diff --git a/examples/delay_calc.tcl b/examples/delay_calc.tcl index 8667d004..9cbb17d2 100644 --- a/examples/delay_calc.tcl +++ b/examples/delay_calc.tcl @@ -1,5 +1,5 @@ # delay calc example -read_liberty nangate45_slow.lib +read_liberty nangate45_slow.lib.gz read_verilog example1.v link_design top create_clock -name clk -period 10 {clk1 clk2 clk3} diff --git a/examples/min_max_delays.tcl b/examples/min_max_delays.tcl index 87483ec6..fdd920bd 100644 --- a/examples/min_max_delays.tcl +++ b/examples/min_max_delays.tcl @@ -1,6 +1,6 @@ # min/max delay calc example -read_liberty -max nangate45_slow.lib -read_liberty -min nangate45_fast.lib +read_liberty -max nangate45_slow.lib.gz +read_liberty -min nangate45_fast.lib.gz read_verilog example1.v link_design top create_clock -name clk -period 10 {clk1 clk2 clk3} diff --git a/examples/multi_corner.tcl b/examples/multi_corner.tcl index 19b2931f..589ca9b7 100644 --- a/examples/multi_corner.tcl +++ b/examples/multi_corner.tcl @@ -1,8 +1,8 @@ # 3 corners with +/- 10% derating example define_corners ss tt ff -read_liberty -corner ss nangate45_slow.lib -read_liberty -corner tt nangate45_typ.lib -read_liberty -corner ff nangate45_fast.lib +read_liberty -corner ss nangate45_slow.lib.gz +read_liberty -corner tt nangate45_typ.lib.gz +read_liberty -corner ff nangate45_fast.lib.gz read_verilog example1.v link_design top set_timing_derate -early 0.9 diff --git a/examples/nangate45_fast.lib b/examples/nangate45_fast.lib deleted file mode 100644 index 16f6a3ef..00000000 --- a/examples/nangate45_fast.lib +++ /dev/null @@ -1,133288 +0,0 @@ -/* -* ****************************************************************************** -* * * -* * Copyright (C) 2004-2011, Nangate Inc. * -* * All rights reserved. * -* * * -* * Nangate and the Nangate logo are trademarks of Nangate Inc. * -* * * -* * All trademarks, logos, software marks, and trade names (collectively the * -* * "Marks") in this program are proprietary to Nangate or other respective * -* * owners that have granted Nangate the right and license to use such Marks. * -* * You are not permitted to use the Marks without the prior written consent * -* * of Nangate or such third party that may own the Marks. * -* * * -* * This file has been provided pursuant to a License Agreement containing * -* * restrictions on its use. This file contains valuable trade secrets and * -* * proprietary information of Nangate Inc., and is protected by U.S. and * -* * international laws and/or treaties. * -* * * -* * The copyright notice(s) in this file does not indicate actual or intended * -* * publication of this file. * -* * * -* * NGLibraryCharacterizer, v2011.01-HR04-2011-01-19 - build 201102050200 * -* * * -* ****************************************************************************** -* -* Spice engine : Nanspice v2011.01-HR04-2011-01-19-1102050200 -* Liberty export type : conditional -* -* Characterization Corner : fast -* Process : FastFast -* Temperature : 0C -* Voltage : 1.25V -* -****************************************************************************/ - -library (NangateOpenCellLibrary_fast) { - - /* Documentation Attributes */ - date : "Thu 10 Feb 2011, 18:11:32"; - revision : "revision 1.0"; - comment : "Copyright (c) 2004-2011 Nangate Inc. All Rights Reserved."; - - /* General Attributes */ - technology (cmos); - delay_model : table_lookup; - in_place_swap_mode : match_footprint; - library_features (report_delay_calculation,report_power_calculation); - - /* Units Attributes */ - time_unit : "1ns"; - leakage_power_unit : "1nW"; - voltage_unit : "1V"; - current_unit : "1mA"; - pulling_resistance_unit : "1kohm"; - capacitive_load_unit (1,ff); - - /* Operation Conditions */ - nom_process : 1.00; - nom_temperature : 0.00; - nom_voltage : 1.25; - - voltage_map (VDD,1.25); - voltage_map (VSS,0.00); - - define(process_corner, operating_conditions, string); - operating_conditions (fast) { - process_corner : "FastFast"; - process : 1.00; - voltage : 1.25; - temperature : 0.00; - tree_type : balanced_tree; - } - default_operating_conditions : fast; - - /* Threshold Definitions */ - slew_lower_threshold_pct_fall : 30.00 ; - slew_lower_threshold_pct_rise : 30.00 ; - slew_upper_threshold_pct_fall : 70.00 ; - slew_upper_threshold_pct_rise : 70.00 ; - slew_derate_from_library : 1.00 ; - input_threshold_pct_fall : 50.00 ; - input_threshold_pct_rise : 50.00 ; - output_threshold_pct_fall : 50.00 ; - output_threshold_pct_rise : 50.00 ; - default_leakage_power_density : 0.00 ; - default_cell_leakage_power : 0.00 ; - - /* Default Pin Attributes */ - default_inout_pin_cap : 1.000000; - default_input_pin_cap : 1.000000; - default_output_pin_cap : 0.000000; - default_fanout_load : 1.000000; - default_max_transition : 0.146240; - - define(drive_strength, cell, float); - - /* Wire load tables */ - - wire_load("1K_hvratio_1_4") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.3207 ); - fanout_length( 2, 2.9813 ); - fanout_length( 3, 5.1135 ); - fanout_length( 4, 7.6639 ); - fanout_length( 5, 10.0334 ); - fanout_length( 6, 12.2296 ); - fanout_length( 8, 19.3185 ); - } - - wire_load("1K_hvratio_1_2") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.3216 ); - fanout_length( 2, 2.8855 ); - fanout_length( 3, 4.6810 ); - fanout_length( 4, 6.7976 ); - fanout_length( 5, 9.4037 ); - fanout_length( 6, 13.0170 ); - fanout_length( 8, 24.1720 ); - } - - wire_load("1K_hvratio_1_1") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 6.283688; - fanout_length( 1, 1.3446 ); - fanout_length( 2, 2.8263 ); - fanout_length( 3, 4.7581 ); - fanout_length( 4, 7.4080 ); - fanout_length( 5, 10.9381 ); - fanout_length( 6, 15.7314 ); - fanout_length( 8, 29.7891 ); - } - - wire_load("3K_hvratio_1_4") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.8234 ); - fanout_length( 2, 4.5256 ); - fanout_length( 3, 7.5342 ); - fanout_length( 4, 10.6237 ); - fanout_length( 5, 13.5401 ); - fanout_length( 6, 16.3750 ); - fanout_length( 7, 18.6686 ); - fanout_length( 8, 19.4348 ); - fanout_length( 10, 20.9672 ); - } - - wire_load("3K_hvratio_1_2") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.6615 ); - fanout_length( 2, 3.9827 ); - fanout_length( 3, 6.6386 ); - fanout_length( 4, 9.6287 ); - fanout_length( 5, 12.8485 ); - fanout_length( 6, 16.4145 ); - fanout_length( 7, 20.0747 ); - fanout_length( 8, 22.6325 ); - fanout_length( 10, 21.7173 ); - } - - wire_load("3K_hvratio_1_1") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.5771 ); - fanout_length( 2, 3.9330 ); - fanout_length( 3, 6.6217 ); - fanout_length( 4, 9.7638 ); - fanout_length( 5, 13.5526 ); - fanout_length( 6, 18.1322 ); - fanout_length( 7, 22.5871 ); - fanout_length( 8, 25.1074 ); - fanout_length( 10, 30.1480 ); - } - - wire_load("5K_hvratio_1_4") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 2.0449 ); - fanout_length( 2, 4.4094 ); - fanout_length( 3, 7.2134 ); - fanout_length( 4, 10.4927 ); - fanout_length( 5, 13.9420 ); - fanout_length( 6, 18.0039 ); - fanout_length( 7, 23.9278 ); - fanout_length( 8, 30.8475 ); - fanout_length( 9, 34.9441 ); - fanout_length( 11, 43.1373 ); - } - - wire_load("5K_hvratio_1_2") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.6706 ); - fanout_length( 2, 3.7951 ); - fanout_length( 3, 6.2856 ); - fanout_length( 4, 9.1309 ); - fanout_length( 5, 12.1420 ); - fanout_length( 6, 15.6918 ); - fanout_length( 7, 20.1043 ); - fanout_length( 8, 24.2827 ); - fanout_length( 9, 27.3445 ); - fanout_length( 11, 35.3421 ); - } - - wire_load("5K_hvratio_1_1") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.7460 ); - fanout_length( 2, 3.9394 ); - fanout_length( 3, 6.4626 ); - fanout_length( 4, 9.2201 ); - fanout_length( 5, 11.9123 ); - fanout_length( 6, 14.8358 ); - fanout_length( 7, 18.6155 ); - fanout_length( 8, 22.6727 ); - fanout_length( 9, 25.4842 ); - fanout_length( 11, 27.0320 ); - } - - default_wire_load : "5K_hvratio_1_1" ; - - - power_lut_template (Hidden_power_7) { - variable_1 : input_transition_time; - index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - } - - - lu_table_template (Hold_3_3) { - variable_1 : constrained_pin_transition; - variable_2 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - index_2 ("0.0010,0.0020,0.0030"); - } - - - power_lut_template (Power_7_7) { - variable_1 : input_transition_time; - variable_2 : total_output_net_capacitance; - index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - } - - - lu_table_template (Pulse_width_3) { - variable_1 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - } - - - lu_table_template (Recovery_3_3) { - variable_1 : constrained_pin_transition; - variable_2 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - index_2 ("0.0010,0.0020,0.0030"); - } - - - lu_table_template (Removal_3_3) { - variable_1 : constrained_pin_transition; - variable_2 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - index_2 ("0.0010,0.0020,0.0030"); - } - - - lu_table_template (Setup_3_3) { - variable_1 : constrained_pin_transition; - variable_2 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - index_2 ("0.0010,0.0020,0.0030"); - } - - - lu_table_template (Timing_7_7) { - variable_1 : input_net_transition; - variable_2 : total_output_net_capacitance; - index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - } - - - lu_table_template (Tristate_disable_7) { - variable_1 : input_net_transition; - index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - } - - - /****************************************************************************************** - Module : AND2_X1 - Cell Description : Combinational cell (AND2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AND2_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 89.639611; - - leakage_power () { - when : "!A1 & !A2"; - value : 72.833875; - } - leakage_power () { - when : "!A1 & A2"; - value : 106.528250; - } - leakage_power () { - when : "A1 & !A2"; - value : 74.082321; - } - leakage_power () { - when : "A1 & A2"; - value : 105.114000; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.920426; - fall_capacitance : 0.919670; - rise_capacitance : 0.920426; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.981362; - fall_capacitance : 0.915982; - rise_capacitance : 0.981362; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0125200,0.0148532,0.0172855,0.0215407,0.0292629,0.0441369,0.0737366", \ - "0.0134512,0.0157780,0.0182087,0.0224636,0.0301871,0.0450630,0.0746659", \ - "0.0174031,0.0196828,0.0220843,0.0263182,0.0340289,0.0488918,0.0784853", \ - "0.0226488,0.0251784,0.0277649,0.0321993,0.0400283,0.0548441,0.0843655", \ - "0.0282561,0.0310665,0.0339138,0.0387001,0.0468481,0.0617799,0.0912440", \ - "0.0340730,0.0371815,0.0403414,0.0456111,0.0543698,0.0697321,0.0992421", \ - "0.0399680,0.0433655,0.0468571,0.0526654,0.0622006,0.0783897,0.108440"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0154735,0.0183065,0.0213978,0.0269974,0.0374970,0.0580835,0.0991758", \ - "0.0160760,0.0189080,0.0219974,0.0275950,0.0380943,0.0586810,0.0997754", \ - "0.0190245,0.0218192,0.0248709,0.0304158,0.0408601,0.0614091,0.102487", \ - "0.0229828,0.0258277,0.0289059,0.0344553,0.0448615,0.0652762,0.106250", \ - "0.0267094,0.0297411,0.0329685,0.0386338,0.0490212,0.0693795,0.110208", \ - "0.0298975,0.0331785,0.0366453,0.0426747,0.0533368,0.0738074,0.114561", \ - "0.0323400,0.0358974,0.0396297,0.0461198,0.0572779,0.0781635,0.119229"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00289568,0.00408344,0.00551506,0.00837633,0.0143374,0.0268720,0.0524470", \ - "0.00289684,0.00408598,0.00551702,0.00837723,0.0143377,0.0268719,0.0524452", \ - "0.00311670,0.00423390,0.00562649,0.00844377,0.0143632,0.0268761,0.0524458", \ - "0.00424987,0.00530222,0.00656512,0.00914714,0.0147136,0.0269364,0.0524470", \ - "0.00562752,0.00670736,0.00793429,0.0103594,0.0155387,0.0273095,0.0525177", \ - "0.00733140,0.00849362,0.00975781,0.0121533,0.0170711,0.0281585,0.0528187", \ - "0.00934604,0.0105934,0.0119430,0.0144069,0.0192458,0.0298710,0.0537162"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00358144,0.00545758,0.00766543,0.0120640,0.0212207,0.0402255,0.0786068", \ - "0.00358121,0.00545744,0.00766589,0.0120655,0.0212218,0.0402190,0.0786153", \ - "0.00363581,0.00550261,0.00770786,0.0120957,0.0212361,0.0402182,0.0786091", \ - "0.00436240,0.00604963,0.00815894,0.0124436,0.0214122,0.0402393,0.0786109", \ - "0.00551630,0.00706498,0.00905155,0.0130721,0.0217847,0.0404753,0.0786235", \ - "0.00707528,0.00853860,0.0104827,0.0143713,0.0227405,0.0410120,0.0788796", \ - "0.00898366,0.0103924,0.0122914,0.0161718,0.0242355,0.0422962,0.0795924"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0137497,0.0161137,0.0185737,0.0228685,0.0306299,0.0455252,0.0751379", \ - "0.0146780,0.0170380,0.0194965,0.0237911,0.0315534,0.0464493,0.0760641", \ - "0.0187841,0.0210998,0.0235279,0.0278001,0.0355487,0.0504365,0.0800434", \ - "0.0249225,0.0274564,0.0300350,0.0344561,0.0422769,0.0571093,0.0866531", \ - "0.0318989,0.0346808,0.0374785,0.0421800,0.0502399,0.0651433,0.0946221", \ - "0.0398904,0.0429414,0.0459994,0.0510684,0.0595318,0.0746023,0.104032", \ - "0.0488424,0.0521660,0.0555168,0.0610278,0.0700651,0.0855928,0.115098"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0164862,0.0193193,0.0224109,0.0280112,0.0385116,0.0590970,0.100187", \ - "0.0170044,0.0198366,0.0229274,0.0285267,0.0390269,0.0596132,0.100705", \ - "0.0186808,0.0214942,0.0245648,0.0301343,0.0406072,0.0611759,0.102264", \ - "0.0211744,0.0240356,0.0271439,0.0327387,0.0431975,0.0636902,0.104718", \ - "0.0234677,0.0264689,0.0297065,0.0354647,0.0460152,0.0664821,0.107406", \ - "0.0246829,0.0279053,0.0313425,0.0374073,0.0483321,0.0691542,0.110081", \ - "0.0244825,0.0279536,0.0316175,0.0380519,0.0494388,0.0708916,0.112488"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00308410,0.00424282,0.00565530,0.00849093,0.0144130,0.0269119,0.0524691", \ - "0.00308429,0.00424596,0.00565632,0.00849132,0.0144140,0.0269122,0.0524705", \ - "0.00319133,0.00433329,0.00572735,0.00853692,0.0144329,0.0269153,0.0524706", \ - "0.00428986,0.00530749,0.00655617,0.00913759,0.0147164,0.0269672,0.0524734", \ - "0.00551158,0.00653828,0.00772842,0.0101501,0.0153951,0.0272694,0.0525323", \ - "0.00700465,0.00807415,0.00925119,0.0115661,0.0164738,0.0277542,0.0527334", \ - "0.00877732,0.00991736,0.0111280,0.0134094,0.0180966,0.0287574,0.0530922"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00358135,0.00545737,0.00766604,0.0120643,0.0212246,0.0402263,0.0786035", \ - "0.00358145,0.00545705,0.00766595,0.0120654,0.0212243,0.0402234,0.0786008", \ - "0.00362534,0.00549009,0.00769417,0.0120844,0.0212296,0.0402249,0.0785911", \ - "0.00402816,0.00584739,0.00801984,0.0123390,0.0213566,0.0402375,0.0785960", \ - "0.00491148,0.00662277,0.00876085,0.0129768,0.0217764,0.0404164,0.0786244", \ - "0.00614937,0.00777270,0.00988248,0.0140854,0.0228275,0.0411454,0.0788405", \ - "0.00763509,0.00921078,0.0112895,0.0154894,0.0241921,0.0426683,0.0797914"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.837476,4.046191,4.199816,4.335372,4.389966,4.412393,4.421930", \ - "3.809357,4.022932,4.184598,4.314520,4.371162,4.392849,4.400540", \ - "4.105586,4.254503,4.382900,4.487074,4.531928,4.551059,4.558484", \ - "4.875003,4.963250,5.085998,5.192971,5.191568,5.173596,5.162847", \ - "6.297265,6.314417,6.329780,6.408804,6.449271,6.415927,6.358803", \ - "8.388549,8.377947,8.308062,8.317975,8.359871,8.340321,8.267878", \ - "10.920820,10.953490,10.894490,10.822780,10.883370,10.961340,10.919710"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.596433,2.662498,2.691896,2.742405,2.668229,2.670403,2.695098", \ - "2.569304,2.658124,2.635254,2.699752,2.722797,2.700602,2.406610", \ - "2.789379,2.861187,2.829693,2.841018,2.828545,2.755397,2.545718", \ - "3.733851,3.688315,3.635815,3.536711,3.377499,3.387668,3.031262", \ - "5.321843,5.202937,5.176613,4.939796,4.653425,4.449000,4.219894", \ - "7.581159,7.390733,7.287421,7.261172,6.955309,6.616321,6.150133", \ - "10.359300,10.076630,10.000040,9.929452,9.966921,9.563128,9.247897"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.271078,4.493810,4.675133,4.811993,4.875728,4.905140,4.920316", \ - "4.270616,4.480452,4.639276,4.782692,4.856432,4.885428,4.902948", \ - "4.551729,4.720993,4.864271,4.976594,5.035339,5.062665,5.081537", \ - "5.408327,5.513637,5.643391,5.747032,5.743846,5.731312,5.728238", \ - "6.903869,6.932648,6.965112,7.031210,7.059903,7.014728,6.967796", \ - "9.131980,9.107763,9.051571,9.033796,8.996858,8.941906,8.875350", \ - "11.980610,11.935000,11.845850,11.734550,11.672590,11.546860,11.488870"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.622428,2.666279,2.665014,2.744449,2.621067,2.679546,2.535325", \ - "2.560713,2.617184,2.633918,2.700872,2.624156,2.462756,2.690593", \ - "2.619917,2.710146,2.687626,2.731588,2.594623,2.654353,2.207151", \ - "3.284581,3.299627,3.273744,3.184057,3.119947,3.049892,2.893812", \ - "4.609786,4.602328,4.574135,4.485847,4.219518,4.150535,3.963510", \ - "6.417388,6.335631,6.338259,6.360687,6.239344,5.985190,5.911453", \ - "8.611611,8.404536,8.494156,8.646344,8.810756,8.601843,8.463489"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND2_X2 - Cell Description : Combinational cell (AND2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AND2_X2) { - - drive_strength : 2; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 180.065312; - - leakage_power () { - when : "!A1 & !A2"; - value : 145.792500; - } - leakage_power () { - when : "!A1 & A2"; - value : 214.028375; - } - leakage_power () { - when : "A1 & !A2"; - value : 148.320375; - } - leakage_power () { - when : "A1 & A2"; - value : 212.120000; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.668501; - fall_capacitance : 1.651209; - rise_capacitance : 1.668501; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.777873; - fall_capacitance : 1.639309; - rise_capacitance : 1.777873; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0117055,0.0142627,0.0166263,0.0207942,0.0284388,0.0432601,0.0727947", \ - "0.0126428,0.0151923,0.0175537,0.0217218,0.0293681,0.0441914,0.0737271", \ - "0.0165428,0.0190507,0.0213856,0.0255348,0.0331654,0.0479752,0.0775016", \ - "0.0215059,0.0242901,0.0268105,0.0311556,0.0388987,0.0536596,0.0831139", \ - "0.0268261,0.0299226,0.0327013,0.0373944,0.0454343,0.0602911,0.0896921", \ - "0.0322980,0.0357250,0.0388172,0.0439916,0.0526341,0.0679170,0.0973737", \ - "0.0378108,0.0415588,0.0449791,0.0506864,0.0601014,0.0761881,0.106216"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0145284,0.0176673,0.0207117,0.0262585,0.0367181,0.0572697,0.0982957", \ - "0.0151301,0.0182671,0.0213094,0.0268535,0.0373123,0.0578650,0.0988918", \ - "0.0180831,0.0211719,0.0241731,0.0296611,0.0400622,0.0605765,0.101588", \ - "0.0218692,0.0250128,0.0280348,0.0335219,0.0438922,0.0642793,0.105190", \ - "0.0254830,0.0288330,0.0320027,0.0375987,0.0479461,0.0682833,0.109064", \ - "0.0285786,0.0322066,0.0356129,0.0415591,0.0521721,0.0726495,0.113370", \ - "0.0309863,0.0349113,0.0385776,0.0449776,0.0560572,0.0769542,0.118034"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00267921,0.00399612,0.00541962,0.00829245,0.0142954,0.0268614,0.0523948", \ - "0.00268043,0.00399842,0.00542234,0.00829326,0.0142955,0.0268621,0.0523942", \ - "0.00297387,0.00418311,0.00555232,0.00836791,0.0143220,0.0268649,0.0523941", \ - "0.00408644,0.00523952,0.00648423,0.00905735,0.0146666,0.0269220,0.0523964", \ - "0.00547425,0.00665449,0.00785507,0.0102596,0.0154565,0.0272834,0.0524698", \ - "0.00719530,0.00845395,0.00969401,0.0120619,0.0169801,0.0281402,0.0527866", \ - "0.00922011,0.0105719,0.0118940,0.0143208,0.0191404,0.0298482,0.0537331"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00335682,0.00544345,0.00764471,0.0120616,0.0212582,0.0402725,0.0785986", \ - "0.00335773,0.00544328,0.00764543,0.0120628,0.0212578,0.0402723,0.0785985", \ - "0.00344936,0.00550719,0.00769869,0.0120962,0.0212741,0.0402693,0.0785953", \ - "0.00418550,0.00604123,0.00813856,0.0124408,0.0214520,0.0402904,0.0786015", \ - "0.00537258,0.00706263,0.00903232,0.0130647,0.0218285,0.0405307,0.0786378", \ - "0.00695935,0.00854255,0.0104666,0.0143502,0.0227960,0.0411074,0.0788905", \ - "0.00889756,0.0104152,0.0122868,0.0161419,0.0242679,0.0424310,0.0796599"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0129377,0.0155273,0.0179181,0.0221246,0.0298051,0.0446468,0.0741926", \ - "0.0138669,0.0164530,0.0188413,0.0230480,0.0307295,0.0455730,0.0751199", \ - "0.0179648,0.0204986,0.0228578,0.0270418,0.0347077,0.0495404,0.0790793", \ - "0.0238528,0.0266403,0.0291490,0.0334804,0.0412171,0.0559922,0.0854695", \ - "0.0306136,0.0336763,0.0363947,0.0409891,0.0489271,0.0637486,0.0931670", \ - "0.0383584,0.0417178,0.0446920,0.0496505,0.0579752,0.0729384,0.102301", \ - "0.0470291,0.0506917,0.0539577,0.0593536,0.0682418,0.0836410,0.113084"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0155471,0.0186861,0.0217307,0.0272786,0.0377383,0.0582923,0.0993146", \ - "0.0160468,0.0191847,0.0222283,0.0277745,0.0382344,0.0587891,0.0998115", \ - "0.0176808,0.0207981,0.0238199,0.0293358,0.0397678,0.0603040,0.101326", \ - "0.0200596,0.0232295,0.0262905,0.0318301,0.0422486,0.0627109,0.103669", \ - "0.0221886,0.0255183,0.0287113,0.0344209,0.0449472,0.0653948,0.106260", \ - "0.0232324,0.0268056,0.0301986,0.0362112,0.0471177,0.0679591,0.108851", \ - "0.0228775,0.0267260,0.0303433,0.0367224,0.0480730,0.0695723,0.111194"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00286613,0.00415142,0.00555615,0.00840103,0.0143657,0.0268989,0.0524188", \ - "0.00286747,0.00415349,0.00555806,0.00840225,0.0143661,0.0268989,0.0524189", \ - "0.00302216,0.00426138,0.00564089,0.00845275,0.0143855,0.0269015,0.0524197", \ - "0.00411628,0.00522963,0.00646251,0.00904411,0.0146650,0.0269503,0.0524230", \ - "0.00533963,0.00644897,0.00761371,0.0100155,0.0152869,0.0272354,0.0524840", \ - "0.00683447,0.00799171,0.00913669,0.0114241,0.0163320,0.0276936,0.0526856", \ - "0.00861551,0.00984024,0.0110180,0.0132598,0.0179339,0.0286796,0.0530552"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00335696,0.00544359,0.00764459,0.0120606,0.0212602,0.0402703,0.0786106", \ - "0.00335785,0.00544336,0.00764546,0.0120623,0.0212585,0.0402689,0.0786028", \ - "0.00342658,0.00548842,0.00768113,0.0120847,0.0212685,0.0402676,0.0785897", \ - "0.00384692,0.00585698,0.00801760,0.0123432,0.0214000,0.0402853,0.0786015", \ - "0.00476758,0.00665069,0.00877753,0.0130042,0.0218392,0.0404817,0.0786339", \ - "0.00602424,0.00780936,0.00990723,0.0141167,0.0229233,0.0412500,0.0788491", \ - "0.00753748,0.00926199,0.0113222,0.0155187,0.0242927,0.0428376,0.0798713"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.328917,7.776851,8.043757,8.262558,8.352387,8.394389,8.404626", \ - "7.284082,7.702730,7.994659,8.213990,8.315031,8.356787,8.368701", \ - "7.871027,8.221451,8.404680,8.586598,8.658940,8.689058,8.704266", \ - "9.497233,9.616408,9.834703,10.009070,10.005990,9.971339,9.952663", \ - "12.411180,12.429660,12.406160,12.498690,12.557910,12.506710,12.406000", \ - "16.590410,16.515310,16.394840,16.365740,16.414420,16.397970,16.285640", \ - "21.797780,21.730240,21.559430,21.361590,21.478690,21.678630,21.641090"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.071555,5.276626,5.328435,5.303831,5.455149,5.403994,4.672090", \ - "5.014461,5.225028,5.283389,5.286798,5.239328,5.362935,4.894209", \ - "5.556020,5.687146,5.690422,5.543234,5.594660,5.595900,5.012838", \ - "7.394606,7.297510,7.191450,6.979872,6.694191,6.547411,5.833273", \ - "10.702490,10.453810,10.374890,10.091790,9.593011,8.934573,8.862178", \ - "15.224810,14.783420,14.646200,14.386770,14.078410,13.501250,12.834600", \ - "20.772350,20.203400,19.984280,20.002070,20.052640,19.033920,18.269080"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.243325,8.689654,8.968963,9.211014,9.324464,9.384584,9.410424", \ - "8.257949,8.629722,8.921226,9.165419,9.275832,9.344571,9.373170", \ - "8.808339,9.153502,9.396844,9.579292,9.664564,9.719430,9.749121", \ - "10.546350,10.760780,10.961750,11.105010,11.104980,11.082530,11.080660", \ - "13.613800,13.649650,13.642590,13.704980,13.768630,13.694910,13.608550", \ - "18.100190,18.011860,17.877210,17.793520,17.681520,17.590520,17.473760", \ - "23.783910,23.700650,23.500780,23.221850,23.071850,22.849840,22.749450"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.072810,5.257579,5.331178,5.307314,5.460918,5.423955,5.282663", \ - "4.994699,5.119436,5.255602,5.290019,5.071208,5.382027,4.865950", \ - "5.240495,5.322566,5.416938,5.363464,5.206915,5.367798,4.662202", \ - "6.572744,6.596608,6.513165,6.395458,6.209260,6.191531,5.697825", \ - "9.275523,9.188468,9.201269,8.947581,8.452399,8.283604,8.326125", \ - "12.807260,12.741780,12.794190,12.903730,12.719640,11.731700,10.898800", \ - "17.296780,16.924550,17.019050,17.341550,17.683400,17.761820,16.324490"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND2_X4 - Cell Description : Combinational cell (AND2_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AND2_X4) { - - drive_strength : 4; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 360.137030; - - leakage_power () { - when : "!A1 & !A2"; - value : 291.593750; - } - leakage_power () { - when : "!A1 & A2"; - value : 428.064125; - } - leakage_power () { - when : "A1 & !A2"; - value : 296.646994; - } - leakage_power () { - when : "A1 & A2"; - value : 424.243250; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.201104; - fall_capacitance : 3.185775; - rise_capacitance : 3.201104; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.635389; - fall_capacitance : 3.337324; - rise_capacitance : 3.635389; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 241.699000; - function : "(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0114193,0.0141338,0.0164937,0.0206597,0.0283117,0.0431597,0.0727463", \ - "0.0123668,0.0150727,0.0174309,0.0215974,0.0292511,0.0441006,0.0736897", \ - "0.0162511,0.0189193,0.0212518,0.0253994,0.0330373,0.0478721,0.0774490", \ - "0.0211384,0.0240925,0.0266062,0.0309445,0.0386926,0.0534805,0.0829873", \ - "0.0263914,0.0296783,0.0324485,0.0371298,0.0451665,0.0600462,0.0895035", \ - "0.0318007,0.0354360,0.0385185,0.0436777,0.0523105,0.0676164,0.0971326", \ - "0.0372630,0.0412311,0.0446387,0.0503351,0.0597321,0.0758290,0.105934"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0140960,0.0174260,0.0204748,0.0260316,0.0365152,0.0571158,0.0982252", \ - "0.0147036,0.0180314,0.0210781,0.0266323,0.0371152,0.0577165,0.0988268", \ - "0.0176563,0.0209308,0.0239354,0.0294320,0.0398566,0.0604175,0.101511", \ - "0.0213607,0.0246873,0.0277083,0.0332021,0.0435993,0.0640368,0.105037", \ - "0.0248934,0.0284401,0.0316025,0.0372013,0.0475756,0.0679671,0.108839", \ - "0.0278937,0.0317343,0.0351312,0.0410693,0.0517058,0.0722482,0.113067", \ - "0.0302012,0.0343579,0.0380132,0.0443958,0.0554814,0.0764490,0.117638"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00256927,0.00395956,0.00538931,0.00827754,0.0143080,0.0269112,0.0524994", \ - "0.00257016,0.00396219,0.00539192,0.00827867,0.0143080,0.0269113,0.0524968", \ - "0.00289119,0.00415939,0.00552838,0.00835510,0.0143354,0.0269154,0.0524978", \ - "0.00399370,0.00520441,0.00644917,0.00903492,0.0146772,0.0269723,0.0524996", \ - "0.00538929,0.00662295,0.00782112,0.0102295,0.0154542,0.0273310,0.0525766", \ - "0.00711466,0.00843203,0.00966283,0.0120280,0.0169717,0.0281917,0.0528969", \ - "0.00914190,0.0105561,0.0118706,0.0142939,0.0191249,0.0299009,0.0538613"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00319844,0.00540232,0.00761422,0.0120527,0.0212863,0.0403410,0.0787651", \ - "0.00319925,0.00540275,0.00761459,0.0120528,0.0212857,0.0403423,0.0787577", \ - "0.00331219,0.00547404,0.00767293,0.0120898,0.0212982,0.0403482,0.0787539", \ - "0.00405262,0.00599537,0.00810280,0.0124274,0.0214842,0.0403631,0.0787654", \ - "0.00526374,0.00701624,0.00898934,0.0130503,0.0218602,0.0406114,0.0787959", \ - "0.00686918,0.00850432,0.0104221,0.0143221,0.0228286,0.0412007,0.0790509", \ - "0.00883053,0.0103843,0.0122462,0.0161028,0.0242842,0.0425376,0.0798378"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0126025,0.0153477,0.0177322,0.0219343,0.0296198,0.0444861,0.0740847", \ - "0.0135387,0.0162780,0.0186610,0.0228631,0.0305500,0.0454188,0.0750195", \ - "0.0176389,0.0203223,0.0226760,0.0268554,0.0345264,0.0493831,0.0789745", \ - "0.0234442,0.0263953,0.0288945,0.0332174,0.0409584,0.0557595,0.0852896", \ - "0.0301396,0.0333827,0.0360872,0.0406638,0.0485927,0.0634356,0.0929066", \ - "0.0378167,0.0413723,0.0443344,0.0492704,0.0575760,0.0725540,0.101974", \ - "0.0464203,0.0502968,0.0535498,0.0589234,0.0677849,0.0831899,0.112696"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0150796,0.0184096,0.0214588,0.0270167,0.0375008,0.0581004,0.0992084", \ - "0.0155769,0.0189051,0.0219533,0.0275095,0.0379933,0.0585944,0.0997025", \ - "0.0172003,0.0205079,0.0235342,0.0290597,0.0395158,0.0600989,0.101207", \ - "0.0195344,0.0228949,0.0259587,0.0315068,0.0419505,0.0624596,0.103507", \ - "0.0215820,0.0251148,0.0283103,0.0340292,0.0445852,0.0650871,0.106042", \ - "0.0225286,0.0263220,0.0297123,0.0357301,0.0466683,0.0675806,0.108573", \ - "0.0220746,0.0261618,0.0297793,0.0361544,0.0475321,0.0691084,0.110847"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00274765,0.00410520,0.00551664,0.00837840,0.0143735,0.0269468,0.0525231", \ - "0.00274863,0.00410734,0.00551863,0.00837948,0.0143733,0.0269468,0.0525214", \ - "0.00292684,0.00422252,0.00560582,0.00843209,0.0143932,0.0269498,0.0525225", \ - "0.00400842,0.00518168,0.00641869,0.00901486,0.0146708,0.0269973,0.0525236", \ - "0.00523186,0.00639380,0.00755579,0.00996668,0.0152727,0.0272784,0.0525895", \ - "0.00672975,0.00793892,0.00907804,0.0113630,0.0163012,0.0277296,0.0527918", \ - "0.00852143,0.00979187,0.0109609,0.0131984,0.0178871,0.0287101,0.0531660"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00319838,0.00540288,0.00761417,0.0120524,0.0212850,0.0403429,0.0787708", \ - "0.00319860,0.00540280,0.00761395,0.0120521,0.0212848,0.0403421,0.0787631", \ - "0.00328099,0.00545408,0.00765361,0.0120756,0.0212956,0.0403494,0.0787568", \ - "0.00371340,0.00582386,0.00799146,0.0123358,0.0214307,0.0403595,0.0787635", \ - "0.00465757,0.00662155,0.00875467,0.0130044,0.0218757,0.0405569,0.0787878", \ - "0.00593318,0.00778494,0.00988375,0.0141135,0.0229703,0.0413486,0.0790111", \ - "0.00746265,0.00924726,0.0113004,0.0155107,0.0243357,0.0429545,0.0800571"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("14.120890,15.027770,15.529600,15.954460,16.130110,16.210230,16.233690", \ - "14.035510,14.924520,15.475100,15.871870,16.066430,16.151870,16.184360", \ - "15.358600,15.949770,16.350730,16.642440,16.778910,16.851700,16.887600", \ - "18.605800,18.778310,19.163730,19.544860,19.499830,19.444190,19.397910", \ - "24.508850,24.427190,24.416100,24.527120,24.654950,24.556110,24.350740", \ - "32.884730,32.636470,32.369720,32.243230,32.377950,32.348460,32.132600", \ - "43.262700,43.022100,42.653870,42.224360,42.525340,42.911780,42.858150"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("9.945180,10.244510,10.524860,10.555740,10.592060,9.977526,8.476814", \ - "9.880245,10.131490,10.397070,10.521720,10.347170,10.343840,9.636830", \ - "10.909310,11.082800,11.193650,11.022960,10.919900,11.142210,9.921083", \ - "14.792880,14.546390,14.335100,13.978500,13.726880,13.036150,11.144170", \ - "21.289640,20.823640,20.465500,19.903110,18.854260,18.070980,18.029750", \ - "30.280750,29.375140,29.241750,29.013010,28.084350,25.713690,25.300720", \ - "41.473930,40.078340,39.776080,39.606980,39.939230,38.007620,36.559300"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("16.021410,16.898350,17.417280,17.874850,18.102550,18.218330,18.279570", \ - "16.049070,16.818900,17.329100,17.787630,18.022820,18.134990,18.204810", \ - "17.224800,17.890290,18.282650,18.642510,18.804640,18.911220,18.978660", \ - "20.801760,21.090360,21.433400,21.730600,21.715650,21.678280,21.667720", \ - "26.954550,26.889270,26.874700,26.962170,27.027260,26.910450,26.751230", \ - "35.935660,35.702390,35.345730,35.106710,34.869320,34.715900,34.488710", \ - "47.399600,47.044520,46.578910,46.020540,45.649190,45.233810,45.046740"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("9.963784,10.355030,10.379240,10.584280,10.706490,10.428650,10.302450", \ - "9.683071,10.185360,10.354280,10.226210,10.527670,10.251000,9.469171", \ - "10.254070,10.533370,10.551760,10.528260,10.299300,10.669070,10.095280", \ - "13.143310,12.943660,12.813230,12.794550,12.389070,11.674320,11.237680", \ - "18.562040,18.393490,18.318310,17.760040,17.429660,15.945960,15.532570", \ - "25.800490,25.388500,25.389440,25.715090,25.268020,24.690620,23.058110", \ - "34.333760,33.787720,33.786010,34.432760,35.540310,34.566320,32.461100"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND3_X1 - Cell Description : Combinational cell (AND3_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AND3_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 92.600993; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 72.761500; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 92.631250; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 73.749875; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 126.304125; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 69.416393; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 93.877821; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 73.464478; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 138.602500; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.908201; - fall_capacitance : 0.908201; - rise_capacitance : 0.881238; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.929611; - fall_capacitance : 0.915715; - rise_capacitance : 0.929611; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.983215; - fall_capacitance : 0.905638; - rise_capacitance : 0.983215; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.424800; - function : "((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0135724,0.0159917,0.0185085,0.0228830,0.0307114,0.0455958,0.0751110", \ - "0.0145457,0.0169599,0.0194752,0.0238497,0.0316792,0.0465644,0.0760809", \ - "0.0184179,0.0207835,0.0232686,0.0276228,0.0354425,0.0503207,0.0798311", \ - "0.0235050,0.0261254,0.0287930,0.0333478,0.0412970,0.0561369,0.0855725", \ - "0.0283132,0.0312368,0.0341892,0.0391294,0.0474665,0.0624803,0.0918540", \ - "0.0326771,0.0359123,0.0391958,0.0446429,0.0536357,0.0691501,0.0985897", \ - "0.0364073,0.0399386,0.0435594,0.0495624,0.0593556,0.0757504,0.105753"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0215516,0.0246648,0.0280820,0.0342015,0.0452288,0.0660138,0.106999", \ - "0.0220630,0.0251753,0.0285920,0.0347109,0.0457375,0.0665221,0.107513", \ - "0.0247759,0.0278841,0.0312916,0.0373920,0.0483949,0.0691638,0.110142", \ - "0.0301973,0.0332746,0.0366537,0.0427343,0.0536657,0.0743189,0.115188", \ - "0.0360908,0.0392820,0.0426869,0.0487617,0.0596991,0.0803623,0.121078", \ - "0.0423237,0.0457481,0.0493408,0.0556330,0.0667326,0.0874100,0.128126", \ - "0.0486189,0.0523155,0.0561701,0.0628415,0.0743779,0.0954926,0.136374"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.00308671,0.00429467,0.00573936,0.00859053,0.0144608,0.0268411,0.0522927", \ - "0.00308668,0.00429604,0.00574048,0.00859124,0.0144618,0.0268417,0.0522936", \ - "0.00322490,0.00440396,0.00582608,0.00864814,0.0144869,0.0268471,0.0522923", \ - "0.00444606,0.00552766,0.00680909,0.00939591,0.0148733,0.0269263,0.0522961", \ - "0.00593698,0.00706817,0.00831532,0.0107588,0.0158646,0.0273831,0.0523753", \ - "0.00776441,0.00897366,0.0102818,0.0127036,0.0175679,0.0283714,0.0527256", \ - "0.00987721,0.0111877,0.0125876,0.0150898,0.0199002,0.0302205,0.0537020"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.00458622,0.00654016,0.00889704,0.0133629,0.0222175,0.0406415,0.0786671", \ - "0.00458599,0.00653988,0.00889708,0.0133618,0.0222182,0.0406416,0.0786630", \ - "0.00458644,0.00654129,0.00890112,0.0133690,0.0222241,0.0406367,0.0786700", \ - "0.00503957,0.00687461,0.00920750,0.0136329,0.0223688,0.0406786,0.0786727", \ - "0.00609064,0.00768340,0.00982427,0.0141168,0.0228014,0.0409607,0.0786995", \ - "0.00759211,0.00907856,0.0110765,0.0151793,0.0235838,0.0414481,0.0789823", \ - "0.00948180,0.0109164,0.0128393,0.0168377,0.0250522,0.0426568,0.0796165"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0149065,0.0173580,0.0199021,0.0243169,0.0321876,0.0470994,0.0766261", \ - "0.0158358,0.0182854,0.0208284,0.0252432,0.0331148,0.0480275,0.0775559", \ - "0.0197513,0.0221617,0.0246752,0.0290700,0.0369313,0.0518376,0.0813640", \ - "0.0256115,0.0282376,0.0308975,0.0354393,0.0433789,0.0582372,0.0876944", \ - "0.0315566,0.0344695,0.0373849,0.0422532,0.0505129,0.0654961,0.0948792", \ - "0.0376417,0.0408516,0.0440646,0.0493577,0.0581040,0.0733653,0.102710", \ - "0.0437283,0.0472283,0.0507574,0.0565440,0.0659594,0.0818256,0.111334"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0234243,0.0265374,0.0299543,0.0360743,0.0471022,0.0678880,0.108871", \ - "0.0239391,0.0270517,0.0304682,0.0365883,0.0476162,0.0684009,0.109390", \ - "0.0258257,0.0289343,0.0323435,0.0384484,0.0494588,0.0702330,0.111215", \ - "0.0296112,0.0327354,0.0361542,0.0422761,0.0532601,0.0739703,0.114888", \ - "0.0344120,0.0376113,0.0410633,0.0472229,0.0582528,0.0789838,0.119793", \ - "0.0393887,0.0427926,0.0463944,0.0527669,0.0640609,0.0849385,0.125763", \ - "0.0439968,0.0476523,0.0514768,0.0581727,0.0699213,0.0914130,0.132607"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.00327719,0.00445650,0.00588119,0.00870927,0.0145447,0.0268863,0.0523170", \ - "0.00327749,0.00445809,0.00588302,0.00871047,0.0145452,0.0268870,0.0523171", \ - "0.00334398,0.00452192,0.00593831,0.00875012,0.0145633,0.0268903,0.0523163", \ - "0.00449840,0.00554807,0.00681044,0.00939218,0.0148809,0.0269602,0.0523238", \ - "0.00589868,0.00697150,0.00817768,0.0106027,0.0157471,0.0273478,0.0523927", \ - "0.00758723,0.00871699,0.00993580,0.0122707,0.0171005,0.0280236,0.0526381", \ - "0.00955548,0.0107631,0.0120416,0.0143801,0.0190344,0.0293197,0.0531218"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.00458609,0.00654035,0.00889723,0.0133618,0.0222144,0.0406416,0.0786638", \ - "0.00458495,0.00654046,0.00889754,0.0133621,0.0222168,0.0406368,0.0786654", \ - "0.00458682,0.00654196,0.00890033,0.0133686,0.0222226,0.0406358,0.0786644", \ - "0.00489428,0.00680104,0.00915031,0.0135846,0.0223404,0.0406753,0.0786702", \ - "0.00564063,0.00739560,0.00965650,0.0140371,0.0227327,0.0409100,0.0786940", \ - "0.00690698,0.00853931,0.0106950,0.0150176,0.0235854,0.0414421,0.0789489", \ - "0.00849620,0.0100783,0.0121523,0.0164288,0.0250271,0.0428314,0.0796635"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0159778,0.0184666,0.0210398,0.0254959,0.0334096,0.0483498,0.0778973", \ - "0.0168706,0.0193583,0.0219299,0.0263858,0.0343001,0.0492426,0.0787905", \ - "0.0208227,0.0232789,0.0258263,0.0302625,0.0381669,0.0531031,0.0826485", \ - "0.0273835,0.0300170,0.0326726,0.0372112,0.0451434,0.0600227,0.0894986", \ - "0.0344970,0.0374002,0.0402879,0.0451295,0.0533531,0.0683203,0.0977132", \ - "0.0424896,0.0456651,0.0488309,0.0540342,0.0626693,0.0778585,0.107213", \ - "0.0513419,0.0547950,0.0582549,0.0639007,0.0731043,0.0887722,0.118205"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0242953,0.0274085,0.0308254,0.0369454,0.0479737,0.0687602,0.109745", \ - "0.0246958,0.0278083,0.0312267,0.0373466,0.0483746,0.0691610,0.110145", \ - "0.0253070,0.0284177,0.0318284,0.0379351,0.0489510,0.0697290,0.110714", \ - "0.0266140,0.0297480,0.0331774,0.0393152,0.0503373,0.0710830,0.112028", \ - "0.0287847,0.0320025,0.0354970,0.0417379,0.0528735,0.0736663,0.114539", \ - "0.0307093,0.0341099,0.0377479,0.0442532,0.0558336,0.0770566,0.118002", \ - "0.0316192,0.0352560,0.0390936,0.0458968,0.0580145,0.0801457,0.121920"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.00347563,0.00463483,0.00603757,0.00883920,0.0146377,0.0269414,0.0523524", \ - "0.00347588,0.00463517,0.00603946,0.00884007,0.0146381,0.0269421,0.0523521", \ - "0.00350303,0.00467100,0.00607359,0.00886660,0.0146507,0.0269444,0.0523525", \ - "0.00456743,0.00559249,0.00683853,0.00940664,0.0149032,0.0270015,0.0523583", \ - "0.00588608,0.00693238,0.00812115,0.0105317,0.0157024,0.0273436,0.0524124", \ - "0.00742290,0.00852933,0.00970825,0.0120110,0.0168716,0.0279246,0.0526385", \ - "0.00923709,0.0104009,0.0116234,0.0138914,0.0185458,0.0289871,0.0530239"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.00458572,0.00654045,0.00889717,0.0133615,0.0222152,0.0406348,0.0786652", \ - "0.00458657,0.00654001,0.00889691,0.0133622,0.0222173,0.0406360,0.0786659", \ - "0.00458700,0.00654046,0.00889962,0.0133679,0.0222186,0.0406340,0.0786656", \ - "0.00481295,0.00674245,0.00909553,0.0135489,0.0223249,0.0406633,0.0786687", \ - "0.00541320,0.00727809,0.00961216,0.0140578,0.0227520,0.0408996,0.0786991", \ - "0.00651742,0.00829635,0.0106016,0.0151411,0.0239178,0.0417211,0.0789658", \ - "0.00796743,0.00967484,0.0119099,0.0164899,0.0255356,0.0436229,0.0800817"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.023427,4.235854,4.447647,4.601696,4.672304,4.689031,4.697210", \ - "4.039742,4.232537,4.432183,4.592515,4.663770,4.685992,4.693311", \ - "4.247309,4.427765,4.579947,4.720159,4.783534,4.801313,4.806906", \ - "4.992915,5.096709,5.206343,5.332514,5.339134,5.309360,5.291405", \ - "6.281443,6.319973,6.330687,6.404488,6.449187,6.385958,6.314036", \ - "8.198902,8.183373,8.124980,8.117779,8.132893,8.087137,7.986271", \ - "10.546710,10.545450,10.471380,10.352200,10.372860,10.408840,10.328320"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.215650,3.142222,3.183398,3.193324,3.066850,3.064207,2.932276", \ - "3.182965,3.152672,3.140191,3.171685,3.067481,2.973308,2.994065", \ - "3.293392,3.243406,3.241850,3.253402,3.084847,3.177214,2.967998", \ - "4.038923,3.963730,3.896349,3.873954,3.689408,3.414651,3.306647", \ - "5.524944,5.346628,5.221640,5.012961,4.794615,4.486363,3.994242", \ - "7.689825,7.360342,7.244871,7.042698,6.768707,6.340301,5.847176", \ - "10.398790,10.011260,9.869239,9.678435,9.345727,8.914354,8.158039"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.561260,4.767946,4.938891,5.113761,5.192233,5.224215,5.239073", \ - "4.528945,4.739266,4.928303,5.090101,5.179126,5.209370,5.223203", \ - "4.745701,4.923646,5.079211,5.225765,5.290369,5.317871,5.334816", \ - "5.518741,5.619336,5.768687,5.864635,5.873758,5.851012,5.841530", \ - "6.852764,6.880839,6.906616,6.977104,7.016941,6.950700,6.884608", \ - "8.851762,8.849118,8.816571,8.754025,8.728687,8.635397,8.534375", \ - "11.418840,11.383740,11.322120,11.179270,11.085500,10.932880,10.814880"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.212661,3.194618,3.156260,3.124630,3.128852,3.031493,3.108328", \ - "3.181127,3.158227,3.160923,3.164865,2.998632,3.071004,2.961727", \ - "3.191728,3.155811,3.137827,3.159918,2.976564,3.049301,2.789947", \ - "3.694405,3.615513,3.544876,3.537273,3.327791,3.102341,3.049225", \ - "4.861325,4.737570,4.650635,4.499938,4.380238,3.923857,3.904959", \ - "6.686873,6.494103,6.362246,6.223466,5.945370,5.643556,5.400470", \ - "8.923605,8.668483,8.612902,8.504749,8.271135,7.810254,7.574360"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.991413,5.196910,5.370950,5.556029,5.646683,5.683572,5.706739", \ - "4.961999,5.178913,5.345982,5.525639,5.614947,5.659287,5.680651", \ - "5.158189,5.306004,5.486032,5.651952,5.734739,5.770022,5.796828", \ - "5.964714,6.122969,6.232575,6.337194,6.353926,6.340457,6.338741", \ - "7.410327,7.446856,7.475989,7.554127,7.604948,7.522501,7.466228", \ - "9.586399,9.601829,9.507246,9.490338,9.452276,9.375322,9.265337", \ - "12.351980,12.372220,12.295990,12.167840,12.058420,11.882180,11.766890"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.211277,3.193696,3.201307,3.206058,3.042549,2.994237,2.992266", \ - "3.174390,3.132924,3.141022,3.172914,2.990183,3.052221,2.946827", \ - "3.124569,3.133153,3.105452,3.117966,3.095380,3.027231,2.844941", \ - "3.560475,3.478197,3.464958,3.436747,3.353709,3.276185,3.254342", \ - "4.662794,4.598482,4.521850,4.432729,4.287710,4.174710,3.862076", \ - "6.414483,6.316792,6.314201,6.288205,6.089384,5.693803,5.405396", \ - "8.651167,8.444868,8.419545,8.547836,8.622851,8.428465,8.065542"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND3_X2 - Cell Description : Combinational cell (AND3_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AND3_X2) { - - drive_strength : 2; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 185.954091; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 145.647500; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 185.882500; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 147.647312; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 254.072625; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 138.870190; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 188.405250; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 147.065727; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 280.041625; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.655937; - fall_capacitance : 1.655937; - rise_capacitance : 1.593308; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.657424; - fall_capacitance : 1.642954; - rise_capacitance : 1.657424; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.756952; - fall_capacitance : 1.616502; - rise_capacitance : 1.756952; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0127361,0.0153852,0.0178293,0.0221084,0.0298453,0.0446711,0.0741317", \ - "0.0137119,0.0163554,0.0187978,0.0230771,0.0308153,0.0456425,0.0751030", \ - "0.0175530,0.0201429,0.0225566,0.0268166,0.0345438,0.0493600,0.0788127", \ - "0.0223104,0.0251948,0.0277945,0.0322589,0.0401173,0.0548935,0.0842712", \ - "0.0267799,0.0299995,0.0328780,0.0377214,0.0459421,0.0608681,0.0901871", \ - "0.0307390,0.0343051,0.0375112,0.0428583,0.0517268,0.0671353,0.0965288", \ - "0.0340206,0.0379138,0.0414528,0.0473490,0.0570115,0.0732758,0.103238"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0203063,0.0237480,0.0271084,0.0331464,0.0440818,0.0647941,0.105709", \ - "0.0208115,0.0242518,0.0276118,0.0336490,0.0445842,0.0652974,0.106212", \ - "0.0235745,0.0270072,0.0303547,0.0363682,0.0472756,0.0679692,0.108874", \ - "0.0288720,0.0322632,0.0355829,0.0415860,0.0524300,0.0730099,0.113811", \ - "0.0346699,0.0381857,0.0415257,0.0475119,0.0583540,0.0789543,0.119611", \ - "0.0408254,0.0445984,0.0481205,0.0543203,0.0653448,0.0859780,0.126649", \ - "0.0470978,0.0511636,0.0549422,0.0615147,0.0729560,0.0940438,0.134912"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00286981,0.00420952,0.00564361,0.00849861,0.0144099,0.0268398,0.0522732", \ - "0.00287125,0.00421135,0.00564548,0.00849990,0.0144103,0.0268400,0.0522723", \ - "0.00307457,0.00434677,0.00574871,0.00856479,0.0144372,0.0268446,0.0522741", \ - "0.00428743,0.00547521,0.00673853,0.00931425,0.0148254,0.0269190,0.0522750", \ - "0.00579143,0.00701902,0.00824555,0.0106612,0.0157733,0.0273583,0.0523597", \ - "0.00762302,0.00894149,0.0102145,0.0126041,0.0174596,0.0283375,0.0527191", \ - "0.00975156,0.0111612,0.0125292,0.0149929,0.0197708,0.0301607,0.0537322"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00434962,0.00651970,0.00885548,0.0133033,0.0221793,0.0406456,0.0786657", \ - "0.00434898,0.00651885,0.00885577,0.0133033,0.0221800,0.0406496,0.0786745", \ - "0.00434928,0.00652255,0.00886155,0.0133127,0.0221851,0.0406487,0.0786723", \ - "0.00484408,0.00686621,0.00918500,0.0135988,0.0223438,0.0406913,0.0786676", \ - "0.00592061,0.00766577,0.00978799,0.0140638,0.0227652,0.0409836,0.0786997", \ - "0.00745396,0.00907287,0.0110470,0.0151357,0.0235700,0.0414863,0.0790023", \ - "0.00937128,0.0109242,0.0128160,0.0167908,0.0250427,0.0427407,0.0796723"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0140665,0.0167508,0.0192206,0.0235389,0.0313150,0.0461645,0.0756359", \ - "0.0149954,0.0176764,0.0201451,0.0244636,0.0322407,0.0470919,0.0765646", \ - "0.0189078,0.0215379,0.0239779,0.0282753,0.0360407,0.0508838,0.0803519", \ - "0.0244771,0.0273660,0.0299559,0.0344060,0.0422534,0.0570453,0.0864427", \ - "0.0301357,0.0333394,0.0361745,0.0409381,0.0490665,0.0639566,0.0932819", \ - "0.0358793,0.0394083,0.0425390,0.0477219,0.0563354,0.0714689,0.100752", \ - "0.0415757,0.0454312,0.0488754,0.0545446,0.0638137,0.0795331,0.108968"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0221960,0.0256374,0.0289977,0.0350360,0.0459723,0.0666852,0.107598", \ - "0.0226910,0.0261319,0.0294920,0.0355298,0.0464663,0.0671797,0.108094", \ - "0.0245656,0.0279982,0.0313475,0.0373678,0.0482846,0.0689855,0.109896", \ - "0.0282707,0.0317211,0.0350819,0.0411276,0.0520249,0.0726618,0.113510", \ - "0.0329756,0.0365106,0.0399088,0.0459899,0.0569317,0.0775967,0.118337", \ - "0.0378264,0.0415965,0.0451417,0.0514399,0.0626638,0.0835051,0.124276", \ - "0.0423323,0.0463752,0.0501453,0.0567621,0.0684435,0.0899296,0.131125"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00305792,0.00436561,0.00578095,0.00861155,0.0144869,0.0268807,0.0522960", \ - "0.00305885,0.00436671,0.00578217,0.00861243,0.0144873,0.0268807,0.0522968", \ - "0.00315618,0.00444781,0.00584936,0.00865714,0.0145068,0.0268846,0.0522956", \ - "0.00433317,0.00548482,0.00673164,0.00930579,0.0148265,0.0269490,0.0523032", \ - "0.00572530,0.00689872,0.00807940,0.0104784,0.0156367,0.0273151,0.0523739", \ - "0.00742674,0.00865204,0.00983388,0.0121354,0.0169528,0.0279532,0.0526175", \ - "0.00939359,0.0107012,0.0119397,0.0142327,0.0188615,0.0292183,0.0531078"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00434912,0.00651873,0.00885583,0.0133028,0.0221799,0.0406486,0.0786704", \ - "0.00434959,0.00651871,0.00885574,0.0133039,0.0221767,0.0406440,0.0786625", \ - "0.00435125,0.00652258,0.00886116,0.0133115,0.0221826,0.0406509,0.0786773", \ - "0.00468953,0.00679931,0.00913038,0.0135506,0.0223164,0.0406800,0.0786665", \ - "0.00547838,0.00740999,0.00965047,0.0140074,0.0227137,0.0409259,0.0786995", \ - "0.00677492,0.00856634,0.0107088,0.0150160,0.0236082,0.0414976,0.0789585", \ - "0.00839294,0.0101204,0.0121755,0.0164381,0.0250688,0.0429512,0.0797242"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0151199,0.0178448,0.0203438,0.0247034,0.0325211,0.0473989,0.0768868", \ - "0.0160114,0.0187342,0.0212324,0.0255917,0.0334103,0.0482884,0.0777796", \ - "0.0199781,0.0226572,0.0251300,0.0294685,0.0372758,0.0521472,0.0816363", \ - "0.0263061,0.0292001,0.0317855,0.0362294,0.0440705,0.0588807,0.0883010", \ - "0.0331836,0.0363745,0.0391874,0.0439116,0.0520026,0.0668852,0.0962234", \ - "0.0409087,0.0444060,0.0474833,0.0525730,0.0610722,0.0761295,0.105415", \ - "0.0494693,0.0532805,0.0566521,0.0621777,0.0712251,0.0867311,0.116088"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0230690,0.0265104,0.0298710,0.0359096,0.0468460,0.0675585,0.108472", \ - "0.0234452,0.0268857,0.0302469,0.0362851,0.0472220,0.0679340,0.108849", \ - "0.0240238,0.0274583,0.0308110,0.0368358,0.0477594,0.0684638,0.109374", \ - "0.0252885,0.0287517,0.0321248,0.0381828,0.0491201,0.0697926,0.110670", \ - "0.0273909,0.0309550,0.0344015,0.0405746,0.0516374,0.0723634,0.113167", \ - "0.0291808,0.0329485,0.0365418,0.0429930,0.0545253,0.0757298,0.116630", \ - "0.0299456,0.0339801,0.0377689,0.0445169,0.0565950,0.0787490,0.120543"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00325896,0.00454154,0.00593661,0.00873887,0.0145767,0.0269333,0.0523295", \ - "0.00325925,0.00454244,0.00593733,0.00874006,0.0145763,0.0269330,0.0523291", \ - "0.00329804,0.00458704,0.00597792,0.00876914,0.0145902,0.0269355,0.0523295", \ - "0.00440215,0.00552349,0.00675673,0.00932235,0.0148461,0.0269884,0.0523363", \ - "0.00570452,0.00684392,0.00800669,0.0104004,0.0155895,0.0273119,0.0523927", \ - "0.00725747,0.00844411,0.00958632,0.0118588,0.0167163,0.0278510,0.0526168", \ - "0.00907781,0.0103258,0.0115045,0.0137290,0.0183643,0.0288835,0.0530004"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00434878,0.00651960,0.00885576,0.0133030,0.0221798,0.0406506,0.0786777", \ - "0.00434990,0.00651890,0.00885573,0.0133039,0.0221773,0.0406475,0.0786665", \ - "0.00435200,0.00652180,0.00886014,0.0133099,0.0221842,0.0406507,0.0786721", \ - "0.00459850,0.00673638,0.00907125,0.0135076,0.0222995,0.0406809,0.0786733", \ - "0.00524784,0.00730801,0.00962814,0.0140536,0.0227517,0.0409230,0.0787012", \ - "0.00639198,0.00835012,0.0106448,0.0151774,0.0239824,0.0418044,0.0789762", \ - "0.00787056,0.00974595,0.0119681,0.0165447,0.0256341,0.0437844,0.0801727"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("7.717191,8.160179,8.470837,8.734908,8.850171,8.889702,8.895117", \ - "7.770816,8.150623,8.462064,8.724818,8.842081,8.884059,8.892450", \ - "8.213214,8.539214,8.782029,8.996340,9.098748,9.128744,9.136102", \ - "9.682313,9.835184,10.019270,10.233470,10.223810,10.171160,10.131150", \ - "12.330930,12.319270,12.290650,12.401840,12.458450,12.357130,12.229090", \ - "16.132330,16.080450,15.897210,15.830840,15.870240,15.783480,15.611320", \ - "20.780300,20.792460,20.612410,20.364750,20.350040,20.420590,20.314600"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.329628,6.261700,6.312218,6.304940,5.986403,6.191167,5.870383", \ - "6.276164,6.174718,6.167558,6.215261,5.982879,6.186068,5.913733", \ - "6.516485,6.438455,6.450193,6.439652,6.195213,5.986441,5.331380", \ - "8.086586,7.841741,7.722042,7.688221,7.220147,6.739574,6.882860", \ - "11.100500,10.656040,10.408150,10.121770,9.632056,9.321332,8.930422", \ - "15.408260,14.790890,14.468130,14.206720,13.638680,12.517550,11.808210", \ - "20.836870,20.101280,19.673350,19.309780,18.826360,17.556150,16.993620"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.722533,9.190112,9.483388,9.764041,9.900263,9.960560,9.984969", \ - "8.686088,9.152488,9.460654,9.730806,9.863553,9.928925,9.953991", \ - "9.193802,9.521217,9.764460,10.000470,10.108130,10.163790,10.188750", \ - "10.672190,10.902290,11.120890,11.283620,11.289130,11.255090,11.232010", \ - "13.464080,13.479170,13.489740,13.557620,13.596600,13.474690,13.355940", \ - "17.544200,17.473820,17.238660,17.137050,17.028250,16.870320,16.684420", \ - "22.659120,22.560630,22.322840,21.968770,21.764070,21.460160,21.261480"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.339291,6.235852,6.225998,6.346249,6.107373,6.219523,5.868599", \ - "6.257822,6.150259,6.239235,6.082676,6.054336,5.910525,5.898086", \ - "6.312251,6.183381,6.227067,6.245372,6.137862,6.130486,5.861876", \ - "7.345257,7.118324,7.138218,6.990259,6.707497,6.490126,6.177715", \ - "9.831746,9.482434,9.309122,9.117566,8.561043,8.188652,8.079833", \ - "13.426140,13.034060,12.832630,12.582650,12.073360,11.041420,9.943811", \ - "17.997880,17.449500,17.231910,17.012060,16.815750,16.210540,15.286880"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("9.580318,10.046290,10.349030,10.641700,10.798510,10.880650,10.925010", \ - "9.526633,9.995489,10.282660,10.590580,10.746500,10.818090,10.875180", \ - "9.971026,10.340430,10.601860,10.851420,10.993460,11.061970,11.116500", \ - "11.694310,11.877960,12.107360,12.252680,12.262290,12.228740,12.233760", \ - "14.621360,14.636140,14.638920,14.716440,14.773970,14.627380,14.528840", \ - "19.046930,18.953340,18.777290,18.646780,18.526540,18.360760,18.171390", \ - "24.662090,24.541590,24.287890,24.011240,23.769840,23.428380,23.223760"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.337235,6.259095,6.284244,6.235422,6.166551,5.911379,5.799456", \ - "6.257698,6.146728,6.200796,6.243504,6.230066,6.137971,5.891042", \ - "6.219445,6.126787,6.091352,6.042674,5.941131,5.862908,5.931024", \ - "7.065396,6.846267,6.788799,6.709066,6.535396,6.367990,5.427912", \ - "9.382866,9.165153,9.121761,8.865894,8.540658,8.336304,8.158421", \ - "13.039320,12.710820,12.683040,12.654750,12.307000,11.726280,11.001810", \ - "17.459280,17.024140,16.946410,17.091710,17.387170,16.757250,15.904220"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND3_X4 - Cell Description : Combinational cell (AND3_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AND3_X4) { - - drive_strength : 4; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 371.915745; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 291.302500; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 371.772500; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 295.300875; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 508.155250; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 277.749130; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 376.819127; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 294.140204; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 560.086375; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.203219; - fall_capacitance : 3.203219; - rise_capacitance : 3.063380; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.341825; - fall_capacitance : 3.298968; - rise_capacitance : 3.341825; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.640241; - fall_capacitance : 3.378472; - rise_capacitance : 3.640241; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 241.089000; - function : "((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0123711,0.0151708,0.0176018,0.0218657,0.0295982,0.0444503,0.0739773", \ - "0.0133535,0.0161476,0.0185770,0.0228413,0.0305752,0.0454300,0.0749578", \ - "0.0171850,0.0199218,0.0223228,0.0265678,0.0342903,0.0491337,0.0786529", \ - "0.0218127,0.0248634,0.0274494,0.0318971,0.0397484,0.0545507,0.0839949", \ - "0.0261713,0.0295757,0.0324395,0.0372606,0.0454605,0.0604019,0.0897902", \ - "0.0300219,0.0337876,0.0369757,0.0422976,0.0511376,0.0665563,0.0960175", \ - "0.0332011,0.0373084,0.0408303,0.0466994,0.0563229,0.0725807,0.102624"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0195719,0.0232053,0.0265561,0.0325804,0.0435086,0.0642478,0.105249", \ - "0.0200809,0.0237124,0.0270628,0.0330860,0.0440137,0.0647536,0.105754", \ - "0.0228767,0.0264974,0.0298324,0.0358289,0.0467269,0.0674464,0.108438", \ - "0.0280808,0.0316552,0.0349605,0.0409499,0.0517908,0.0723993,0.113286", \ - "0.0337791,0.0374882,0.0408152,0.0467822,0.0576145,0.0782431,0.118992", \ - "0.0398136,0.0437991,0.0473061,0.0534844,0.0645061,0.0851781,0.125943", \ - "0.0459523,0.0502492,0.0540117,0.0605546,0.0719858,0.0931238,0.134106"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00274251,0.00415431,0.00559236,0.00846283,0.0144103,0.0268958,0.0523983", \ - "0.00274323,0.00415639,0.00559432,0.00846320,0.0144106,0.0268953,0.0523985", \ - "0.00298218,0.00430576,0.00570518,0.00853214,0.0144381,0.0269006,0.0523990", \ - "0.00418717,0.00543168,0.00669238,0.00927496,0.0148233,0.0269737,0.0523992", \ - "0.00568927,0.00697684,0.00819461,0.0106107,0.0157494,0.0274033,0.0524844", \ - "0.00752367,0.00890213,0.0101683,0.0125529,0.0174220,0.0283773,0.0528483", \ - "0.00965806,0.0111290,0.0124850,0.0149410,0.0197202,0.0301849,0.0538778"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00416938,0.00644607,0.00878152,0.0132391,0.0221524,0.0406995,0.0788339", \ - "0.00416952,0.00644549,0.00878190,0.0132398,0.0221530,0.0407017,0.0788391", \ - "0.00416789,0.00644995,0.00878945,0.0132510,0.0221616,0.0407102,0.0788263", \ - "0.00469200,0.00679627,0.00911763,0.0135481,0.0223242,0.0407519,0.0788371", \ - "0.00579061,0.00759691,0.00971741,0.0140021,0.0227436,0.0410414,0.0788663", \ - "0.00734795,0.00901526,0.0109820,0.0150784,0.0235627,0.0415516,0.0791703", \ - "0.00929384,0.0108816,0.0127594,0.0167316,0.0250314,0.0428360,0.0798472"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0136661,0.0164992,0.0189544,0.0232550,0.0310240,0.0458996,0.0754377", \ - "0.0145984,0.0174274,0.0198814,0.0241822,0.0319523,0.0468285,0.0763690", \ - "0.0185137,0.0212869,0.0237123,0.0279917,0.0357496,0.0506167,0.0801517", \ - "0.0239682,0.0270187,0.0295926,0.0340232,0.0418623,0.0566775,0.0861426", \ - "0.0295280,0.0329133,0.0357257,0.0404575,0.0485629,0.0634623,0.0928580", \ - "0.0351761,0.0389038,0.0420069,0.0471558,0.0557311,0.0708592,0.100196", \ - "0.0407805,0.0448495,0.0482669,0.0539014,0.0631244,0.0788151,0.108314"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0214297,0.0250629,0.0284137,0.0344385,0.0453676,0.0661069,0.107109", \ - "0.0219194,0.0255517,0.0289018,0.0349258,0.0458549,0.0665955,0.107596", \ - "0.0237974,0.0274180,0.0307569,0.0367615,0.0476698,0.0683985,0.109392", \ - "0.0274460,0.0310881,0.0344374,0.0404690,0.0513629,0.0720255,0.112957", \ - "0.0320621,0.0358004,0.0391882,0.0452559,0.0561929,0.0768869,0.117715", \ - "0.0367831,0.0407715,0.0443037,0.0505954,0.0618242,0.0827102,0.123576", \ - "0.0411390,0.0454256,0.0491832,0.0557860,0.0674703,0.0890105,0.130314"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00292722,0.00430439,0.00572381,0.00856952,0.0144824,0.0269340,0.0524203", \ - "0.00292739,0.00430588,0.00572530,0.00857052,0.0144829,0.0269337,0.0524211", \ - "0.00304523,0.00439550,0.00579752,0.00861733,0.0145028,0.0269383,0.0524222", \ - "0.00422432,0.00542975,0.00667578,0.00926178,0.0148208,0.0270008,0.0524269", \ - "0.00561955,0.00683486,0.00801062,0.0104115,0.0156008,0.0273558,0.0524985", \ - "0.00730726,0.00858822,0.00976308,0.0120582,0.0168937,0.0279761,0.0527422", \ - "0.00928187,0.0106382,0.0118664,0.0141431,0.0187769,0.0292222,0.0532334"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00416937,0.00644568,0.00878118,0.0132394,0.0221530,0.0406995,0.0788355", \ - "0.00416849,0.00644555,0.00878172,0.0132394,0.0221522,0.0407030,0.0788257", \ - "0.00417178,0.00645082,0.00878894,0.0132487,0.0221585,0.0407012,0.0788371", \ - "0.00452934,0.00673539,0.00906559,0.0134996,0.0222973,0.0407444,0.0788385", \ - "0.00535344,0.00735940,0.00959821,0.0139602,0.0226963,0.0409914,0.0788721", \ - "0.00667402,0.00853058,0.0106650,0.0149792,0.0236106,0.0415694,0.0791172", \ - "0.00831581,0.0100945,0.0121392,0.0164036,0.0250779,0.0430470,0.0799105"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0147179,0.0175932,0.0200769,0.0244180,0.0322278,0.0471305,0.0766865", \ - "0.0156105,0.0184839,0.0209664,0.0253074,0.0331182,0.0480213,0.0775793", \ - "0.0195882,0.0224132,0.0248694,0.0291888,0.0369868,0.0518836,0.0814371", \ - "0.0258178,0.0288737,0.0314430,0.0358679,0.0437011,0.0585335,0.0880232", \ - "0.0326128,0.0359845,0.0387739,0.0434649,0.0515299,0.0664245,0.0958319", \ - "0.0402505,0.0439544,0.0470126,0.0520654,0.0605158,0.0755681,0.104917", \ - "0.0487324,0.0527601,0.0561087,0.0615891,0.0705860,0.0860729,0.115494"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0223062,0.0259393,0.0292903,0.0353153,0.0462449,0.0669854,0.107983", \ - "0.0226768,0.0263093,0.0296604,0.0356851,0.0466149,0.0673549,0.108356", \ - "0.0232622,0.0268860,0.0302269,0.0362373,0.0471528,0.0678850,0.108881", \ - "0.0245193,0.0281757,0.0315391,0.0375828,0.0485152,0.0692164,0.110178", \ - "0.0265790,0.0303495,0.0337890,0.0399569,0.0510192,0.0717774,0.112668", \ - "0.0282665,0.0322594,0.0358482,0.0422945,0.0538407,0.0751010,0.116091", \ - "0.0289142,0.0331920,0.0369739,0.0437155,0.0558070,0.0780289,0.119943"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00312765,0.00447656,0.00587491,0.00869338,0.0145688,0.0269850,0.0524518", \ - "0.00312774,0.00447799,0.00587603,0.00869411,0.0145690,0.0269851,0.0524544", \ - "0.00317442,0.00452775,0.00592063,0.00872474,0.0145822,0.0269878,0.0524531", \ - "0.00428969,0.00546617,0.00669947,0.00927684,0.0148375,0.0270386,0.0524576", \ - "0.00559269,0.00677363,0.00792973,0.0103251,0.0155510,0.0273518,0.0525163", \ - "0.00713552,0.00836972,0.00950308,0.0117700,0.0166514,0.0278737,0.0527389", \ - "0.00895266,0.0102477,0.0114184,0.0136285,0.0182779,0.0288871,0.0531229"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00416937,0.00644602,0.00878148,0.0132382,0.0221553,0.0407020,0.0788401", \ - "0.00416835,0.00644573,0.00878196,0.0132383,0.0221532,0.0406994,0.0788282", \ - "0.00417082,0.00644958,0.00878726,0.0132451,0.0221583,0.0407028,0.0788372", \ - "0.00443579,0.00667370,0.00900611,0.0134530,0.0222847,0.0407334,0.0788289", \ - "0.00511748,0.00726793,0.00958591,0.0140195,0.0227485,0.0409835,0.0788690", \ - "0.00629143,0.00832673,0.0106163,0.0151599,0.0240055,0.0418879,0.0791438", \ - "0.00779216,0.00973528,0.0119495,0.0165335,0.0256661,0.0439010,0.0803679"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("14.996590,15.870000,16.467110,16.924240,17.148990,17.233520,17.250480", \ - "15.008500,15.870010,16.423870,16.926030,17.145910,17.231970,17.256810", \ - "16.032420,16.693320,17.110230,17.488520,17.686890,17.743820,17.766380", \ - "19.043200,19.188420,19.592050,19.981020,19.961310,19.861620,19.794420", \ - "24.487160,24.298460,24.207700,24.330140,24.463700,24.282110,24.016060", \ - "32.030400,31.817570,31.452060,31.242520,31.292340,31.123730,30.810630", \ - "41.442730,41.257530,40.830800,40.239390,40.228570,40.418720,40.207420"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("12.243710,11.993110,11.961930,12.073950,12.104860,11.280470,11.180860", \ - "12.151800,11.951980,12.008720,11.932060,11.620810,11.283310,11.533950", \ - "12.644430,12.387330,12.323240,12.278750,12.351490,12.216250,10.664950", \ - "15.857320,15.367890,15.201300,14.959970,14.377870,12.966910,12.939760", \ - "21.974260,20.973300,20.436570,19.973800,18.964360,18.341860,17.697850", \ - "30.394790,29.204050,28.603520,27.941140,26.807480,24.874540,23.672450", \ - "41.541130,39.775780,38.966560,38.192430,37.330350,35.302070,33.344800"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("17.024030,17.927030,18.481740,18.995400,19.275880,19.383330,19.436910", \ - "17.057870,17.815340,18.411680,18.943190,19.208490,19.326030,19.381690", \ - "17.941330,18.609870,19.085790,19.494800,19.712320,19.816650,19.864210", \ - "21.070220,21.459430,21.784900,22.121350,22.097970,22.007190,21.973980", \ - "26.641560,26.633160,26.521630,26.641720,26.708410,26.489960,26.257450", \ - "34.801500,34.579960,34.178350,33.843520,33.554900,33.258560,32.911830", \ - "44.858500,44.707200,44.167990,43.446370,43.028130,42.443390,42.050260"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("12.260990,12.026190,11.985330,12.209950,12.147430,11.727530,11.539100", \ - "12.089690,11.928490,11.833340,11.994100,11.974110,11.651300,11.054830", \ - "12.215380,11.945890,11.900180,11.848410,11.952780,11.764630,11.367260", \ - "14.385940,13.911580,13.727400,13.616400,13.078010,12.926790,12.167700", \ - "19.416810,18.574120,18.163180,17.638680,17.131960,16.357370,16.069250", \ - "26.705290,25.669130,25.242540,24.744770,23.958480,21.914830,20.568300", \ - "35.852980,34.522260,34.151890,33.870600,33.374590,32.190090,30.830750"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("18.795000,19.673620,20.237330,20.766410,21.075940,21.235080,21.341410", \ - "18.791660,19.508160,20.121880,20.675470,20.961690,21.130000,21.235080", \ - "19.529700,20.242340,20.771980,21.217040,21.486940,21.627370,21.740470", \ - "23.058720,23.372200,23.801220,24.020730,24.028110,23.981280,23.992220", \ - "28.913050,28.943570,28.849480,29.007470,29.062680,28.803050,28.611150", \ - "37.660030,37.484410,37.169380,36.870730,36.582210,36.266930,35.913890", \ - "48.898420,48.695370,48.271600,47.587980,47.054400,46.389990,46.024790"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("12.260490,12.084240,11.985330,12.087640,11.856950,11.400970,11.090750", \ - "12.093460,11.888320,11.836430,11.779420,11.969710,10.926930,11.544220", \ - "12.065810,11.874920,11.751390,11.940780,11.865900,10.989940,11.595970", \ - "13.652610,13.364610,13.267810,13.192050,12.725530,12.060000,11.744390", \ - "18.507970,18.104840,17.775530,17.516620,17.010420,15.992870,16.172270", \ - "25.728860,25.107990,24.904500,24.822110,24.118310,22.885440,21.944680", \ - "34.650650,33.859990,33.555740,34.084550,34.072480,33.448700,32.690370"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND4_X1 - Cell Description : Combinational cell (AND4_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AND4_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 93.057138; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 72.816875; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 92.559250; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 73.868262; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 112.426125; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 73.059125; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 93.547375; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 74.078414; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 146.066000; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 69.383564; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 89.214016; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 70.399956; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 113.667694; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 69.273186; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 93.260349; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 73.217138; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 172.076875; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.897605; - fall_capacitance : 0.897605; - rise_capacitance : 0.851664; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.917803; - fall_capacitance : 0.917803; - rise_capacitance : 0.902422; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.926048; - fall_capacitance : 0.906720; - rise_capacitance : 0.926048; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.965367; - fall_capacitance : 0.884690; - rise_capacitance : 0.965367; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0145206,0.0169857,0.0195566,0.0240178,0.0319352,0.0468425,0.0762876", \ - "0.0155231,0.0179840,0.0205533,0.0250142,0.0329324,0.0478403,0.0772864", \ - "0.0193756,0.0217922,0.0243325,0.0287741,0.0366850,0.0515870,0.0810283", \ - "0.0244448,0.0271049,0.0298189,0.0344512,0.0424897,0.0573614,0.0867280", \ - "0.0286938,0.0316703,0.0346864,0.0397237,0.0481985,0.0632936,0.0925999", \ - "0.0319652,0.0352624,0.0386197,0.0441836,0.0533432,0.0689878,0.0983831", \ - "0.0340402,0.0376358,0.0413396,0.0474741,0.0574445,0.0740389,0.104006"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0279530,0.0313112,0.0349740,0.0415590,0.0532677,0.0746172,0.115682", \ - "0.0284150,0.0317724,0.0354345,0.0420196,0.0537288,0.0750786,0.116141", \ - "0.0309174,0.0342746,0.0379365,0.0445176,0.0562194,0.0775624,0.118624", \ - "0.0370084,0.0403384,0.0439654,0.0504827,0.0620974,0.0833450,0.124322", \ - "0.0445686,0.0479006,0.0514995,0.0579747,0.0696021,0.0908530,0.131684", \ - "0.0530784,0.0566164,0.0603301,0.0668802,0.0785048,0.0997300,0.140598", \ - "0.0623821,0.0661681,0.0701220,0.0769601,0.0888723,0.110409,0.151340"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00328919,0.00451021,0.00596420,0.00881486,0.0146258,0.0268777,0.0522084", \ - "0.00328979,0.00451101,0.00596550,0.00881490,0.0146257,0.0268777,0.0522092", \ - "0.00337807,0.00459014,0.00603271,0.00886276,0.0146490,0.0268829,0.0522096", \ - "0.00462443,0.00572718,0.00702116,0.00961909,0.0150483,0.0269766,0.0522163", \ - "0.00620299,0.00735773,0.00862211,0.0110840,0.0161589,0.0275030,0.0523029", \ - "0.00811189,0.00936063,0.0107003,0.0131395,0.0179850,0.0286032,0.0526941", \ - "0.0103348,0.0116702,0.0131060,0.0156450,0.0204469,0.0305664,0.0537218"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00577875,0.00775504,0.0102040,0.0148682,0.0237264,0.0416368,0.0789699", \ - "0.00577913,0.00775446,0.0102054,0.0148666,0.0237261,0.0416402,0.0789745", \ - "0.00577791,0.00775402,0.0102051,0.0148672,0.0237287,0.0416365,0.0789836", \ - "0.00595896,0.00789306,0.0103184,0.0149639,0.0238050,0.0416824,0.0789761", \ - "0.00682019,0.00850561,0.0107862,0.0153861,0.0242449,0.0419487,0.0790313", \ - "0.00822169,0.00974956,0.0118117,0.0161510,0.0247931,0.0424432,0.0793462", \ - "0.0100485,0.0115188,0.0134699,0.0176001,0.0260569,0.0434186,0.0799453"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0158863,0.0183845,0.0209814,0.0254830,0.0334456,0.0483817,0.0778400", \ - "0.0168616,0.0193590,0.0219553,0.0264565,0.0344195,0.0493566,0.0788179", \ - "0.0206988,0.0231627,0.0257343,0.0302162,0.0381719,0.0531053,0.0825627", \ - "0.0264501,0.0291181,0.0318251,0.0364447,0.0444732,0.0593659,0.0887552", \ - "0.0316908,0.0346651,0.0376523,0.0426314,0.0510373,0.0660999,0.0954129", \ - "0.0363875,0.0396735,0.0429815,0.0484223,0.0573852,0.0728129,0.102117", \ - "0.0403785,0.0439692,0.0476050,0.0535723,0.0632488,0.0793960,0.108936"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0307072,0.0340655,0.0377280,0.0443133,0.0560219,0.0773710,0.118434", \ - "0.0311892,0.0345467,0.0382093,0.0447944,0.0565037,0.0778529,0.118915", \ - "0.0330847,0.0364401,0.0400996,0.0466790,0.0583805,0.0797235,0.120787", \ - "0.0375919,0.0409602,0.0446066,0.0511568,0.0628108,0.0840970,0.125105", \ - "0.0439484,0.0473439,0.0510055,0.0575772,0.0692824,0.0905896,0.131499", \ - "0.0515402,0.0550752,0.0588265,0.0654873,0.0772807,0.0986390,0.139587", \ - "0.0596828,0.0634689,0.0674229,0.0743278,0.0864516,0.108240,0.149375"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00348105,0.00467499,0.00610957,0.00893851,0.0147172,0.0269285,0.0522367", \ - "0.00348142,0.00467719,0.00611080,0.00893973,0.0147171,0.0269284,0.0522356", \ - "0.00352624,0.00472571,0.00615595,0.00897301,0.0147341,0.0269324,0.0522379", \ - "0.00469000,0.00575708,0.00703162,0.00961891,0.0150631,0.0270153,0.0522435", \ - "0.00620177,0.00730938,0.00853609,0.0109709,0.0160685,0.0274757,0.0523237", \ - "0.00802709,0.00921448,0.0104594,0.0128128,0.0176170,0.0283109,0.0526161", \ - "0.0101437,0.0114024,0.0127345,0.0151073,0.0197619,0.0298273,0.0532052"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00577907,0.00775437,0.0102050,0.0148666,0.0237273,0.0416349,0.0789817", \ - "0.00577910,0.00775425,0.0102060,0.0148671,0.0237271,0.0416365,0.0789694", \ - "0.00577805,0.00775489,0.0102051,0.0148690,0.0237296,0.0416399,0.0789685", \ - "0.00599375,0.00791776,0.0103318,0.0149646,0.0237982,0.0416743,0.0789783", \ - "0.00653038,0.00836654,0.0107344,0.0153631,0.0242007,0.0419113,0.0790282", \ - "0.00768387,0.00936416,0.0115811,0.0160799,0.0247813,0.0423935,0.0792889", \ - "0.00928269,0.0108884,0.0129862,0.0173616,0.0260488,0.0434761,0.0799068"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0170801,0.0196154,0.0222400,0.0267804,0.0347864,0.0497542,0.0792319", \ - "0.0179756,0.0205100,0.0231336,0.0276741,0.0356807,0.0506489,0.0801268", \ - "0.0217847,0.0242909,0.0268963,0.0314197,0.0394179,0.0543839,0.0838604", \ - "0.0281325,0.0308030,0.0335070,0.0381203,0.0461428,0.0610549,0.0904650", \ - "0.0343456,0.0373155,0.0402871,0.0452353,0.0536062,0.0686558,0.0979752", \ - "0.0405360,0.0438132,0.0470859,0.0524609,0.0613372,0.0766985,0.106005", \ - "0.0466654,0.0502313,0.0538286,0.0597007,0.0692113,0.0851751,0.114630"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0324054,0.0357640,0.0394262,0.0460115,0.0577206,0.0790707,0.120134", \ - "0.0328446,0.0362025,0.0398650,0.0464503,0.0581596,0.0795090,0.120570", \ - "0.0337374,0.0370940,0.0407551,0.0473372,0.0590404,0.0803854,0.121450", \ - "0.0359258,0.0393052,0.0429691,0.0495388,0.0612165,0.0825258,0.123551", \ - "0.0398422,0.0432606,0.0469530,0.0535708,0.0653213,0.0866899,0.127651", \ - "0.0450957,0.0486528,0.0524486,0.0592164,0.0711742,0.0926994,0.133729", \ - "0.0505281,0.0543099,0.0583022,0.0653247,0.0777354,0.0999443,0.141403"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00367620,0.00484909,0.00626336,0.00906823,0.0148122,0.0269860,0.0522724", \ - "0.00367605,0.00485043,0.00626507,0.00906879,0.0148124,0.0269865,0.0522720", \ - "0.00369477,0.00487843,0.00629292,0.00909147,0.0148242,0.0269892,0.0522732", \ - "0.00475439,0.00580546,0.00706209,0.00963458,0.0150884,0.0270576,0.0522786", \ - "0.00623131,0.00731027,0.00851183,0.0109226,0.0160337,0.0274713,0.0523442", \ - "0.00796951,0.00911674,0.0103271,0.0126367,0.0174370,0.0282240,0.0526186", \ - "0.00998692,0.0112043,0.0124782,0.0147759,0.0193970,0.0295598,0.0531208"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00577959,0.00775372,0.0102060,0.0148676,0.0237271,0.0416388,0.0789731", \ - "0.00577868,0.00775407,0.0102058,0.0148682,0.0237275,0.0416349,0.0789681", \ - "0.00577904,0.00775452,0.0102063,0.0148686,0.0237307,0.0416371,0.0789674", \ - "0.00597728,0.00792112,0.0103395,0.0149677,0.0237964,0.0416689,0.0789750", \ - "0.00638715,0.00828895,0.0106919,0.0153365,0.0241693,0.0419079,0.0790367", \ - "0.00742190,0.00922370,0.0115444,0.0161547,0.0249282,0.0424736,0.0792997", \ - "0.00894001,0.0106644,0.0128937,0.0174772,0.0264132,0.0439524,0.0801093"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0179208,0.0205021,0.0231685,0.0277675,0.0358390,0.0508547,0.0803561", \ - "0.0187936,0.0213746,0.0240402,0.0286391,0.0367108,0.0517269,0.0812287", \ - "0.0226136,0.0251742,0.0278202,0.0324013,0.0404653,0.0554790,0.0849829", \ - "0.0295051,0.0321898,0.0349022,0.0395248,0.0475727,0.0625180,0.0919496", \ - "0.0367041,0.0396751,0.0426453,0.0475915,0.0559590,0.0710205,0.100349", \ - "0.0445396,0.0478037,0.0510633,0.0564055,0.0652056,0.0805108,0.109818", \ - "0.0531451,0.0566874,0.0602540,0.0660405,0.0754051,0.0912084,0.120595"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0332535,0.0366112,0.0402738,0.0468591,0.0585688,0.0799190,0.120981", \ - "0.0336181,0.0369756,0.0406384,0.0472236,0.0589334,0.0802839,0.121345", \ - "0.0337548,0.0371123,0.0407739,0.0473568,0.0590627,0.0804098,0.121473", \ - "0.0338857,0.0372589,0.0409264,0.0475071,0.0591998,0.0805242,0.121562", \ - "0.0350612,0.0384943,0.0422030,0.0488520,0.0606342,0.0820361,0.123036", \ - "0.0369722,0.0405580,0.0444074,0.0512855,0.0634637,0.0852491,0.126384", \ - "0.0384611,0.0422600,0.0463001,0.0534735,0.0662439,0.0890629,0.131080"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00389291,0.00505874,0.00645956,0.00924315,0.0149473,0.0270677,0.0523178", \ - "0.00389297,0.00505851,0.00645889,0.00924303,0.0149469,0.0270672,0.0523184", \ - "0.00388261,0.00506327,0.00646938,0.00925221,0.0149523,0.0270687,0.0523191", \ - "0.00484635,0.00588840,0.00713700,0.00970021,0.0151589,0.0271207,0.0523238", \ - "0.00628083,0.00735517,0.00853545,0.0109397,0.0160503,0.0274950,0.0523754", \ - "0.00793656,0.00905954,0.0102416,0.0125239,0.0173325,0.0281844,0.0526294", \ - "0.00986154,0.0110426,0.0122675,0.0145158,0.0191131,0.0293594,0.0530708"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00578054,0.00775378,0.0102058,0.0148683,0.0237262,0.0416405,0.0789675", \ - "0.00577839,0.00775444,0.0102048,0.0148682,0.0237284,0.0416400,0.0789800", \ - "0.00577956,0.00775473,0.0102060,0.0148681,0.0237277,0.0416387,0.0789677", \ - "0.00592909,0.00788903,0.0103201,0.0149555,0.0237847,0.0416597,0.0789856", \ - "0.00632454,0.00825531,0.0106735,0.0153253,0.0241424,0.0418900,0.0790274", \ - "0.00728613,0.00919215,0.0116001,0.0163269,0.0252116,0.0426894,0.0793367", \ - "0.00862071,0.0104972,0.0128926,0.0177235,0.0270020,0.0447664,0.0805538"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.279101,4.477999,4.689441,4.870682,4.960199,4.983252,4.988910", \ - "4.277765,4.513368,4.698316,4.878947,4.968058,4.992056,4.995516", \ - "4.469053,4.655410,4.826524,4.999405,5.073622,5.090322,5.095221", \ - "5.127979,5.256624,5.413424,5.538589,5.555788,5.523177,5.498482", \ - "6.333166,6.383851,6.377556,6.478307,6.542668,6.471437,6.386326", \ - "8.109549,8.108972,8.082620,8.036471,8.066406,7.999745,7.874086", \ - "10.304880,10.331270,10.275080,10.136870,10.111410,10.086790,9.983648"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.911444,3.790813,3.682299,3.642246,3.631462,3.411231,3.305184", \ - "3.886452,3.770271,3.675019,3.648585,3.514446,3.425110,3.334190", \ - "3.948536,3.810866,3.736800,3.646278,3.599150,3.550254,3.292451", \ - "4.501067,4.403979,4.276242,4.217317,4.019435,3.755438,3.711390", \ - "5.872615,5.631629,5.450483,5.256531,5.091549,4.759632,4.486954", \ - "7.863743,7.541337,7.264877,7.002483,6.610305,6.129725,5.914302", \ - "10.415750,10.057560,9.817204,9.506391,9.172325,8.499051,7.660408"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.787452,4.992197,5.208744,5.404317,5.495848,5.523830,5.536310", \ - "4.783391,4.993219,5.205130,5.398450,5.496285,5.525733,5.540265", \ - "4.946643,5.132780,5.329358,5.499791,5.584020,5.612042,5.627170", \ - "5.635480,5.798250,5.929240,6.059156,6.084678,6.055384,6.041025", \ - "6.889302,6.928007,6.954925,7.049799,7.097085,7.016055,6.939236", \ - "8.727706,8.735548,8.688667,8.643739,8.618732,8.523017,8.390891", \ - "11.061890,11.093240,10.987840,10.823290,10.755060,10.581010,10.422260"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.915147,3.763346,3.729583,3.672517,3.550150,3.515373,3.252472", \ - "3.914109,3.762706,3.700877,3.659285,3.573076,3.390881,2.874697", \ - "3.878882,3.695919,3.663985,3.615191,3.434314,3.294816,3.212271", \ - "4.264165,4.113591,3.999922,3.946270,3.758849,3.551699,3.409542", \ - "5.274450,5.003884,4.885954,4.744938,4.596143,4.231788,4.077693", \ - "6.975047,6.666314,6.518335,6.290624,5.894343,5.677913,5.294865", \ - "9.202204,8.880967,8.666249,8.363618,8.019182,7.590802,6.845571"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.259556,5.472292,5.686146,5.876858,5.986647,6.026603,6.049774", \ - "5.255619,5.444027,5.651415,5.850096,5.962102,6.004840,6.026316", \ - "5.380879,5.586275,5.750178,5.931676,6.035679,6.073051,6.100338", \ - "6.125120,6.289646,6.401367,6.519208,6.552533,6.535120,6.528791", \ - "7.429504,7.473275,7.492755,7.588612,7.646497,7.551614,7.477989", \ - "9.374182,9.403569,9.352170,9.323897,9.269450,9.173972,9.039575", \ - "11.947270,11.932170,11.830620,11.679370,11.591670,11.389590,11.236340"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.935153,3.803722,3.742274,3.674104,3.562751,3.516392,3.276972", \ - "3.914372,3.772590,3.694360,3.605216,3.575298,3.515926,3.419823", \ - "3.859004,3.712363,3.651930,3.585574,3.416085,3.369132,3.180904", \ - "4.110214,3.988395,3.881336,3.794184,3.658979,3.454311,3.367271", \ - "5.067321,4.858308,4.712415,4.593029,4.315940,4.234061,4.043596", \ - "6.738083,6.487123,6.332688,6.206381,5.934656,5.561512,5.282798", \ - "8.933250,8.757653,8.500765,8.390897,8.192388,7.790275,7.405523"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.654704,5.883671,6.073318,6.284671,6.416383,6.466613,6.501202", \ - "5.638823,5.847529,6.036600,6.260828,6.379558,6.435588,6.470196", \ - "5.737239,5.949418,6.121447,6.331847,6.453981,6.504717,6.536325", \ - "6.527706,6.712342,6.824086,6.954940,6.992351,6.987026,6.988487", \ - "7.940437,7.991185,8.044842,8.118507,8.172036,8.078133,8.014349", \ - "10.032280,10.088230,10.047860,10.007060,9.956826,9.858343,9.714877", \ - "12.842490,12.889810,12.799150,12.662570,12.528690,12.308250,12.137940"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.931896,3.801667,3.706605,3.696832,3.601877,3.353689,3.442465", \ - "3.864009,3.765354,3.685271,3.637118,3.563823,3.338953,3.360967", \ - "3.845068,3.700901,3.638377,3.568180,3.450297,3.247842,3.197512", \ - "4.007631,3.878610,3.829427,3.721158,3.638270,3.453939,3.277043", \ - "4.933580,4.780671,4.621603,4.518862,4.392494,4.082853,4.083968", \ - "6.628008,6.468265,6.304768,6.202272,6.009219,5.540417,5.331219", \ - "8.847674,8.643278,8.554987,8.440711,8.403969,8.087893,7.164767"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND4_X2 - Cell Description : Combinational cell (AND4_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AND4_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 186.816544; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 145.760000; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 185.737500; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 147.886575; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 225.967000; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 146.247796; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 187.736775; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 148.311238; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 294.087875; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 138.804779; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 178.960190; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 140.860364; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 228.478745; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 138.577801; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 187.151846; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 146.562717; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 347.933500; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.644852; - fall_capacitance : 1.644852; - rise_capacitance : 1.529280; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.654465; - fall_capacitance : 1.654465; - rise_capacitance : 1.601682; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.667929; - fall_capacitance : 1.627436; - rise_capacitance : 1.667929; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.741736; - fall_capacitance : 1.601096; - rise_capacitance : 1.741736; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.392000; - function : "(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0133904,0.0160983,0.0185932,0.0229481,0.0307585,0.0456068,0.0750348", \ - "0.0143941,0.0170978,0.0195914,0.0239464,0.0317576,0.0466070,0.0760352", \ - "0.0182285,0.0208768,0.0233416,0.0276776,0.0354800,0.0503216,0.0797436", \ - "0.0228671,0.0258119,0.0284616,0.0330020,0.0409422,0.0557495,0.0850975", \ - "0.0266658,0.0299652,0.0329094,0.0378508,0.0461979,0.0611963,0.0904848", \ - "0.0294094,0.0330733,0.0363574,0.0418212,0.0508460,0.0663709,0.0957475", \ - "0.0309270,0.0349136,0.0385393,0.0445669,0.0544121,0.0708437,0.100787"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0258768,0.0295927,0.0331950,0.0396866,0.0512626,0.0724853,0.113489", \ - "0.0263272,0.0300418,0.0336437,0.0401352,0.0517113,0.0729343,0.113938", \ - "0.0288850,0.0326003,0.0362013,0.0426878,0.0542530,0.0754694,0.116473", \ - "0.0349617,0.0386368,0.0422047,0.0486288,0.0601079,0.0812284,0.122140", \ - "0.0423636,0.0460525,0.0495722,0.0559491,0.0674342,0.0885701,0.129346", \ - "0.0507651,0.0546670,0.0583077,0.0647553,0.0762663,0.0973802,0.138211", \ - "0.0599841,0.0641619,0.0680378,0.0747717,0.0865765,0.108051,0.148978"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00299559,0.00434911,0.00579368,0.00864614,0.0145094,0.0268508,0.0522229", \ - "0.00299622,0.00435148,0.00579476,0.00864655,0.0145096,0.0268510,0.0522226", \ - "0.00314315,0.00446012,0.00588098,0.00870465,0.0145355,0.0268562,0.0522231", \ - "0.00441119,0.00562150,0.00689756,0.00947986,0.0149445,0.0269440,0.0522251", \ - "0.00599014,0.00725099,0.00849595,0.0109256,0.0160038,0.0274427,0.0523183", \ - "0.00790491,0.00926737,0.0105691,0.0129788,0.0178054,0.0285169,0.0527124", \ - "0.0101259,0.0115775,0.0129778,0.0154730,0.0202396,0.0304322,0.0537636"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00540936,0.00759992,0.0100365,0.0146658,0.0235215,0.0415094,0.0789737", \ - "0.00540713,0.00760058,0.0100363,0.0146650,0.0235204,0.0415121,0.0789668", \ - "0.00540660,0.00759917,0.0100356,0.0146661,0.0235234,0.0415177,0.0789635", \ - "0.00562701,0.00778073,0.0101942,0.0147943,0.0236173,0.0415619,0.0789831", \ - "0.00653669,0.00836326,0.0106258,0.0151948,0.0240592,0.0418444,0.0790311", \ - "0.00797880,0.00963299,0.0116678,0.0159801,0.0246240,0.0423421,0.0793535", \ - "0.00985214,0.0114286,0.0133438,0.0174450,0.0259202,0.0433956,0.0799858"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0147569,0.0175002,0.0200218,0.0244168,0.0322691,0.0471435,0.0765871", \ - "0.0157304,0.0184727,0.0209936,0.0253882,0.0332413,0.0481175,0.0775601", \ - "0.0195713,0.0222669,0.0247610,0.0291363,0.0369803,0.0518495,0.0812893", \ - "0.0249509,0.0279025,0.0305428,0.0350692,0.0429987,0.0578235,0.0871886", \ - "0.0297870,0.0330822,0.0359916,0.0408645,0.0491321,0.0640934,0.0933854", \ - "0.0340196,0.0376646,0.0408907,0.0462215,0.0550387,0.0703303,0.0995915", \ - "0.0374995,0.0414794,0.0450397,0.0508885,0.0604126,0.0763772,0.105857"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0286605,0.0323765,0.0359785,0.0424699,0.0540460,0.0752687,0.116272", \ - "0.0291175,0.0328324,0.0364343,0.0429264,0.0545023,0.0757260,0.116729", \ - "0.0309974,0.0347089,0.0383087,0.0447932,0.0563588,0.0775755,0.118578", \ - "0.0354570,0.0391883,0.0427859,0.0492471,0.0607704,0.0819281,0.122873", \ - "0.0417207,0.0454746,0.0490734,0.0555479,0.0671199,0.0883196,0.129171", \ - "0.0491771,0.0531095,0.0567993,0.0633749,0.0750566,0.0963120,0.137222", \ - "0.0571942,0.0613906,0.0652809,0.0721066,0.0841404,0.105886,0.147017"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00318730,0.00451027,0.00593554,0.00876511,0.0145942,0.0268970,0.0522452", \ - "0.00318776,0.00451166,0.00593660,0.00876599,0.0145944,0.0268971,0.0522460", \ - "0.00325830,0.00457785,0.00599341,0.00880571,0.0146130,0.0269009,0.0522475", \ - "0.00447007,0.00564201,0.00690063,0.00947771,0.0149536,0.0269783,0.0522546", \ - "0.00597842,0.00718553,0.00838346,0.0107868,0.0158940,0.0274090,0.0523351", \ - "0.00781247,0.00909076,0.0102983,0.0126169,0.0173997,0.0281883,0.0526189", \ - "0.00991059,0.0112783,0.0125600,0.0148898,0.0195072,0.0296526,0.0532041"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00540585,0.00760009,0.0100367,0.0146654,0.0235205,0.0415107,0.0789630", \ - "0.00540922,0.00760052,0.0100366,0.0146658,0.0235210,0.0415106,0.0789740", \ - "0.00540820,0.00760035,0.0100370,0.0146677,0.0235238,0.0415118,0.0789796", \ - "0.00565993,0.00780964,0.0102082,0.0147965,0.0236096,0.0415505,0.0789830", \ - "0.00624058,0.00825290,0.0105996,0.0151913,0.0240239,0.0418133,0.0790211", \ - "0.00745806,0.00928686,0.0114798,0.0159499,0.0246401,0.0423074,0.0793014", \ - "0.00910191,0.0108391,0.0129115,0.0172639,0.0259598,0.0434756,0.0799609"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0159270,0.0187126,0.0212618,0.0256966,0.0335918,0.0484969,0.0779541", \ - "0.0168207,0.0196047,0.0221536,0.0265883,0.0344840,0.0493895,0.0788482", \ - "0.0206426,0.0233901,0.0259160,0.0303325,0.0382189,0.0531194,0.0825764", \ - "0.0266819,0.0296405,0.0322768,0.0367962,0.0447185,0.0595604,0.0889493", \ - "0.0325407,0.0358289,0.0387263,0.0435645,0.0517961,0.0667445,0.0960441", \ - "0.0383375,0.0419686,0.0451686,0.0504294,0.0591393,0.0743518,0.103613", \ - "0.0440080,0.0479743,0.0514980,0.0572402,0.0665831,0.0823623,0.111760"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0303705,0.0340865,0.0376885,0.0441801,0.0557567,0.0769799,0.117982", \ - "0.0307834,0.0344985,0.0381004,0.0445919,0.0561686,0.0773923,0.118397", \ - "0.0316412,0.0353551,0.0389558,0.0454423,0.0570113,0.0782303,0.119231", \ - "0.0337899,0.0375298,0.0411432,0.0476285,0.0591779,0.0803620,0.121328", \ - "0.0377190,0.0415084,0.0451420,0.0516715,0.0632925,0.0845469,0.125449", \ - "0.0428728,0.0468356,0.0505801,0.0572776,0.0691464,0.0905843,0.131571", \ - "0.0481572,0.0523747,0.0563161,0.0632717,0.0756208,0.0978000,0.139280"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00338452,0.00468421,0.00608768,0.00889241,0.0146850,0.0269511,0.0522826", \ - "0.00338459,0.00468370,0.00608862,0.00889294,0.0146854,0.0269512,0.0522819", \ - "0.00341295,0.00472089,0.00612465,0.00891956,0.0146982,0.0269539,0.0522822", \ - "0.00453513,0.00568592,0.00692873,0.00949357,0.0149736,0.0270175,0.0522875", \ - "0.00599987,0.00717348,0.00834379,0.0107299,0.0158548,0.0274061,0.0523552", \ - "0.00774127,0.00898192,0.0101505,0.0124213,0.0172118,0.0281004,0.0526211", \ - "0.00976582,0.0110771,0.0122961,0.0145461,0.0191362,0.0293865,0.0531155"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00540660,0.00760020,0.0100361,0.0146661,0.0235199,0.0415151,0.0789711", \ - "0.00540794,0.00760025,0.0100364,0.0146659,0.0235219,0.0415153,0.0789663", \ - "0.00540933,0.00760073,0.0100366,0.0146670,0.0235218,0.0415179,0.0789718", \ - "0.00563105,0.00779893,0.0102069,0.0147971,0.0236053,0.0415521,0.0789863", \ - "0.00609284,0.00818623,0.0105704,0.0151781,0.0239963,0.0418036,0.0790190", \ - "0.00719815,0.00917211,0.0114746,0.0160624,0.0248278,0.0424143,0.0793182", \ - "0.00876737,0.0106475,0.0128559,0.0174237,0.0263770,0.0439958,0.0801795"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0167266,0.0195686,0.0221611,0.0266570,0.0346187,0.0495685,0.0790503", \ - "0.0175984,0.0204392,0.0230313,0.0275272,0.0354892,0.0504406,0.0799231", \ - "0.0214474,0.0242554,0.0268243,0.0313004,0.0392519,0.0541987,0.0836806", \ - "0.0280948,0.0310659,0.0337124,0.0382458,0.0461859,0.0610595,0.0904728", \ - "0.0349928,0.0382831,0.0411748,0.0460065,0.0542298,0.0691818,0.0984857", \ - "0.0425125,0.0461419,0.0493204,0.0545242,0.0631562,0.0783159,0.107580", \ - "0.0507510,0.0547094,0.0581835,0.0638318,0.0730210,0.0886462,0.117973"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0312207,0.0349364,0.0385385,0.0450302,0.0566072,0.0778302,0.118832", \ - "0.0315534,0.0352686,0.0388707,0.0453623,0.0569391,0.0781627,0.119167", \ - "0.0316451,0.0353590,0.0389602,0.0454488,0.0570207,0.0782418,0.119243", \ - "0.0317368,0.0354721,0.0390857,0.0455822,0.0571465,0.0783468,0.119324", \ - "0.0329591,0.0367668,0.0404242,0.0469932,0.0586634,0.0799494,0.120894", \ - "0.0347715,0.0387593,0.0425658,0.0493958,0.0615115,0.0832417,0.124341", \ - "0.0361187,0.0403558,0.0443534,0.0514826,0.0642170,0.0870526,0.129126"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00360884,0.00489733,0.00628730,0.00906950,0.0148190,0.0270302,0.0523273", \ - "0.00360758,0.00489686,0.00628707,0.00906953,0.0148186,0.0270296,0.0523270", \ - "0.00359577,0.00490238,0.00629732,0.00907925,0.0148232,0.0270307,0.0523272", \ - "0.00463180,0.00577475,0.00700554,0.00956058,0.0150389,0.0270783,0.0523305", \ - "0.00605457,0.00721185,0.00836800,0.0107456,0.0158733,0.0274306,0.0523836", \ - "0.00771354,0.00891873,0.0100642,0.0123094,0.0171096,0.0280623,0.0526311", \ - "0.00963943,0.0109188,0.0120948,0.0142904,0.0188598,0.0291933,0.0530611"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00540712,0.00760049,0.0100363,0.0146654,0.0235199,0.0415092,0.0789789", \ - "0.00540632,0.00760084,0.0100364,0.0146658,0.0235193,0.0415102,0.0789713", \ - "0.00540923,0.00760048,0.0100364,0.0146662,0.0235216,0.0415107,0.0789702", \ - "0.00557742,0.00775290,0.0101750,0.0147788,0.0235934,0.0415443,0.0789678", \ - "0.00602747,0.00816050,0.0105649,0.0151855,0.0239807,0.0417906,0.0790212", \ - "0.00705091,0.00915342,0.0115521,0.0162706,0.0251630,0.0426713,0.0793561", \ - "0.00843518,0.0104963,0.0128771,0.0177092,0.0270260,0.0448805,0.0806541"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("8.037211,8.468399,8.766454,9.066601,9.199205,9.239863,9.257535", \ - "8.039283,8.472676,8.777511,9.081597,9.225855,9.265293,9.275216", \ - "8.510105,8.821171,9.089010,9.328448,9.440528,9.481835,9.490434", \ - "9.761950,10.010950,10.206850,10.414400,10.423690,10.367400,10.322280", \ - "12.315240,12.272970,12.266190,12.350280,12.420180,12.295110,12.142880", \ - "15.842120,15.757500,15.628580,15.464640,15.472190,15.361510,15.152790", \ - "20.256150,20.163380,19.985410,19.568540,19.523520,19.541730,19.372230"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("7.485367,7.219915,7.074689,6.981426,6.846507,6.486187,5.621001", \ - "7.482886,7.182544,7.113984,7.007059,6.869268,6.432094,6.579591", \ - "7.623923,7.264762,7.125518,7.013480,6.910004,6.742963,6.624012", \ - "8.877945,8.529966,8.314361,8.176041,7.849264,7.518088,7.430251", \ - "11.484470,11.023540,10.654920,10.369430,9.889488,9.269411,8.733665", \ - "15.492520,14.889270,14.445030,13.904870,13.341270,12.251180,11.833980", \ - "20.784420,19.943300,19.428250,18.799400,17.996940,16.887330,15.485320"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("9.034776,9.497776,9.810451,10.125800,10.276390,10.337910,10.359410", \ - "9.066020,9.500410,9.809301,10.121780,10.277070,10.338010,10.359900", \ - "9.391177,9.799289,10.050090,10.334240,10.471590,10.520390,10.550940", \ - "10.805940,11.083210,11.301380,11.459510,11.482810,11.432360,11.399220", \ - "13.411430,13.415670,13.393670,13.456090,13.510410,13.372040,13.224220", \ - "17.120860,17.061270,16.867380,16.689160,16.575800,16.377650,16.155540", \ - "21.732490,21.741930,21.491040,21.079040,20.836540,20.486200,20.217210"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("7.547190,7.230355,7.067204,7.000124,6.885820,6.641740,6.729380", \ - "7.419273,7.198059,7.083616,6.973452,6.787766,6.396197,6.240407", \ - "7.399182,7.113576,7.031639,6.970422,6.734019,6.661151,6.300319", \ - "8.195514,7.913605,7.786176,7.655629,7.424125,6.812306,7.016703", \ - "10.300240,9.917623,9.550648,9.201843,8.764807,8.519478,8.063449", \ - "13.860580,13.230370,12.883400,12.439950,11.814920,11.202970,9.995442", \ - "18.327120,17.628130,17.123250,16.730640,16.167530,15.250500,14.437570"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("10.030180,10.420660,10.770290,11.075860,11.263260,11.339400,11.381780", \ - "9.985109,10.402340,10.694630,11.024390,11.213160,11.290690,11.333500", \ - "10.242680,10.636100,10.912960,11.214780,11.364730,11.442370,11.490670", \ - "11.809340,11.987770,12.237610,12.403220,12.422060,12.386840,12.378750", \ - "14.455040,14.498080,14.496150,14.554350,14.614840,14.455110,14.317300", \ - "18.474660,18.360990,18.204480,18.073130,17.916860,17.706070,17.472070", \ - "23.475690,23.402450,23.223380,22.840130,22.544520,22.152630,21.883790"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("7.547458,7.252989,7.129024,7.053541,6.894310,6.495202,5.615417", \ - "7.412673,7.151836,7.010737,6.930038,6.703119,6.405392,6.586618", \ - "7.328424,7.079833,6.956476,6.948276,6.794986,6.397632,6.510148", \ - "7.968168,7.639355,7.524701,7.339463,7.126061,6.973146,6.587597", \ - "9.930169,9.496559,9.191220,8.889053,8.713278,7.804651,7.270569", \ - "13.458450,12.922760,12.605350,12.316370,11.802920,10.714200,10.224340", \ - "17.938760,17.403310,16.988870,16.727040,16.131160,15.309410,14.117580"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("10.771520,11.160860,11.555470,11.894330,12.118590,12.218260,12.283780", \ - "10.714810,11.098510,11.480190,11.841140,12.052160,12.149870,12.224950", \ - "10.938870,11.371320,11.656080,12.005180,12.197340,12.293050,12.376550", \ - "12.659480,12.883690,13.097940,13.263660,13.308190,13.303300,13.308540", \ - "15.463330,15.516970,15.576790,15.652210,15.699240,15.519580,15.398270", \ - "19.840780,19.819850,19.652850,19.506320,19.332960,19.114630,18.854980", \ - "25.486850,25.392840,25.146610,24.813090,24.496200,24.062640,23.747460"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("7.586030,7.245752,7.170879,7.098125,6.844994,6.857042,6.689376", \ - "7.469149,7.180511,7.008569,6.934813,6.864065,6.418981,6.624280", \ - "7.306639,7.042497,6.934495,6.893499,6.790483,6.680538,6.567624", \ - "7.866500,7.485536,7.303488,7.296280,6.993660,6.848218,6.521608", \ - "9.798240,9.339180,9.119757,8.870710,8.615468,8.091636,7.902818", \ - "13.207090,12.808920,12.570290,12.303240,11.955280,10.918050,10.613230", \ - "17.659540,17.231530,16.997500,16.764770,16.792910,16.048510,14.975210"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND4_X4 - Cell Description : Combinational cell (AND4_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AND4_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 373.640970; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 291.528750; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 371.482500; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 295.781900; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 451.941500; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 292.504338; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 375.480912; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 296.629988; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 588.184125; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 277.617060; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 357.929130; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 281.729483; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 456.966118; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 277.164350; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 374.312312; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 293.134178; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 695.868875; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.214208; - fall_capacitance : 3.214208; - rise_capacitance : 2.973961; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.313524; - fall_capacitance : 3.313524; - rise_capacitance : 3.253514; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.488283; - fall_capacitance : 3.435043; - rise_capacitance : 3.488283; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.824021; - fall_capacitance : 3.567615; - rise_capacitance : 3.824021; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 241.089000; - function : "(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0129640,0.0158415,0.0183307,0.0226773,0.0304917,0.0453846,0.0749236", \ - "0.0139738,0.0168455,0.0193336,0.0236806,0.0314962,0.0463904,0.0759290", \ - "0.0178016,0.0206144,0.0230731,0.0274006,0.0352066,0.0500918,0.0796228", \ - "0.0222894,0.0254238,0.0280697,0.0326034,0.0405489,0.0553990,0.0848549", \ - "0.0259588,0.0294682,0.0324091,0.0373383,0.0456782,0.0607085,0.0901054", \ - "0.0285872,0.0324740,0.0357534,0.0412052,0.0502129,0.0657617,0.0952442", \ - "0.0299940,0.0342259,0.0378473,0.0438613,0.0536912,0.0701171,0.100168"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0248465,0.0287806,0.0323741,0.0388500,0.0504043,0.0716311,0.112726", \ - "0.0252965,0.0292299,0.0328231,0.0392991,0.0508536,0.0720810,0.113178", \ - "0.0278857,0.0318180,0.0354100,0.0418784,0.0534220,0.0746424,0.115736", \ - "0.0339154,0.0377972,0.0413606,0.0477705,0.0592269,0.0803503,0.121352", \ - "0.0412054,0.0451112,0.0486154,0.0549694,0.0664282,0.0875734,0.128446", \ - "0.0494761,0.0536165,0.0572455,0.0636707,0.0751596,0.0962967,0.137232", \ - "0.0585418,0.0629743,0.0668422,0.0735505,0.0853403,0.106843,0.147885"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00283900,0.00427021,0.00571908,0.00858897,0.0144960,0.0269147,0.0523951", \ - "0.00283909,0.00427160,0.00572054,0.00859010,0.0144965,0.0269140,0.0523944", \ - "0.00302078,0.00439307,0.00581464,0.00865119,0.0145235,0.0269197,0.0523966", \ - "0.00428254,0.00555807,0.00683355,0.00942626,0.0149329,0.0270050,0.0523985", \ - "0.00586239,0.00719029,0.00842892,0.0108626,0.0159684,0.0274945,0.0524909", \ - "0.00778184,0.00920677,0.0105019,0.0129082,0.0177559,0.0285559,0.0528879", \ - "0.0100076,0.0115205,0.0129119,0.0154027,0.0201731,0.0304499,0.0539505"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00516854,0.00746205,0.00989602,0.0145246,0.0234007,0.0414759,0.0790726", \ - "0.00516822,0.00746194,0.00989598,0.0145248,0.0234034,0.0414804,0.0790760", \ - "0.00516780,0.00746053,0.00989577,0.0145265,0.0234035,0.0414749,0.0790725", \ - "0.00540552,0.00765924,0.0100738,0.0146713,0.0235065,0.0415202,0.0790965", \ - "0.00635332,0.00823593,0.0104910,0.0150580,0.0239480,0.0418180,0.0791355", \ - "0.00782929,0.00952172,0.0115440,0.0158570,0.0245261,0.0423181,0.0794608", \ - "0.00972734,0.0113353,0.0132320,0.0173279,0.0258345,0.0433932,0.0801133"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0142780,0.0171915,0.0197048,0.0240888,0.0319424,0.0468604,0.0764136", \ - "0.0152540,0.0181651,0.0206775,0.0250616,0.0329162,0.0478347,0.0773887", \ - "0.0191010,0.0219584,0.0244428,0.0288070,0.0366517,0.0515643,0.0811131", \ - "0.0243401,0.0274805,0.0301134,0.0346309,0.0425626,0.0574284,0.0869038", \ - "0.0290516,0.0325545,0.0354546,0.0403089,0.0485616,0.0635502,0.0929520", \ - "0.0331607,0.0370357,0.0402531,0.0455684,0.0543575,0.0696533,0.0990174", \ - "0.0365317,0.0407584,0.0443094,0.0501353,0.0596220,0.0755739,0.105151"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0275978,0.0315320,0.0351253,0.0416011,0.0531555,0.0743837,0.115479", \ - "0.0280474,0.0319807,0.0355740,0.0420502,0.0536048,0.0748326,0.115926", \ - "0.0299306,0.0338608,0.0374504,0.0439186,0.0554627,0.0766832,0.117774", \ - "0.0343543,0.0383063,0.0419009,0.0483493,0.0598506,0.0810125,0.122046", \ - "0.0405480,0.0445271,0.0481161,0.0545764,0.0661234,0.0873345,0.128271", \ - "0.0478763,0.0520555,0.0557387,0.0623034,0.0739791,0.0952475,0.136252", \ - "0.0557316,0.0601898,0.0640863,0.0708972,0.0829321,0.104711,0.145955"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00302487,0.00442231,0.00585319,0.00870094,0.0145747,0.0269571,0.0524181", \ - "0.00302485,0.00442401,0.00585434,0.00870164,0.0145748,0.0269571,0.0524184", \ - "0.00311136,0.00449750,0.00591635,0.00874431,0.0145942,0.0269615,0.0524198", \ - "0.00433751,0.00556907,0.00682695,0.00941904,0.0149351,0.0270369,0.0524263", \ - "0.00584025,0.00710572,0.00829736,0.0107061,0.0158460,0.0274541,0.0525087", \ - "0.00766540,0.00900271,0.0102096,0.0125217,0.0173263,0.0282107,0.0527884", \ - "0.00976904,0.0111921,0.0124634,0.0147831,0.0194146,0.0296516,0.0533732"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00516820,0.00746197,0.00989654,0.0145258,0.0234017,0.0414770,0.0790732", \ - "0.00516710,0.00746224,0.00989599,0.0145252,0.0234012,0.0414744,0.0790804", \ - "0.00516904,0.00746255,0.00989717,0.0145285,0.0234039,0.0414826,0.0790819", \ - "0.00543607,0.00769044,0.0100890,0.0146728,0.0235007,0.0415151,0.0790911", \ - "0.00605231,0.00813626,0.0104756,0.0150649,0.0239147,0.0417875,0.0791396", \ - "0.00730470,0.00919221,0.0113755,0.0158432,0.0245527,0.0422815,0.0794180", \ - "0.00897144,0.0107628,0.0128191,0.0171689,0.0258935,0.0434825,0.0800908"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0154513,0.0184086,0.0209497,0.0253733,0.0332690,0.0482156,0.0777861", \ - "0.0163447,0.0193008,0.0218411,0.0262646,0.0341609,0.0491087,0.0786799", \ - "0.0201788,0.0230910,0.0256069,0.0300110,0.0378971,0.0528399,0.0824082", \ - "0.0261033,0.0292489,0.0318775,0.0363874,0.0443117,0.0591941,0.0886918", \ - "0.0318521,0.0353529,0.0382383,0.0430586,0.0512699,0.0662438,0.0956534", \ - "0.0375450,0.0414123,0.0446057,0.0498364,0.0585130,0.0737344,0.103098", \ - "0.0431213,0.0473525,0.0508531,0.0565615,0.0658654,0.0816348,0.111134"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0293075,0.0332420,0.0368355,0.0433113,0.0548666,0.0760946,0.117190", \ - "0.0297126,0.0336466,0.0372400,0.0437159,0.0552715,0.0764995,0.117596", \ - "0.0305736,0.0345054,0.0380964,0.0445659,0.0561120,0.0773348,0.118425", \ - "0.0327051,0.0366656,0.0402731,0.0467481,0.0582787,0.0794674,0.120521", \ - "0.0366164,0.0406359,0.0442635,0.0507785,0.0623806,0.0836393,0.124634", \ - "0.0416689,0.0458831,0.0496247,0.0563164,0.0681816,0.0896420,0.130720", \ - "0.0468046,0.0513022,0.0552374,0.0621917,0.0745423,0.0967695,0.138360"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00322424,0.00459589,0.00600442,0.00882593,0.0146640,0.0270103,0.0524531", \ - "0.00322397,0.00459661,0.00600589,0.00882662,0.0146643,0.0270105,0.0524527", \ - "0.00325632,0.00463748,0.00604356,0.00885475,0.0146770,0.0270132,0.0524523", \ - "0.00440720,0.00561061,0.00685377,0.00943404,0.0149540,0.0270752,0.0524593", \ - "0.00586489,0.00708679,0.00825303,0.0106447,0.0158062,0.0274519,0.0525265", \ - "0.00760263,0.00888970,0.0100498,0.0123168,0.0171345,0.0281234,0.0527892", \ - "0.00961715,0.0109777,0.0121852,0.0144327,0.0190378,0.0293848,0.0532830"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00516615,0.00746186,0.00989599,0.0145255,0.0234033,0.0414743,0.0790845", \ - "0.00516898,0.00746201,0.00989608,0.0145251,0.0234034,0.0414775,0.0790714", \ - "0.00516605,0.00746268,0.00989696,0.0145276,0.0234056,0.0414823,0.0790770", \ - "0.00540311,0.00767294,0.0100823,0.0146729,0.0234932,0.0415116,0.0790846", \ - "0.00590101,0.00807792,0.0104546,0.0150600,0.0238927,0.0417770,0.0791261", \ - "0.00704861,0.00909166,0.0113840,0.0159714,0.0247543,0.0424003,0.0794351", \ - "0.00864765,0.0105847,0.0127803,0.0173466,0.0263251,0.0440178,0.0803116"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0162381,0.0192564,0.0218410,0.0263260,0.0342881,0.0492803,0.0788721", \ - "0.0171092,0.0201272,0.0227110,0.0271962,0.0351589,0.0501514,0.0797448", \ - "0.0209765,0.0239533,0.0265133,0.0309769,0.0389275,0.0539163,0.0835084", \ - "0.0275268,0.0306886,0.0333268,0.0378508,0.0457933,0.0607062,0.0902288", \ - "0.0343300,0.0378342,0.0407164,0.0455239,0.0537279,0.0687083,0.0981230", \ - "0.0417630,0.0456358,0.0487913,0.0539660,0.0625672,0.0777382,0.107109", \ - "0.0499178,0.0541341,0.0575848,0.0632011,0.0723533,0.0879738,0.117402"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0301631,0.0340974,0.0376908,0.0441668,0.0557221,0.0769502,0.118044", \ - "0.0304896,0.0344230,0.0380164,0.0444925,0.0560479,0.0772770,0.118372", \ - "0.0305839,0.0345168,0.0381087,0.0445808,0.0561302,0.0773542,0.118448", \ - "0.0306804,0.0346344,0.0382400,0.0447263,0.0562725,0.0774781,0.118545", \ - "0.0319165,0.0359558,0.0396084,0.0461688,0.0578255,0.0791193,0.120152", \ - "0.0336598,0.0379075,0.0417132,0.0485380,0.0606611,0.0824301,0.123624", \ - "0.0349001,0.0394122,0.0434132,0.0505417,0.0632923,0.0861938,0.128390"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00344850,0.00480812,0.00620273,0.00900103,0.0147951,0.0270877,0.0524992", \ - "0.00344759,0.00480652,0.00620225,0.00900042,0.0147949,0.0270869,0.0524983", \ - "0.00343134,0.00481345,0.00621408,0.00901106,0.0147991,0.0270882,0.0524982", \ - "0.00449853,0.00569612,0.00693201,0.00949939,0.0150166,0.0271345,0.0525010", \ - "0.00590677,0.00712491,0.00827391,0.0106567,0.0158231,0.0274755,0.0525559", \ - "0.00757588,0.00883040,0.00996051,0.0122041,0.0170320,0.0280854,0.0528005", \ - "0.00948950,0.0108109,0.0119843,0.0141741,0.0187578,0.0291921,0.0532266"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00516810,0.00746198,0.00989608,0.0145251,0.0234001,0.0414747,0.0790798", \ - "0.00516843,0.00746204,0.00989609,0.0145253,0.0234007,0.0414752,0.0790812", \ - "0.00516724,0.00746278,0.00989649,0.0145265,0.0234027,0.0414803,0.0790885", \ - "0.00534604,0.00762216,0.0100451,0.0146501,0.0234781,0.0415086,0.0790870", \ - "0.00583099,0.00805673,0.0104569,0.0150761,0.0238840,0.0417642,0.0791252", \ - "0.00688417,0.00907354,0.0114679,0.0161927,0.0251114,0.0426754,0.0794663", \ - "0.00829709,0.0104354,0.0128087,0.0176460,0.0269985,0.0449271,0.0807998"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("15.306100,16.163080,16.777980,17.319340,17.564100,17.642300,17.656010", \ - "15.345620,16.206360,16.812690,17.343810,17.604920,17.687480,17.704860", \ - "16.284490,16.967540,17.421480,17.856950,18.075340,18.142920,18.155330", \ - "19.123680,19.377290,19.701180,20.081920,20.058070,19.924020,19.857630", \ - "24.009270,24.007830,23.853030,23.966690,24.064350,23.835710,23.527900", \ - "31.141900,30.979140,30.598670,30.243840,30.188230,29.956380,29.574930", \ - "40.039680,39.715980,39.307400,38.583690,38.271740,38.314420,37.997400"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("14.750260,14.065260,13.690500,13.775900,13.636380,12.523290,11.806710", \ - "14.630290,13.822460,13.633710,13.654080,13.251550,12.519020,12.571010", \ - "14.876600,14.145040,13.907480,13.859320,13.356010,13.061530,11.938260", \ - "17.488030,16.671300,16.362740,15.882820,15.336980,14.321320,14.198740", \ - "22.985840,21.677250,21.002350,20.377900,19.480370,18.037740,17.456750", \ - "30.985180,29.376030,28.400620,27.602560,26.153080,24.900890,22.071270", \ - "41.361500,39.551790,38.490760,37.493500,36.181380,34.208060,31.373710"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("17.474330,18.301830,18.880010,19.428800,19.717660,19.832720,19.882520", \ - "17.457670,18.254350,18.870480,19.426670,19.718340,19.830050,19.888920", \ - "18.194110,18.891290,19.407770,19.887670,20.117830,20.218220,20.280780", \ - "21.161140,21.474200,21.847560,22.174170,22.147700,22.053250,22.005440", \ - "26.218260,26.162440,26.110000,26.149670,26.232670,25.957710,25.678400", \ - "33.726510,33.482960,33.090230,32.691170,32.360490,31.964570,31.561860", \ - "43.091300,42.809950,42.347320,41.483920,40.870070,40.192670,39.666340"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("14.760620,13.969060,13.794480,13.664420,13.145150,13.091970,13.103320", \ - "14.603300,13.887150,13.704470,13.532950,13.351450,12.445340,12.774000", \ - "14.546360,13.748890,13.530810,13.515290,13.346390,12.535790,10.556370", \ - "16.213130,15.392200,15.176470,14.936080,14.106170,13.560630,13.621350", \ - "20.480340,19.414700,18.846840,18.352200,17.606310,16.582750,15.961950", \ - "27.499370,26.158100,25.329050,24.650870,23.407250,22.202940,21.033110", \ - "36.316660,34.873500,33.900130,33.105290,32.004640,30.312500,27.417330"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("19.381640,20.160320,20.751300,21.356900,21.690670,21.838900,21.937110", \ - "19.255730,20.089130,20.665290,21.268690,21.576450,21.739940,21.838030", \ - "19.855430,20.578680,21.110310,21.632300,21.927440,22.059450,22.163400", \ - "23.076030,23.360100,23.784850,24.031760,24.053150,23.986030,23.954330", \ - "28.401020,28.398960,28.319870,28.376300,28.452280,28.129580,27.865970", \ - "36.414850,36.241280,35.772980,35.408680,35.036250,34.635990,34.186030", \ - "46.415810,46.260310,45.819150,44.968770,44.312540,43.529440,42.999610"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("14.631750,13.978850,13.814480,13.756190,13.116170,12.985890,12.706540", \ - "14.601540,13.817090,13.573340,13.566090,13.018680,12.549720,11.499370", \ - "14.254150,13.650770,13.462330,13.398230,12.809020,12.559690,12.008280", \ - "15.679430,14.901750,14.592440,14.206880,14.005880,13.209970,11.637550", \ - "19.749870,18.687050,18.063740,17.599360,16.987400,16.084610,14.739010", \ - "26.669560,25.583910,24.782110,24.037210,23.002070,21.559630,21.164310", \ - "35.737720,34.483440,33.701520,33.250020,32.177510,31.036800,28.454630"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("20.921810,21.746870,22.353780,23.024010,23.395730,23.602600,23.751700", \ - "20.759700,21.609650,22.188610,22.881610,23.264220,23.482270,23.618550", \ - "21.322690,22.104240,22.625640,23.217510,23.579080,23.783570,23.946750", \ - "24.576850,25.099700,25.489560,25.786420,25.833580,25.819730,25.834140", \ - "30.397750,30.508390,30.501630,30.594710,30.644380,30.284730,30.044850", \ - "39.223830,39.051300,38.636190,38.311200,37.916300,37.479500,36.983530", \ - "50.467230,50.309080,49.786030,48.941750,48.248890,47.374290,46.781510"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("14.755840,14.008080,13.878660,13.762330,13.533650,12.807300,10.941790", \ - "14.563900,13.847280,13.605190,13.565090,12.846390,13.243770,10.987290", \ - "14.383200,13.591830,13.410290,13.300080,12.627640,12.233070,12.572840", \ - "15.345700,14.600320,14.357670,14.170390,13.762810,12.529460,12.583390", \ - "19.314360,18.395190,17.878230,17.412660,16.994800,16.152670,15.975710", \ - "26.160910,25.250320,24.875880,24.303440,23.737270,22.025560,20.183670", \ - "35.118190,34.134910,33.700230,33.496140,33.292720,32.444820,30.410520"); - } - } - } - - } - - - /****************************************************************************************** - Module : ANTENNA_X1 - Cell Description : Physical cell (ANTENNA_X1) - *******************************************************************************************/ - - cell (ANTENNA_X1) { - - drive_strength : 1; - - area : 0.266000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 0.000000; - - leakage_power () { - when : "!A"; - value : 0.000000; - } - leakage_power () { - when : "A"; - value : 0.000000; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.023612; - fall_capacitance : 0.023612; - rise_capacitance : 0.023080; - - internal_power () { - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.000000,0.000000,0.000000,0.000000,0.000000,0.000000,0.000000"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.000000,0.000000,0.000000,0.000000,0.000000,0.000000,0.000000"); - } - - } - } - - } - - - /****************************************************************************************** - Module : AOI21_X1 - Cell Description : Combinational cell (AOI21_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI21_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 87.019315; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 48.165625; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 116.338625; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 50.656998; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 135.869750; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 48.335763; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 88.018350; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 88.012300; - } - leakage_power () { - when : "A & B1 & B2"; - value : 120.757114; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.661731; - fall_capacitance : 1.589325; - rise_capacitance : 1.661731; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.672026; - fall_capacitance : 1.488849; - rise_capacitance : 1.672026; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.719502; - fall_capacitance : 1.436721; - rise_capacitance : 1.719502; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.329600; - function : "!(A | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.005830,0.006307,0.007174,0.008856,0.012111,0.018459,0.030970", \ - "0.006572,0.007047,0.007910,0.009590,0.012844,0.019191,0.031701", \ - "0.008754,0.009374,0.010458,0.012434,0.015869,0.022103,0.034531", \ - "0.009367,0.010216,0.011703,0.014432,0.019229,0.027325,0.040462", \ - "0.008004,0.009071,0.010962,0.014428,0.020523,0.030809,0.047657", \ - "0.004489,0.005766,0.008044,0.012220,0.019592,0.032068,0.052411", \ - "-0.001291,0.000185,0.002826,0.007677,0.016281,0.030893,0.054769"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.010670,0.011574,0.013228,0.016489,0.022932,0.035720,0.061213", \ - "0.011529,0.012436,0.014099,0.017383,0.023870,0.036713,0.062255", \ - "0.014704,0.015709,0.017435,0.020698,0.027134,0.039965,0.065539", \ - "0.018955,0.020207,0.022419,0.026507,0.033824,0.046723,0.072058", \ - "0.025579,0.027036,0.029590,0.034284,0.042717,0.057578,0.083408", \ - "0.034094,0.035816,0.038814,0.044252,0.053822,0.070476,0.099371", \ - "0.044265,0.046261,0.049743,0.056044,0.066987,0.085583,0.117408"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.003711,0.004082,0.004767,0.006126,0.008829,0.014217,0.024973", \ - "0.003662,0.004050,0.004752,0.006123,0.008829,0.014217,0.024970", \ - "0.005648,0.005964,0.006513,0.007512,0.009480,0.014257,0.024972", \ - "0.009241,0.009660,0.010395,0.011735,0.014101,0.018157,0.026121", \ - "0.014016,0.014581,0.015532,0.017233,0.020149,0.025112,0.033448", \ - "0.020033,0.020761,0.021964,0.024107,0.027686,0.033536,0.043212", \ - "0.027368,0.028271,0.029755,0.032389,0.036753,0.043667,0.054674"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.005732,0.006516,0.007968,0.010884,0.016704,0.028304,0.051481", \ - "0.005742,0.006521,0.007973,0.010887,0.016701,0.028318,0.051483", \ - "0.006727,0.007310,0.008473,0.011041,0.016711,0.028323,0.051486", \ - "0.009910,0.010550,0.011745,0.014103,0.018588,0.028664,0.051497", \ - "0.013940,0.014547,0.015718,0.018157,0.023108,0.032527,0.052363", \ - "0.019185,0.019778,0.020933,0.023344,0.028341,0.038427,0.057395", \ - "0.025677,0.026261,0.027432,0.029894,0.034946,0.045175,0.065329"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.004851,0.005357,0.006266,0.008005,0.011324,0.017726,0.030273", \ - "0.005643,0.006126,0.007009,0.008727,0.012035,0.018433,0.030977", \ - "0.007454,0.008139,0.009322,0.011437,0.015042,0.021325,0.033784", \ - "0.007371,0.008313,0.009949,0.012889,0.017957,0.026329,0.039681", \ - "0.005131,0.006309,0.008376,0.012119,0.018594,0.029318,0.046551", \ - "0.000602,0.002010,0.004469,0.008949,0.016777,0.029827,0.050774", \ - "-0.006324,-0.004709,-0.001880,0.003283,0.012367,0.027648,0.052327"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.012197,0.013360,0.015490,0.019696,0.028024,0.044584,0.077619", \ - "0.012988,0.014149,0.016289,0.020526,0.028912,0.045541,0.078637", \ - "0.015882,0.017074,0.019203,0.023374,0.031688,0.048299,0.081436", \ - "0.019877,0.021290,0.023807,0.028550,0.037335,0.053682,0.086516", \ - "0.026579,0.028175,0.030971,0.036164,0.045730,0.063302,0.095791", \ - "0.035458,0.037315,0.040542,0.046415,0.056890,0.075724,0.110046", \ - "0.046192,0.048336,0.052055,0.058784,0.070533,0.090918,0.127333"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.003379,0.003761,0.004456,0.005817,0.008503,0.013858,0.024584", \ - "0.003294,0.003661,0.004381,0.005784,0.008494,0.013858,0.024584", \ - "0.005622,0.005925,0.006463,0.007441,0.009297,0.013925,0.024585", \ - "0.009394,0.009813,0.010517,0.011805,0.014099,0.018072,0.025857", \ - "0.014498,0.015035,0.015955,0.017587,0.020390,0.025181,0.033386", \ - "0.020973,0.021672,0.022844,0.024897,0.028316,0.033932,0.043361", \ - "0.028934,0.029805,0.031248,0.033774,0.037930,0.044534,0.055182"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.006455,0.007483,0.009386,0.013206,0.020837,0.036085,0.066557", \ - "0.006467,0.007487,0.009388,0.013205,0.020838,0.036088,0.066567", \ - "0.007161,0.008017,0.009686,0.013266,0.020844,0.036097,0.066575", \ - "0.009675,0.010562,0.012219,0.015521,0.021965,0.036165,0.066569", \ - "0.013259,0.014065,0.015618,0.018860,0.025537,0.038635,0.066835", \ - "0.018202,0.018979,0.020478,0.023573,0.030028,0.043429,0.069909", \ - "0.024470,0.025225,0.026737,0.029839,0.036147,0.049227,0.076095"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.004994,0.005499,0.006407,0.008148,0.011477,0.017905,0.030507", \ - "0.005777,0.006261,0.007147,0.008870,0.012188,0.018612,0.031212", \ - "0.007700,0.008369,0.009533,0.011625,0.015204,0.021504,0.034018", \ - "0.007835,0.008746,0.010343,0.013238,0.018254,0.026587,0.039917", \ - "0.005968,0.007093,0.009080,0.012724,0.019099,0.029738,0.046922", \ - "0.002037,0.003338,0.005656,0.009959,0.017600,0.030489,0.051323", \ - "-0.004012,-0.002577,0.000014,0.004880,0.013646,0.028653,0.053112"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.015010,0.016174,0.018315,0.022543,0.030906,0.047511,0.080594", \ - "0.015829,0.016997,0.019147,0.023401,0.031813,0.048476,0.081619", \ - "0.018755,0.019905,0.022018,0.026217,0.034578,0.051233,0.084417", \ - "0.023307,0.024647,0.027052,0.031641,0.040202,0.056570,0.089475", \ - "0.030421,0.031899,0.034534,0.039527,0.048891,0.066247,0.098690", \ - "0.039927,0.041617,0.044597,0.050144,0.060290,0.078872,0.112967", \ - "0.051369,0.053310,0.056726,0.063012,0.074273,0.094264,0.130422"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.004281,0.004665,0.005365,0.006732,0.009413,0.014756,0.025474", \ - "0.004174,0.004554,0.005289,0.006699,0.009405,0.014755,0.025475", \ - "0.006835,0.007072,0.007513,0.008369,0.010188,0.014819,0.025475", \ - "0.011313,0.011614,0.012148,0.013211,0.015264,0.019000,0.026737", \ - "0.017189,0.017567,0.018242,0.019540,0.021964,0.026411,0.034340", \ - "0.024529,0.025008,0.025868,0.027476,0.030381,0.035506,0.044560", \ - "0.033366,0.033991,0.035056,0.037033,0.040536,0.046506,0.056634"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.008143,0.009183,0.011110,0.014964,0.022639,0.037947,0.068518", \ - "0.008146,0.009187,0.011113,0.014962,0.022644,0.037948,0.068522", \ - "0.008443,0.009390,0.011200,0.014979,0.022637,0.037946,0.068525", \ - "0.010850,0.011786,0.013495,0.016795,0.023448,0.037977,0.068509", \ - "0.014085,0.014992,0.016694,0.020104,0.026920,0.040128,0.068697", \ - "0.018718,0.019623,0.021272,0.024584,0.031298,0.044887,0.071543", \ - "0.024690,0.025622,0.027313,0.030639,0.037229,0.050609,0.077642"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.00572231,0.00641834,0.00769566,0.0102209,0.0152259,0.0251883,0.0450748", \ - "0.00641376,0.00710854,0.00838927,0.0109269,0.0159528,0.0259377,0.0458406", \ - "0.00803684,0.00899338,0.0106500,0.0136195,0.0186994,0.0285731,0.0484083", \ - "0.00825416,0.00955869,0.0118075,0.0158124,0.0226863,0.0341241,0.0537334", \ - "0.00668802,0.00836472,0.0112387,0.0163323,0.0249900,0.0392714,0.0624666", \ - "0.00313241,0.00516399,0.00863895,0.0148233,0.0253418,0.0425413,0.0701859", \ - "-0.00258081,-0.000213342,0.00383906,0.0110780,0.0234324,0.0436487,0.0758195"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.00845401,0.00963927,0.0118036,0.0160537,0.0244176,0.0409988,0.0740367", \ - "0.00904536,0.0102020,0.0123511,0.0166102,0.0250203,0.0416634,0.0747612", \ - "0.0127183,0.0139549,0.0160964,0.0200305,0.0281516,0.0445752,0.0775467", \ - "0.0179409,0.0194608,0.0221261,0.0270543,0.0358211,0.0516218,0.0839205", \ - "0.0246668,0.0265095,0.0296874,0.0354627,0.0457819,0.0637677,0.0953687", \ - "0.0327282,0.0349441,0.0387275,0.0455158,0.0573363,0.0778147,0.112773", \ - "0.0421878,0.0447651,0.0491773,0.0570752,0.0706397,0.0935500,0.132650"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.00335892,0.00394105,0.00502316,0.00718606,0.0115100,0.0201539,0.0374363", \ - "0.00335603,0.00394006,0.00502259,0.00718586,0.0115096,0.0201512,0.0374372", \ - "0.00539636,0.00588437,0.00676258,0.00835276,0.0117983,0.0201525,0.0374320", \ - "0.00912568,0.00970783,0.0107216,0.0125832,0.0160453,0.0223879,0.0375012", \ - "0.0143250,0.0150596,0.0163289,0.0185700,0.0224531,0.0294170,0.0420810", \ - "0.0209254,0.0218399,0.0234173,0.0261739,0.0307903,0.0384892,0.0521338", \ - "0.0290585,0.0301186,0.0319917,0.0353093,0.0408354,0.0496857,0.0643734"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.00638555,0.00743202,0.00936512,0.0131996,0.0208439,0.0361051,0.0665887", \ - "0.00633220,0.00739913,0.00934895,0.0131971,0.0208408,0.0360890,0.0665667", \ - "0.00771898,0.00845305,0.00995077,0.0132871,0.0208308,0.0360871,0.0665607", \ - "0.0106384,0.0115854,0.0133177,0.0166359,0.0226013,0.0361771,0.0665840", \ - "0.0150200,0.0159837,0.0177557,0.0212465,0.0279767,0.0400977,0.0668513", \ - "0.0208909,0.0219368,0.0238386,0.0274734,0.0344403,0.0477400,0.0719240", \ - "0.0282528,0.0293927,0.0314647,0.0354007,0.0426860,0.0564613,0.0821500"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.00675103,0.00744549,0.00871911,0.0112393,0.0162391,0.0261979,0.0460819", \ - "0.00729348,0.00800424,0.00930562,0.0118641,0.0169072,0.0269045,0.0468157", \ - "0.00825798,0.00911565,0.0106179,0.0134216,0.0186098,0.0286347,0.0485760", \ - "0.00801788,0.00920440,0.0112357,0.0148369,0.0210224,0.0318727,0.0519525", \ - "0.00563616,0.00718861,0.00985007,0.0145406,0.0224225,0.0352782,0.0570535", \ - "0.000822498,0.00273767,0.00602409,0.0118257,0.0215781,0.0372699,0.0622051", \ - "-0.00666750,-0.00438982,-0.000482338,0.00641737,0.0180522,0.0367786,0.0660181"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.0109238,0.0120778,0.0142026,0.0184082,0.0267454,0.0433231,0.0763918", \ - "0.0115040,0.0126562,0.0147836,0.0190009,0.0273598,0.0439613,0.0770562", \ - "0.0155197,0.0165841,0.0185373,0.0225314,0.0306403,0.0470150,0.0799355", \ - "0.0219307,0.0232977,0.0257347,0.0303096,0.0385669,0.0542514,0.0864790", \ - "0.0303027,0.0318924,0.0347069,0.0399894,0.0496923,0.0669290,0.0981839", \ - "0.0407955,0.0426413,0.0458950,0.0518939,0.0627695,0.0822520,0.116150", \ - "0.0534618,0.0555993,0.0593303,0.0661573,0.0783196,0.0997278,0.137395"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.00335807,0.00394120,0.00502295,0.00718513,0.0115071,0.0201526,0.0374383", \ - "0.00336175,0.00394019,0.00502264,0.00718472,0.0115089,0.0201523,0.0374347", \ - "0.00452676,0.00499803,0.00590134,0.00775660,0.0116794,0.0201522,0.0374330", \ - "0.00748352,0.00795554,0.00880204,0.0104404,0.0138438,0.0212226,0.0375272", \ - "0.0116226,0.0122072,0.0132178,0.0150750,0.0184618,0.0250878,0.0396247", \ - "0.0166868,0.0174052,0.0186444,0.0209036,0.0248160,0.0316061,0.0449936", \ - "0.0226734,0.0235219,0.0250093,0.0277281,0.0323752,0.0400585,0.0534015"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.00812257,0.00916647,0.0111067,0.0149602,0.0226438,0.0379539,0.0685076", \ - "0.00809770,0.00915405,0.0110973,0.0149610,0.0226359,0.0379585,0.0685218", \ - "0.00878312,0.00965064,0.0113240,0.0149102,0.0226357,0.0379435,0.0685247", \ - "0.0116581,0.0126633,0.0144458,0.0177223,0.0239172,0.0379550,0.0685098", \ - "0.0151990,0.0163006,0.0182758,0.0220399,0.0289744,0.0413047,0.0686901", \ - "0.0199062,0.0211173,0.0232495,0.0272776,0.0348226,0.0485601,0.0732066", \ - "0.0258086,0.0271520,0.0295151,0.0338586,0.0418298,0.0565562,0.0828244"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("1.295447,1.314528,1.340021,1.369222,1.405827,1.456426,1.489260", \ - "1.242202,1.269025,1.292198,1.328049,1.369519,1.413075,1.457301", \ - "1.501076,1.485075,1.464920,1.465310,1.467408,1.482354,1.487679", \ - "2.614752,2.593162,2.522998,2.388309,2.186726,1.980064,1.833764", \ - "4.470578,4.452596,4.377768,4.241370,3.917856,3.391516,2.802795", \ - "6.890201,6.923108,6.908386,6.841782,6.573729,5.899204,4.825448", \ - "10.032010,10.038390,10.102840,10.148730,10.035460,9.442158,8.076022"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("5.228689,5.242618,5.246861,5.282654,5.305290,5.243626,5.330278", \ - "5.130749,5.145751,5.130484,5.221942,5.238354,5.285501,5.227525", \ - "5.124775,5.135128,5.151143,5.131029,5.158331,5.208387,5.088685", \ - "5.697210,5.700405,5.735599,5.646996,5.579196,5.408849,5.228413", \ - "7.363064,7.255352,7.152412,6.996070,6.894185,6.547750,5.955399", \ - "10.124040,10.080430,9.831636,9.551542,9.108994,8.641925,7.650310", \ - "13.975400,13.801700,13.593430,13.257100,12.532730,11.507260,10.374050"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("1.077197,1.103664,1.147532,1.206882,1.278402,1.334226,1.382502", \ - "1.023736,1.042560,1.093368,1.154526,1.217499,1.270002,1.321337", \ - "1.313328,1.290322,1.271859,1.270736,1.299118,1.312642,1.336017", \ - "2.382283,2.369570,2.295611,2.174680,1.981150,1.770796,1.636858", \ - "4.120471,4.102742,4.044535,3.919616,3.606640,3.101009,2.533070", \ - "6.428499,6.430553,6.392080,6.343834,6.089398,5.460361,4.424983", \ - "9.280353,9.360504,9.389218,9.443208,9.287911,8.750417,7.459452"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("4.702033,4.738140,4.762441,4.774747,4.799687,4.752860,4.756633", \ - "4.595696,4.626119,4.653149,4.682498,4.717846,4.698817,4.765807", \ - "4.612547,4.618766,4.589455,4.631063,4.662636,4.684217,4.595020", \ - "5.117545,5.137042,5.154059,5.069357,4.935083,4.898096,4.639061", \ - "6.618502,6.585858,6.507033,6.376412,6.195108,5.808028,5.606993", \ - "9.316320,9.201387,9.029535,8.718435,8.325934,7.729421,7.180435", \ - "13.001950,12.892400,12.647480,12.229850,11.542620,10.702200,9.572760"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("1.150937,1.176248,1.200499,1.239751,1.289399,1.335888,1.388295", \ - "1.088903,1.113500,1.137787,1.179835,1.227896,1.275730,1.323009", \ - "1.324922,1.306214,1.291494,1.290966,1.312712,1.320787,1.342748", \ - "2.428768,2.385761,2.312476,2.174020,1.974881,1.775708,1.641727", \ - "4.216072,4.179170,4.121223,3.945210,3.606491,3.087859,2.531286", \ - "6.627568,6.647137,6.599174,6.440708,6.139372,5.457278,4.414087", \ - "9.692612,9.672551,9.706362,9.641955,9.406247,8.777552,7.452256"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("5.776032,5.812615,5.850577,5.873417,5.917451,5.897850,5.715203", \ - "5.672452,5.710058,5.747384,5.775348,5.794158,5.845962,5.722817", \ - "5.680155,5.652911,5.703405,5.710380,5.765086,5.711453,5.715367", \ - "6.222471,6.198075,6.158811,6.100019,6.087607,6.012992,5.738695", \ - "7.536458,7.477068,7.463046,7.391826,7.174139,6.837486,6.524760", \ - "10.088670,10.035040,9.845125,9.639259,9.288879,8.803302,8.079176", \ - "13.707140,13.574080,13.409640,13.067850,12.447230,11.675920,10.776180"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.305101,0.306429,0.326860,0.345115,0.356978,0.372502,0.375113", \ - "0.294332,0.300587,0.324065,0.355066,0.378709,0.407083,0.419850", \ - "0.571785,0.530313,0.503101,0.479135,0.471021,0.466627,0.466685", \ - "1.581910,1.518937,1.396815,1.202454,0.958853,0.805173,0.687322", \ - "3.079900,3.046871,2.952942,2.754148,2.315180,1.739725,1.325884", \ - "5.098810,5.089680,5.043673,4.912756,4.488192,3.653991,2.637606", \ - "7.562931,7.649180,7.621727,7.610908,7.339697,6.511956,4.959910"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("3.268564,3.280263,3.322335,3.354695,3.303974,3.309962,3.394746", \ - "3.173074,3.211458,3.241637,3.288045,3.315528,3.367320,3.179530", \ - "3.547195,3.529883,3.487366,3.471613,3.453050,3.413774,3.392983", \ - "4.446219,4.460542,4.450779,4.341438,4.109958,3.871076,3.640863", \ - "6.205404,6.141173,6.030314,5.869135,5.634339,5.191054,4.677581", \ - "8.855188,8.748621,8.621990,8.342315,7.920667,7.237674,6.471773", \ - "12.355750,12.269560,12.132290,11.841350,11.211060,10.336300,9.211405"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.311281,0.312875,0.332649,0.351089,0.363676,0.370559,0.377833", \ - "0.237243,0.261386,0.290563,0.329674,0.370714,0.397785,0.417179", \ - "0.364418,0.340277,0.325200,0.345650,0.367815,0.402218,0.431508", \ - "1.185713,1.140694,1.057335,0.908858,0.708605,0.615833,0.563945", \ - "2.431617,2.390501,2.351919,2.204544,1.878310,1.383443,1.048156", \ - "4.050998,4.061677,4.029848,3.977530,3.716588,3.065987,2.142898", \ - "6.108352,6.063011,6.119292,6.141012,6.005721,5.488445,4.221613"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("4.212797,4.242631,4.260626,4.280814,4.299424,4.169725,4.137942", \ - "4.151719,4.185723,4.203833,4.169634,4.262595,4.297326,4.322617", \ - "4.469469,4.504654,4.486471,4.437717,4.366458,4.348087,4.178199", \ - "5.538392,5.549708,5.470726,5.370308,5.180011,4.854915,4.710296", \ - "7.205102,7.150155,7.110359,6.991540,6.729627,6.308159,5.687497", \ - "9.875812,9.829910,9.704986,9.543814,9.126402,8.547975,7.519860", \ - "13.464460,13.446980,13.335730,13.114020,12.571860,11.755440,10.611830"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI21_X2 - Cell Description : Combinational cell (AOI21_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI21_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 174.038459; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 96.331375; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 232.677875; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 101.314129; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 271.739500; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 96.671500; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 176.036750; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 176.024000; - } - leakage_power () { - when : "A & B1 & B2"; - value : 241.512546; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.194616; - fall_capacitance : 3.039235; - rise_capacitance : 3.194616; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.177534; - fall_capacitance : 2.810825; - rise_capacitance : 3.177534; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.589825; - fall_capacitance : 3.000663; - rise_capacitance : 3.589825; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 50.659200; - function : "!(A | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.005557,0.006241,0.007103,0.008777,0.012021,0.018358,0.030860", \ - "0.006302,0.006979,0.007839,0.009511,0.012754,0.019089,0.031590", \ - "0.008355,0.009254,0.010345,0.012331,0.015779,0.022006,0.034422", \ - "0.008795,0.010026,0.011526,0.014265,0.019075,0.027195,0.040358", \ - "0.007257,0.008818,0.010717,0.014197,0.020317,0.030630,0.047508", \ - "0.003582,0.005457,0.007738,0.011933,0.019337,0.031846,0.052221", \ - "-0.002346,-0.000178,0.002465,0.007335,0.015968,0.030629,0.054534"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.010078,0.011374,0.013032,0.016300,0.022754,0.035569,0.061116", \ - "0.010939,0.012235,0.013900,0.017190,0.023690,0.036559,0.062153", \ - "0.014020,0.015479,0.017223,0.020491,0.026937,0.039789,0.065413", \ - "0.018233,0.020042,0.022251,0.026339,0.033665,0.046584,0.071956", \ - "0.024814,0.026926,0.029482,0.034176,0.042605,0.057473,0.083338", \ - "0.033205,0.035700,0.038706,0.044154,0.053732,0.070393,0.099316", \ - "0.043228,0.046128,0.049624,0.055939,0.066899,0.085511,0.117362"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.003469,0.003997,0.004679,0.006036,0.008735,0.014120,0.024874", \ - "0.003415,0.003969,0.004668,0.006034,0.008735,0.014120,0.024873", \ - "0.005465,0.005918,0.006464,0.007466,0.009418,0.014166,0.024872", \ - "0.008994,0.009605,0.010339,0.011679,0.014046,0.018107,0.026049", \ - "0.013700,0.014513,0.015473,0.017173,0.020097,0.025042,0.033399", \ - "0.019646,0.020678,0.021900,0.024046,0.027637,0.033475,0.043153", \ - "0.026896,0.028167,0.029679,0.032328,0.036701,0.043615,0.054608"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.005324,0.006445,0.007907,0.010831,0.016671,0.028300,0.051544", \ - "0.005333,0.006451,0.007909,0.010830,0.016673,0.028304,0.051546", \ - "0.006468,0.007281,0.008443,0.010997,0.016677,0.028305,0.051546", \ - "0.009556,0.010469,0.011674,0.014047,0.018561,0.028672,0.051547", \ - "0.013555,0.014412,0.015594,0.018049,0.023032,0.032517,0.052427", \ - "0.018756,0.019600,0.020774,0.023204,0.028233,0.038368,0.057430", \ - "0.025208,0.026039,0.027230,0.029720,0.034812,0.045091,0.065335"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.004621,0.005342,0.006242,0.007967,0.011266,0.017646,0.030177", \ - "0.005417,0.006104,0.006981,0.008687,0.011976,0.018352,0.030879", \ - "0.007063,0.008056,0.009243,0.011363,0.014975,0.021249,0.033690", \ - "0.006797,0.008167,0.009806,0.012758,0.017831,0.026221,0.039592", \ - "0.004383,0.006108,0.008178,0.011929,0.018425,0.029163,0.046422", \ - "-0.000298,0.001751,0.004218,0.008712,0.016554,0.029631,0.050602", \ - "-0.007357,-0.005009,-0.002180,0.002994,0.012100,0.027421,0.052124"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.011466,0.013133,0.015268,0.019486,0.027837,0.044439,0.077560", \ - "0.012254,0.013921,0.016063,0.020311,0.028718,0.045391,0.078574", \ - "0.015046,0.016798,0.018933,0.023110,0.031442,0.048091,0.081312", \ - "0.019038,0.021069,0.023582,0.028327,0.037126,0.053503,0.086404", \ - "0.025730,0.028032,0.030829,0.036020,0.045585,0.063174,0.095723", \ - "0.034488,0.037175,0.040411,0.046294,0.056777,0.075628,0.110001", \ - "0.045073,0.048181,0.051917,0.058662,0.070428,0.090835,0.127300"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.003143,0.003683,0.004371,0.005724,0.008403,0.013757,0.024483", \ - "0.003088,0.003598,0.004306,0.005697,0.008398,0.013756,0.024484", \ - "0.005431,0.005871,0.006410,0.007389,0.009234,0.013836,0.024484", \ - "0.009147,0.009743,0.010454,0.011739,0.014032,0.018020,0.025784", \ - "0.014172,0.014963,0.015882,0.017515,0.020314,0.025111,0.033329", \ - "0.020579,0.021590,0.022767,0.024820,0.028244,0.033856,0.043287", \ - "0.028463,0.029705,0.031162,0.033701,0.037856,0.044463,0.055105"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.005963,0.007440,0.009350,0.013183,0.020844,0.036135,0.066708", \ - "0.005975,0.007443,0.009353,0.013180,0.020842,0.036134,0.066704", \ - "0.006800,0.007996,0.009663,0.013242,0.020843,0.036141,0.066707", \ - "0.009242,0.010510,0.012176,0.015500,0.021971,0.036217,0.066707", \ - "0.012821,0.013973,0.015539,0.018796,0.025510,0.038670,0.066983", \ - "0.017737,0.018854,0.020372,0.023487,0.029970,0.043435,0.070046", \ - "0.023965,0.025066,0.026595,0.029729,0.036076,0.049216,0.076194"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.004759,0.005478,0.006377,0.008104,0.011414,0.017822,0.030409", \ - "0.005545,0.006234,0.007114,0.008824,0.012125,0.018529,0.031112", \ - "0.007307,0.008280,0.009448,0.011546,0.015134,0.021424,0.033922", \ - "0.007266,0.008590,0.010193,0.013098,0.018126,0.026476,0.039825", \ - "0.005241,0.006875,0.008869,0.012527,0.018923,0.029582,0.046791", \ - "0.001179,0.003067,0.005395,0.009713,0.017377,0.030295,0.051157", \ - "-0.004974,-0.002889,-0.000294,0.004585,0.013380,0.028424,0.052916"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.014244,0.015915,0.018059,0.022292,0.030663,0.047282,0.080397", \ - "0.015060,0.016734,0.018887,0.023146,0.031563,0.048242,0.081413", \ - "0.017960,0.019605,0.021719,0.025919,0.034284,0.050950,0.084157", \ - "0.022478,0.024399,0.026804,0.031393,0.039961,0.056325,0.089243", \ - "0.029615,0.031740,0.034367,0.039355,0.048712,0.066067,0.098517", \ - "0.039035,0.041471,0.044448,0.049996,0.060145,0.078728,0.112832", \ - "0.050354,0.053157,0.056569,0.062864,0.074135,0.094136,0.130307"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.003994,0.004547,0.005248,0.006618,0.009302,0.014649,0.025372", \ - "0.003912,0.004451,0.005183,0.006591,0.009297,0.014649,0.025372", \ - "0.006655,0.007000,0.007446,0.008304,0.010115,0.014725,0.025374", \ - "0.011115,0.011535,0.012075,0.013139,0.015183,0.018939,0.026660", \ - "0.016947,0.017488,0.018163,0.019466,0.021890,0.026340,0.034283", \ - "0.024246,0.024931,0.025787,0.027399,0.030306,0.035433,0.044486", \ - "0.033061,0.033906,0.034975,0.036962,0.040469,0.046431,0.056562"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.007644,0.009127,0.011058,0.014913,0.022597,0.037928,0.068531", \ - "0.007647,0.009129,0.011061,0.014914,0.022597,0.037926,0.068530", \ - "0.008018,0.009355,0.011156,0.014931,0.022595,0.037919,0.068530", \ - "0.010391,0.011731,0.013449,0.016769,0.023424,0.037953,0.068528", \ - "0.013609,0.014909,0.016607,0.020034,0.026865,0.040114,0.068716", \ - "0.018223,0.019507,0.021172,0.024488,0.031219,0.044845,0.071562", \ - "0.024137,0.025465,0.027175,0.030530,0.037133,0.050546,0.077641"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.00545201,0.00645173,0.00773083,0.0102581,0.0152645,0.0252288,0.0451179", \ - "0.00614463,0.00713914,0.00842193,0.0109612,0.0159889,0.0259757,0.0458813", \ - "0.00762892,0.00901717,0.0106753,0.0136469,0.0187299,0.0286064,0.0484450", \ - "0.00767595,0.00957706,0.0118265,0.0158338,0.0227134,0.0341562,0.0537697", \ - "0.00594208,0.00836806,0.0112412,0.0163429,0.0250110,0.0392955,0.0625008", \ - "0.00220831,0.00514555,0.00862104,0.0148159,0.0253484,0.0425582,0.0702133", \ - "-0.00367325,-0.000257828,0.00379334,0.0110424,0.0234127,0.0436428,0.0758329"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.00805244,0.00976075,0.0119336,0.0161934,0.0245779,0.0412013,0.0743268", \ - "0.00864629,0.0103143,0.0124701,0.0167406,0.0251721,0.0418595,0.0750444", \ - "0.0122468,0.0140443,0.0161931,0.0201520,0.0282959,0.0447656,0.0778236", \ - "0.0173393,0.0195376,0.0222120,0.0271595,0.0359581,0.0518058,0.0841987", \ - "0.0239237,0.0265901,0.0297736,0.0355659,0.0459146,0.0639512,0.0956422", \ - "0.0318325,0.0350320,0.0388268,0.0456317,0.0574785,0.0780049,0.113049", \ - "0.0411424,0.0448634,0.0492910,0.0572109,0.0708046,0.0937585,0.132943"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.00309681,0.00392898,0.00501161,0.00717613,0.0115031,0.0201534,0.0374517", \ - "0.00309374,0.00392869,0.00501177,0.00717558,0.0115033,0.0201547,0.0374522", \ - "0.00517442,0.00587362,0.00675169,0.00834639,0.0117937,0.0201546,0.0374575", \ - "0.00886872,0.00970608,0.0107171,0.0125762,0.0160378,0.0223869,0.0375184", \ - "0.0139760,0.0150539,0.0163245,0.0185710,0.0224508,0.0294123,0.0420979", \ - "0.0204849,0.0218183,0.0234004,0.0261634,0.0307831,0.0384798,0.0521389", \ - "0.0285008,0.0300736,0.0319604,0.0352934,0.0408218,0.0496818,0.0643711"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.00590912,0.00740190,0.00933797,0.0131806,0.0208460,0.0361366,0.0667077", \ - "0.00585646,0.00737747,0.00932620,0.0131791,0.0208366,0.0361453,0.0667170", \ - "0.00741394,0.00845030,0.00994866,0.0132727,0.0208284,0.0361317,0.0667075", \ - "0.0102152,0.0115718,0.0133119,0.0166418,0.0226088,0.0362212,0.0667151", \ - "0.0145673,0.0159584,0.0177440,0.0212415,0.0279965,0.0401382,0.0669958", \ - "0.0203759,0.0218977,0.0238122,0.0274604,0.0344531,0.0477930,0.0720436", \ - "0.0276875,0.0293330,0.0314283,0.0353782,0.0426790,0.0565029,0.0822704"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.00644556,0.00744106,0.00871618,0.0112378,0.0162393,0.0261997,0.0460863", \ - "0.00697414,0.00799443,0.00929721,0.0118579,0.0169034,0.0269018,0.0468162", \ - "0.00784327,0.00908806,0.0105942,0.0134019,0.0185962,0.0286253,0.0485710", \ - "0.00743099,0.00916000,0.0111978,0.0148052,0.0209963,0.0318555,0.0519429", \ - "0.00486585,0.00713061,0.00979611,0.0144955,0.0223849,0.0352491,0.0570355", \ - "-0.000126659,0.00266621,0.00595429,0.0117653,0.0215297,0.0372296,0.0621756", \ - "-0.00779289,-0.00447172,-0.000569330,0.00634001,0.0179880,0.0367257,0.0659784"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.0104827,0.0121384,0.0142661,0.0184768,0.0268186,0.0434090,0.0765047", \ - "0.0110555,0.0127078,0.0148386,0.0190608,0.0274267,0.0440446,0.0771627", \ - "0.0150570,0.0166180,0.0185789,0.0225813,0.0306987,0.0470891,0.0800393", \ - "0.0213273,0.0232999,0.0257465,0.0303360,0.0386142,0.0543225,0.0865814", \ - "0.0295863,0.0318785,0.0346971,0.0399907,0.0497172,0.0669848,0.0982806", \ - "0.0399515,0.0426241,0.0458726,0.0518867,0.0627769,0.0822898,0.116236", \ - "0.0524871,0.0555674,0.0592992,0.0661417,0.0783168,0.0997556,0.137466"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.00309573,0.00392851,0.00501165,0.00717669,0.0115032,0.0201526,0.0374576", \ - "0.00310055,0.00393004,0.00501201,0.00717615,0.0115028,0.0201544,0.0374552", \ - "0.00431827,0.00498523,0.00589328,0.00774916,0.0116746,0.0201548,0.0374555", \ - "0.00726954,0.00794815,0.00879267,0.0104371,0.0138361,0.0212254,0.0375455", \ - "0.0113595,0.0121958,0.0132069,0.0150620,0.0184370,0.0250871,0.0396428", \ - "0.0163650,0.0173865,0.0186305,0.0208875,0.0247939,0.0316016,0.0450073", \ - "0.0222753,0.0234809,0.0249845,0.0277099,0.0323550,0.0400428,0.0534084"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.00762576,0.00911949,0.0110565,0.0149128,0.0225918,0.0379224,0.0685169", \ - "0.00760844,0.00910914,0.0110513,0.0149093,0.0225952,0.0379188,0.0685217", \ - "0.00840359,0.00962636,0.0112967,0.0148728,0.0225937,0.0379221,0.0685341", \ - "0.0111973,0.0126414,0.0144283,0.0177087,0.0238877,0.0379288,0.0685208", \ - "0.0146853,0.0162666,0.0182446,0.0220186,0.0289627,0.0413011,0.0687104", \ - "0.0193328,0.0210702,0.0232109,0.0272456,0.0348027,0.0485556,0.0732386", \ - "0.0251536,0.0271023,0.0294653,0.0338194,0.0417999,0.0565488,0.0828537"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("2.448553,2.510983,2.539768,2.609072,2.688973,2.764246,2.826060", \ - "2.352695,2.407728,2.439259,2.519124,2.594089,2.679475,2.756110", \ - "2.916736,2.867273,2.810817,2.815383,2.809067,2.808688,2.832003", \ - "5.177185,5.069897,4.935520,4.679627,4.259429,3.831444,3.521520", \ - "8.844457,8.773094,8.691239,8.374618,7.727517,6.660908,5.464700", \ - "13.680460,13.739380,13.766600,13.608200,13.064670,11.693270,9.518780", \ - "19.881760,20.038670,20.183230,20.219940,19.969100,18.781160,16.020220"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("10.165580,10.223060,10.301470,10.327880,10.262270,10.189370,10.394780", \ - "9.931557,10.062610,10.071790,10.132610,10.104110,10.183410,10.133920", \ - "10.021480,9.995231,10.051610,10.038530,10.080470,9.925044,9.797037", \ - "11.271640,11.314070,11.284320,11.121750,10.946380,10.759390,10.139370", \ - "14.660500,14.448240,14.238920,13.939220,13.693100,12.846920,12.166720", \ - "20.277250,19.966710,19.652060,18.928690,18.103520,17.153820,15.182410", \ - "27.898830,27.583730,27.128100,26.294590,24.986730,22.907650,20.612560"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("2.063581,2.136321,2.193961,2.300766,2.421435,2.532628,2.615889", \ - "1.920640,2.019591,2.069492,2.196799,2.305477,2.402751,2.496704", \ - "2.591048,2.530754,2.460021,2.444427,2.472672,2.505310,2.532852", \ - "4.736388,4.663629,4.543965,4.274419,3.859454,3.430122,3.146137", \ - "8.195224,8.151629,8.021353,7.762399,7.122487,6.099598,4.934191", \ - "12.697390,12.789110,12.778290,12.626190,12.084780,10.826610,8.726103", \ - "18.484780,18.639390,18.747430,18.764400,18.491700,17.418350,14.810480"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("9.193314,9.182522,9.205157,9.258012,9.278862,9.135193,9.109276", \ - "8.973447,8.952005,8.993380,9.134893,9.212041,9.310508,9.301745", \ - "8.927073,9.001921,9.008734,8.989552,9.052208,9.149863,9.160680", \ - "10.094830,10.153760,10.095480,9.951071,9.800877,9.659661,9.008926", \ - "13.248480,12.968000,12.892830,12.614140,12.338150,11.514290,10.943090", \ - "18.674770,18.309670,17.998130,17.357550,16.444100,15.584750,14.221420", \ - "26.008560,25.660220,25.232500,24.429610,23.042510,21.298930,18.955960"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("2.157603,2.252636,2.275493,2.365009,2.442062,2.531982,2.620199", \ - "2.054774,2.090756,2.154330,2.236319,2.317165,2.411988,2.503217", \ - "2.575459,2.511961,2.494213,2.488171,2.501191,2.518915,2.536296", \ - "4.809703,4.685447,4.547232,4.260712,3.845867,3.437460,3.151211", \ - "8.468081,8.331378,8.177434,7.806356,7.129127,6.078906,4.941300", \ - "13.203240,13.223720,13.098800,12.825480,12.172320,10.816410,8.717967", \ - "19.274130,19.370780,19.303390,19.222860,18.743970,17.474890,14.797810"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("11.349370,11.333530,11.405130,11.462470,11.553550,11.538760,11.496490", \ - "11.145600,11.141170,11.164110,11.304010,11.423940,11.515390,11.466050", \ - "11.086940,11.109870,11.173810,11.170710,11.175680,11.167390,11.201690", \ - "12.200340,12.181020,12.128310,12.019250,11.970730,11.745610,11.493240", \ - "15.003390,14.843280,14.738900,14.577770,14.250160,13.808370,13.130790", \ - "20.214450,19.891680,19.624670,19.166090,18.343550,17.627590,16.049070", \ - "27.343240,27.099680,26.754620,26.011670,24.763370,23.087890,21.157830"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.605846,0.631854,0.669133,0.702118,0.725725,0.750950,0.760328", \ - "0.568022,0.613826,0.650042,0.708917,0.764976,0.818880,0.848617", \ - "1.189107,1.060122,0.996201,0.962686,0.942814,0.935291,0.941137", \ - "3.224619,3.032247,2.795091,2.403733,1.922848,1.611703,1.378585", \ - "6.204494,6.113479,5.922903,5.497759,4.630902,3.474995,2.653244", \ - "10.166420,10.064030,10.009660,9.798110,9.012227,7.296699,5.272292", \ - "15.189870,15.295290,15.302770,15.198460,14.647740,13.014960,9.905046"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("6.439122,6.523176,6.643693,6.704616,6.688305,6.634758,6.791946", \ - "6.351187,6.402024,6.459815,6.569537,6.644071,6.545916,6.812328", \ - "7.005277,7.043244,6.949271,6.863012,6.886862,6.760752,6.494354", \ - "8.923669,8.866759,8.881712,8.576273,8.292995,7.759417,7.301307", \ - "12.379400,12.242170,11.970270,11.739660,11.277380,10.208000,9.411643", \ - "17.723410,17.481330,17.192100,16.615430,15.749480,14.499800,12.556580", \ - "24.758720,24.531160,24.247300,23.609190,22.366050,20.597810,18.285750"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.603694,0.654191,0.672856,0.705197,0.727306,0.751581,0.760211", \ - "0.477049,0.528940,0.589287,0.663381,0.745146,0.803338,0.842953", \ - "0.763802,0.680227,0.655694,0.684572,0.745392,0.809060,0.859957", \ - "2.400149,2.286926,2.115344,1.812225,1.414033,1.235639,1.131363", \ - "4.858673,4.798172,4.665629,4.418294,3.749385,2.765735,2.096905", \ - "8.093745,8.119962,8.074672,7.952923,7.454880,6.131420,4.284834", \ - "12.081700,12.140150,12.276090,12.272130,12.051320,11.024100,8.439770"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("8.415705,8.473485,8.513518,8.511532,8.570822,8.630974,8.341870", \ - "8.303742,8.286627,8.355929,8.420330,8.366212,8.534174,8.296897", \ - "9.005638,8.961497,8.947626,8.874023,8.754387,8.752251,8.702925", \ - "11.086210,11.065070,10.862880,10.710240,10.260830,9.871942,9.366110", \ - "14.445690,14.365600,14.197880,14.038390,13.535320,12.635600,11.316770", \ - "19.706300,19.664470,19.461650,19.055820,18.338450,16.955990,15.241490", \ - "26.878670,26.843020,26.617050,26.169540,25.222540,23.463430,21.153680"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI21_X4 - Cell Description : Combinational cell (AOI21_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI21_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 348.075592; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 192.662500; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 465.352500; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 202.628993; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 543.473750; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 193.342625; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 352.071750; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 352.046625; - } - leakage_power () { - when : "A & B1 & B2"; - value : 483.025996; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.224014; - fall_capacitance : 5.937596; - rise_capacitance : 6.224014; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.517496; - fall_capacitance : 5.760127; - rise_capacitance : 6.517496; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.882477; - fall_capacitance : 5.757089; - rise_capacitance : 6.882477; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 101.013000; - function : "!(A | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.005630,0.006422,0.007289,0.008969,0.012221,0.018563,0.031065", \ - "0.006371,0.007156,0.008019,0.009697,0.012947,0.019288,0.031789", \ - "0.008442,0.009473,0.010559,0.012533,0.015968,0.022198,0.034615", \ - "0.008894,0.010308,0.011799,0.014523,0.019316,0.027411,0.040545", \ - "0.007357,0.009152,0.011040,0.014500,0.020591,0.030876,0.047723", \ - "0.003684,0.005839,0.008103,0.012270,0.019641,0.032111,0.052449", \ - "-0.002243,0.000246,0.002866,0.007704,0.016297,0.030904,0.054768"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.010209,0.011710,0.013378,0.016662,0.023149,0.036024,0.061686", \ - "0.011065,0.012564,0.014240,0.017548,0.024079,0.037008,0.062718", \ - "0.014130,0.015813,0.017552,0.020835,0.027315,0.040229,0.065970", \ - "0.018267,0.020352,0.022562,0.026651,0.033989,0.046957,0.072454", \ - "0.024801,0.027230,0.029778,0.034464,0.042894,0.057785,0.083745", \ - "0.033169,0.036038,0.039028,0.044458,0.054018,0.070676,0.099650", \ - "0.043178,0.046509,0.049985,0.056273,0.067202,0.085790,0.117655"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.003481,0.004088,0.004771,0.006128,0.008827,0.014213,0.024969", \ - "0.003422,0.004058,0.004757,0.006125,0.008827,0.014213,0.024969", \ - "0.005463,0.005979,0.006525,0.007520,0.009485,0.014256,0.024969", \ - "0.008998,0.009700,0.010429,0.011755,0.014111,0.018165,0.026126", \ - "0.013713,0.014637,0.015587,0.017278,0.020178,0.025105,0.033457", \ - "0.019671,0.020832,0.022050,0.024184,0.027751,0.033567,0.043224", \ - "0.026924,0.028357,0.029865,0.032498,0.036843,0.043738,0.054694"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.005269,0.006563,0.008034,0.010974,0.016845,0.028558,0.051952", \ - "0.005280,0.006570,0.008036,0.010974,0.016849,0.028561,0.051937", \ - "0.006410,0.007357,0.008539,0.011128,0.016857,0.028554,0.051941", \ - "0.009523,0.010567,0.011774,0.014156,0.018701,0.028905,0.051941", \ - "0.013571,0.014548,0.015727,0.018185,0.023179,0.032714,0.052800", \ - "0.018825,0.019774,0.020937,0.023362,0.028396,0.038565,0.057758", \ - "0.025327,0.026256,0.027438,0.029913,0.035002,0.045291,0.065630"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.004663,0.005501,0.006407,0.008140,0.011450,0.017840,0.030375", \ - "0.005464,0.006260,0.007142,0.008855,0.012155,0.018541,0.031072", \ - "0.007121,0.008265,0.009444,0.011554,0.015155,0.021432,0.033877", \ - "0.006855,0.008430,0.010064,0.013003,0.018058,0.026425,0.039773", \ - "0.004438,0.006418,0.008478,0.012212,0.018685,0.029391,0.046620", \ - "-0.000258,0.002106,0.004552,0.009025,0.016840,0.029889,0.050821", \ - "-0.007328,-0.004625,-0.001818,0.003329,0.012401,0.027680,0.052346"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.011570,0.013498,0.015644,0.019880,0.028265,0.044933,0.078184", \ - "0.012356,0.014282,0.016437,0.020702,0.029143,0.045880,0.079191", \ - "0.015153,0.017170,0.019311,0.023509,0.031878,0.048596,0.081944", \ - "0.019075,0.021418,0.023933,0.028686,0.037506,0.053949,0.086989", \ - "0.025709,0.028358,0.031149,0.036338,0.045912,0.063543,0.096219", \ - "0.034439,0.037527,0.040750,0.046616,0.057089,0.075955,0.110411", \ - "0.045003,0.048570,0.052290,0.059010,0.070749,0.091147,0.127657"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.003137,0.003762,0.004453,0.005810,0.008492,0.013847,0.024577", \ - "0.003078,0.003669,0.004385,0.005780,0.008485,0.013847,0.024575", \ - "0.005427,0.005935,0.006465,0.007441,0.009297,0.013919,0.024577", \ - "0.009159,0.009837,0.010538,0.011816,0.014102,0.018071,0.025856", \ - "0.014189,0.015085,0.016000,0.017623,0.020404,0.025175,0.033382", \ - "0.020606,0.021747,0.022918,0.024958,0.028362,0.033956,0.043355", \ - "0.028507,0.029903,0.031355,0.033875,0.038008,0.044585,0.055194"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.005856,0.007551,0.009472,0.013327,0.021025,0.036399,0.067108", \ - "0.005871,0.007555,0.009473,0.013322,0.021025,0.036393,0.067107", \ - "0.006694,0.008088,0.009768,0.013382,0.021028,0.036389,0.067112", \ - "0.009143,0.010598,0.012276,0.015614,0.022130,0.036465,0.067109", \ - "0.012772,0.014088,0.015653,0.018920,0.025660,0.038899,0.067365", \ - "0.017725,0.018997,0.020513,0.023626,0.030125,0.043650,0.070398", \ - "0.024004,0.025252,0.026768,0.029896,0.036250,0.049423,0.076526"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.004805,0.005641,0.006546,0.008282,0.011605,0.018026,0.030621", \ - "0.005595,0.006394,0.007278,0.008997,0.012310,0.018727,0.031319", \ - "0.007372,0.008492,0.009653,0.011743,0.015320,0.021617,0.034123", \ - "0.007340,0.008862,0.010456,0.013348,0.018361,0.026691,0.040021", \ - "0.005325,0.007200,0.009181,0.012822,0.019196,0.029832,0.047011", \ - "0.001274,0.003439,0.005749,0.010043,0.017680,0.030568,0.051397", \ - "-0.004867,-0.002475,0.000097,0.004948,0.013709,0.028711,0.053166"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.014373,0.016305,0.018458,0.022708,0.031112,0.047796,0.081040", \ - "0.015185,0.017120,0.019283,0.023558,0.032009,0.048752,0.082050", \ - "0.018091,0.019993,0.022118,0.026340,0.034741,0.051472,0.084811", \ - "0.022545,0.024759,0.027167,0.031765,0.040353,0.056790,0.089846", \ - "0.029626,0.032067,0.034691,0.039682,0.049053,0.066453,0.099029", \ - "0.039022,0.041817,0.044783,0.050319,0.060465,0.079068,0.113257", \ - "0.050324,0.053536,0.056933,0.063209,0.074461,0.094459,0.130678"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.004012,0.004647,0.005349,0.006717,0.009401,0.014748,0.025473", \ - "0.003923,0.004545,0.005280,0.006688,0.009394,0.014748,0.025472", \ - "0.006670,0.007067,0.007504,0.008362,0.010185,0.014815,0.025474", \ - "0.011150,0.011623,0.012159,0.013219,0.015261,0.018999,0.026743", \ - "0.016993,0.017607,0.018280,0.019571,0.021986,0.026413,0.034344", \ - "0.024301,0.025076,0.025931,0.027534,0.030427,0.035537,0.044560", \ - "0.033120,0.034080,0.035155,0.037132,0.040617,0.046565,0.056662"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.007558,0.009274,0.011208,0.015079,0.022798,0.038195,0.068939", \ - "0.007562,0.009275,0.011209,0.015078,0.022797,0.038194,0.068944", \ - "0.007932,0.009477,0.011297,0.015095,0.022800,0.038197,0.068947", \ - "0.010302,0.011846,0.013570,0.016896,0.023600,0.038224,0.068940", \ - "0.013553,0.015042,0.016742,0.020175,0.027037,0.040361,0.069123", \ - "0.018194,0.019663,0.021325,0.024645,0.031389,0.045072,0.071951", \ - "0.024146,0.025651,0.027358,0.030708,0.037317,0.050769,0.077990"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.00534214,0.00649302,0.00777133,0.0102960,0.0152964,0.0252469,0.0451082", \ - "0.00603642,0.00718136,0.00846306,0.0109998,0.0160214,0.0259946,0.0458731", \ - "0.00746997,0.00907108,0.0107252,0.0136888,0.0187636,0.0286271,0.0484390", \ - "0.00744188,0.00963524,0.0118783,0.0158754,0.0227456,0.0341717,0.0537604", \ - "0.00561288,0.00841778,0.0112820,0.0163723,0.0250292,0.0392976,0.0624793", \ - "0.00177956,0.00516808,0.00863510,0.0148175,0.0253367,0.0425323,0.0701670", \ - "-0.00421054,-0.000278937,0.00376504,0.0110033,0.0233564,0.0435776,0.0757489"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.00784581,0.00981990,0.0120008,0.0162763,0.0246948,0.0413818,0.0746380", \ - "0.00845302,0.0103774,0.0125417,0.0168293,0.0252936,0.0420469,0.0753579", \ - "0.0120513,0.0141260,0.0162679,0.0202412,0.0284192,0.0449541,0.0781397", \ - "0.0171259,0.0196599,0.0223335,0.0272823,0.0360889,0.0519907,0.0845119", \ - "0.0236901,0.0267641,0.0299433,0.0357342,0.0460890,0.0641521,0.0959484", \ - "0.0315897,0.0352721,0.0390607,0.0458563,0.0577040,0.0782549,0.113366", \ - "0.0409024,0.0451815,0.0496037,0.0575131,0.0710976,0.0940674,0.133319"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.00300628,0.00396437,0.00504798,0.00721483,0.0115457,0.0202086,0.0375270", \ - "0.00300216,0.00396302,0.00504721,0.00721475,0.0115459,0.0202083,0.0375269", \ - "0.00509129,0.00589723,0.00677844,0.00837592,0.0118356,0.0202085,0.0375245", \ - "0.00876470,0.00972893,0.0107371,0.0125986,0.0160653,0.0224407,0.0375920", \ - "0.0138405,0.0150854,0.0163545,0.0185937,0.0224743,0.0294397,0.0421762", \ - "0.0203242,0.0218527,0.0234359,0.0261963,0.0308110,0.0385051,0.0521769", \ - "0.0283082,0.0301068,0.0320026,0.0353333,0.0408681,0.0497138,0.0644030"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.00578266,0.00751244,0.00945828,0.0133184,0.0210262,0.0363988,0.0671187", \ - "0.00571854,0.00747967,0.00944315,0.0133197,0.0210168,0.0363978,0.0671157", \ - "0.00730534,0.00852120,0.0100345,0.0134060,0.0210158,0.0363965,0.0671153", \ - "0.0100900,0.0116524,0.0134021,0.0167443,0.0227570,0.0364590,0.0671170", \ - "0.0144355,0.0160420,0.0178307,0.0213438,0.0281325,0.0403404,0.0673795", \ - "0.0202281,0.0219797,0.0239051,0.0275683,0.0345862,0.0479862,0.0723817", \ - "0.0275264,0.0294179,0.0315260,0.0354860,0.0428115,0.0566961,0.0825789"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.00635466,0.00750107,0.00877540,0.0112947,0.0162902,0.0262376,0.0460974", \ - "0.00688202,0.00805618,0.00935768,0.0119160,0.0169554,0.0269408,0.0468268", \ - "0.00772588,0.00915972,0.0106605,0.0134618,0.0186466,0.0286616,0.0485792", \ - "0.00724671,0.00924037,0.0112701,0.0148648,0.0210411,0.0318819,0.0519404", \ - "0.00459484,0.00720754,0.00986171,0.0145452,0.0224156,0.0352573,0.0570116", \ - "-0.000497972,0.00272467,0.00599958,0.0117918,0.0215332,0.0372087,0.0621203", \ - "-0.00827435,-0.00444809,-0.000561374,0.00632981,0.0179505,0.0366595,0.0658796"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.0103217,0.0122361,0.0143733,0.0186012,0.0269773,0.0436340,0.0768596", \ - "0.0108949,0.0128050,0.0149450,0.0191854,0.0275854,0.0442692,0.0775160", \ - "0.0149098,0.0167130,0.0186820,0.0227026,0.0308536,0.0473118,0.0803912", \ - "0.0211747,0.0234461,0.0258907,0.0304795,0.0387642,0.0545343,0.0869238", \ - "0.0294307,0.0320721,0.0348892,0.0401824,0.0499148,0.0672065,0.0986172", \ - "0.0398029,0.0428772,0.0461217,0.0521294,0.0630223,0.0825597,0.116569", \ - "0.0523565,0.0558970,0.0596181,0.0664520,0.0786254,0.100079,0.137851"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.00300583,0.00396371,0.00504759,0.00721478,0.0115465,0.0202054,0.0375266", \ - "0.00301226,0.00396494,0.00504715,0.00721442,0.0115457,0.0202062,0.0375295", \ - "0.00424797,0.00501396,0.00592122,0.00778292,0.0117156,0.0202087,0.0375271", \ - "0.00719776,0.00797726,0.00882430,0.0104629,0.0138736,0.0212761,0.0376186", \ - "0.0112732,0.0122300,0.0132447,0.0150995,0.0184686,0.0251330,0.0397162", \ - "0.0162644,0.0174370,0.0186794,0.0209327,0.0248321,0.0316432,0.0450724", \ - "0.0221705,0.0235494,0.0250512,0.0277669,0.0324040,0.0400853,0.0534666"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.00753321,0.00925864,0.0112021,0.0150775,0.0227966,0.0381973,0.0689376", \ - "0.00751009,0.00924812,0.0112007,0.0150782,0.0227985,0.0381956,0.0689407", \ - "0.00832144,0.00973616,0.0114233,0.0150292,0.0227953,0.0381964,0.0689424", \ - "0.0110882,0.0127528,0.0145428,0.0178268,0.0240557,0.0381955,0.0689515", \ - "0.0145677,0.0163868,0.0183714,0.0221497,0.0291191,0.0415288,0.0691168", \ - "0.0192061,0.0212042,0.0233453,0.0273901,0.0349657,0.0487742,0.0736039", \ - "0.0250099,0.0272491,0.0296156,0.0339733,0.0419704,0.0567685,0.0831868"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("4.827835,4.949593,5.093934,5.196470,5.362193,5.504876,5.648487", \ - "4.692400,4.813858,4.897672,5.018390,5.166541,5.348164,5.502043", \ - "5.818225,5.695363,5.577263,5.601169,5.602571,5.620293,5.643275", \ - "10.326000,10.098840,9.831257,9.299392,8.448663,7.614205,6.988176", \ - "17.624050,17.516680,17.279430,16.665190,15.368530,13.230680,10.871380", \ - "27.405080,27.485510,27.413170,27.115310,25.998020,23.273690,18.919540", \ - "39.737780,39.922040,40.190370,40.328560,39.708730,37.353360,31.878910"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("20.738920,20.974500,20.965600,21.150760,21.254200,20.998090,20.668730", \ - "20.282650,20.589660,20.589890,20.860160,20.832340,20.965790,21.231620", \ - "20.552000,20.415780,20.456530,20.586900,20.676830,20.846940,20.701320", \ - "22.918100,22.976110,22.914680,22.604840,22.264210,21.491170,21.432610", \ - "29.677380,29.225820,28.882400,28.112310,27.609510,26.337420,24.067930", \ - "40.903480,40.345460,39.632240,38.347690,36.373480,34.615950,30.796260", \ - "56.124960,55.500430,54.636370,52.991140,50.252130,46.540380,42.241110"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("4.080973,4.256128,4.420204,4.609911,4.826044,5.035017,5.213688", \ - "3.850263,3.972214,4.159259,4.353411,4.593546,4.793361,4.978485", \ - "5.137139,4.988688,4.875611,4.882091,4.924159,4.989031,5.042980", \ - "9.500374,9.249964,8.951713,8.480959,7.645371,6.816658,6.258397", \ - "16.316410,16.209630,15.983100,15.421810,14.157610,12.116260,9.806597", \ - "25.342930,25.437340,25.358820,25.112840,24.113040,21.519980,17.344920", \ - "36.879530,37.175450,37.368420,37.382000,36.873390,34.633960,29.446550"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("18.841250,18.863140,19.037910,19.100760,19.040960,18.808760,19.216710", \ - "18.413140,18.528380,18.519860,18.747420,18.739760,18.566350,19.209010", \ - "18.424890,18.384760,18.489080,18.404140,18.380550,18.570040,18.536900", \ - "20.520220,20.626920,20.594920,20.409380,19.842820,19.414360,19.380860", \ - "26.875930,26.475120,26.044270,25.438590,24.780670,23.585130,22.290600", \ - "37.709070,37.003290,36.138820,35.092060,33.362990,31.085570,28.690210", \ - "52.353950,51.581950,50.586580,48.881790,46.095910,42.628230,38.904270"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("4.332153,4.475352,4.576290,4.717814,4.874296,5.056239,5.229419", \ - "4.102219,4.215136,4.326647,4.466379,4.645695,4.827608,4.991251", \ - "5.136787,4.998454,4.967153,4.971966,4.961718,5.004348,5.064562", \ - "9.582722,9.351937,8.995619,8.462270,7.621126,6.820809,6.257649", \ - "16.863920,16.534750,16.194790,15.508770,14.179310,12.088240,9.815760", \ - "26.513080,26.326070,26.090870,25.585270,24.275010,21.504720,17.331460", \ - "38.443420,38.596840,38.464630,38.250950,37.344670,34.816160,29.442290"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("23.146710,23.211370,23.353130,23.435230,23.609740,23.724470,23.487810", \ - "22.748210,22.834850,22.984840,23.084470,23.251380,23.529710,23.099650", \ - "22.686470,22.710690,22.751970,22.903300,23.018310,23.157540,22.892160", \ - "24.783720,24.783610,24.753500,24.511240,24.332220,24.113350,23.906420", \ - "30.373340,30.126290,29.929070,29.505670,29.028870,27.980390,26.314450", \ - "40.779050,40.166190,39.637440,38.587880,37.147240,35.129410,32.843000", \ - "55.259210,54.542630,53.637490,52.323040,49.943760,46.968360,42.405360"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("1.199121,1.273722,1.309705,1.386090,1.455193,1.485996,1.513463", \ - "1.157198,1.252497,1.311353,1.414097,1.543084,1.629609,1.685299", \ - "2.392933,2.116306,1.992277,1.927376,1.885041,1.873223,1.870720", \ - "6.459483,6.045387,5.577978,4.784932,3.814268,3.216458,2.747140", \ - "12.361270,12.091450,11.783930,10.938540,9.210103,6.913443,5.285570", \ - "20.206530,20.206800,19.994950,19.487910,17.938320,14.516570,10.490800", \ - "30.087180,30.401100,30.279340,30.250620,29.153570,25.878680,19.706830"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("12.978750,13.151520,13.241020,13.301920,13.302690,13.204400,13.018670", \ - "12.767850,12.840000,12.954220,13.112440,13.282880,13.159730,13.680330", \ - "14.173270,14.017450,13.906700,13.881300,13.554000,13.781300,13.649330", \ - "17.918360,17.921350,17.824360,17.326640,16.586480,15.822300,14.809780", \ - "24.818900,24.379450,24.070340,23.409280,22.324090,20.533560,18.388670", \ - "35.521080,34.973870,34.367400,33.158220,31.281250,29.009880,25.036810", \ - "49.523270,48.954940,48.397450,47.041150,44.609210,40.784460,36.056540"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("1.220960,1.303888,1.332830,1.398249,1.453944,1.495942,1.506517", \ - "0.926892,1.059837,1.184873,1.336616,1.487353,1.600108,1.670128", \ - "1.535141,1.367185,1.323850,1.370543,1.490459,1.615971,1.727938", \ - "4.786754,4.531533,4.201946,3.613735,2.820686,2.470789,2.251076", \ - "9.571277,9.533486,9.345204,8.792452,7.476604,5.508559,4.182475", \ - "16.128950,16.106080,16.099380,15.762280,14.798980,12.198910,8.526513", \ - "24.178280,24.330320,24.364010,24.438840,24.053230,21.944250,16.798070"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("16.935770,16.932730,17.087960,17.105010,17.015770,17.313630,17.236020", \ - "16.455350,16.749330,16.774590,16.827830,17.050720,16.821090,16.713830", \ - "18.023500,17.942210,17.905050,17.847050,17.688590,17.037980,17.376260", \ - "21.999440,22.101390,21.756140,21.351140,20.753380,19.922280,18.432840", \ - "28.912600,28.692740,28.353280,28.074480,27.020680,24.762230,23.079670", \ - "39.459650,39.274640,38.857690,37.977550,36.381040,33.890850,30.085090", \ - "53.726970,53.493170,53.134660,52.260800,50.320730,46.804360,41.772130"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI22_X1 - Cell Description : Combinational cell (AOI22_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI22_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 101.177548; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 20.049750; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 88.234875; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 22.541875; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 95.603875; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 88.235000; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 156.408000; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 90.726250; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 134.816250; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 22.541874; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 90.726250; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 25.034873; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 134.796500; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 136.149125; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 175.959750; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 175.925625; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 161.090889; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.711099; - fall_capacitance : 1.549761; - rise_capacitance : 1.711099; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.734993; - fall_capacitance : 1.467112; - rise_capacitance : 1.734993; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.613311; - fall_capacitance : 1.613311; - rise_capacitance : 1.589356; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.652840; - fall_capacitance : 1.571762; - rise_capacitance : 1.652840; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 24.604800; - function : "!((A1 & A2) | (B1 & B2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.005681,0.006340,0.007582,0.010036,0.014899,0.024578,0.043894", \ - "0.006327,0.006984,0.008228,0.010694,0.015578,0.025280,0.044616", \ - "0.007982,0.008879,0.010483,0.013374,0.018329,0.027904,0.047163", \ - "0.008669,0.009862,0.011979,0.015781,0.022392,0.033521,0.052559", \ - "0.008064,0.009566,0.012240,0.017011,0.025189,0.038865,0.061398", \ - "0.005956,0.007766,0.010984,0.016729,0.026565,0.042823,0.069363", \ - "0.002237,0.004334,0.008069,0.014766,0.026254,0.045202,0.075754"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.006638,0.007473,0.009038,0.012114,0.018173,0.030186,0.054129", \ - "0.007421,0.008234,0.009778,0.012848,0.018929,0.030979,0.054961", \ - "0.010804,0.011788,0.013543,0.016651,0.022464,0.034303,0.058144", \ - "0.015146,0.016390,0.018611,0.022673,0.029853,0.041916,0.065160", \ - "0.020421,0.021979,0.024722,0.029646,0.038241,0.053006,0.077389", \ - "0.026480,0.028364,0.031686,0.037609,0.047752,0.064826,0.093504", \ - "0.033391,0.035579,0.039473,0.046431,0.058286,0.077856,0.110244"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.003349,0.003901,0.004952,0.007053,0.011254,0.019649,0.036437", \ - "0.003346,0.003899,0.004952,0.007051,0.011253,0.019650,0.036441", \ - "0.005413,0.005877,0.006736,0.008295,0.011593,0.019650,0.036442", \ - "0.009077,0.009619,0.010589,0.012407,0.015808,0.022009,0.036542", \ - "0.014174,0.014862,0.016069,0.018215,0.021997,0.028856,0.041292", \ - "0.020675,0.021526,0.022997,0.025621,0.030062,0.037579,0.051078", \ - "0.028712,0.029714,0.031455,0.034578,0.039827,0.048402,0.062831"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.004606,0.005347,0.006744,0.009537,0.015113,0.026256,0.048536", \ - "0.004576,0.005328,0.006734,0.009534,0.015113,0.026255,0.048524", \ - "0.006486,0.007087,0.008056,0.010150,0.015119,0.026254,0.048528", \ - "0.009653,0.010340,0.011623,0.014083,0.018462,0.027201,0.048526", \ - "0.014261,0.015026,0.016425,0.019083,0.024117,0.033192,0.050539", \ - "0.020280,0.021145,0.022734,0.025689,0.031088,0.041094,0.058699", \ - "0.027820,0.028770,0.030536,0.033853,0.039795,0.050405,0.069825"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.005698,0.006358,0.007600,0.010053,0.014916,0.024593,0.043908", \ - "0.006394,0.007051,0.008295,0.010761,0.015644,0.025343,0.044676", \ - "0.008034,0.008941,0.010555,0.013453,0.018411,0.027995,0.047258", \ - "0.008258,0.009491,0.011683,0.015590,0.022316,0.033523,0.052603", \ - "0.006692,0.008271,0.011074,0.016054,0.024529,0.038514,0.061255", \ - "0.003128,0.005040,0.008437,0.014483,0.024776,0.041628,0.068731", \ - "-0.002590,-0.000363,0.003600,0.010675,0.022764,0.042585,0.074140"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.008207,0.009329,0.011434,0.015565,0.023696,0.039806,0.071893", \ - "0.008834,0.009926,0.012007,0.016144,0.024317,0.040486,0.072632", \ - "0.012576,0.013738,0.015821,0.019614,0.027486,0.043430,0.075441", \ - "0.017844,0.019276,0.021861,0.026648,0.035184,0.050521,0.081857", \ - "0.024590,0.026334,0.029419,0.035044,0.045093,0.062645,0.093360", \ - "0.032673,0.034757,0.038439,0.045058,0.056591,0.076575,0.110745", \ - "0.042139,0.044565,0.048860,0.056567,0.069824,0.092208,0.130401"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.003349,0.003901,0.004951,0.007054,0.011254,0.019651,0.036436", \ - "0.003345,0.003900,0.004952,0.007053,0.011254,0.019649,0.036436", \ - "0.005384,0.005846,0.006707,0.008261,0.011579,0.019651,0.036440", \ - "0.009089,0.009645,0.010634,0.012458,0.015842,0.022010,0.036539", \ - "0.014265,0.014976,0.016217,0.018417,0.022225,0.029016,0.041350", \ - "0.020849,0.021738,0.023279,0.025989,0.030508,0.038050,0.051374", \ - "0.028934,0.030001,0.031835,0.035089,0.040504,0.049194,0.063551"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.006396,0.007413,0.009302,0.013047,0.020478,0.035292,0.064895", \ - "0.006314,0.007352,0.009270,0.013038,0.020483,0.035288,0.064898", \ - "0.007696,0.008388,0.009849,0.013099,0.020452,0.035295,0.064887", \ - "0.010631,0.011519,0.013204,0.016435,0.022251,0.035395,0.064875", \ - "0.015026,0.015944,0.017660,0.021042,0.027594,0.039416,0.065239", \ - "0.020897,0.021898,0.023747,0.027280,0.034049,0.047001,0.070525", \ - "0.028257,0.029350,0.031365,0.035197,0.042285,0.055681,0.080749"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.005876,0.006541,0.007791,0.010265,0.015166,0.024919,0.044369", \ - "0.006571,0.007233,0.008488,0.010973,0.015896,0.025669,0.045137", \ - "0.008336,0.009230,0.010831,0.013709,0.018660,0.028320,0.047718", \ - "0.008820,0.010028,0.012174,0.016035,0.022723,0.033898,0.053060", \ - "0.007735,0.009250,0.011952,0.016821,0.025189,0.039102,0.061803", \ - "0.004979,0.006751,0.009947,0.015764,0.025839,0.042519,0.069517", \ - "0.000507,0.002467,0.006063,0.012717,0.024408,0.043889,0.075207"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.011062,0.012181,0.014285,0.018423,0.026575,0.042718,0.074845", \ - "0.011674,0.012783,0.014881,0.019028,0.027215,0.043411,0.075586", \ - "0.015494,0.016507,0.018422,0.022357,0.030322,0.046329,0.078391", \ - "0.021475,0.022806,0.025236,0.029785,0.037974,0.053328,0.084772", \ - "0.028982,0.030552,0.033404,0.038722,0.048432,0.065561,0.096193", \ - "0.037949,0.039805,0.043162,0.049329,0.060385,0.079933,0.113618", \ - "0.048308,0.050484,0.054379,0.061518,0.074123,0.095936,0.133649"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.004645,0.005228,0.006316,0.008449,0.012667,0.021073,0.037870", \ - "0.004642,0.005227,0.006316,0.008449,0.012668,0.021075,0.037872", \ - "0.007032,0.007436,0.008206,0.009623,0.012980,0.021077,0.037875", \ - "0.011595,0.011993,0.012766,0.014327,0.017432,0.023408,0.037968", \ - "0.017836,0.018312,0.019201,0.020939,0.024279,0.030683,0.042753", \ - "0.025589,0.026161,0.027224,0.029301,0.033145,0.040094,0.052992", \ - "0.034847,0.035520,0.036791,0.039263,0.043805,0.051686,0.065445"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.008161,0.009160,0.011048,0.014807,0.022262,0.037125,0.066812", \ - "0.008133,0.009136,0.011038,0.014805,0.022264,0.037133,0.066795", \ - "0.008705,0.009531,0.011181,0.014699,0.022252,0.037140,0.066792", \ - "0.011810,0.012734,0.014430,0.017607,0.023547,0.037125,0.066796", \ - "0.015973,0.016947,0.018751,0.022250,0.028843,0.040691,0.067019", \ - "0.021670,0.022738,0.024676,0.028333,0.035270,0.048290,0.071903", \ - "0.028834,0.030020,0.032165,0.036137,0.043396,0.056990,0.082053"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.006709,0.007367,0.008605,0.011054,0.015911,0.025585,0.044902", \ - "0.007204,0.007876,0.009141,0.011628,0.016530,0.026244,0.045586", \ - "0.008147,0.008956,0.010417,0.013144,0.018200,0.027939,0.047314", \ - "0.008203,0.009301,0.011239,0.014692,0.020668,0.031206,0.050715", \ - "0.006569,0.007990,0.010488,0.014916,0.022419,0.034789,0.055904", \ - "0.002971,0.004710,0.007767,0.013188,0.022373,0.037302,0.061307", \ - "-0.002835,-0.000769,0.002840,0.009254,0.020135,0.037804,0.065713"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.008435,0.009253,0.010797,0.013849,0.019898,0.031916,0.055888", \ - "0.009195,0.010008,0.011548,0.014602,0.020658,0.032692,0.056674", \ - "0.013140,0.014013,0.015591,0.018469,0.024296,0.036114,0.059927", \ - "0.018661,0.019756,0.021751,0.025483,0.032210,0.043881,0.067074", \ - "0.025743,0.027054,0.029423,0.033807,0.041753,0.055793,0.079539", \ - "0.034398,0.035955,0.038764,0.043883,0.052981,0.068949,0.096559", \ - "0.044690,0.046508,0.049776,0.055699,0.066109,0.083987,0.114763"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.003348,0.003899,0.004953,0.007052,0.011254,0.019651,0.036437", \ - "0.003353,0.003902,0.004953,0.007053,0.011252,0.019648,0.036442", \ - "0.004554,0.004997,0.005869,0.007667,0.011448,0.019651,0.036442", \ - "0.007532,0.007967,0.008776,0.010355,0.013641,0.020788,0.036556", \ - "0.011692,0.012219,0.013178,0.014947,0.018210,0.024635,0.038745", \ - "0.016760,0.017408,0.018584,0.020735,0.024483,0.031055,0.044062", \ - "0.022799,0.023549,0.024948,0.027527,0.031978,0.039387,0.052328"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.005891,0.006630,0.008038,0.010845,0.016452,0.027634,0.049974", \ - "0.005879,0.006624,0.008034,0.010844,0.016449,0.027631,0.049975", \ - "0.007255,0.007783,0.008848,0.011172,0.016438,0.027637,0.049966", \ - "0.010209,0.010958,0.012309,0.014818,0.019175,0.028329,0.049968", \ - "0.014015,0.014869,0.016411,0.019311,0.024631,0.033825,0.051666", \ - "0.018964,0.019945,0.021693,0.024918,0.030776,0.041342,0.059246", \ - "0.025076,0.026214,0.028216,0.031843,0.038247,0.049651,0.069900"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.006726,0.007384,0.008622,0.011070,0.015928,0.025602,0.044916", \ - "0.007270,0.007943,0.009208,0.011695,0.016595,0.026307,0.045647", \ - "0.008251,0.009064,0.010527,0.013255,0.018309,0.028047,0.047419", \ - "0.008022,0.009146,0.011126,0.014640,0.020683,0.031267,0.050800", \ - "0.005639,0.007117,0.009709,0.014290,0.022003,0.034592,0.055853", \ - "0.000822,0.002647,0.005850,0.011517,0.021064,0.036447,0.060882", \ - "-0.006676,-0.004495,-0.000690,0.006052,0.017437,0.035801,0.064503"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.010671,0.011764,0.013829,0.017918,0.026017,0.042119,0.074219", \ - "0.011276,0.012365,0.014431,0.018529,0.026650,0.042774,0.074900", \ - "0.015351,0.016363,0.018238,0.022101,0.029968,0.045858,0.077810", \ - "0.021815,0.023103,0.025465,0.029906,0.037954,0.053144,0.084396", \ - "0.030212,0.031715,0.034446,0.039579,0.049020,0.065824,0.096169", \ - "0.040715,0.042461,0.045624,0.051465,0.062054,0.081039,0.114141", \ - "0.053388,0.055412,0.059041,0.065693,0.077551,0.098429,0.135182"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.003348,0.003900,0.004952,0.007053,0.011252,0.019651,0.036440", \ - "0.003352,0.003902,0.004951,0.007052,0.011254,0.019651,0.036438", \ - "0.004516,0.004965,0.005843,0.007644,0.011439,0.019651,0.036441", \ - "0.007462,0.007909,0.008735,0.010333,0.013630,0.020778,0.036554", \ - "0.011593,0.012143,0.013130,0.014944,0.018240,0.024685,0.038754", \ - "0.016655,0.017326,0.018537,0.020746,0.024572,0.031214,0.044184", \ - "0.022638,0.023426,0.024882,0.027540,0.032096,0.039617,0.052635"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.008153,0.009155,0.011046,0.014805,0.022266,0.037130,0.066803", \ - "0.008114,0.009128,0.011034,0.014796,0.022263,0.037134,0.066800", \ - "0.008764,0.009589,0.011230,0.014723,0.022245,0.037132,0.066790", \ - "0.011646,0.012589,0.014325,0.017543,0.023556,0.037137,0.066802", \ - "0.015201,0.016241,0.018160,0.021818,0.028582,0.040601,0.067014", \ - "0.019910,0.021058,0.023136,0.027048,0.034392,0.047804,0.071752", \ - "0.025812,0.027090,0.029392,0.033625,0.041381,0.055728,0.081398"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.006904,0.007566,0.008813,0.011281,0.016179,0.025927,0.045374", \ - "0.007450,0.008127,0.009401,0.011908,0.016847,0.026634,0.046108", \ - "0.008494,0.009299,0.010757,0.013489,0.018561,0.028374,0.047877", \ - "0.008443,0.009546,0.011497,0.014978,0.021003,0.031619,0.051263", \ - "0.006365,0.007801,0.010335,0.014843,0.022487,0.035039,0.056354", \ - "0.002006,0.003762,0.006862,0.012396,0.021813,0.037092,0.061486", \ - "-0.004795,-0.002751,0.000872,0.007394,0.018560,0.036729,0.065298"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.013515,0.014609,0.016679,0.020779,0.028903,0.045036,0.077183", \ - "0.014128,0.015222,0.017295,0.021402,0.029541,0.045696,0.077857", \ - "0.017941,0.018960,0.020919,0.024862,0.032799,0.048752,0.080759", \ - "0.025127,0.026345,0.028596,0.032850,0.040607,0.055941,0.087300", \ - "0.034072,0.035476,0.038073,0.043011,0.052194,0.068643,0.098985", \ - "0.045193,0.046808,0.049768,0.055336,0.065614,0.084264,0.116946", \ - "0.058555,0.060408,0.063782,0.070064,0.081490,0.101975,0.138335"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.004644,0.005228,0.006316,0.008450,0.012669,0.021076,0.037872", \ - "0.004647,0.005229,0.006316,0.008449,0.012668,0.021077,0.037874", \ - "0.005964,0.006400,0.007271,0.009022,0.012846,0.021076,0.037869", \ - "0.009433,0.009778,0.010465,0.011917,0.015109,0.022189,0.037984", \ - "0.014361,0.014741,0.015486,0.016985,0.019957,0.026198,0.040170", \ - "0.020336,0.020774,0.021653,0.023406,0.026726,0.032942,0.045684", \ - "0.027259,0.027779,0.028815,0.030892,0.034773,0.041683,0.054277"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.009868,0.010874,0.012774,0.016555,0.024057,0.038976,0.068719", \ - "0.009853,0.010861,0.012769,0.016553,0.024055,0.038978,0.068724", \ - "0.010047,0.010954,0.012733,0.016487,0.024045,0.038980,0.068732", \ - "0.012979,0.013911,0.015607,0.018670,0.024942,0.038931,0.068727", \ - "0.016563,0.017610,0.019529,0.023182,0.029880,0.041952,0.068839", \ - "0.021247,0.022393,0.024470,0.028418,0.035795,0.049135,0.073205", \ - "0.027158,0.028421,0.030704,0.034943,0.042759,0.057163,0.082730"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.009999,0.010709,0.012038,0.014630,0.019676,0.029553,0.049054", \ - "0.010576,0.011286,0.012619,0.015215,0.020268,0.030151,0.049657", \ - "0.013329,0.014074,0.015425,0.017935,0.022899,0.032705,0.052146", \ - "0.016115,0.017087,0.018862,0.022177,0.028140,0.038418,0.057488", \ - "0.017846,0.019040,0.021225,0.025274,0.032556,0.045237,0.066655", \ - "0.018384,0.019801,0.022410,0.027230,0.035835,0.050708,0.075911", \ - "0.017637,0.019278,0.022302,0.027882,0.037837,0.054986,0.083708"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.011340,0.012207,0.013827,0.017009,0.023276,0.035698,0.060454", \ - "0.012155,0.013035,0.014682,0.017912,0.024246,0.036737,0.061543", \ - "0.015273,0.016224,0.017911,0.021130,0.027453,0.039984,0.064862", \ - "0.019018,0.020250,0.022470,0.026543,0.033789,0.046471,0.071203", \ - "0.024076,0.025569,0.028237,0.033076,0.041627,0.056477,0.081980", \ - "0.030297,0.032087,0.035276,0.041008,0.050938,0.067841,0.096694", \ - "0.037293,0.039406,0.043165,0.049906,0.061472,0.080710,0.112811"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.006413,0.006985,0.008061,0.010203,0.014456,0.022919,0.039795", \ - "0.006411,0.006983,0.008061,0.010204,0.014456,0.022918,0.039797", \ - "0.007386,0.007817,0.008668,0.010486,0.014459,0.022917,0.039793", \ - "0.010992,0.011544,0.012541,0.014405,0.017792,0.024286,0.039799", \ - "0.015916,0.016587,0.017778,0.019968,0.023884,0.030861,0.043509", \ - "0.022106,0.022942,0.024391,0.027009,0.031540,0.039357,0.053079", \ - "0.029535,0.030569,0.032325,0.035480,0.040822,0.049643,0.064596"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.005854,0.006597,0.008006,0.010838,0.016495,0.027780,0.050269", \ - "0.005866,0.006601,0.008010,0.010844,0.016497,0.027771,0.050280", \ - "0.006752,0.007310,0.008460,0.010975,0.016503,0.027774,0.050269", \ - "0.010239,0.010811,0.011927,0.014153,0.018428,0.028147,0.050273", \ - "0.014985,0.015530,0.016603,0.018836,0.023416,0.032355,0.051297", \ - "0.020863,0.021429,0.022557,0.024866,0.029524,0.038884,0.056896", \ - "0.027785,0.028394,0.029603,0.032131,0.037082,0.046690,0.065574"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.008440,0.009192,0.010584,0.013262,0.018402,0.028362,0.047922", \ - "0.009005,0.009754,0.011142,0.013819,0.018961,0.028923,0.048483", \ - "0.011665,0.012478,0.013934,0.016570,0.021570,0.031445,0.050940", \ - "0.013765,0.014836,0.016756,0.020291,0.026530,0.037110,0.056237", \ - "0.014598,0.015907,0.018285,0.022637,0.030308,0.043421,0.065240", \ - "0.014058,0.015602,0.018432,0.023595,0.032693,0.048181,0.073957", \ - "0.012080,0.013859,0.017117,0.023065,0.033571,0.051449,0.080992"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.013014,0.014129,0.016215,0.020316,0.028414,0.044497,0.076577", \ - "0.013745,0.014875,0.016995,0.021158,0.029342,0.045513,0.077658", \ - "0.016572,0.017713,0.019814,0.023929,0.032092,0.048316,0.080555", \ - "0.020105,0.021490,0.024005,0.028713,0.037381,0.053416,0.085465", \ - "0.025279,0.026899,0.029795,0.035099,0.044705,0.062144,0.094059", \ - "0.031870,0.033799,0.037215,0.043364,0.054121,0.073022,0.107040", \ - "0.039461,0.041727,0.045733,0.052905,0.065223,0.086068,0.122390"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.005943,0.006507,0.007574,0.009689,0.013898,0.022314,0.039146", \ - "0.005885,0.006466,0.007550,0.009680,0.013897,0.022313,0.039145", \ - "0.007189,0.007640,0.008406,0.010095,0.013917,0.022313,0.039143", \ - "0.010995,0.011522,0.012484,0.014273,0.017581,0.023894,0.039158", \ - "0.016232,0.016893,0.018049,0.020152,0.023939,0.030715,0.043160", \ - "0.022821,0.023657,0.025080,0.027618,0.031996,0.039555,0.052977", \ - "0.030762,0.031795,0.033534,0.036623,0.041800,0.050340,0.064874"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.006541,0.007513,0.009362,0.013063,0.020482,0.035286,0.064873", \ - "0.006559,0.007515,0.009359,0.013067,0.020477,0.035296,0.064890", \ - "0.007173,0.007984,0.009607,0.013128,0.020485,0.035294,0.064876", \ - "0.009891,0.010709,0.012283,0.015435,0.021626,0.035378,0.064873", \ - "0.014068,0.014813,0.016262,0.019284,0.025564,0.038077,0.065229", \ - "0.019543,0.020311,0.021794,0.024780,0.030835,0.043412,0.068704", \ - "0.026106,0.026926,0.028515,0.031684,0.037878,0.050180,0.075575"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.008710,0.009462,0.010854,0.013541,0.018711,0.028735,0.048416", \ - "0.009273,0.010022,0.011413,0.014099,0.019270,0.029297,0.048978", \ - "0.011995,0.012794,0.014230,0.016841,0.021876,0.031817,0.051434", \ - "0.014360,0.015398,0.017283,0.020767,0.026958,0.037494,0.056731", \ - "0.015627,0.016873,0.019169,0.023416,0.030986,0.044026,0.065792", \ - "0.015752,0.017194,0.019870,0.024842,0.033742,0.049057,0.074749", \ - "0.014717,0.016329,0.019340,0.024973,0.035141,0.052709,0.082044"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.015850,0.016958,0.019046,0.023160,0.031287,0.047409,0.079527", \ - "0.016650,0.017771,0.019884,0.024045,0.032240,0.048435,0.080609", \ - "0.019483,0.020591,0.022676,0.026806,0.034997,0.051250,0.083513", \ - "0.023640,0.024938,0.027325,0.031858,0.040281,0.056316,0.088411", \ - "0.029385,0.030861,0.033554,0.038597,0.047946,0.065136,0.096961", \ - "0.036751,0.038477,0.041596,0.047332,0.057663,0.076240,0.109997", \ - "0.045205,0.047221,0.050860,0.057492,0.069203,0.089530,0.125528"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.007640,0.008170,0.009187,0.011243,0.015397,0.023775,0.040586", \ - "0.007584,0.008129,0.009163,0.011234,0.015396,0.023772,0.040585", \ - "0.008872,0.009232,0.009971,0.011629,0.015414,0.023775,0.040585", \ - "0.013305,0.013716,0.014506,0.016072,0.019134,0.025332,0.040603", \ - "0.019318,0.019812,0.020724,0.022493,0.025898,0.032339,0.044576", \ - "0.026816,0.027433,0.028528,0.030610,0.034459,0.041511,0.054552", \ - "0.035736,0.036506,0.037837,0.040352,0.044836,0.052691,0.066712"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.008212,0.009194,0.011067,0.014810,0.022268,0.037127,0.066804", \ - "0.008217,0.009196,0.011068,0.014812,0.022264,0.037132,0.066808", \ - "0.008466,0.009368,0.011157,0.014829,0.022269,0.037135,0.066797", \ - "0.010998,0.011870,0.013507,0.016674,0.023094,0.037160,0.066798", \ - "0.014795,0.015629,0.017217,0.020427,0.026882,0.039530,0.067028", \ - "0.020059,0.020924,0.022533,0.025690,0.031980,0.044802,0.070278", \ - "0.026467,0.027411,0.029137,0.032479,0.038877,0.051443,0.077051"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.011002,0.011712,0.013040,0.015631,0.020677,0.030555,0.050057", \ - "0.011525,0.012237,0.013574,0.016174,0.021230,0.031119,0.050629", \ - "0.013034,0.013782,0.015171,0.017810,0.022881,0.032789,0.052318", \ - "0.014760,0.015653,0.017280,0.020318,0.025895,0.036189,0.055710", \ - "0.015327,0.016440,0.018470,0.022215,0.028872,0.040455,0.061118", \ - "0.014223,0.015566,0.018033,0.022555,0.030564,0.044205,0.067158", \ - "0.011263,0.012833,0.015734,0.021048,0.030443,0.046406,0.072674"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.013171,0.014017,0.015614,0.018771,0.025026,0.037455,0.062229", \ - "0.014116,0.014970,0.016579,0.019757,0.026035,0.038486,0.063278", \ - "0.017489,0.018353,0.019966,0.023137,0.029415,0.041879,0.066695", \ - "0.022119,0.023236,0.025278,0.029090,0.036009,0.048472,0.073143", \ - "0.028632,0.029923,0.032280,0.036670,0.044686,0.058985,0.084077", \ - "0.037154,0.038658,0.041391,0.046409,0.055423,0.071379,0.099401", \ - "0.047264,0.049016,0.052195,0.057980,0.068218,0.085929,0.116642"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.006415,0.006985,0.008062,0.010203,0.014455,0.022919,0.039792", \ - "0.006411,0.006982,0.008061,0.010202,0.014456,0.022920,0.039797", \ - "0.006887,0.007397,0.008385,0.010390,0.014489,0.022918,0.039792", \ - "0.009210,0.009688,0.010596,0.012396,0.016057,0.023618,0.039834", \ - "0.013182,0.013720,0.014694,0.016529,0.019997,0.026931,0.041560", \ - "0.018149,0.018811,0.019978,0.022121,0.025933,0.032794,0.046442", \ - "0.023956,0.024772,0.026186,0.028758,0.033198,0.040710,0.054150"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.007146,0.007895,0.009319,0.012167,0.017843,0.029163,0.051717", \ - "0.007151,0.007895,0.009321,0.012168,0.017849,0.029161,0.051715", \ - "0.007635,0.008274,0.009534,0.012224,0.017857,0.029156,0.051713", \ - "0.010900,0.011524,0.012700,0.014977,0.019400,0.029423,0.051722", \ - "0.014891,0.015558,0.016824,0.019313,0.024151,0.033219,0.052572", \ - "0.019799,0.020517,0.021861,0.024505,0.029634,0.039484,0.057798", \ - "0.025660,0.026455,0.027928,0.030792,0.036230,0.046545,0.066119"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.009444,0.010194,0.011585,0.014261,0.019401,0.029363,0.048923", \ - "0.009947,0.010700,0.012094,0.014775,0.019922,0.029890,0.049456", \ - "0.011382,0.012174,0.013627,0.016379,0.021543,0.031532,0.051115", \ - "0.012595,0.013583,0.015353,0.018590,0.024376,0.034842,0.054468", \ - "0.012239,0.013487,0.015723,0.019780,0.026841,0.038812,0.059728", \ - "0.009990,0.011488,0.014206,0.019121,0.027655,0.041940,0.065421", \ - "0.005696,0.007450,0.010627,0.016380,0.026418,0.043180,0.070282"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.015417,0.016503,0.018557,0.022628,0.030711,0.046798,0.078891", \ - "0.016313,0.017409,0.019480,0.023576,0.031689,0.047809,0.079921", \ - "0.019323,0.020416,0.022475,0.026554,0.034663,0.050797,0.082945", \ - "0.023599,0.024878,0.027237,0.031726,0.040098,0.056024,0.087990", \ - "0.030094,0.031513,0.034113,0.039008,0.048164,0.065138,0.096768", \ - "0.038959,0.040583,0.043531,0.048973,0.058872,0.076959,0.110255", \ - "0.049663,0.051535,0.054934,0.061120,0.072131,0.091557,0.126674"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.005924,0.006492,0.007560,0.009679,0.013896,0.022312,0.039145", \ - "0.005896,0.006470,0.007549,0.009676,0.013895,0.022313,0.039145", \ - "0.006458,0.006976,0.007942,0.009907,0.013959,0.022316,0.039142", \ - "0.009116,0.009565,0.010422,0.012133,0.015670,0.023100,0.039208", \ - "0.013354,0.013873,0.014806,0.016569,0.019899,0.026616,0.041046", \ - "0.018624,0.019272,0.020396,0.022465,0.026129,0.032794,0.046139", \ - "0.024845,0.025630,0.027000,0.029488,0.033781,0.041049,0.054161"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.008215,0.009203,0.011071,0.014810,0.022264,0.037133,0.066806", \ - "0.008221,0.009207,0.011070,0.014809,0.022270,0.037130,0.066799", \ - "0.008507,0.009396,0.011167,0.014834,0.022266,0.037128,0.066794", \ - "0.010996,0.011873,0.013515,0.016704,0.023127,0.037176,0.066812", \ - "0.014349,0.015239,0.016913,0.020237,0.026795,0.039528,0.067041", \ - "0.018803,0.019730,0.021457,0.024823,0.031439,0.044560,0.070249", \ - "0.024285,0.025295,0.027140,0.030697,0.037447,0.050607,0.076720"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.009713,0.010464,0.011855,0.014541,0.019710,0.029736,0.049418", \ - "0.010216,0.010969,0.012365,0.015055,0.020231,0.030264,0.049950", \ - "0.011672,0.012460,0.013910,0.016662,0.021853,0.031906,0.051611", \ - "0.013044,0.014009,0.015752,0.018956,0.024731,0.035231,0.054967", \ - "0.012981,0.014189,0.016371,0.020355,0.027345,0.039284,0.060257", \ - "0.011176,0.012609,0.015222,0.020012,0.028427,0.042587,0.066044", \ - "0.007508,0.009146,0.012164,0.017714,0.027538,0.044111,0.071077"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.018246,0.019334,0.021395,0.025483,0.033592,0.049713,0.081848", \ - "0.019170,0.020265,0.022338,0.026445,0.034579,0.050725,0.082880", \ - "0.022173,0.023263,0.025326,0.029421,0.037555,0.053721,0.085904", \ - "0.026917,0.028139,0.030407,0.034763,0.042943,0.058918,0.090940", \ - "0.033749,0.035092,0.037571,0.042317,0.051301,0.068077,0.099673", \ - "0.043112,0.044613,0.047385,0.052574,0.062211,0.080085,0.113172", \ - "0.054446,0.056171,0.059329,0.065163,0.075767,0.094853,0.129745"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.007620,0.008152,0.009174,0.011235,0.015396,0.023775,0.040583", \ - "0.007592,0.008132,0.009162,0.011231,0.015395,0.023773,0.040585", \ - "0.008161,0.008623,0.009534,0.011454,0.015458,0.023778,0.040583", \ - "0.011048,0.011426,0.012181,0.013770,0.017194,0.024557,0.040652", \ - "0.015839,0.016241,0.016998,0.018514,0.021590,0.028134,0.042482", \ - "0.021830,0.022313,0.023193,0.024911,0.028173,0.034481,0.047639", \ - "0.028826,0.029431,0.030489,0.032533,0.036284,0.043033,0.055785"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.009891,0.010889,0.012782,0.016559,0.024053,0.038985,0.068719", \ - "0.009890,0.010891,0.012783,0.016554,0.024056,0.038984,0.068722", \ - "0.009983,0.010957,0.012821,0.016567,0.024053,0.038985,0.068724", \ - "0.012245,0.013134,0.014804,0.017995,0.024658,0.038990,0.068721", \ - "0.015474,0.016396,0.018128,0.021530,0.028164,0.041034,0.068887", \ - "0.019820,0.020773,0.022550,0.026004,0.032754,0.046000,0.071845", \ - "0.025266,0.026291,0.028174,0.031794,0.038654,0.052002,0.078236"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.273020,0.292055,0.307951,0.324831,0.339399,0.350675,0.356687", \ - "0.238640,0.252891,0.281250,0.304032,0.335156,0.360281,0.376676", \ - "0.547405,0.501666,0.465172,0.441754,0.423250,0.414181,0.411923", \ - "1.701085,1.627794,1.493089,1.269307,0.992509,0.807299,0.665102", \ - "3.455041,3.400639,3.289570,3.024187,2.532421,1.891393,1.409357", \ - "5.761126,5.749995,5.676458,5.527415,5.033482,4.061191,2.921208", \ - "8.777069,8.711411,8.694380,8.654149,8.288085,7.301787,5.560306"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("3.250232,3.293039,3.343228,3.353137,3.390435,3.327887,3.383853", \ - "3.218202,3.229132,3.281642,3.287642,3.364063,3.393805,3.299384", \ - "3.616574,3.616800,3.589834,3.545274,3.476255,3.379120,3.321028", \ - "4.615287,4.580129,4.572161,4.520287,4.320071,4.030317,3.903908", \ - "6.623212,6.548980,6.396263,6.238518,5.953227,5.496259,4.910648", \ - "9.519338,9.464850,9.350687,9.041908,8.523886,7.952866,6.942393", \ - "13.308360,13.314130,13.188890,12.938890,12.348910,11.410600,10.103280"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.297841,0.303481,0.317833,0.332871,0.349089,0.358403,0.364165", \ - "0.291390,0.301087,0.318398,0.344224,0.370236,0.393792,0.411876", \ - "0.576933,0.536503,0.502103,0.477820,0.468984,0.463913,0.464553", \ - "1.573921,1.515719,1.409100,1.214707,0.970134,0.815022,0.692911", \ - "3.083712,3.054209,2.966505,2.756973,2.340441,1.766596,1.344869", \ - "5.091667,5.038391,5.045591,4.872393,4.520020,3.697917,2.677950", \ - "7.607411,7.623552,7.638592,7.594750,7.369158,6.572389,5.030847"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("3.231718,3.246345,3.287695,3.335187,3.361116,3.286081,3.332341", \ - "3.186583,3.188430,3.228117,3.275970,3.249233,3.238238,3.187194", \ - "3.520387,3.511472,3.489228,3.465781,3.405741,3.311366,3.313397", \ - "4.430596,4.432396,4.453727,4.276824,4.119846,3.860988,3.690707", \ - "6.194184,6.139548,6.014555,5.840835,5.648183,5.191004,4.503609", \ - "8.824713,8.754712,8.641196,8.332161,7.830310,7.363243,6.442288", \ - "12.362260,12.278470,12.154900,11.811110,11.133510,10.361530,9.202138"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.291445,0.306953,0.319070,0.336665,0.349691,0.362922,0.367085", \ - "0.289009,0.298828,0.320000,0.343862,0.372438,0.394880,0.413985", \ - "0.536124,0.515684,0.495637,0.478844,0.465989,0.465359,0.467465", \ - "1.557158,1.479639,1.364541,1.181537,0.962737,0.813567,0.694935", \ - "3.172754,3.115673,2.980537,2.738919,2.308411,1.753599,1.343437", \ - "5.267945,5.212470,5.174775,4.970011,4.522759,3.673255,2.671506", \ - "7.908010,7.920296,7.891561,7.738812,7.407600,6.551238,5.013402"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("4.280112,4.348852,4.390133,4.414894,4.469623,4.495868,4.512728", \ - "4.264997,4.278756,4.318626,4.372252,4.403520,4.459130,4.443785", \ - "4.550576,4.525643,4.531500,4.521711,4.432166,4.530106,4.527826", \ - "5.476126,5.482367,5.426825,5.295268,5.193012,4.990193,4.862865", \ - "7.052296,7.017472,6.919891,6.860683,6.656529,6.150612,5.664425", \ - "9.637845,9.575290,9.479173,9.233656,8.833142,8.318047,7.376447", \ - "13.146510,13.079910,12.965840,12.651350,12.081010,11.358910,10.114260"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.291077,0.293882,0.316231,0.325736,0.341454,0.350583,0.356654", \ - "0.189089,0.216443,0.245198,0.283924,0.322612,0.353704,0.372606", \ - "0.325849,0.297380,0.279866,0.290348,0.308732,0.340542,0.368953", \ - "1.250920,1.213572,1.113839,0.935008,0.708398,0.590780,0.522739", \ - "2.735953,2.706302,2.613265,2.439085,2.046440,1.481194,1.081665", \ - "4.709915,4.661353,4.635829,4.535315,4.187709,3.402746,2.352859", \ - "7.125912,7.163468,7.157198,7.129997,6.901870,6.236724,4.724066"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("4.235741,4.241419,4.233612,4.261471,4.311260,4.293442,4.261245", \ - "4.157288,4.179383,4.179027,4.196262,4.204062,4.192734,4.332907", \ - "4.550025,4.519521,4.534857,4.460427,4.476592,4.357007,4.391518", \ - "5.648237,5.596094,5.597842,5.552271,5.327528,5.126440,4.896072", \ - "7.624296,7.558897,7.528033,7.394463,7.155768,6.620690,6.118007", \ - "10.664880,10.630920,10.537650,10.334740,9.846967,9.328140,8.119652", \ - "14.635010,14.619800,14.543560,14.325370,13.910770,12.904920,11.684030"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.305405,0.313565,0.323994,0.336182,0.353664,0.361747,0.366642", \ - "0.229694,0.254105,0.286073,0.317612,0.357382,0.388237,0.410538", \ - "0.371526,0.346319,0.331570,0.342348,0.364319,0.397825,0.426970", \ - "1.174386,1.142965,1.062006,0.916646,0.719293,0.622307,0.568326", \ - "2.415645,2.395679,2.344290,2.213415,1.895390,1.407422,1.058363", \ - "4.005055,4.057089,4.037371,3.944072,3.725192,3.101882,2.182458", \ - "6.016021,6.067436,6.110610,6.142575,6.019493,5.537552,4.289411"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("4.206842,4.216986,4.219182,4.233674,4.256665,4.206520,4.111638", \ - "4.135602,4.131238,4.170187,4.178673,4.221974,4.176144,4.079753", \ - "4.442162,4.467528,4.471713,4.423935,4.384409,4.299221,4.139684", \ - "5.520481,5.541049,5.471108,5.361609,5.147761,4.961049,4.660031", \ - "7.183810,7.151189,7.123319,7.021478,6.714411,6.356070,5.709751", \ - "9.869201,9.810125,9.745980,9.502488,9.155437,8.631966,7.515187", \ - "13.461730,13.413390,13.349580,13.080720,12.640390,11.776180,10.613440"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.304374,0.305429,0.325552,0.336199,0.349757,0.361092,0.369822", \ - "0.235290,0.253824,0.283803,0.319242,0.359907,0.389163,0.410309", \ - "0.334258,0.331298,0.329658,0.341603,0.366716,0.399585,0.427296", \ - "1.157721,1.118326,1.034890,0.888709,0.710627,0.623187,0.567302", \ - "2.493829,2.463745,2.394087,2.214615,1.870994,1.389986,1.059586", \ - "4.262352,4.231455,4.187959,4.061669,3.739189,3.081193,2.170135", \ - "6.415111,6.389564,6.380547,6.307637,6.117996,5.560807,4.267227"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("5.253494,5.290733,5.259571,5.282737,5.331485,5.330420,5.240454", \ - "5.198070,5.242495,5.213600,5.268325,5.301057,5.322845,5.261376", \ - "5.489310,5.507249,5.509036,5.452516,5.471008,5.451151,5.357766", \ - "6.513091,6.459250,6.437386,6.362154,6.219001,6.020057,5.831084", \ - "8.143840,8.126130,8.082101,8.015561,7.783491,7.372716,6.805590", \ - "10.767320,10.727060,10.642530,10.470080,10.136760,9.631159,8.662776", \ - "14.340520,14.293960,14.177630,13.979940,13.541780,12.811290,11.714490"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("1.612462,1.606966,1.643733,1.665747,1.709111,1.753065,1.794013", \ - "1.554992,1.562012,1.589088,1.617330,1.661249,1.708256,1.753509", \ - "1.641505,1.662057,1.674455,1.681002,1.708027,1.724658,1.759768", \ - "2.484655,2.463057,2.393193,2.283609,2.184910,2.079474,1.996198", \ - "4.186914,4.141033,4.037765,3.844900,3.520373,3.091844,2.717007", \ - "6.588633,6.550175,6.491638,6.279060,5.871190,5.129299,4.222430", \ - "9.612204,9.600179,9.590954,9.453533,9.140220,8.251329,6.817324"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("5.442696,5.451592,5.496101,5.519620,5.490733,5.568061,5.420528", \ - "5.325393,5.360414,5.381984,5.436961,5.501488,5.401666,5.329734", \ - "5.229799,5.222454,5.270790,5.284125,5.346818,5.443051,5.280888", \ - "5.697948,5.723894,5.703828,5.657344,5.613701,5.535394,5.538291", \ - "6.986432,6.958489,6.855315,6.744816,6.660900,6.374610,6.112394", \ - "9.391133,9.305641,9.162944,8.879076,8.495694,8.072596,7.379832", \ - "12.571830,12.477080,12.312530,12.015700,11.488280,10.647020,9.409466"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("1.426478,1.441248,1.480664,1.524617,1.572451,1.632983,1.682922", \ - "1.346584,1.376808,1.407948,1.457611,1.506249,1.569284,1.618918", \ - "1.433705,1.465420,1.484224,1.504205,1.530366,1.569098,1.605083", \ - "2.304539,2.268351,2.207137,2.094779,1.979055,1.894126,1.812222", \ - "3.922566,3.896288,3.800562,3.611624,3.276700,2.837165,2.479111", \ - "6.208241,6.163811,6.103401,5.926779,5.520529,4.786077,3.881311", \ - "9.065756,9.062843,9.056821,8.962337,8.593028,7.753773,6.343677"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("4.942789,4.956905,4.993910,5.008604,5.017194,4.921575,5.024975", \ - "4.792538,4.831372,4.877943,4.924347,4.967553,4.886638,5.064956", \ - "4.742306,4.740861,4.753021,4.773552,4.844971,4.812992,4.925209", \ - "5.093210,5.167333,5.124397,5.115003,5.039712,5.025914,4.944693", \ - "6.341337,6.279283,6.199176,6.129510,6.005879,5.698776,5.421863", \ - "8.585885,8.545142,8.365075,8.106045,7.738614,7.346087,6.759488", \ - "11.728190,11.618890,11.484970,11.190690,10.614240,9.765092,8.755107"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("1.462856,1.487805,1.520378,1.548850,1.588994,1.632717,1.681989", \ - "1.389656,1.421991,1.447060,1.473600,1.523719,1.574771,1.619875", \ - "1.502680,1.504044,1.517526,1.524593,1.544190,1.578578,1.608041", \ - "2.311366,2.278502,2.204184,2.090505,1.987778,1.899603,1.815280", \ - "3.984677,3.932350,3.805252,3.611413,3.262161,2.835991,2.481213", \ - "6.329495,6.309614,6.203135,5.974939,5.523112,4.768831,3.881889", \ - "9.319597,9.323212,9.232049,9.068227,8.652566,7.747350,6.332213"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("6.038516,6.036114,6.072799,6.107154,6.110890,6.089248,5.934550", \ - "5.894103,5.929133,5.972874,6.018787,6.072118,6.055987,5.916557", \ - "5.824571,5.827570,5.864303,5.887872,5.878728,6.024996,5.839995", \ - "6.211556,6.162898,6.179395,6.125288,6.070709,6.092311,6.126599", \ - "7.253062,7.191252,7.171627,7.065216,7.040016,6.831396,6.403294", \ - "9.393611,9.361687,9.261974,9.034873,8.745741,8.391015,7.812126", \ - "12.446440,12.406500,12.285130,12.038540,11.510350,10.636580,9.935543"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("1.609841,1.621340,1.647534,1.675913,1.703171,1.748707,1.795035", \ - "1.544470,1.556364,1.575980,1.606271,1.661565,1.706295,1.751934", \ - "1.526625,1.525929,1.561012,1.594492,1.633890,1.676812,1.729484", \ - "2.155388,2.124085,2.086100,2.004831,1.938677,1.898072,1.868896", \ - "3.547977,3.517288,3.440358,3.300834,3.039713,2.678202,2.415274", \ - "5.529242,5.478282,5.447067,5.355275,5.043091,4.437223,3.637076", \ - "8.003859,8.040564,8.026379,7.996854,7.767643,7.134539,5.912679"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("6.384659,6.370742,6.410541,6.415442,6.429495,6.487464,6.419903", \ - "6.272468,6.285582,6.326601,6.361517,6.409155,6.426524,6.462430", \ - "6.236361,6.250077,6.294564,6.304122,6.318719,6.376657,6.443708", \ - "6.745624,6.760479,6.710826,6.694573,6.621844,6.458389,6.314583", \ - "7.976571,7.986215,7.886142,7.847438,7.736796,7.353637,7.089301", \ - "10.418440,10.357620,10.232290,10.069750,9.741306,9.311012,8.537795", \ - "13.645730,13.631440,13.485310,13.264600,12.812090,12.076710,10.896360"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("1.434210,1.443052,1.478502,1.517805,1.572777,1.633209,1.680413", \ - "1.325083,1.375191,1.406669,1.453550,1.504189,1.568841,1.618175", \ - "1.319479,1.352547,1.370989,1.422785,1.474546,1.524497,1.580380", \ - "1.969337,1.943277,1.897543,1.830760,1.756462,1.723165,1.702968", \ - "3.291763,3.252615,3.210148,3.066991,2.815337,2.459160,2.205951", \ - "5.130908,5.112957,5.086257,5.001910,4.720173,4.132069,3.350445", \ - "7.472014,7.455575,7.527219,7.465892,7.287252,6.678857,5.506907"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("5.872838,5.894575,5.912134,5.911431,5.941759,5.953112,5.718114", \ - "5.788536,5.832221,5.850987,5.876596,5.825931,5.926130,5.685342", \ - "5.781768,5.788302,5.787669,5.820458,5.826116,5.868375,5.683005", \ - "6.199721,6.148911,6.164251,6.112136,6.060580,6.031361,6.024847", \ - "7.331458,7.279957,7.239794,7.243841,7.089901,6.758059,6.357334", \ - "9.566335,9.538433,9.444615,9.291375,8.997755,8.444803,7.679906", \ - "12.689240,12.653020,12.538130,12.343510,11.933730,11.151160,10.201910"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("1.468439,1.500655,1.521379,1.550645,1.585111,1.632940,1.681770", \ - "1.388424,1.419466,1.437576,1.477805,1.517794,1.572104,1.619092", \ - "1.376318,1.395638,1.411916,1.445862,1.489387,1.530139,1.580244", \ - "1.973721,1.956359,1.900904,1.826370,1.770283,1.734330,1.704992", \ - "3.334642,3.316887,3.234976,3.075784,2.816290,2.455553,2.208596", \ - "5.327975,5.276339,5.224111,5.069863,4.727834,4.122610,3.345813", \ - "7.768853,7.742970,7.703222,7.650102,7.371692,6.686552,5.493671"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("6.943124,6.940352,6.949900,6.984919,7.029151,7.079731,6.966408", \ - "6.885859,6.911382,6.887379,6.960990,6.949718,7.017848,6.928732", \ - "6.857158,6.835005,6.867330,6.865884,6.936243,6.980014,6.899652", \ - "7.228326,7.207502,7.212453,7.187639,7.097344,7.076084,6.894193", \ - "8.301422,8.251942,8.275368,8.251720,8.131103,7.836370,7.564083", \ - "10.463720,10.442840,10.362700,10.218880,9.978084,9.608815,8.899298", \ - "13.559860,13.526930,13.419860,13.239230,12.810080,12.222180,11.188880"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI22_X2 - Cell Description : Combinational cell (AOI22_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI22_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 202.354870; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 40.099375; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 176.469750; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 45.083749; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 191.207625; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 176.470125; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 312.815750; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 181.452749; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 269.632500; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 45.083877; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 181.452627; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 50.069876; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 269.592375; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 272.297750; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 351.918250; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 351.850750; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 322.180795; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.184867; - fall_capacitance : 2.864463; - rise_capacitance : 3.184867; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.565365; - fall_capacitance : 3.031527; - rise_capacitance : 3.565365; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.051901; - fall_capacitance : 3.051901; - rise_capacitance : 3.015830; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.490653; - fall_capacitance : 3.328501; - rise_capacitance : 3.490653; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 49.133300; - function : "!((A1 & A2) | (B1 & B2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.005475,0.006434,0.007675,0.010125,0.014980,0.024643,0.043929", \ - "0.006126,0.007079,0.008321,0.010783,0.015660,0.025346,0.044650", \ - "0.007689,0.009000,0.010594,0.013471,0.018410,0.027971,0.047199", \ - "0.008258,0.010004,0.012110,0.015896,0.022486,0.033588,0.052594", \ - "0.007513,0.009725,0.012379,0.017128,0.025283,0.038932,0.061428", \ - "0.005267,0.007923,0.011116,0.016838,0.026653,0.042878,0.069382", \ - "0.001406,0.004473,0.008178,0.014847,0.026310,0.045231,0.075749"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.006380,0.007600,0.009172,0.012260,0.018343,0.030403,0.054439", \ - "0.007179,0.008360,0.009912,0.012994,0.019098,0.031198,0.055271", \ - "0.010502,0.011943,0.013692,0.016792,0.022631,0.034518,0.058456", \ - "0.014773,0.016597,0.018810,0.022868,0.030045,0.042126,0.065468", \ - "0.019965,0.022244,0.024979,0.029892,0.038483,0.053259,0.077689", \ - "0.025943,0.028704,0.032015,0.037924,0.048054,0.065135,0.093856", \ - "0.032786,0.036001,0.039884,0.046827,0.058667,0.078234,0.110659"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.003150,0.003949,0.005000,0.007100,0.011295,0.019683,0.036459", \ - "0.003147,0.003949,0.005000,0.007098,0.011294,0.019683,0.036457", \ - "0.005243,0.005916,0.006771,0.008323,0.011630,0.019684,0.036457", \ - "0.008877,0.009673,0.010635,0.012443,0.015838,0.022036,0.036559", \ - "0.013923,0.014919,0.016122,0.018264,0.022032,0.028879,0.041308", \ - "0.020368,0.021587,0.023061,0.025679,0.030106,0.037610,0.051087", \ - "0.028372,0.029777,0.031519,0.034635,0.039884,0.048435,0.062843"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.004362,0.005439,0.006849,0.009661,0.015258,0.026454,0.048827", \ - "0.004327,0.005419,0.006841,0.009657,0.015262,0.026448,0.048825", \ - "0.006276,0.007158,0.008124,0.010245,0.015251,0.026448,0.048818", \ - "0.009418,0.010419,0.011706,0.014166,0.018554,0.027362,0.048816", \ - "0.013995,0.015107,0.016509,0.019172,0.024221,0.033326,0.050778", \ - "0.019965,0.021229,0.022820,0.025779,0.031188,0.041222,0.058891", \ - "0.027469,0.028853,0.030627,0.033947,0.039890,0.050534,0.070019"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.005492,0.006451,0.007691,0.010141,0.014996,0.024657,0.043941", \ - "0.006192,0.007144,0.008386,0.010848,0.015723,0.025407,0.044709", \ - "0.007741,0.009064,0.010668,0.013551,0.018493,0.028062,0.047294", \ - "0.007830,0.009639,0.011817,0.015707,0.022412,0.033591,0.052640", \ - "0.006115,0.008433,0.011214,0.016173,0.024623,0.038580,0.061286", \ - "0.002389,0.005198,0.008567,0.014588,0.024859,0.041687,0.068751", \ - "-0.003497,-0.000224,0.003703,0.010753,0.022816,0.042605,0.074128"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.007796,0.009433,0.011546,0.015694,0.023858,0.040028,0.072241", \ - "0.008449,0.010036,0.012124,0.016277,0.024483,0.040715,0.072985", \ - "0.012183,0.013884,0.015957,0.019754,0.027657,0.043666,0.075801", \ - "0.017394,0.019487,0.022065,0.026846,0.035378,0.050760,0.082221", \ - "0.024071,0.026614,0.029692,0.035306,0.045355,0.062920,0.093732", \ - "0.032068,0.035126,0.038795,0.045398,0.056926,0.076925,0.111147", \ - "0.041470,0.045024,0.049310,0.057001,0.070241,0.092638,0.130891"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.003151,0.003949,0.004999,0.007098,0.011295,0.019684,0.036454", \ - "0.003148,0.003950,0.004999,0.007099,0.011295,0.019684,0.036455", \ - "0.005208,0.005883,0.006740,0.008290,0.011612,0.019684,0.036458", \ - "0.008878,0.009689,0.010675,0.012492,0.015869,0.022035,0.036555", \ - "0.013989,0.015028,0.016264,0.018456,0.022251,0.029039,0.041363", \ - "0.020509,0.021795,0.023331,0.026027,0.030547,0.038070,0.051382", \ - "0.028549,0.030056,0.031888,0.035138,0.040543,0.049216,0.063562"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.006068,0.007546,0.009454,0.013224,0.020694,0.035576,0.065295", \ - "0.005958,0.007476,0.009419,0.013217,0.020688,0.035568,0.065292", \ - "0.007472,0.008477,0.009957,0.013246,0.020653,0.035569,0.065286", \ - "0.010332,0.011628,0.013314,0.016554,0.022420,0.035651,0.065289", \ - "0.014712,0.016044,0.017769,0.021166,0.027740,0.039610,0.065621", \ - "0.020544,0.021996,0.023852,0.027396,0.034189,0.047191,0.070832", \ - "0.027870,0.029446,0.031476,0.035310,0.042417,0.055865,0.081031"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.005665,0.006631,0.007880,0.010350,0.015245,0.024983,0.044404", \ - "0.006363,0.007325,0.008576,0.011058,0.015972,0.025732,0.045169", \ - "0.008043,0.009349,0.010939,0.013803,0.018741,0.028387,0.047754", \ - "0.008408,0.010172,0.012307,0.016152,0.022816,0.033965,0.053101", \ - "0.007197,0.009413,0.012101,0.016946,0.025291,0.039174,0.061839", \ - "0.004332,0.006922,0.010100,0.015896,0.025946,0.042591,0.069546", \ - "-0.000234,0.002637,0.006218,0.012848,0.024508,0.043953,0.075224"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.010636,0.012266,0.014372,0.018516,0.026677,0.042832,0.074996", \ - "0.011261,0.012875,0.014974,0.019126,0.027321,0.043529,0.075741", \ - "0.015111,0.016610,0.018523,0.022461,0.030437,0.046460,0.078553", \ - "0.021030,0.022966,0.025386,0.029922,0.038090,0.053460,0.084932", \ - "0.028474,0.030767,0.033602,0.038907,0.048597,0.065708,0.096360", \ - "0.037362,0.040079,0.043409,0.049560,0.060594,0.080125,0.113796", \ - "0.047650,0.050813,0.054686,0.061800,0.074382,0.096168,0.133870"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.004427,0.005277,0.006364,0.008496,0.012711,0.021110,0.037890", \ - "0.004424,0.005276,0.006364,0.008496,0.012711,0.021111,0.037890", \ - "0.006883,0.007464,0.008236,0.009654,0.013016,0.021111,0.037893", \ - "0.011438,0.012019,0.012792,0.014349,0.017459,0.023434,0.037987", \ - "0.017662,0.018341,0.019233,0.020972,0.024304,0.030704,0.042767", \ - "0.025374,0.026188,0.027258,0.029334,0.033176,0.040108,0.052995", \ - "0.034593,0.035549,0.036831,0.039305,0.043841,0.051706,0.065454"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.007835,0.009295,0.011190,0.014954,0.022425,0.037308,0.067027", \ - "0.007793,0.009267,0.011173,0.014946,0.022423,0.037313,0.067018", \ - "0.008422,0.009628,0.011293,0.014831,0.022406,0.037315,0.067014", \ - "0.011484,0.012825,0.014529,0.017695,0.023664,0.037299,0.067012", \ - "0.015645,0.017055,0.018858,0.022353,0.028951,0.040818,0.067216", \ - "0.021309,0.022849,0.024786,0.028440,0.035379,0.048404,0.072077", \ - "0.028419,0.030138,0.032283,0.036243,0.043502,0.057097,0.082192"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.006471,0.007426,0.008662,0.011107,0.015957,0.025615,0.044900", \ - "0.006958,0.007935,0.009197,0.011681,0.016576,0.026273,0.045585", \ - "0.007838,0.009022,0.010476,0.013196,0.018243,0.027967,0.047311", \ - "0.007776,0.009384,0.011312,0.014750,0.020708,0.031230,0.050709", \ - "0.006014,0.008090,0.010572,0.014982,0.022460,0.034802,0.055889", \ - "0.002279,0.004818,0.007854,0.013254,0.022411,0.037306,0.061275", \ - "-0.003660,-0.000658,0.002926,0.009314,0.020164,0.037796,0.065662"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.008130,0.009323,0.010869,0.013928,0.019986,0.032026,0.056031", \ - "0.008895,0.010080,0.011622,0.014682,0.020748,0.032800,0.056819", \ - "0.012819,0.014095,0.015668,0.018545,0.024385,0.036223,0.060077", \ - "0.018274,0.019870,0.021862,0.025588,0.032309,0.043986,0.067222", \ - "0.025291,0.027209,0.029567,0.033942,0.041881,0.055919,0.079682", \ - "0.033878,0.036161,0.038947,0.044052,0.053144,0.069108,0.096721", \ - "0.044111,0.046766,0.050005,0.055916,0.066312,0.084176,0.114957"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.003149,0.003950,0.005000,0.007098,0.011294,0.019682,0.036458", \ - "0.003155,0.003952,0.005000,0.007098,0.011295,0.019683,0.036460", \ - "0.004393,0.005035,0.005907,0.007705,0.011488,0.019684,0.036458", \ - "0.007364,0.007997,0.008808,0.010382,0.013673,0.020818,0.036575", \ - "0.011472,0.012247,0.013212,0.014979,0.018217,0.024658,0.038762", \ - "0.016496,0.017447,0.018621,0.020766,0.024513,0.031072,0.044077", \ - "0.022482,0.023584,0.024993,0.027563,0.032006,0.039399,0.052330"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.005650,0.006725,0.008137,0.010949,0.016558,0.027771,0.050151", \ - "0.005637,0.006716,0.008131,0.010949,0.016561,0.027770,0.050151", \ - "0.007088,0.007850,0.008921,0.011257,0.016548,0.027767,0.050149", \ - "0.009951,0.011034,0.012386,0.014894,0.019255,0.028449,0.050156", \ - "0.013714,0.014951,0.016494,0.019396,0.024714,0.033916,0.051826", \ - "0.018607,0.020038,0.021780,0.025002,0.030859,0.041435,0.059370", \ - "0.024662,0.026307,0.028313,0.031928,0.038329,0.049746,0.070024"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.006487,0.007442,0.008678,0.011123,0.015973,0.025631,0.044912", \ - "0.007023,0.008001,0.009262,0.011745,0.016638,0.026335,0.045644", \ - "0.007944,0.009130,0.010586,0.013307,0.018351,0.028075,0.047416", \ - "0.007589,0.009235,0.011202,0.014701,0.020725,0.031291,0.050795", \ - "0.005066,0.007224,0.009800,0.014358,0.022045,0.034607,0.055836", \ - "0.000096,0.002762,0.005943,0.011586,0.021103,0.036451,0.060848", \ - "-0.007556,-0.004384,-0.000606,0.006108,0.017463,0.035788,0.064444"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.010223,0.011817,0.013888,0.017988,0.026107,0.042245,0.074424", \ - "0.010838,0.012425,0.014496,0.018604,0.026743,0.042906,0.075105", \ - "0.014947,0.016435,0.018311,0.022182,0.030070,0.046000,0.078025", \ - "0.021353,0.023233,0.025590,0.030023,0.038060,0.053285,0.084619", \ - "0.029702,0.031894,0.034619,0.039744,0.049181,0.065986,0.096390", \ - "0.040151,0.042702,0.045849,0.051681,0.062262,0.081257,0.114381", \ - "0.052762,0.055716,0.059324,0.065967,0.077812,0.098696,0.135477"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.003150,0.003950,0.005000,0.007100,0.011294,0.019681,0.036458", \ - "0.003155,0.003951,0.004999,0.007098,0.011295,0.019683,0.036459", \ - "0.004357,0.004999,0.005881,0.007683,0.011478,0.019683,0.036455", \ - "0.007292,0.007937,0.008765,0.010360,0.013663,0.020808,0.036572", \ - "0.011365,0.012167,0.013158,0.014967,0.018264,0.024706,0.038772", \ - "0.016376,0.017356,0.018569,0.020769,0.024596,0.031229,0.044195", \ - "0.022294,0.023455,0.024915,0.027572,0.032119,0.039629,0.052642"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.007837,0.009299,0.011199,0.014969,0.022452,0.037360,0.067118", \ - "0.007787,0.009265,0.011181,0.014965,0.022454,0.037364,0.067114", \ - "0.008493,0.009697,0.011352,0.014873,0.022434,0.037360,0.067104", \ - "0.011314,0.012696,0.014433,0.017646,0.023698,0.037352,0.067109", \ - "0.014836,0.016353,0.018272,0.021935,0.028711,0.040769,0.067308", \ - "0.019513,0.021179,0.023252,0.027170,0.034525,0.047956,0.071995", \ - "0.025368,0.027223,0.029514,0.033744,0.041512,0.055885,0.081615"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.006660,0.007623,0.008868,0.011332,0.016222,0.025956,0.045373", \ - "0.007198,0.008183,0.009453,0.011956,0.016887,0.026660,0.046104", \ - "0.008184,0.009361,0.010812,0.013539,0.018601,0.028401,0.047876", \ - "0.008016,0.009631,0.011570,0.015037,0.021043,0.031643,0.051257", \ - "0.005815,0.007909,0.010427,0.014916,0.022534,0.035058,0.056339", \ - "0.001338,0.003895,0.006968,0.012482,0.021869,0.037109,0.061461", \ - "-0.005578,-0.002601,0.000992,0.007490,0.018617,0.036746,0.065261"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.013039,0.014631,0.016702,0.020801,0.028921,0.045044,0.077173", \ - "0.013661,0.015251,0.017323,0.021430,0.029566,0.045709,0.077856", \ - "0.017523,0.018999,0.020954,0.024896,0.032831,0.048776,0.080766", \ - "0.024646,0.026422,0.028660,0.032900,0.040639,0.055968,0.087311", \ - "0.033534,0.035582,0.038166,0.043093,0.052254,0.068670,0.099000", \ - "0.044588,0.046953,0.049887,0.055438,0.065696,0.084317,0.116958", \ - "0.057883,0.060593,0.063930,0.070189,0.081593,0.102045,0.138360"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.004426,0.005277,0.006364,0.008496,0.012711,0.021111,0.037891", \ - "0.004431,0.005278,0.006364,0.008496,0.012711,0.021111,0.037891", \ - "0.005808,0.006436,0.007308,0.009061,0.012888,0.021111,0.037892", \ - "0.009301,0.009798,0.010487,0.011944,0.015142,0.022221,0.038002", \ - "0.014204,0.014756,0.015504,0.017003,0.019985,0.026220,0.040189", \ - "0.020135,0.020787,0.021676,0.023427,0.026739,0.032955,0.045698", \ - "0.027028,0.027784,0.028843,0.030918,0.034792,0.041695,0.054279"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.009536,0.011000,0.012904,0.016680,0.024183,0.039103,0.068828", \ - "0.009516,0.010986,0.012896,0.016677,0.024179,0.039099,0.068834", \ - "0.009736,0.011055,0.012836,0.016600,0.024171,0.039094,0.068836", \ - "0.012644,0.013998,0.015690,0.018750,0.025041,0.039047,0.068833", \ - "0.016184,0.017703,0.019622,0.023267,0.029955,0.042033,0.068944", \ - "0.020842,0.022489,0.024568,0.028510,0.035873,0.049208,0.073288", \ - "0.026702,0.028535,0.030804,0.035038,0.042842,0.057232,0.082799"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.009909,0.010948,0.012280,0.014878,0.019930,0.029809,0.049295", \ - "0.010485,0.011527,0.012861,0.015464,0.020522,0.030406,0.049895", \ - "0.013245,0.014329,0.015668,0.018175,0.023148,0.032956,0.052383", \ - "0.016016,0.017430,0.019202,0.022494,0.028422,0.038658,0.057720", \ - "0.017723,0.019468,0.021633,0.025657,0.032900,0.045534,0.066890", \ - "0.018234,0.020316,0.022896,0.027670,0.036226,0.051042,0.076174", \ - "0.017450,0.019861,0.022848,0.028377,0.038273,0.055351,0.083986"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.011306,0.012574,0.014203,0.017399,0.023689,0.036159,0.061003", \ - "0.012112,0.013400,0.015055,0.018299,0.024656,0.037195,0.062089", \ - "0.015214,0.016598,0.018282,0.021514,0.027865,0.040445,0.065415", \ - "0.018841,0.020642,0.022854,0.026924,0.034169,0.046882,0.071711", \ - "0.023760,0.025945,0.028601,0.033432,0.041981,0.056846,0.082414", \ - "0.029879,0.032495,0.035665,0.041374,0.051285,0.068187,0.097081", \ - "0.036794,0.039873,0.043606,0.050317,0.061846,0.081059,0.113172"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.006342,0.007168,0.008247,0.010386,0.014636,0.023091,0.039950", \ - "0.006338,0.007165,0.008246,0.010386,0.014636,0.023091,0.039951", \ - "0.007310,0.007939,0.008800,0.010637,0.014630,0.023091,0.039949", \ - "0.010889,0.011684,0.012678,0.014530,0.017908,0.024419,0.039956", \ - "0.015786,0.016755,0.017934,0.020111,0.024018,0.030977,0.043628", \ - "0.021941,0.023125,0.024567,0.027177,0.031688,0.039476,0.053177", \ - "0.029329,0.030769,0.032522,0.035665,0.040979,0.049774,0.064703"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.005670,0.006746,0.008164,0.011007,0.016689,0.028024,0.050610", \ - "0.005684,0.006753,0.008170,0.011007,0.016685,0.028011,0.050612", \ - "0.006596,0.007410,0.008576,0.011130,0.016701,0.028026,0.050610", \ - "0.010077,0.010919,0.012034,0.014263,0.018572,0.028369,0.050622", \ - "0.014900,0.015674,0.016746,0.018977,0.023565,0.032535,0.051616", \ - "0.020833,0.021634,0.022753,0.025046,0.029697,0.039076,0.057165", \ - "0.027805,0.028658,0.029866,0.032356,0.037283,0.046890,0.065830"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.008276,0.009381,0.010781,0.013469,0.018625,0.028595,0.048148", \ - "0.008844,0.009944,0.011340,0.014028,0.019183,0.029155,0.048709", \ - "0.011515,0.012700,0.014151,0.016773,0.021786,0.031671,0.051161", \ - "0.013590,0.015152,0.017068,0.020581,0.026789,0.037331,0.056449", \ - "0.014383,0.016304,0.018665,0.022985,0.030629,0.043695,0.065454", \ - "0.013794,0.016081,0.018875,0.024000,0.033054,0.048480,0.074203", \ - "0.011769,0.014394,0.017612,0.023516,0.033962,0.051782,0.081253"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.012932,0.014564,0.016660,0.020779,0.028910,0.045057,0.077257", \ - "0.013655,0.015311,0.017439,0.021620,0.029837,0.046071,0.078336", \ - "0.016497,0.018167,0.020275,0.024412,0.032612,0.048900,0.081263", \ - "0.019933,0.021958,0.024471,0.029185,0.037866,0.053965,0.086144", \ - "0.024960,0.027332,0.030220,0.035521,0.045141,0.062624,0.094663", \ - "0.031433,0.034249,0.037650,0.043780,0.054527,0.073455,0.107565", \ - "0.038929,0.042229,0.046214,0.053354,0.065647,0.086483,0.122868"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.005872,0.006690,0.007757,0.009872,0.014082,0.022486,0.039301", \ - "0.005796,0.006644,0.007729,0.009861,0.014079,0.022485,0.039302", \ - "0.007110,0.007752,0.008525,0.010233,0.014082,0.022486,0.039303", \ - "0.010899,0.011672,0.012622,0.014407,0.017700,0.024024,0.039314", \ - "0.016125,0.017066,0.018210,0.020305,0.024056,0.030837,0.043271", \ - "0.022683,0.023857,0.025275,0.027804,0.032153,0.039681,0.053080", \ - "0.030575,0.032021,0.033758,0.036834,0.041985,0.050478,0.064983"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.006264,0.007664,0.009522,0.013253,0.020698,0.035574,0.065288", \ - "0.006280,0.007678,0.009530,0.013257,0.020702,0.035565,0.065299", \ - "0.006922,0.008104,0.009749,0.013305,0.020701,0.035566,0.065299", \ - "0.009630,0.010822,0.012403,0.015568,0.021799,0.035657,0.065289", \ - "0.013845,0.014920,0.016386,0.019421,0.025726,0.038305,0.065618", \ - "0.019379,0.020475,0.021953,0.024939,0.031002,0.043629,0.069062", \ - "0.025987,0.027141,0.028712,0.031873,0.038059,0.050395,0.075893"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.008553,0.009656,0.011055,0.013753,0.018937,0.028972,0.048648", \ - "0.009116,0.010215,0.011614,0.014311,0.019495,0.029532,0.049209", \ - "0.011855,0.013019,0.014447,0.017047,0.022096,0.032047,0.051660", \ - "0.014201,0.015713,0.017591,0.021055,0.027218,0.037719,0.056951", \ - "0.015445,0.017274,0.019552,0.023769,0.031306,0.044303,0.066013", \ - "0.015544,0.017670,0.020316,0.025251,0.034107,0.049375,0.075004", \ - "0.014485,0.016860,0.019839,0.025429,0.035546,0.053056,0.082321"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.015760,0.017380,0.019472,0.023597,0.031743,0.047901,0.080095", \ - "0.016554,0.018192,0.020309,0.024481,0.032694,0.048925,0.081178", \ - "0.019416,0.021032,0.023124,0.027268,0.035483,0.051772,0.084113", \ - "0.023497,0.025392,0.027775,0.032308,0.040734,0.056813,0.088993", \ - "0.029122,0.031282,0.033961,0.039001,0.048360,0.065575,0.097484", \ - "0.036379,0.038907,0.042000,0.047721,0.058045,0.076639,0.110451", \ - "0.044748,0.047698,0.051302,0.057908,0.069596,0.089910,0.125943"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.007598,0.008364,0.009380,0.011434,0.015585,0.023951,0.040746", \ - "0.007527,0.008320,0.009354,0.011424,0.015583,0.023950,0.040745", \ - "0.008830,0.009350,0.010103,0.011776,0.015582,0.023951,0.040745", \ - "0.013250,0.013851,0.014636,0.016200,0.019250,0.025463,0.040760", \ - "0.019257,0.019961,0.020866,0.022630,0.026011,0.032447,0.044689", \ - "0.026719,0.027589,0.028685,0.030772,0.034597,0.041628,0.054657", \ - "0.035616,0.036670,0.038012,0.040525,0.045000,0.052825,0.066826"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.007921,0.009351,0.011229,0.014979,0.022457,0.037359,0.067104", \ - "0.007927,0.009359,0.011231,0.014981,0.022455,0.037363,0.067106", \ - "0.008189,0.009510,0.011312,0.015002,0.022457,0.037365,0.067107", \ - "0.010726,0.011989,0.013628,0.016789,0.023251,0.037388,0.067112", \ - "0.014550,0.015755,0.017347,0.020558,0.027027,0.039716,0.067331", \ - "0.019852,0.021089,0.022689,0.025844,0.032140,0.044982,0.070557", \ - "0.026282,0.027607,0.029331,0.032661,0.039037,0.051630,0.077304"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.010880,0.011918,0.013250,0.015847,0.020899,0.030778,0.050264", \ - "0.011400,0.012445,0.013783,0.016389,0.021451,0.031340,0.050834", \ - "0.012900,0.013993,0.015379,0.018016,0.023095,0.033005,0.052517", \ - "0.014611,0.015911,0.017526,0.020553,0.026115,0.036399,0.055905", \ - "0.015150,0.016777,0.018792,0.022508,0.029124,0.040673,0.061308", \ - "0.014008,0.015986,0.018420,0.022912,0.030865,0.044460,0.067348", \ - "0.011003,0.013329,0.016191,0.021453,0.030797,0.046690,0.072868"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.013084,0.014317,0.015915,0.019077,0.025339,0.037775,0.062567", \ - "0.014024,0.015270,0.016881,0.020062,0.026347,0.038806,0.063613", \ - "0.017400,0.018660,0.020274,0.023452,0.029736,0.042212,0.067042", \ - "0.021912,0.023546,0.025581,0.029389,0.036302,0.048770,0.073467", \ - "0.028304,0.030196,0.032539,0.036927,0.044944,0.059246,0.084352", \ - "0.036739,0.038948,0.041653,0.046659,0.055661,0.071614,0.099642", \ - "0.046783,0.049352,0.052489,0.058254,0.068468,0.086153,0.116860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.006341,0.007168,0.008245,0.010386,0.014635,0.023091,0.039952", \ - "0.006339,0.007166,0.008245,0.010387,0.014635,0.023091,0.039951", \ - "0.006804,0.007556,0.008546,0.010559,0.014663,0.023091,0.039949", \ - "0.009121,0.009817,0.010722,0.012538,0.016200,0.023774,0.039990", \ - "0.013071,0.013847,0.014817,0.016645,0.020119,0.027057,0.041703", \ - "0.018002,0.018948,0.020110,0.022243,0.026035,0.032918,0.046570", \ - "0.023778,0.024926,0.026331,0.028894,0.033317,0.040813,0.054255"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.006938,0.008026,0.009453,0.012306,0.017988,0.029310,0.051896", \ - "0.006946,0.008029,0.009455,0.012308,0.017990,0.029309,0.051893", \ - "0.007448,0.008372,0.009649,0.012365,0.017997,0.029315,0.051904", \ - "0.010720,0.011631,0.012798,0.015069,0.019496,0.029567,0.051899", \ - "0.014747,0.015707,0.016962,0.019450,0.024272,0.033336,0.052736", \ - "0.019688,0.020709,0.022047,0.024673,0.029790,0.039630,0.057940", \ - "0.025566,0.026688,0.028149,0.030993,0.036407,0.046707,0.066278"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.009247,0.010350,0.011749,0.014436,0.019590,0.029562,0.049117", \ - "0.009750,0.010857,0.012257,0.014949,0.020110,0.030088,0.049647", \ - "0.011183,0.012341,0.013793,0.016549,0.021724,0.031724,0.051300", \ - "0.012369,0.013809,0.015568,0.018793,0.024567,0.035027,0.054645", \ - "0.011965,0.013788,0.016010,0.020040,0.027068,0.039006,0.059899", \ - "0.009656,0.011871,0.014555,0.019431,0.027936,0.042159,0.065590", \ - "0.005310,0.007900,0.011033,0.016736,0.026721,0.043421,0.070455"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.015286,0.016869,0.018926,0.023004,0.031095,0.047197,0.079328", \ - "0.016179,0.017778,0.019851,0.023953,0.032075,0.048206,0.080356", \ - "0.019218,0.020811,0.022874,0.026962,0.035082,0.051234,0.083414", \ - "0.023398,0.025268,0.027625,0.032116,0.040486,0.056443,0.088454", \ - "0.029765,0.031845,0.034432,0.039331,0.048498,0.065494,0.097173", \ - "0.038531,0.040916,0.043839,0.049266,0.059163,0.077265,0.110598", \ - "0.049153,0.051906,0.055266,0.061432,0.072418,0.091837,0.126976"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.005847,0.006672,0.007743,0.009864,0.014077,0.022485,0.039303", \ - "0.005810,0.006649,0.007730,0.009859,0.014076,0.022485,0.039303", \ - "0.006371,0.007124,0.008090,0.010067,0.014133,0.022490,0.039302", \ - "0.009028,0.009688,0.010548,0.012269,0.015809,0.023256,0.039363", \ - "0.013248,0.014002,0.014936,0.016687,0.020018,0.026744,0.041186", \ - "0.018504,0.019416,0.020543,0.022597,0.026249,0.032907,0.046262", \ - "0.024677,0.025790,0.027159,0.029642,0.033911,0.041152,0.054266"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.007920,0.009343,0.011216,0.014961,0.022426,0.037306,0.067023", \ - "0.007926,0.009349,0.011220,0.014963,0.022423,0.037314,0.067015", \ - "0.008220,0.009522,0.011308,0.014987,0.022429,0.037313,0.067019", \ - "0.010711,0.011979,0.013623,0.016809,0.023261,0.037352,0.067012", \ - "0.014084,0.015366,0.017041,0.020364,0.026924,0.039677,0.067260", \ - "0.018553,0.019894,0.021610,0.024970,0.031583,0.044711,0.070422", \ - "0.024039,0.025490,0.027333,0.030865,0.037599,0.050768,0.076904"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.009522,0.010624,0.012023,0.014719,0.019902,0.029940,0.049619", \ - "0.010024,0.011130,0.012531,0.015232,0.020423,0.030466,0.050147", \ - "0.011480,0.012631,0.014080,0.016835,0.022037,0.032101,0.051801", \ - "0.012829,0.014235,0.015969,0.019162,0.024925,0.035421,0.055149", \ - "0.012729,0.014492,0.016654,0.020616,0.027575,0.039484,0.060434", \ - "0.010884,0.012987,0.015575,0.020327,0.028702,0.042813,0.066221", \ - "0.007178,0.009597,0.012572,0.018077,0.027851,0.044368,0.071264"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.018089,0.019673,0.021733,0.025819,0.033924,0.050038,0.082159", \ - "0.019011,0.020605,0.022678,0.026782,0.034912,0.051048,0.083193", \ - "0.022051,0.023634,0.025698,0.029795,0.037927,0.054084,0.086254", \ - "0.026727,0.028507,0.030770,0.035124,0.043296,0.059274,0.091291", \ - "0.033444,0.035404,0.037872,0.042618,0.051606,0.068381,0.099978", \ - "0.042714,0.044923,0.047667,0.052842,0.062477,0.080349,0.113432", \ - "0.053980,0.056509,0.059626,0.065443,0.076024,0.095094,0.129974"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.007574,0.008345,0.009366,0.011426,0.015582,0.023951,0.040744", \ - "0.007539,0.008323,0.009354,0.011421,0.015581,0.023951,0.040746", \ - "0.008103,0.008776,0.009695,0.011624,0.015635,0.023955,0.040746", \ - "0.010990,0.011546,0.012304,0.013907,0.017334,0.024715,0.040810", \ - "0.015780,0.016350,0.017107,0.018624,0.021710,0.028267,0.042621", \ - "0.021743,0.022423,0.023310,0.025031,0.028286,0.034593,0.047762", \ - "0.028717,0.029539,0.030612,0.032656,0.036405,0.043139,0.055892"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.009572,0.011021,0.012916,0.016683,0.024181,0.039098,0.068829", \ - "0.009577,0.011024,0.012918,0.016685,0.024179,0.039100,0.068827", \ - "0.009681,0.011087,0.012951,0.016693,0.024180,0.039103,0.068836", \ - "0.011949,0.013242,0.014897,0.018085,0.024766,0.039114,0.068833", \ - "0.015188,0.016526,0.018251,0.021651,0.028272,0.041134,0.068992", \ - "0.019552,0.020925,0.022694,0.026138,0.032882,0.046107,0.071950", \ - "0.024998,0.026474,0.028353,0.031948,0.038793,0.052125,0.078336"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.555050,0.572033,0.608102,0.654053,0.681418,0.703142,0.714249", \ - "0.469197,0.511229,0.556250,0.614030,0.673836,0.719788,0.755401", \ - "1.135388,1.002534,0.928976,0.882159,0.847126,0.834271,0.827375", \ - "3.449680,3.231763,2.965092,2.529257,1.985458,1.618018,1.334984", \ - "6.892739,6.758066,6.560587,6.048430,5.055637,3.771361,2.812250", \ - "11.585670,11.478370,11.378320,10.954030,10.027480,8.095071,5.831776", \ - "17.506330,17.500440,17.456230,17.184710,16.504890,14.551010,11.089950"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("6.550771,6.676698,6.728255,6.741446,6.890028,6.709102,6.458890", \ - "6.459660,6.547565,6.639097,6.643673,6.800802,6.741377,6.433270", \ - "7.282417,7.243335,7.229417,7.105682,7.065052,7.030560,6.923179", \ - "9.292952,9.229982,9.227119,9.131576,8.610623,8.308342,7.733404", \ - "13.349530,13.065970,12.944640,12.514450,11.955430,11.072880,10.023340", \ - "19.128060,18.902090,18.673310,18.077630,17.168940,15.828400,14.019800", \ - "26.796690,26.567920,26.330410,25.921480,24.822220,22.590050,20.261880"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.565340,0.614883,0.640965,0.672104,0.693890,0.720590,0.734350", \ - "0.579604,0.593925,0.629634,0.690768,0.738420,0.794251,0.824551", \ - "1.192137,1.074137,1.015491,0.967448,0.942737,0.935202,0.937182", \ - "3.180791,3.025102,2.800829,2.428143,1.940526,1.630063,1.390599", \ - "6.153909,6.050546,5.912046,5.490681,4.665277,3.523525,2.685900", \ - "10.022550,10.064890,10.014290,9.768815,9.016646,7.367627,5.337233", \ - "15.051240,15.211620,15.179280,15.148700,14.659280,13.076140,10.030820"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("6.524187,6.538939,6.575259,6.694858,6.764872,6.773664,6.793262", \ - "6.333976,6.467092,6.460706,6.582975,6.587936,6.700703,6.723669", \ - "7.073501,7.089664,7.010203,6.958592,6.890906,6.763788,6.859238", \ - "9.025576,9.014734,8.963235,8.686021,8.381176,7.901607,7.607947", \ - "12.470650,12.323570,12.058050,11.812030,11.347510,10.461020,9.465988", \ - "17.725540,17.595110,17.288720,16.718540,15.855740,14.635680,13.026710", \ - "24.876180,24.618240,24.296820,23.728040,22.518050,20.643870,18.484390"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.576804,0.596582,0.630543,0.672461,0.696269,0.719783,0.731913", \ - "0.553909,0.585432,0.632142,0.687365,0.737935,0.792338,0.819847", \ - "1.100585,1.045747,0.992815,0.965787,0.941035,0.937572,0.935466", \ - "3.143941,2.948988,2.733613,2.355392,1.925636,1.624764,1.389237", \ - "6.383804,6.190131,5.955132,5.476045,4.606478,3.503774,2.687320", \ - "10.577160,10.481510,10.290670,9.913932,9.041549,7.332645,5.334399", \ - "15.779100,15.766010,15.743240,15.484480,14.817610,13.068410,10.006330"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("8.707906,8.742689,8.783406,8.923353,8.998536,8.988980,8.991477", \ - "8.611270,8.586266,8.679409,8.795386,8.859121,8.913677,8.713412", \ - "9.136034,9.057257,9.075734,9.138693,9.040682,9.021060,8.886792", \ - "11.040940,11.016600,10.868250,10.727950,10.480720,10.049000,9.479232", \ - "14.217030,14.059700,13.978650,13.734910,13.311630,12.557300,11.396620", \ - "19.377710,19.238770,18.956430,18.451040,17.796170,16.782180,14.738020", \ - "26.420730,26.149930,25.918280,25.412960,24.180500,22.735250,20.608900"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.555237,0.595014,0.628809,0.655556,0.680657,0.704149,0.713633", \ - "0.369558,0.436344,0.497275,0.569051,0.643174,0.707483,0.747575", \ - "0.676551,0.591570,0.557420,0.581697,0.617758,0.685344,0.741032", \ - "2.566541,2.418039,2.213572,1.867832,1.414733,1.183501,1.044804", \ - "5.452763,5.380827,5.238762,4.851506,4.075711,2.959443,2.168074", \ - "9.338310,9.318878,9.228461,9.025805,8.341219,6.792841,4.700315", \ - "14.163920,14.257600,14.242710,14.184800,13.795090,12.439560,9.434609"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("8.421834,8.538603,8.604811,8.650559,8.638792,8.619517,8.489108", \ - "8.408742,8.445848,8.439293,8.494225,8.617149,8.558490,8.633286", \ - "9.209100,9.214122,9.086564,9.099340,9.012142,8.669651,8.847875", \ - "11.308360,11.370200,11.373000,11.183490,10.734570,10.222730,9.778178", \ - "15.318430,15.273020,15.070580,14.795020,14.366710,13.324560,12.121490", \ - "21.401340,21.305010,21.139590,20.720310,19.832980,18.521590,16.434990", \ - "29.327690,29.233510,29.060290,28.784370,27.859400,25.868740,23.392690"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.585472,0.613349,0.639067,0.680314,0.698848,0.721959,0.732909", \ - "0.465521,0.500676,0.565756,0.636369,0.708688,0.772616,0.815537", \ - "0.774190,0.691594,0.666414,0.688983,0.738273,0.799617,0.857026", \ - "2.355345,2.279910,2.120130,1.828738,1.438611,1.250868,1.136581", \ - "4.790291,4.749418,4.642514,4.394270,3.774351,2.811693,2.124046", \ - "8.013853,8.052486,8.002763,7.926585,7.453833,6.189207,4.355733", \ - "11.974850,12.118270,12.221240,12.215520,12.061130,11.057490,8.560736"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("8.429226,8.460068,8.520618,8.546454,8.531327,8.663560,8.650743", \ - "8.308589,8.343828,8.407709,8.388909,8.461740,8.483914,8.231437", \ - "9.054615,8.947699,8.954541,8.947836,8.860304,8.710112,8.476839", \ - "11.078940,11.091670,10.931870,10.673620,10.348390,10.009420,9.377849", \ - "14.533330,14.429660,14.244910,14.115490,13.480220,12.495350,11.298470", \ - "19.854930,19.725220,19.542800,19.100310,18.385680,17.259050,15.158800", \ - "26.997850,26.881540,26.659950,26.256640,25.226900,23.775710,21.113130"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.580232,0.609343,0.643199,0.670068,0.701645,0.721983,0.729580", \ - "0.448228,0.501818,0.569023,0.643544,0.709879,0.775166,0.811446", \ - "0.678275,0.654635,0.658033,0.683752,0.736826,0.799433,0.855313", \ - "2.380704,2.231953,2.061981,1.775584,1.424532,1.244730,1.132593", \ - "5.013221,4.897082,4.773565,4.423207,3.740150,2.780861,2.122567", \ - "8.492435,8.417945,8.336557,8.096425,7.476878,6.154466,4.339202", \ - "12.735010,12.665010,12.709510,12.599360,12.254760,11.095510,8.529896"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("10.529310,10.608670,10.675450,10.747730,10.762760,10.710180,10.792260", \ - "10.429080,10.553710,10.583050,10.596740,10.615370,10.741930,10.742360", \ - "10.994140,11.041850,11.070160,11.063090,11.040150,10.977970,10.879960", \ - "13.126590,13.054930,12.897390,12.794870,12.366900,12.184570,11.682510", \ - "16.375820,16.294550,16.214440,16.113550,15.468060,14.677000,13.864970", \ - "21.645400,21.488740,21.302860,20.977540,20.361920,19.290810,17.335110", \ - "28.679350,28.590180,28.489750,27.994420,27.126740,25.705740,23.437410"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("3.199574,3.230779,3.265317,3.344445,3.405987,3.486072,3.576798", \ - "3.092355,3.125491,3.153600,3.217675,3.307828,3.399580,3.494879", \ - "3.281460,3.320516,3.334533,3.357237,3.401307,3.440335,3.504860", \ - "4.957361,4.866678,4.744933,4.525109,4.336544,4.149620,3.974244", \ - "8.353825,8.193803,8.006659,7.645668,6.992964,6.138579,5.410973", \ - "13.141020,13.019780,12.890700,12.505680,11.667040,10.193260,8.396458", \ - "19.154190,19.172350,19.142840,18.912080,18.154620,16.425050,13.564770"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("11.327590,11.299500,11.401690,11.479030,11.443680,11.371940,11.100760", \ - "10.946670,11.040230,11.208060,11.288730,11.298180,11.430600,11.562480", \ - "10.831750,10.931280,10.995810,10.954790,11.023540,11.278250,11.054110", \ - "11.604360,11.809650,11.774620,11.608390,11.579600,11.366140,11.485760", \ - "14.368160,14.222840,14.081670,13.804920,13.660040,12.990860,12.351640", \ - "19.035150,18.941700,18.661190,18.040370,17.274620,16.446690,15.173740", \ - "25.539460,25.274250,24.962610,24.324720,23.331290,21.338220,19.646330"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("2.826890,2.852301,2.931856,3.029930,3.137995,3.253493,3.354156", \ - "2.651643,2.752031,2.810160,2.901029,3.016008,3.131729,3.231153", \ - "2.842964,2.917480,2.923880,2.995150,3.047146,3.131261,3.200521", \ - "4.595667,4.487179,4.373898,4.150513,3.935157,3.761887,3.605716", \ - "7.855739,7.719547,7.519340,7.154464,6.486401,5.635383,4.930386", \ - "12.350820,12.289410,12.129310,11.795470,10.948550,9.505908,7.710690", \ - "18.061440,18.088390,18.061110,17.814920,17.119680,15.419900,12.609050"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("10.265980,10.359790,10.369620,10.432430,10.476080,10.524650,10.443450", \ - "10.017900,10.112780,10.117810,10.225400,10.386110,10.481220,10.313890", \ - "9.812837,9.898525,9.962524,10.015990,10.089360,9.992577,10.263610", \ - "10.599370,10.732000,10.681610,10.607470,10.405770,10.377850,10.318690", \ - "13.022120,12.847150,12.714120,12.556370,12.299270,11.852890,11.418210", \ - "17.565470,17.277050,17.045030,16.585390,15.666760,15.015150,13.810440", \ - "23.725860,23.537890,23.183500,22.659900,21.388940,19.677830,18.023550"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("2.889851,2.941561,3.028305,3.091334,3.179975,3.267388,3.357990", \ - "2.782992,2.800865,2.870374,2.956000,3.041321,3.140883,3.237351", \ - "2.974148,2.988197,3.004502,3.036756,3.084624,3.140098,3.204476", \ - "4.619526,4.483631,4.352942,4.149046,3.961563,3.771215,3.615995", \ - "7.947991,7.761665,7.564442,7.145365,6.481659,5.638462,4.936607", \ - "12.663240,12.492360,12.310650,11.868430,10.972050,9.479098,7.720490", \ - "18.648020,18.526870,18.422340,18.064830,17.195470,15.415570,12.591360"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("12.462020,12.524940,12.518680,12.580570,12.573430,12.639880,12.638670", \ - "12.213110,12.313730,12.335000,12.406420,12.508100,12.576370,12.721510", \ - "12.024090,12.073240,12.124620,12.212910,12.276720,12.385040,12.259550", \ - "12.805590,12.734340,12.741900,12.654080,12.607550,12.653260,12.461020", \ - "14.774840,14.744940,14.701420,14.661740,14.402410,13.784270,13.441810", \ - "19.237950,19.050070,18.719960,18.377870,17.746370,17.054700,15.999290", \ - "25.287150,25.124550,24.880960,24.351170,23.143340,21.839560,19.832310"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("3.209873,3.240105,3.289486,3.328938,3.417208,3.498999,3.581393", \ - "3.046043,3.123546,3.141239,3.233836,3.303841,3.397059,3.495760", \ - "3.010380,3.087639,3.114604,3.164867,3.259818,3.347406,3.449489", \ - "4.274731,4.228402,4.118342,3.972711,3.855048,3.786035,3.724482", \ - "7.091014,6.954925,6.830857,6.553123,6.046439,5.326391,4.810764", \ - "11.040540,10.979890,10.885990,10.656520,10.037370,8.821047,7.244781", \ - "15.975760,15.962290,16.042130,15.965940,15.480120,14.210970,11.774320"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("13.155830,13.218980,13.152710,13.277500,13.236070,13.261240,13.103200", \ - "12.973780,13.044540,13.141090,13.153270,13.170210,13.271670,13.375980", \ - "12.954100,12.992740,12.973520,13.089490,13.110190,13.035500,13.149410", \ - "13.870270,13.866870,13.791970,13.714970,13.680660,13.477160,13.101630", \ - "16.353840,16.256070,16.166420,16.049460,15.663690,15.066990,14.764530", \ - "21.092980,21.040160,20.801090,20.426310,19.611870,18.820930,17.603630", \ - "27.691380,27.600140,27.308130,26.791270,25.981910,24.356970,22.257280"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("2.794453,2.864448,2.939143,3.047435,3.152431,3.263031,3.354135", \ - "2.659506,2.705639,2.797972,2.900336,3.015911,3.129709,3.230776", \ - "2.621669,2.684688,2.750950,2.830705,2.942292,3.053846,3.151455", \ - "3.897060,3.855825,3.768360,3.624061,3.481161,3.438721,3.396790", \ - "6.556044,6.487866,6.367874,6.080854,5.596850,4.886520,4.388622", \ - "10.280450,10.231360,10.180720,9.952218,9.347684,8.209708,6.671179", \ - "14.841880,14.924430,15.010860,14.898030,14.507380,13.289280,10.955640"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("12.187560,12.197460,12.218020,12.290810,12.225900,12.335620,12.378420", \ - "12.047220,12.059760,12.063900,12.180410,12.154510,12.180640,12.204430", \ - "11.897700,11.993890,11.966310,12.070440,12.133340,12.070400,11.875710", \ - "12.764820,12.707140,12.708200,12.626170,12.551290,12.392230,12.306700", \ - "14.971960,14.921980,14.852110,14.804590,14.481880,14.045890,13.157620", \ - "19.524050,19.363590,19.088700,18.799450,18.311950,17.382740,16.146550", \ - "25.764000,25.621250,25.392440,24.996260,24.056410,22.760110,20.671660"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("2.914064,2.973394,3.011994,3.077165,3.176906,3.267215,3.360770", \ - "2.794393,2.815544,2.884775,2.934731,3.040704,3.139301,3.234831", \ - "2.717820,2.759790,2.810679,2.879845,2.968035,3.063781,3.158052", \ - "3.944147,3.865295,3.778306,3.620934,3.513272,3.452575,3.402631", \ - "6.676405,6.587290,6.413132,6.102073,5.582208,4.884564,4.394414", \ - "10.625630,10.528890,10.364390,10.052590,9.378613,8.191422,6.675636", \ - "15.426180,15.486740,15.429140,15.228530,14.654600,13.300150,10.942580"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("14.241430,14.312960,14.390460,14.376350,14.443160,14.491470,14.473500", \ - "14.132610,14.164310,14.232940,14.332200,14.406760,14.305850,14.129520", \ - "14.053290,14.133900,14.109430,14.225740,14.212890,14.279860,14.350660", \ - "14.762120,14.782210,14.780660,14.744710,14.733880,14.603860,14.711070", \ - "16.874780,16.885260,16.881180,16.875690,16.626320,16.095700,15.331320", \ - "21.200820,21.099930,20.970670,20.700300,20.238460,19.426080,17.871440", \ - "27.516450,27.381000,27.165530,26.753030,25.841000,24.655370,22.603010"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI22_X4 - Cell Description : Combinational cell (AOI22_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI22_X4) { - - drive_strength : 4; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 404.707664; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 80.198625; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 352.937500; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 90.167367; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 382.415125; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 352.938750; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 625.627500; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 362.903993; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 539.265125; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 90.167623; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 362.903999; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 100.139615; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 539.184500; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 544.588750; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 703.830000; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 703.692500; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 644.361650; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.490978; - fall_capacitance : 5.848768; - rise_capacitance : 6.490978; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.961273; - fall_capacitance : 5.894192; - rise_capacitance : 6.961273; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.216890; - fall_capacitance : 6.216890; - rise_capacitance : 6.114569; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.726910; - fall_capacitance : 6.365577; - rise_capacitance : 6.726910; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 97.961400; - function : "!((A1 & A2) | (B1 & B2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.005342,0.006452,0.007692,0.010138,0.014986,0.024632,0.043883", \ - "0.005994,0.007097,0.008339,0.010797,0.015666,0.025335,0.044604", \ - "0.007491,0.009014,0.010607,0.013481,0.018414,0.027959,0.047154", \ - "0.007965,0.009999,0.012103,0.015888,0.022475,0.033567,0.052544", \ - "0.007103,0.009672,0.012326,0.017078,0.025235,0.038881,0.061359", \ - "0.004712,0.007800,0.010995,0.016720,0.026538,0.042775,0.069271", \ - "0.000686,0.004252,0.007961,0.014633,0.026104,0.045043,0.075566"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.006280,0.007710,0.009299,0.012419,0.018566,0.030751,0.055038", \ - "0.007078,0.008462,0.010032,0.013148,0.019317,0.031543,0.055866", \ - "0.010372,0.012058,0.013818,0.016936,0.022841,0.034857,0.059042", \ - "0.014624,0.016750,0.018976,0.023057,0.030279,0.042452,0.066044", \ - "0.019811,0.022466,0.025211,0.030144,0.038779,0.053646,0.078252", \ - "0.025809,0.029024,0.032345,0.038271,0.048441,0.065608,0.094506", \ - "0.032704,0.036441,0.040337,0.047300,0.059177,0.078824,0.111428"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.003037,0.003960,0.005009,0.007108,0.011302,0.019688,0.036461", \ - "0.003035,0.003960,0.005010,0.007108,0.011303,0.019689,0.036462", \ - "0.005145,0.005923,0.006777,0.008329,0.011639,0.019691,0.036458", \ - "0.008766,0.009681,0.010646,0.012452,0.015844,0.022046,0.036564", \ - "0.013785,0.014941,0.016144,0.018282,0.022045,0.028886,0.041328", \ - "0.020206,0.021608,0.023088,0.025707,0.030136,0.037630,0.051097", \ - "0.028166,0.029797,0.031550,0.034668,0.039927,0.048473,0.062865"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.004257,0.005515,0.006943,0.009781,0.015449,0.026775,0.049402", \ - "0.004219,0.005495,0.006931,0.009779,0.015449,0.026774,0.049399", \ - "0.006187,0.007219,0.008192,0.010350,0.015438,0.026770,0.049412", \ - "0.009304,0.010470,0.011770,0.014259,0.018689,0.027642,0.049405", \ - "0.013858,0.015150,0.016565,0.019253,0.024354,0.033554,0.051296", \ - "0.019794,0.021265,0.022868,0.025846,0.031303,0.041442,0.059299", \ - "0.027275,0.028874,0.030668,0.034008,0.039998,0.050726,0.070422"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.005359,0.006468,0.007707,0.010154,0.015001,0.024646,0.043895", \ - "0.006059,0.007162,0.008403,0.010861,0.015728,0.025396,0.044663", \ - "0.007540,0.009079,0.010681,0.013560,0.018496,0.028050,0.047246", \ - "0.007533,0.009641,0.011817,0.015705,0.022402,0.033570,0.052589", \ - "0.005701,0.008400,0.011181,0.016136,0.024585,0.038535,0.061221", \ - "0.001847,0.005115,0.008481,0.014500,0.024773,0.041598,0.068649", \ - "-0.004174,-0.000382,0.003546,0.010595,0.022660,0.042453,0.073974"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.007650,0.009556,0.011681,0.015853,0.024064,0.040326,0.072721", \ - "0.008302,0.010150,0.012253,0.016431,0.024685,0.041011,0.073464", \ - "0.012010,0.013993,0.016071,0.019898,0.027854,0.043956,0.076278", \ - "0.017182,0.019619,0.022207,0.027008,0.035577,0.051047,0.082697", \ - "0.023831,0.026791,0.029876,0.035507,0.045592,0.063227,0.094204", \ - "0.031815,0.035368,0.039045,0.045661,0.057220,0.077289,0.111643", \ - "0.041228,0.045352,0.049647,0.057350,0.070618,0.093075,0.131467"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.003039,0.003961,0.005011,0.007108,0.011303,0.019688,0.036461", \ - "0.003034,0.003960,0.005010,0.007108,0.011302,0.019689,0.036456", \ - "0.005110,0.005891,0.006745,0.008297,0.011623,0.019690,0.036458", \ - "0.008767,0.009703,0.010684,0.012502,0.015874,0.022048,0.036561", \ - "0.013841,0.015045,0.016283,0.018471,0.022264,0.029042,0.041384", \ - "0.020332,0.021814,0.023351,0.026046,0.030564,0.038079,0.051381", \ - "0.028344,0.030068,0.031911,0.035163,0.040568,0.049235,0.063568"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.005892,0.007609,0.009532,0.013325,0.020842,0.035829,0.065743", \ - "0.005787,0.007546,0.009496,0.013314,0.020838,0.035816,0.065739", \ - "0.007345,0.008532,0.010031,0.013346,0.020812,0.035813,0.065743", \ - "0.010171,0.011680,0.013379,0.016645,0.022552,0.035887,0.065744", \ - "0.014534,0.016083,0.017825,0.021240,0.027865,0.039825,0.066074", \ - "0.020341,0.022029,0.023897,0.027459,0.034295,0.047389,0.071210", \ - "0.027644,0.029465,0.031512,0.035369,0.042511,0.056048,0.081383"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.005532,0.006649,0.007897,0.010364,0.015252,0.024975,0.044362", \ - "0.006231,0.007342,0.008593,0.011072,0.015980,0.025725,0.045131", \ - "0.007847,0.009364,0.010951,0.013813,0.018745,0.028379,0.047713", \ - "0.008118,0.010171,0.012307,0.016148,0.022809,0.033949,0.053058", \ - "0.006806,0.009380,0.012066,0.016912,0.025256,0.039134,0.061780", \ - "0.003837,0.006836,0.010016,0.015812,0.025863,0.042508,0.069451", \ - "-0.000828,0.002489,0.006065,0.012696,0.024356,0.043804,0.075073"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.010518,0.012418,0.014538,0.018708,0.026918,0.043175,0.075537", \ - "0.011141,0.013022,0.015136,0.019314,0.027559,0.043869,0.076280", \ - "0.014990,0.016739,0.018673,0.022642,0.030668,0.046794,0.079086", \ - "0.020875,0.023130,0.025560,0.030116,0.038313,0.053790,0.085468", \ - "0.028312,0.030975,0.033819,0.039143,0.048873,0.066055,0.096891", \ - "0.037208,0.040357,0.043698,0.049861,0.060930,0.080535,0.114348", \ - "0.047524,0.051184,0.055069,0.062196,0.074807,0.096662,0.134512"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.004306,0.005291,0.006378,0.008510,0.012724,0.021122,0.037899", \ - "0.004303,0.005290,0.006378,0.008510,0.012724,0.021123,0.037896", \ - "0.006800,0.007473,0.008247,0.009666,0.013029,0.021123,0.037898", \ - "0.011373,0.012038,0.012806,0.014361,0.017470,0.023449,0.037997", \ - "0.017585,0.018364,0.019259,0.020996,0.024320,0.030712,0.042793", \ - "0.025289,0.026218,0.027294,0.029373,0.033205,0.040127,0.053001", \ - "0.034501,0.035589,0.036875,0.039351,0.043876,0.051735,0.065464"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.007691,0.009382,0.011292,0.015078,0.022605,0.037595,0.067524", \ - "0.007651,0.009362,0.011277,0.015073,0.022600,0.037598,0.067532", \ - "0.008313,0.009711,0.011391,0.014958,0.022591,0.037602,0.067526", \ - "0.011345,0.012906,0.014621,0.017798,0.023824,0.037582,0.067522", \ - "0.015474,0.017118,0.018934,0.022455,0.029097,0.041054,0.067715", \ - "0.021113,0.022904,0.024848,0.028525,0.035513,0.048632,0.072516", \ - "0.028189,0.030186,0.032338,0.036316,0.043610,0.057310,0.082578"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.006356,0.007462,0.008697,0.011138,0.015981,0.025623,0.044873", \ - "0.006842,0.007973,0.009233,0.011714,0.016601,0.026281,0.045558", \ - "0.007685,0.009059,0.010511,0.013227,0.018266,0.027974,0.047283", \ - "0.007537,0.009409,0.011335,0.014769,0.020720,0.031227,0.050674", \ - "0.005661,0.008078,0.010559,0.014966,0.022442,0.034776,0.055835", \ - "0.001794,0.004749,0.007783,0.013181,0.022337,0.037231,0.061185", \ - "-0.004303,-0.000810,0.002771,0.009159,0.020010,0.037645,0.065511"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.008079,0.009474,0.011038,0.014129,0.020248,0.032410,0.056660", \ - "0.008837,0.010223,0.011783,0.014876,0.021004,0.033179,0.057440", \ - "0.012750,0.014242,0.015825,0.018727,0.024630,0.036591,0.060688", \ - "0.018198,0.020059,0.022061,0.025809,0.032570,0.044345,0.067823", \ - "0.025228,0.027459,0.029826,0.034221,0.042202,0.056324,0.080266", \ - "0.033846,0.036498,0.039293,0.044415,0.053544,0.069594,0.097379", \ - "0.044135,0.047218,0.050463,0.056392,0.066818,0.084764,0.115721"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.003037,0.003960,0.005010,0.007109,0.011303,0.019690,0.036458", \ - "0.003045,0.003961,0.005010,0.007107,0.011302,0.019687,0.036462", \ - "0.004307,0.005042,0.005916,0.007714,0.011495,0.019689,0.036461", \ - "0.007287,0.008012,0.008820,0.010391,0.013682,0.020828,0.036579", \ - "0.011384,0.012278,0.013234,0.014997,0.018234,0.024666,0.038771", \ - "0.016386,0.017476,0.018654,0.020796,0.024539,0.031093,0.044091", \ - "0.022354,0.023623,0.025032,0.027604,0.032041,0.039425,0.052354"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.005569,0.006826,0.008250,0.011094,0.016767,0.028103,0.050737", \ - "0.005554,0.006818,0.008247,0.011093,0.016769,0.028103,0.050738", \ - "0.007027,0.007925,0.009010,0.011386,0.016758,0.028103,0.050740", \ - "0.009850,0.011111,0.012476,0.015005,0.019410,0.028745,0.050737", \ - "0.013584,0.015025,0.016579,0.019505,0.024871,0.034151,0.052337", \ - "0.018445,0.020108,0.021864,0.025102,0.031007,0.041678,0.059798", \ - "0.024466,0.026384,0.028392,0.032027,0.038470,0.049979,0.070442"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.006372,0.007478,0.008713,0.011154,0.015996,0.025637,0.044885", \ - "0.006905,0.008037,0.009297,0.011777,0.016663,0.026342,0.045616", \ - "0.007789,0.009166,0.010620,0.013337,0.018373,0.028080,0.047386", \ - "0.007349,0.009263,0.011229,0.014721,0.020738,0.031288,0.050759", \ - "0.004716,0.007228,0.009800,0.014354,0.022034,0.034584,0.055783", \ - "-0.000377,0.002726,0.005902,0.011540,0.021050,0.036392,0.060766", \ - "-0.008165,-0.004478,-0.000706,0.006003,0.017351,0.035670,0.064311"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.010124,0.011980,0.014064,0.018186,0.026351,0.042578,0.074934", \ - "0.010731,0.012579,0.014663,0.018796,0.026981,0.043232,0.075609", \ - "0.014836,0.016570,0.018463,0.022363,0.030298,0.046318,0.078521", \ - "0.021212,0.023398,0.025763,0.030215,0.038279,0.053601,0.085113", \ - "0.029553,0.032098,0.034830,0.039972,0.049444,0.066312,0.096883", \ - "0.040002,0.042969,0.046118,0.051961,0.062576,0.081635,0.114885", \ - "0.052646,0.056057,0.059671,0.066321,0.078195,0.099140,0.136054"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.003037,0.003961,0.005011,0.007108,0.011303,0.019689,0.036460", \ - "0.003043,0.003962,0.005011,0.007109,0.011303,0.019689,0.036458", \ - "0.004269,0.005009,0.005888,0.007691,0.011486,0.019690,0.036460", \ - "0.007203,0.007954,0.008778,0.010374,0.013671,0.020818,0.036576", \ - "0.011266,0.012191,0.013178,0.014986,0.018269,0.024714,0.038781", \ - "0.016255,0.017388,0.018598,0.020792,0.024606,0.031245,0.044205", \ - "0.022159,0.023493,0.024954,0.027606,0.032145,0.039649,0.052650"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.007689,0.009385,0.011294,0.015087,0.022620,0.037626,0.067571", \ - "0.007639,0.009355,0.011279,0.015081,0.022618,0.037622,0.067576", \ - "0.008381,0.009781,0.011446,0.014989,0.022599,0.037621,0.067569", \ - "0.011167,0.012778,0.014522,0.017746,0.023849,0.037617,0.067563", \ - "0.014668,0.016424,0.018355,0.022039,0.028852,0.040996,0.067757", \ - "0.019316,0.021246,0.023329,0.027267,0.034661,0.048170,0.072388", \ - "0.025126,0.027295,0.029592,0.033838,0.041635,0.056091,0.081974"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.006545,0.007658,0.008902,0.011364,0.016248,0.025967,0.045352", \ - "0.007080,0.008219,0.009489,0.011989,0.016914,0.026672,0.046084", \ - "0.008032,0.009398,0.010847,0.013569,0.018625,0.028409,0.047852", \ - "0.007782,0.009658,0.011596,0.015059,0.021058,0.031643,0.051227", \ - "0.005478,0.007910,0.010428,0.014913,0.022526,0.035039,0.056292", \ - "0.000893,0.003856,0.006928,0.012438,0.021818,0.037053,0.061385", \ - "-0.006154,-0.002702,0.000892,0.007381,0.018506,0.036631,0.065134"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.012970,0.014824,0.016907,0.021032,0.029199,0.045419,0.077739", \ - "0.013585,0.015436,0.017521,0.021654,0.029838,0.046080,0.078422", \ - "0.017452,0.019171,0.021141,0.025110,0.033096,0.049140,0.081323", \ - "0.024551,0.026614,0.028865,0.033121,0.040897,0.056328,0.087865", \ - "0.033435,0.035815,0.038409,0.043355,0.052554,0.069036,0.099551", \ - "0.044518,0.047245,0.050192,0.055756,0.066051,0.084743,0.117518", \ - "0.057841,0.060964,0.064311,0.070587,0.082021,0.102541,0.139001"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.004306,0.005291,0.006378,0.008510,0.012724,0.021122,0.037901", \ - "0.004311,0.005292,0.006378,0.008510,0.012724,0.021123,0.037899", \ - "0.005727,0.006449,0.007318,0.009074,0.012901,0.021122,0.037900", \ - "0.009242,0.009815,0.010503,0.011958,0.015154,0.022235,0.038013", \ - "0.014144,0.014780,0.015527,0.017025,0.019997,0.026233,0.040204", \ - "0.020079,0.020820,0.021708,0.023453,0.026765,0.032977,0.045715", \ - "0.026975,0.027833,0.028886,0.030960,0.034828,0.041722,0.054304"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.009410,0.011109,0.013023,0.016827,0.024376,0.039402,0.069345", \ - "0.009393,0.011097,0.013018,0.016822,0.024378,0.039402,0.069342", \ - "0.009632,0.011164,0.012959,0.016757,0.024370,0.039401,0.069343", \ - "0.012523,0.014098,0.015798,0.018877,0.025220,0.039350,0.069349", \ - "0.016036,0.017798,0.019728,0.023395,0.030119,0.042293,0.069449", \ - "0.020660,0.022575,0.024665,0.028629,0.036037,0.049449,0.073731", \ - "0.026501,0.028613,0.030897,0.035153,0.043000,0.057471,0.083198"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.009675,0.010876,0.012207,0.014798,0.019840,0.029698,0.049143", \ - "0.010249,0.011454,0.012787,0.015383,0.020430,0.030293,0.049743", \ - "0.012993,0.014251,0.015592,0.018095,0.023057,0.032843,0.052230", \ - "0.015669,0.017319,0.019088,0.022380,0.028307,0.038545,0.057566", \ - "0.017287,0.019319,0.021482,0.025506,0.032739,0.045373,0.066721", \ - "0.017689,0.020127,0.022698,0.027471,0.036022,0.050831,0.075959", \ - "0.016809,0.019624,0.022599,0.028128,0.038021,0.055079,0.083712"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.011092,0.012571,0.014210,0.017426,0.023758,0.036309,0.061311", \ - "0.011895,0.013397,0.015063,0.018327,0.024725,0.037342,0.062397", \ - "0.014950,0.016571,0.018266,0.021518,0.027908,0.040569,0.065695", \ - "0.018515,0.020609,0.022827,0.026906,0.034179,0.046964,0.071950", \ - "0.023403,0.025940,0.028595,0.033426,0.041982,0.056887,0.082588", \ - "0.029470,0.032511,0.035678,0.041385,0.051296,0.068213,0.097190", \ - "0.036327,0.039905,0.043636,0.050342,0.061868,0.081084,0.113244"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.006205,0.007155,0.008230,0.010365,0.014607,0.023054,0.039903", \ - "0.006200,0.007153,0.008229,0.010365,0.014607,0.023054,0.039906", \ - "0.007231,0.007946,0.008802,0.010628,0.014606,0.023055,0.039902", \ - "0.010772,0.011689,0.012674,0.014521,0.017905,0.024407,0.039911", \ - "0.015661,0.016764,0.017947,0.020109,0.024000,0.030961,0.043615", \ - "0.021798,0.023154,0.024599,0.027202,0.031695,0.039462,0.053158", \ - "0.029170,0.030815,0.032581,0.035722,0.041029,0.049784,0.064675"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.005535,0.006787,0.008220,0.011088,0.016815,0.028236,0.051032", \ - "0.005550,0.006797,0.008224,0.011090,0.016815,0.028251,0.051030", \ - "0.006515,0.007456,0.008634,0.011212,0.016825,0.028243,0.051027", \ - "0.009969,0.010940,0.012069,0.014325,0.018683,0.028595,0.051047", \ - "0.014777,0.015675,0.016757,0.019003,0.023639,0.032711,0.052009", \ - "0.020692,0.021622,0.022752,0.025060,0.029746,0.039208,0.057496", \ - "0.027650,0.028631,0.029858,0.032358,0.037325,0.046997,0.066101"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.008061,0.009337,0.010732,0.013412,0.018552,0.028496,0.048006", \ - "0.008629,0.009900,0.011291,0.013969,0.019109,0.029055,0.048566", \ - "0.011265,0.012644,0.014094,0.016715,0.021713,0.031571,0.051018", \ - "0.013246,0.015059,0.016973,0.020485,0.026689,0.037230,0.056308", \ - "0.013937,0.016180,0.018536,0.022855,0.030490,0.043548,0.065299", \ - "0.013247,0.015914,0.018702,0.023820,0.032869,0.048291,0.074000", \ - "0.011119,0.014187,0.017389,0.023287,0.033728,0.051536,0.080996"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.012653,0.014551,0.016658,0.020801,0.028978,0.045218,0.077601", \ - "0.013374,0.015298,0.017439,0.021643,0.029906,0.046231,0.078679", \ - "0.016178,0.018123,0.020243,0.024402,0.032648,0.049027,0.081572", \ - "0.019550,0.021902,0.024420,0.029148,0.037868,0.054051,0.086411", \ - "0.024550,0.027304,0.030193,0.035496,0.045131,0.062673,0.094877", \ - "0.030974,0.034245,0.037644,0.043772,0.054524,0.073484,0.107716", \ - "0.038409,0.042241,0.046224,0.053361,0.065653,0.086506,0.122975"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.005726,0.006669,0.007732,0.009843,0.014046,0.022443,0.039253", \ - "0.005648,0.006623,0.007706,0.009832,0.014043,0.022443,0.039252", \ - "0.007002,0.007752,0.008521,0.010220,0.014054,0.022444,0.039252", \ - "0.010784,0.011660,0.012608,0.014389,0.017687,0.024007,0.039268", \ - "0.015987,0.017068,0.018216,0.020293,0.024042,0.030810,0.043257", \ - "0.022533,0.023876,0.025295,0.027819,0.032154,0.039648,0.053048", \ - "0.030412,0.032064,0.033811,0.036881,0.042019,0.050475,0.064945"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.006087,0.007717,0.009588,0.013336,0.020847,0.035824,0.065745", \ - "0.006110,0.007728,0.009594,0.013339,0.020847,0.035816,0.065744", \ - "0.006789,0.008157,0.009817,0.013397,0.020848,0.035820,0.065747", \ - "0.009476,0.010858,0.012456,0.015649,0.021944,0.035901,0.065743", \ - "0.013705,0.014946,0.016423,0.019479,0.025835,0.038528,0.066063", \ - "0.019216,0.020482,0.021977,0.024978,0.031086,0.043815,0.069471", \ - "0.025812,0.027142,0.028731,0.031915,0.038139,0.050554,0.076251"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.008339,0.009611,0.011007,0.013695,0.018865,0.028877,0.048514", \ - "0.008902,0.010172,0.011564,0.014253,0.019423,0.029437,0.049074", \ - "0.011610,0.012962,0.014390,0.016989,0.022024,0.031951,0.051524", \ - "0.013867,0.015624,0.017497,0.020961,0.027121,0.037622,0.056815", \ - "0.015018,0.017150,0.019422,0.023638,0.031170,0.044164,0.065867", \ - "0.015041,0.017511,0.020146,0.025078,0.033931,0.049192,0.074808", \ - "0.013904,0.016667,0.019630,0.025213,0.035324,0.052825,0.082073"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.015508,0.017391,0.019496,0.023643,0.031833,0.048082,0.080451", \ - "0.016299,0.018204,0.020333,0.024528,0.032786,0.049106,0.081533", \ - "0.019134,0.021011,0.023115,0.027282,0.035542,0.051916,0.084436", \ - "0.023152,0.025351,0.027741,0.032291,0.040756,0.056919,0.089276", \ - "0.028760,0.031262,0.033940,0.038986,0.048366,0.065642,0.097709", \ - "0.035981,0.038907,0.042000,0.047722,0.058053,0.076682,0.110616", \ - "0.044300,0.047717,0.051317,0.057922,0.069610,0.089944,0.126061"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.007460,0.008341,0.009355,0.011406,0.015553,0.023914,0.040701", \ - "0.007386,0.008298,0.009330,0.011396,0.015551,0.023914,0.040701", \ - "0.008762,0.009352,0.010098,0.011762,0.015557,0.023915,0.040703", \ - "0.013157,0.013844,0.014627,0.016194,0.019243,0.025451,0.040720", \ - "0.019169,0.019970,0.020874,0.022627,0.025999,0.032429,0.044681", \ - "0.026637,0.027616,0.028720,0.030794,0.034611,0.041612,0.054633", \ - "0.035541,0.036733,0.038080,0.040584,0.045044,0.052841,0.066797"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.007769,0.009434,0.011319,0.015092,0.022620,0.037621,0.067568", \ - "0.007775,0.009437,0.011324,0.015095,0.022616,0.037626,0.067567", \ - "0.008057,0.009588,0.011400,0.015115,0.022622,0.037626,0.067569", \ - "0.010583,0.012052,0.013705,0.016892,0.023411,0.037651,0.067579", \ - "0.014401,0.015797,0.017401,0.020637,0.027157,0.039959,0.067790", \ - "0.019691,0.021114,0.022727,0.025901,0.032240,0.045182,0.070974", \ - "0.026094,0.027627,0.029363,0.032718,0.039128,0.051797,0.077670"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.010664,0.011865,0.013193,0.015785,0.020827,0.030685,0.050131", \ - "0.011182,0.012389,0.013725,0.016326,0.021378,0.031246,0.050699", \ - "0.012665,0.013932,0.015314,0.017951,0.023020,0.032909,0.052380", \ - "0.014317,0.015827,0.017442,0.020467,0.026023,0.036294,0.055762", \ - "0.014756,0.016653,0.018670,0.022385,0.029001,0.040540,0.061146", \ - "0.013505,0.015813,0.018248,0.022734,0.030693,0.044280,0.067151", \ - "0.010388,0.013106,0.015955,0.021216,0.030559,0.046450,0.072618"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.012922,0.014358,0.015968,0.019154,0.025459,0.037985,0.062946", \ - "0.013858,0.015309,0.016931,0.020135,0.026464,0.039012,0.063989", \ - "0.017203,0.018672,0.020297,0.023497,0.029826,0.042388,0.067391", \ - "0.021654,0.023550,0.025590,0.029409,0.036354,0.048902,0.073767", \ - "0.028036,0.030226,0.032570,0.036959,0.044986,0.059333,0.084581", \ - "0.036443,0.038999,0.041703,0.046707,0.055710,0.071683,0.099803", \ - "0.046448,0.049423,0.052558,0.058318,0.068527,0.086219,0.116980"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.006202,0.007154,0.008229,0.010364,0.014607,0.023055,0.039902", \ - "0.006199,0.007153,0.008228,0.010364,0.014607,0.023055,0.039904", \ - "0.006686,0.007551,0.008538,0.010544,0.014638,0.023055,0.039904", \ - "0.009019,0.009824,0.010729,0.012527,0.016186,0.023748,0.039946", \ - "0.012977,0.013869,0.014836,0.016660,0.020118,0.027044,0.041673", \ - "0.017909,0.018989,0.020151,0.022277,0.026058,0.032914,0.046547", \ - "0.023681,0.024989,0.026403,0.028959,0.033365,0.040837,0.054245"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.006830,0.008095,0.009535,0.012413,0.018148,0.029575,0.052369", \ - "0.006832,0.008098,0.009536,0.012412,0.018150,0.029570,0.052363", \ - "0.007363,0.008444,0.009730,0.012465,0.018150,0.029569,0.052369", \ - "0.010612,0.011675,0.012854,0.015154,0.019644,0.029817,0.052356", \ - "0.014618,0.015725,0.016992,0.019497,0.024372,0.033545,0.053182", \ - "0.019532,0.020718,0.022065,0.024708,0.029856,0.039791,0.058319", \ - "0.025392,0.026691,0.028164,0.031025,0.036474,0.046840,0.066592"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.009050,0.010325,0.011718,0.014397,0.019536,0.029482,0.048992", \ - "0.009553,0.010829,0.012226,0.014909,0.020055,0.030007,0.049522", \ - "0.010965,0.012304,0.013754,0.016504,0.021667,0.031641,0.051174", \ - "0.012075,0.013748,0.015509,0.018728,0.024493,0.034936,0.054513", \ - "0.011565,0.013690,0.015910,0.019939,0.026961,0.038888,0.059749", \ - "0.009149,0.011726,0.014404,0.019280,0.027781,0.041997,0.065408", \ - "0.004684,0.007705,0.010826,0.016528,0.026510,0.043209,0.070220"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.015065,0.016908,0.018978,0.023081,0.031224,0.047427,0.079755", \ - "0.015951,0.017812,0.019899,0.024027,0.032199,0.048433,0.080779", \ - "0.018959,0.020810,0.022886,0.027001,0.035172,0.051421,0.083802", \ - "0.023084,0.025254,0.027617,0.032124,0.040536,0.056587,0.088793", \ - "0.029452,0.031859,0.034446,0.039349,0.048537,0.065599,0.097452", \ - "0.038195,0.040957,0.043874,0.049301,0.059207,0.077348,0.110812", \ - "0.048778,0.051967,0.055319,0.061480,0.072470,0.091911,0.127145"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.005699,0.006649,0.007717,0.009834,0.014042,0.022444,0.039252", \ - "0.005662,0.006627,0.007705,0.009830,0.014041,0.022443,0.039254", \ - "0.006251,0.007110,0.008075,0.010047,0.014102,0.022448,0.039252", \ - "0.008931,0.009688,0.010541,0.012253,0.015789,0.023225,0.039318", \ - "0.013154,0.014020,0.014945,0.016690,0.020006,0.026727,0.041153", \ - "0.018405,0.019451,0.020570,0.022620,0.026260,0.032899,0.046239", \ - "0.024583,0.025853,0.027221,0.029691,0.033944,0.041169,0.054253"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.007767,0.009426,0.011315,0.015083,0.022604,0.037597,0.067524", \ - "0.007774,0.009432,0.011315,0.015086,0.022605,0.037603,0.067525", \ - "0.008089,0.009605,0.011404,0.015107,0.022604,0.037603,0.067533", \ - "0.010568,0.012045,0.013705,0.016920,0.023431,0.037632,0.067535", \ - "0.013914,0.015411,0.017099,0.020444,0.027063,0.039938,0.067765", \ - "0.018377,0.019926,0.021660,0.025036,0.031694,0.044929,0.070902", \ - "0.023840,0.025524,0.027383,0.030934,0.037699,0.050958,0.077309"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.009327,0.010599,0.011992,0.014681,0.019849,0.029862,0.049499", \ - "0.009828,0.011103,0.012499,0.015193,0.020368,0.030389,0.050030", \ - "0.011265,0.012595,0.014042,0.016791,0.021981,0.032023,0.051681", \ - "0.012542,0.014176,0.015909,0.019098,0.024854,0.035334,0.055024", \ - "0.012347,0.014395,0.016558,0.020517,0.027472,0.039371,0.060291", \ - "0.010404,0.012851,0.015431,0.020182,0.028555,0.042660,0.066045", \ - "0.006605,0.009410,0.012376,0.017879,0.027650,0.044160,0.071040"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.017893,0.019736,0.021810,0.025921,0.034076,0.050285,0.082599", \ - "0.018810,0.020665,0.022751,0.026881,0.035059,0.051292,0.083624", \ - "0.021818,0.023658,0.025735,0.029857,0.038038,0.054291,0.086653", \ - "0.026440,0.028506,0.030781,0.035153,0.043368,0.059438,0.091638", \ - "0.033159,0.035423,0.037895,0.042649,0.051661,0.068503,0.100273", \ - "0.042418,0.044969,0.047705,0.052886,0.062528,0.080446,0.113660", \ - "0.053652,0.056579,0.059684,0.065497,0.076084,0.095178,0.130155"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.007435,0.008322,0.009341,0.011398,0.015550,0.023914,0.040701", \ - "0.007399,0.008302,0.009329,0.011393,0.015549,0.023914,0.040702", \ - "0.007994,0.008764,0.009680,0.011604,0.015608,0.023919,0.040702", \ - "0.010913,0.011546,0.012304,0.013897,0.017318,0.024689,0.040771", \ - "0.015716,0.016370,0.017125,0.018633,0.021714,0.028254,0.042593", \ - "0.021696,0.022467,0.023351,0.025058,0.028303,0.034594,0.047741", \ - "0.028683,0.029613,0.030686,0.032718,0.036449,0.043165,0.055887"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.009446,0.011128,0.013035,0.016826,0.024377,0.039401,0.069345", \ - "0.009445,0.011129,0.013034,0.016829,0.024373,0.039402,0.069343", \ - "0.009557,0.011192,0.013069,0.016838,0.024376,0.039403,0.069343", \ - "0.011826,0.013331,0.015002,0.018216,0.024956,0.039415,0.069342", \ - "0.015037,0.016589,0.018328,0.021751,0.028428,0.041418,0.069504", \ - "0.019383,0.020980,0.022756,0.026221,0.033008,0.046344,0.072426", \ - "0.024820,0.026524,0.028415,0.032028,0.038913,0.052331,0.078753"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("1.104567,1.166916,1.244163,1.309880,1.361287,1.412857,1.426522", \ - "0.974390,1.033776,1.116952,1.237574,1.362745,1.458161,1.513600", \ - "2.310418,1.995458,1.868877,1.770363,1.690349,1.666296,1.663867", \ - "6.912633,6.435549,5.921057,5.026746,3.952481,3.228548,2.657427", \ - "13.729690,13.443040,12.991770,12.030750,10.039960,7.499180,5.599756", \ - "22.917650,22.740010,22.589430,21.863680,19.921880,16.096160,11.583250", \ - "34.717250,34.579140,34.515990,34.242170,32.816600,28.933000,22.039880"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("13.117130,13.345390,13.514830,13.656730,13.624630,13.373620,13.655590", \ - "12.986140,13.145780,13.194740,13.375330,13.454240,13.526520,12.973370", \ - "14.609050,14.516050,14.469020,14.219710,14.030480,13.668250,13.848990", \ - "18.612980,18.539470,18.449830,18.144300,17.344850,16.430250,15.256750", \ - "26.651850,26.247890,25.726160,25.024840,23.951090,22.208220,19.632530", \ - "38.204300,37.804890,37.208310,36.241780,34.248300,31.629110,27.834930", \ - "53.429310,52.952410,52.603190,51.538940,49.235430,45.317310,39.576090"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("1.148807,1.228540,1.272076,1.348956,1.408569,1.447995,1.465664", \ - "1.151155,1.214099,1.276862,1.373225,1.485830,1.591643,1.649413", \ - "2.418958,2.143893,2.028535,1.938014,1.887406,1.876503,1.873777", \ - "6.417739,6.037815,5.596419,4.830909,3.866479,3.253341,2.770954", \ - "12.211430,12.090790,11.748190,10.957310,9.281237,7.012948,5.350408", \ - "20.064940,19.981450,19.937230,19.433740,17.932190,14.675310,10.626110", \ - "30.111920,30.043230,30.209000,30.127400,29.167270,26.021920,19.963400"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("12.926970,13.233600,13.336770,13.451960,13.490550,13.574300,13.284900", \ - "12.706680,12.875950,13.062650,13.172180,13.294110,13.483990,13.393000", \ - "14.272700,14.188890,14.022030,13.997470,13.808990,13.544420,13.766670", \ - "17.901410,18.038010,17.865370,17.401850,16.746640,15.585430,15.247010", \ - "24.802000,24.529930,24.139610,23.565600,22.540620,20.814370,19.024890", \ - "35.521980,35.025530,34.409690,33.395560,31.626480,29.350830,26.120280", \ - "49.466540,49.101590,48.497380,47.216890,44.682190,41.426190,36.013840"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("1.138142,1.224126,1.281667,1.337969,1.402145,1.450233,1.470984", \ - "1.119852,1.210017,1.285044,1.389954,1.494130,1.580880,1.646616", \ - "2.207411,2.085709,1.994582,1.922548,1.884816,1.877058,1.865408", \ - "6.355283,5.878923,5.437909,4.689107,3.852404,3.248080,2.768890", \ - "12.759860,12.305600,11.862490,10.895160,9.179466,6.971110,5.344799", \ - "21.082980,20.844280,20.514730,19.687320,17.984690,14.579470,10.620320", \ - "31.297690,31.417670,31.279920,30.798240,29.472480,26.009020,19.908480"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("17.259690,17.573530,17.720510,17.829050,17.946820,18.018990,18.202250", \ - "17.070450,17.371620,17.422170,17.527110,17.578940,17.567510,17.798170", \ - "18.290240,18.311630,18.156650,18.266620,18.137120,18.019570,18.141000", \ - "22.070230,22.022930,21.715370,21.395330,20.819020,20.074670,19.620140", \ - "28.226910,28.053430,27.803650,27.507730,26.654380,24.724160,22.921660", \ - "38.766480,38.324060,37.784920,36.893040,35.277070,33.365760,30.440410", \ - "52.699440,52.226360,51.755410,50.582960,48.307600,45.016170,40.841410"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("1.139570,1.188643,1.252029,1.322665,1.376360,1.415794,1.427958", \ - "0.759239,0.881686,0.996247,1.147039,1.293814,1.426570,1.495915", \ - "1.376242,1.180788,1.128836,1.164932,1.256863,1.382112,1.490332", \ - "5.077753,4.806046,4.416723,3.722864,2.819718,2.363460,2.087499", \ - "10.961050,10.751610,10.383420,9.691284,8.115894,5.887377,4.318366", \ - "18.470450,18.566600,18.443360,17.956990,16.640160,13.515530,9.352886", \ - "28.392710,28.472910,28.264210,28.282460,27.471440,24.733310,18.767040"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("16.919270,17.158270,17.170500,17.299240,17.342640,17.478480,17.220670", \ - "16.687040,16.843210,16.962730,17.121070,17.112160,16.993810,16.587810", \ - "18.450270,18.332340,18.313830,18.174040,17.924680,17.860890,16.988120", \ - "22.590420,22.738420,22.601150,22.229440,21.386550,20.453380,19.311530", \ - "30.642380,30.370570,30.205870,29.634260,28.760330,26.834450,24.243570", \ - "42.712300,42.446040,42.079390,41.281030,39.504720,37.076800,33.244850", \ - "58.437190,58.328090,57.937470,57.248570,55.284260,51.811400,45.817690"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("1.192960,1.236901,1.287929,1.361590,1.413681,1.452690,1.463072", \ - "0.916704,1.017373,1.132289,1.281052,1.440358,1.551677,1.637112", \ - "1.550304,1.390665,1.336486,1.379858,1.484634,1.607194,1.713396", \ - "4.745089,4.538014,4.219539,3.660707,2.867972,2.497689,2.273972", \ - "9.666935,9.481861,9.258401,8.771006,7.522142,5.598821,4.232226", \ - "15.993560,16.038100,15.996900,15.736200,14.838050,12.313690,8.677058", \ - "24.010210,24.230220,24.267050,24.346600,24.006060,21.992250,17.044740"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("16.901670,16.921080,17.053850,17.198910,17.158830,17.085330,16.884530", \ - "16.643280,16.654980,16.868060,16.881480,17.000980,16.704790,17.096280", \ - "18.100330,18.013240,18.029620,17.776200,17.789410,17.445780,17.526000", \ - "22.254150,22.138330,21.981590,21.440020,20.763560,20.025400,18.905630", \ - "29.041580,28.714200,28.597760,28.106490,27.088280,25.008080,23.187320", \ - "39.647560,39.358730,38.857510,37.988390,36.748200,34.195540,30.853770", \ - "53.893820,53.629190,53.237900,52.237970,50.432080,47.260580,42.110910"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("1.175690,1.262041,1.308521,1.350738,1.411496,1.453730,1.462608", \ - "0.908734,1.036405,1.140337,1.295838,1.436894,1.560097,1.638452", \ - "1.375316,1.328864,1.333046,1.375096,1.480397,1.606189,1.712455", \ - "4.793447,4.465132,4.106502,3.535306,2.831965,2.491210,2.266468", \ - "10.085190,9.751570,9.450561,8.802187,7.434895,5.537251,4.228619", \ - "16.854470,16.741820,16.580090,16.070450,14.947150,12.261130,8.641353", \ - "25.372950,25.192790,25.282540,25.126470,24.406620,22.048290,16.983920"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("21.156060,21.336980,21.408060,21.481570,21.471060,21.382600,21.736450", \ - "20.959760,21.121040,21.103860,21.166380,21.389100,21.281270,21.262200", \ - "22.196800,22.068910,22.195430,22.123430,22.019630,21.700270,21.670100", \ - "26.251540,26.108410,25.790840,25.496250,24.816890,24.214920,23.421730", \ - "32.541670,32.526590,32.436390,32.175540,31.182480,29.178100,27.020150", \ - "43.121150,42.911290,42.522220,41.840310,40.528590,38.162450,34.830070", \ - "57.249650,57.053670,56.754410,55.818400,54.056270,51.217710,46.193440"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("6.360894,6.469621,6.554592,6.662798,6.813502,6.990913,7.157828", \ - "6.081236,6.258530,6.327421,6.449973,6.629220,6.813665,6.989916", \ - "6.575851,6.611411,6.629768,6.719694,6.793796,6.892765,7.013966", \ - "10.009190,9.746897,9.494967,9.053186,8.675432,8.294753,7.942028", \ - "16.730290,16.436550,16.009610,15.274330,13.956610,12.260750,10.818080", \ - "26.235950,26.051730,25.709910,24.981160,23.310620,20.343740,16.753320", \ - "38.177550,38.217950,38.178240,37.696960,36.285150,32.784970,27.069690"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("22.240700,22.354380,22.474910,22.569910,22.636430,22.436830,22.685210", \ - "21.702230,21.979880,21.983850,22.293090,22.424030,22.541160,22.442010", \ - "21.561290,21.492340,21.669470,21.773090,21.825960,22.017350,22.350400", \ - "23.254300,23.110590,23.264240,23.020720,22.789810,22.524340,21.981450", \ - "28.606360,28.251880,27.906080,27.314280,26.996180,25.547550,24.645860", \ - "38.032170,37.628190,37.058590,35.954480,34.077440,32.534260,30.209280", \ - "50.730170,50.263960,49.605880,48.534730,46.333500,42.956400,38.768620"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("5.661122,5.795170,5.885837,6.053731,6.299999,6.508272,6.707854", \ - "5.353519,5.490879,5.626951,5.781530,6.035695,6.250548,6.462964", \ - "5.769808,5.788847,5.894538,5.993843,6.119007,6.260272,6.396896", \ - "9.255038,9.019838,8.750015,8.294042,7.893827,7.518830,7.210878", \ - "15.689070,15.451170,15.055720,14.281600,12.982970,11.270730,9.856299", \ - "24.672470,24.570110,24.267070,23.566380,21.890870,18.980390,15.399490", \ - "36.065170,36.035020,36.002390,35.637450,34.165420,30.794810,25.176390"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("20.168630,20.388920,20.471940,20.587980,20.616940,20.471400,19.932810", \ - "19.608070,19.897240,19.928950,20.193640,20.302710,20.462190,20.542790", \ - "19.434460,19.490590,19.571370,19.600760,19.805070,19.927580,20.210880", \ - "20.968830,21.177020,21.045820,20.769690,20.647170,20.243620,20.385530", \ - "25.744730,25.386320,25.207000,24.937950,24.448470,23.501480,22.661630", \ - "34.850890,34.448150,33.837430,32.930590,31.185880,29.736800,26.681700", \ - "47.463620,46.807350,46.267110,44.954280,42.523170,39.322370,35.417730"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("5.831542,5.911193,6.065128,6.182088,6.343967,6.526565,6.717759", \ - "5.568957,5.688887,5.767815,5.917040,6.082371,6.267733,6.471813", \ - "5.941060,5.979418,6.001349,6.080798,6.166282,6.277682,6.417651", \ - "9.266211,9.024596,8.735489,8.285383,7.941417,7.540784,7.225157", \ - "15.967810,15.564360,15.137580,14.311630,12.942470,11.262140,9.868372", \ - "25.366750,24.963210,24.602150,23.705600,21.923030,18.941090,15.409410", \ - "37.205210,36.985300,36.816780,36.082490,34.358290,30.780050,25.139590"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("24.568460,24.703880,24.836860,24.940500,24.956930,25.073510,24.874230", \ - "24.149520,24.288670,24.448450,24.573960,24.739290,24.776490,25.060470", \ - "23.760710,23.904930,23.981030,24.014400,24.299590,24.632460,24.637650", \ - "25.169530,25.183000,25.234120,25.176250,24.943640,24.799940,24.793160", \ - "29.484960,29.289380,29.136330,29.037720,28.579450,27.822750,26.978150", \ - "38.073410,37.844070,37.355330,36.446040,35.052050,33.640950,31.370270", \ - "50.506270,49.975600,49.483000,48.332870,46.437650,43.277590,39.623760"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("6.390318,6.502805,6.561144,6.692996,6.819657,6.999691,7.165317", \ - "6.122262,6.185858,6.329248,6.460168,6.622035,6.798419,6.991692", \ - "6.044703,6.172344,6.227303,6.358929,6.505582,6.691532,6.889217", \ - "8.630287,8.464285,8.267814,7.960129,7.717126,7.561677,7.441083", \ - "14.184290,13.953420,13.682230,13.098050,12.078300,10.632850,9.610032", \ - "22.049130,21.893470,21.797370,21.247220,20.035610,17.633180,14.472810", \ - "31.795260,31.889210,31.944750,31.821240,30.971710,28.369940,23.507430"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("26.125860,26.166750,26.190790,26.299740,26.125360,26.410140,25.790590", \ - "25.745530,25.745200,25.920770,26.016690,26.000380,26.156450,25.651640", \ - "25.643680,25.625020,25.789800,25.883530,25.710050,26.090280,26.000410", \ - "27.294170,27.391500,27.368200,27.148700,26.759170,26.741770,26.612300", \ - "32.322860,32.260030,32.054880,31.599460,31.260130,29.819990,29.098180", \ - "42.122300,41.807730,41.320010,40.634880,39.047910,37.595520,34.321360", \ - "55.283680,54.902580,54.332780,53.518510,51.720020,48.227920,44.697800"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("5.621884,5.742902,5.911839,6.083777,6.307083,6.516796,6.718563", \ - "5.352893,5.471329,5.605145,5.788591,6.029638,6.253087,6.454618", \ - "5.286824,5.407515,5.487702,5.677025,5.891368,6.098346,6.314355", \ - "7.835132,7.725104,7.562794,7.266965,6.969930,6.871493,6.794407", \ - "13.122710,13.000430,12.746860,12.186010,11.195890,9.760280,8.783188", \ - "20.559560,20.489430,20.338450,19.834490,18.695860,16.413080,13.321140", \ - "29.789550,29.838470,29.887050,29.815890,28.995710,26.554410,21.878400"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("24.022110,24.016110,24.134770,24.196390,24.245420,24.128260,24.179890", \ - "23.639870,23.741020,23.875040,23.977710,24.088200,24.065550,24.101390", \ - "23.620220,23.613330,23.733860,23.848680,23.754940,23.830630,24.133990", \ - "25.123220,25.121320,25.150450,24.980180,24.687280,24.367540,24.358190", \ - "29.772190,29.536230,29.432660,29.395090,28.666620,27.554620,26.812680", \ - "38.750040,38.549350,38.168270,37.214840,36.337800,34.329770,31.633260", \ - "51.334950,50.964430,50.498460,49.683290,48.027080,44.743950,40.358630"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("5.899174,5.950595,6.024894,6.182062,6.352256,6.533467,6.722927", \ - "5.564811,5.639259,5.751338,5.901587,6.080371,6.270940,6.472875", \ - "5.490089,5.537787,5.643153,5.774796,5.932404,6.120639,6.322326", \ - "7.885252,7.744534,7.547549,7.249765,7.026005,6.895211,6.803530", \ - "13.474190,13.123000,12.845140,12.237030,11.171360,9.769613,8.790949", \ - "21.202830,21.051290,20.696520,20.128140,18.789620,16.376390,13.338820", \ - "30.997200,30.961320,30.791130,30.406510,29.271150,26.577030,21.861640"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("28.259960,28.411940,28.420620,28.518370,28.415240,28.466760,28.806020", \ - "27.952730,28.167950,28.250510,28.224710,28.333400,28.437900,28.642020", \ - "27.911960,27.953460,28.044870,28.161220,28.342790,28.136590,28.531800", \ - "29.373490,29.357040,29.233650,29.227060,29.102410,28.887280,29.048000", \ - "33.657690,33.589890,33.475150,33.364670,32.699130,31.910670,30.381050", \ - "42.335400,42.016260,41.834450,41.164520,40.310260,38.702280,36.307850", \ - "54.705120,54.478730,54.036630,53.288980,51.576380,48.869880,45.245930"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI211_X1 - Cell Description : Combinational cell (AOI211_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI211_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 102.236994; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 86.275250; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 154.417625; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 88.764002; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 136.029250; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 48.573612; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 88.256412; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 88.250362; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 120.996593; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 46.903150; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 87.005038; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 86.999025; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 120.773141; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 80.476731; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 120.698371; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 120.694496; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 160.678844; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.660476; - fall_capacitance : 1.617951; - rise_capacitance : 1.660476; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.709479; - fall_capacitance : 1.500781; - rise_capacitance : 1.709479; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.699145; - fall_capacitance : 1.421076; - rise_capacitance : 1.699145; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.740115; - fall_capacitance : 1.381421; - rise_capacitance : 1.740115; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 14.495900; - function : "!(((C1 & C2) | B) | A)"; - - timing () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.006705,0.007079,0.007757,0.008968,0.011115,0.014919,0.021704", \ - "0.007437,0.007808,0.008477,0.009680,0.011821,0.015621,0.022402", \ - "0.010092,0.010527,0.011298,0.012632,0.014860,0.018541,0.025238", \ - "0.011069,0.011673,0.012751,0.014626,0.017767,0.022869,0.030872", \ - "0.009525,0.010304,0.011692,0.014095,0.018144,0.024718,0.035053", \ - "0.005316,0.006256,0.007929,0.010841,0.015760,0.023800,0.036467", \ - "-0.001664,-0.000556,0.001387,0.004763,0.010498,0.019934,0.034904"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.020676,0.021758,0.023736,0.027351,0.033956,0.046043,0.068246", \ - "0.021347,0.022430,0.024421,0.028065,0.034719,0.046879,0.069162", \ - "0.023646,0.024715,0.026680,0.030282,0.036894,0.049039,0.071354", \ - "0.026733,0.027928,0.030090,0.033960,0.040755,0.052768,0.074924", \ - "0.032439,0.033700,0.035967,0.040024,0.047232,0.059946,0.082093", \ - "0.041938,0.043320,0.045778,0.050107,0.057634,0.070738,0.093756", \ - "0.053985,0.055550,0.058307,0.063120,0.071341,0.085222,0.108994"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.004835,0.005113,0.005622,0.006549,0.008235,0.011313,0.016965", \ - "0.004722,0.005020,0.005555,0.006509,0.008217,0.011307,0.016964", \ - "0.006483,0.006695,0.007071,0.007723,0.008915,0.011500,0.016953", \ - "0.010499,0.010788,0.011296,0.012169,0.013643,0.016064,0.019969", \ - "0.015875,0.016252,0.016903,0.018008,0.019835,0.022789,0.027575", \ - "0.022752,0.023229,0.024043,0.025416,0.027653,0.031184,0.036734", \ - "0.031229,0.031822,0.032828,0.034507,0.037219,0.041413,0.047826"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.011702,0.012637,0.014366,0.017550,0.023424,0.034245,0.054186", \ - "0.011709,0.012644,0.014369,0.017554,0.023423,0.034245,0.054213", \ - "0.011786,0.012698,0.014396,0.017559,0.023431,0.034245,0.054176", \ - "0.013993,0.014809,0.016280,0.018969,0.024180,0.034375,0.054220", \ - "0.017546,0.018273,0.019665,0.022354,0.027479,0.036890,0.055038", \ - "0.022866,0.023485,0.024682,0.027053,0.031787,0.041125,0.058716", \ - "0.029750,0.030299,0.031394,0.033574,0.037924,0.046638,0.063988"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.005321,0.005718,0.006432,0.007702,0.009938,0.013856,0.020760", \ - "0.006173,0.006545,0.007226,0.008459,0.010663,0.014558,0.021452", \ - "0.008556,0.009039,0.009894,0.011350,0.013737,0.017531,0.024277", \ - "0.008806,0.009485,0.010680,0.012727,0.016117,0.021503,0.029789", \ - "0.006358,0.007221,0.008757,0.011385,0.015761,0.022746,0.033516", \ - "0.001082,0.002114,0.003956,0.007131,0.012443,0.021004,0.034269", \ - "-0.007123,-0.005915,-0.003795,-0.000136,0.006034,0.016077,0.031784"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.022412,0.023670,0.025976,0.030191,0.037890,0.052006,0.077947", \ - "0.023014,0.024281,0.026598,0.030842,0.038603,0.052801,0.078832", \ - "0.025234,0.026483,0.028769,0.032965,0.040678,0.054854,0.080927", \ - "0.028145,0.029502,0.031956,0.036367,0.044116,0.058121,0.084002", \ - "0.033666,0.035057,0.037568,0.042086,0.050188,0.064637,0.090169", \ - "0.043225,0.044732,0.047407,0.052134,0.060419,0.075042,0.101136", \ - "0.055629,0.057314,0.060285,0.065479,0.074385,0.089579,0.116078"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.004270,0.004578,0.005136,0.006125,0.007877,0.010999,0.016652", \ - "0.004071,0.004377,0.004968,0.006006,0.007807,0.010966,0.016641", \ - "0.006448,0.006660,0.007032,0.007678,0.008785,0.011226,0.016577", \ - "0.010651,0.010937,0.011437,0.012298,0.013732,0.016091,0.019916", \ - "0.016326,0.016696,0.017336,0.018413,0.020185,0.023048,0.027675", \ - "0.023656,0.024120,0.024921,0.026255,0.028408,0.031800,0.037149", \ - "0.032777,0.033363,0.034346,0.035963,0.038548,0.042547,0.048689"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.011819,0.012926,0.014978,0.018754,0.025729,0.038587,0.062252", \ - "0.011832,0.012933,0.014978,0.018764,0.025731,0.038601,0.062247", \ - "0.011904,0.012991,0.015016,0.018779,0.025748,0.038590,0.062244", \ - "0.013775,0.014780,0.016565,0.019881,0.026278,0.038623,0.062230", \ - "0.016816,0.017730,0.019475,0.022805,0.029102,0.040554,0.062723", \ - "0.021937,0.022709,0.024209,0.027142,0.032922,0.044284,0.065585", \ - "0.028800,0.029492,0.030857,0.033539,0.038816,0.049334,0.070280"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.005486,0.005881,0.006595,0.007866,0.010106,0.014035,0.020966", \ - "0.006324,0.006698,0.007382,0.008619,0.010828,0.014736,0.021657", \ - "0.008808,0.009283,0.010122,0.011556,0.013921,0.017703,0.024482", \ - "0.009296,0.009953,0.011115,0.013124,0.016461,0.021800,0.030051", \ - "0.007264,0.008080,0.009547,0.012090,0.016366,0.023256,0.033947", \ - "0.002643,0.003600,0.005311,0.008323,0.013450,0.021831,0.034940", \ - "-0.004572,-0.003520,-0.001616,0.001774,0.007631,0.017360,0.032798"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.026722,0.027977,0.030280,0.034497,0.042212,0.056347,0.082315", \ - "0.027378,0.028639,0.030954,0.035197,0.042961,0.057163,0.083213", \ - "0.029542,0.030789,0.033081,0.037292,0.045023,0.059224,0.085321", \ - "0.032801,0.034118,0.036500,0.040761,0.048404,0.062446,0.088376", \ - "0.038424,0.039775,0.042219,0.046658,0.054668,0.068992,0.094455", \ - "0.048303,0.049710,0.052265,0.056816,0.064939,0.079453,0.105439", \ - "0.061274,0.062849,0.065619,0.070542,0.079132,0.094070,0.120436"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.005380,0.005676,0.006210,0.007167,0.008878,0.011957,0.017576", \ - "0.005156,0.005458,0.006034,0.007045,0.008807,0.011924,0.017565", \ - "0.007681,0.007842,0.008141,0.008686,0.009738,0.012171,0.017502", \ - "0.012544,0.012747,0.013123,0.013812,0.015032,0.017174,0.020809", \ - "0.018939,0.019197,0.019665,0.020502,0.021970,0.024511,0.028842", \ - "0.027075,0.027402,0.027972,0.028989,0.030741,0.033700,0.038641", \ - "0.037083,0.037462,0.038175,0.039402,0.041490,0.044942,0.050549"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.014361,0.015494,0.017562,0.021382,0.028396,0.041294,0.065033", \ - "0.014370,0.015494,0.017565,0.021379,0.028399,0.041295,0.065041", \ - "0.014397,0.015511,0.017582,0.021388,0.028400,0.041303,0.065040", \ - "0.015812,0.016804,0.018644,0.022118,0.028695,0.041329,0.065030", \ - "0.018569,0.019574,0.021451,0.024923,0.031328,0.042911,0.065403", \ - "0.023060,0.023966,0.025647,0.028831,0.034934,0.046563,0.068010", \ - "0.029430,0.030263,0.031842,0.034789,0.040419,0.051364,0.072641"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.006333,0.006676,0.007299,0.008423,0.010449,0.014099,0.020721", \ - "0.007126,0.007468,0.008089,0.009213,0.011239,0.014891,0.021513", \ - "0.009392,0.009830,0.010608,0.011951,0.014196,0.017845,0.024396", \ - "0.009638,0.010263,0.011371,0.013291,0.016506,0.021701,0.029826", \ - "0.007036,0.007846,0.009296,0.011802,0.016013,0.022821,0.033420", \ - "0.001301,0.002291,0.004067,0.007151,0.012338,0.020768,0.033919", \ - "-0.007758,-0.006600,-0.004515,-0.000886,0.005235,0.015233,0.030947"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.018684,0.019764,0.021743,0.025360,0.031964,0.044053,0.066258", \ - "0.019115,0.020198,0.022187,0.025829,0.032486,0.044647,0.066930", \ - "0.021885,0.022952,0.024913,0.028507,0.035112,0.047248,0.069555", \ - "0.027143,0.028402,0.030655,0.034625,0.041411,0.053321,0.075359", \ - "0.035742,0.037132,0.039608,0.043998,0.051633,0.064652,0.086583", \ - "0.047692,0.049228,0.051972,0.056796,0.065132,0.079350,0.103269", \ - "0.062472,0.064208,0.067278,0.072640,0.081803,0.097235,0.123097"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.004030,0.004298,0.004790,0.005696,0.007361,0.010426,0.016074", \ - "0.004003,0.004278,0.004779,0.005692,0.007361,0.010426,0.016075", \ - "0.005870,0.006094,0.006481,0.007153,0.008314,0.010771,0.016077", \ - "0.009628,0.009936,0.010466,0.011378,0.012910,0.015418,0.019411", \ - "0.014619,0.015020,0.015715,0.016902,0.018859,0.021969,0.026897", \ - "0.020889,0.021406,0.022299,0.023792,0.026230,0.030050,0.035932", \ - "0.028515,0.029161,0.030260,0.032104,0.035086,0.039707,0.046648"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.011703,0.012641,0.014363,0.017553,0.023432,0.034252,0.054221", \ - "0.011712,0.012644,0.014366,0.017549,0.023423,0.034246,0.054214", \ - "0.011857,0.012749,0.014425,0.017570,0.023428,0.034262,0.054192", \ - "0.014978,0.015747,0.017062,0.019493,0.024405,0.034359,0.054198", \ - "0.018633,0.019405,0.020844,0.023551,0.028527,0.037301,0.054968", \ - "0.023576,0.024276,0.025621,0.028233,0.033261,0.042617,0.059228", \ - "0.030059,0.030699,0.031962,0.034438,0.039265,0.048602,0.065991"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.005380,0.005741,0.006393,0.007560,0.009635,0.013337,0.019998", \ - "0.006198,0.006548,0.007186,0.008339,0.010405,0.014102,0.020762", \ - "0.008161,0.008642,0.009486,0.010925,0.013296,0.017054,0.023624", \ - "0.007776,0.008462,0.009668,0.011738,0.015148,0.020565,0.028910", \ - "0.004413,0.005306,0.006877,0.009574,0.014037,0.021172,0.032109", \ - "-0.002172,-0.001091,0.000825,0.004122,0.009621,0.018446,0.032058", \ - "-0.012161,-0.010901,-0.008668,-0.004813,0.001650,0.012108,0.028362"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.020446,0.021709,0.024016,0.028228,0.035931,0.050044,0.075988", \ - "0.020817,0.022085,0.024402,0.028650,0.036409,0.050606,0.076636", \ - "0.023380,0.024627,0.026905,0.031096,0.038793,0.052961,0.079018", \ - "0.028083,0.029484,0.032010,0.036505,0.044243,0.058137,0.083900", \ - "0.036389,0.037888,0.040563,0.045342,0.053756,0.068403,0.093745", \ - "0.048374,0.050023,0.052939,0.058075,0.067014,0.082498,0.109224", \ - "0.063372,0.065230,0.068461,0.074120,0.083802,0.100256,0.128393"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.003723,0.003994,0.004490,0.005395,0.007049,0.010090,0.015709", \ - "0.003618,0.003901,0.004423,0.005357,0.007033,0.010086,0.015708", \ - "0.005823,0.006040,0.006421,0.007088,0.008203,0.010527,0.015708", \ - "0.009671,0.009972,0.010502,0.011394,0.012889,0.015350,0.019304", \ - "0.014830,0.015226,0.015912,0.017070,0.018975,0.022031,0.026870", \ - "0.021382,0.021894,0.022758,0.024222,0.026586,0.030306,0.036049", \ - "0.029421,0.030051,0.031121,0.032916,0.035798,0.040265,0.047028"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.011824,0.012931,0.014974,0.018755,0.025740,0.038603,0.062236", \ - "0.011827,0.012934,0.014978,0.018758,0.025734,0.038575,0.062227", \ - "0.011985,0.013049,0.015048,0.018786,0.025734,0.038581,0.062243", \ - "0.014583,0.015563,0.017239,0.020354,0.026494,0.038655,0.062250", \ - "0.017629,0.018572,0.020346,0.023685,0.029861,0.040864,0.062670", \ - "0.022167,0.023018,0.024641,0.027771,0.033804,0.045204,0.065832", \ - "0.028347,0.029106,0.030631,0.033554,0.039247,0.050320,0.071355"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.005522,0.005882,0.006534,0.007702,0.009783,0.013498,0.020189", \ - "0.006334,0.006685,0.007324,0.008480,0.010552,0.014263,0.020952", \ - "0.008392,0.008864,0.009696,0.011120,0.013470,0.017212,0.023814", \ - "0.008221,0.008888,0.010069,0.012102,0.015471,0.020852,0.029161", \ - "0.005223,0.006074,0.007594,0.010220,0.014609,0.021655,0.032528", \ - "-0.000792,0.000218,0.002036,0.005205,0.010552,0.019231,0.032712", \ - "-0.009960,-0.008803,-0.006733,-0.003094,0.003114,0.013314,0.029342"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.024760,0.026015,0.028319,0.032535,0.040250,0.054386,0.080359", \ - "0.025183,0.026444,0.028760,0.033003,0.040765,0.054971,0.081022", \ - "0.027681,0.028926,0.031213,0.035415,0.043137,0.057327,0.083414", \ - "0.032868,0.034213,0.036636,0.040909,0.048489,0.062433,0.088254", \ - "0.041471,0.042903,0.045481,0.050110,0.058331,0.072741,0.097995", \ - "0.053910,0.055451,0.058225,0.063149,0.071847,0.087082,0.113546", \ - "0.069540,0.071238,0.074284,0.079640,0.088966,0.105086,0.132932"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.004624,0.004898,0.005398,0.006308,0.007962,0.010995,0.016603", \ - "0.004510,0.004803,0.005331,0.006269,0.007947,0.010991,0.016603", \ - "0.006968,0.007143,0.007462,0.008041,0.009085,0.011420,0.016604", \ - "0.011502,0.011727,0.012138,0.012872,0.014166,0.016424,0.020172", \ - "0.017418,0.017710,0.018228,0.019145,0.020760,0.023495,0.028044", \ - "0.024820,0.025188,0.025839,0.026978,0.028940,0.032223,0.037551", \ - "0.033790,0.034246,0.035025,0.036422,0.038796,0.042686,0.048903"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.014363,0.015490,0.017560,0.021380,0.028404,0.041300,0.065031", \ - "0.014365,0.015494,0.017568,0.021379,0.028401,0.041303,0.065047", \ - "0.014418,0.015535,0.017594,0.021392,0.028400,0.041304,0.065037", \ - "0.016433,0.017362,0.019102,0.022418,0.028814,0.041318,0.065056", \ - "0.019409,0.020429,0.022298,0.025736,0.031930,0.043109,0.065308", \ - "0.023502,0.024464,0.026243,0.029585,0.035855,0.047406,0.068171", \ - "0.029234,0.030147,0.031845,0.035016,0.041032,0.052426,0.073639"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.00631205,0.00681410,0.00773497,0.00942159,0.0125106,0.0181835,0.0286278", \ - "0.00704934,0.00755064,0.00847393,0.0101666,0.0132697,0.0189614,0.0294231", \ - "0.00896027,0.00963129,0.0108103,0.0128253,0.0161350,0.0217192,0.0321016", \ - "0.00898864,0.00992755,0.0115773,0.0143758,0.0189742,0.0263080,0.0376526", \ - "0.00644150,0.00767685,0.00983619,0.0134832,0.0194526,0.0288508,0.0433166", \ - "0.00108116,0.00259931,0.00525473,0.00975013,0.0171159,0.0287047,0.0463839", \ - "-0.00728085,-0.00547501,-0.00235200,0.00295408,0.0116753,0.0254589,0.0464937"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.0122480,0.0135188,0.0158438,0.0200980,0.0278447,0.0419924,0.0679558", \ - "0.0126346,0.0138906,0.0162062,0.0204678,0.0282662,0.0424961,0.0685496", \ - "0.0166304,0.0176842,0.0197264,0.0236801,0.0311770,0.0451452,0.0710147", \ - "0.0237531,0.0251052,0.0275048,0.0317313,0.0389790,0.0521902,0.0773182", \ - "0.0329128,0.0344795,0.0372354,0.0420840,0.0504936,0.0647510,0.0890484", \ - "0.0442817,0.0461079,0.0492791,0.0547801,0.0641798,0.0801147,0.106693", \ - "0.0578836,0.0599987,0.0636254,0.0698929,0.0804359,0.0979623,0.127117"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.00386846,0.00429153,0.00507168,0.00651251,0.00917538,0.0140899,0.0231609", \ - "0.00386712,0.00429093,0.00507107,0.00651278,0.00917475,0.0140895,0.0231601", \ - "0.00578045,0.00613216,0.00676413,0.00785231,0.00982192,0.0141422,0.0231579", \ - "0.00955421,0.00997968,0.0107226,0.0120120,0.0142313,0.0180188,0.0247226", \ - "0.0148840,0.0154233,0.0163606,0.0179493,0.0205709,0.0248010,0.0318771", \ - "0.0217081,0.0223852,0.0235494,0.0255180,0.0286934,0.0336461,0.0414606", \ - "0.0301170,0.0308744,0.0322826,0.0346557,0.0384749,0.0443624,0.0532797"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.0114941,0.0126744,0.0148200,0.0186939,0.0257267,0.0386003,0.0622595", \ - "0.0113417,0.0125571,0.0147472,0.0186660,0.0257118,0.0386168,0.0622676", \ - "0.0113786,0.0124306,0.0144209,0.0182605,0.0256194,0.0385976,0.0622516", \ - "0.0140915,0.0151374,0.0170563,0.0202087,0.0262599,0.0384097,0.0622292", \ - "0.0179035,0.0188860,0.0207347,0.0241911,0.0304954,0.0412842,0.0625494", \ - "0.0234480,0.0244159,0.0262345,0.0295991,0.0358686,0.0473644,0.0672229", \ - "0.0305960,0.0315817,0.0334586,0.0368694,0.0430895,0.0545748,0.0754274"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.00733643,0.00783671,0.00875516,0.0104379,0.0135235,0.0191925,0.0296357", \ - "0.00793765,0.00844928,0.00938630,0.0110947,0.0142134,0.0199175,0.0303884", \ - "0.00914225,0.00974224,0.0108101,0.0126906,0.0159852,0.0217099,0.0322020", \ - "0.00895349,0.00979019,0.0112540,0.0137331,0.0178102,0.0244383,0.0355319", \ - "0.00601632,0.00713409,0.00908980,0.0123911,0.0177547,0.0261504,0.0390981", \ - "-0.0000889646,0.00131658,0.00377939,0.00793301,0.0146851,0.0252101,0.0410810", \ - "-0.00961081,-0.00791625,-0.00494755,0.0000520409,0.00819398,0.0209148,0.0400558"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.0159464,0.0171891,0.0194747,0.0236675,0.0313558,0.0454584,0.0714107", \ - "0.0163574,0.0176014,0.0198930,0.0241029,0.0318183,0.0459588,0.0719463", \ - "0.0201879,0.0213300,0.0234706,0.0274789,0.0349564,0.0488542,0.0746194", \ - "0.0284973,0.0297356,0.0319660,0.0359365,0.0428854,0.0561532,0.0811971", \ - "0.0390551,0.0404674,0.0430160,0.0475620,0.0555372,0.0692084,0.0932534", \ - "0.0523765,0.0539608,0.0568060,0.0618424,0.0706621,0.0859013,0.111624", \ - "0.0686762,0.0704465,0.0736378,0.0792140,0.0888696,0.105410,0.133524"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.00386834,0.00429165,0.00507256,0.00651341,0.00917673,0.0140870,0.0231600", \ - "0.00386762,0.00429095,0.00507239,0.00651473,0.00917618,0.0140867,0.0231601", \ - "0.00490019,0.00525091,0.00591298,0.00716527,0.00950055,0.0141384,0.0231594", \ - "0.00776004,0.00810869,0.00873326,0.00986287,0.0119402,0.0159723,0.0239177", \ - "0.0118734,0.0123020,0.0130510,0.0143552,0.0165794,0.0203948,0.0275374", \ - "0.0169806,0.0175064,0.0184251,0.0200121,0.0226509,0.0269290,0.0340117", \ - "0.0230431,0.0236749,0.0247687,0.0266749,0.0298306,0.0348197,0.0426463"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.0142126,0.0153734,0.0174892,0.0213499,0.0284050,0.0412997,0.0650579", \ - "0.0141424,0.0153150,0.0174541,0.0213381,0.0284025,0.0412986,0.0650597", \ - "0.0138295,0.0149538,0.0170652,0.0211354,0.0283403,0.0412942,0.0650402", \ - "0.0161392,0.0171824,0.0189130,0.0222229,0.0285780,0.0411115,0.0650362", \ - "0.0192386,0.0203501,0.0223595,0.0259772,0.0323776,0.0433502,0.0651526", \ - "0.0235776,0.0247178,0.0267844,0.0305346,0.0372578,0.0490374,0.0691908", \ - "0.0292040,0.0304148,0.0325564,0.0364090,0.0433045,0.0555879,0.0769229"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("1.702338,1.728151,1.767036,1.823672,1.884100,1.961665,2.041741", \ - "1.652668,1.663619,1.698154,1.751692,1.826632,1.899625,1.973499", \ - "1.795232,1.785385,1.819404,1.848167,1.879382,1.935326,1.992062", \ - "2.691624,2.683101,2.635783,2.597167,2.517128,2.395187,2.331057", \ - "4.247049,4.223256,4.206156,4.136518,3.992037,3.751021,3.384702", \ - "6.368553,6.351246,6.367716,6.321072,6.228849,5.953875,5.413452", \ - "9.055332,9.058237,9.094336,9.141923,9.100351,8.928401,8.374409"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("6.629772,6.667311,6.656860,6.660974,6.633273,6.670282,6.703613", \ - "6.525827,6.564200,6.556546,6.595195,6.559619,6.624404,6.589400", \ - "6.466418,6.451142,6.488744,6.499333,6.524313,6.448655,6.594599", \ - "6.630603,6.641346,6.618339,6.616482,6.557239,6.490820,6.521957", \ - "7.630742,7.655626,7.623146,7.561871,7.471589,7.311067,7.129680", \ - "9.933051,9.860554,9.746625,9.585551,9.369000,8.977194,8.454013", \ - "13.353150,13.260710,13.110110,12.810770,12.300590,11.783010,11.146790"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("1.388309,1.424337,1.475743,1.535555,1.656572,1.770266,1.883833", \ - "1.307609,1.335098,1.405862,1.474099,1.577014,1.692209,1.810741", \ - "1.502780,1.516589,1.528987,1.574361,1.632474,1.715478,1.802794", \ - "2.420462,2.408929,2.382637,2.338403,2.267043,2.154120,2.107018", \ - "3.875030,3.890416,3.854137,3.787735,3.671879,3.440779,3.106494", \ - "5.875898,5.899348,5.903844,5.884891,5.780651,5.535868,5.020672", \ - "8.414460,8.462787,8.490465,8.494952,8.505926,8.334167,7.830710"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("6.125796,6.141211,6.142843,6.186289,6.204320,6.110919,6.222574", \ - "6.023713,6.039934,6.046242,6.076236,6.102017,6.049748,6.000375", \ - "5.966859,5.956496,5.979302,5.985602,5.952254,6.031098,6.007852", \ - "6.118377,6.102875,6.091094,6.073846,6.009415,5.960586,5.933570", \ - "7.030590,7.038246,7.033282,6.987648,6.796946,6.683521,6.415943", \ - "9.221318,9.136816,9.000829,8.843823,8.629039,8.405657,7.916402", \ - "12.555760,12.451990,12.278760,11.993860,11.566110,11.038800,10.335340"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("1.476037,1.526066,1.546278,1.621876,1.706739,1.800762,1.897815", \ - "1.413334,1.424750,1.493842,1.548804,1.614488,1.731828,1.818663", \ - "1.576341,1.567056,1.581961,1.619361,1.678624,1.749099,1.815040", \ - "2.461543,2.449417,2.421539,2.360524,2.273401,2.162271,2.129514", \ - "4.001180,3.979409,3.926156,3.850316,3.695479,3.436316,3.107554", \ - "6.108934,6.077246,6.046904,5.997284,5.865368,5.559462,5.029245", \ - "8.765908,8.726594,8.744922,8.741537,8.629298,8.402558,7.848183"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("7.219148,7.234334,7.235942,7.243188,7.297867,7.301991,7.347898", \ - "7.126541,7.142719,7.146777,7.188505,7.212369,7.234971,7.279901", \ - "7.060717,7.035210,7.075530,7.053443,7.100900,7.050857,7.182927", \ - "7.152001,7.161475,7.152391,7.138537,7.150694,7.198771,7.212157", \ - "8.025625,8.045957,8.064272,7.992475,7.836842,7.742952,7.573226", \ - "10.041950,9.968081,9.901227,9.763072,9.605791,9.290122,8.932333", \ - "13.264620,13.171800,13.059280,12.814650,12.430030,12.027070,11.298870"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("1.408637,1.403634,1.438077,1.452106,1.483620,1.525891,1.560226", \ - "1.408972,1.426399,1.436042,1.463196,1.500681,1.534005,1.569942", \ - "1.591200,1.586102,1.570911,1.585062,1.595232,1.603952,1.632045", \ - "2.419149,2.405511,2.381266,2.307092,2.219683,2.070070,1.971856", \ - "3.738442,3.738186,3.696040,3.660531,3.559704,3.317035,2.966443", \ - "5.447450,5.494357,5.501532,5.512723,5.445515,5.252590,4.806705", \ - "7.695110,7.687542,7.775453,7.821957,7.837478,7.770514,7.391854"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("5.645202,5.682803,5.699680,5.673746,5.722995,5.748476,5.672408", \ - "5.553270,5.541365,5.584456,5.612949,5.635330,5.598374,5.685601", \ - "5.484407,5.518701,5.531604,5.537177,5.546344,5.580529,5.610271", \ - "5.862484,5.875094,5.851254,5.830039,5.788801,5.655513,5.536795", \ - "7.052959,7.068113,7.020587,6.961947,6.811939,6.650976,6.342133", \ - "9.082410,9.013555,8.880112,8.772769,8.601007,8.260987,7.779912", \ - "12.009900,11.955180,11.788270,11.506710,11.147440,10.745550,10.162050"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("1.199053,1.231760,1.254860,1.293997,1.347854,1.390284,1.441168", \ - "1.187842,1.206878,1.242714,1.278577,1.321185,1.382195,1.428138", \ - "1.402576,1.402230,1.389573,1.389811,1.409013,1.440994,1.461438", \ - "2.199946,2.191491,2.183950,2.121194,2.032174,1.886793,1.789373", \ - "3.470236,3.447895,3.454732,3.396650,3.310497,3.090033,2.741229", \ - "5.126084,5.138870,5.146973,5.130560,5.086177,4.912542,4.491382", \ - "7.163520,7.216602,7.294131,7.343122,7.366053,7.277353,6.945025"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("5.154047,5.162731,5.164371,5.200644,5.223103,5.199502,5.084549", \ - "5.055041,5.027625,5.076079,5.107017,5.135367,5.129746,5.097563", \ - "4.997049,5.009172,5.010695,5.025514,5.000720,4.969673,5.065842", \ - "5.340336,5.330083,5.310821,5.263225,5.235417,5.188040,5.135527", \ - "6.414499,6.413780,6.393063,6.316015,6.204992,6.017955,5.762399", \ - "8.345856,8.277972,8.183827,8.066046,7.901529,7.663627,7.239274", \ - "11.181750,11.114690,10.974250,10.706720,10.378110,9.933850,9.410471"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("1.271682,1.273783,1.289259,1.319402,1.361672,1.403536,1.444555", \ - "1.258000,1.254906,1.275320,1.316484,1.350948,1.390917,1.431068", \ - "1.415121,1.413372,1.398943,1.419140,1.429752,1.445632,1.466497", \ - "2.248402,2.228080,2.181616,2.132147,2.022238,1.877017,1.784014", \ - "3.537581,3.533466,3.519419,3.457535,3.312610,3.075898,2.728075", \ - "5.297114,5.314122,5.298995,5.263814,5.171985,4.954832,4.493882", \ - "7.493146,7.497419,7.516954,7.514239,7.512490,7.376196,6.958664"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("6.240787,6.255840,6.257416,6.301000,6.300079,6.293340,6.364359", \ - "6.158119,6.174425,6.178841,6.194152,6.242426,6.231766,6.255400", \ - "6.088752,6.102272,6.101931,6.094105,6.076085,6.076814,6.126465", \ - "6.387317,6.349129,6.378719,6.344140,6.349574,6.328133,6.234765", \ - "7.442479,7.461009,7.422011,7.352649,7.185057,6.997367,6.798671", \ - "9.229544,9.155499,9.131519,9.017728,8.952324,8.591348,8.290234", \ - "11.969010,11.914150,11.795510,11.603830,11.331240,10.986210,10.404330"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.346221,0.344221,0.351751,0.349055,0.354984,0.367299,0.376819", \ - "0.362792,0.361135,0.373294,0.375253,0.393185,0.417351,0.436615", \ - "0.603222,0.590349,0.568681,0.550437,0.537205,0.529581,0.524912", \ - "1.391816,1.356090,1.297661,1.198935,1.044812,0.896028,0.797348", \ - "2.559365,2.555732,2.513312,2.424149,2.232728,1.894374,1.501729", \ - "4.089912,4.089535,4.101338,4.070155,3.956520,3.607916,2.968423", \ - "6.072169,6.105040,6.114976,6.160329,6.096314,5.846712,5.242295"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("3.728182,3.746568,3.752849,3.782806,3.751681,3.772321,3.849181", \ - "3.623877,3.647006,3.691876,3.683401,3.744981,3.716250,3.793699", \ - "3.870831,3.892973,3.871713,3.827982,3.855345,3.729398,3.812525", \ - "4.748461,4.733669,4.684849,4.598660,4.468363,4.359075,4.188410", \ - "6.126862,6.098662,6.078753,5.999169,5.808350,5.496382,5.228888", \ - "8.254297,8.194011,8.109351,7.957984,7.735974,7.332291,6.853090", \ - "11.270070,11.199600,11.046000,10.818100,10.469080,10.004930,9.343491"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.346906,0.345221,0.346233,0.350184,0.363398,0.370139,0.375410", \ - "0.311024,0.316086,0.334073,0.351695,0.374174,0.407556,0.430572", \ - "0.432456,0.423471,0.414841,0.416662,0.429400,0.452192,0.475206", \ - "1.053504,1.037316,1.006797,0.936975,0.823334,0.707262,0.659241", \ - "1.975714,1.987383,1.977505,1.930180,1.809563,1.554548,1.217600", \ - "3.183982,3.202510,3.215012,3.226351,3.186353,2.986976,2.509176", \ - "4.740819,4.736591,4.786017,4.844501,4.834853,4.787918,4.431153"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("4.685421,4.685006,4.705572,4.726582,4.723384,4.652554,4.755551", \ - "4.618973,4.632794,4.632936,4.678332,4.696554,4.609372,4.665248", \ - "4.881640,4.884189,4.866252,4.856032,4.803558,4.718388,4.697805", \ - "5.771773,5.730096,5.718543,5.607301,5.516530,5.346603,5.160342", \ - "7.215996,7.202789,7.165755,7.071736,6.848646,6.604719,6.147874", \ - "9.307186,9.263523,9.209273,9.114403,8.919439,8.539440,8.097260", \ - "12.257950,12.199610,12.114840,11.980960,11.725360,11.326250,10.550720"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI211_X2 - Cell Description : Combinational cell (AOI211_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI211_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 204.473825; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 172.550000; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 308.834125; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 177.528256; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 272.058250; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 97.147250; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 176.513000; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 176.500125; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 241.991854; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 93.806250; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 174.009750; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 173.997250; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 241.551366; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 160.952988; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 241.396387; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 241.388137; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 321.356213; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.182031; - fall_capacitance : 3.097864; - rise_capacitance : 3.182031; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.534096; - fall_capacitance : 3.102285; - rise_capacitance : 3.534096; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.246325; - fall_capacitance : 2.690952; - rise_capacitance : 3.246325; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.567427; - fall_capacitance : 2.839050; - rise_capacitance : 3.567427; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 28.991700; - function : "!(((C1 & C2) | B) | A)"; - - timing () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.006536,0.006868,0.007412,0.008469,0.010495,0.014359,0.021781", \ - "0.007270,0.007596,0.008134,0.009183,0.011200,0.015059,0.022478", \ - "0.009882,0.010270,0.010899,0.012085,0.014230,0.017993,0.025312", \ - "0.010762,0.011307,0.012186,0.013842,0.016864,0.022133,0.030948", \ - "0.009133,0.009821,0.010953,0.013081,0.016964,0.023765,0.035145", \ - "0.004829,0.005672,0.007038,0.009606,0.014321,0.022630,0.036577", \ - "-0.002224,-0.001218,0.000357,0.003331,0.008817,0.018541,0.035019"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.020122,0.021070,0.022648,0.025770,0.031940,0.044147,0.068404", \ - "0.020785,0.021738,0.023325,0.026468,0.032687,0.044973,0.069318", \ - "0.023092,0.024032,0.025596,0.028702,0.034871,0.047133,0.071512", \ - "0.026099,0.027155,0.028892,0.032270,0.038720,0.050879,0.075075", \ - "0.031782,0.032895,0.034717,0.038253,0.045046,0.057975,0.082240", \ - "0.041213,0.042441,0.044427,0.048229,0.055366,0.068705,0.093895", \ - "0.053164,0.054545,0.056781,0.061033,0.068880,0.083091,0.109130"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.004683,0.004928,0.005333,0.006135,0.007712,0.010822,0.017000", \ - "0.004556,0.004822,0.005253,0.006086,0.007690,0.010816,0.016999", \ - "0.006367,0.006558,0.006863,0.007437,0.008524,0.011064,0.016987", \ - "0.010348,0.010606,0.011019,0.011792,0.013201,0.015696,0.019993", \ - "0.015681,0.016018,0.016545,0.017534,0.019293,0.022339,0.027600", \ - "0.022506,0.022939,0.023601,0.024829,0.027000,0.030661,0.036759", \ - "0.030932,0.031469,0.032282,0.033791,0.036430,0.040802,0.047866"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.011175,0.011991,0.013359,0.016103,0.021576,0.032504,0.054276", \ - "0.011180,0.011996,0.013364,0.016101,0.021579,0.032499,0.054289", \ - "0.011271,0.012065,0.013409,0.016119,0.021581,0.032496,0.054311", \ - "0.013524,0.014236,0.015431,0.017732,0.022504,0.032692,0.054316", \ - "0.017136,0.017756,0.018837,0.021105,0.025847,0.035382,0.055122", \ - "0.022518,0.023033,0.023960,0.025944,0.030242,0.039570,0.058796", \ - "0.029426,0.029889,0.030727,0.032547,0.036510,0.045174,0.064061"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.005145,0.005496,0.006071,0.007183,0.009297,0.013283,0.020840", \ - "0.006009,0.006336,0.006880,0.007951,0.010026,0.013985,0.021529", \ - "0.008321,0.008755,0.009454,0.010752,0.013065,0.016984,0.024354", \ - "0.008460,0.009067,0.010046,0.011874,0.015146,0.020732,0.029869", \ - "0.005911,0.006680,0.007935,0.010276,0.014496,0.021737,0.033603", \ - "0.000530,0.001468,0.002969,0.005780,0.010889,0.019755,0.034370", \ - "-0.007747,-0.006653,-0.004927,-0.001698,0.004218,0.014599,0.031900"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.021760,0.022867,0.024708,0.028349,0.035545,0.049800,0.078152", \ - "0.022364,0.023473,0.025323,0.028989,0.036239,0.050585,0.079039", \ - "0.024594,0.025686,0.027506,0.031127,0.038322,0.052635,0.081132", \ - "0.027433,0.028627,0.030597,0.034439,0.041777,0.055919,0.084206", \ - "0.032937,0.034164,0.036179,0.040110,0.047724,0.062395,0.090361", \ - "0.042445,0.043770,0.045930,0.050080,0.057916,0.072766,0.101312", \ - "0.054748,0.056227,0.058637,0.063226,0.071717,0.087242,0.116251"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.004099,0.004373,0.004821,0.005687,0.007338,0.010504,0.016685", \ - "0.003907,0.004174,0.004635,0.005550,0.007257,0.010468,0.016674", \ - "0.006330,0.006519,0.006825,0.007396,0.008435,0.010807,0.016611", \ - "0.010501,0.010757,0.011166,0.011928,0.013305,0.015736,0.019941", \ - "0.016135,0.016465,0.016993,0.017958,0.019668,0.022617,0.027702", \ - "0.023422,0.023844,0.024498,0.025695,0.027786,0.031304,0.037175", \ - "0.032516,0.033035,0.033834,0.035284,0.037807,0.041976,0.048723"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.011203,0.012166,0.013794,0.017049,0.023555,0.036539,0.062380", \ - "0.011217,0.012176,0.013803,0.017052,0.023552,0.036528,0.062375", \ - "0.011298,0.012246,0.013845,0.017074,0.023562,0.036544,0.062398", \ - "0.013205,0.014087,0.015536,0.018371,0.024238,0.036606,0.062397", \ - "0.016304,0.017089,0.018451,0.021277,0.027110,0.038716,0.062872", \ - "0.021491,0.022156,0.023319,0.025781,0.031065,0.042422,0.065708", \ - "0.028380,0.028975,0.030036,0.032290,0.037104,0.047568,0.070392"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.005308,0.005659,0.006233,0.007345,0.009462,0.013461,0.021047", \ - "0.006159,0.006487,0.007034,0.008109,0.010190,0.014162,0.021737", \ - "0.008576,0.009002,0.009688,0.010967,0.013255,0.017154,0.024560", \ - "0.008963,0.009548,0.010499,0.012283,0.015501,0.021037,0.030133", \ - "0.006843,0.007570,0.008761,0.011012,0.015124,0.022261,0.034043", \ - "0.002155,0.003001,0.004390,0.007039,0.011948,0.020609,0.035052", \ - "-0.005101,-0.004174,-0.002638,0.000329,0.005906,0.015930,0.032927"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.026050,0.027152,0.028986,0.032623,0.039823,0.054086,0.082445", \ - "0.026703,0.027808,0.029652,0.033311,0.040555,0.054897,0.083339", \ - "0.028876,0.029969,0.031792,0.035418,0.042626,0.056951,0.085448", \ - "0.032095,0.033249,0.035155,0.038881,0.046037,0.060195,0.088502", \ - "0.037713,0.038895,0.040846,0.044690,0.052200,0.066728,0.094577", \ - "0.047548,0.048805,0.050839,0.054806,0.062446,0.077150,0.105557", \ - "0.060445,0.061836,0.064062,0.068375,0.076513,0.091722,0.120550"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.005212,0.005474,0.005904,0.006738,0.008348,0.011467,0.017609", \ - "0.004989,0.005251,0.005706,0.006596,0.008266,0.011431,0.017599", \ - "0.007589,0.007732,0.007972,0.008440,0.009382,0.011752,0.017537", \ - "0.012431,0.012612,0.012915,0.013505,0.014659,0.016844,0.020830", \ - "0.018807,0.019037,0.019408,0.020139,0.021526,0.024117,0.028868", \ - "0.026926,0.027198,0.027663,0.028553,0.030218,0.033254,0.038667", \ - "0.036892,0.037221,0.037792,0.038877,0.040877,0.044430,0.050577"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.013735,0.014716,0.016361,0.019649,0.026182,0.039190,0.065110", \ - "0.013741,0.014719,0.016365,0.019649,0.026187,0.039190,0.065106", \ - "0.013770,0.014747,0.016386,0.019652,0.026182,0.039192,0.065117", \ - "0.015258,0.016125,0.017575,0.020528,0.026598,0.039229,0.065107", \ - "0.018000,0.018878,0.020354,0.023333,0.029306,0.040983,0.065465", \ - "0.022557,0.023339,0.024653,0.027358,0.032967,0.044636,0.068082", \ - "0.028949,0.029680,0.030911,0.033422,0.038600,0.049512,0.072695"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.006129,0.006431,0.006930,0.007906,0.009805,0.013498,0.020731", \ - "0.006923,0.007223,0.007721,0.008696,0.010596,0.014290,0.021522", \ - "0.009122,0.009514,0.010147,0.011338,0.013502,0.017254,0.024405", \ - "0.009253,0.009806,0.010711,0.012416,0.015510,0.020882,0.029831", \ - "0.006533,0.007253,0.008437,0.010658,0.014705,0.021747,0.033424", \ - "0.000683,0.001571,0.003017,0.005742,0.010722,0.019432,0.033922", \ - "-0.008486,-0.007449,-0.005746,-0.002547,0.003324,0.013650,0.030940"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.018068,0.019018,0.020598,0.023719,0.029889,0.042097,0.066354", \ - "0.018497,0.019451,0.021038,0.024183,0.030400,0.042686,0.067030", \ - "0.021278,0.022215,0.023775,0.026875,0.033035,0.045285,0.069657", \ - "0.026416,0.027530,0.029351,0.032840,0.039344,0.051385,0.075455", \ - "0.034942,0.036175,0.038172,0.042021,0.049271,0.062597,0.086661", \ - "0.046797,0.048170,0.050388,0.054629,0.062554,0.077097,0.103336", \ - "0.061467,0.063019,0.065506,0.070239,0.078985,0.094797,0.123159"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.003875,0.004109,0.004501,0.005280,0.006832,0.009923,0.016090", \ - "0.003843,0.004085,0.004485,0.005274,0.006831,0.009923,0.016091", \ - "0.005746,0.005942,0.006258,0.006855,0.007941,0.010345,0.016094", \ - "0.009457,0.009727,0.010161,0.010971,0.012448,0.015027,0.019424", \ - "0.014384,0.014751,0.015318,0.016374,0.018265,0.021507,0.026912", \ - "0.020595,0.021059,0.021775,0.023121,0.025496,0.029464,0.035943", \ - "0.028155,0.028727,0.029610,0.031272,0.034185,0.039011,0.046661"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.011174,0.011994,0.013360,0.016101,0.021579,0.032496,0.054286", \ - "0.011181,0.011995,0.013366,0.016101,0.021579,0.032495,0.054298", \ - "0.011360,0.012130,0.013446,0.016137,0.021582,0.032496,0.054287", \ - "0.014531,0.015200,0.016304,0.018366,0.022811,0.032693,0.054306", \ - "0.018188,0.018846,0.019982,0.022300,0.026958,0.035862,0.055058", \ - "0.023158,0.023753,0.024805,0.027008,0.031634,0.041088,0.059309", \ - "0.029664,0.030215,0.031190,0.033267,0.037679,0.047042,0.066055"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.005172,0.005490,0.006014,0.007030,0.008982,0.012732,0.020009", \ - "0.005996,0.006303,0.006813,0.007814,0.009754,0.013498,0.020773", \ - "0.007871,0.008300,0.008992,0.010277,0.012571,0.016465,0.023635", \ - "0.007358,0.007970,0.008960,0.010800,0.014100,0.019722,0.028916", \ - "0.003875,0.004669,0.005952,0.008348,0.012664,0.020058,0.032109", \ - "-0.002829,-0.001857,-0.000300,0.002619,0.007914,0.017058,0.032066", \ - "-0.012931,-0.011797,-0.009976,-0.006571,-0.000361,0.010448,0.028371"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.019742,0.020848,0.022689,0.026331,0.033526,0.047783,0.076134", \ - "0.020116,0.021227,0.023073,0.026739,0.033991,0.048336,0.076789", \ - "0.022677,0.023771,0.025588,0.029201,0.036383,0.050684,0.079167", \ - "0.027270,0.028511,0.030546,0.034481,0.041860,0.055894,0.084037", \ - "0.035531,0.036852,0.039010,0.043187,0.051143,0.066081,0.093868", \ - "0.047438,0.048896,0.051254,0.055764,0.064243,0.080033,0.109324", \ - "0.062329,0.063967,0.066595,0.071586,0.080820,0.097648,0.128483"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.003564,0.003802,0.004198,0.004979,0.006523,0.009589,0.015723", \ - "0.003462,0.003700,0.004118,0.004931,0.006502,0.009584,0.015723", \ - "0.005698,0.005891,0.006201,0.006789,0.007860,0.010116,0.015723", \ - "0.009500,0.009770,0.010195,0.010991,0.012436,0.014969,0.019317", \ - "0.014607,0.014957,0.015518,0.016554,0.018398,0.021550,0.026884", \ - "0.021099,0.021549,0.022259,0.023569,0.025875,0.029729,0.036074", \ - "0.029077,0.029634,0.030501,0.032117,0.034930,0.039588,0.047039"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.011203,0.012165,0.013793,0.017048,0.023548,0.036522,0.062398", \ - "0.011209,0.012183,0.013799,0.017050,0.023552,0.036525,0.062379", \ - "0.011391,0.012322,0.013894,0.017094,0.023564,0.036536,0.062374", \ - "0.014017,0.014881,0.016273,0.018926,0.024519,0.036636,0.062397", \ - "0.017084,0.017900,0.019293,0.022148,0.027914,0.039050,0.062814", \ - "0.021662,0.022391,0.023661,0.026304,0.031866,0.043350,0.065950", \ - "0.027845,0.028525,0.029701,0.032171,0.037397,0.048483,0.071464"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.005312,0.005630,0.006153,0.007170,0.009127,0.012891,0.020202", \ - "0.006130,0.006438,0.006949,0.007952,0.009898,0.013657,0.020965", \ - "0.008103,0.008526,0.009206,0.010476,0.012750,0.016622,0.023827", \ - "0.007809,0.008406,0.009371,0.011178,0.014432,0.020012,0.029172", \ - "0.004696,0.005457,0.006692,0.009019,0.013249,0.020553,0.032537", \ - "-0.001415,-0.000510,0.000962,0.003756,0.008890,0.017867,0.032723", \ - "-0.010661,-0.009628,-0.007954,-0.004760,0.001175,0.011702,0.029356"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.024029,0.025131,0.026967,0.030604,0.037803,0.052070,0.080421", \ - "0.024454,0.025560,0.027403,0.031063,0.038306,0.052644,0.081089", \ - "0.026961,0.028051,0.029868,0.033487,0.040686,0.055003,0.083483", \ - "0.032089,0.033273,0.035216,0.038982,0.046087,0.060143,0.088320", \ - "0.040647,0.041908,0.043973,0.047999,0.055750,0.070426,0.098060", \ - "0.053024,0.054391,0.056609,0.060904,0.069115,0.084622,0.113598", \ - "0.068565,0.070082,0.072521,0.077209,0.086054,0.102489,0.132977"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.004453,0.004694,0.005095,0.005884,0.007432,0.010494,0.016619", \ - "0.004338,0.004586,0.005013,0.005835,0.007412,0.010489,0.016618", \ - "0.006861,0.007016,0.007270,0.007773,0.008734,0.011007,0.016619", \ - "0.011370,0.011573,0.011892,0.012530,0.013759,0.016064,0.020188", \ - "0.017259,0.017508,0.017920,0.018725,0.020254,0.023049,0.028056", \ - "0.024627,0.024941,0.025454,0.026456,0.028337,0.031699,0.037569", \ - "0.033542,0.033913,0.034552,0.035783,0.038064,0.042081,0.048915"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.013734,0.014716,0.016361,0.019644,0.026186,0.039195,0.065106", \ - "0.013737,0.014719,0.016367,0.019646,0.026182,0.039204,0.065105", \ - "0.013798,0.014767,0.016403,0.019659,0.026192,0.039202,0.065105", \ - "0.015911,0.016726,0.018085,0.020894,0.026762,0.039229,0.065106", \ - "0.018824,0.019718,0.021199,0.024158,0.030014,0.041234,0.065379", \ - "0.022942,0.023776,0.025182,0.028037,0.033841,0.045503,0.068238", \ - "0.028696,0.029480,0.030820,0.033534,0.039090,0.050529,0.073686"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.00606218,0.00650307,0.00723686,0.00868995,0.0115696,0.0172871,0.0286779", \ - "0.00680119,0.00724036,0.00797409,0.00943223,0.0123243,0.0180612,0.0294714", \ - "0.00860897,0.00920832,0.0101704,0.0119656,0.0151716,0.0208303,0.0321481", \ - "0.00847373,0.00931919,0.0106677,0.0131684,0.0176119,0.0252061,0.0376989", \ - "0.00575509,0.00686153,0.00862781,0.0118909,0.0176724,0.0274344,0.0433605", \ - "0.000218112,0.00157683,0.00374702,0.00776503,0.0148925,0.0269431,0.0464251", \ - "-0.00830894,-0.00669990,-0.00415017,0.000584256,0.00901816,0.0233368,0.0465230"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.0116209,0.0127340,0.0145928,0.0182672,0.0255126,0.0398131,0.0681886", \ - "0.0120183,0.0131162,0.0149511,0.0186256,0.0259161,0.0403024,0.0687788", \ - "0.0161314,0.0170328,0.0186141,0.0219561,0.0288973,0.0429791,0.0712474", \ - "0.0230790,0.0242739,0.0262108,0.0299274,0.0368774,0.0501108,0.0775480", \ - "0.0321365,0.0335248,0.0357515,0.0400114,0.0480083,0.0626330,0.0892748", \ - "0.0433902,0.0450094,0.0475790,0.0524439,0.0614175,0.0777420,0.106931", \ - "0.0568652,0.0587296,0.0616844,0.0672498,0.0773703,0.0953754,0.127386"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.00362746,0.00399762,0.00461676,0.00585525,0.00833296,0.0132824,0.0231819", \ - "0.00362560,0.00399802,0.00461588,0.00585590,0.00833271,0.0132823,0.0231820", \ - "0.00558103,0.00588813,0.00639643,0.00737849,0.00916033,0.0133882,0.0231820", \ - "0.00930888,0.00968462,0.0102929,0.0114361,0.0135425,0.0174140,0.0247476", \ - "0.0145616,0.0150494,0.0158196,0.0172450,0.0197664,0.0241359,0.0318923", \ - "0.0212995,0.0219101,0.0228705,0.0246407,0.0277254,0.0328805,0.0414699", \ - "0.0295782,0.0302969,0.0314538,0.0335906,0.0373169,0.0434500,0.0532852"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.0108311,0.0118770,0.0135976,0.0169567,0.0235353,0.0365284,0.0623753", \ - "0.0106635,0.0117470,0.0135017,0.0169148,0.0235186,0.0365269,0.0624059", \ - "0.0108127,0.0117235,0.0132787,0.0165107,0.0233628,0.0365266,0.0624010", \ - "0.0135163,0.0144235,0.0159586,0.0188175,0.0243330,0.0363925,0.0623734", \ - "0.0173315,0.0181865,0.0196538,0.0226203,0.0285347,0.0395597,0.0626960", \ - "0.0228533,0.0237115,0.0251564,0.0280550,0.0338920,0.0455319,0.0673555", \ - "0.0299498,0.0308414,0.0323340,0.0353016,0.0411239,0.0527231,0.0755516"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.00705559,0.00749506,0.00822576,0.00967518,0.0125500,0.0182632,0.0296514", \ - "0.00764643,0.00809646,0.00884312,0.0103181,0.0132287,0.0189811,0.0304023", \ - "0.00878588,0.00932101,0.0101861,0.0118364,0.0149511,0.0207662,0.0322120", \ - "0.00844622,0.00919825,0.0103978,0.0126162,0.0165550,0.0233788,0.0355339", \ - "0.00533081,0.00633732,0.00794376,0.0109015,0.0161087,0.0248361,0.0390888", \ - "-0.000956969,0.000314690,0.00233406,0.00605344,0.0126088,0.0235635,0.0410590", \ - "-0.0106650,-0.00912146,-0.00669151,-0.00221981,0.00568198,0.0189207,0.0400271"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.0152796,0.0163688,0.0181876,0.0218019,0.0289702,0.0432030,0.0715299", \ - "0.0156895,0.0167788,0.0186003,0.0222270,0.0294237,0.0436950,0.0720661", \ - "0.0195794,0.0205686,0.0222570,0.0256805,0.0326233,0.0466160,0.0747387", \ - "0.0278131,0.0289090,0.0307036,0.0341775,0.0407032,0.0539983,0.0813100", \ - "0.0382729,0.0395213,0.0415675,0.0455379,0.0530930,0.0670762,0.0933614", \ - "0.0515030,0.0529131,0.0551951,0.0596015,0.0679551,0.0835150,0.111727", \ - "0.0676935,0.0692934,0.0718406,0.0767396,0.0859173,0.102820,0.133635"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.00362844,0.00399828,0.00461763,0.00585549,0.00833221,0.0132834,0.0231835", \ - "0.00362910,0.00400009,0.00461567,0.00585539,0.00833185,0.0132827,0.0231825", \ - "0.00470137,0.00500517,0.00552258,0.00659438,0.00873750,0.0133613,0.0231808", \ - "0.00756094,0.00786410,0.00836937,0.00934445,0.0112680,0.0152918,0.0239418", \ - "0.0116234,0.0119980,0.0126114,0.0137711,0.0158879,0.0197776,0.0275560", \ - "0.0166798,0.0171379,0.0178845,0.0192989,0.0218392,0.0262579,0.0340181", \ - "0.0226850,0.0232192,0.0241151,0.0258119,0.0288596,0.0340439,0.0426500"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.0135627,0.0145839,0.0162691,0.0196017,0.0261761,0.0391941,0.0651155", \ - "0.0134857,0.0145147,0.0162235,0.0195826,0.0261699,0.0391975,0.0651146", \ - "0.0132083,0.0141831,0.0158436,0.0193049,0.0260973,0.0391843,0.0651064", \ - "0.0155402,0.0164846,0.0179071,0.0207096,0.0265383,0.0389903,0.0651092", \ - "0.0186178,0.0195858,0.0211922,0.0243425,0.0303998,0.0415224,0.0652241", \ - "0.0229231,0.0239260,0.0255757,0.0288265,0.0351533,0.0471690,0.0692573", \ - "0.0285068,0.0295689,0.0312865,0.0346500,0.0411343,0.0536096,0.0769807"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("3.270610,3.316842,3.360186,3.462453,3.613480,3.778684,3.939783", \ - "3.151088,3.190044,3.245940,3.327187,3.462795,3.644173,3.813271", \ - "3.437252,3.466094,3.470578,3.537152,3.619362,3.704539,3.837126", \ - "5.227757,5.213455,5.171199,5.121668,4.941081,4.679159,4.526271", \ - "8.335567,8.359821,8.289469,8.195789,7.930560,7.403567,6.610875", \ - "12.564850,12.572370,12.607770,12.532460,12.393920,11.857820,10.668330", \ - "17.903440,17.959980,18.064980,18.086410,18.093390,17.757560,16.616030"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("13.439090,13.490650,13.513240,13.547150,13.587640,13.466110,13.463800", \ - "13.231810,13.288100,13.310690,13.347810,13.366560,13.344320,13.541570", \ - "13.117190,13.117380,13.125240,13.170890,13.239810,13.196530,13.116710", \ - "13.417310,13.405290,13.451530,13.339320,13.323850,13.365420,13.125220", \ - "15.473130,15.440240,15.355400,15.351080,15.159780,14.791930,14.395260", \ - "20.119680,20.012940,19.807720,19.438540,18.935650,18.273880,17.457230", \ - "26.974650,26.834520,26.571220,26.076580,25.104260,23.773830,22.261180"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("2.634570,2.692482,2.765588,2.916910,3.124007,3.391331,3.635036", \ - "2.468911,2.543344,2.659104,2.790614,2.979417,3.231310,3.485934", \ - "2.936242,2.942210,2.938558,2.997200,3.115083,3.298925,3.475405", \ - "4.704349,4.668086,4.670443,4.567867,4.461792,4.220645,4.089619", \ - "7.672044,7.644409,7.636433,7.515048,7.309302,6.807449,6.072720", \ - "11.643370,11.647340,11.688640,11.658320,11.482430,11.022210,9.900505", \ - "16.685390,16.736850,16.762540,16.881020,16.876380,16.574290,15.494580"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("12.357810,12.419300,12.427030,12.502310,12.425310,12.489180,12.565580", \ - "12.154790,12.216810,12.228390,12.239290,12.360170,12.448710,12.221360", \ - "12.046710,12.022330,12.105000,12.102760,12.066570,12.260400,12.274220", \ - "12.356720,12.314460,12.337400,12.359600,12.353310,12.233440,12.273460", \ - "14.281350,14.226090,14.211910,14.210580,13.933780,13.502670,13.078070", \ - "18.614380,18.560850,18.337220,17.992370,17.555570,16.873860,16.103430", \ - "25.298460,25.200680,24.915480,24.379510,23.521960,22.230300,21.002960"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("2.875566,2.909031,2.935627,3.058426,3.225621,3.444534,3.656598", \ - "2.689171,2.723748,2.802137,2.930237,3.088221,3.289579,3.517067", \ - "3.041130,3.051047,3.074871,3.125953,3.214673,3.326307,3.498636", \ - "4.808043,4.792486,4.727001,4.641137,4.488103,4.218140,4.119136", \ - "7.870842,7.857655,7.802738,7.654421,7.358081,6.853421,6.062118", \ - "12.085200,12.083540,12.024440,11.933280,11.668480,11.097970,9.887928", \ - "17.401020,17.327620,17.373450,17.371450,17.216610,16.746640,15.525690"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("14.551430,14.609870,14.636390,14.714190,14.628440,14.782760,14.829780", \ - "14.363480,14.426550,14.437830,14.482960,14.593080,14.588430,14.782670", \ - "14.236070,14.294230,14.299950,14.303220,14.318130,14.352600,14.559640", \ - "14.512220,14.473770,14.463420,14.533280,14.469130,14.439900,14.391120", \ - "16.263710,16.229950,16.262280,16.227690,16.010900,15.823270,15.503770", \ - "20.337740,20.232390,20.024020,19.835800,19.576490,19.026770,18.254440", \ - "26.802830,26.660400,26.389160,25.962290,25.186740,24.133490,22.953520"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("2.669814,2.695046,2.717648,2.747068,2.822569,2.893536,2.960790", \ - "2.648352,2.667917,2.729611,2.766961,2.839376,2.911616,2.995721", \ - "3.066163,3.045915,3.020398,3.003602,3.030633,3.071316,3.109294", \ - "4.704301,4.710310,4.633312,4.528269,4.352538,4.038634,3.786354", \ - "7.353046,7.309198,7.306771,7.239208,7.029717,6.555492,5.770055", \ - "10.818070,10.846200,10.843790,10.863960,10.785890,10.431020,9.461109", \ - "15.138500,15.252270,15.330450,15.461130,15.524240,15.409260,14.629940"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("11.473710,11.486410,11.548020,11.575860,11.608290,11.659500,11.531480", \ - "11.290040,11.296740,11.340120,11.342560,11.467900,11.479950,11.420920", \ - "11.158840,11.157110,11.218260,11.237920,11.256300,11.254010,11.343820", \ - "11.929750,11.905990,11.874260,11.795250,11.768360,11.661540,11.362120", \ - "14.334880,14.286530,14.208560,14.161970,13.856710,13.416710,12.772010", \ - "18.331700,18.212440,18.093750,17.823040,17.443920,16.790780,15.996030", \ - "24.308400,24.149320,23.854300,23.409120,22.649410,21.712110,20.435560"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("2.250737,2.327501,2.348058,2.430718,2.537256,2.630647,2.743815", \ - "2.270594,2.279294,2.329860,2.387887,2.498733,2.609958,2.720081", \ - "2.720731,2.686070,2.676981,2.647519,2.676528,2.729689,2.779339", \ - "4.346218,4.292502,4.259686,4.175390,3.989504,3.673613,3.417169", \ - "6.783980,6.761056,6.778737,6.722944,6.552296,6.108673,5.325201", \ - "10.099410,10.080770,10.106280,10.175260,10.118620,9.780488,8.817581", \ - "14.245130,14.313840,14.391380,14.498120,14.599680,14.482500,13.709120"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("10.404420,10.465890,10.473620,10.528710,10.581750,10.545260,10.608570", \ - "10.221430,10.283390,10.295420,10.307500,10.419080,10.393790,10.453550", \ - "10.143810,10.166070,10.187300,10.159640,10.235520,10.316860,10.332010", \ - "10.785380,10.829240,10.793570,10.742380,10.704250,10.504910,10.484390", \ - "12.962780,12.992060,12.983870,12.916680,12.605960,12.237570,11.755450", \ - "16.917410,16.780120,16.620380,16.351610,16.112140,15.474260,14.714320", \ - "22.693110,22.480800,22.260540,21.834690,21.114540,20.183110,19.056000"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("2.375661,2.434992,2.449181,2.502847,2.584881,2.666009,2.741506", \ - "2.334874,2.404299,2.419166,2.470106,2.535679,2.628757,2.717006", \ - "2.704783,2.708029,2.676648,2.696473,2.723656,2.748166,2.787076", \ - "4.386668,4.345466,4.267450,4.156097,3.980422,3.656959,3.425515", \ - "7.017545,6.990175,6.941585,6.815372,6.597644,6.102833,5.315913", \ - "10.519500,10.484480,10.459010,10.428220,10.278770,9.846891,8.822114", \ - "14.877320,14.915530,14.933740,14.967060,14.914090,14.663040,13.762110"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("12.594290,12.655910,12.664100,12.760580,12.740220,12.779210,12.653050", \ - "12.430670,12.493270,12.512720,12.531580,12.501620,12.735840,12.533000", \ - "12.297050,12.354290,12.357560,12.357930,12.373160,12.432470,12.617160", \ - "12.929570,12.860030,12.904440,12.888170,12.833030,12.838110,12.585360", \ - "15.004830,15.061200,15.034890,14.896290,14.625320,14.293610,13.884040", \ - "18.628200,18.517460,18.491810,18.330700,18.080050,17.570690,16.819230", \ - "24.136280,24.071450,23.876230,23.519590,22.901630,22.245840,21.022400"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.705588,0.688866,0.696387,0.702977,0.719535,0.737233,0.756000", \ - "0.731852,0.728575,0.735691,0.747439,0.791724,0.834868,0.875105", \ - "1.230221,1.201129,1.167484,1.116522,1.079811,1.059510,1.057016", \ - "2.787778,2.761967,2.662099,2.488501,2.176580,1.823640,1.596984", \ - "5.133607,5.069446,5.063973,4.928251,4.589688,3.883932,2.995676", \ - "8.171771,8.226956,8.194015,8.187348,7.987941,7.342146,5.927248", \ - "12.085020,12.105160,12.153070,12.221400,12.187160,11.852850,10.461320"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("7.396643,7.463510,7.479715,7.557754,7.618598,7.690224,7.725970", \ - "7.276684,7.285967,7.357143,7.400967,7.452034,7.481726,7.593836", \ - "7.828546,7.736305,7.797915,7.769942,7.697564,7.620324,7.647151", \ - "9.472733,9.477259,9.398120,9.257899,9.079529,8.694406,8.448439", \ - "12.249170,12.219490,12.101320,12.083170,11.710900,11.100820,10.367460", \ - "16.622480,16.508840,16.324250,16.029000,15.470330,14.880870,13.771010", \ - "22.641770,22.462900,22.266780,21.847910,21.026980,20.137680,18.597850"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.687155,0.702738,0.695414,0.708425,0.718191,0.741193,0.759242", \ - "0.623542,0.632099,0.652718,0.690203,0.746584,0.809564,0.861635", \ - "0.887473,0.864955,0.846874,0.843673,0.850246,0.894313,0.950800", \ - "2.113697,2.114772,2.051527,1.936185,1.715033,1.433865,1.315240", \ - "3.980217,3.991587,3.967486,3.873896,3.689760,3.189242,2.433824", \ - "6.344329,6.437547,6.376569,6.481533,6.410205,6.046097,5.009287", \ - "9.466201,9.486785,9.536811,9.649713,9.719613,9.643239,8.826360"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("9.386217,9.355721,9.357115,9.444668,9.418226,9.395128,9.556093", \ - "9.218689,9.250252,9.289931,9.319312,9.282285,9.409898,9.448830", \ - "9.816093,9.783742,9.761940,9.690605,9.707575,9.643964,9.436452", \ - "11.521820,11.534890,11.468690,11.349090,11.160550,10.777350,10.296220", \ - "14.409810,14.417840,14.394810,14.250280,13.808110,13.316590,12.380340", \ - "18.624500,18.579270,18.416530,18.305910,18.026700,17.182000,16.191690", \ - "24.535220,24.502480,24.348450,24.049190,23.627050,22.792280,21.116760"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI211_X4 - Cell Description : Combinational cell (AOI211_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI211_X4) { - - drive_strength : 4; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 439.083282; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 374.916250; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 443.154625; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 377.467881; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 484.071250; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 396.523625; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 436.205750; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 436.219500; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 468.949500; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 394.851625; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 434.953375; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 434.968375; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 468.725750; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 428.417250; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 468.638625; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 468.645250; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 508.623875; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.708094; - fall_capacitance : 1.667348; - rise_capacitance : 1.708094; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.752538; - fall_capacitance : 1.536210; - rise_capacitance : 1.752538; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.688466; - fall_capacitance : 1.402902; - rise_capacitance : 1.688466; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.808885; - fall_capacitance : 1.446105; - rise_capacitance : 1.808885; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "!(!(!(((C1 & C2) | B) | A)))"; - - timing () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.023414,0.026050,0.028357,0.032461,0.040073,0.054949,0.084633", \ - "0.024120,0.026756,0.029064,0.033168,0.040780,0.055655,0.085340", \ - "0.027111,0.029749,0.032055,0.036158,0.043770,0.058645,0.088331", \ - "0.030946,0.033584,0.035890,0.039991,0.047600,0.062472,0.092153", \ - "0.032790,0.035463,0.037784,0.041896,0.049501,0.064359,0.094031", \ - "0.032248,0.035003,0.037370,0.041523,0.049138,0.063976,0.093631", \ - "0.029162,0.032024,0.034461,0.038688,0.046328,0.061150,0.090781"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045819,0.048970,0.051747,0.056930,0.067175,0.087743,0.128943", \ - "0.046561,0.049712,0.052489,0.057672,0.067918,0.088486,0.129688", \ - "0.048764,0.051915,0.054693,0.059875,0.070121,0.090687,0.131892", \ - "0.052616,0.055769,0.058547,0.063726,0.073965,0.094526,0.135726", \ - "0.059407,0.062602,0.065407,0.070587,0.080803,0.101336,0.142518", \ - "0.070202,0.073446,0.076292,0.081488,0.091689,0.112193,0.153355", \ - "0.084304,0.087617,0.090531,0.095762,0.105959,0.126424,0.167549"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002659,0.003978,0.005363,0.008222,0.014287,0.026971,0.052655", \ - "0.002658,0.003978,0.005363,0.008222,0.014287,0.026972,0.052655", \ - "0.002657,0.003977,0.005362,0.008221,0.014287,0.026971,0.052653", \ - "0.002754,0.004051,0.005418,0.008255,0.014300,0.026973,0.052654", \ - "0.002986,0.004232,0.005560,0.008342,0.014332,0.026978,0.052655", \ - "0.003337,0.004536,0.005812,0.008509,0.014403,0.026993,0.052656", \ - "0.003745,0.004925,0.006160,0.008765,0.014529,0.027023,0.052663"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003427,0.005267,0.007232,0.011619,0.021044,0.040252,0.078775", \ - "0.003427,0.005267,0.007232,0.011620,0.021045,0.040251,0.078787", \ - "0.003427,0.005267,0.007232,0.011618,0.021044,0.040255,0.078786", \ - "0.003445,0.005282,0.007243,0.011624,0.021043,0.040252,0.078774", \ - "0.003608,0.005436,0.007366,0.011689,0.021068,0.040256,0.078796", \ - "0.003813,0.005633,0.007533,0.011788,0.021113,0.040268,0.078790", \ - "0.004118,0.005926,0.007800,0.011953,0.021193,0.040301,0.078790"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022177,0.024819,0.027129,0.031235,0.038849,0.053726,0.083410", \ - "0.022897,0.025540,0.027850,0.031956,0.039570,0.054445,0.084129", \ - "0.025930,0.028572,0.030880,0.034984,0.042597,0.057473,0.087158", \ - "0.029325,0.031967,0.034275,0.038378,0.045987,0.060857,0.090540", \ - "0.030545,0.033229,0.035556,0.039673,0.047278,0.062133,0.091803", \ - "0.029208,0.031983,0.034364,0.038529,0.046147,0.060981,0.090632", \ - "0.025163,0.028057,0.030516,0.034767,0.042424,0.057243,0.086865"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.049635,0.052818,0.055617,0.060805,0.071038,0.091588,0.132779", \ - "0.050323,0.053507,0.056305,0.061493,0.071727,0.092276,0.133470", \ - "0.052430,0.055613,0.058412,0.063599,0.073833,0.094383,0.135576", \ - "0.055910,0.059095,0.061893,0.067079,0.077308,0.097852,0.139042", \ - "0.062257,0.065476,0.068301,0.073488,0.083699,0.104220,0.145393", \ - "0.072889,0.076155,0.079017,0.084220,0.094418,0.114911,0.156060", \ - "0.087282,0.090611,0.093540,0.098779,0.108970,0.129425,0.170540"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002671,0.003990,0.005372,0.008229,0.014291,0.026973,0.052655", \ - "0.002670,0.003988,0.005371,0.008229,0.014291,0.026974,0.052655", \ - "0.002663,0.003983,0.005367,0.008226,0.014289,0.026973,0.052654", \ - "0.002774,0.004067,0.005432,0.008264,0.014304,0.026975,0.052653", \ - "0.003036,0.004272,0.005592,0.008363,0.014341,0.026980,0.052654", \ - "0.003418,0.004611,0.005877,0.008554,0.014423,0.026998,0.052658", \ - "0.003860,0.005039,0.006262,0.008843,0.014570,0.027033,0.052665"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003542,0.005378,0.007322,0.011668,0.021063,0.040260,0.078796", \ - "0.003543,0.005379,0.007322,0.011668,0.021063,0.040260,0.078776", \ - "0.003542,0.005379,0.007322,0.011669,0.021061,0.040256,0.078784", \ - "0.003554,0.005389,0.007330,0.011672,0.021064,0.040261,0.078780", \ - "0.003695,0.005522,0.007439,0.011731,0.021085,0.040260,0.078782", \ - "0.003879,0.005698,0.007592,0.011822,0.021127,0.040273,0.078798", \ - "0.004174,0.005981,0.007852,0.011985,0.021204,0.040300,0.078798"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.023068,0.025719,0.028032,0.032141,0.039756,0.054628,0.084311", \ - "0.023786,0.026436,0.028749,0.032859,0.040473,0.055345,0.085028", \ - "0.026829,0.029477,0.031789,0.035896,0.043510,0.058383,0.088067", \ - "0.030605,0.033259,0.035573,0.039681,0.047290,0.062155,0.091834", \ - "0.032282,0.034985,0.037323,0.041450,0.049058,0.063907,0.093571", \ - "0.031463,0.034261,0.036655,0.040835,0.048459,0.063289,0.092932", \ - "0.027978,0.030893,0.033368,0.037637,0.045304,0.060122,0.089735"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.054089,0.057293,0.060106,0.065292,0.075511,0.096042,0.137223", \ - "0.054817,0.058021,0.060834,0.066020,0.076240,0.096772,0.137953", \ - "0.056905,0.060109,0.062922,0.068108,0.078327,0.098858,0.140039", \ - "0.060350,0.063555,0.066368,0.071553,0.081769,0.102298,0.143479", \ - "0.066811,0.070044,0.072878,0.078067,0.088263,0.108768,0.149931", \ - "0.077421,0.080694,0.083565,0.088769,0.098959,0.119438,0.160573", \ - "0.091970,0.095302,0.098233,0.103469,0.113651,0.134091,0.175195"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002734,0.004039,0.005411,0.008254,0.014301,0.026975,0.052655", \ - "0.002732,0.004037,0.005410,0.008253,0.014301,0.026975,0.052653", \ - "0.002726,0.004032,0.005406,0.008250,0.014300,0.026975,0.052652", \ - "0.002851,0.004130,0.005481,0.008296,0.014317,0.026977,0.052654", \ - "0.003132,0.004356,0.005661,0.008409,0.014361,0.026984,0.052656", \ - "0.003518,0.004704,0.005959,0.008614,0.014454,0.027005,0.052658", \ - "0.003963,0.005136,0.006352,0.008912,0.014608,0.027046,0.052665"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003640,0.005469,0.007394,0.011707,0.021074,0.040264,0.078777", \ - "0.003640,0.005469,0.007394,0.011707,0.021074,0.040261,0.078783", \ - "0.003640,0.005469,0.007394,0.011708,0.021073,0.040260,0.078787", \ - "0.003645,0.005473,0.007397,0.011710,0.021074,0.040259,0.078785", \ - "0.003769,0.005589,0.007494,0.011760,0.021093,0.040263,0.078784", \ - "0.003941,0.005753,0.007638,0.011847,0.021133,0.040274,0.078796", \ - "0.004212,0.006012,0.007878,0.011998,0.021208,0.040304,0.078801"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022455,0.025086,0.027389,0.031488,0.039098,0.053974,0.083660", \ - "0.023244,0.025875,0.028178,0.032277,0.039887,0.054763,0.084451", \ - "0.026198,0.028829,0.031131,0.035229,0.042838,0.057715,0.087401", \ - "0.029450,0.032084,0.034387,0.038485,0.046092,0.060963,0.090647", \ - "0.030409,0.033079,0.035399,0.039510,0.047115,0.061971,0.091643", \ - "0.028517,0.031272,0.033641,0.037796,0.045410,0.060248,0.089903", \ - "0.023481,0.026347,0.028788,0.033020,0.040669,0.055487,0.085116"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043822,0.046973,0.049750,0.054933,0.065179,0.085746,0.126951", \ - "0.044325,0.047476,0.050254,0.055436,0.065682,0.086248,0.127454", \ - "0.046985,0.050136,0.052914,0.058096,0.068342,0.088910,0.130111", \ - "0.053272,0.056426,0.059204,0.064382,0.074619,0.095178,0.136377", \ - "0.063709,0.066909,0.069718,0.074897,0.085103,0.105626,0.146801", \ - "0.077488,0.080744,0.083599,0.088794,0.098984,0.119474,0.160621", \ - "0.094501,0.097827,0.100751,0.105981,0.116161,0.136609,0.177718"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002613,0.003941,0.005332,0.008202,0.014277,0.026970,0.052653", \ - "0.002613,0.003941,0.005332,0.008202,0.014278,0.026970,0.052652", \ - "0.002618,0.003945,0.005335,0.008204,0.014278,0.026968,0.052652", \ - "0.002728,0.004029,0.005400,0.008243,0.014294,0.026972,0.052651", \ - "0.002973,0.004220,0.005549,0.008334,0.014328,0.026976,0.052652", \ - "0.003333,0.004535,0.005811,0.008509,0.014403,0.026993,0.052658", \ - "0.003746,0.004928,0.006165,0.008772,0.014534,0.027023,0.052663"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003427,0.005267,0.007232,0.011618,0.021041,0.040258,0.078779", \ - "0.003426,0.005267,0.007232,0.011619,0.021042,0.040257,0.078776", \ - "0.003426,0.005267,0.007232,0.011619,0.021044,0.040255,0.078782", \ - "0.003448,0.005285,0.007245,0.011625,0.021045,0.040251,0.078779", \ - "0.003643,0.005467,0.007389,0.011702,0.021074,0.040262,0.078796", \ - "0.003875,0.005687,0.007578,0.011810,0.021116,0.040270,0.078787", \ - "0.004182,0.005982,0.007847,0.011977,0.021199,0.040294,0.078795"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021558,0.024191,0.026494,0.030594,0.038205,0.053080,0.082768", \ - "0.022328,0.024961,0.027265,0.031364,0.038975,0.053850,0.083538", \ - "0.025240,0.027873,0.030175,0.034273,0.041882,0.056758,0.086447", \ - "0.028082,0.030715,0.033019,0.037118,0.044725,0.059595,0.089281", \ - "0.028487,0.031164,0.033488,0.037601,0.045206,0.060061,0.089731", \ - "0.025917,0.028686,0.031064,0.035226,0.042845,0.057680,0.087332", \ - "0.020116,0.023001,0.025456,0.029703,0.037359,0.052183,0.081807"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047671,0.050854,0.053653,0.058840,0.069074,0.089623,0.130815", \ - "0.048126,0.051309,0.054108,0.059295,0.069529,0.090079,0.131269", \ - "0.050555,0.053739,0.056537,0.061725,0.071958,0.092508,0.133696", \ - "0.056042,0.059227,0.062026,0.067210,0.077437,0.097980,0.139169", \ - "0.065750,0.068974,0.071802,0.076990,0.087195,0.107709,0.148870", \ - "0.079265,0.082534,0.085400,0.090604,0.100791,0.121275,0.162422", \ - "0.096373,0.099703,0.102631,0.107866,0.118052,0.138498,0.179607"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002613,0.003941,0.005333,0.008202,0.014278,0.026969,0.052654", \ - "0.002613,0.003941,0.005332,0.008202,0.014278,0.026969,0.052653", \ - "0.002618,0.003946,0.005336,0.008204,0.014279,0.026970,0.052650", \ - "0.002739,0.004037,0.005406,0.008246,0.014295,0.026972,0.052652", \ - "0.003004,0.004245,0.005569,0.008346,0.014333,0.026978,0.052655", \ - "0.003383,0.004582,0.005852,0.008538,0.014416,0.026995,0.052658", \ - "0.003816,0.004999,0.006229,0.008821,0.014559,0.027030,0.052664"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003541,0.005378,0.007322,0.011668,0.021061,0.040259,0.078779", \ - "0.003543,0.005378,0.007322,0.011667,0.021061,0.040255,0.078780", \ - "0.003543,0.005379,0.007322,0.011668,0.021060,0.040254,0.078794", \ - "0.003557,0.005392,0.007332,0.011674,0.021060,0.040261,0.078780", \ - "0.003724,0.005547,0.007459,0.011743,0.021086,0.040264,0.078795", \ - "0.003912,0.005728,0.007617,0.011835,0.021130,0.040272,0.078784", \ - "0.004186,0.005989,0.007857,0.011983,0.021198,0.040297,0.078791"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022395,0.025035,0.027342,0.031445,0.039056,0.053929,0.083614", \ - "0.023165,0.025805,0.028112,0.032215,0.039826,0.054700,0.084385", \ - "0.026110,0.028748,0.031054,0.035156,0.042766,0.057639,0.087326", \ - "0.029342,0.031989,0.034299,0.038403,0.046010,0.060876,0.090557", \ - "0.030215,0.032913,0.035248,0.039372,0.046979,0.061829,0.091495", \ - "0.028171,0.030965,0.033358,0.037537,0.045162,0.059993,0.089638", \ - "0.022941,0.025852,0.028325,0.032593,0.040259,0.055076,0.084692"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.052124,0.055328,0.058141,0.063327,0.073546,0.094077,0.135259", \ - "0.052619,0.055824,0.058637,0.063823,0.074042,0.094572,0.135751", \ - "0.055028,0.058232,0.061045,0.066231,0.076450,0.096981,0.138161", \ - "0.060463,0.063668,0.066481,0.071666,0.081880,0.102409,0.143586", \ - "0.070421,0.073657,0.076494,0.081681,0.091874,0.112368,0.153522", \ - "0.084130,0.087409,0.090285,0.095489,0.105672,0.126140,0.167277", \ - "0.101501,0.104836,0.107769,0.113003,0.123185,0.143614,0.184710"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002676,0.003990,0.005371,0.008226,0.014288,0.026972,0.052654", \ - "0.002675,0.003990,0.005371,0.008226,0.014288,0.026972,0.052653", \ - "0.002681,0.003995,0.005374,0.008228,0.014288,0.026972,0.052650", \ - "0.002820,0.004102,0.005458,0.008279,0.014309,0.026975,0.052651", \ - "0.003107,0.004334,0.005642,0.008395,0.014354,0.026981,0.052654", \ - "0.003495,0.004685,0.005943,0.008603,0.014448,0.027003,0.052659", \ - "0.003935,0.005110,0.006331,0.008898,0.014604,0.027044,0.052664"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003640,0.005469,0.007394,0.011707,0.021073,0.040263,0.078780", \ - "0.003640,0.005469,0.007394,0.011708,0.021074,0.040263,0.078779", \ - "0.003640,0.005469,0.007394,0.011708,0.021074,0.040267,0.078776", \ - "0.003647,0.005475,0.007398,0.011710,0.021076,0.040260,0.078790", \ - "0.003790,0.005609,0.007510,0.011766,0.021097,0.040265,0.078796", \ - "0.003975,0.005784,0.007664,0.011860,0.021138,0.040282,0.078783", \ - "0.004233,0.006028,0.007890,0.012002,0.021208,0.040302,0.078793"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0249163,0.0275946,0.0299272,0.0340571,0.0416852,0.0565592,0.0862363", \ - "0.0256681,0.0283466,0.0306793,0.0348095,0.0424368,0.0573103,0.0869880", \ - "0.0285427,0.0312205,0.0335532,0.0376831,0.0453102,0.0601844,0.0898609", \ - "0.0320389,0.0347312,0.0370720,0.0412091,0.0488396,0.0637086,0.0933795", \ - "0.0339326,0.0366709,0.0390357,0.0431933,0.0508247,0.0656805,0.0953429", \ - "0.0335182,0.0363623,0.0387918,0.0430129,0.0506681,0.0655099,0.0951483", \ - "0.0303599,0.0333431,0.0358717,0.0402086,0.0479170,0.0627451,0.0923539"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0394935,0.0426768,0.0454756,0.0506634,0.0608972,0.0814467,0.122637", \ - "0.0399080,0.0430909,0.0458896,0.0510770,0.0613108,0.0818605,0.123053", \ - "0.0429976,0.0461800,0.0489789,0.0541668,0.0644005,0.0849513,0.126143", \ - "0.0509218,0.0541038,0.0569015,0.0620859,0.0723166,0.0928628,0.134052", \ - "0.0625053,0.0657227,0.0685442,0.0737255,0.0839218,0.104433,0.145597", \ - "0.0765447,0.0798216,0.0826944,0.0878904,0.0980505,0.118508,0.159638", \ - "0.0932444,0.0966085,0.0995687,0.104814,0.114960,0.135351,0.176423"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00283308,0.00412692,0.00548777,0.00831052,0.0143328,0.0269838,0.0526497", \ - "0.00283320,0.00412673,0.00548788,0.00831054,0.0143329,0.0269845,0.0526513", \ - "0.00283766,0.00413120,0.00549164,0.00831289,0.0143338,0.0269845,0.0526520", \ - "0.00295745,0.00423003,0.00557218,0.00836758,0.0143590,0.0269894,0.0526523", \ - "0.00321789,0.00444695,0.00574887,0.00848200,0.0144081,0.0270017,0.0526566", \ - "0.00364030,0.00483256,0.00608299,0.00871659,0.0145183,0.0270335,0.0526631", \ - "0.00414626,0.00533537,0.00655019,0.00908037,0.0147096,0.0270886,0.0526789"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00354280,0.00537783,0.00732156,0.0116674,0.0210609,0.0402545,0.0787808", \ - "0.00354212,0.00537780,0.00732171,0.0116673,0.0210623,0.0402565,0.0787763", \ - "0.00353994,0.00537652,0.00732031,0.0116686,0.0210584,0.0402538,0.0787778", \ - "0.00353747,0.00537528,0.00731933,0.0116663,0.0210591,0.0402577,0.0787780", \ - "0.00370960,0.00553145,0.00744432,0.0117333,0.0210831,0.0402668,0.0787768", \ - "0.00398572,0.00578614,0.00766238,0.0118512,0.0211282,0.0402753,0.0787932", \ - "0.00437673,0.00615586,0.00800596,0.0120643,0.0212214,0.0402944,0.0787934"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0259326,0.0286102,0.0309429,0.0350732,0.0427005,0.0575747,0.0872513", \ - "0.0265996,0.0292770,0.0316100,0.0357398,0.0433668,0.0582414,0.0879173", \ - "0.0283360,0.0310150,0.0333482,0.0374776,0.0451047,0.0599772,0.0896549", \ - "0.0304798,0.0331692,0.0355084,0.0396442,0.0472744,0.0621462,0.0918184", \ - "0.0312860,0.0340073,0.0363648,0.0405180,0.0481529,0.0630171,0.0926831", \ - "0.0295090,0.0322965,0.0346933,0.0388841,0.0465324,0.0613882,0.0910441", \ - "0.0245577,0.0274455,0.0299073,0.0341676,0.0418518,0.0566878,0.0863243"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0431909,0.0463951,0.0492080,0.0543943,0.0646133,0.0851446,0.126326", \ - "0.0436468,0.0468503,0.0496631,0.0548497,0.0650690,0.0856008,0.126781", \ - "0.0469297,0.0501337,0.0529465,0.0581330,0.0683521,0.0888843,0.130064", \ - "0.0550718,0.0582753,0.0610873,0.0662730,0.0764907,0.0970216,0.138202", \ - "0.0676740,0.0709014,0.0737309,0.0789136,0.0891016,0.109597,0.150755", \ - "0.0830682,0.0863472,0.0892215,0.0944151,0.104570,0.125016,0.166140", \ - "0.101616,0.104964,0.107906,0.113135,0.123273,0.143672,0.184746"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00283285,0.00412669,0.00548778,0.00831044,0.0143330,0.0269843,0.0526519", \ - "0.00283306,0.00412668,0.00548789,0.00831043,0.0143330,0.0269843,0.0526512", \ - "0.00283667,0.00412993,0.00549054,0.00831207,0.0143335,0.0269841,0.0526536", \ - "0.00291695,0.00419653,0.00554472,0.00834849,0.0143505,0.0269875,0.0526536", \ - "0.00310121,0.00435245,0.00567473,0.00843613,0.0143908,0.0269983,0.0526563", \ - "0.00340137,0.00461733,0.00589906,0.00859157,0.0144660,0.0270255,0.0526649", \ - "0.00378480,0.00497893,0.00622338,0.00882953,0.0145831,0.0270598,0.0526772"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00364019,0.00546913,0.00739397,0.0117073,0.0210736,0.0402668,0.0787780", \ - "0.00364024,0.00546882,0.00739422,0.0117074,0.0210743,0.0402650,0.0787826", \ - "0.00363836,0.00546814,0.00739338,0.0117076,0.0210746,0.0402612,0.0787826", \ - "0.00363370,0.00546424,0.00739061,0.0117059,0.0210726,0.0402594,0.0787949", \ - "0.00376613,0.00558182,0.00748695,0.0117548,0.0210912,0.0402702,0.0787883", \ - "0.00400774,0.00580497,0.00767727,0.0118604,0.0211299,0.0402716,0.0787978", \ - "0.00432818,0.00610374,0.00795155,0.0120258,0.0211991,0.0402882,0.0787875"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.895890,16.518600,17.014310,17.384920,17.616720,17.748220,17.838250", \ - "15.779560,16.448840,16.937440,17.325540,17.542420,17.688530,17.778840", \ - "16.056810,16.721930,17.178990,17.553330,17.782770,17.927990,18.011730", \ - "17.554880,18.158770,18.587860,18.927930,19.139770,19.287310,19.379070", \ - "20.509890,20.994620,21.381800,21.678900,21.795140,21.918980,22.013810", \ - "24.522950,25.059600,25.479750,25.822930,26.039770,26.107330,26.156880", \ - "29.438020,30.013220,30.515300,31.056910,31.547970,31.881450,31.947630"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.062870,23.911730,24.392650,24.699470,25.017120,25.254210,25.132420", \ - "22.958880,23.912310,24.342520,24.648220,25.084470,24.950900,23.432180", \ - "22.869830,23.719500,24.212720,24.637710,25.056520,24.844930,23.243640", \ - "23.259810,24.058240,24.508870,24.999930,25.160430,25.736230,23.366730", \ - "24.822950,25.832510,26.206380,26.732560,26.994910,27.188020,27.330460", \ - "27.701340,28.664500,29.113800,29.771710,30.453190,30.418320,28.851450", \ - "32.154410,33.046520,33.466740,34.297140,34.657320,35.510670,34.706100"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.594440,16.321810,16.772010,17.196770,17.433350,17.589020,17.684710", \ - "15.553860,16.223750,16.687070,17.100830,17.355850,17.511550,17.608640", \ - "15.829160,16.486400,16.959400,17.382860,17.619030,17.778570,17.866550", \ - "17.410540,17.950390,18.358590,18.747360,18.974160,19.127450,19.226820", \ - "20.266950,20.787800,21.205700,21.508830,21.620110,21.755680,21.852900", \ - "24.299190,24.770780,25.235240,25.647930,25.863820,25.955410,26.000440", \ - "29.259680,29.750840,30.246520,30.849920,31.346400,31.738500,31.837880"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.288310,24.087910,24.454590,24.913050,25.362540,24.430440,25.160560", \ - "23.157020,23.959600,24.346090,24.880790,24.728890,24.290650,24.802920", \ - "23.015690,23.955930,24.251970,24.683780,24.795260,24.788000,23.962400", \ - "23.387480,24.138900,24.598370,24.891610,25.309310,25.031640,25.556690", \ - "24.718940,25.758760,26.111110,26.571430,26.816910,26.411170,25.307050", \ - "27.595140,28.462920,28.865790,29.387060,29.952660,29.919930,29.064490", \ - "31.720580,32.784020,33.151980,33.917850,34.482660,34.397060,33.294040"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.682150,16.458590,16.935910,17.333810,17.570620,17.715860,17.810540", \ - "15.583890,16.371610,16.828980,17.228740,17.467760,17.623060,17.721270", \ - "15.975200,16.667520,17.101820,17.509600,17.747700,17.888180,17.997420", \ - "17.706320,18.204730,18.622690,19.011860,19.226850,19.358490,19.466140", \ - "20.748460,21.237000,21.619700,21.909800,22.020850,22.139270,22.243990", \ - "24.987840,25.393040,25.819110,26.228530,26.427990,26.490290,26.552040", \ - "30.121330,30.606970,31.070090,31.632970,32.140050,32.464080,32.537270"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.874390,25.758810,26.231350,26.596230,26.960180,26.847810,26.437100", \ - "24.851000,25.632690,26.090210,26.517700,26.891330,26.619180,25.424290", \ - "24.717260,25.575390,25.996870,26.328640,26.910940,26.748780,26.056280", \ - "24.933090,25.834620,26.216140,26.670170,27.085270,27.201360,26.017880", \ - "26.481990,27.288040,27.618060,28.058800,28.237060,28.571600,27.053680", \ - "29.031940,29.832670,30.299910,30.856880,31.147690,30.452890,31.137590", \ - "33.004650,34.073680,34.519290,35.070000,35.274630,35.890660,34.058010"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.282590,15.924550,16.392000,16.763550,16.958390,17.072240,17.132570", \ - "15.271650,15.941330,16.394970,16.770310,16.952880,17.078700,17.135600", \ - "15.628180,16.242820,16.699610,17.056150,17.258100,17.376620,17.443470", \ - "17.085730,17.666190,18.036830,18.386520,18.578130,18.684460,18.751100", \ - "19.821670,20.336250,20.717980,20.986090,21.072880,21.155050,21.217680", \ - "23.417860,23.926180,24.332130,24.746720,24.940630,24.995540,25.003760", \ - "27.662430,28.238510,28.713980,29.280160,29.771000,30.182560,30.243770"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.112380,22.927980,23.409180,23.867910,24.188740,24.019450,23.036860", \ - "21.974950,22.933390,23.343060,23.763920,24.284420,23.978200,22.957720", \ - "21.853880,22.728960,23.239450,23.674610,24.144260,23.384540,23.390080", \ - "22.560730,23.380490,23.872940,24.242950,24.724850,24.754870,23.350720", \ - "24.539100,25.548650,25.922500,26.354980,26.827680,26.579470,26.714840", \ - "27.450110,28.306640,28.804610,29.481370,30.072940,30.115290,29.416370", \ - "31.589820,32.405640,32.961740,33.680210,34.078210,34.218500,33.694110"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.051570,15.774210,16.238690,16.610900,16.820780,16.936600,16.993070", \ - "15.077780,15.764460,16.212460,16.598940,16.805460,16.916990,16.972350", \ - "15.432940,16.109900,16.533290,16.915660,17.097750,17.231710,17.291900", \ - "16.919200,17.494130,17.873760,18.215640,18.402190,18.522940,18.596340", \ - "19.635380,20.123530,20.502720,20.795860,20.901760,20.978760,21.048600", \ - "23.145920,23.692530,24.096640,24.526850,24.740790,24.808920,24.809360", \ - "27.502190,27.937400,28.434540,29.005290,29.528380,29.953110,30.033600"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.173680,23.116830,23.430050,23.761220,24.319590,24.051510,24.385910", \ - "22.179750,23.029300,23.373820,23.724920,24.201820,24.038570,23.584650", \ - "22.099270,22.971230,23.291630,23.637130,24.073980,24.161730,24.201950", \ - "22.675300,23.513900,23.821500,24.147600,24.543860,24.491040,23.151560", \ - "24.446550,25.410050,25.769800,25.953120,26.504620,25.572040,25.715620", \ - "27.192980,27.934110,28.515790,28.872760,29.473540,29.129950,28.854370", \ - "30.933040,31.844020,32.265740,32.992010,33.328730,33.710150,33.122390"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.285960,15.927250,16.352700,16.716090,16.925310,17.022250,17.089560", \ - "15.229190,15.897810,16.332050,16.694120,16.902680,17.012040,17.072030", \ - "15.596470,16.231710,16.660390,17.023390,17.214290,17.333490,17.401050", \ - "17.122820,17.711120,18.108200,18.459240,18.632310,18.743540,18.820000", \ - "20.076550,20.551910,20.914810,21.212090,21.278450,21.357070,21.408850", \ - "23.836810,24.302840,24.738840,25.112260,25.311950,25.342610,25.354740", \ - "28.465600,28.812110,29.242390,29.869920,30.345310,30.707850,30.760120"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.986020,24.747830,25.262050,25.596320,26.018000,25.706230,24.950260", \ - "23.806960,24.770340,25.005240,25.469980,25.944610,25.781760,25.667280", \ - "23.801010,24.586870,25.089870,25.362080,25.919870,25.555230,25.520130", \ - "24.071550,24.995580,25.374210,25.822570,25.914550,26.067730,25.170470", \ - "25.939490,26.817530,27.204450,27.616600,27.726340,27.861640,27.488450", \ - "28.669990,29.401440,29.939490,30.442260,30.738000,29.840450,30.172050", \ - "32.400090,33.292780,33.647410,34.107380,34.687740,34.687200,33.356750"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.270870,14.828310,15.280880,15.671600,15.842530,15.920350,15.940410", \ - "14.224780,14.866930,15.312290,15.705190,15.877110,15.942650,15.973130", \ - "14.663240,15.214370,15.656220,16.030010,16.214950,16.282950,16.311540", \ - "16.111860,16.555680,17.000840,17.344730,17.510760,17.575650,17.608240", \ - "18.810530,19.236490,19.596930,19.938670,20.031370,20.071610,20.085130", \ - "22.435010,22.839080,23.232540,23.682890,23.996910,24.054570,24.010880", \ - "26.918290,27.167040,27.610920,28.223040,28.815870,29.367310,29.491300"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.848440,21.672040,22.078600,22.363690,22.814890,22.801850,21.666520", \ - "20.800260,21.624250,22.015090,22.382160,22.736570,22.734470,21.861570", \ - "20.973090,21.740620,21.999590,22.415900,22.373660,22.942820,22.760850", \ - "21.725450,22.643770,23.067580,23.525100,23.915010,23.701380,23.522230", \ - "24.473090,25.266310,25.526530,25.931910,26.410570,26.270340,25.058180", \ - "27.911060,28.735070,29.029930,29.353110,29.665300,29.203870,29.925760", \ - "32.917730,33.523180,34.001860,34.258150,34.860270,34.961760,34.955630"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.208280,14.839990,15.285560,15.670210,15.850070,15.919670,15.945050", \ - "14.285270,14.857650,15.303000,15.674360,15.858640,15.923310,15.949980", \ - "14.433580,14.985400,15.420810,15.812660,15.996650,16.068840,16.093600", \ - "15.337910,15.891720,16.291130,16.667840,16.841970,16.917110,16.936120", \ - "17.353080,17.933670,18.342370,18.700630,18.828210,18.868150,18.888130", \ - "20.141880,20.610090,21.038740,21.586610,21.969130,22.115650,22.071080", \ - "23.435660,23.790850,24.260090,24.899270,25.614080,26.339970,26.577260"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.328520,23.155730,23.540430,23.994030,24.453240,24.107380,23.738730", \ - "22.259720,23.174720,23.412640,23.865880,24.309930,24.019280,23.429220", \ - "22.534810,23.338420,23.581720,24.080230,24.349330,24.127450,23.740120", \ - "23.408290,24.249930,24.614690,24.936840,24.915130,25.561700,24.616280", \ - "26.008140,26.668010,27.069080,27.640930,28.003560,27.499280,27.928510", \ - "29.430190,30.235010,30.600890,31.108950,31.370140,30.813690,30.464700", \ - "34.024630,34.913760,35.356680,35.671930,36.107630,35.925200,35.292960"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI221_X1 - Cell Description : Combinational cell (AOI221_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI221_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 123.721951; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 58.181625; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 126.345000; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 60.672627; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 136.308625; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 126.344625; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 194.486875; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 128.833379; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 176.119375; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 60.672377; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 128.833252; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 63.165254; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 176.085000; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 92.844250; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 132.851050; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 132.831625; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 161.112046; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 48.813900; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 88.496587; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 88.490425; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 121.234421; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 88.663625; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 128.346412; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 128.340238; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 161.084931; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 88.657437; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 128.340350; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 128.327800; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 161.077556; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 120.767641; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 160.985641; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 160.978267; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 200.810224; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.720617; - fall_capacitance : 1.529747; - rise_capacitance : 1.720617; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.636714; - fall_capacitance : 1.636714; - rise_capacitance : 1.592849; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.653569; - fall_capacitance : 1.603304; - rise_capacitance : 1.653569; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.672543; - fall_capacitance : 1.407454; - rise_capacitance : 1.672543; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.764849; - fall_capacitance : 1.412331; - rise_capacitance : 1.764849; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 13.809200; - function : "!(((C1 & C2) | A) | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006201,0.006535,0.007138,0.008221,0.010156,0.013623,0.019867", \ - "0.006974,0.007307,0.007909,0.008990,0.010927,0.014394,0.020637", \ - "0.009199,0.009630,0.010392,0.011699,0.013870,0.017366,0.023533", \ - "0.009599,0.010206,0.011274,0.013116,0.016188,0.021144,0.028896", \ - "0.007525,0.008300,0.009683,0.012064,0.016034,0.022451,0.032454", \ - "0.002754,0.003695,0.005371,0.008271,0.013125,0.020988,0.033294", \ - "-0.004879,-0.003784,-0.001819,0.001566,0.007258,0.016534,0.031102"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.014672,0.015515,0.017044,0.019819,0.024847,0.033987,0.050642", \ - "0.015328,0.016173,0.017713,0.020508,0.025575,0.034770,0.051484", \ - "0.018405,0.019247,0.020767,0.023522,0.028543,0.037706,0.054429", \ - "0.023455,0.024500,0.026354,0.029590,0.035113,0.044316,0.060787", \ - "0.031400,0.032573,0.034654,0.038287,0.044525,0.055033,0.072412", \ - "0.041971,0.043320,0.045686,0.049785,0.056733,0.068354,0.087611", \ - "0.054832,0.056371,0.059081,0.063741,0.071553,0.084409,0.105445"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.003941,0.004204,0.004684,0.005559,0.007156,0.010073,0.015403", \ - "0.003915,0.004186,0.004674,0.005556,0.007156,0.010073,0.015403", \ - "0.005840,0.006058,0.006440,0.007100,0.008204,0.010493,0.015408", \ - "0.009564,0.009866,0.010378,0.011261,0.012739,0.015148,0.018985", \ - "0.014519,0.014917,0.015590,0.016730,0.018590,0.021565,0.026268", \ - "0.020747,0.021257,0.022111,0.023553,0.025879,0.029514,0.035081", \ - "0.028321,0.028956,0.030010,0.031782,0.034643,0.039025,0.045614"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.008815,0.009553,0.010896,0.013359,0.017861,0.026102,0.041131", \ - "0.008818,0.009555,0.010899,0.013362,0.017862,0.026095,0.041147", \ - "0.009246,0.009875,0.011076,0.013424,0.017872,0.026116,0.041147", \ - "0.012461,0.013069,0.014161,0.016139,0.019603,0.026688,0.041163", \ - "0.016250,0.016846,0.017949,0.020033,0.023870,0.030713,0.043090", \ - "0.021352,0.021901,0.022958,0.024959,0.028774,0.035925,0.048720", \ - "0.027840,0.028389,0.029401,0.031358,0.035106,0.042189,0.055411"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005292,0.005643,0.006272,0.007390,0.009369,0.012880,0.019158", \ - "0.006085,0.006426,0.007041,0.008147,0.010118,0.013624,0.019900", \ - "0.007990,0.008461,0.009287,0.010686,0.012980,0.016593,0.022773", \ - "0.007747,0.008411,0.009577,0.011563,0.014825,0.020002,0.027966", \ - "0.004879,0.005727,0.007229,0.009790,0.014015,0.020757,0.031105", \ - "-0.000803,0.000224,0.002035,0.005131,0.010290,0.018551,0.031311", \ - "-0.009441,-0.008268,-0.006155,-0.002557,0.003458,0.013163,0.028293"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.016440,0.017462,0.019321,0.022692,0.028814,0.039952,0.060268", \ - "0.017031,0.018057,0.019925,0.023325,0.029492,0.040696,0.061083", \ - "0.019787,0.020802,0.022641,0.025986,0.032093,0.043257,0.063655", \ - "0.024385,0.025557,0.027653,0.031349,0.037782,0.048771,0.068866", \ - "0.032217,0.033496,0.035754,0.039730,0.046650,0.058587,0.078915", \ - "0.042974,0.044428,0.046951,0.051338,0.058828,0.071560,0.093270", \ - "0.056205,0.057854,0.060719,0.065653,0.073955,0.087728,0.110751"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.003637,0.003902,0.004383,0.005256,0.006841,0.009733,0.015037", \ - "0.003549,0.003816,0.004322,0.005221,0.006828,0.009731,0.015036", \ - "0.005795,0.006010,0.006381,0.007027,0.008101,0.010258,0.015055", \ - "0.009644,0.009938,0.010443,0.011298,0.012736,0.015097,0.018881", \ - "0.014827,0.015211,0.015866,0.016971,0.018777,0.021671,0.026285", \ - "0.021416,0.021906,0.022739,0.024130,0.026380,0.029884,0.035310", \ - "0.029484,0.030123,0.031126,0.032842,0.035584,0.039798,0.046156"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.009402,0.010313,0.011971,0.015019,0.020592,0.030779,0.049422", \ - "0.009412,0.010320,0.011972,0.015014,0.020596,0.030786,0.049436", \ - "0.009721,0.010539,0.012107,0.015072,0.020605,0.030779,0.049423", \ - "0.012305,0.013105,0.014564,0.017143,0.021825,0.031123,0.049428", \ - "0.015548,0.016291,0.017698,0.020338,0.025257,0.034093,0.050590", \ - "0.020281,0.020971,0.022277,0.024749,0.029469,0.038424,0.054804", \ - "0.026499,0.027164,0.028418,0.030799,0.035315,0.043940,0.060364"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005432,0.005782,0.006411,0.007531,0.009515,0.013038,0.019343", \ - "0.006220,0.006560,0.007179,0.008287,0.010264,0.013782,0.020086", \ - "0.008221,0.008686,0.009498,0.010881,0.013155,0.016750,0.022960", \ - "0.008189,0.008837,0.009977,0.011927,0.015150,0.020288,0.028222", \ - "0.005681,0.006499,0.007945,0.010437,0.014589,0.021244,0.031523", \ - "0.000574,0.001536,0.003245,0.006223,0.011227,0.019346,0.031976", \ - "-0.007229,-0.006152,-0.004212,-0.000825,0.004935,0.014394,0.029289"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.019948,0.020970,0.022829,0.026207,0.032340,0.043489,0.063802", \ - "0.020582,0.021608,0.023477,0.026876,0.033047,0.044253,0.064633", \ - "0.023296,0.024308,0.026148,0.029506,0.035633,0.046815,0.067215", \ - "0.028416,0.029539,0.031545,0.035112,0.041307,0.052261,0.072385", \ - "0.036569,0.037780,0.039944,0.043781,0.050527,0.062257,0.082355", \ - "0.047858,0.049194,0.051575,0.055738,0.062976,0.075464,0.096927", \ - "0.061755,0.063256,0.065928,0.070556,0.078480,0.091891,0.114615"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.004513,0.004783,0.005272,0.006155,0.007745,0.010634,0.015930", \ - "0.004415,0.004696,0.005211,0.006121,0.007732,0.010631,0.015930", \ - "0.006938,0.007110,0.007422,0.007984,0.008974,0.011148,0.015948", \ - "0.011469,0.011688,0.012078,0.012773,0.014017,0.016181,0.019770", \ - "0.017408,0.017679,0.018175,0.019048,0.020559,0.023140,0.027466", \ - "0.024838,0.025193,0.025806,0.026885,0.028739,0.031803,0.036825", \ - "0.033787,0.034253,0.035008,0.036328,0.038579,0.042236,0.048056"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011497,0.012411,0.014097,0.017162,0.022762,0.032973,0.051655", \ - "0.011496,0.012420,0.014098,0.017161,0.022757,0.032983,0.051659", \ - "0.011603,0.012493,0.014143,0.017175,0.022762,0.032975,0.051655", \ - "0.013893,0.014708,0.016142,0.018696,0.023603,0.033135,0.051645", \ - "0.016871,0.017695,0.019195,0.021947,0.026952,0.035776,0.052589", \ - "0.021228,0.022014,0.023439,0.026093,0.031022,0.040154,0.056538", \ - "0.027103,0.027887,0.029276,0.031856,0.036628,0.045520,0.062150"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006215,0.006550,0.007153,0.008235,0.010170,0.013637,0.019880", \ - "0.007021,0.007354,0.007956,0.009038,0.010973,0.014440,0.020684", \ - "0.009259,0.009690,0.010452,0.011759,0.013929,0.017423,0.023593", \ - "0.009439,0.010058,0.011144,0.013010,0.016116,0.021119,0.028904", \ - "0.006773,0.007569,0.008991,0.011433,0.015505,0.022055,0.032209", \ - "0.000967,0.001945,0.003686,0.006689,0.011708,0.019821,0.032435", \ - "-0.008161,-0.007017,-0.004969,-0.001438,0.004482,0.014112,0.029165"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.017996,0.019061,0.020990,0.024486,0.030822,0.042330,0.063285", \ - "0.018460,0.019527,0.021469,0.024994,0.031380,0.042956,0.063990", \ - "0.021238,0.022288,0.024195,0.027672,0.034004,0.045547,0.066604", \ - "0.026651,0.027874,0.030057,0.033880,0.040414,0.051734,0.072502", \ - "0.035405,0.036757,0.039161,0.043389,0.050701,0.063111,0.083872", \ - "0.047372,0.048887,0.051561,0.056219,0.064219,0.077780,0.100477", \ - "0.062147,0.063857,0.066856,0.072051,0.080865,0.095606,0.120152"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.003942,0.004204,0.004683,0.005559,0.007156,0.010073,0.015403", \ - "0.003918,0.004187,0.004674,0.005556,0.007156,0.010072,0.015402", \ - "0.005814,0.006033,0.006414,0.007073,0.008181,0.010479,0.015407", \ - "0.009544,0.009840,0.010363,0.011261,0.012743,0.015157,0.018981", \ - "0.014490,0.014894,0.015578,0.016741,0.018632,0.021651,0.026361", \ - "0.020743,0.021250,0.022126,0.023587,0.025959,0.029643,0.035274", \ - "0.028347,0.028986,0.030062,0.031861,0.034771,0.039228,0.045904"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011650,0.012572,0.014253,0.017338,0.022971,0.033279,0.052122", \ - "0.011653,0.012575,0.014252,0.017334,0.022979,0.033280,0.052130", \ - "0.011794,0.012672,0.014307,0.017358,0.022982,0.033280,0.052113", \ - "0.014815,0.015581,0.016913,0.019285,0.024000,0.033437,0.052082", \ - "0.018425,0.019182,0.020592,0.023225,0.028029,0.036441,0.052994", \ - "0.023351,0.024046,0.025361,0.027894,0.032712,0.041657,0.057418", \ - "0.029818,0.030464,0.031689,0.034085,0.038715,0.047595,0.064071"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005306,0.005657,0.006286,0.007404,0.009384,0.012893,0.019170", \ - "0.006130,0.006471,0.007087,0.008194,0.010165,0.013671,0.019946", \ - "0.008053,0.008527,0.009352,0.010750,0.013042,0.016651,0.022836", \ - "0.007612,0.008289,0.009469,0.011476,0.014771,0.019989,0.027985", \ - "0.004197,0.005070,0.006602,0.009228,0.013549,0.020407,0.030899", \ - "-0.002456,-0.001393,0.000481,0.003687,0.009001,0.017501,0.030556", \ - "-0.012515,-0.011270,-0.009078,-0.005327,0.000919,0.010973,0.026562"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.019771,0.021013,0.023264,0.027342,0.034738,0.048176,0.072670", \ - "0.020179,0.021422,0.023686,0.027796,0.035249,0.048767,0.073357", \ - "0.022707,0.023935,0.026160,0.030214,0.037603,0.051082,0.075692", \ - "0.027537,0.028902,0.031353,0.035692,0.043147,0.056361,0.080650", \ - "0.036024,0.037490,0.040083,0.044689,0.052749,0.066706,0.090670", \ - "0.048050,0.049672,0.052507,0.057470,0.066048,0.080807,0.106131", \ - "0.063059,0.064873,0.068029,0.073509,0.082822,0.098532,0.125201"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.003639,0.003903,0.004385,0.005257,0.006841,0.009733,0.015037", \ - "0.003550,0.003822,0.004327,0.005225,0.006829,0.009730,0.015036", \ - "0.005763,0.005977,0.006355,0.006999,0.008075,0.010243,0.015052", \ - "0.009576,0.009878,0.010393,0.011262,0.012716,0.015091,0.018872", \ - "0.014702,0.015091,0.015767,0.016897,0.018752,0.021687,0.026341", \ - "0.021224,0.021731,0.022579,0.024008,0.026309,0.029894,0.035415", \ - "0.029245,0.029867,0.030913,0.032663,0.035469,0.039781,0.046273"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011798,0.012887,0.014882,0.018539,0.025246,0.037488,0.059813", \ - "0.011805,0.012892,0.014891,0.018542,0.025229,0.037474,0.059803", \ - "0.011970,0.013017,0.014963,0.018574,0.025238,0.037487,0.059800", \ - "0.014475,0.015451,0.017132,0.020164,0.026057,0.037576,0.059804", \ - "0.017482,0.018405,0.020142,0.023379,0.029339,0.039861,0.060365", \ - "0.022011,0.022845,0.024432,0.027457,0.033253,0.044123,0.063651", \ - "0.028153,0.028936,0.030414,0.033248,0.038714,0.049233,0.069119"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005446,0.005796,0.006425,0.007545,0.009529,0.013052,0.019356", \ - "0.006265,0.006607,0.007225,0.008334,0.010310,0.013830,0.020132", \ - "0.008285,0.008750,0.009562,0.010945,0.013217,0.016808,0.023021", \ - "0.008056,0.008715,0.009869,0.011843,0.015099,0.020275,0.028239", \ - "0.004999,0.005837,0.007322,0.009875,0.014119,0.020899,0.031319", \ - "-0.001077,-0.000079,0.001698,0.004781,0.009950,0.018297,0.031217", \ - "-0.010299,-0.009161,-0.007131,-0.003593,0.002406,0.012212,0.027565"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.024066,0.025299,0.027545,0.031620,0.039019,0.052462,0.076966", \ - "0.024523,0.025763,0.028020,0.032121,0.039566,0.053078,0.077655", \ - "0.026996,0.028218,0.030445,0.034505,0.041908,0.055398,0.080004", \ - "0.032284,0.033595,0.035951,0.040096,0.047363,0.060602,0.084924", \ - "0.041064,0.042465,0.044966,0.049426,0.057298,0.071025,0.094844", \ - "0.053566,0.055076,0.057771,0.062522,0.070861,0.085373,0.110421", \ - "0.069207,0.070886,0.073841,0.079018,0.087977,0.103347,0.129714"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.004516,0.004786,0.005275,0.006156,0.007745,0.010634,0.015930", \ - "0.004418,0.004702,0.005216,0.006124,0.007734,0.010632,0.015930", \ - "0.006903,0.007076,0.007391,0.007952,0.008949,0.011132,0.015945", \ - "0.011404,0.011633,0.012028,0.012743,0.014000,0.016171,0.019759", \ - "0.017292,0.017577,0.018086,0.018982,0.020546,0.023166,0.027531", \ - "0.024682,0.025037,0.025673,0.026781,0.028684,0.031837,0.036946", \ - "0.033622,0.034076,0.034835,0.036196,0.038485,0.042247,0.048196"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.014319,0.015426,0.017448,0.021135,0.027863,0.040136,0.062546", \ - "0.014324,0.015436,0.017450,0.021135,0.027863,0.040140,0.062518", \ - "0.014385,0.015476,0.017482,0.021152,0.027882,0.040130,0.062516", \ - "0.016370,0.017295,0.019001,0.022216,0.028338,0.040168,0.062519", \ - "0.019265,0.020264,0.022091,0.025427,0.031428,0.042055,0.062897", \ - "0.023339,0.024285,0.026029,0.029262,0.035275,0.046289,0.065901", \ - "0.029053,0.029952,0.031620,0.034690,0.040461,0.051290,0.071333"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006356,0.006690,0.007294,0.008378,0.010320,0.013801,0.020073", \ - "0.007162,0.007495,0.008098,0.009182,0.011124,0.014605,0.020877", \ - "0.009472,0.009899,0.010651,0.011945,0.014101,0.017586,0.023786", \ - "0.009841,0.010444,0.011511,0.013354,0.016432,0.021401,0.029159", \ - "0.007477,0.008253,0.009635,0.012029,0.016040,0.022526,0.032628", \ - "0.002136,0.003074,0.004753,0.007663,0.012577,0.020570,0.033068", \ - "-0.006312,-0.005240,-0.003291,0.000091,0.005830,0.015254,0.030108"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.021713,0.022774,0.024703,0.028206,0.034555,0.046093,0.067098", \ - "0.022221,0.023287,0.025226,0.028751,0.035143,0.046739,0.067810", \ - "0.024942,0.025992,0.027909,0.031398,0.037756,0.049336,0.070432", \ - "0.030921,0.032084,0.034154,0.037815,0.044092,0.055450,0.076296", \ - "0.040116,0.041403,0.043697,0.047761,0.054851,0.066987,0.087578", \ - "0.052615,0.054031,0.056570,0.061014,0.068754,0.082025,0.104406", \ - "0.068061,0.069634,0.072450,0.077359,0.085822,0.100205,0.124409"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.004805,0.005074,0.005564,0.006451,0.008056,0.010971,0.016297", \ - "0.004783,0.005058,0.005555,0.006448,0.008056,0.010971,0.016297", \ - "0.006868,0.007058,0.007393,0.007982,0.009057,0.011369,0.016301", \ - "0.011216,0.011462,0.011893,0.012659,0.013979,0.016212,0.019853", \ - "0.016878,0.017190,0.017741,0.018704,0.020350,0.023092,0.027527", \ - "0.023926,0.024321,0.025011,0.026206,0.028228,0.031534,0.036779", \ - "0.032413,0.032906,0.033740,0.035202,0.037662,0.041605,0.047788"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.013839,0.014772,0.016469,0.019576,0.025257,0.035605,0.054486", \ - "0.013843,0.014768,0.016469,0.019582,0.025261,0.035601,0.054490", \ - "0.013881,0.014798,0.016487,0.019587,0.025262,0.035613,0.054490", \ - "0.016274,0.016987,0.018329,0.020893,0.025877,0.035652,0.054502", \ - "0.019838,0.020651,0.022138,0.024841,0.029694,0.038192,0.055139", \ - "0.024420,0.025211,0.026658,0.029358,0.034361,0.043411,0.059230", \ - "0.030494,0.031268,0.032666,0.035280,0.040171,0.049319,0.065918"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005446,0.005796,0.006425,0.007545,0.009529,0.013052,0.019356", \ - "0.006265,0.006607,0.007225,0.008334,0.010310,0.013830,0.020132", \ - "0.008285,0.008750,0.009562,0.010945,0.013217,0.016808,0.023021", \ - "0.008056,0.008715,0.009869,0.011843,0.015099,0.020275,0.028239", \ - "0.004999,0.005837,0.007322,0.009875,0.014119,0.020899,0.031319", \ - "-0.001077,-0.000079,0.001698,0.004781,0.009950,0.018297,0.031217", \ - "-0.010299,-0.009161,-0.007131,-0.003593,0.002406,0.012212,0.027565"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.024066,0.025299,0.027545,0.031620,0.039019,0.052462,0.076966", \ - "0.024523,0.025763,0.028020,0.032121,0.039566,0.053078,0.077655", \ - "0.026996,0.028218,0.030445,0.034505,0.041908,0.055398,0.080004", \ - "0.032284,0.033595,0.035951,0.040096,0.047363,0.060602,0.084924", \ - "0.041064,0.042465,0.044966,0.049426,0.057298,0.071025,0.094844", \ - "0.053566,0.055076,0.057771,0.062522,0.070861,0.085373,0.110421", \ - "0.069207,0.070886,0.073841,0.079018,0.087977,0.103347,0.129714"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.004516,0.004786,0.005275,0.006156,0.007745,0.010634,0.015930", \ - "0.004418,0.004702,0.005216,0.006124,0.007734,0.010632,0.015930", \ - "0.006903,0.007076,0.007391,0.007952,0.008949,0.011132,0.015945", \ - "0.011404,0.011633,0.012028,0.012743,0.014000,0.016171,0.019759", \ - "0.017292,0.017577,0.018086,0.018982,0.020546,0.023166,0.027531", \ - "0.024682,0.025037,0.025673,0.026781,0.028684,0.031837,0.036946", \ - "0.033622,0.034076,0.034835,0.036196,0.038485,0.042247,0.048196"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.014319,0.015426,0.017448,0.021135,0.027863,0.040136,0.062546", \ - "0.014324,0.015436,0.017450,0.021135,0.027863,0.040140,0.062518", \ - "0.014385,0.015476,0.017482,0.021152,0.027882,0.040130,0.062516", \ - "0.016370,0.017295,0.019001,0.022216,0.028338,0.040168,0.062519", \ - "0.019265,0.020264,0.022091,0.025427,0.031428,0.042055,0.062897", \ - "0.023339,0.024285,0.026029,0.029262,0.035275,0.046289,0.065901", \ - "0.029053,0.029952,0.031620,0.034690,0.040461,0.051290,0.071333"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005593,0.005942,0.006571,0.007691,0.009682,0.013219,0.019553", \ - "0.006407,0.006750,0.007369,0.008479,0.010463,0.013996,0.020329", \ - "0.008528,0.008985,0.009781,0.011147,0.013398,0.016974,0.023219", \ - "0.008545,0.009179,0.010302,0.012237,0.015445,0.020579,0.028509", \ - "0.005937,0.006730,0.008144,0.010602,0.014748,0.021427,0.031770", \ - "0.000619,0.001526,0.003160,0.006057,0.011024,0.019174,0.031933", \ - "-0.007581,-0.006575,-0.004749,-0.001509,0.004132,0.013590,0.028648"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.028437,0.029668,0.031910,0.035990,0.043401,0.056872,0.081417", \ - "0.028938,0.030174,0.032428,0.036528,0.043977,0.057507,0.082123", \ - "0.031364,0.032587,0.034818,0.038890,0.046312,0.059833,0.084481", \ - "0.036931,0.038194,0.040411,0.044405,0.051672,0.064968,0.089363", \ - "0.046003,0.047364,0.049784,0.054130,0.061855,0.075353,0.099199", \ - "0.058863,0.060310,0.062909,0.067518,0.075683,0.090000,0.114835", \ - "0.075044,0.076633,0.079454,0.084410,0.093114,0.108229,0.134364"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005550,0.005802,0.006262,0.007110,0.008668,0.011539,0.016827", \ - "0.005455,0.005722,0.006206,0.007078,0.008657,0.011536,0.016827", \ - "0.008003,0.008143,0.008404,0.008889,0.009843,0.012024,0.016840", \ - "0.013036,0.013210,0.013528,0.014123,0.015229,0.017220,0.020633", \ - "0.019580,0.019799,0.020191,0.020914,0.022246,0.024605,0.028696", \ - "0.027719,0.027983,0.028462,0.029338,0.030935,0.033716,0.038459", \ - "0.037443,0.037802,0.038362,0.039434,0.041326,0.044618,0.050089"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.016906,0.018022,0.020069,0.023792,0.030570,0.042901,0.065394", \ - "0.016904,0.018024,0.020065,0.023791,0.030573,0.042903,0.065381", \ - "0.016927,0.018041,0.020076,0.023795,0.030564,0.042905,0.065386", \ - "0.018301,0.019280,0.021083,0.024452,0.030783,0.042914,0.065393", \ - "0.021300,0.022332,0.024200,0.027582,0.033499,0.044411,0.065604", \ - "0.025088,0.026088,0.027918,0.031281,0.037435,0.048549,0.068320", \ - "0.030455,0.031414,0.033196,0.036424,0.042423,0.053491,0.073684"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011788,0.012364,0.013404,0.015260,0.018538,0.024332,0.034610", \ - "0.012334,0.012912,0.013949,0.015801,0.019083,0.024874,0.035153", \ - "0.015196,0.015743,0.016698,0.018453,0.021650,0.027378,0.037607", \ - "0.018610,0.019343,0.020636,0.022891,0.026700,0.032951,0.043043", \ - "0.020331,0.021232,0.022845,0.025642,0.030366,0.038154,0.050639", \ - "0.020333,0.021399,0.023329,0.026666,0.032295,0.041551,0.056384", \ - "0.018598,0.019825,0.022067,0.025907,0.032418,0.043134,0.060285"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.021899,0.022970,0.024906,0.028411,0.034753,0.046256,0.067210", \ - "0.022536,0.023625,0.025594,0.029155,0.035578,0.047178,0.068221", \ - "0.024931,0.025999,0.027940,0.031475,0.037893,0.049545,0.070699", \ - "0.028028,0.029217,0.031347,0.035116,0.041669,0.053199,0.074242", \ - "0.032407,0.033704,0.036012,0.040080,0.047187,0.059530,0.080742", \ - "0.039397,0.040863,0.043447,0.047913,0.055530,0.068473,0.090739", \ - "0.048315,0.050001,0.052939,0.057994,0.066457,0.080416,0.103656"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.008402,0.008841,0.009639,0.011085,0.013701,0.018445,0.027075", \ - "0.008366,0.008812,0.009614,0.011070,0.013693,0.018442,0.027076", \ - "0.008717,0.009093,0.009788,0.011104,0.013610,0.018413,0.027075", \ - "0.012759,0.013155,0.013843,0.015039,0.017105,0.020626,0.027744", \ - "0.018394,0.018871,0.019682,0.021084,0.023453,0.027425,0.034091", \ - "0.025574,0.026150,0.027130,0.028790,0.031563,0.036040,0.043417", \ - "0.034241,0.034958,0.036144,0.038154,0.041412,0.046578,0.054736"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011652,0.012570,0.014256,0.017334,0.022973,0.033272,0.052083", \ - "0.011658,0.012577,0.014258,0.017335,0.022977,0.033271,0.052094", \ - "0.011730,0.012633,0.014291,0.017350,0.022981,0.033275,0.052097", \ - "0.013846,0.014640,0.016046,0.018644,0.023667,0.033389,0.052112", \ - "0.017727,0.018432,0.019762,0.022319,0.027173,0.036053,0.053041", \ - "0.023840,0.024446,0.025593,0.027830,0.032206,0.040807,0.057184", \ - "0.031264,0.031846,0.032961,0.035132,0.039308,0.047364,0.063154"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.009572,0.010196,0.011308,0.013272,0.016700,0.022665,0.033118", \ - "0.010165,0.010780,0.011880,0.013829,0.017245,0.023202,0.033650", \ - "0.013209,0.013811,0.014871,0.016666,0.019880,0.025704,0.036077", \ - "0.015893,0.016702,0.018125,0.020558,0.024631,0.031183,0.041512", \ - "0.016677,0.017680,0.019459,0.022500,0.027576,0.035783,0.048725", \ - "0.015544,0.016727,0.018853,0.022481,0.028539,0.038350,0.053796", \ - "0.012502,0.013882,0.016314,0.020475,0.027457,0.038825,0.056767"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.023700,0.024950,0.027209,0.031299,0.038700,0.052140,0.076634", \ - "0.024262,0.025531,0.027830,0.031984,0.039480,0.053031,0.077626", \ - "0.026555,0.027802,0.030064,0.034182,0.041674,0.055281,0.080000", \ - "0.029517,0.030865,0.033281,0.037583,0.045061,0.058508,0.083104", \ - "0.033791,0.035214,0.037756,0.042262,0.050218,0.064211,0.088607", \ - "0.040870,0.042464,0.045247,0.050090,0.058408,0.072750,0.097885", \ - "0.050064,0.051892,0.055033,0.060458,0.069575,0.084746,0.110459"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.007829,0.008286,0.009109,0.010585,0.013226,0.017967,0.026558", \ - "0.007674,0.008150,0.008999,0.010510,0.013180,0.017946,0.026552", \ - "0.008468,0.008795,0.009415,0.010642,0.013059,0.017821,0.026529", \ - "0.012843,0.013221,0.013886,0.015054,0.017051,0.020459,0.027320", \ - "0.018893,0.019349,0.020138,0.021479,0.023746,0.027548,0.034014", \ - "0.026631,0.027199,0.028150,0.029746,0.032371,0.036627,0.043675", \ - "0.036055,0.036744,0.037918,0.039853,0.042956,0.047828,0.055548"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011790,0.012884,0.014880,0.018536,0.025236,0.037479,0.059801", \ - "0.011812,0.012897,0.014882,0.018550,0.025242,0.037488,0.059800", \ - "0.011888,0.012953,0.014921,0.018562,0.025238,0.037462,0.059798", \ - "0.013682,0.014638,0.016362,0.019574,0.025737,0.037532,0.059812", \ - "0.016950,0.017845,0.019523,0.022712,0.028683,0.039551,0.060377", \ - "0.022712,0.023479,0.024945,0.027743,0.033150,0.043714,0.063602", \ - "0.030036,0.030758,0.032192,0.034890,0.039978,0.049739,0.068939"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.009919,0.010540,0.011649,0.013614,0.017050,0.023042,0.033551", \ - "0.010504,0.011116,0.012219,0.014169,0.017594,0.023579,0.034083", \ - "0.013571,0.014161,0.015200,0.016974,0.020216,0.026076,0.036511", \ - "0.016558,0.017339,0.018722,0.021112,0.025124,0.031629,0.041938", \ - "0.017838,0.018790,0.020486,0.023428,0.028388,0.036494,0.049347", \ - "0.017473,0.018562,0.020541,0.023986,0.029833,0.039444,0.054721", \ - "0.015491,0.016705,0.018921,0.022788,0.029432,0.040456,0.058089"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.028012,0.029250,0.031500,0.035581,0.042980,0.056423,0.080923", \ - "0.028676,0.029929,0.032207,0.036336,0.043807,0.057341,0.081924", \ - "0.030914,0.032156,0.034419,0.038538,0.046025,0.059621,0.084321", \ - "0.034199,0.035501,0.037839,0.041961,0.049357,0.062816,0.087414", \ - "0.038723,0.040087,0.042541,0.046929,0.054753,0.068583,0.092846", \ - "0.046283,0.047753,0.050376,0.054990,0.063064,0.077223,0.102205", \ - "0.056201,0.057845,0.060773,0.065849,0.074564,0.089360,0.114843"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.009747,0.010165,0.010928,0.012324,0.014873,0.019529,0.028057", \ - "0.009592,0.010028,0.010820,0.012247,0.014827,0.019508,0.028050", \ - "0.010265,0.010574,0.011169,0.012346,0.014694,0.019389,0.028030", \ - "0.015163,0.015451,0.015989,0.016978,0.018763,0.021975,0.028804", \ - "0.021936,0.022271,0.022883,0.023975,0.025927,0.029397,0.035566", \ - "0.030501,0.030905,0.031641,0.032909,0.035115,0.038920,0.045546", \ - "0.040830,0.041345,0.042232,0.043765,0.046339,0.050637,0.057803"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.014321,0.015424,0.017446,0.021140,0.027862,0.040133,0.062532", \ - "0.014322,0.015428,0.017446,0.021137,0.027874,0.040137,0.062515", \ - "0.014357,0.015452,0.017463,0.021147,0.027881,0.040138,0.062526", \ - "0.015656,0.016629,0.018433,0.021790,0.028117,0.040166,0.062529", \ - "0.018653,0.019633,0.021440,0.024769,0.030862,0.041817,0.062928", \ - "0.023801,0.024680,0.026299,0.029318,0.035033,0.045872,0.065893", \ - "0.030763,0.031647,0.033214,0.036117,0.041473,0.051587,0.071146"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.012787,0.013365,0.014404,0.016256,0.019538,0.025329,0.035610", \ - "0.013290,0.013869,0.014909,0.016763,0.020045,0.025839,0.036120", \ - "0.014884,0.015468,0.016512,0.018356,0.021639,0.027447,0.037746", \ - "0.016939,0.017611,0.018802,0.020888,0.024475,0.030585,0.041038", \ - "0.017542,0.018388,0.019889,0.022470,0.026810,0.033921,0.045488", \ - "0.015937,0.016969,0.018802,0.021959,0.027236,0.035813,0.049362", \ - "0.011971,0.013183,0.015348,0.019061,0.025285,0.035404,0.051325"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.025014,0.026060,0.027964,0.031434,0.037742,0.049238,0.070204", \ - "0.025861,0.026915,0.028835,0.032326,0.038665,0.050195,0.071191", \ - "0.028500,0.029551,0.031470,0.034962,0.041313,0.052871,0.073912", \ - "0.032028,0.033166,0.035208,0.038846,0.045208,0.056688,0.077670", \ - "0.037153,0.038362,0.040535,0.044413,0.051288,0.063356,0.084291", \ - "0.046064,0.047355,0.049670,0.053727,0.060832,0.073245,0.095008", \ - "0.057874,0.059312,0.061883,0.066328,0.073960,0.086936,0.109229"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.008379,0.008823,0.009623,0.011073,0.013693,0.018441,0.027077", \ - "0.008365,0.008808,0.009610,0.011065,0.013689,0.018439,0.027075", \ - "0.008506,0.008936,0.009711,0.011130,0.013710,0.018445,0.027079", \ - "0.010705,0.011081,0.011756,0.012995,0.015280,0.019463,0.027480", \ - "0.014980,0.015370,0.016066,0.017282,0.019415,0.023251,0.030431", \ - "0.020588,0.021052,0.021854,0.023235,0.025576,0.029498,0.036319", \ - "0.027291,0.027850,0.028801,0.030436,0.033161,0.037552,0.044666"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.013841,0.014772,0.016475,0.019582,0.025261,0.035601,0.054500", \ - "0.013843,0.014772,0.016477,0.019577,0.025263,0.035596,0.054494", \ - "0.013869,0.014792,0.016486,0.019586,0.025249,0.035610,0.054498", \ - "0.015513,0.016300,0.017758,0.020498,0.025705,0.035659,0.054488", \ - "0.018867,0.019672,0.021154,0.023891,0.028906,0.037925,0.055249", \ - "0.023627,0.024389,0.025780,0.028381,0.033257,0.042349,0.059006", \ - "0.029664,0.030429,0.031810,0.034368,0.039103,0.047941,0.064554"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.010590,0.011211,0.012320,0.014279,0.017703,0.023665,0.034117", \ - "0.011110,0.011729,0.012833,0.014787,0.018206,0.024166,0.034617", \ - "0.012772,0.013387,0.014488,0.016426,0.019800,0.025750,0.036213", \ - "0.014414,0.015156,0.016458,0.018702,0.022477,0.028795,0.039453", \ - "0.014098,0.015049,0.016711,0.019541,0.024222,0.031734,0.043663", \ - "0.011314,0.012483,0.014517,0.017984,0.023712,0.032848,0.046996", \ - "0.005936,0.007330,0.009724,0.013798,0.020552,0.031385,0.048113"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.027363,0.028581,0.030803,0.034849,0.042215,0.055637,0.080141", \ - "0.028166,0.029396,0.031634,0.035707,0.043106,0.056568,0.081111", \ - "0.030735,0.031962,0.034199,0.038271,0.045683,0.059181,0.083769", \ - "0.034077,0.035374,0.037706,0.041832,0.049195,0.062599,0.087119", \ - "0.038967,0.040311,0.042726,0.047070,0.054822,0.068567,0.092750", \ - "0.047888,0.049294,0.051822,0.056267,0.064110,0.077987,0.102670", \ - "0.060022,0.061567,0.064341,0.069149,0.077428,0.091657,0.116516"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.007766,0.008230,0.009059,0.010548,0.013200,0.017953,0.026552", \ - "0.007687,0.008159,0.009001,0.010506,0.013173,0.017940,0.026548", \ - "0.007925,0.008340,0.009107,0.010535,0.013148,0.017903,0.026546", \ - "0.010564,0.010915,0.011559,0.012743,0.014944,0.019062,0.026972", \ - "0.015177,0.015556,0.016229,0.017398,0.019457,0.023140,0.030127", \ - "0.021140,0.021588,0.022368,0.023715,0.025966,0.029749,0.036340", \ - "0.028319,0.028849,0.029782,0.031365,0.033986,0.038207,0.045077"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.014361,0.015463,0.017492,0.021182,0.027933,0.040220,0.062653", \ - "0.014364,0.015466,0.017495,0.021182,0.027928,0.040221,0.062655", \ - "0.014392,0.015488,0.017503,0.021189,0.027938,0.040227,0.062662", \ - "0.015720,0.016695,0.018499,0.021864,0.028214,0.040246,0.062661", \ - "0.018540,0.019545,0.021383,0.024746,0.030872,0.041912,0.063070", \ - "0.022878,0.023828,0.025561,0.028760,0.034708,0.045755,0.065975", \ - "0.028707,0.029670,0.031375,0.034486,0.040193,0.050823,0.070872"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.010933,0.011554,0.012661,0.014620,0.018052,0.024041,0.034550", \ - "0.011450,0.012067,0.013172,0.015127,0.018555,0.024542,0.035051", \ - "0.013112,0.013726,0.014824,0.016756,0.020144,0.026125,0.036647", \ - "0.014905,0.015631,0.016912,0.019124,0.022877,0.029197,0.039887", \ - "0.014918,0.015834,0.017445,0.020211,0.024820,0.032266,0.044173", \ - "0.012644,0.013748,0.015690,0.019036,0.024631,0.033641,0.047680", \ - "0.007986,0.009266,0.011508,0.015397,0.021935,0.032543,0.049085"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.031645,0.032863,0.035083,0.039130,0.046500,0.059928,0.084435", \ - "0.032487,0.033711,0.035944,0.040011,0.047407,0.060870,0.085402", \ - "0.035057,0.036280,0.038515,0.042586,0.049999,0.063493,0.088073", \ - "0.038606,0.039849,0.042085,0.046127,0.053476,0.066895,0.091424", \ - "0.043676,0.044987,0.047347,0.051613,0.059270,0.072873,0.096989", \ - "0.052760,0.054112,0.056534,0.060860,0.068577,0.082358,0.106930", \ - "0.065338,0.066794,0.069417,0.074011,0.082047,0.096061,0.120797"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.009685,0.010107,0.010879,0.012285,0.014846,0.019516,0.028053", \ - "0.009605,0.010036,0.010821,0.012243,0.014821,0.019503,0.028048", \ - "0.009784,0.010175,0.010903,0.012263,0.014794,0.019466,0.028047", \ - "0.012572,0.012873,0.013444,0.014528,0.016612,0.020604,0.028470", \ - "0.017659,0.017956,0.018498,0.019485,0.021329,0.024810,0.031652", \ - "0.024275,0.024616,0.025220,0.026309,0.028247,0.031684,0.037996", \ - "0.032213,0.032599,0.033308,0.034572,0.036779,0.040538,0.046987"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.016910,0.018024,0.020068,0.023791,0.030563,0.042912,0.065387", \ - "0.016910,0.018027,0.020066,0.023788,0.030563,0.042905,0.065383", \ - "0.016918,0.018035,0.020073,0.023788,0.030566,0.042910,0.065393", \ - "0.017809,0.018829,0.020711,0.024195,0.030695,0.042906,0.065385", \ - "0.020581,0.021611,0.023498,0.026920,0.033079,0.044276,0.065659", \ - "0.024577,0.025571,0.027380,0.030691,0.036797,0.048008,0.068335", \ - "0.030180,0.031165,0.032931,0.036162,0.042040,0.052898,0.073160"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006306,0.006798,0.007696,0.009325,0.012287,0.017682,0.027533", \ - "0.007025,0.007517,0.008416,0.010053,0.013028,0.018442,0.028311", \ - "0.008887,0.009546,0.010699,0.012658,0.015865,0.021173,0.030960", \ - "0.009093,0.010008,0.011600,0.014289,0.018689,0.025695,0.036532", \ - "0.007099,0.008286,0.010344,0.013813,0.019468,0.028364,0.042061", \ - "0.002663,0.004117,0.006633,0.010874,0.017799,0.028681,0.045305", \ - "-0.004368,-0.002661,0.000288,0.005265,0.013414,0.026291,0.045947"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011061,0.012100,0.013982,0.017390,0.023548,0.034718,0.055050", \ - "0.011520,0.012546,0.014422,0.017838,0.024036,0.035269,0.055670", \ - "0.015433,0.016366,0.018017,0.021173,0.027106,0.038100,0.058334", \ - "0.021697,0.022891,0.024998,0.028665,0.034905,0.045388,0.064960", \ - "0.029854,0.031255,0.033706,0.037941,0.045180,0.057313,0.077054", \ - "0.039856,0.041517,0.044378,0.049269,0.057475,0.071107,0.093545", \ - "0.051718,0.053634,0.056947,0.062586,0.071938,0.087148,0.111877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.003854,0.004269,0.005031,0.006422,0.008974,0.013644,0.022197", \ - "0.003853,0.004267,0.005030,0.006422,0.008973,0.013645,0.022198", \ - "0.005793,0.006137,0.006751,0.007810,0.009673,0.013730,0.022197", \ - "0.009613,0.010016,0.010732,0.011959,0.014071,0.017689,0.023962", \ - "0.014974,0.015495,0.016389,0.017892,0.020369,0.024375,0.031089", \ - "0.021810,0.022455,0.023557,0.025420,0.028428,0.033108,0.040488", \ - "0.030161,0.030908,0.032247,0.034483,0.038103,0.043652,0.052087"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.009271,0.010206,0.011906,0.014991,0.020582,0.030783,0.049435", \ - "0.009188,0.010147,0.011868,0.014979,0.020600,0.030803,0.049427", \ - "0.009713,0.010486,0.011968,0.014829,0.020497,0.030780,0.049424", \ - "0.012668,0.013500,0.015019,0.017717,0.022151,0.031110,0.049392", \ - "0.016767,0.017584,0.019090,0.021856,0.026861,0.035439,0.050995", \ - "0.022522,0.023354,0.024886,0.027670,0.032706,0.041832,0.057528", \ - "0.029793,0.030682,0.032317,0.035226,0.040386,0.049586,0.066114"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006305,0.006798,0.007695,0.009325,0.012286,0.017680,0.027529", \ - "0.007037,0.007529,0.008428,0.010064,0.013039,0.018451,0.028317", \ - "0.008940,0.009599,0.010751,0.012706,0.015906,0.021213,0.030998", \ - "0.008968,0.009888,0.011497,0.014214,0.018649,0.025691,0.036546", \ - "0.006416,0.007626,0.009728,0.013272,0.019027,0.028065,0.041896", \ - "0.001031,0.002523,0.005116,0.009477,0.016587,0.027734,0.044660", \ - "-0.007355,-0.005579,-0.002529,0.002618,0.011038,0.024289,0.044438"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.012175,0.013416,0.015676,0.019788,0.027227,0.040701,0.065223", \ - "0.012559,0.013784,0.016037,0.020154,0.027641,0.041194,0.065803", \ - "0.016591,0.017621,0.019602,0.023401,0.030577,0.043872,0.068295", \ - "0.023709,0.025034,0.027376,0.031474,0.038466,0.050977,0.074671", \ - "0.032881,0.034410,0.037105,0.041802,0.049898,0.063544,0.086496", \ - "0.044251,0.046047,0.049145,0.054476,0.063533,0.078776,0.104076", \ - "0.057864,0.059943,0.063487,0.069568,0.079728,0.096513,0.124247"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.003854,0.004269,0.005029,0.006421,0.008973,0.013643,0.022198", \ - "0.003853,0.004268,0.005029,0.006422,0.008975,0.013644,0.022196", \ - "0.005769,0.006114,0.006731,0.007791,0.009661,0.013725,0.022198", \ - "0.009533,0.009955,0.010683,0.011929,0.014063,0.017689,0.023958", \ - "0.014848,0.015383,0.016304,0.017849,0.020371,0.024434,0.031152", \ - "0.021667,0.022335,0.023474,0.025385,0.028449,0.033222,0.040667", \ - "0.030057,0.030819,0.032198,0.034498,0.038196,0.043858,0.052405"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011377,0.012556,0.014669,0.018442,0.025210,0.037488,0.059804", \ - "0.011226,0.012431,0.014580,0.018401,0.025213,0.037465,0.059832", \ - "0.011303,0.012332,0.014276,0.017987,0.025080,0.037458,0.059824", \ - "0.014061,0.015084,0.016945,0.020006,0.025789,0.037273,0.059790", \ - "0.017871,0.018848,0.020646,0.023981,0.030036,0.040336,0.060266", \ - "0.023426,0.024377,0.026150,0.029406,0.035415,0.046366,0.065173", \ - "0.030573,0.031543,0.033372,0.036670,0.042642,0.053575,0.073329"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006486,0.006984,0.007887,0.009530,0.012514,0.017951,0.027875", \ - "0.007217,0.007714,0.008621,0.010270,0.013267,0.018723,0.028663", \ - "0.009230,0.009883,0.011024,0.012964,0.016140,0.021484,0.031344", \ - "0.009506,0.010413,0.011994,0.014673,0.019072,0.026085,0.036914", \ - "0.007410,0.008580,0.010620,0.014081,0.019751,0.028705,0.042482", \ - "0.002782,0.004191,0.006656,0.010860,0.017780,0.028755,0.045540", \ - "-0.004426,-0.002826,-0.000014,0.004835,0.012917,0.025848,0.045723"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.016509,0.017755,0.020023,0.024137,0.031587,0.045087,0.069659", \ - "0.016914,0.018156,0.020425,0.024553,0.032040,0.045605,0.070247", \ - "0.020377,0.021523,0.023653,0.027594,0.034869,0.048234,0.072723", \ - "0.028251,0.029496,0.031725,0.035645,0.042401,0.055167,0.078999", \ - "0.038114,0.039543,0.042102,0.046608,0.054444,0.067746,0.090673", \ - "0.050319,0.051943,0.054832,0.059879,0.068603,0.083499,0.108381", \ - "0.064859,0.066718,0.070004,0.075665,0.085357,0.101680,0.128997"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005176,0.005610,0.006393,0.007812,0.010380,0.015063,0.023622", \ - "0.005175,0.005609,0.006393,0.007811,0.010380,0.015061,0.023624", \ - "0.007355,0.007663,0.008221,0.009143,0.011043,0.015137,0.023622", \ - "0.011915,0.012237,0.012813,0.013871,0.015781,0.019182,0.025359", \ - "0.018263,0.018636,0.019315,0.020531,0.022663,0.026350,0.032753", \ - "0.026248,0.026686,0.027494,0.028953,0.031473,0.035680,0.042630", \ - "0.035815,0.036324,0.037294,0.039019,0.042018,0.046932,0.054804"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.014185,0.015328,0.017397,0.021141,0.027920,0.040219,0.062654", \ - "0.014112,0.015269,0.017362,0.021131,0.027913,0.040231,0.062655", \ - "0.013741,0.014864,0.016954,0.020915,0.027863,0.040214,0.062666", \ - "0.016201,0.017231,0.018859,0.022032,0.028101,0.040001,0.062651", \ - "0.019680,0.020725,0.022625,0.026059,0.032144,0.042498,0.062877", \ - "0.024879,0.025931,0.027813,0.031233,0.037450,0.048493,0.067338", \ - "0.031741,0.032825,0.034782,0.038245,0.044449,0.055645,0.075502"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.007325,0.007816,0.008711,0.010337,0.013295,0.018686,0.028534", \ - "0.007908,0.008410,0.009324,0.010976,0.013966,0.019392,0.029270", \ - "0.009046,0.009638,0.010683,0.012509,0.015681,0.021141,0.031041", \ - "0.008921,0.009742,0.011165,0.013564,0.017486,0.023822,0.034339", \ - "0.006330,0.007414,0.009302,0.012468,0.017593,0.025591,0.037877", \ - "0.000910,0.002259,0.004617,0.008571,0.014970,0.024931,0.039941", \ - "-0.007584,-0.005960,-0.003139,0.001590,0.009258,0.021227,0.039240"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.014079,0.015092,0.016937,0.020297,0.026407,0.037531,0.057820", \ - "0.014565,0.015579,0.017429,0.020800,0.026932,0.038084,0.058409", \ - "0.018408,0.019336,0.021059,0.024258,0.030179,0.041113,0.061242", \ - "0.025963,0.027051,0.028992,0.032404,0.038265,0.048607,0.068096", \ - "0.035558,0.036808,0.039029,0.042944,0.049753,0.061307,0.080493", \ - "0.047677,0.049094,0.051613,0.055996,0.063565,0.076457,0.098034", \ - "0.062428,0.064037,0.066888,0.071816,0.080208,0.094312,0.117906"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.003854,0.004269,0.005030,0.006422,0.008975,0.013645,0.022198", \ - "0.003854,0.004269,0.005029,0.006424,0.008975,0.013644,0.022198", \ - "0.004908,0.005252,0.005891,0.007102,0.009323,0.013711,0.022197", \ - "0.007826,0.008164,0.008758,0.009831,0.011805,0.015610,0.023049", \ - "0.012007,0.012421,0.013142,0.014381,0.016478,0.020076,0.026752", \ - "0.017177,0.017686,0.018562,0.020067,0.022564,0.026595,0.033249", \ - "0.023280,0.023876,0.024927,0.026739,0.029728,0.034459,0.041838"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011436,0.012367,0.014070,0.017146,0.022763,0.032978,0.051654", \ - "0.011401,0.012347,0.014046,0.017141,0.022757,0.032978,0.051643", \ - "0.011460,0.012318,0.013917,0.016982,0.022723,0.032973,0.051637", \ - "0.014176,0.015028,0.016559,0.019073,0.023794,0.033091,0.051647", \ - "0.017561,0.018481,0.020131,0.023081,0.028226,0.036815,0.052832", \ - "0.022112,0.023089,0.024823,0.027926,0.033405,0.042915,0.058752", \ - "0.027927,0.028985,0.030832,0.034092,0.039795,0.049753,0.066931"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.007324,0.007816,0.008711,0.010336,0.013294,0.018685,0.028531", \ - "0.007920,0.008422,0.009334,0.010986,0.013977,0.019401,0.029277", \ - "0.009117,0.009706,0.010748,0.012569,0.015735,0.021188,0.031084", \ - "0.008927,0.009750,0.011177,0.013582,0.017514,0.023859,0.034383", \ - "0.005986,0.007084,0.008992,0.012194,0.017371,0.025440,0.037804", \ - "-0.000127,0.001255,0.003658,0.007686,0.014203,0.024323,0.039528", \ - "-0.009658,-0.007993,-0.005096,-0.000245,0.007614,0.019846,0.038188"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.015829,0.017048,0.019272,0.023324,0.030694,0.044111,0.068579", \ - "0.016242,0.017460,0.019689,0.023756,0.031156,0.044605,0.069115", \ - "0.020102,0.021218,0.023294,0.027157,0.034318,0.047526,0.071817", \ - "0.028421,0.029636,0.031812,0.035651,0.042304,0.054878,0.078463", \ - "0.038983,0.040367,0.042852,0.047247,0.054913,0.067976,0.090621", \ - "0.052302,0.053856,0.056630,0.061503,0.069982,0.084534,0.108974", \ - "0.068597,0.070339,0.073450,0.078850,0.088134,0.103932,0.130621"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.003854,0.004268,0.005031,0.006421,0.008975,0.013643,0.022197", \ - "0.003855,0.004268,0.005029,0.006424,0.008972,0.013645,0.022197", \ - "0.004890,0.005234,0.005877,0.007090,0.009317,0.013708,0.022198", \ - "0.007746,0.008087,0.008695,0.009786,0.011777,0.015596,0.023041", \ - "0.011848,0.012272,0.013002,0.014272,0.016402,0.020060,0.026760", \ - "0.016950,0.017465,0.018364,0.019902,0.022459,0.026543,0.033276", \ - "0.023009,0.023621,0.024693,0.026546,0.029592,0.034395,0.041860"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.014119,0.015265,0.017338,0.021086,0.027866,0.040132,0.062528", \ - "0.014032,0.015196,0.017299,0.021066,0.027850,0.040139,0.062521", \ - "0.013736,0.014841,0.016904,0.020838,0.027787,0.040123,0.062514", \ - "0.016082,0.017116,0.018788,0.021980,0.028066,0.039910,0.062512", \ - "0.019202,0.020285,0.022239,0.025741,0.031890,0.042324,0.062738", \ - "0.023540,0.024658,0.026673,0.030293,0.036751,0.047997,0.067055", \ - "0.029166,0.030355,0.032444,0.036169,0.042787,0.054495,0.074732"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.007505,0.008000,0.008902,0.010541,0.013522,0.018955,0.028876", \ - "0.008102,0.008608,0.009527,0.011192,0.014206,0.019674,0.029623", \ - "0.009349,0.009937,0.010976,0.012800,0.015977,0.021461,0.031431", \ - "0.009327,0.010136,0.011545,0.013927,0.017838,0.024183,0.034748", \ - "0.006666,0.007740,0.009614,0.012767,0.017888,0.025908,0.038254", \ - "0.000985,0.002324,0.004664,0.008597,0.015015,0.025037,0.040160", \ - "-0.007924,-0.006325,-0.003546,0.001158,0.008838,0.020902,0.039095"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.020152,0.021373,0.023603,0.027663,0.035052,0.048498,0.073021", \ - "0.020587,0.021811,0.024046,0.028118,0.035528,0.049006,0.073556", \ - "0.024132,0.025294,0.027433,0.031366,0.038594,0.051871,0.076237", \ - "0.032649,0.033810,0.035903,0.039558,0.046322,0.059062,0.082784", \ - "0.043832,0.045163,0.047551,0.051804,0.059258,0.072036,0.094786", \ - "0.057730,0.059217,0.061848,0.066550,0.074807,0.089079,0.113163", \ - "0.074659,0.076304,0.079254,0.084400,0.093383,0.108875,0.135228"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005175,0.005609,0.006393,0.007811,0.010380,0.015062,0.023623", \ - "0.005177,0.005610,0.006394,0.007811,0.010379,0.015063,0.023621", \ - "0.006322,0.006663,0.007300,0.008459,0.010712,0.015124,0.023622", \ - "0.009618,0.009898,0.010420,0.011398,0.013296,0.017046,0.024459", \ - "0.014463,0.014781,0.015354,0.016392,0.018277,0.021690,0.028250", \ - "0.020436,0.020809,0.021474,0.022695,0.024861,0.028539,0.034948", \ - "0.027439,0.027863,0.028638,0.030086,0.032621,0.036868,0.043844"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.016818,0.017957,0.020020,0.023771,0.030559,0.042906,0.065385", \ - "0.016788,0.017932,0.020004,0.023757,0.030559,0.042906,0.065392", \ - "0.016428,0.017636,0.019791,0.023653,0.030526,0.042899,0.065398", \ - "0.018075,0.019044,0.020837,0.024188,0.030505,0.042751,0.065392", \ - "0.021399,0.022496,0.024455,0.027946,0.033926,0.044559,0.065434", \ - "0.025644,0.026787,0.028830,0.032489,0.038978,0.050194,0.069303", \ - "0.031186,0.032384,0.034480,0.038257,0.044963,0.056753,0.076971"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.236790,1.240182,1.260580,1.273700,1.309403,1.336576,1.365354", \ - "1.224723,1.217232,1.238111,1.260108,1.297523,1.324733,1.355270", \ - "1.424299,1.414446,1.396312,1.399030,1.403816,1.410677,1.413439", \ - "2.374593,2.356601,2.304864,2.231804,2.123075,1.954792,1.819982", \ - "3.919459,3.905925,3.879284,3.811264,3.661725,3.388273,2.982326", \ - "5.980478,5.955686,5.991918,5.960296,5.868108,5.631023,5.104663", \ - "8.521194,8.602689,8.612507,8.675929,8.694938,8.564231,8.104078"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("5.645766,5.678211,5.710755,5.663493,5.713096,5.690770,5.710427", \ - "5.543600,5.573018,5.611937,5.603265,5.589614,5.656607,5.732822", \ - "5.534457,5.546580,5.523300,5.536366,5.571499,5.624279,5.520849", \ - "6.021481,6.020173,6.011473,5.973389,5.933308,5.787552,5.767639", \ - "7.345830,7.318335,7.302720,7.251425,7.106771,6.950548,6.593005", \ - "9.735875,9.648451,9.562253,9.396244,9.070428,8.798695,8.410845", \ - "13.086150,13.005900,12.822670,12.552290,12.083320,11.561760,11.005890"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.054467,1.083373,1.097239,1.136072,1.176769,1.212561,1.256703", \ - "1.032952,1.027408,1.056034,1.099233,1.138291,1.177758,1.217909", \ - "1.253673,1.245072,1.228814,1.224458,1.231198,1.243322,1.263728", \ - "2.164793,2.160871,2.122634,2.044659,1.937029,1.770876,1.633102", \ - "3.623723,3.611171,3.596525,3.533725,3.400268,3.141589,2.743013", \ - "5.549161,5.569741,5.582678,5.553691,5.478133,5.250755,4.742599", \ - "7.969514,8.036468,8.051549,8.106592,8.102332,7.989960,7.554318"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("5.167095,5.171059,5.185873,5.206433,5.224949,5.226377,5.193110", \ - "5.066895,5.082959,5.065443,5.077055,5.149211,5.153643,5.145025", \ - "5.014560,5.000862,5.049730,5.065453,5.080205,5.057662,5.117143", \ - "5.505414,5.482267,5.456883,5.401786,5.332944,5.336180,5.140199", \ - "6.709110,6.666250,6.678131,6.640351,6.429599,6.290338,6.001001", \ - "8.966972,8.890776,8.821501,8.614876,8.413175,8.150070,7.722164", \ - "12.232470,12.139510,11.940700,11.689670,11.286270,10.756760,10.227560"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.091612,1.110216,1.131375,1.166361,1.188893,1.219593,1.254434", \ - "1.053224,1.075245,1.096623,1.117965,1.156081,1.189725,1.230539", \ - "1.252749,1.251664,1.242135,1.241724,1.247724,1.253805,1.261135", \ - "2.192312,2.174743,2.124169,2.051634,1.933162,1.763181,1.638099", \ - "3.732345,3.698678,3.658001,3.570137,3.407107,3.142399,2.734677", \ - "5.772603,5.756868,5.747309,5.688287,5.566773,5.279653,4.745047", \ - "8.314514,8.354320,8.335942,8.318053,8.290228,8.089199,7.574671"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("6.256297,6.246495,6.264668,6.294788,6.282673,6.257770,6.277329", \ - "6.165577,6.156977,6.173230,6.209488,6.192706,6.277847,6.263786", \ - "6.100395,6.088307,6.140163,6.145588,6.122709,6.147097,6.238592", \ - "6.499837,6.478915,6.515039,6.459030,6.461541,6.370943,6.360412", \ - "7.692760,7.664226,7.656384,7.655363,7.512984,7.364866,7.115188", \ - "9.790031,9.780330,9.687110,9.551299,9.406311,9.077010,8.789690", \ - "12.946000,12.863640,12.734070,12.549520,12.199490,11.739690,11.271950"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.252386,1.248427,1.279334,1.286559,1.322844,1.349821,1.377834", \ - "1.266188,1.266846,1.289239,1.310509,1.338053,1.364351,1.395695", \ - "1.469689,1.452305,1.454320,1.450025,1.448000,1.462386,1.471345", \ - "2.287771,2.278079,2.253826,2.189529,2.099130,1.950383,1.831909", \ - "3.584168,3.610092,3.594967,3.528688,3.441939,3.211502,2.861855", \ - "5.344466,5.331532,5.373242,5.375188,5.320167,5.148665,4.717270", \ - "7.523615,7.573347,7.600694,7.685053,7.714928,7.656103,7.309190"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("5.642857,5.632433,5.645714,5.660303,5.710364,5.631028,5.657381", \ - "5.497933,5.540124,5.537790,5.538802,5.599612,5.563048,5.674605", \ - "5.486030,5.472358,5.462580,5.475438,5.515441,5.537987,5.428396", \ - "5.895678,5.869141,5.839861,5.810059,5.784960,5.694999,5.686728", \ - "7.113011,7.073929,7.029361,6.993827,6.838084,6.548224,6.304645", \ - "9.105230,9.026975,8.963186,8.789333,8.576976,8.325085,7.977182", \ - "12.090480,11.990360,11.829550,11.579450,11.267740,10.803040,10.287300"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.084280,1.090629,1.110966,1.140492,1.180726,1.225259,1.267685", \ - "1.074021,1.073930,1.095386,1.142195,1.179568,1.220663,1.264395", \ - "1.292988,1.293292,1.283147,1.267406,1.280215,1.292024,1.314078", \ - "2.117758,2.104058,2.074067,2.008644,1.923775,1.775580,1.652223", \ - "3.345311,3.347210,3.326779,3.285446,3.187960,2.989961,2.643144", \ - "4.968443,5.018854,5.026100,5.035707,4.981689,4.824488,4.417943", \ - "7.069473,7.099300,7.140866,7.202552,7.233912,7.171708,6.851196"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("5.124927,5.117879,5.157976,5.165580,5.198087,5.206038,5.208854", \ - "5.006705,5.029061,5.036340,5.068965,5.047048,5.132505,5.168441", \ - "4.971156,4.970037,4.989567,4.969330,4.968755,4.984283,5.072037", \ - "5.316624,5.320808,5.306810,5.253867,5.255689,5.204859,5.144401", \ - "6.425730,6.426019,6.409903,6.355803,6.208636,6.050244,5.888071", \ - "8.397289,8.356290,8.241801,8.097935,7.915467,7.707097,7.195661", \ - "11.263350,11.199310,11.031170,10.794510,10.430570,10.012810,9.343410"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.107597,1.134710,1.149582,1.172700,1.200800,1.237372,1.267257", \ - "1.104646,1.114373,1.139030,1.168550,1.196646,1.231440,1.271997", \ - "1.311424,1.301613,1.291718,1.294490,1.299745,1.308777,1.317484", \ - "2.137129,2.121479,2.083792,2.010030,1.916311,1.766128,1.653878", \ - "3.427243,3.426841,3.388668,3.339893,3.213468,2.986498,2.635532", \ - "5.176396,5.195279,5.184784,5.158316,5.070272,4.846454,4.412145", \ - "7.366511,7.405055,7.427559,7.426945,7.380918,7.270441,6.881166"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("6.195251,6.213369,6.221317,6.276646,6.291876,6.224698,6.225625", \ - "6.111810,6.149794,6.141429,6.172783,6.196765,6.153374,6.237553", \ - "6.048539,6.064742,6.086873,6.076410,6.139561,6.119028,6.210162", \ - "6.375847,6.383556,6.385672,6.374220,6.337493,6.251970,6.323259", \ - "7.449859,7.464273,7.443857,7.378019,7.207105,7.125328,6.934116", \ - "9.258782,9.232140,9.172629,9.086065,8.968872,8.753321,8.414591", \ - "12.050870,11.956060,11.843900,11.621820,11.396750,10.995030,10.582830"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.269008,1.292742,1.300558,1.316634,1.324179,1.355591,1.377577", \ - "1.299444,1.279716,1.308263,1.317623,1.341634,1.375965,1.399722", \ - "1.471383,1.469234,1.468383,1.461369,1.463687,1.467875,1.476690", \ - "2.314670,2.292103,2.260107,2.186063,2.089778,1.937675,1.835990", \ - "3.677586,3.665590,3.638002,3.562910,3.451015,3.209778,2.852603", \ - "5.500769,5.521640,5.507601,5.468786,5.394977,5.162412,4.710463", \ - "7.816667,7.826410,7.835480,7.862022,7.862201,7.733216,7.316640"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("6.728053,6.716426,6.764374,6.779785,6.726965,6.796574,6.744512", \ - "6.647320,6.633842,6.664794,6.671008,6.696758,6.748151,6.764243", \ - "6.568447,6.554936,6.600206,6.620527,6.636962,6.662732,6.731539", \ - "6.937585,6.915263,6.907593,6.902315,6.888922,6.761307,6.802877", \ - "8.102464,8.076086,8.071878,7.999681,7.875600,7.601364,7.374988", \ - "9.974304,9.909850,9.878962,9.784774,9.636479,9.421796,8.876316", \ - "12.832070,12.742450,12.652020,12.411530,12.155350,11.806680,11.308280"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.107597,1.134710,1.149582,1.172700,1.200800,1.237372,1.267257", \ - "1.104646,1.114373,1.139030,1.168550,1.196646,1.231440,1.271997", \ - "1.311424,1.301613,1.291718,1.294490,1.299745,1.308777,1.317484", \ - "2.137129,2.121479,2.083792,2.010030,1.916311,1.766128,1.653878", \ - "3.427243,3.426841,3.388668,3.339893,3.213468,2.986498,2.635532", \ - "5.176396,5.195279,5.184784,5.158316,5.070272,4.846454,4.412145", \ - "7.366511,7.405055,7.427559,7.426945,7.380918,7.270441,6.881166"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("6.195251,6.213369,6.221317,6.276646,6.291876,6.224698,6.225625", \ - "6.111810,6.149794,6.141429,6.172783,6.196765,6.153374,6.237553", \ - "6.048539,6.064742,6.086873,6.076410,6.139561,6.119028,6.210162", \ - "6.375847,6.383556,6.385672,6.374220,6.337493,6.251970,6.323259", \ - "7.449859,7.464273,7.443857,7.378019,7.207105,7.125328,6.934116", \ - "9.258782,9.232140,9.172629,9.086065,8.968872,8.753321,8.414591", \ - "12.050870,11.956060,11.843900,11.621820,11.396750,10.995030,10.582830"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.159710,1.160805,1.180143,1.190228,1.221617,1.242590,1.271116", \ - "1.146867,1.155915,1.174374,1.188099,1.208124,1.239047,1.269544", \ - "1.316842,1.313111,1.314533,1.302318,1.315634,1.322789,1.322679", \ - "2.136134,2.124119,2.084461,2.001047,1.909519,1.760251,1.660598", \ - "3.516640,3.478160,3.440955,3.351853,3.227353,2.980431,2.626842", \ - "5.303393,5.330492,5.298156,5.234557,5.120392,4.860871,4.413330", \ - "7.632052,7.616771,7.602200,7.590490,7.509766,7.325614,6.896875"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("7.332427,7.310049,7.359548,7.379241,7.391731,7.366815,7.286233", \ - "7.211069,7.230218,7.240250,7.285649,7.342064,7.309596,7.443907", \ - "7.140274,7.157393,7.163984,7.201005,7.208734,7.154550,7.355614", \ - "7.439193,7.449371,7.442796,7.451092,7.414449,7.297027,7.250023", \ - "8.496063,8.495292,8.471342,8.410508,8.279085,8.157785,8.091215", \ - "10.197470,10.182110,10.136040,10.097350,9.993529,9.728000,9.417572", \ - "12.864460,12.778760,12.703530,12.527740,12.347850,12.054850,11.559770"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("2.046636,2.064699,2.106133,2.140696,2.196479,2.266417,2.343951", \ - "1.979634,1.981515,2.016148,2.062887,2.122879,2.193516,2.271480", \ - "2.010615,2.001161,2.030574,2.078602,2.126701,2.176808,2.245105", \ - "2.592121,2.580294,2.557725,2.525267,2.498109,2.494665,2.472384", \ - "4.002428,3.973726,3.926298,3.827426,3.686031,3.448526,3.225315", \ - "6.093794,6.072612,6.026066,5.939413,5.732143,5.365160,4.820887", \ - "8.781258,8.771679,8.765143,8.735164,8.540849,8.168323,7.441977"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("7.141329,7.132141,7.128357,7.181379,7.190825,7.216487,7.221651", \ - "7.024086,7.018584,7.053570,7.021800,7.084849,7.161772,7.203541", \ - "6.848164,6.890074,6.887996,6.898134,6.938549,6.974392,6.963613", \ - "6.967798,6.951717,6.939904,6.915793,6.913199,6.989320,6.954819", \ - "7.704076,7.700277,7.669577,7.653647,7.513558,7.434554,7.387759", \ - "9.579280,9.519280,9.431326,9.256906,8.981082,8.858315,8.323771", \ - "12.537490,12.463290,12.293140,12.074440,11.669420,11.184290,10.563390"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.799060,1.817737,1.867659,1.928661,2.012131,2.104236,2.194910", \ - "1.731247,1.749334,1.787430,1.833326,1.933436,2.028062,2.119461", \ - "1.720871,1.770752,1.798745,1.826151,1.903347,1.997155,2.084566", \ - "2.367942,2.344812,2.327027,2.292563,2.274342,2.271513,2.283531", \ - "3.708972,3.699006,3.659325,3.568104,3.419224,3.207225,2.978725", \ - "5.744331,5.722257,5.685749,5.597045,5.405328,5.043734,4.512938", \ - "8.298575,8.273177,8.286692,8.210764,8.079215,7.722894,7.012327"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("6.588981,6.633162,6.647882,6.639197,6.622261,6.598113,6.705563", \ - "6.479942,6.496256,6.522325,6.547434,6.606251,6.565166,6.690200", \ - "6.353624,6.372447,6.384773,6.426077,6.426698,6.525241,6.576890", \ - "6.430024,6.443833,6.445230,6.408575,6.459701,6.358131,6.432642", \ - "7.078236,7.096616,7.111550,7.105769,6.945747,6.853956,6.674539", \ - "8.871764,8.847861,8.760747,8.569128,8.474730,8.085582,7.906193", \ - "11.764640,11.680130,11.539570,11.328640,10.989030,10.443170,9.935136"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.893444,1.891687,1.929948,1.984564,2.031751,2.117454,2.213604", \ - "1.807054,1.821254,1.851590,1.900663,1.953984,2.040642,2.133517", \ - "1.829181,1.837682,1.859646,1.896567,1.941200,2.013367,2.092977", \ - "2.402670,2.384370,2.350928,2.315926,2.296863,2.292342,2.289391", \ - "3.787955,3.744711,3.688284,3.590603,3.442925,3.211132,2.988214", \ - "5.870231,5.829658,5.770437,5.655877,5.421727,5.043186,4.503414", \ - "8.530579,8.539822,8.498826,8.370467,8.164419,7.769501,7.011986"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("7.696735,7.705638,7.714279,7.732916,7.731815,7.713403,7.771805", \ - "7.589134,7.610553,7.624285,7.670240,7.730341,7.707148,7.785116", \ - "7.460895,7.480455,7.492110,7.497180,7.571945,7.627204,7.520824", \ - "7.520287,7.497127,7.538793,7.547641,7.501053,7.549381,7.507050", \ - "8.153065,8.127491,8.158517,8.128022,7.993271,7.924276,7.851926", \ - "9.771740,9.708151,9.661029,9.545060,9.473533,9.182448,8.894071", \ - "12.565970,12.507500,12.357300,12.166760,11.772680,11.458100,10.963810"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("2.057970,2.080042,2.091340,2.131585,2.203333,2.267641,2.340788", \ - "1.985743,2.002601,2.019634,2.072943,2.127968,2.197262,2.273131", \ - "1.924260,1.933885,1.980028,2.025810,2.081442,2.145742,2.219541", \ - "2.349761,2.327134,2.330482,2.302357,2.303529,2.325291,2.353662", \ - "3.466613,3.454076,3.422934,3.360533,3.253924,3.099285,2.912602", \ - "5.171033,5.120708,5.117823,5.081626,4.962441,4.705022,4.276797", \ - "7.340934,7.344879,7.359244,7.353487,7.263729,7.044727,6.501437"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("8.055405,8.093332,8.085982,8.081899,8.047344,8.032112,8.158795", \ - "7.996899,8.020136,8.022141,8.060636,8.026872,8.100675,7.965963", \ - "7.928375,7.944991,7.960971,7.947473,7.983172,8.036483,7.962558", \ - "8.021515,8.006655,8.004614,8.019056,7.917329,7.956642,8.030175", \ - "8.774946,8.761904,8.786794,8.707052,8.645895,8.538344,8.466719", \ - "10.577390,10.527860,10.514600,10.372040,10.156740,10.013470,9.658412", \ - "13.535280,13.471640,13.373440,13.215930,12.872460,12.473650,11.939660"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.800489,1.819055,1.867164,1.923905,1.992755,2.094325,2.196067", \ - "1.698858,1.752122,1.790010,1.839221,1.931872,2.029707,2.117968", \ - "1.643725,1.702020,1.743434,1.795957,1.874312,1.966011,2.059060", \ - "2.100048,2.092715,2.092539,2.082950,2.080131,2.120218,2.174056", \ - "3.167434,3.180377,3.156312,3.096773,3.000250,2.853819,2.686064", \ - "4.816631,4.814710,4.800732,4.737350,4.622927,4.395344,3.981309", \ - "6.887908,6.898244,6.889543,6.905020,6.837963,6.629267,6.105428"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("7.561597,7.576419,7.579967,7.597370,7.584544,7.608424,7.600507", \ - "7.488564,7.505396,7.511744,7.541670,7.546505,7.558453,7.606349", \ - "7.417952,7.434298,7.440457,7.464439,7.458935,7.471319,7.486960", \ - "7.493135,7.466847,7.460510,7.487483,7.515427,7.421995,7.466216", \ - "8.166418,8.194352,8.204713,8.159549,8.010839,7.866449,7.835736", \ - "9.880170,9.868219,9.826867,9.743950,9.615336,9.297769,8.964846", \ - "12.726770,12.653110,12.580490,12.428670,12.174630,11.784990,11.128360"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.883334,1.892730,1.923776,1.978422,2.031133,2.119191,2.209320", \ - "1.809413,1.823514,1.855236,1.888527,1.964252,2.041489,2.132812", \ - "1.767063,1.778950,1.805583,1.854973,1.903966,1.992051,2.072955", \ - "2.136485,2.120469,2.130785,2.109341,2.123949,2.139345,2.180979", \ - "3.251373,3.242736,3.195425,3.134680,3.027936,2.870967,2.703730", \ - "4.934832,4.928079,4.905200,4.824459,4.680902,4.399897,3.990734", \ - "7.130403,7.097246,7.110042,7.008811,6.942715,6.675836,6.112695"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("8.651884,8.667807,8.672905,8.649239,8.686278,8.732237,8.667161", \ - "8.585520,8.605091,8.609814,8.634951,8.631927,8.689550,8.674366", \ - "8.515287,8.532500,8.539989,8.568694,8.611804,8.624550,8.674398", \ - "8.580776,8.567103,8.587555,8.595976,8.600001,8.524553,8.656660", \ - "9.263123,9.258112,9.215079,9.174239,9.131537,9.028460,9.020515", \ - "10.813780,10.809300,10.778180,10.735880,10.673910,10.309090,9.977330", \ - "13.607640,13.538000,13.472050,13.357630,13.068610,12.819340,12.124190"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.331397,0.326162,0.333980,0.342802,0.361832,0.371708,0.379492", \ - "0.333538,0.344807,0.347528,0.365623,0.386185,0.411289,0.431279", \ - "0.577206,0.556722,0.537970,0.522651,0.506746,0.505026,0.501856", \ - "1.435011,1.411838,1.341946,1.232237,1.063885,0.902046,0.798812", \ - "2.758878,2.746483,2.688175,2.597402,2.388026,2.014356,1.584048", \ - "4.528002,4.519574,4.509236,4.467934,4.276077,3.934731,3.231095", \ - "6.709020,6.773874,6.790745,6.806813,6.730125,6.458595,5.750198"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.687392,3.736831,3.739112,3.772273,3.789750,3.758943,3.744034", \ - "3.627294,3.675358,3.678500,3.713021,3.723841,3.758265,3.780074", \ - "3.918640,3.921220,3.904829,3.874534,3.883065,3.865389,3.870820", \ - "4.838346,4.799598,4.747352,4.700257,4.509399,4.422556,4.197518", \ - "6.310238,6.260973,6.208055,6.110582,5.963615,5.608719,5.409687", \ - "8.687781,8.651555,8.510173,8.325341,8.113758,7.717732,7.210102", \ - "11.961190,11.867020,11.762640,11.556620,11.142560,10.601580,9.974739"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.360441,0.353161,0.360837,0.355242,0.364262,0.373854,0.381490", \ - "0.367764,0.371278,0.371095,0.381538,0.399968,0.415609,0.433460", \ - "0.607428,0.594454,0.576424,0.553913,0.539268,0.525874,0.527456", \ - "1.382235,1.353578,1.304332,1.208059,1.057734,0.907846,0.806123", \ - "2.549306,2.539332,2.494177,2.422626,2.245900,1.923139,1.528902", \ - "4.093848,4.102397,4.094641,4.066505,3.927129,3.640958,3.027888", \ - "6.025791,6.088338,6.105311,6.141743,6.096227,5.867573,5.311094"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.684647,3.705803,3.720507,3.725630,3.737126,3.810310,3.746154", \ - "3.579103,3.599552,3.611640,3.666578,3.623980,3.704130,3.714131", \ - "3.826590,3.831945,3.820991,3.818289,3.741611,3.813062,3.730202", \ - "4.707065,4.649937,4.624726,4.567825,4.459775,4.360558,4.224288", \ - "6.089070,6.062862,6.043203,5.951214,5.752203,5.475240,5.167730", \ - "8.218279,8.161221,8.085460,7.889882,7.737977,7.406149,6.806551", \ - "11.264040,11.168730,11.050110,10.810990,10.364110,10.021420,9.380748"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.340389,0.343298,0.345868,0.349008,0.361900,0.371895,0.379115", \ - "0.355257,0.357413,0.365548,0.375035,0.399943,0.418232,0.435644", \ - "0.584705,0.579597,0.561007,0.548563,0.537579,0.525961,0.525394", \ - "1.368761,1.337911,1.274274,1.178286,1.030507,0.908010,0.805889", \ - "2.618203,2.593254,2.527200,2.435564,2.225379,1.901327,1.520596", \ - "4.285769,4.259795,4.233374,4.138012,3.994137,3.638015,3.006371", \ - "6.324867,6.318961,6.329430,6.275818,6.211336,5.915731,5.288945"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.782928,4.802618,4.813397,4.844427,4.845714,4.792108,4.924577", \ - "4.730319,4.717791,4.762181,4.794431,4.765514,4.776195,4.909838", \ - "4.885549,4.895374,4.890991,4.911745,4.847007,4.837558,4.883755", \ - "5.658433,5.654418,5.623771,5.582650,5.490418,5.419826,5.258713", \ - "7.031453,7.044123,7.030896,6.904473,6.722979,6.526551,6.180531", \ - "9.069252,9.022866,8.968834,8.854729,8.699826,8.412365,7.949710", \ - "12.001630,11.949820,11.853580,11.645470,11.359200,10.975710,10.380160"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.333973,0.340525,0.342068,0.348494,0.365454,0.373674,0.381879", \ - "0.287321,0.295480,0.317656,0.337808,0.372068,0.401848,0.423042", \ - "0.398291,0.388186,0.380454,0.382999,0.399421,0.423341,0.444672", \ - "1.091221,1.057357,1.025460,0.947591,0.822338,0.693790,0.634119", \ - "2.158599,2.146506,2.112750,2.062358,1.925172,1.642737,1.268472", \ - "3.572769,3.527053,3.547698,3.534757,3.492188,3.250660,2.719036", \ - "5.331524,5.348536,5.333467,5.405810,5.427328,5.276498,4.848145"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.673145,4.661127,4.713371,4.701722,4.749198,4.717933,4.740379", \ - "4.619780,4.609085,4.605688,4.616029,4.683098,4.673288,4.739503", \ - "4.896445,4.874087,4.895176,4.872661,4.803393,4.739033,4.831714", \ - "5.864157,5.828106,5.781761,5.712019,5.606189,5.420993,5.210953", \ - "7.371406,7.343078,7.349243,7.306745,7.060625,6.837994,6.490002", \ - "9.738056,9.693217,9.629977,9.509914,9.270753,8.903419,8.478164", \ - "12.958870,12.948920,12.889280,12.684690,12.440860,11.970090,11.291570"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.358429,0.360950,0.359363,0.358885,0.368098,0.369911,0.378771", \ - "0.319236,0.330253,0.335052,0.357743,0.377948,0.406502,0.430849", \ - "0.437667,0.428183,0.421381,0.419986,0.430171,0.449654,0.471688", \ - "1.041229,1.031687,1.007811,0.938036,0.835079,0.716332,0.658667", \ - "1.960874,1.973770,1.948389,1.920179,1.820993,1.578707,1.243590", \ - "3.168143,3.215787,3.191485,3.212919,3.188185,3.012394,2.557447", \ - "4.705775,4.747863,4.778166,4.783281,4.879133,4.803007,4.468963"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.630875,4.646871,4.651701,4.689526,4.694932,4.632745,4.541757", \ - "4.578030,4.594844,4.606382,4.623022,4.643712,4.566875,4.683953", \ - "4.860117,4.846925,4.836361,4.803153,4.780946,4.714429,4.770510", \ - "5.734201,5.680787,5.646073,5.568712,5.514366,5.294694,5.243037", \ - "7.183214,7.172313,7.147466,7.052650,6.873046,6.596565,6.283472", \ - "9.278990,9.238301,9.192579,9.067786,8.958902,8.576736,8.011381", \ - "12.233760,12.178670,12.103080,11.975950,11.729640,11.354930,10.681020"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.342726,0.348285,0.348591,0.355202,0.367360,0.370313,0.379035", \ - "0.307994,0.320186,0.330306,0.353940,0.374760,0.405319,0.427306", \ - "0.417686,0.411409,0.410342,0.414118,0.425806,0.446018,0.470221", \ - "1.056425,1.029836,0.986586,0.919320,0.811682,0.712603,0.658168", \ - "2.069129,2.037238,2.008466,1.955059,1.812425,1.559950,1.233661", \ - "3.339166,3.344077,3.351712,3.322266,3.237115,3.008354,2.542485", \ - "4.977145,4.962115,5.008425,5.014508,4.997055,4.884440,4.464288"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("5.718247,5.734624,5.755894,5.775748,5.771036,5.772398,5.874769", \ - "5.671488,5.688594,5.695917,5.693147,5.737026,5.731622,5.635034", \ - "5.900773,5.908376,5.902969,5.895052,5.863040,5.884589,5.758537", \ - "6.696109,6.693589,6.668222,6.643389,6.562199,6.396171,6.294792", \ - "8.197695,8.157590,8.112230,7.989856,7.832017,7.675232,7.221457", \ - "10.176710,10.165670,10.113500,10.067890,9.901809,9.525266,8.973715", \ - "13.109560,13.077070,13.013150,12.855150,12.667250,12.271430,11.605650"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI221_X2 - Cell Description : Combinational cell (AOI221_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI221_X2) { - - drive_strength : 2; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 247.443679; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 116.363125; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 252.689125; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 121.345130; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 272.616875; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 252.688750; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 388.972875; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 257.665759; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 352.237875; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 121.344627; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 257.666127; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 126.330259; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 352.169750; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 185.688625; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 265.702000; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 265.662625; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 322.223140; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 97.627875; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 176.993250; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 176.980500; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 242.470825; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 177.326875; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 256.692500; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 256.679750; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 322.171479; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 177.315125; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 256.680625; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 256.655125; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 322.157104; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 241.534694; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 321.970701; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 321.955578; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 401.619060; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.599291; - fall_capacitance : 3.212794; - rise_capacitance : 3.599291; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.338441; - fall_capacitance : 3.338441; - rise_capacitance : 3.249914; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.204176; - fall_capacitance : 3.083020; - rise_capacitance : 3.204176; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.218080; - fall_capacitance : 2.689192; - rise_capacitance : 3.218080; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.626324; - fall_capacitance : 2.907306; - rise_capacitance : 3.626324; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 27.618400; - function : "!(((C1 & C2) | A) | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006068,0.006344,0.006819,0.007749,0.009559,0.013081,0.019977", \ - "0.006840,0.007115,0.007589,0.008517,0.010327,0.013849,0.020746", \ - "0.009031,0.009391,0.009998,0.011141,0.013219,0.016830,0.023638", \ - "0.009375,0.009876,0.010726,0.012337,0.015268,0.020402,0.029016", \ - "0.007241,0.007890,0.008990,0.011062,0.014853,0.021495,0.032609", \ - "0.002414,0.003202,0.004536,0.007057,0.011676,0.019822,0.033482", \ - "-0.005262,-0.004345,-0.002786,0.000155,0.005569,0.015146,0.031317"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.014388,0.015081,0.016275,0.018637,0.023308,0.032551,0.050919", \ - "0.015045,0.015737,0.016937,0.019316,0.024022,0.033324,0.051763", \ - "0.018116,0.018811,0.019999,0.022347,0.027001,0.036265,0.054711", \ - "0.023006,0.023879,0.025347,0.028161,0.033405,0.042864,0.061032", \ - "0.030842,0.031823,0.033470,0.036623,0.042530,0.053330,0.072605", \ - "0.041316,0.042447,0.044325,0.047894,0.054493,0.066439,0.087781", \ - "0.054074,0.055366,0.057515,0.061581,0.069033,0.082287,0.105603"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.003852,0.004068,0.004441,0.005184,0.006663,0.009609,0.015488", \ - "0.003819,0.004043,0.004425,0.005177,0.006662,0.009609,0.015489", \ - "0.005758,0.005939,0.006242,0.006813,0.007855,0.010101,0.015492", \ - "0.009448,0.009695,0.010108,0.010879,0.012286,0.014776,0.019036", \ - "0.014367,0.014689,0.015223,0.016228,0.018017,0.021111,0.026325", \ - "0.020540,0.020966,0.021637,0.022911,0.025162,0.028955,0.035156", \ - "0.028058,0.028577,0.029408,0.030976,0.033750,0.038359,0.045686"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.008473,0.009077,0.010121,0.012207,0.016380,0.024702,0.041292", \ - "0.008480,0.009082,0.010121,0.012208,0.016378,0.024699,0.041292", \ - "0.008945,0.009456,0.010369,0.012313,0.016400,0.024706,0.041305", \ - "0.012195,0.012679,0.013534,0.015226,0.018427,0.025438,0.041313", \ - "0.016012,0.016488,0.017331,0.019079,0.022623,0.029584,0.043263", \ - "0.021155,0.021590,0.022384,0.024049,0.027526,0.034726,0.048866", \ - "0.027673,0.028088,0.028861,0.030486,0.033879,0.040984,0.055552"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.005129,0.005421,0.005919,0.006885,0.008745,0.012321,0.019261", \ - "0.005930,0.006212,0.006696,0.007647,0.009495,0.013064,0.020001", \ - "0.007788,0.008182,0.008842,0.010074,0.012283,0.016048,0.022871", \ - "0.007471,0.008029,0.008959,0.010704,0.013838,0.019220,0.028082", \ - "0.004536,0.005250,0.006443,0.008689,0.012744,0.019741,0.031248", \ - "-0.001203,-0.000345,0.001102,0.003805,0.008725,0.017306,0.031496", \ - "-0.009893,-0.008892,-0.007223,-0.004088,0.001644,0.011701,0.028507"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.016084,0.016925,0.018376,0.021246,0.026925,0.038184,0.060582", \ - "0.016674,0.017518,0.018975,0.021864,0.027587,0.038918,0.061402", \ - "0.019443,0.020278,0.021712,0.024558,0.030215,0.041495,0.063988", \ - "0.023902,0.024875,0.026530,0.029726,0.035790,0.047010,0.069168", \ - "0.031628,0.032689,0.034475,0.037915,0.044435,0.056642,0.079157", \ - "0.042292,0.043491,0.045498,0.049316,0.056412,0.069452,0.093475", \ - "0.055409,0.056782,0.059056,0.063370,0.071277,0.085446,0.110937"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.003541,0.003760,0.004136,0.004881,0.006352,0.009275,0.015122", \ - "0.003447,0.003662,0.004056,0.004832,0.006332,0.009271,0.015122", \ - "0.005720,0.005894,0.006188,0.006753,0.007781,0.009886,0.015137", \ - "0.009540,0.009782,0.010178,0.010931,0.012299,0.014728,0.018934", \ - "0.014677,0.015002,0.015523,0.016494,0.018228,0.021221,0.026342", \ - "0.021221,0.021633,0.022295,0.023522,0.025693,0.029345,0.035372", \ - "0.029242,0.029751,0.030564,0.032080,0.034744,0.039156,0.046232"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.008962,0.009706,0.010990,0.013567,0.018725,0.029035,0.049569", \ - "0.008970,0.009710,0.010998,0.013571,0.018728,0.029031,0.049582", \ - "0.009322,0.009978,0.011175,0.013650,0.018745,0.029040,0.049583", \ - "0.011909,0.012562,0.013700,0.015946,0.020221,0.029465,0.049574", \ - "0.015199,0.015794,0.016873,0.019085,0.023613,0.032622,0.050755", \ - "0.019979,0.020528,0.021519,0.023580,0.027877,0.036873,0.054948", \ - "0.026204,0.026735,0.027694,0.029682,0.033813,0.042429,0.060508"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.005267,0.005560,0.006057,0.007024,0.008888,0.012477,0.019449", \ - "0.006063,0.006346,0.006831,0.007785,0.009637,0.013220,0.020189", \ - "0.008020,0.008409,0.009059,0.010275,0.012463,0.016203,0.023058", \ - "0.007921,0.008463,0.009371,0.011080,0.014172,0.019510,0.028337", \ - "0.005358,0.006036,0.007186,0.009361,0.013330,0.020237,0.031670", \ - "0.000202,0.001003,0.002361,0.004942,0.009708,0.018114,0.032157", \ - "-0.007649,-0.006730,-0.005199,-0.002277,0.003185,0.012953,0.029503"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.019590,0.020430,0.021879,0.024753,0.030442,0.041715,0.064124", \ - "0.020223,0.021067,0.022523,0.025413,0.031139,0.042472,0.064951", \ - "0.022956,0.023786,0.025218,0.028072,0.033750,0.045051,0.067549", \ - "0.027970,0.028900,0.030477,0.033549,0.039408,0.050498,0.072697", \ - "0.036030,0.037035,0.038730,0.042028,0.048365,0.060346,0.082604", \ - "0.047234,0.048351,0.050218,0.053813,0.060628,0.073389,0.097136", \ - "0.061044,0.062302,0.064394,0.068405,0.075909,0.089657,0.114801"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004420,0.004643,0.005026,0.005780,0.007259,0.010179,0.016018", \ - "0.004314,0.004537,0.004943,0.005730,0.007238,0.010175,0.016018", \ - "0.006880,0.007022,0.007263,0.007744,0.008658,0.010774,0.016031", \ - "0.011397,0.011580,0.011876,0.012478,0.013639,0.015837,0.019823", \ - "0.017311,0.017545,0.017927,0.018673,0.020097,0.022735,0.027523", \ - "0.024717,0.024999,0.025476,0.026410,0.028165,0.031333,0.036892", \ - "0.033670,0.033997,0.034590,0.035739,0.037882,0.041668,0.048132"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011051,0.011804,0.013105,0.015713,0.020895,0.031227,0.051809", \ - "0.011055,0.011808,0.013107,0.015712,0.020895,0.031223,0.051818", \ - "0.011169,0.011896,0.013171,0.015739,0.020899,0.031222,0.051815", \ - "0.013495,0.014167,0.015312,0.017472,0.021933,0.031464,0.051810", \ - "0.016488,0.017161,0.018321,0.020649,0.025295,0.034282,0.052735", \ - "0.020879,0.021514,0.022613,0.024843,0.029383,0.038588,0.056688", \ - "0.026762,0.027396,0.028476,0.030657,0.035042,0.043983,0.062302"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006083,0.006360,0.006835,0.007765,0.009575,0.013096,0.019992", \ - "0.006889,0.007164,0.007638,0.008567,0.010376,0.013898,0.020794", \ - "0.009093,0.009451,0.010057,0.011200,0.013278,0.016887,0.023699", \ - "0.009213,0.009723,0.010587,0.012220,0.015189,0.020371,0.029025", \ - "0.006474,0.007143,0.008275,0.010404,0.014290,0.021077,0.032367", \ - "0.000616,0.001434,0.002815,0.005429,0.010212,0.018608,0.032624", \ - "-0.008569,-0.007612,-0.005980,-0.002913,0.002722,0.012670,0.029393"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.017671,0.018546,0.020050,0.023028,0.028911,0.040547,0.063655", \ - "0.018131,0.019006,0.020518,0.023517,0.029448,0.041159,0.064355", \ - "0.020910,0.021774,0.023259,0.026214,0.032084,0.043755,0.066974", \ - "0.026150,0.027171,0.028901,0.032220,0.038443,0.049927,0.072831", \ - "0.034778,0.035908,0.037808,0.041470,0.048381,0.061122,0.084139", \ - "0.046656,0.047919,0.050034,0.054079,0.061650,0.075562,0.100708", \ - "0.061333,0.062761,0.065137,0.069659,0.078029,0.093180,0.120369"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.003853,0.004069,0.004441,0.005185,0.006663,0.009609,0.015488", \ - "0.003822,0.004045,0.004426,0.005178,0.006662,0.009609,0.015488", \ - "0.005731,0.005912,0.006218,0.006788,0.007830,0.010086,0.015492", \ - "0.009426,0.009674,0.010091,0.010870,0.012289,0.014787,0.019033", \ - "0.014337,0.014671,0.015215,0.016232,0.018061,0.021176,0.026414", \ - "0.020529,0.020960,0.021649,0.022942,0.025237,0.029079,0.035340", \ - "0.028082,0.028612,0.029457,0.031053,0.033866,0.038532,0.045974"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011224,0.011975,0.013282,0.015895,0.021114,0.031520,0.052286", \ - "0.011230,0.011981,0.013282,0.015893,0.021112,0.031519,0.052302", \ - "0.011395,0.012103,0.013362,0.015929,0.021119,0.031524,0.052288", \ - "0.014482,0.015108,0.016177,0.018164,0.022397,0.031780,0.052272", \ - "0.018123,0.018730,0.019811,0.022027,0.026475,0.035028,0.053166", \ - "0.023101,0.023649,0.024645,0.026738,0.031141,0.040171,0.057596", \ - "0.029603,0.030101,0.031039,0.033009,0.037205,0.046100,0.064255"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.005144,0.005436,0.005934,0.006901,0.008761,0.012336,0.019275", \ - "0.005977,0.006259,0.006744,0.007696,0.009544,0.013113,0.020049", \ - "0.007853,0.008248,0.008910,0.010139,0.012348,0.016106,0.022933", \ - "0.007337,0.007901,0.008845,0.010607,0.013777,0.019202,0.028099", \ - "0.003848,0.004577,0.005801,0.008100,0.012245,0.019373,0.031047", \ - "-0.002870,-0.001973,-0.000483,0.002315,0.007395,0.016214,0.030735", \ - "-0.012979,-0.011937,-0.010196,-0.006928,-0.000966,0.009459,0.026783"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.019374,0.020393,0.022149,0.025623,0.032484,0.046071,0.073081", \ - "0.019774,0.020798,0.022560,0.026059,0.032972,0.046646,0.073758", \ - "0.022320,0.023328,0.025061,0.028509,0.035353,0.048978,0.076115", \ - "0.026995,0.028132,0.030065,0.033812,0.040880,0.054251,0.081036", \ - "0.035363,0.036577,0.038626,0.042601,0.050183,0.064452,0.090980", \ - "0.047303,0.048641,0.050889,0.055191,0.063287,0.078376,0.106397", \ - "0.062199,0.063706,0.066213,0.070985,0.079823,0.095935,0.125444"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.003544,0.003762,0.004139,0.004882,0.006353,0.009275,0.015122", \ - "0.003449,0.003665,0.004061,0.004836,0.006334,0.009271,0.015122", \ - "0.005685,0.005861,0.006159,0.006724,0.007755,0.009868,0.015134", \ - "0.009466,0.009713,0.010124,0.010889,0.012274,0.014720,0.018924", \ - "0.014550,0.014876,0.015412,0.016413,0.018187,0.021239,0.026394", \ - "0.021033,0.021445,0.022121,0.023385,0.025606,0.029344,0.035482", \ - "0.028987,0.029515,0.030340,0.031892,0.034611,0.039119,0.046356"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011260,0.012152,0.013701,0.016798,0.022998,0.035349,0.059983", \ - "0.011273,0.012162,0.013707,0.016802,0.023002,0.035368,0.059986", \ - "0.011450,0.012307,0.013806,0.016852,0.023014,0.035367,0.059984", \ - "0.013996,0.014798,0.016153,0.018704,0.024031,0.035505,0.060009", \ - "0.017058,0.017797,0.019134,0.021853,0.027367,0.038029,0.060562", \ - "0.021640,0.022305,0.023512,0.026030,0.031309,0.042256,0.063823", \ - "0.027820,0.028438,0.029566,0.031916,0.036877,0.047397,0.069295"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.005283,0.005575,0.006073,0.007040,0.008904,0.012492,0.019463", \ - "0.006110,0.006393,0.006879,0.007833,0.009686,0.013269,0.020237", \ - "0.008086,0.008474,0.009124,0.010340,0.012526,0.016261,0.023121", \ - "0.007786,0.008334,0.009255,0.010986,0.014112,0.019493,0.028355", \ - "0.004665,0.005361,0.006544,0.008772,0.012833,0.019874,0.031469", \ - "-0.001466,-0.000631,0.000781,0.003452,0.008381,0.017032,0.031402", \ - "-0.010711,-0.009772,-0.008169,-0.005110,0.000584,0.010721,0.027785"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.023668,0.024682,0.026432,0.029899,0.036763,0.050359,0.077373", \ - "0.024120,0.025138,0.026896,0.030384,0.037292,0.050957,0.078064", \ - "0.026610,0.027614,0.029346,0.032795,0.039656,0.053296,0.080432", \ - "0.031794,0.032879,0.034727,0.038328,0.045113,0.058498,0.085316", \ - "0.040457,0.041616,0.043577,0.047408,0.054795,0.068811,0.095167", \ - "0.052874,0.054130,0.056245,0.060337,0.068169,0.082979,0.110691", \ - "0.068429,0.069824,0.072152,0.076625,0.085075,0.100794,0.129959"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004423,0.004646,0.005028,0.005782,0.007260,0.010179,0.016017", \ - "0.004316,0.004543,0.004950,0.005734,0.007240,0.010175,0.016018", \ - "0.006843,0.006985,0.007231,0.007712,0.008630,0.010757,0.016028", \ - "0.011333,0.011516,0.011823,0.012437,0.013620,0.015831,0.019813", \ - "0.017198,0.017429,0.017825,0.018601,0.020063,0.022762,0.027585", \ - "0.024563,0.024846,0.025341,0.026298,0.028101,0.031349,0.037016", \ - "0.033461,0.033814,0.034416,0.035598,0.037788,0.041659,0.048272"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.013790,0.014693,0.016261,0.019393,0.025627,0.038030,0.062722", \ - "0.013791,0.014697,0.016264,0.019396,0.025631,0.038033,0.062721", \ - "0.013858,0.014754,0.016302,0.019414,0.025633,0.038026,0.062708", \ - "0.015925,0.016682,0.017991,0.020673,0.026259,0.038086,0.062715", \ - "0.018800,0.019625,0.021037,0.023866,0.029465,0.040183,0.063086", \ - "0.022921,0.023687,0.025029,0.027744,0.033277,0.044410,0.066079", \ - "0.028670,0.029401,0.030671,0.033260,0.038541,0.049421,0.071518"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006227,0.006505,0.006979,0.007910,0.009725,0.013262,0.020191", \ - "0.007032,0.007308,0.007781,0.008712,0.010527,0.014064,0.020993", \ - "0.009312,0.009667,0.010265,0.011395,0.013458,0.017052,0.023897", \ - "0.009624,0.010126,0.010974,0.012579,0.015517,0.020663,0.029285", \ - "0.007206,0.007853,0.008950,0.011029,0.014853,0.021567,0.032792", \ - "0.001832,0.002614,0.003938,0.006463,0.011128,0.019389,0.033274", \ - "-0.006643,-0.005747,-0.004213,-0.001293,0.004146,0.013866,0.030360"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.021374,0.022246,0.023745,0.026722,0.032611,0.044265,0.067406", \ - "0.021877,0.022750,0.024259,0.027254,0.033181,0.044898,0.068116", \ - "0.024603,0.025465,0.026952,0.029915,0.035802,0.047495,0.070743", \ - "0.030456,0.031416,0.033046,0.036204,0.042126,0.053599,0.076565", \ - "0.039523,0.040589,0.042388,0.045889,0.052565,0.064996,0.087782", \ - "0.051933,0.053113,0.055098,0.058931,0.066219,0.079797,0.104566", \ - "0.067273,0.068597,0.070802,0.075044,0.083034,0.097765,0.124542"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004729,0.004948,0.005329,0.006082,0.007569,0.010514,0.016387", \ - "0.004699,0.004926,0.005314,0.006076,0.007568,0.010514,0.016387", \ - "0.006808,0.006965,0.007226,0.007735,0.008709,0.010979,0.016391", \ - "0.011140,0.011333,0.011675,0.012332,0.013578,0.015871,0.019909", \ - "0.016764,0.017025,0.017456,0.018287,0.019856,0.022667,0.027587", \ - "0.023777,0.024096,0.024635,0.025677,0.027609,0.031024,0.036851", \ - "0.032227,0.032612,0.033271,0.034546,0.036894,0.040993,0.047864"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.013399,0.014162,0.015476,0.018108,0.023361,0.033810,0.054639", \ - "0.013397,0.014159,0.015476,0.018108,0.023359,0.033808,0.054646", \ - "0.013446,0.014197,0.015504,0.018120,0.023359,0.033809,0.054650", \ - "0.015938,0.016526,0.017546,0.019668,0.024181,0.033898,0.054629", \ - "0.019489,0.020151,0.021304,0.023593,0.028112,0.036704,0.055277", \ - "0.024093,0.024732,0.025845,0.028109,0.032713,0.041881,0.059361", \ - "0.030220,0.030817,0.031889,0.034073,0.038562,0.047749,0.066048"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.005283,0.005575,0.006073,0.007040,0.008904,0.012492,0.019463", \ - "0.006110,0.006393,0.006879,0.007833,0.009686,0.013269,0.020237", \ - "0.008086,0.008474,0.009124,0.010340,0.012526,0.016261,0.023121", \ - "0.007786,0.008334,0.009255,0.010986,0.014112,0.019493,0.028355", \ - "0.004665,0.005361,0.006544,0.008772,0.012833,0.019874,0.031469", \ - "-0.001466,-0.000631,0.000781,0.003452,0.008381,0.017032,0.031402", \ - "-0.010711,-0.009772,-0.008169,-0.005110,0.000584,0.010721,0.027785"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.023668,0.024682,0.026432,0.029899,0.036763,0.050359,0.077373", \ - "0.024120,0.025138,0.026896,0.030384,0.037292,0.050957,0.078064", \ - "0.026610,0.027614,0.029346,0.032795,0.039656,0.053296,0.080432", \ - "0.031794,0.032879,0.034727,0.038328,0.045113,0.058498,0.085316", \ - "0.040457,0.041616,0.043577,0.047408,0.054795,0.068811,0.095167", \ - "0.052874,0.054130,0.056245,0.060337,0.068169,0.082979,0.110691", \ - "0.068429,0.069824,0.072152,0.076625,0.085075,0.100794,0.129959"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004423,0.004646,0.005028,0.005782,0.007260,0.010179,0.016017", \ - "0.004316,0.004543,0.004950,0.005734,0.007240,0.010175,0.016018", \ - "0.006843,0.006985,0.007231,0.007712,0.008630,0.010757,0.016028", \ - "0.011333,0.011516,0.011823,0.012437,0.013620,0.015831,0.019813", \ - "0.017198,0.017429,0.017825,0.018601,0.020063,0.022762,0.027585", \ - "0.024563,0.024846,0.025341,0.026298,0.028101,0.031349,0.037016", \ - "0.033461,0.033814,0.034416,0.035598,0.037788,0.041659,0.048272"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.013790,0.014693,0.016261,0.019393,0.025627,0.038030,0.062722", \ - "0.013791,0.014697,0.016264,0.019396,0.025631,0.038033,0.062721", \ - "0.013858,0.014754,0.016302,0.019414,0.025633,0.038026,0.062708", \ - "0.015925,0.016682,0.017991,0.020673,0.026259,0.038086,0.062715", \ - "0.018800,0.019625,0.021037,0.023866,0.029465,0.040183,0.063086", \ - "0.022921,0.023687,0.025029,0.027744,0.033277,0.044410,0.066079", \ - "0.028670,0.029401,0.030671,0.033260,0.038541,0.049421,0.071518"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.005432,0.005725,0.006221,0.007188,0.009058,0.012662,0.019667", \ - "0.006254,0.006537,0.007024,0.007980,0.009839,0.013437,0.020441", \ - "0.008337,0.008717,0.009354,0.010553,0.012716,0.016428,0.023325", \ - "0.008292,0.008822,0.009717,0.011402,0.014477,0.019808,0.028630", \ - "0.005646,0.006301,0.007417,0.009552,0.013499,0.020427,0.031930", \ - "0.000306,0.001058,0.002345,0.004835,0.009534,0.017954,0.032134", \ - "-0.007866,-0.007047,-0.005622,-0.002856,0.002447,0.012173,0.028892"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.028023,0.029034,0.030778,0.034242,0.041108,0.054716,0.081769", \ - "0.028518,0.029533,0.031285,0.034766,0.041668,0.055340,0.082466", \ - "0.030959,0.031963,0.033695,0.037150,0.044020,0.057678,0.084840", \ - "0.036447,0.037492,0.039242,0.042653,0.049387,0.062813,0.089696", \ - "0.045398,0.046517,0.048410,0.052134,0.059364,0.073155,0.099451", \ - "0.058183,0.059389,0.061412,0.065354,0.072997,0.087582,0.115031", \ - "0.074276,0.075597,0.077798,0.082063,0.090231,0.105644,0.134524"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.005481,0.005685,0.006042,0.006758,0.008197,0.011091,0.016919", \ - "0.005373,0.005586,0.005966,0.006712,0.008178,0.011087,0.016919", \ - "0.007969,0.008082,0.008282,0.008688,0.009528,0.011653,0.016929", \ - "0.012989,0.013128,0.013374,0.013873,0.014897,0.016915,0.020690", \ - "0.019526,0.019701,0.019998,0.020608,0.021839,0.024237,0.028765", \ - "0.027642,0.027850,0.028216,0.028961,0.030441,0.033278,0.038529", \ - "0.037354,0.037605,0.038054,0.038966,0.040743,0.044101,0.050160"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.016353,0.017266,0.018859,0.022014,0.028285,0.040746,0.065527", \ - "0.016354,0.017270,0.018859,0.022013,0.028287,0.040752,0.065517", \ - "0.016377,0.017290,0.018869,0.022023,0.028290,0.040747,0.065524", \ - "0.017817,0.018616,0.019998,0.022824,0.028631,0.040774,0.065527", \ - "0.020815,0.021656,0.023111,0.025983,0.031561,0.042469,0.065743", \ - "0.024616,0.025424,0.026847,0.029691,0.035380,0.046633,0.068457", \ - "0.030026,0.030806,0.032159,0.034896,0.040410,0.051564,0.073817"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011485,0.011961,0.012774,0.014359,0.017419,0.023295,0.034625", \ - "0.012030,0.012507,0.013318,0.014904,0.017964,0.023837,0.035167", \ - "0.014898,0.015352,0.016114,0.017590,0.020553,0.026352,0.037625", \ - "0.018206,0.018811,0.019834,0.021795,0.025415,0.031870,0.043060", \ - "0.019821,0.020572,0.021856,0.024285,0.028773,0.036800,0.050653", \ - "0.019720,0.020618,0.022168,0.025063,0.030405,0.039957,0.056405", \ - "0.017904,0.018956,0.020730,0.024066,0.030238,0.041284,0.060312"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.021305,0.022184,0.023697,0.026683,0.032572,0.044209,0.067317", \ - "0.021932,0.022828,0.024364,0.027401,0.033372,0.045118,0.068329", \ - "0.024286,0.025162,0.026675,0.029679,0.035635,0.047423,0.070752", \ - "0.027284,0.028268,0.029942,0.033189,0.039378,0.051068,0.074265", \ - "0.031625,0.032701,0.034522,0.038031,0.044706,0.057300,0.080770", \ - "0.038549,0.039774,0.041820,0.045710,0.052923,0.066165,0.090777", \ - "0.047370,0.048770,0.051112,0.055528,0.063601,0.077980,0.103705"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.008162,0.008520,0.009138,0.010367,0.012792,0.017583,0.027101", \ - "0.008127,0.008489,0.009115,0.010350,0.012783,0.017582,0.027100", \ - "0.008532,0.008828,0.009361,0.010455,0.012734,0.017550,0.027100", \ - "0.012548,0.012869,0.013414,0.014450,0.016404,0.020000,0.027774", \ - "0.018138,0.018527,0.019165,0.020384,0.022640,0.026739,0.034108", \ - "0.025257,0.025742,0.026500,0.027961,0.030605,0.035254,0.043421", \ - "0.033860,0.034443,0.035380,0.037148,0.040303,0.045680,0.054733"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011226,0.011977,0.013279,0.015895,0.021113,0.031523,0.052291", \ - "0.011233,0.011982,0.013282,0.015894,0.021115,0.031521,0.052272", \ - "0.011312,0.012050,0.013329,0.015915,0.021115,0.031521,0.052276", \ - "0.013492,0.014140,0.015255,0.017442,0.021991,0.031698,0.052278", \ - "0.017434,0.017997,0.019007,0.021125,0.025565,0.034570,0.053232", \ - "0.023601,0.024070,0.024947,0.026785,0.030744,0.039323,0.057357", \ - "0.031030,0.031476,0.032327,0.034125,0.037937,0.045963,0.063321"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.009256,0.009772,0.010646,0.012335,0.015548,0.021615,0.033141", \ - "0.009850,0.010357,0.011222,0.012897,0.016096,0.022153,0.033673", \ - "0.012885,0.013390,0.014232,0.015816,0.018777,0.024669,0.036104", \ - "0.015450,0.016127,0.017259,0.019391,0.023275,0.030067,0.041537", \ - "0.016133,0.016972,0.018387,0.021040,0.025886,0.034379,0.048739", \ - "0.014895,0.015899,0.017595,0.020756,0.026527,0.036679,0.053831", \ - "0.011754,0.012940,0.014879,0.018499,0.025142,0.036897,0.056811"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.023032,0.024061,0.025825,0.029311,0.036180,0.049771,0.076776", \ - "0.023583,0.024628,0.026423,0.029964,0.036931,0.050643,0.077770", \ - "0.025839,0.026863,0.028627,0.032127,0.039069,0.052832,0.080096", \ - "0.028705,0.029817,0.031714,0.035412,0.042452,0.056047,0.083155", \ - "0.032946,0.034126,0.036124,0.040002,0.047448,0.061688,0.088661", \ - "0.039962,0.041282,0.043490,0.047701,0.055557,0.070186,0.097943", \ - "0.049063,0.050562,0.053068,0.057812,0.066499,0.082090,0.110527"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.007593,0.007965,0.008604,0.009863,0.012315,0.017107,0.026576", \ - "0.007423,0.007817,0.008483,0.009774,0.012263,0.017086,0.026571", \ - "0.008306,0.008567,0.009033,0.010032,0.012208,0.016942,0.026551", \ - "0.012633,0.012948,0.013472,0.014471,0.016355,0.019865,0.027346", \ - "0.018630,0.019012,0.019636,0.020810,0.022962,0.026861,0.034027", \ - "0.026315,0.026785,0.027541,0.028945,0.031467,0.035861,0.043677", \ - "0.035677,0.036253,0.037181,0.038889,0.041889,0.046966,0.055531"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011259,0.012147,0.013694,0.016801,0.022996,0.035352,0.060009", \ - "0.011268,0.012156,0.013704,0.016803,0.022994,0.035352,0.059993", \ - "0.011361,0.012234,0.013755,0.016825,0.022999,0.035349,0.059986", \ - "0.013204,0.014010,0.015354,0.018047,0.023648,0.035432,0.059991", \ - "0.016533,0.017257,0.018536,0.021192,0.026682,0.037662,0.060568", \ - "0.022350,0.022967,0.024088,0.026417,0.031319,0.041851,0.063771", \ - "0.029661,0.030265,0.031350,0.033609,0.038274,0.048014,0.069104"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.009596,0.010110,0.010982,0.012669,0.015888,0.021982,0.033573", \ - "0.010181,0.010688,0.011551,0.013227,0.016434,0.022519,0.034105", \ - "0.013247,0.013739,0.014567,0.016118,0.019099,0.025030,0.036536", \ - "0.016117,0.016768,0.017866,0.019951,0.023778,0.030513,0.041960", \ - "0.017307,0.018095,0.019444,0.021999,0.026723,0.035097,0.049368", \ - "0.016855,0.017770,0.019337,0.022319,0.027867,0.037788,0.054749", \ - "0.014811,0.015839,0.017577,0.020917,0.027194,0.038554,0.058129"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.027348,0.028366,0.030119,0.033592,0.040459,0.054056,0.081068", \ - "0.028003,0.029033,0.030809,0.034325,0.041263,0.054957,0.082073", \ - "0.030197,0.031217,0.032978,0.036478,0.043421,0.057177,0.084420", \ - "0.033413,0.034485,0.036316,0.039875,0.046754,0.060351,0.087473", \ - "0.037918,0.039043,0.040956,0.044714,0.052020,0.066088,0.092905", \ - "0.045438,0.046666,0.048721,0.052693,0.060274,0.074682,0.102267", \ - "0.055277,0.056662,0.058954,0.063354,0.071596,0.086750,0.114913"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.009523,0.009862,0.010451,0.011630,0.013982,0.018679,0.028076", \ - "0.009357,0.009716,0.010330,0.011543,0.013932,0.018657,0.028072", \ - "0.010104,0.010350,0.010798,0.011756,0.013859,0.018517,0.028051", \ - "0.014984,0.015232,0.015646,0.016474,0.018131,0.021386,0.028830", \ - "0.021728,0.022009,0.022479,0.023410,0.025231,0.028762,0.035577", \ - "0.030261,0.030610,0.031162,0.032254,0.034340,0.038223,0.045543", \ - "0.040558,0.040986,0.041663,0.042985,0.045440,0.049855,0.057796"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.013785,0.014694,0.016259,0.019396,0.025627,0.038020,0.062721", \ - "0.013791,0.014698,0.016261,0.019390,0.025622,0.038022,0.062716", \ - "0.013824,0.014721,0.016287,0.019401,0.025627,0.038030,0.062708", \ - "0.015200,0.016001,0.017384,0.020202,0.026001,0.038067,0.062715", \ - "0.018194,0.018995,0.020387,0.023197,0.028843,0.039921,0.063120", \ - "0.023400,0.024120,0.025356,0.027892,0.033104,0.043983,0.066079", \ - "0.030368,0.031068,0.032287,0.034750,0.039692,0.049815,0.071319"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.012531,0.013008,0.013821,0.015406,0.018465,0.024339,0.035672", \ - "0.013035,0.013513,0.014326,0.015911,0.018972,0.024849,0.036183", \ - "0.014625,0.015106,0.015928,0.017507,0.020568,0.026460,0.037813", \ - "0.016632,0.017189,0.018129,0.019931,0.023314,0.029556,0.041109", \ - "0.017149,0.017853,0.019043,0.021289,0.025420,0.032750,0.045559", \ - "0.015448,0.016317,0.017777,0.020522,0.025545,0.034409,0.049445", \ - "0.011383,0.012418,0.014147,0.017372,0.023291,0.033756,0.051430"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.024469,0.025327,0.026808,0.029751,0.035595,0.047205,0.070305", \ - "0.025307,0.026174,0.027667,0.030632,0.036509,0.048151,0.071286", \ - "0.027902,0.028766,0.030257,0.033220,0.039102,0.050776,0.073960", \ - "0.031360,0.032297,0.033895,0.037020,0.042989,0.054585,0.077691", \ - "0.036481,0.037483,0.039177,0.042495,0.048919,0.061200,0.084328", \ - "0.045383,0.046460,0.048270,0.051763,0.058427,0.071051,0.095064", \ - "0.057130,0.058334,0.060351,0.064199,0.071410,0.084683,0.109302"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.008140,0.008501,0.009123,0.010354,0.012783,0.017581,0.027100", \ - "0.008124,0.008486,0.009111,0.010345,0.012780,0.017580,0.027100", \ - "0.008282,0.008631,0.009234,0.010435,0.012820,0.017585,0.027103", \ - "0.010513,0.010813,0.011342,0.012385,0.014480,0.018706,0.027508", \ - "0.014775,0.015093,0.015639,0.016683,0.018678,0.022548,0.030452", \ - "0.020339,0.020724,0.021349,0.022554,0.024777,0.028795,0.036341", \ - "0.026997,0.027461,0.028201,0.029634,0.032246,0.036785,0.044683"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.013403,0.014161,0.015479,0.018109,0.023359,0.033806,0.054622", \ - "0.013404,0.014161,0.015478,0.018111,0.023363,0.033818,0.054628", \ - "0.013434,0.014188,0.015494,0.018118,0.023358,0.033820,0.054623", \ - "0.015150,0.015798,0.016920,0.019206,0.023957,0.033901,0.054629", \ - "0.018501,0.019156,0.020298,0.022605,0.027242,0.036375,0.055405", \ - "0.023294,0.023905,0.024974,0.027158,0.031627,0.040769,0.059132", \ - "0.029331,0.029943,0.031012,0.033166,0.037528,0.046394,0.064676"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.010322,0.010835,0.011707,0.013391,0.016599,0.022663,0.034188", \ - "0.010843,0.011353,0.012221,0.013901,0.017103,0.023164,0.034689", \ - "0.012500,0.013010,0.013875,0.015545,0.018707,0.024751,0.036289", \ - "0.014073,0.014687,0.015728,0.017683,0.021271,0.027747,0.039532", \ - "0.013658,0.014448,0.015775,0.018255,0.022739,0.030519,0.043746", \ - "0.010774,0.011756,0.013380,0.016409,0.021891,0.031372,0.047093", \ - "0.005288,0.006477,0.008393,0.011948,0.018405,0.029635,0.048225"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.026750,0.027750,0.029478,0.032911,0.039729,0.053281,0.080282", \ - "0.027545,0.028554,0.030297,0.033754,0.040610,0.054206,0.081241", \ - "0.030068,0.031074,0.032812,0.036268,0.043130,0.056761,0.083851", \ - "0.033339,0.034408,0.036230,0.039790,0.046637,0.060164,0.087169", \ - "0.038231,0.039340,0.041222,0.044928,0.052154,0.066113,0.092810", \ - "0.047144,0.048317,0.050290,0.054110,0.061446,0.075527,0.102744", \ - "0.059210,0.060508,0.062682,0.066839,0.074652,0.089174,0.116603"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.007526,0.007903,0.008550,0.009818,0.012285,0.017092,0.026572", \ - "0.007441,0.007830,0.008489,0.009772,0.012257,0.017079,0.026568", \ - "0.007713,0.008052,0.008639,0.009838,0.012249,0.017041,0.026568", \ - "0.010372,0.010669,0.011165,0.012158,0.014175,0.018306,0.026997", \ - "0.014971,0.015288,0.015820,0.016827,0.018745,0.022464,0.030145", \ - "0.020900,0.021274,0.021888,0.023055,0.025202,0.029070,0.036347", \ - "0.028041,0.028479,0.029203,0.030590,0.033110,0.037474,0.045084"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.013810,0.014714,0.016285,0.019414,0.025661,0.038079,0.062786", \ - "0.013814,0.014716,0.016285,0.019419,0.025654,0.038080,0.062781", \ - "0.013841,0.014741,0.016306,0.019424,0.025654,0.038069,0.062785", \ - "0.015245,0.016050,0.017436,0.020255,0.026050,0.038106,0.062790", \ - "0.018050,0.018866,0.020293,0.023140,0.028826,0.039967,0.063211", \ - "0.022430,0.023199,0.024541,0.027231,0.032687,0.043812,0.066101", \ - "0.028258,0.029035,0.030355,0.033001,0.038273,0.048944,0.070995"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.010659,0.011170,0.012040,0.013723,0.016939,0.023030,0.034621", \ - "0.011176,0.011686,0.012551,0.014231,0.017443,0.023531,0.035122", \ - "0.012835,0.013344,0.014206,0.015873,0.019040,0.025116,0.036722", \ - "0.014563,0.015167,0.016183,0.018110,0.021669,0.028143,0.039966", \ - "0.014484,0.015246,0.016525,0.018942,0.023347,0.031051,0.044256", \ - "0.012117,0.013038,0.014587,0.017500,0.022836,0.032174,0.047776", \ - "0.007372,0.008448,0.010236,0.013607,0.019829,0.030811,0.049197"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.031027,0.032025,0.033752,0.037185,0.044008,0.057569,0.084572", \ - "0.031861,0.032866,0.034603,0.038054,0.044905,0.058500,0.085535", \ - "0.034382,0.035387,0.037123,0.040577,0.047440,0.061069,0.088157", \ - "0.037889,0.038919,0.040661,0.044103,0.050912,0.064455,0.091469", \ - "0.042952,0.044030,0.045864,0.049499,0.056627,0.070444,0.097048", \ - "0.052052,0.053166,0.055053,0.058746,0.065942,0.079908,0.107002", \ - "0.064581,0.065796,0.067845,0.071793,0.079334,0.093600,0.120885"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.009456,0.009800,0.010397,0.011587,0.013953,0.018663,0.028072", \ - "0.009374,0.009727,0.010334,0.011541,0.013925,0.018650,0.028068", \ - "0.009580,0.009896,0.010450,0.011590,0.013914,0.018612,0.028068", \ - "0.012410,0.012657,0.013091,0.013980,0.015866,0.019867,0.028494", \ - "0.017502,0.017737,0.018155,0.018987,0.020673,0.024161,0.031671", \ - "0.024092,0.024374,0.024834,0.025761,0.027569,0.031056,0.038011", \ - "0.032012,0.032303,0.032855,0.033938,0.036026,0.039871,0.047003"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.016358,0.017267,0.018855,0.022015,0.028291,0.040751,0.065517", \ - "0.016360,0.017270,0.018858,0.022014,0.028286,0.040754,0.065517", \ - "0.016370,0.017279,0.018864,0.022015,0.028287,0.040748,0.065520", \ - "0.017322,0.018152,0.019603,0.022534,0.028490,0.040758,0.065521", \ - "0.020078,0.020928,0.022388,0.025295,0.031037,0.042292,0.065807", \ - "0.024102,0.024907,0.026310,0.029110,0.034743,0.046047,0.068464", \ - "0.029710,0.030518,0.031888,0.034623,0.040057,0.050996,0.073295"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006077,0.006483,0.007183,0.008569,0.011315,0.016766,0.027624", \ - "0.006797,0.007202,0.007902,0.009293,0.012052,0.017522,0.028401", \ - "0.008574,0.009126,0.010047,0.011769,0.014855,0.020270,0.031053", \ - "0.008647,0.009414,0.010691,0.013060,0.017293,0.024571,0.036627", \ - "0.006516,0.007507,0.009161,0.012219,0.017670,0.026935,0.042170", \ - "0.001947,0.003163,0.005178,0.008912,0.015579,0.026928,0.045431", \ - "-0.005222,-0.003794,-0.001437,0.002944,0.010793,0.024202,0.046088"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.010510,0.011363,0.012828,0.015732,0.021454,0.032751,0.055171", \ - "0.010985,0.011823,0.013279,0.016182,0.021934,0.033296,0.055799", \ - "0.014929,0.015740,0.017015,0.019635,0.025080,0.036167,0.058468", \ - "0.021104,0.022097,0.023756,0.026936,0.032859,0.043544,0.065093", \ - "0.029168,0.030342,0.032277,0.035959,0.042812,0.055285,0.077187", \ - "0.039053,0.040446,0.042716,0.046997,0.054818,0.068836,0.093703", \ - "0.050780,0.052393,0.055019,0.059971,0.068928,0.084645,0.112062"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.003639,0.003980,0.004570,0.005749,0.008110,0.012828,0.022261", \ - "0.003637,0.003979,0.004571,0.005750,0.008110,0.012828,0.022261", \ - "0.005613,0.005896,0.006379,0.007316,0.009009,0.012975,0.022261", \ - "0.009392,0.009731,0.010299,0.011374,0.013367,0.017070,0.024014", \ - "0.014692,0.015126,0.015846,0.017175,0.019556,0.023697,0.031132", \ - "0.021433,0.021982,0.022875,0.024524,0.027429,0.032329,0.040524", \ - "0.029710,0.030338,0.031407,0.033397,0.036905,0.042742,0.052124"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.008790,0.009561,0.010898,0.013523,0.018715,0.029027,0.049571", \ - "0.008697,0.009490,0.010839,0.013499,0.018717,0.029015,0.049580", \ - "0.009312,0.009937,0.011060,0.013431,0.018568,0.029015,0.049581", \ - "0.012224,0.012907,0.014096,0.016420,0.020605,0.029484,0.049555", \ - "0.016336,0.017000,0.018163,0.020509,0.025176,0.034052,0.051133", \ - "0.022053,0.022746,0.023938,0.026314,0.030992,0.040246,0.057639", \ - "0.029292,0.030023,0.031295,0.033810,0.038647,0.047969,0.066228"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006077,0.006483,0.007182,0.008568,0.011313,0.016764,0.027620", \ - "0.006810,0.007215,0.007914,0.009304,0.012062,0.017532,0.028408", \ - "0.008628,0.009180,0.010099,0.011818,0.014899,0.020309,0.031090", \ - "0.008517,0.009293,0.010582,0.012974,0.017243,0.024562,0.036640", \ - "0.005818,0.006833,0.008521,0.011642,0.017199,0.026614,0.042010", \ - "0.000289,0.001540,0.003612,0.007460,0.014313,0.025935,0.044790", \ - "-0.008231,-0.006748,-0.004311,0.000224,0.008329,0.022143,0.044582"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011510,0.012524,0.014289,0.017786,0.024692,0.038323,0.065360", \ - "0.011922,0.012916,0.014656,0.018148,0.025094,0.038810,0.065947", \ - "0.016080,0.016902,0.018392,0.021537,0.028123,0.041524,0.068444", \ - "0.023045,0.024143,0.025986,0.029531,0.036173,0.048732,0.074824", \ - "0.032122,0.033401,0.035522,0.039588,0.047232,0.061245,0.086648", \ - "0.043384,0.044883,0.047336,0.051982,0.060573,0.076213,0.104239", \ - "0.056874,0.058595,0.061410,0.066735,0.076438,0.093719,0.124440"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.003641,0.003979,0.004571,0.005749,0.008110,0.012828,0.022260", \ - "0.003637,0.003980,0.004568,0.005749,0.008110,0.012827,0.022262", \ - "0.005590,0.005873,0.006359,0.007297,0.008995,0.012970,0.022262", \ - "0.009312,0.009661,0.010239,0.011332,0.013353,0.017069,0.024010", \ - "0.014555,0.015009,0.015746,0.017112,0.019542,0.023746,0.031195", \ - "0.021297,0.021853,0.022772,0.024471,0.027451,0.032425,0.040704", \ - "0.029582,0.030229,0.031334,0.033390,0.036980,0.042921,0.052442"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.010776,0.011756,0.013411,0.016653,0.022951,0.035383,0.059987", \ - "0.010600,0.011599,0.013301,0.016594,0.022940,0.035380,0.059992", \ - "0.010778,0.011613,0.013097,0.016179,0.022729,0.035328,0.059990", \ - "0.013521,0.014348,0.015807,0.018570,0.023792,0.035204,0.059986", \ - "0.017354,0.018143,0.019534,0.022355,0.027993,0.038540,0.060423", \ - "0.022894,0.023681,0.025045,0.027808,0.033361,0.044464,0.065332", \ - "0.029992,0.030809,0.032233,0.035057,0.040615,0.051644,0.073463"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006255,0.006665,0.007370,0.008766,0.011534,0.017029,0.027970", \ - "0.006989,0.007397,0.008102,0.009503,0.012284,0.017797,0.028758", \ - "0.008921,0.009466,0.010377,0.012082,0.015145,0.020574,0.031439", \ - "0.009067,0.009826,0.011091,0.013448,0.017676,0.024961,0.037012", \ - "0.006835,0.007817,0.009448,0.012491,0.017949,0.027270,0.042598", \ - "0.002104,0.003277,0.005234,0.008918,0.015569,0.026993,0.045677", \ - "-0.005176,-0.003855,-0.001631,0.002591,0.010327,0.023761,0.045883"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.015833,0.016857,0.018623,0.022121,0.029029,0.042674,0.069741", \ - "0.016249,0.017267,0.019030,0.022535,0.029477,0.043188,0.070337", \ - "0.019779,0.020711,0.022347,0.025665,0.032375,0.045847,0.072824", \ - "0.027605,0.028639,0.030386,0.033762,0.040086,0.052868,0.079103", \ - "0.037386,0.038575,0.040580,0.044455,0.051830,0.065461,0.090776", \ - "0.049497,0.050851,0.053119,0.057475,0.065701,0.080942,0.108480", \ - "0.063926,0.065477,0.068050,0.072971,0.082153,0.098893,0.129116"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004954,0.005311,0.005924,0.007132,0.009516,0.014249,0.023691", \ - "0.004953,0.005311,0.005924,0.007132,0.009516,0.014249,0.023692", \ - "0.007200,0.007451,0.007886,0.008699,0.010371,0.014380,0.023692", \ - "0.011752,0.012008,0.012459,0.013358,0.015138,0.018599,0.025416", \ - "0.018060,0.018373,0.018902,0.019946,0.021955,0.025719,0.032795", \ - "0.025993,0.026361,0.026995,0.028250,0.030633,0.034964,0.042673", \ - "0.035501,0.035934,0.036687,0.038182,0.041011,0.046107,0.054839"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.013603,0.014542,0.016165,0.019350,0.025640,0.038067,0.062779", \ - "0.013520,0.014480,0.016117,0.019331,0.025640,0.038071,0.062788", \ - "0.013167,0.014079,0.015678,0.019027,0.025546,0.038056,0.062803", \ - "0.015644,0.016509,0.017851,0.020468,0.026014,0.037831,0.062786", \ - "0.019126,0.019981,0.021459,0.024390,0.030093,0.040605,0.063004", \ - "0.024334,0.025185,0.026647,0.029563,0.035332,0.046570,0.067457", \ - "0.031170,0.032059,0.033579,0.036562,0.042339,0.053665,0.075597"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.007071,0.007475,0.008173,0.009555,0.012296,0.017743,0.028598", \ - "0.007645,0.008059,0.008772,0.010180,0.012956,0.018443,0.029333", \ - "0.008729,0.009224,0.010053,0.011635,0.014621,0.020188,0.031105", \ - "0.008479,0.009169,0.010312,0.012430,0.016204,0.022753,0.034402", \ - "0.005740,0.006655,0.008172,0.010973,0.015927,0.024270,0.037941", \ - "0.000171,0.001315,0.003207,0.006699,0.012886,0.023290,0.040014", \ - "-0.008463,-0.007089,-0.004828,-0.000654,0.006755,0.019252,0.039325"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.013509,0.014342,0.015779,0.018636,0.024301,0.035547,0.057925", \ - "0.014000,0.014832,0.016272,0.019137,0.024823,0.036100,0.058514", \ - "0.017904,0.018658,0.019984,0.022676,0.028137,0.039165,0.061355", \ - "0.025383,0.026283,0.027810,0.030760,0.036312,0.046746,0.068205", \ - "0.034910,0.035943,0.037688,0.041067,0.047484,0.059339,0.080604", \ - "0.046945,0.048132,0.050110,0.053907,0.061054,0.074261,0.098163", \ - "0.061604,0.062954,0.065197,0.069479,0.077445,0.091931,0.118058"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.003638,0.003980,0.004570,0.005751,0.008109,0.012827,0.022261", \ - "0.003641,0.003982,0.004571,0.005751,0.008109,0.012828,0.022259", \ - "0.004734,0.005008,0.005500,0.006515,0.008551,0.012929,0.022262", \ - "0.007646,0.007925,0.008398,0.009317,0.011128,0.014924,0.023107", \ - "0.011796,0.012136,0.012707,0.013785,0.015791,0.019448,0.026799", \ - "0.016911,0.017323,0.018025,0.019345,0.021739,0.025913,0.033290", \ - "0.022950,0.023438,0.024271,0.025867,0.028743,0.033666,0.041871"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.010978,0.011746,0.013065,0.015689,0.020895,0.031229,0.051814", \ - "0.010939,0.011709,0.013044,0.015676,0.020885,0.031228,0.051811", \ - "0.011037,0.011733,0.012957,0.015484,0.020821,0.031223,0.051807", \ - "0.013731,0.014439,0.015642,0.017881,0.022177,0.031426,0.051809", \ - "0.017082,0.017835,0.019136,0.021669,0.026522,0.035360,0.052984", \ - "0.021605,0.022407,0.023768,0.026434,0.031567,0.041298,0.058874", \ - "0.027369,0.028237,0.029705,0.032526,0.037883,0.048041,0.067054"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.007071,0.007476,0.008172,0.009554,0.012295,0.017741,0.028595", \ - "0.007657,0.008071,0.008784,0.010190,0.012967,0.018452,0.029340", \ - "0.008801,0.009294,0.010120,0.011697,0.014677,0.020236,0.031147", \ - "0.008484,0.009175,0.010321,0.012445,0.016228,0.022790,0.034446", \ - "0.005391,0.006316,0.007849,0.010682,0.015689,0.024109,0.037870", \ - "-0.000878,0.000291,0.002219,0.005781,0.012084,0.022661,0.039600", \ - "-0.010566,-0.009150,-0.006829,-0.002546,0.005052,0.017832,0.038279"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.015148,0.016149,0.017879,0.021322,0.028157,0.041719,0.068702", \ - "0.015566,0.016565,0.018298,0.021750,0.028612,0.042215,0.069241", \ - "0.019498,0.020404,0.021998,0.025248,0.031849,0.045171,0.071952", \ - "0.027768,0.028774,0.030484,0.033794,0.040019,0.052622,0.078600", \ - "0.038254,0.039401,0.041350,0.045135,0.052349,0.065736,0.090752", \ - "0.051494,0.052800,0.054971,0.059175,0.067158,0.082043,0.109109", \ - "0.067697,0.069160,0.071600,0.076278,0.085057,0.101242,0.130781"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.003639,0.003981,0.004570,0.005750,0.008109,0.012827,0.022261", \ - "0.003640,0.003980,0.004569,0.005750,0.008110,0.012828,0.022259", \ - "0.004714,0.004991,0.005485,0.006502,0.008541,0.012926,0.022262", \ - "0.007566,0.007852,0.008329,0.009264,0.011092,0.014911,0.023102", \ - "0.011624,0.011972,0.012560,0.013667,0.015699,0.019415,0.026808", \ - "0.016677,0.017100,0.017815,0.019170,0.021606,0.025856,0.033311", \ - "0.022676,0.023172,0.024027,0.025655,0.028591,0.033579,0.041903"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.013554,0.014495,0.016120,0.019315,0.025608,0.038023,0.062709", \ - "0.013456,0.014417,0.016061,0.019284,0.025601,0.038017,0.062714", \ - "0.013178,0.014079,0.015668,0.018965,0.025494,0.038022,0.062724", \ - "0.015541,0.016408,0.017773,0.020433,0.025982,0.037798,0.062709", \ - "0.018634,0.019525,0.021052,0.024060,0.029848,0.040477,0.062922", \ - "0.022950,0.023873,0.025444,0.028548,0.034583,0.046079,0.067194", \ - "0.028551,0.029521,0.031159,0.034369,0.040565,0.052474,0.074878"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.007250,0.007657,0.008359,0.009753,0.012516,0.018005,0.028944", \ - "0.007838,0.008256,0.008973,0.010390,0.013188,0.018718,0.029689", \ - "0.009036,0.009526,0.010349,0.011925,0.014914,0.020502,0.031497", \ - "0.008890,0.009569,0.010699,0.012800,0.016558,0.023112,0.034813", \ - "0.006085,0.006989,0.008493,0.011275,0.016222,0.024587,0.038323", \ - "0.000269,0.001397,0.003263,0.006739,0.012930,0.023393,0.040242", \ - "-0.008756,-0.007414,-0.005195,-0.001069,0.006339,0.018922,0.039196"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.019459,0.020462,0.022196,0.025643,0.032486,0.046068,0.073081", \ - "0.019899,0.020902,0.022640,0.026097,0.032960,0.046571,0.073631", \ - "0.023485,0.024434,0.026090,0.029412,0.036085,0.049473,0.076311", \ - "0.032008,0.032968,0.034607,0.037793,0.043949,0.056747,0.082859", \ - "0.043110,0.044214,0.046077,0.049727,0.056726,0.069801,0.094858", \ - "0.056936,0.058170,0.060226,0.064261,0.072007,0.086591,0.113235", \ - "0.073784,0.075167,0.077454,0.081895,0.090347,0.106176,0.135314"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004954,0.005311,0.005924,0.007132,0.009516,0.014249,0.023690", \ - "0.004955,0.005312,0.005925,0.007132,0.009516,0.014248,0.023691", \ - "0.006152,0.006428,0.006915,0.007906,0.009934,0.014342,0.023692", \ - "0.009476,0.009704,0.010104,0.010925,0.012641,0.016372,0.024522", \ - "0.014304,0.014559,0.015002,0.015892,0.017639,0.021080,0.028302", \ - "0.020252,0.020548,0.021061,0.022109,0.024127,0.027919,0.034988", \ - "0.027198,0.027545,0.028154,0.029385,0.031765,0.036138,0.043891"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.016250,0.017189,0.018792,0.021981,0.028278,0.040750,0.065521", \ - "0.016213,0.017151,0.018769,0.021967,0.028274,0.040743,0.065520", \ - "0.015805,0.016802,0.018501,0.021813,0.028223,0.040740,0.065521", \ - "0.017583,0.018371,0.019751,0.022565,0.028334,0.040523,0.065520", \ - "0.020830,0.021723,0.023260,0.026259,0.031995,0.042652,0.065571", \ - "0.025044,0.025976,0.027571,0.030710,0.036787,0.048254,0.069401", \ - "0.030557,0.031518,0.033171,0.036418,0.042697,0.054695,0.077067"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.589937,2.607071,2.630601,2.691808,2.752629,2.820272,2.889043", \ - "2.565283,2.562277,2.587792,2.656674,2.712415,2.784314,2.875524", \ - "2.996898,2.954661,2.945271,2.926620,2.935668,2.958293,2.982327", \ - "4.874791,4.860958,4.785218,4.676056,4.457084,4.095375,3.786162", \ - "7.960807,7.946857,7.870721,7.773807,7.555257,7.006696,6.092601", \ - "12.043040,12.014480,12.072030,12.069900,11.935240,11.468180,10.318460", \ - "17.208700,17.207570,17.275480,17.457380,17.474760,17.291180,16.284900"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("11.416100,11.360570,11.437480,11.459460,11.444210,11.452350,11.364060", \ - "11.131590,11.158100,11.224530,11.292230,11.373180,11.435930,11.411460", \ - "11.063820,11.149580,11.052080,11.159740,11.170870,11.197810,11.327750", \ - "12.156790,12.072090,12.090030,12.002710,11.904730,11.696420,11.393140", \ - "14.687820,14.688220,14.677480,14.567200,14.343140,13.872660,13.261560", \ - "19.573540,19.390020,19.231560,18.844540,18.426870,17.843930,16.811590", \ - "26.211190,26.119810,25.913160,25.405450,24.597100,23.436720,22.059210"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.201352,2.229670,2.297847,2.369159,2.451938,2.563203,2.655850", \ - "2.148055,2.174195,2.205759,2.289744,2.373423,2.490550,2.589761", \ - "2.645288,2.628851,2.603756,2.550511,2.572746,2.614401,2.661068", \ - "4.492764,4.454815,4.376945,4.274337,4.066071,3.716210,3.400979", \ - "7.329019,7.349503,7.300687,7.234968,6.998642,6.486820,5.604206", \ - "11.157290,11.177190,11.243180,11.244240,11.137870,10.683900,9.608643", \ - "15.974400,16.126400,16.164540,16.249510,16.360170,16.139800,15.198760"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("10.359180,10.384610,10.385420,10.449400,10.445640,10.565910,10.495060", \ - "10.184820,10.186350,10.178200,10.263030,10.338300,10.356050,10.438890", \ - "10.068460,10.072520,10.050180,10.131120,10.167560,10.247730,10.190070", \ - "11.018540,11.020440,10.971960,10.873560,10.777400,10.632960,10.490480", \ - "13.431320,13.400410,13.302710,13.275920,13.065580,12.672680,11.924350", \ - "17.974020,17.883910,17.685980,17.439750,16.955670,16.490450,15.398700", \ - "24.535450,24.294280,24.049790,23.586920,22.858120,21.756610,20.358960"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.334992,2.364930,2.386242,2.430366,2.509067,2.579487,2.662380", \ - "2.224540,2.270527,2.317883,2.364306,2.427242,2.513789,2.602821", \ - "2.641225,2.625248,2.612455,2.603651,2.614099,2.640458,2.669696", \ - "4.540299,4.499457,4.404191,4.286146,4.067181,3.708074,3.408988", \ - "7.576385,7.522364,7.472040,7.338364,7.063857,6.484806,5.591413", \ - "11.659090,11.605100,11.581090,11.530390,11.292330,10.753770,9.610024", \ - "16.751610,16.780640,16.802840,16.758460,16.674350,16.358220,15.263730"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("12.538190,12.563880,12.552490,12.632640,12.725080,12.698820,12.678500", \ - "12.357140,12.384420,12.398190,12.463910,12.568300,12.583190,12.625630", \ - "12.265260,12.267870,12.249630,12.301540,12.396190,12.456460,12.437280", \ - "13.009100,13.045550,13.030240,13.001510,12.976420,12.831090,12.699770", \ - "15.387780,15.381230,15.320890,15.355650,15.064960,14.809900,14.249320", \ - "19.608430,19.605120,19.373790,19.195550,18.908590,18.337420,17.587700", \ - "25.955990,25.837030,25.619350,25.201750,24.478250,23.614870,22.435550"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.643165,2.637512,2.660478,2.722032,2.779263,2.843216,2.911928", \ - "2.651611,2.652568,2.676282,2.742545,2.799270,2.879259,2.953007", \ - "3.088582,3.055118,3.042575,3.024809,3.037147,3.069460,3.096675", \ - "4.717418,4.689313,4.665072,4.566587,4.386990,4.073737,3.810430", \ - "7.320439,7.325447,7.322625,7.237915,7.072031,6.638978,5.850648", \ - "10.804130,10.814180,10.867500,10.837930,10.817260,10.497210,9.558307", \ - "15.114420,15.194750,15.295130,15.412560,15.545750,15.456560,14.728210"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("11.309400,11.330620,11.378990,11.405740,11.378450,11.303330,11.511820", \ - "11.122730,11.145450,11.146070,11.206110,11.255620,11.237310,11.418990", \ - "10.997680,11.032380,11.039160,11.042530,11.078700,11.171350,11.231500", \ - "11.803430,11.796880,11.741390,11.633400,11.651390,11.562710,11.479580", \ - "14.233770,14.206990,14.120180,14.069080,13.707530,13.407500,12.904590", \ - "18.251900,18.198830,17.956710,17.775840,17.415500,16.776870,15.942270", \ - "24.238510,24.106780,23.840830,23.443660,22.562130,21.726880,20.475140"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.234585,2.277046,2.323843,2.389600,2.489191,2.577287,2.686395", \ - "2.228205,2.275745,2.286756,2.379062,2.470336,2.576385,2.675147", \ - "2.744194,2.718468,2.681940,2.651135,2.691565,2.731840,2.774124", \ - "4.355839,4.333758,4.291657,4.204531,4.028581,3.720341,3.451798", \ - "6.791387,6.807550,6.797659,6.714191,6.569801,6.155310,5.412949", \ - "10.095850,10.079570,10.097860,10.125550,10.107020,9.800456,8.927152", \ - "14.148680,14.299660,14.340810,14.472660,14.577950,14.482430,13.798380"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("10.244470,10.323010,10.349720,10.390870,10.400030,10.384910,10.277550", \ - "10.059050,10.073410,10.091950,10.126680,10.193670,10.284740,10.408110", \ - "9.965590,9.997965,9.975437,9.986425,10.042230,10.112670,10.237190", \ - "10.668740,10.628970,10.618500,10.600470,10.460570,10.314210,10.295160", \ - "12.871780,12.922530,12.902610,12.790940,12.505830,12.189050,11.701780", \ - "16.845130,16.731730,16.593160,16.294750,15.942040,15.347730,14.637450", \ - "22.592430,22.443800,22.238380,21.783230,21.074700,20.160750,19.078380"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.371540,2.373845,2.418145,2.468821,2.523307,2.612645,2.690387", \ - "2.359270,2.353004,2.405847,2.453333,2.506816,2.603644,2.687818", \ - "2.727639,2.709927,2.700000,2.700775,2.725942,2.749220,2.784008", \ - "4.379971,4.353148,4.310955,4.210502,4.021638,3.707907,3.448312", \ - "7.027754,6.978289,6.958257,6.855000,6.625761,6.170556,5.389164", \ - "10.425920,10.497310,10.486000,10.435330,10.282390,9.905671,8.924191", \ - "14.853990,14.887030,14.871880,14.933560,14.910870,14.685710,13.869560"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("12.436540,12.515570,12.541970,12.585060,12.594230,12.613960,12.613300", \ - "12.270050,12.260530,12.306200,12.391780,12.406840,12.515790,12.653870", \ - "12.142660,12.187910,12.148120,12.231070,12.236390,12.272390,12.398000", \ - "12.796570,12.753850,12.750670,12.746030,12.748210,12.669760,12.453380", \ - "14.940220,14.884380,14.899780,14.822690,14.631410,14.222200,13.791490", \ - "18.553660,18.465460,18.367200,18.249020,17.988860,17.515080,16.794320", \ - "24.070040,24.030900,23.772270,23.460390,22.884800,22.140640,21.066350"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.709711,2.714685,2.740648,2.747957,2.810154,2.862081,2.921325", \ - "2.725695,2.724811,2.753258,2.766553,2.829297,2.887293,2.966199", \ - "3.083830,3.065917,3.055912,3.045268,3.068248,3.088392,3.108443", \ - "4.760612,4.731473,4.675456,4.543836,4.365880,4.054626,3.818100", \ - "7.484075,7.493427,7.423824,7.350183,7.113874,6.617196,5.838690", \ - "11.180430,11.134640,11.145860,11.087000,10.959240,10.551270,9.546181", \ - "15.695530,15.810260,15.839170,15.877990,15.852900,15.638040,14.772450"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("13.485510,13.505770,13.577990,13.551560,13.637900,13.643140,13.694660", \ - "13.317670,13.339650,13.326530,13.396890,13.442120,13.508050,13.635720", \ - "13.182290,13.183600,13.215270,13.219760,13.261540,13.412680,13.463020", \ - "13.891420,13.892520,13.846110,13.859250,13.701340,13.718140,13.630270", \ - "16.211460,16.207090,16.142000,15.972990,15.844380,15.482140,15.013660", \ - "19.989090,19.919260,19.756110,19.569060,19.404050,18.924490,17.967490", \ - "25.726820,25.616370,25.459250,25.036440,24.391420,23.658590,22.523540"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.371540,2.373845,2.418145,2.468821,2.523307,2.612645,2.690387", \ - "2.359270,2.353004,2.405847,2.453333,2.506816,2.603644,2.687818", \ - "2.727639,2.709927,2.700000,2.700775,2.725942,2.749220,2.784008", \ - "4.379971,4.353148,4.310955,4.210502,4.021638,3.707907,3.448312", \ - "7.027754,6.978289,6.958257,6.855000,6.625761,6.170556,5.389164", \ - "10.425920,10.497310,10.486000,10.435330,10.282390,9.905671,8.924191", \ - "14.853990,14.887030,14.871880,14.933560,14.910870,14.685710,13.869560"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("12.436540,12.515570,12.541970,12.585060,12.594230,12.613960,12.613300", \ - "12.270050,12.260530,12.306200,12.391780,12.406840,12.515790,12.653870", \ - "12.142660,12.187910,12.148120,12.231070,12.236390,12.272390,12.398000", \ - "12.796570,12.753850,12.750670,12.746030,12.748210,12.669760,12.453380", \ - "14.940220,14.884380,14.899780,14.822690,14.631410,14.222200,13.791490", \ - "18.553660,18.465460,18.367200,18.249020,17.988860,17.515080,16.794320", \ - "24.070040,24.030900,23.772270,23.460390,22.884800,22.140640,21.066350"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.428852,2.461935,2.483403,2.501745,2.567457,2.640693,2.701115", \ - "2.414235,2.449717,2.467127,2.482740,2.552412,2.614055,2.693857", \ - "2.766805,2.743183,2.740027,2.735546,2.761607,2.772569,2.794087", \ - "4.422057,4.393742,4.318406,4.207735,4.006332,3.687513,3.460276", \ - "7.144814,7.120830,7.020241,6.912996,6.653718,6.142404,5.384251", \ - "10.793350,10.787990,10.732360,10.617890,10.428060,9.935374,8.934425", \ - "15.355350,15.329590,15.301820,15.313190,15.188730,14.826470,13.928150"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("14.694900,14.703970,14.730450,14.775390,14.834090,14.846610,14.957530", \ - "14.472870,14.462990,14.583630,14.635020,14.681720,14.606530,14.758590", \ - "14.329490,14.316070,14.338390,14.432020,14.522760,14.531620,14.749770", \ - "14.912490,14.887740,14.889100,14.894710,14.863630,14.901710,14.800890", \ - "17.018630,16.995340,16.944540,16.799690,16.637500,16.363510,16.059840", \ - "20.431150,20.364040,20.325170,20.193760,20.093060,19.434110,18.927940", \ - "25.700120,25.679620,25.445900,25.179970,24.766650,24.201330,23.202690"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("4.142871,4.162291,4.180483,4.268410,4.387009,4.528143,4.697691", \ - "3.990263,3.957271,4.022659,4.137767,4.235563,4.390598,4.557236", \ - "4.052112,4.076805,4.097106,4.149564,4.233947,4.371967,4.517906", \ - "5.249268,5.213555,5.185857,5.108210,5.055693,5.011903,4.966158", \ - "8.023455,8.031118,7.937115,7.792482,7.488015,7.001196,6.469772", \ - "12.254430,12.223110,12.127620,12.003860,11.638650,10.887980,9.662213", \ - "17.571370,17.635220,17.603880,17.514730,17.279430,16.535760,14.901340"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("14.123420,14.175530,14.236510,14.289510,14.357440,14.371030,14.334530", \ - "13.886770,13.968870,14.016040,14.072480,14.112050,14.100870,14.353010", \ - "13.678730,13.695230,13.759670,13.789210,13.866870,13.992820,14.095370", \ - "13.901480,13.812670,13.886750,13.836710,13.913660,13.782910,13.941720", \ - "15.407040,15.293240,15.370760,15.301120,15.192990,14.869460,14.745060", \ - "19.208520,19.144380,18.883960,18.623570,18.292750,17.849630,16.853550", \ - "25.142180,24.952200,24.755460,24.426610,23.663960,22.391020,21.293910"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("3.589227,3.625780,3.719402,3.802912,3.983722,4.194535,4.425104", \ - "3.457331,3.475022,3.515584,3.674302,3.808449,4.034294,4.263825", \ - "3.491959,3.531356,3.565466,3.674066,3.797880,3.981656,4.189907", \ - "4.763962,4.736921,4.710212,4.655959,4.573107,4.573804,4.590131", \ - "7.534544,7.473213,7.385956,7.255143,6.970790,6.512262,5.984145", \ - "11.540810,11.465810,11.448270,11.286160,10.950760,10.242170,9.040604", \ - "16.611230,16.676810,16.643390,16.614850,16.318360,15.635550,14.041170"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("13.138620,13.131120,13.202680,13.246460,13.300150,13.250080,13.291670", \ - "12.903940,12.902710,12.942610,13.035580,13.114410,13.257240,13.313510", \ - "12.610160,12.665980,12.694650,12.747720,12.769120,12.888630,13.093400", \ - "12.756350,12.826300,12.839950,12.794630,12.724350,12.811630,12.927740", \ - "14.166910,14.144520,14.170890,14.236410,13.917330,13.782410,13.510030", \ - "17.802890,17.719920,17.621650,17.301010,16.907370,16.557640,15.635760", \ - "23.605560,23.495750,23.267430,22.883530,22.026360,21.124910,19.809460"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("3.789774,3.821367,3.862818,3.943106,4.072496,4.255172,4.445810", \ - "3.598428,3.644724,3.710495,3.759138,3.914575,4.089472,4.289959", \ - "3.638418,3.669067,3.739011,3.786536,3.889760,4.038308,4.209585", \ - "4.845041,4.826281,4.782799,4.688178,4.638580,4.607402,4.597718", \ - "7.646848,7.595542,7.491729,7.316566,7.001888,6.502170,6.000356", \ - "11.784650,11.752610,11.627930,11.454460,11.045630,10.251840,9.028425", \ - "17.144780,17.131300,17.010770,16.854180,16.538220,15.713620,14.042700"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("15.336930,15.327020,15.397030,15.430580,15.383170,15.438050,15.565830", \ - "15.139440,15.180210,15.198580,15.280290,15.247880,15.431110,15.588580", \ - "14.888930,14.881380,14.911810,14.985350,14.960800,15.029190,15.364370", \ - "14.934800,14.924650,14.932750,14.938050,15.071280,15.106680,15.233660", \ - "16.196950,16.299800,16.258090,16.200420,16.129570,16.014520,15.771390", \ - "19.591200,19.522060,19.356590,19.210560,18.997520,18.534120,17.950840", \ - "25.200710,25.104980,24.890820,24.489220,23.933570,23.024820,21.800440"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("4.123043,4.170584,4.173491,4.255312,4.386828,4.539704,4.698182", \ - "3.990702,4.019179,4.029240,4.124425,4.234213,4.380265,4.563748", \ - "3.912746,3.878755,3.972192,4.034803,4.152919,4.287856,4.459540", \ - "4.711315,4.706316,4.716792,4.670660,4.646356,4.687777,4.723928", \ - "6.973778,6.961297,6.921332,6.813967,6.634101,6.272538,5.846088", \ - "10.380220,10.317040,10.355960,10.261580,10.059670,9.533246,8.569794", \ - "14.704510,14.660370,14.739360,14.761440,14.671450,14.217390,13.031010"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("16.059600,16.074480,16.122400,16.100070,16.038890,16.179910,16.207610", \ - "15.908970,15.927040,16.011460,15.965250,16.089860,16.137540,16.108740", \ - "15.765110,15.782410,15.869180,15.819220,15.830700,16.000140,15.995170", \ - "15.907360,15.919790,15.994780,15.984740,15.926210,16.049980,16.054110", \ - "17.419160,17.438480,17.539150,17.450840,17.280720,17.046710,16.858570", \ - "21.193850,21.151300,21.028110,20.909990,20.559420,20.199050,19.369440", \ - "27.128020,27.060520,26.894490,26.566320,25.967460,25.191220,23.808000"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("3.577255,3.623248,3.723465,3.815498,3.967378,4.191154,4.417792", \ - "3.425179,3.476270,3.531160,3.678009,3.810886,4.034917,4.263581", \ - "3.353292,3.398411,3.456042,3.532965,3.721768,3.920946,4.146103", \ - "4.208631,4.248627,4.239910,4.218021,4.179150,4.271056,4.370530", \ - "6.448665,6.415200,6.380109,6.310588,6.105475,5.807553,5.397610", \ - "9.656997,9.613006,9.620025,9.601256,9.381937,8.913628,8.000763", \ - "13.800040,13.802800,13.799970,13.813640,13.727910,13.341290,12.251820"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("15.016540,15.072830,15.090760,15.129680,15.044420,15.157860,15.148730", \ - "14.873530,14.929270,14.952160,14.990080,15.038930,14.919670,15.106210", \ - "14.716010,14.792160,14.813800,14.874810,14.922520,14.849070,14.797520", \ - "14.878990,14.863000,14.917290,14.918380,14.981440,14.994870,15.064520", \ - "16.330560,16.326010,16.375190,16.300220,16.117730,15.898130,15.680010", \ - "19.806160,19.743370,19.683670,19.501850,19.185210,18.780320,17.970760", \ - "25.516160,25.430760,25.235750,25.048920,24.428040,23.687870,22.451660"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("3.788218,3.820528,3.865271,3.929502,4.077027,4.242200,4.435230", \ - "3.591449,3.626051,3.711472,3.788744,3.912161,4.086322,4.285696", \ - "3.547147,3.542324,3.614442,3.694693,3.802748,3.974187,4.159693", \ - "4.337167,4.325994,4.285306,4.268508,4.257645,4.299385,4.399370", \ - "6.583434,6.544418,6.496032,6.353601,6.146459,5.810074,5.425700", \ - "9.973460,9.922290,9.858147,9.767797,9.499078,8.926080,8.001690", \ - "14.320190,14.261720,14.190750,14.165780,13.962340,13.450240,12.276980"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("17.178940,17.254600,17.287670,17.309880,17.305210,17.425270,17.272420", \ - "17.135580,17.135230,17.147990,17.188520,17.239320,17.238920,17.305590", \ - "16.999500,16.987880,17.011960,17.056340,17.117850,17.131790,17.273840", \ - "17.063870,17.061060,17.050680,17.087670,17.090810,17.201640,17.201040", \ - "18.411660,18.492350,18.438860,18.390030,18.299460,18.048890,17.920940", \ - "21.683800,21.614160,21.572120,21.503940,21.359830,20.801930,20.120540", \ - "27.185170,27.195310,27.010100,26.822250,26.291190,25.608830,24.513670"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.657540,0.646362,0.668238,0.680532,0.706961,0.739358,0.751251", \ - "0.668355,0.678668,0.687090,0.724252,0.758121,0.816033,0.858647", \ - "1.175375,1.143607,1.098186,1.061727,1.025041,1.012081,1.009759", \ - "2.907736,2.873557,2.751521,2.570730,2.227283,1.844829,1.588270", \ - "5.560849,5.526586,5.457080,5.290592,4.911832,4.141859,3.163070", \ - "9.037818,9.079818,9.026888,8.956785,8.714078,7.984089,6.444027", \ - "13.434420,13.455520,13.489040,13.585900,13.451230,12.983160,11.481370"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("7.440213,7.471171,7.577382,7.572443,7.684519,7.665467,7.701532", \ - "7.321755,7.350449,7.419987,7.462292,7.463706,7.664624,7.644000", \ - "7.861717,7.899976,7.860336,7.787107,7.838930,7.821668,7.764398", \ - "9.801572,9.753193,9.660117,9.464229,9.196505,9.020566,8.542805", \ - "12.691110,12.591850,12.511670,12.404150,12.131930,11.554220,10.814630", \ - "17.521950,17.414050,17.219100,16.942840,16.368100,15.794380,14.377470", \ - "24.064160,23.948460,23.693070,23.362080,22.522070,21.315230,19.856090"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.708238,0.718544,0.708985,0.710730,0.722020,0.738201,0.758098", \ - "0.741270,0.738717,0.744709,0.756174,0.784372,0.822580,0.871071", \ - "1.239239,1.202948,1.161466,1.128330,1.081651,1.057219,1.056392", \ - "2.780903,2.758880,2.669850,2.500902,2.207830,1.851104,1.610193", \ - "5.122073,5.103882,5.041226,4.913975,4.601913,3.944378,3.048736", \ - "8.183111,8.189856,8.202896,8.154990,8.002682,7.399839,6.044781", \ - "12.054240,12.095180,12.182600,12.219490,12.165180,11.884770,10.579510"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("7.366882,7.450679,7.484599,7.524896,7.551281,7.657560,7.677880", \ - "7.247880,7.241334,7.329308,7.362781,7.415209,7.393851,7.598834", \ - "7.760989,7.727575,7.754419,7.729181,7.709698,7.685676,7.672122", \ - "9.450892,9.476912,9.328112,9.266899,9.047326,8.739383,8.455369", \ - "12.229130,12.229910,12.134170,12.113880,11.696500,11.013920,10.336310", \ - "16.602270,16.467870,16.303980,16.003800,15.562800,14.894760,13.698310", \ - "22.637920,22.541340,22.269100,21.901470,21.159850,20.035530,18.687450"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.666493,0.687740,0.693480,0.701468,0.715017,0.734928,0.758335", \ - "0.697871,0.706495,0.730489,0.742217,0.776807,0.829100,0.863676", \ - "1.178652,1.169996,1.136733,1.108739,1.078832,1.059443,1.055828", \ - "2.780952,2.708573,2.621117,2.445391,2.152972,1.840640,1.610116", \ - "5.293950,5.226887,5.158685,4.951145,4.602184,3.901362,3.038546", \ - "8.577741,8.533554,8.497555,8.358208,8.099027,7.397229,6.007117", \ - "12.688870,12.690230,12.691210,12.652780,12.490080,11.972960,10.563840"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("9.566915,9.649397,9.682814,9.670288,9.799306,9.779877,9.872439", \ - "9.463894,9.455368,9.576815,9.587494,9.588285,9.712268,9.638978", \ - "9.876175,9.875356,9.855317,9.897710,9.827502,9.911581,9.868821", \ - "11.438890,11.388240,11.349560,11.305460,11.171620,10.970490,10.643860", \ - "14.099790,14.130710,14.174550,13.964630,13.617060,13.236360,12.631070", \ - "18.300720,18.182490,18.030150,17.890100,17.548630,16.908980,15.902510", \ - "24.176120,24.036040,23.925220,23.541660,22.942340,22.102910,20.767060"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.660923,0.659116,0.672388,0.695684,0.721475,0.741389,0.760076", \ - "0.555627,0.576117,0.613229,0.661572,0.724509,0.792246,0.843356", \ - "0.811479,0.788104,0.761123,0.762610,0.781929,0.834203,0.898126", \ - "2.210400,2.173814,2.096454,1.966444,1.717250,1.413000,1.276204", \ - "4.295644,4.285959,4.275825,4.200954,3.943550,3.373351,2.529894", \ - "7.127849,7.080019,7.156140,7.111092,7.044540,6.593099,5.423040", \ - "10.646190,10.582870,10.656430,10.798500,10.835650,10.649810,9.690056"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("9.420950,9.443067,9.427627,9.497834,9.455886,9.545592,9.482647", \ - "9.312503,9.289166,9.323467,9.307730,9.437988,9.421768,9.483474", \ - "9.878840,9.896946,9.850076,9.810121,9.796236,9.705738,9.728546", \ - "11.717350,11.732140,11.617000,11.560440,11.351530,11.052830,10.575620", \ - "14.789680,14.810690,14.732370,14.631850,14.355940,13.815580,13.024540", \ - "19.563490,19.477520,19.412570,19.141070,18.842380,18.218590,16.971970", \ - "26.076240,25.970010,25.903850,25.636490,25.063090,24.139980,22.501010"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.720007,0.710510,0.711277,0.716941,0.726567,0.742500,0.753576", \ - "0.636753,0.630177,0.661646,0.693471,0.744422,0.803019,0.857265", \ - "0.890030,0.870164,0.852037,0.845763,0.845997,0.892150,0.940177", \ - "2.120338,2.115296,2.046261,1.949406,1.734922,1.453030,1.321755", \ - "3.984942,3.961687,3.933739,3.876879,3.698557,3.239000,2.480660", \ - "6.319010,6.400555,6.426660,6.466748,6.375910,6.098593,5.108807", \ - "9.407031,9.473865,9.539656,9.614589,9.712139,9.665429,8.926527"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("9.358290,9.344372,9.380785,9.397532,9.334434,9.450177,9.547809", \ - "9.162152,9.239067,9.262245,9.301291,9.354746,9.299428,9.162383", \ - "9.789824,9.794119,9.765150,9.741367,9.592495,9.479612,9.563717", \ - "11.499840,11.443910,11.397500,11.317310,11.165950,10.830540,10.457280", \ - "14.396580,14.426550,14.415130,14.247000,13.891420,13.378550,12.573010", \ - "18.615010,18.595910,18.456970,18.313170,18.070460,17.246080,16.204350", \ - "24.529420,24.487030,24.398240,24.137290,23.590350,22.735660,21.284050"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.669728,0.676953,0.701462,0.704310,0.714428,0.736095,0.753180", \ - "0.610689,0.614796,0.646371,0.687668,0.733039,0.802027,0.857537", \ - "0.840892,0.826542,0.818495,0.827668,0.841023,0.886856,0.946320", \ - "2.136043,2.083523,2.031529,1.905831,1.684291,1.446768,1.319971", \ - "4.134080,4.095837,4.054134,3.972728,3.730351,3.208216,2.461588", \ - "6.760102,6.711852,6.705750,6.697257,6.531695,6.106071,5.072505", \ - "9.888107,9.955476,10.010690,10.010080,9.963150,9.800909,8.942969"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("11.536170,11.566990,11.544410,11.580940,11.670260,11.750810,11.711870", \ - "11.441030,11.454360,11.453500,11.494740,11.559210,11.562550,11.740980", \ - "11.904750,11.879100,11.882280,11.887380,11.867130,11.783730,11.732360", \ - "13.512100,13.466270,13.436410,13.381490,13.208070,12.958490,12.634510", \ - "16.451230,16.451890,16.343800,16.130810,15.793750,15.397570,14.773560", \ - "20.431530,20.405040,20.379750,20.252410,19.903650,19.291660,18.379190", \ - "26.320300,26.207580,26.125230,25.922510,25.510280,24.767400,23.281860"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI221_X4 - Cell Description : Combinational cell (AOI221_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI221_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 455.016977; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 346.742500; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 414.985875; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 349.286628; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 484.350000; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 414.985875; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 483.224250; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 417.537505; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 524.161250; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 349.287879; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 417.538755; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 351.838258; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 524.167125; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 440.843875; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 480.850750; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 480.871000; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 509.082250; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 396.763625; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 436.445750; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 436.460750; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 469.188250; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 436.613625; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 476.295750; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 476.310750; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 509.039500; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 436.627375; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 476.310750; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 476.318000; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 509.044875; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 468.720625; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 508.937875; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 508.944750; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 548.767250; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.689855; - fall_capacitance : 1.500866; - rise_capacitance : 1.689855; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.611229; - fall_capacitance : 1.611229; - rise_capacitance : 1.563873; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.679839; - fall_capacitance : 1.629867; - rise_capacitance : 1.679839; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.648433; - fall_capacitance : 1.381820; - rise_capacitance : 1.648433; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.744299; - fall_capacitance : 1.387904; - rise_capacitance : 1.744299; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "!(!(!(((C1 & C2) | A) | (B1 & B2))))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022439,0.025067,0.027370,0.031468,0.039081,0.053961,0.083657", \ - "0.023208,0.025835,0.028137,0.032237,0.039850,0.054731,0.084427", \ - "0.026174,0.028802,0.031104,0.035202,0.042814,0.057695,0.087390", \ - "0.029560,0.032189,0.034492,0.038591,0.046201,0.061077,0.090771", \ - "0.030985,0.033650,0.035969,0.040080,0.047687,0.062549,0.092230", \ - "0.029988,0.032736,0.035102,0.039255,0.046872,0.061716,0.091381", \ - "0.026316,0.029172,0.031609,0.035838,0.043484,0.058315,0.087955"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.037133,0.040197,0.042931,0.048104,0.058370,0.078958,0.120159", \ - "0.037847,0.040912,0.043645,0.048819,0.059085,0.079673,0.120870", \ - "0.040845,0.043910,0.046643,0.051816,0.062082,0.082670,0.123866", \ - "0.047385,0.050456,0.053188,0.058351,0.068601,0.089176,0.130371", \ - "0.057157,0.060284,0.063041,0.068199,0.078416,0.098959,0.140134", \ - "0.069784,0.072973,0.075772,0.080936,0.091125,0.111630,0.152778", \ - "0.085087,0.088356,0.091224,0.096417,0.106586,0.127041,0.168154"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002627,0.003955,0.005346,0.008217,0.014294,0.026988,0.052680", \ - "0.002627,0.003955,0.005346,0.008217,0.014294,0.026989,0.052678", \ - "0.002632,0.003959,0.005350,0.008219,0.014295,0.026990,0.052680", \ - "0.002741,0.004043,0.005414,0.008258,0.014310,0.026992,0.052677", \ - "0.002980,0.004229,0.005559,0.008347,0.014344,0.026996,0.052679", \ - "0.003334,0.004538,0.005817,0.008518,0.014417,0.027012,0.052681", \ - "0.003743,0.004926,0.006165,0.008776,0.014545,0.027043,0.052690"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003177,0.005029,0.007054,0.011529,0.021009,0.040232,0.078767", \ - "0.003178,0.005029,0.007054,0.011529,0.021012,0.040235,0.078763", \ - "0.003178,0.005029,0.007055,0.011528,0.021011,0.040234,0.078757", \ - "0.003222,0.005065,0.007079,0.011540,0.021012,0.040236,0.078767", \ - "0.003426,0.005252,0.007215,0.011604,0.021036,0.040241,0.078759", \ - "0.003668,0.005481,0.007397,0.011702,0.021071,0.040257,0.078765", \ - "0.003992,0.005795,0.007670,0.011861,0.021139,0.040276,0.078779"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021558,0.024187,0.026490,0.030591,0.038203,0.053083,0.082780", \ - "0.022307,0.024935,0.027238,0.031339,0.038951,0.053832,0.083528", \ - "0.025227,0.027856,0.030158,0.034256,0.041868,0.056748,0.086444", \ - "0.028193,0.030824,0.033127,0.037226,0.044836,0.059711,0.089405", \ - "0.029039,0.031710,0.034032,0.038146,0.045753,0.060612,0.090293", \ - "0.027314,0.030076,0.032451,0.036613,0.044233,0.059073,0.088736", \ - "0.022791,0.025668,0.028120,0.032364,0.040023,0.054845,0.084479"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041169,0.044275,0.047026,0.052197,0.062444,0.083013,0.124201", \ - "0.041832,0.044937,0.047688,0.052860,0.063106,0.083676,0.124862", \ - "0.044488,0.047594,0.050345,0.055516,0.065763,0.086331,0.127518", \ - "0.050148,0.053258,0.056009,0.061172,0.071408,0.091968,0.133149", \ - "0.059334,0.062489,0.065265,0.070428,0.080637,0.101169,0.142333", \ - "0.071864,0.075071,0.077883,0.083055,0.093242,0.113741,0.154883", \ - "0.087437,0.090714,0.093591,0.098790,0.108962,0.129417,0.170527"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002626,0.003954,0.005346,0.008217,0.014294,0.026990,0.052680", \ - "0.002625,0.003954,0.005346,0.008217,0.014295,0.026990,0.052678", \ - "0.002632,0.003959,0.005350,0.008219,0.014295,0.026990,0.052679", \ - "0.002751,0.004050,0.005420,0.008261,0.014312,0.026992,0.052677", \ - "0.003012,0.004255,0.005580,0.008360,0.014349,0.026997,0.052681", \ - "0.003389,0.004587,0.005859,0.008548,0.014431,0.027015,0.052684", \ - "0.003819,0.005000,0.006232,0.008827,0.014572,0.027049,0.052690"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003315,0.005156,0.007147,0.011575,0.021028,0.040241,0.078773", \ - "0.003314,0.005156,0.007147,0.011575,0.021028,0.040241,0.078775", \ - "0.003314,0.005156,0.007147,0.011576,0.021027,0.040239,0.078764", \ - "0.003343,0.005181,0.007165,0.011584,0.021029,0.040247,0.078768", \ - "0.003513,0.005338,0.007285,0.011644,0.021048,0.040249,0.078766", \ - "0.003716,0.005532,0.007442,0.011729,0.021081,0.040259,0.078775", \ - "0.004012,0.005818,0.007694,0.011877,0.021146,0.040282,0.078770"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022392,0.025029,0.027336,0.031439,0.039052,0.053931,0.083623", \ - "0.023141,0.025777,0.028084,0.032187,0.039801,0.054679,0.084373", \ - "0.026096,0.028730,0.031036,0.035138,0.042751,0.057629,0.087323", \ - "0.029448,0.032092,0.034401,0.038505,0.046115,0.060986,0.090676", \ - "0.030753,0.033446,0.035779,0.039903,0.047513,0.062367,0.092041", \ - "0.029539,0.032326,0.034716,0.038893,0.046523,0.061360,0.091013", \ - "0.025577,0.028478,0.030947,0.035210,0.042880,0.057705,0.087331"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.044870,0.047993,0.050752,0.055918,0.066149,0.086705,0.127886", \ - "0.045566,0.048688,0.051447,0.056613,0.066845,0.087401,0.128582", \ - "0.048202,0.051325,0.054083,0.059250,0.069482,0.090037,0.131219", \ - "0.053862,0.056987,0.059745,0.064906,0.075131,0.095678,0.136854", \ - "0.063310,0.066475,0.069256,0.074416,0.084614,0.105131,0.146290", \ - "0.076044,0.079255,0.082072,0.087242,0.097420,0.117906,0.159041", \ - "0.091923,0.095201,0.098077,0.103272,0.113435,0.133877,0.174977"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002687,0.004003,0.005385,0.008241,0.014304,0.026990,0.052680", \ - "0.002688,0.004003,0.005385,0.008241,0.014304,0.026991,0.052677", \ - "0.002694,0.004008,0.005389,0.008243,0.014305,0.026991,0.052677", \ - "0.002833,0.004115,0.005471,0.008294,0.014325,0.026994,0.052678", \ - "0.003115,0.004342,0.005652,0.008408,0.014370,0.027001,0.052679", \ - "0.003499,0.004688,0.005948,0.008612,0.014463,0.027022,0.052683", \ - "0.003930,0.005108,0.006330,0.008902,0.014614,0.027062,0.052691"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003390,0.005224,0.007198,0.011600,0.021037,0.040250,0.078777", \ - "0.003390,0.005224,0.007198,0.011600,0.021037,0.040245,0.078758", \ - "0.003391,0.005224,0.007197,0.011600,0.021034,0.040248,0.078760", \ - "0.003404,0.005236,0.007206,0.011603,0.021034,0.040246,0.078761", \ - "0.003561,0.005383,0.007318,0.011660,0.021056,0.040253,0.078762", \ - "0.003756,0.005568,0.007471,0.011744,0.021088,0.040264,0.078776", \ - "0.004036,0.005834,0.007706,0.011883,0.021146,0.040280,0.078773"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022454,0.025080,0.027383,0.031482,0.039095,0.053976,0.083670", \ - "0.023255,0.025881,0.028184,0.032283,0.039896,0.054777,0.084472", \ - "0.026227,0.028856,0.031157,0.035256,0.042867,0.057748,0.087443", \ - "0.029476,0.032108,0.034410,0.038509,0.046119,0.060995,0.090688", \ - "0.030431,0.033097,0.035417,0.039528,0.047135,0.061996,0.091678", \ - "0.028537,0.031289,0.033657,0.037812,0.045431,0.060273,0.089937", \ - "0.023505,0.026366,0.028807,0.033040,0.040688,0.055517,0.085155"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043473,0.046621,0.049398,0.054578,0.064819,0.085374,0.126560", \ - "0.044015,0.047162,0.049939,0.055119,0.065359,0.085915,0.127099", \ - "0.046675,0.049822,0.052599,0.057779,0.068020,0.088575,0.129757", \ - "0.053037,0.056187,0.058964,0.064140,0.074371,0.094922,0.136101", \ - "0.063576,0.066771,0.069577,0.074754,0.084958,0.105473,0.146629", \ - "0.077417,0.080667,0.083519,0.088712,0.098900,0.119381,0.160508", \ - "0.094467,0.097786,0.100706,0.105933,0.116113,0.136553,0.177643"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002627,0.003955,0.005346,0.008217,0.014294,0.026989,0.052679", \ - "0.002627,0.003955,0.005346,0.008217,0.014294,0.026990,0.052680", \ - "0.002632,0.003959,0.005350,0.008219,0.014295,0.026990,0.052679", \ - "0.002742,0.004043,0.005414,0.008258,0.014311,0.026992,0.052678", \ - "0.002986,0.004234,0.005563,0.008349,0.014345,0.026996,0.052679", \ - "0.003347,0.004548,0.005826,0.008525,0.014420,0.027013,0.052684", \ - "0.003759,0.004942,0.006180,0.008788,0.014552,0.027042,0.052690"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003449,0.005289,0.007252,0.011635,0.021053,0.040253,0.078767", \ - "0.003449,0.005288,0.007252,0.011636,0.021053,0.040254,0.078774", \ - "0.003449,0.005289,0.007252,0.011635,0.021054,0.040253,0.078783", \ - "0.003469,0.005306,0.007265,0.011643,0.021057,0.040256,0.078772", \ - "0.003657,0.005481,0.007404,0.011715,0.021083,0.040260,0.078784", \ - "0.003887,0.005700,0.007592,0.011823,0.021126,0.040276,0.078789", \ - "0.004195,0.005994,0.007859,0.011988,0.021206,0.040294,0.078788"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021571,0.024201,0.026503,0.030604,0.038217,0.053097,0.082794", \ - "0.022353,0.024982,0.027284,0.031385,0.038998,0.053879,0.083574", \ - "0.025284,0.027912,0.030214,0.034312,0.041924,0.056805,0.086500", \ - "0.028124,0.030754,0.033057,0.037157,0.044768,0.059643,0.089336", \ - "0.028533,0.031206,0.033529,0.037643,0.045250,0.060110,0.089791", \ - "0.025966,0.028730,0.031107,0.035270,0.042892,0.057732,0.087392", \ - "0.020169,0.023050,0.025504,0.029752,0.037411,0.052235,0.081868"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047376,0.050555,0.053354,0.058539,0.068767,0.089305,0.130479", \ - "0.047869,0.051049,0.053846,0.059032,0.069259,0.089799,0.130968", \ - "0.050278,0.053457,0.056255,0.061440,0.071668,0.092208,0.133379", \ - "0.055827,0.059009,0.061807,0.066989,0.077210,0.097742,0.138912", \ - "0.065635,0.068855,0.071681,0.076865,0.087065,0.107567,0.148713", \ - "0.079217,0.082480,0.085344,0.090545,0.100729,0.121203,0.162329", \ - "0.096359,0.099683,0.102607,0.107840,0.118021,0.138458,0.179547"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002625,0.003954,0.005346,0.008217,0.014295,0.026990,0.052679", \ - "0.002626,0.003954,0.005346,0.008217,0.014294,0.026990,0.052678", \ - "0.002631,0.003959,0.005350,0.008219,0.014295,0.026990,0.052679", \ - "0.002752,0.004051,0.005420,0.008261,0.014312,0.026992,0.052678", \ - "0.003016,0.004258,0.005582,0.008361,0.014349,0.026997,0.052680", \ - "0.003395,0.004594,0.005866,0.008553,0.014432,0.027015,0.052683", \ - "0.003828,0.005011,0.006242,0.008836,0.014576,0.027049,0.052690"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003566,0.005401,0.007343,0.011685,0.021073,0.040262,0.078768", \ - "0.003566,0.005401,0.007342,0.011686,0.021072,0.040258,0.078768", \ - "0.003566,0.005401,0.007343,0.011685,0.021073,0.040262,0.078785", \ - "0.003582,0.005414,0.007353,0.011690,0.021074,0.040258,0.078773", \ - "0.003741,0.005565,0.007477,0.011758,0.021097,0.040265,0.078775", \ - "0.003927,0.005744,0.007633,0.011850,0.021141,0.040275,0.078772", \ - "0.004202,0.006004,0.007872,0.011998,0.021209,0.040298,0.078781"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022406,0.025043,0.027349,0.031453,0.039066,0.053945,0.083637", \ - "0.023187,0.025824,0.028130,0.032234,0.039847,0.054726,0.084419", \ - "0.026150,0.028785,0.031091,0.035193,0.042806,0.057685,0.087378", \ - "0.029381,0.032025,0.034335,0.038439,0.046049,0.060920,0.090609", \ - "0.030255,0.032949,0.035284,0.039408,0.047017,0.061873,0.091547", \ - "0.028215,0.031004,0.033396,0.037575,0.045202,0.060038,0.089692", \ - "0.022988,0.025893,0.028366,0.032633,0.040300,0.055128,0.084753"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051836,0.055036,0.057848,0.063033,0.073246,0.093768,0.134928", \ - "0.052368,0.055569,0.058381,0.063565,0.073779,0.094300,0.135456", \ - "0.054756,0.057956,0.060768,0.065953,0.076167,0.096687,0.137846", \ - "0.060250,0.063451,0.066263,0.071444,0.081654,0.102171,0.143329", \ - "0.070297,0.073529,0.076365,0.081549,0.091737,0.112221,0.153357", \ - "0.084069,0.087342,0.090216,0.095418,0.105600,0.126060,0.167173", \ - "0.101483,0.104812,0.107743,0.112974,0.123141,0.143566,0.184646"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002688,0.004003,0.005385,0.008241,0.014304,0.026990,0.052680", \ - "0.002688,0.004003,0.005385,0.008241,0.014304,0.026990,0.052678", \ - "0.002694,0.004008,0.005388,0.008243,0.014305,0.026991,0.052680", \ - "0.002833,0.004115,0.005472,0.008294,0.014325,0.026994,0.052677", \ - "0.003120,0.004346,0.005656,0.008410,0.014370,0.027001,0.052680", \ - "0.003508,0.004697,0.005957,0.008618,0.014465,0.027023,0.052683", \ - "0.003947,0.005123,0.006344,0.008913,0.014621,0.027064,0.052690"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003663,0.005492,0.007416,0.011726,0.021085,0.040265,0.078777", \ - "0.003663,0.005491,0.007416,0.011725,0.021085,0.040270,0.078784", \ - "0.003663,0.005492,0.007416,0.011725,0.021089,0.040265,0.078766", \ - "0.003670,0.005497,0.007420,0.011727,0.021089,0.040262,0.078771", \ - "0.003809,0.005627,0.007527,0.011783,0.021105,0.040274,0.078777", \ - "0.003992,0.005801,0.007681,0.011875,0.021148,0.040282,0.078776", \ - "0.004250,0.006044,0.007906,0.012017,0.021217,0.040301,0.078779"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.023282,0.025918,0.028224,0.032327,0.039940,0.054818,0.084511", \ - "0.024083,0.026719,0.029025,0.033128,0.040741,0.055620,0.085312", \ - "0.027078,0.029713,0.032018,0.036120,0.043733,0.058612,0.088304", \ - "0.030681,0.033324,0.035633,0.039737,0.047347,0.062218,0.091906", \ - "0.032063,0.034751,0.037081,0.041203,0.048812,0.063669,0.093343", \ - "0.030649,0.033425,0.035809,0.039980,0.047606,0.062444,0.092100", \ - "0.026135,0.029022,0.031481,0.035733,0.043394,0.058219,0.087848"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047344,0.050510,0.053297,0.058475,0.068702,0.089245,0.130417", \ - "0.047919,0.051085,0.053872,0.059050,0.069277,0.089818,0.130993", \ - "0.050559,0.053725,0.056512,0.061690,0.071918,0.092458,0.133631", \ - "0.056897,0.060064,0.062851,0.068026,0.078248,0.098785,0.139957", \ - "0.067787,0.070991,0.073802,0.078978,0.089171,0.109674,0.150823", \ - "0.081959,0.085215,0.088072,0.093263,0.103443,0.123908,0.165028", \ - "0.099359,0.102680,0.105602,0.110827,0.120997,0.141425,0.182506"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002689,0.004004,0.005385,0.008241,0.014304,0.026991,0.052680", \ - "0.002689,0.004004,0.005385,0.008241,0.014304,0.026990,0.052680", \ - "0.002695,0.004009,0.005389,0.008243,0.014305,0.026991,0.052680", \ - "0.002821,0.004106,0.005465,0.008290,0.014323,0.026993,0.052680", \ - "0.003089,0.004321,0.005635,0.008397,0.014366,0.027000,0.052682", \ - "0.003460,0.004652,0.005917,0.008590,0.014453,0.027020,0.052683", \ - "0.003879,0.005058,0.006284,0.008867,0.014596,0.027057,0.052689"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003530,0.005363,0.007310,0.011667,0.021066,0.040260,0.078772", \ - "0.003529,0.005363,0.007310,0.011667,0.021067,0.040264,0.078781", \ - "0.003530,0.005363,0.007310,0.011667,0.021064,0.040264,0.078783", \ - "0.003539,0.005370,0.007316,0.011669,0.021068,0.040258,0.078772", \ - "0.003704,0.005523,0.007438,0.011733,0.021086,0.040266,0.078766", \ - "0.003930,0.005739,0.007624,0.011840,0.021136,0.040281,0.078782", \ - "0.004223,0.006019,0.007880,0.011998,0.021211,0.040301,0.078787"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022406,0.025043,0.027349,0.031453,0.039066,0.053945,0.083637", \ - "0.023187,0.025824,0.028130,0.032234,0.039847,0.054726,0.084419", \ - "0.026150,0.028785,0.031091,0.035193,0.042806,0.057685,0.087378", \ - "0.029381,0.032025,0.034335,0.038439,0.046049,0.060920,0.090609", \ - "0.030255,0.032949,0.035284,0.039408,0.047017,0.061873,0.091547", \ - "0.028215,0.031004,0.033396,0.037575,0.045202,0.060038,0.089692", \ - "0.022988,0.025893,0.028366,0.032633,0.040300,0.055128,0.084753"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051836,0.055036,0.057848,0.063033,0.073246,0.093768,0.134928", \ - "0.052368,0.055569,0.058381,0.063565,0.073779,0.094300,0.135456", \ - "0.054756,0.057956,0.060768,0.065953,0.076167,0.096687,0.137846", \ - "0.060250,0.063451,0.066263,0.071444,0.081654,0.102171,0.143329", \ - "0.070297,0.073529,0.076365,0.081549,0.091737,0.112221,0.153357", \ - "0.084069,0.087342,0.090216,0.095418,0.105600,0.126060,0.167173", \ - "0.101483,0.104812,0.107743,0.112974,0.123141,0.143566,0.184646"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002688,0.004003,0.005385,0.008241,0.014304,0.026990,0.052680", \ - "0.002688,0.004003,0.005385,0.008241,0.014304,0.026990,0.052678", \ - "0.002694,0.004008,0.005388,0.008243,0.014305,0.026991,0.052680", \ - "0.002833,0.004115,0.005472,0.008294,0.014325,0.026994,0.052677", \ - "0.003120,0.004346,0.005656,0.008410,0.014370,0.027001,0.052680", \ - "0.003508,0.004697,0.005957,0.008618,0.014465,0.027023,0.052683", \ - "0.003947,0.005123,0.006344,0.008913,0.014621,0.027064,0.052690"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003663,0.005492,0.007416,0.011726,0.021085,0.040265,0.078777", \ - "0.003663,0.005491,0.007416,0.011725,0.021085,0.040270,0.078784", \ - "0.003663,0.005492,0.007416,0.011725,0.021089,0.040265,0.078766", \ - "0.003670,0.005497,0.007420,0.011727,0.021089,0.040262,0.078771", \ - "0.003809,0.005627,0.007527,0.011783,0.021105,0.040274,0.078777", \ - "0.003992,0.005801,0.007681,0.011875,0.021148,0.040282,0.078776", \ - "0.004250,0.006044,0.007906,0.012017,0.021217,0.040301,0.078779"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.023245,0.025889,0.028200,0.032309,0.039923,0.054800,0.084491", \ - "0.024025,0.026669,0.028981,0.033089,0.040704,0.055580,0.085271", \ - "0.027009,0.029652,0.031963,0.036070,0.043684,0.058561,0.088253", \ - "0.030595,0.033250,0.035566,0.039677,0.047288,0.062156,0.091840", \ - "0.031893,0.034606,0.036951,0.041087,0.048699,0.063550,0.093219", \ - "0.030325,0.033136,0.035542,0.039736,0.047370,0.062203,0.091850", \ - "0.025601,0.028529,0.031018,0.035304,0.042984,0.057812,0.087428"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.056361,0.059584,0.062413,0.067599,0.077799,0.098301,0.139444", \ - "0.056927,0.060150,0.062979,0.068165,0.078365,0.098866,0.140012", \ - "0.059299,0.062522,0.065351,0.070537,0.080737,0.101237,0.142384", \ - "0.064715,0.067938,0.070767,0.075952,0.086153,0.106651,0.147791", \ - "0.074967,0.078206,0.081052,0.086235,0.096405,0.116877,0.157998", \ - "0.088946,0.092233,0.095120,0.100323,0.110485,0.130927,0.172028", \ - "0.106592,0.109932,0.112873,0.118106,0.128267,0.148676,0.189736"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002751,0.004054,0.005425,0.008267,0.014315,0.026993,0.052680", \ - "0.002751,0.004054,0.005425,0.008267,0.014316,0.026994,0.052679", \ - "0.002757,0.004059,0.005429,0.008269,0.014316,0.026994,0.052678", \ - "0.002907,0.004176,0.005521,0.008327,0.014339,0.026997,0.052680", \ - "0.003210,0.004426,0.005723,0.008457,0.014392,0.027006,0.052681", \ - "0.003603,0.004787,0.006037,0.008678,0.014497,0.027031,0.052683", \ - "0.004047,0.005223,0.006436,0.008985,0.014661,0.027079,0.052691"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003770,0.005590,0.007497,0.011768,0.021105,0.040267,0.078783", \ - "0.003769,0.005590,0.007497,0.011770,0.021107,0.040273,0.078790", \ - "0.003768,0.005590,0.007497,0.011770,0.021103,0.040272,0.078782", \ - "0.003773,0.005592,0.007499,0.011770,0.021105,0.040274,0.078787", \ - "0.003888,0.005695,0.007582,0.011812,0.021118,0.040274,0.078774", \ - "0.004072,0.005872,0.007743,0.011912,0.021164,0.040285,0.078775", \ - "0.004315,0.006102,0.007958,0.012047,0.021231,0.040307,0.078782"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032850,0.035561,0.037917,0.042071,0.049712,0.064588,0.094266", \ - "0.033392,0.036103,0.038458,0.042613,0.050254,0.065129,0.094809", \ - "0.035972,0.038684,0.041040,0.045194,0.052835,0.067710,0.097390", \ - "0.041384,0.044098,0.046453,0.050605,0.058244,0.073118,0.102795", \ - "0.046387,0.049125,0.051493,0.055655,0.063293,0.078156,0.107827", \ - "0.050151,0.052952,0.055356,0.059551,0.067195,0.082044,0.111697", \ - "0.052475,0.055378,0.057848,0.062114,0.069716,0.084566,0.114197"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047504,0.050652,0.053429,0.058609,0.068850,0.089407,0.130586", \ - "0.048298,0.051445,0.054222,0.059402,0.069642,0.090200,0.131380", \ - "0.050644,0.053791,0.056568,0.061749,0.071988,0.092545,0.133725", \ - "0.054430,0.057579,0.060356,0.065533,0.075767,0.096319,0.137497", \ - "0.060218,0.063409,0.066214,0.071393,0.081605,0.102128,0.143287", \ - "0.068928,0.072177,0.075030,0.080226,0.090425,0.110913,0.152047", \ - "0.080306,0.083636,0.086568,0.091808,0.102001,0.122446,0.163539"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003051,0.004317,0.005650,0.008428,0.014400,0.027021,0.052686", \ - "0.003050,0.004316,0.005650,0.008428,0.014400,0.027021,0.052684", \ - "0.003049,0.004315,0.005649,0.008427,0.014400,0.027021,0.052684", \ - "0.003083,0.004341,0.005670,0.008440,0.014404,0.027021,0.052686", \ - "0.003241,0.004475,0.005780,0.008512,0.014435,0.027029,0.052688", \ - "0.003539,0.004735,0.005997,0.008660,0.014502,0.027045,0.052689", \ - "0.003932,0.005110,0.006333,0.008905,0.014622,0.027078,0.052696"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003449,0.005289,0.007252,0.011635,0.021053,0.040257,0.078767", \ - "0.003448,0.005289,0.007252,0.011635,0.021055,0.040258,0.078774", \ - "0.003450,0.005289,0.007252,0.011635,0.021055,0.040255,0.078775", \ - "0.003464,0.005301,0.007262,0.011639,0.021056,0.040254,0.078783", \ - "0.003633,0.005461,0.007389,0.011710,0.021079,0.040259,0.078781", \ - "0.003872,0.005690,0.007586,0.011824,0.021134,0.040277,0.078776", \ - "0.004220,0.006026,0.007895,0.012020,0.021230,0.040308,0.078791"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030902,0.033619,0.035979,0.040138,0.047782,0.062658,0.092336", \ - "0.031444,0.034161,0.036521,0.040680,0.048324,0.063200,0.092879", \ - "0.034079,0.036794,0.039153,0.043311,0.050955,0.065831,0.095511", \ - "0.039281,0.042000,0.044359,0.048515,0.056155,0.071029,0.100707", \ - "0.043681,0.046427,0.048800,0.052967,0.060606,0.075468,0.105139", \ - "0.046624,0.049446,0.051862,0.056069,0.063717,0.078563,0.108211", \ - "0.047938,0.050872,0.053364,0.057656,0.065305,0.080145,0.109768"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051438,0.054618,0.057416,0.062601,0.072830,0.093368,0.134538", \ - "0.052180,0.055359,0.058157,0.063343,0.073571,0.094110,0.135278", \ - "0.054410,0.057590,0.060387,0.065573,0.075801,0.096339,0.137513", \ - "0.057864,0.061044,0.063842,0.069027,0.079251,0.099787,0.140953", \ - "0.063253,0.066468,0.069292,0.074477,0.084683,0.105192,0.146340", \ - "0.071794,0.075059,0.077926,0.083130,0.093323,0.113800,0.154925", \ - "0.083417,0.086759,0.089703,0.094952,0.105139,0.125582,0.166664"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003068,0.004333,0.005665,0.008440,0.014407,0.027023,0.052685", \ - "0.003066,0.004331,0.005664,0.008439,0.014407,0.027023,0.052684", \ - "0.003057,0.004324,0.005658,0.008435,0.014404,0.027023,0.052684", \ - "0.003099,0.004359,0.005685,0.008451,0.014410,0.027023,0.052685", \ - "0.003280,0.004508,0.005808,0.008532,0.014445,0.027031,0.052688", \ - "0.003616,0.004805,0.006059,0.008703,0.014522,0.027050,0.052691", \ - "0.004047,0.005223,0.006438,0.008986,0.014662,0.027088,0.052698"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003566,0.005401,0.007343,0.011685,0.021074,0.040267,0.078772", \ - "0.003566,0.005401,0.007343,0.011685,0.021074,0.040257,0.078769", \ - "0.003566,0.005401,0.007343,0.011686,0.021071,0.040265,0.078770", \ - "0.003575,0.005409,0.007349,0.011688,0.021072,0.040265,0.078778", \ - "0.003718,0.005546,0.007461,0.011751,0.021096,0.040267,0.078776", \ - "0.003932,0.005748,0.007638,0.011855,0.021142,0.040278,0.078779", \ - "0.004269,0.006074,0.007941,0.012047,0.021242,0.040313,0.078783"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032283,0.035021,0.037392,0.041561,0.049208,0.064082,0.093756", \ - "0.032824,0.035562,0.037932,0.042102,0.049749,0.064623,0.094298", \ - "0.035440,0.038177,0.040546,0.044715,0.052362,0.067236,0.096911", \ - "0.040860,0.043597,0.045967,0.050133,0.057777,0.072647,0.102321", \ - "0.045741,0.048511,0.050897,0.055078,0.062722,0.077581,0.107246", \ - "0.049221,0.052069,0.054502,0.058728,0.066384,0.081227,0.110869", \ - "0.051109,0.054071,0.056583,0.060899,0.068574,0.083401,0.113017"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.055900,0.059100,0.061912,0.067096,0.077311,0.097833,0.138988", \ - "0.056698,0.059898,0.062710,0.067895,0.078108,0.098631,0.139788", \ - "0.058940,0.062141,0.064953,0.070137,0.080351,0.100870,0.142029", \ - "0.062346,0.065547,0.068359,0.073543,0.083754,0.104272,0.145432", \ - "0.067903,0.071132,0.073966,0.079151,0.089342,0.109836,0.150978", \ - "0.076489,0.079763,0.082638,0.087842,0.098023,0.118485,0.159603", \ - "0.088361,0.091704,0.094650,0.099895,0.110076,0.130495,0.171573"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003165,0.004418,0.005737,0.008489,0.014432,0.027032,0.052688", \ - "0.003164,0.004417,0.005737,0.008489,0.014431,0.027032,0.052686", \ - "0.003156,0.004410,0.005731,0.008485,0.014429,0.027032,0.052686", \ - "0.003195,0.004440,0.005754,0.008499,0.014434,0.027033,0.052688", \ - "0.003388,0.004606,0.005892,0.008592,0.014475,0.027042,0.052690", \ - "0.003729,0.004915,0.006158,0.008776,0.014560,0.027064,0.052692", \ - "0.004170,0.005344,0.006549,0.009074,0.014711,0.027108,0.052703"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003664,0.005492,0.007416,0.011725,0.021088,0.040262,0.078767", \ - "0.003663,0.005492,0.007415,0.011726,0.021087,0.040264,0.078768", \ - "0.003663,0.005492,0.007416,0.011725,0.021089,0.040264,0.078769", \ - "0.003667,0.005495,0.007418,0.011727,0.021085,0.040264,0.078767", \ - "0.003792,0.005611,0.007515,0.011779,0.021107,0.040270,0.078781", \ - "0.003988,0.005799,0.007681,0.011877,0.021153,0.040281,0.078786", \ - "0.004303,0.006100,0.007962,0.012056,0.021242,0.040311,0.078793"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033845,0.036556,0.038912,0.043067,0.050707,0.065583,0.095261", \ - "0.034350,0.037062,0.039418,0.043572,0.051214,0.066088,0.095767", \ - "0.035933,0.038644,0.041000,0.045154,0.052795,0.067672,0.097350", \ - "0.038917,0.041633,0.043990,0.048145,0.055786,0.070660,0.100340", \ - "0.041943,0.044675,0.047042,0.051206,0.058847,0.073717,0.103391", \ - "0.043632,0.046404,0.048794,0.052979,0.060627,0.075489,0.105151", \ - "0.043317,0.046160,0.048592,0.052820,0.060471,0.075322,0.104970"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.050666,0.053832,0.056618,0.061796,0.072024,0.092565,0.133737", \ - "0.051576,0.054742,0.057529,0.062707,0.072934,0.093475,0.134648", \ - "0.054244,0.057410,0.060197,0.065375,0.075602,0.096143,0.137317", \ - "0.058154,0.061321,0.064107,0.069284,0.079508,0.100046,0.141218", \ - "0.064417,0.067618,0.070428,0.075606,0.085807,0.106317,0.147471", \ - "0.074287,0.077536,0.080387,0.085580,0.095770,0.116253,0.157382", \ - "0.087787,0.091098,0.094009,0.099231,0.109413,0.129856,0.170952"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003050,0.004316,0.005650,0.008428,0.014400,0.027020,0.052684", \ - "0.003051,0.004316,0.005650,0.008428,0.014400,0.027021,0.052685", \ - "0.003050,0.004316,0.005650,0.008428,0.014400,0.027020,0.052683", \ - "0.003076,0.004339,0.005668,0.008440,0.014405,0.027022,0.052683", \ - "0.003178,0.004424,0.005740,0.008489,0.014427,0.027027,0.052686", \ - "0.003379,0.004601,0.005889,0.008592,0.014476,0.027042,0.052690", \ - "0.003677,0.004872,0.006126,0.008760,0.014558,0.027067,0.052699"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003529,0.005363,0.007310,0.011666,0.021066,0.040258,0.078778", \ - "0.003530,0.005363,0.007310,0.011666,0.021067,0.040259,0.078780", \ - "0.003529,0.005363,0.007310,0.011666,0.021065,0.040258,0.078766", \ - "0.003536,0.005369,0.007315,0.011669,0.021066,0.040257,0.078769", \ - "0.003684,0.005507,0.007425,0.011728,0.021086,0.040264,0.078770", \ - "0.003884,0.005699,0.007592,0.011826,0.021134,0.040274,0.078774", \ - "0.004161,0.005962,0.007830,0.011975,0.021205,0.040301,0.078794"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.031900,0.034617,0.036977,0.041136,0.048780,0.063656,0.093334", \ - "0.032400,0.035118,0.037478,0.041637,0.049281,0.064157,0.093836", \ - "0.033971,0.036688,0.039047,0.043206,0.050849,0.065725,0.095404", \ - "0.036817,0.039537,0.041898,0.046058,0.053701,0.068574,0.098252", \ - "0.039346,0.042084,0.044456,0.048624,0.056268,0.071139,0.100812", \ - "0.040216,0.043003,0.045400,0.049594,0.057246,0.072107,0.101768", \ - "0.038836,0.041703,0.044152,0.048397,0.056068,0.070917,0.100560"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.055156,0.058358,0.061171,0.066356,0.076569,0.097089,0.138248", \ - "0.056034,0.059235,0.062047,0.067233,0.077447,0.097966,0.139127", \ - "0.058634,0.061835,0.064648,0.069833,0.080047,0.100568,0.141729", \ - "0.062204,0.065406,0.068219,0.073403,0.083614,0.104133,0.145293", \ - "0.067984,0.071213,0.074046,0.079232,0.089424,0.109919,0.151058", \ - "0.077578,0.080847,0.083719,0.088921,0.099101,0.119565,0.160684", \ - "0.091264,0.094591,0.097519,0.102751,0.112923,0.133359,0.174441"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003067,0.004332,0.005665,0.008439,0.014406,0.027024,0.052686", \ - "0.003066,0.004331,0.005664,0.008439,0.014407,0.027023,0.052685", \ - "0.003062,0.004329,0.005661,0.008438,0.014406,0.027024,0.052686", \ - "0.003090,0.004352,0.005681,0.008450,0.014410,0.027024,0.052686", \ - "0.003205,0.004449,0.005762,0.008505,0.014435,0.027030,0.052687", \ - "0.003436,0.004652,0.005933,0.008623,0.014491,0.027048,0.052691", \ - "0.003770,0.004960,0.006204,0.008818,0.014586,0.027076,0.052701"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003665,0.005495,0.007419,0.011727,0.021087,0.040270,0.078771", \ - "0.003667,0.005495,0.007419,0.011726,0.021086,0.040263,0.078767", \ - "0.003667,0.005495,0.007419,0.011726,0.021089,0.040262,0.078767", \ - "0.003671,0.005499,0.007422,0.011729,0.021087,0.040269,0.078767", \ - "0.003792,0.005611,0.007515,0.011778,0.021106,0.040273,0.078775", \ - "0.003970,0.005782,0.007666,0.011869,0.021151,0.040282,0.078784", \ - "0.004228,0.006028,0.007893,0.012010,0.021221,0.040303,0.078781"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033281,0.036019,0.038390,0.042559,0.050206,0.065080,0.094754", \ - "0.033781,0.036519,0.038889,0.043058,0.050706,0.065580,0.095254", \ - "0.035346,0.038084,0.040454,0.044623,0.052270,0.067144,0.096819", \ - "0.038267,0.041008,0.043379,0.047548,0.055195,0.070067,0.099743", \ - "0.041076,0.043837,0.046220,0.050401,0.058050,0.072916,0.102585", \ - "0.042375,0.045184,0.047596,0.051804,0.059462,0.074321,0.103975", \ - "0.041496,0.044387,0.046852,0.051116,0.058790,0.073635,0.103275"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.059594,0.062817,0.065646,0.070831,0.081031,0.101534,0.142679", \ - "0.060491,0.063714,0.066542,0.071728,0.081928,0.102431,0.143576", \ - "0.063102,0.066325,0.069154,0.074339,0.084539,0.105041,0.146185", \ - "0.066646,0.069869,0.072698,0.077883,0.088082,0.108582,0.149727", \ - "0.072539,0.075783,0.078629,0.083812,0.093994,0.114469,0.155600", \ - "0.082101,0.085383,0.088267,0.093471,0.103640,0.124088,0.165195", \ - "0.095861,0.099196,0.102133,0.107366,0.117537,0.137953,0.179025"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003164,0.004417,0.005737,0.008489,0.014431,0.027032,0.052688", \ - "0.003164,0.004417,0.005736,0.008489,0.014431,0.027033,0.052688", \ - "0.003161,0.004414,0.005734,0.008488,0.014431,0.027032,0.052685", \ - "0.003187,0.004437,0.005753,0.008499,0.014435,0.027033,0.052684", \ - "0.003305,0.004538,0.005839,0.008558,0.014463,0.027039,0.052690", \ - "0.003539,0.004747,0.006017,0.008684,0.014523,0.027059,0.052693", \ - "0.003875,0.005064,0.006299,0.008890,0.014625,0.027091,0.052704"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003770,0.005590,0.007497,0.011770,0.021103,0.040274,0.078790", \ - "0.003768,0.005590,0.007497,0.011768,0.021105,0.040271,0.078781", \ - "0.003770,0.005590,0.007497,0.011770,0.021104,0.040273,0.078771", \ - "0.003772,0.005591,0.007498,0.011770,0.021104,0.040275,0.078775", \ - "0.003871,0.005685,0.007575,0.011810,0.021120,0.040274,0.078777", \ - "0.004046,0.005851,0.007725,0.011903,0.021161,0.040285,0.078792", \ - "0.004291,0.006082,0.007940,0.012039,0.021229,0.040306,0.078779"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.025046,0.027722,0.030055,0.034185,0.041815,0.056693,0.086379", \ - "0.025782,0.028457,0.030789,0.034920,0.042550,0.057429,0.087115", \ - "0.028626,0.031302,0.033634,0.037766,0.045395,0.060272,0.089959", \ - "0.032254,0.034943,0.037283,0.041422,0.049055,0.063928,0.093610", \ - "0.034602,0.037336,0.039700,0.043858,0.051492,0.066354,0.096024", \ - "0.035015,0.037853,0.040280,0.044500,0.052154,0.067001,0.096649", \ - "0.033073,0.036046,0.038570,0.042904,0.050613,0.065443,0.095063"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.035632,0.038737,0.041489,0.046660,0.056906,0.077477,0.118662", \ - "0.036125,0.039231,0.041982,0.047153,0.057401,0.077968,0.119156", \ - "0.039336,0.042442,0.045193,0.050364,0.060612,0.081180,0.122371", \ - "0.047140,0.050248,0.052998,0.058162,0.068395,0.088951,0.130138", \ - "0.057783,0.060941,0.063718,0.068875,0.079072,0.099592,0.140753", \ - "0.070600,0.073831,0.076661,0.081829,0.091990,0.112454,0.153577", \ - "0.085653,0.088981,0.091904,0.097119,0.107254,0.127649,0.168716"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002849,0.004143,0.005505,0.008327,0.014350,0.027004,0.052678", \ - "0.002849,0.004143,0.005504,0.008327,0.014350,0.027004,0.052677", \ - "0.002853,0.004147,0.005508,0.008330,0.014351,0.027004,0.052679", \ - "0.002972,0.004245,0.005588,0.008384,0.014376,0.027009,0.052679", \ - "0.003228,0.004459,0.005762,0.008497,0.014425,0.027022,0.052684", \ - "0.003645,0.004838,0.006090,0.008727,0.014534,0.027054,0.052690", \ - "0.004147,0.005334,0.006550,0.009086,0.014720,0.027107,0.052706"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003314,0.005156,0.007147,0.011574,0.021028,0.040243,0.078774", \ - "0.003315,0.005155,0.007147,0.011574,0.021028,0.040247,0.078769", \ - "0.003314,0.005155,0.007147,0.011574,0.021027,0.040245,0.078757", \ - "0.003339,0.005177,0.007161,0.011582,0.021031,0.040247,0.078770", \ - "0.003549,0.005369,0.007307,0.011651,0.021050,0.040249,0.078766", \ - "0.003847,0.005648,0.007535,0.011772,0.021089,0.040264,0.078768", \ - "0.004264,0.006046,0.007896,0.011990,0.021181,0.040278,0.078772"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.025045,0.027720,0.030053,0.034184,0.041814,0.056691,0.086378", \ - "0.025791,0.028467,0.030799,0.034930,0.042561,0.057438,0.087125", \ - "0.028668,0.031343,0.033675,0.037807,0.045436,0.060313,0.090001", \ - "0.032196,0.034885,0.037225,0.041364,0.048997,0.063869,0.093549", \ - "0.034120,0.036855,0.039219,0.043377,0.051011,0.065873,0.095543", \ - "0.033741,0.036580,0.039009,0.043230,0.050887,0.065734,0.095380", \ - "0.030616,0.033594,0.036121,0.040458,0.048165,0.063002,0.092618"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.039503,0.042683,0.045481,0.050667,0.060895,0.081435,0.122607", \ - "0.039924,0.043103,0.045901,0.051086,0.061315,0.081855,0.123027", \ - "0.043036,0.046216,0.049013,0.054199,0.064429,0.084968,0.126140", \ - "0.050992,0.054169,0.056966,0.062150,0.072376,0.092914,0.134082", \ - "0.062621,0.065833,0.068653,0.073832,0.084025,0.104523,0.145670", \ - "0.076692,0.079965,0.082836,0.088029,0.098179,0.118633,0.159739", \ - "0.093417,0.096776,0.099733,0.104976,0.115116,0.135495,0.176547"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002849,0.004143,0.005504,0.008327,0.014350,0.027004,0.052676", \ - "0.002849,0.004143,0.005504,0.008327,0.014350,0.027004,0.052677", \ - "0.002853,0.004147,0.005508,0.008330,0.014351,0.027004,0.052679", \ - "0.002973,0.004245,0.005589,0.008384,0.014376,0.027009,0.052681", \ - "0.003232,0.004461,0.005764,0.008498,0.014425,0.027022,0.052683", \ - "0.003654,0.004846,0.006098,0.008732,0.014536,0.027053,0.052691", \ - "0.004161,0.005349,0.006564,0.009096,0.014726,0.027107,0.052705"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003565,0.005400,0.007342,0.011684,0.021073,0.040257,0.078778", \ - "0.003565,0.005401,0.007342,0.011685,0.021074,0.040264,0.078765", \ - "0.003562,0.005398,0.007341,0.011684,0.021074,0.040266,0.078768", \ - "0.003556,0.005394,0.007338,0.011682,0.021073,0.040261,0.078767", \ - "0.003728,0.005549,0.007461,0.011748,0.021097,0.040267,0.078766", \ - "0.004002,0.005804,0.007679,0.011867,0.021136,0.040276,0.078787", \ - "0.004393,0.006174,0.008023,0.012080,0.021232,0.040296,0.078788"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.026230,0.028925,0.031269,0.035412,0.043047,0.057924,0.087605", \ - "0.026977,0.029672,0.032016,0.036159,0.043793,0.058670,0.088353", \ - "0.029864,0.032559,0.034903,0.039045,0.046680,0.061557,0.091238", \ - "0.033807,0.036519,0.038872,0.043023,0.050659,0.065530,0.095204", \ - "0.036281,0.039042,0.041422,0.045596,0.053235,0.068092,0.097756", \ - "0.036548,0.039417,0.041865,0.046107,0.053773,0.068617,0.098256", \ - "0.034120,0.037126,0.039674,0.044038,0.051761,0.066593,0.096204"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.044036,0.047238,0.050050,0.055235,0.065449,0.085969,0.127129", \ - "0.044494,0.047696,0.050509,0.055694,0.065907,0.086427,0.127586", \ - "0.047459,0.050660,0.053472,0.058657,0.068872,0.089394,0.130554", \ - "0.055178,0.058378,0.061190,0.066374,0.076586,0.097107,0.138265", \ - "0.067234,0.070460,0.073290,0.078470,0.088653,0.109138,0.150275", \ - "0.081753,0.085036,0.087915,0.093110,0.103269,0.123702,0.164801", \ - "0.098955,0.102318,0.105279,0.110521,0.120649,0.141025,0.182066"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002957,0.004234,0.005581,0.008379,0.014376,0.027013,0.052680", \ - "0.002957,0.004235,0.005580,0.008379,0.014376,0.027012,0.052679", \ - "0.002962,0.004239,0.005584,0.008381,0.014377,0.027012,0.052678", \ - "0.003085,0.004343,0.005671,0.008441,0.014403,0.027018,0.052681", \ - "0.003357,0.004574,0.005860,0.008566,0.014459,0.027033,0.052687", \ - "0.003787,0.004972,0.006211,0.008816,0.014579,0.027068,0.052692", \ - "0.004301,0.005485,0.006690,0.009197,0.014784,0.027130,0.052708"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003666,0.005494,0.007418,0.011727,0.021089,0.040265,0.078768", \ - "0.003665,0.005494,0.007418,0.011727,0.021086,0.040266,0.078768", \ - "0.003665,0.005494,0.007418,0.011726,0.021088,0.040262,0.078779", \ - "0.003658,0.005488,0.007413,0.011724,0.021090,0.040263,0.078781", \ - "0.003796,0.005612,0.007516,0.011778,0.021105,0.040268,0.078770", \ - "0.004063,0.005858,0.007726,0.011895,0.021152,0.040286,0.078791", \ - "0.004439,0.006210,0.008055,0.012096,0.021238,0.040305,0.078792"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.026062,0.028737,0.031070,0.035201,0.042831,0.057708,0.087396", \ - "0.026715,0.029388,0.031721,0.035852,0.043482,0.058359,0.088046", \ - "0.028408,0.031083,0.033416,0.037546,0.045176,0.060054,0.089740", \ - "0.030613,0.033299,0.035638,0.039775,0.047408,0.062282,0.091963", \ - "0.031736,0.034455,0.036812,0.040967,0.048605,0.063474,0.093147", \ - "0.030576,0.033361,0.035758,0.039951,0.047605,0.062466,0.092130", \ - "0.026583,0.029467,0.031928,0.036190,0.043877,0.058727,0.088372"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038713,0.041835,0.044594,0.049760,0.059992,0.080547,0.121731", \ - "0.039238,0.042361,0.045119,0.050286,0.060518,0.081071,0.122255", \ - "0.042605,0.045728,0.048485,0.053652,0.063884,0.084437,0.125621", \ - "0.050713,0.053838,0.056596,0.061759,0.071984,0.092533,0.133712", \ - "0.062469,0.065633,0.068412,0.073568,0.083755,0.104264,0.145419", \ - "0.076731,0.079956,0.082780,0.087943,0.098084,0.118546,0.159671", \ - "0.093858,0.097160,0.100055,0.105247,0.115362,0.135772,0.176843"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002849,0.004143,0.005504,0.008327,0.014350,0.027004,0.052679", \ - "0.002848,0.004143,0.005504,0.008327,0.014350,0.027004,0.052676", \ - "0.002852,0.004146,0.005507,0.008329,0.014351,0.027004,0.052680", \ - "0.002933,0.004212,0.005561,0.008365,0.014368,0.027007,0.052680", \ - "0.003119,0.004370,0.005693,0.008454,0.014409,0.027018,0.052683", \ - "0.003419,0.004635,0.005918,0.008611,0.014485,0.027047,0.052691", \ - "0.003801,0.004996,0.006241,0.008848,0.014603,0.027081,0.052707"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003389,0.005224,0.007198,0.011600,0.021037,0.040244,0.078770", \ - "0.003390,0.005224,0.007197,0.011598,0.021036,0.040245,0.078762", \ - "0.003389,0.005223,0.007197,0.011599,0.021035,0.040246,0.078761", \ - "0.003399,0.005232,0.007203,0.011601,0.021037,0.040247,0.078762", \ - "0.003581,0.005396,0.007327,0.011664,0.021057,0.040247,0.078759", \ - "0.003839,0.005636,0.007523,0.011764,0.021091,0.040261,0.078774", \ - "0.004170,0.005950,0.007802,0.011927,0.021148,0.040272,0.078768"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.026061,0.028736,0.031068,0.035200,0.042829,0.057707,0.087392", \ - "0.026723,0.029398,0.031731,0.035861,0.043491,0.058369,0.088057", \ - "0.028461,0.031136,0.033469,0.037600,0.045229,0.060108,0.089793", \ - "0.030627,0.033312,0.035651,0.039788,0.047421,0.062296,0.091977", \ - "0.031462,0.034180,0.036537,0.040692,0.048329,0.063198,0.092872", \ - "0.029721,0.032503,0.034900,0.039091,0.046743,0.061603,0.091268", \ - "0.024807,0.027688,0.030149,0.034410,0.042087,0.056936,0.086581"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043206,0.046407,0.049219,0.054403,0.064618,0.085139,0.126299", \ - "0.043666,0.046866,0.049678,0.054863,0.065077,0.085598,0.126759", \ - "0.046972,0.050172,0.052984,0.058168,0.068383,0.088903,0.130061", \ - "0.055142,0.058341,0.061152,0.066336,0.076550,0.097071,0.138228", \ - "0.067786,0.071009,0.073837,0.079015,0.089201,0.109685,0.150825", \ - "0.083219,0.086493,0.089366,0.094557,0.104703,0.125141,0.166245", \ - "0.101790,0.105133,0.108073,0.113300,0.123430,0.143819,0.184873"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002849,0.004143,0.005504,0.008327,0.014350,0.027004,0.052681", \ - "0.002849,0.004143,0.005505,0.008327,0.014350,0.027004,0.052676", \ - "0.002853,0.004146,0.005507,0.008329,0.014351,0.027004,0.052680", \ - "0.002932,0.004212,0.005560,0.008365,0.014368,0.027007,0.052679", \ - "0.003116,0.004367,0.005690,0.008452,0.014408,0.027019,0.052683", \ - "0.003414,0.004631,0.005914,0.008607,0.014483,0.027045,0.052691", \ - "0.003796,0.004992,0.006237,0.008844,0.014600,0.027079,0.052705"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003663,0.005491,0.007415,0.011724,0.021086,0.040262,0.078767", \ - "0.003663,0.005491,0.007415,0.011725,0.021086,0.040270,0.078775", \ - "0.003660,0.005490,0.007415,0.011725,0.021085,0.040270,0.078782", \ - "0.003655,0.005485,0.007410,0.011722,0.021085,0.040270,0.078780", \ - "0.003784,0.005600,0.007504,0.011770,0.021104,0.040273,0.078767", \ - "0.004025,0.005824,0.007696,0.011877,0.021145,0.040279,0.078776", \ - "0.004345,0.006122,0.007969,0.012042,0.021214,0.040291,0.078777"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.027246,0.029941,0.032285,0.036428,0.044062,0.058939,0.088619", \ - "0.027909,0.030605,0.032948,0.037091,0.044725,0.059602,0.089283", \ - "0.029657,0.032353,0.034697,0.038840,0.046474,0.061351,0.091033", \ - "0.031990,0.034697,0.037048,0.041197,0.048833,0.063706,0.093385", \ - "0.033203,0.035943,0.038313,0.042480,0.050122,0.064989,0.094660", \ - "0.031962,0.034771,0.037183,0.041391,0.049049,0.063908,0.093564", \ - "0.027609,0.030518,0.032998,0.037279,0.044968,0.059820,0.089458"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047706,0.050929,0.053757,0.058943,0.069143,0.089644,0.130790", \ - "0.048183,0.051406,0.054235,0.059420,0.069620,0.090121,0.131266", \ - "0.051364,0.054587,0.057415,0.062601,0.072801,0.093302,0.134449", \ - "0.059286,0.062508,0.065336,0.070522,0.080722,0.101224,0.142369", \ - "0.072211,0.075449,0.078289,0.083467,0.093641,0.114110,0.155236", \ - "0.088050,0.091338,0.094223,0.099418,0.109561,0.129985,0.171073", \ - "0.106999,0.110354,0.113307,0.118538,0.128641,0.149020,0.190061"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002957,0.004235,0.005580,0.008379,0.014376,0.027012,0.052681", \ - "0.002957,0.004234,0.005581,0.008379,0.014376,0.027012,0.052679", \ - "0.002959,0.004236,0.005583,0.008381,0.014377,0.027012,0.052679", \ - "0.003039,0.004304,0.005638,0.008418,0.014394,0.027016,0.052680", \ - "0.003226,0.004465,0.005773,0.008510,0.014437,0.027028,0.052685", \ - "0.003534,0.004740,0.006010,0.008677,0.014519,0.027058,0.052692", \ - "0.003925,0.005115,0.006347,0.008929,0.014645,0.027096,0.052708"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003769,0.005590,0.007497,0.011770,0.021104,0.040267,0.078772", \ - "0.003770,0.005589,0.007497,0.011769,0.021104,0.040267,0.078784", \ - "0.003769,0.005590,0.007497,0.011770,0.021104,0.040267,0.078787", \ - "0.003764,0.005585,0.007492,0.011768,0.021104,0.040270,0.078773", \ - "0.003859,0.005670,0.007561,0.011800,0.021115,0.040272,0.078770", \ - "0.004106,0.005895,0.007758,0.011914,0.021157,0.040281,0.078788", \ - "0.004424,0.006191,0.008032,0.012080,0.021229,0.040300,0.078784"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.270530,15.912390,16.377180,16.743730,16.934290,17.053320,17.119080", \ - "15.264800,15.899080,16.359270,16.729500,16.934790,17.038700,17.101560", \ - "15.588180,16.260370,16.687250,17.044910,17.249740,17.357790,17.411910", \ - "17.194970,17.734720,18.132080,18.444550,18.637750,18.756190,18.815200", \ - "20.087320,20.619910,20.979980,21.259440,21.341260,21.421990,21.485960", \ - "23.967450,24.484850,24.939470,25.334610,25.525700,25.582760,25.587880", \ - "28.743730,29.201210,29.721500,30.322720,30.823630,31.202230,31.258910"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.438740,22.293150,22.608790,22.919760,23.264550,22.837810,22.406240", \ - "21.361230,22.236220,22.535840,22.997610,23.230540,22.528210,22.945800", \ - "21.263910,22.155130,22.460680,22.937730,23.064480,22.527910,23.213580", \ - "22.191730,22.980920,23.425400,23.613300,23.555780,23.907720,23.990270", \ - "24.246920,25.049580,25.563670,25.839810,26.287410,26.248310,24.672270", \ - "27.270240,28.180590,28.654610,29.144180,29.862250,29.548570,28.753810", \ - "31.844170,32.667800,33.277440,33.906040,34.199250,33.999490,33.595510"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.102900,15.788080,16.229920,16.613660,16.802330,16.921530,16.977180", \ - "15.044870,15.724230,16.182670,16.572780,16.766950,16.881870,16.947150", \ - "15.416300,16.094390,16.516080,16.886510,17.086510,17.208000,17.278400", \ - "17.008400,17.567400,17.934210,18.293400,18.483980,18.582280,18.663510", \ - "19.896930,20.392690,20.763560,21.060270,21.159860,21.238970,21.302800", \ - "23.723910,24.250240,24.659480,25.074230,25.300570,25.357200,25.373940", \ - "28.413080,28.882390,29.401210,29.991540,30.529490,30.945190,31.014830"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.540760,22.431290,22.667800,23.189860,23.285930,23.153800,22.932510", \ - "21.457250,22.342030,22.562200,22.945870,23.045960,22.903960,22.610040", \ - "21.487160,22.231040,22.617540,22.991380,22.771870,23.207330,22.197420", \ - "22.212730,22.863200,23.192110,23.489480,23.976670,23.856460,23.722670", \ - "24.059990,24.889370,25.312870,25.720640,26.049760,25.223260,24.571660", \ - "27.054870,27.860200,28.266030,28.611480,29.179330,29.268860,28.923830", \ - "31.319780,32.095540,32.514120,33.107420,33.253800,33.516700,32.496280"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.171550,15.899080,16.332640,16.707830,16.897120,17.019730,17.077950", \ - "15.221170,15.863700,16.315990,16.659660,16.867070,16.973340,17.037090", \ - "15.545730,16.207020,16.643850,17.005110,17.208010,17.317890,17.380500", \ - "17.178430,17.790100,18.178790,18.513670,18.715600,18.813400,18.884990", \ - "20.315010,20.785460,21.194400,21.466340,21.535900,21.606010,21.672330", \ - "24.339530,24.880900,25.298010,25.672770,25.869900,25.895810,25.906490", \ - "29.333300,29.751160,30.237700,30.821550,31.324060,31.681580,31.717920"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.155540,23.862010,24.330640,24.456420,24.930730,24.656500,24.899960", \ - "22.949310,23.817870,24.194470,24.506550,24.890690,24.076190,23.891200", \ - "22.976150,23.754730,24.104840,24.453700,24.908800,24.954760,25.024730", \ - "23.616780,24.326980,24.739990,24.930230,25.336850,25.497060,25.368540", \ - "25.456980,26.313890,26.734570,27.127110,27.180470,26.366600,25.977200", \ - "28.303650,29.148030,29.569450,30.078360,30.280800,30.300710,29.129450", \ - "32.490430,33.387050,33.835910,34.247500,34.669690,34.247550,33.760450"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.256580,15.956340,16.411130,16.766200,16.958780,17.072240,17.130460", \ - "15.319290,15.938900,16.399970,16.778660,16.974960,17.082690,17.146400", \ - "15.632110,16.307560,16.719590,17.085520,17.281450,17.401410,17.470180", \ - "17.145840,17.656540,18.072170,18.426920,18.597490,18.719350,18.780570", \ - "19.733330,20.342490,20.753770,21.012220,21.110620,21.184820,21.247030", \ - "23.398830,23.914500,24.364780,24.765350,24.969440,25.027630,25.027760", \ - "27.746580,28.225290,28.752080,29.299380,29.807120,30.211230,30.272870"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.044040,22.958760,23.453480,23.820810,24.417150,24.487650,23.297950", \ - "22.009940,22.844550,23.322180,23.926780,24.130150,24.612000,23.206450", \ - "21.900010,22.777550,23.250550,23.704920,24.216670,23.436300,23.684850", \ - "22.585410,23.440920,23.922450,24.210190,24.780850,24.059520,23.310100", \ - "24.569890,25.503540,26.002420,26.484320,26.944740,26.079060,27.127050", \ - "27.509860,28.328100,28.818110,29.438030,29.531090,29.118990,29.566170", \ - "31.646470,32.499380,33.113340,33.730040,34.196960,34.610000,34.095030"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.005340,15.778130,16.254560,16.608060,16.817960,16.933980,16.992840", \ - "15.122860,15.751380,16.228330,16.608160,16.801460,16.923510,16.988310", \ - "15.456520,16.116240,16.560710,16.944620,17.136410,17.251850,17.324160", \ - "16.917140,17.524130,17.917090,18.256240,18.432180,18.559820,18.628800", \ - "19.634060,20.149380,20.562730,20.833300,20.934150,21.005120,21.076150", \ - "23.237300,23.659480,24.111830,24.525540,24.763770,24.833330,24.835110", \ - "27.481350,27.949360,28.452000,29.054590,29.553680,29.976490,30.061970"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.287750,23.123750,23.489210,23.785590,24.288850,24.300370,23.976660", \ - "22.199680,23.065270,23.405830,23.853280,24.147180,23.800460,23.848270", \ - "22.159200,22.999670,23.402500,23.849270,24.261360,23.181240,24.190940", \ - "22.752760,23.532220,23.866340,24.268960,24.821470,24.757460,23.756290", \ - "24.518420,25.419580,25.700290,25.980040,26.431130,26.689420,25.907050", \ - "27.237260,28.089600,28.393750,28.954360,29.501800,28.866230,28.908430", \ - "31.190650,31.997410,32.329950,32.771020,33.055190,32.724010,31.943020"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.275570,15.876930,16.367850,16.712790,16.919940,17.031930,17.090020", \ - "15.264210,15.898910,16.331480,16.706970,16.900950,17.020560,17.078790", \ - "15.632420,16.262650,16.674410,17.048570,17.249150,17.360580,17.420470", \ - "17.153860,17.774090,18.160080,18.487410,18.662570,18.780510,18.846270", \ - "20.086500,20.557440,20.962320,21.230980,21.308660,21.382340,21.440340", \ - "23.912890,24.282090,24.757830,25.120910,25.334870,25.366800,25.376250", \ - "28.448690,28.810470,29.290670,29.861170,30.344100,30.723080,30.776840"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.963020,24.815240,25.097190,25.755260,26.044150,25.242050,25.462000", \ - "23.984380,24.681740,25.026350,25.529130,26.026540,25.762250,25.927570", \ - "23.792680,24.652500,24.936050,25.432760,25.966570,25.879340,25.337240", \ - "24.208130,25.107620,25.542530,26.012850,26.293360,25.651350,26.079770", \ - "25.961030,26.935230,27.385480,27.738630,27.609620,27.769770,27.687790", \ - "28.709420,29.554900,29.995590,30.511450,30.448640,30.061900,30.488810", \ - "32.451060,33.264690,33.871530,34.164550,34.576130,35.178290,34.635830"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.441470,16.067450,16.490710,16.859810,17.055890,17.169270,17.230450", \ - "15.454950,16.094820,16.506270,16.865980,17.064570,17.171100,17.246340", \ - "15.802410,16.419110,16.853950,17.208190,17.404050,17.510550,17.575790", \ - "17.296200,17.882790,18.310710,18.640590,18.819290,18.919260,18.989500", \ - "20.233800,20.741240,21.111050,21.380760,21.445870,21.530250,21.591430", \ - "24.055170,24.530780,24.918020,25.311200,25.491500,25.510190,25.522660", \ - "28.656300,29.042240,29.523610,30.102030,30.549210,30.892250,30.928540"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.590190,24.510110,24.902690,25.381240,25.960940,25.960760,25.026420", \ - "23.400260,24.406290,24.858980,25.405140,25.881490,25.704830,25.571360", \ - "23.540640,24.299130,24.846210,25.432010,25.770760,25.569620,26.048210", \ - "24.008790,24.848170,25.373090,25.655790,26.318840,26.347590,26.128100", \ - "26.078740,26.934580,27.297890,27.755060,28.444090,28.248090,27.101590", \ - "28.865160,29.752670,30.103430,30.817920,31.516920,31.632130,31.253260", \ - "32.950810,33.691260,34.172070,34.900820,35.399820,35.884260,35.834500"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.275570,15.876930,16.367850,16.712790,16.919940,17.031930,17.090020", \ - "15.264210,15.898910,16.331480,16.706970,16.900950,17.020560,17.078790", \ - "15.632420,16.262650,16.674410,17.048570,17.249150,17.360580,17.420470", \ - "17.153860,17.774090,18.160080,18.487410,18.662570,18.780510,18.846270", \ - "20.086500,20.557440,20.962320,21.230980,21.308660,21.382340,21.440340", \ - "23.912890,24.282090,24.757830,25.120910,25.334870,25.366800,25.376250", \ - "28.448690,28.810470,29.290670,29.861170,30.344100,30.723080,30.776840"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.963020,24.815240,25.097190,25.755260,26.044150,25.242050,25.462000", \ - "23.984380,24.681740,25.026350,25.529130,26.026540,25.762250,25.927570", \ - "23.792680,24.652500,24.936050,25.432760,25.966570,25.879340,25.337240", \ - "24.208130,25.107620,25.542530,26.012850,26.293360,25.651350,26.079770", \ - "25.961030,26.935230,27.385480,27.738630,27.609620,27.769770,27.687790", \ - "28.709420,29.554900,29.995590,30.511450,30.448640,30.061900,30.488810", \ - "32.451060,33.264690,33.871530,34.164550,34.576130,35.178290,34.635830"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.451430,16.026540,16.485030,16.851690,17.035900,17.146610,17.211380", \ - "15.336750,16.038880,16.470680,16.832480,17.023030,17.131640,17.194860", \ - "15.690680,16.409600,16.840510,17.193480,17.374380,17.486150,17.553370", \ - "17.397710,18.019160,18.392190,18.727710,18.908530,19.020170,19.082490", \ - "20.489300,20.979070,21.344820,21.593750,21.680050,21.746070,21.803250", \ - "24.454510,24.863180,25.296690,25.695480,25.866790,25.882100,25.884410", \ - "29.291660,29.632020,30.021460,30.611770,31.093070,31.393710,31.434070"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.690680,26.580250,26.954570,27.335620,27.782090,27.672530,27.721720", \ - "25.637380,26.368570,26.896130,27.357750,27.880690,27.838940,27.993910", \ - "25.352040,26.407020,26.800170,27.214600,27.516900,27.978260,27.332000", \ - "25.827200,26.709660,27.034590,27.440500,28.017290,28.101210,27.473540", \ - "27.443920,28.432720,28.915290,29.302990,29.392810,29.514640,29.508370", \ - "30.031190,31.038560,31.502240,32.108200,32.548290,32.054070,30.987940", \ - "33.959720,34.698140,35.282950,35.683850,36.372460,36.132080,35.202980"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.165610,17.703240,18.146070,18.611500,18.857520,19.027330,19.120230", \ - "16.994990,17.619560,18.068460,18.525800,18.778530,18.944840,19.040470", \ - "17.102920,17.672060,18.095010,18.537700,18.798800,18.963290,19.060450", \ - "18.309620,18.834470,19.271690,19.689370,19.945850,20.095890,20.192860", \ - "20.991810,21.379300,21.817000,22.155050,22.364120,22.514590,22.611430", \ - "25.001480,25.422880,25.761890,26.108640,26.307740,26.350120,26.451480", \ - "30.353510,30.570610,30.958400,31.375970,31.706560,31.841070,31.835020"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.588180,24.501610,24.984520,25.561750,26.054360,25.620320,25.351350", \ - "23.544890,24.482220,24.901030,25.195970,25.729080,25.290370,26.142410", \ - "23.425390,24.264390,24.755800,25.123090,25.705280,25.725750,25.842850", \ - "23.650920,24.553090,25.023140,25.537040,25.994860,26.214040,25.603740", \ - "25.020050,25.929830,26.465370,27.016390,27.484010,26.807910,27.313260", \ - "27.646760,28.561080,29.152260,29.714250,30.338370,30.218030,29.138530", \ - "31.604160,32.769650,33.373140,33.957400,34.885760,35.013370,33.960660"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.817050,17.412390,17.903130,18.353860,18.636720,18.810670,18.925120", \ - "16.689780,17.327970,17.811190,18.257690,18.543080,18.725260,18.837940", \ - "16.824580,17.320020,17.811640,18.268490,18.555830,18.723760,18.847840", \ - "18.086130,18.603020,19.023730,19.491980,19.754400,19.929940,20.039310", \ - "20.890710,21.255830,21.617930,21.993420,22.216830,22.381430,22.491790", \ - "24.989010,25.281080,25.656250,26.017070,26.237740,26.289310,26.393420", \ - "30.269150,30.483710,30.893220,31.335060,31.700560,31.866650,31.874350"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.948060,24.693410,25.054140,25.297710,26.021150,25.555050,25.297320", \ - "23.756670,24.631050,24.957700,25.206720,25.882910,25.161070,25.846210", \ - "23.610950,24.471140,24.806130,25.253540,25.437010,25.404450,24.317710", \ - "23.837460,24.636830,24.881500,25.297940,25.815440,25.846600,24.977780", \ - "25.173030,25.924360,26.325840,26.825030,27.137870,27.436740,26.354110", \ - "27.540030,28.453240,28.856230,29.407420,29.926260,29.257690,29.845160", \ - "31.644440,32.573430,32.994720,33.757360,34.142680,34.546990,34.520830"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.223860,17.733240,18.197250,18.653060,18.935340,19.099380,19.216600", \ - "17.130950,17.653200,18.104670,18.530710,18.843250,19.009440,19.121840", \ - "17.129010,17.650410,18.113320,18.555280,18.843540,19.014190,19.129660", \ - "18.483300,18.974260,19.417320,19.843450,20.108550,20.273580,20.382590", \ - "21.439130,21.782120,22.117590,22.491950,22.719290,22.878810,22.981810", \ - "25.717870,26.026060,26.359110,26.688310,26.902550,26.953580,27.046420", \ - "31.292860,31.417910,31.744710,32.211840,32.551830,32.708400,32.700750"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.470990,26.402820,26.650890,27.115790,27.610090,27.135750,27.394330", \ - "25.407160,26.286460,26.738340,27.210200,27.202220,26.815690,26.949030", \ - "25.367800,26.167460,26.508940,26.901420,27.530780,27.337760,26.132990", \ - "25.442440,26.209600,26.659070,27.131150,27.607790,27.734710,26.442520", \ - "26.752940,27.596840,27.861680,28.402480,28.769140,28.879130,26.991330", \ - "29.018270,29.809970,30.350240,30.861310,31.476170,31.341030,31.492910", \ - "32.974620,33.875520,34.341300,34.986420,35.115390,35.250860,35.830990"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.073100,17.701260,18.143410,18.613650,18.870270,19.024640,19.114380", \ - "17.080350,17.639280,18.072210,18.525650,18.792070,18.934390,19.041880", \ - "17.096110,17.621670,18.038650,18.499360,18.761710,18.901900,19.009920", \ - "17.690670,18.201470,18.641740,19.077300,19.350470,19.497230,19.596990", \ - "19.603330,20.055080,20.461500,20.846100,21.071060,21.233500,21.334120", \ - "22.781990,23.128270,23.563130,23.987850,24.218990,24.309650,24.404940", \ - "26.819740,27.172200,27.604810,28.130240,28.547840,28.790760,28.837370"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.061260,25.898930,26.277640,26.642200,27.345220,27.528060,27.369520", \ - "25.009470,25.840060,26.379800,26.889630,27.293630,27.155110,26.464890", \ - "24.850940,25.842140,26.145520,26.808910,27.232340,27.449590,26.113080", \ - "25.119650,25.967630,26.356900,26.785090,27.412390,27.576020,26.431240", \ - "26.413190,27.438270,27.828380,28.319360,28.554410,29.026460,29.108480", \ - "29.068840,30.015210,30.573030,31.118390,31.809060,31.264410,31.906460", \ - "33.156720,34.056740,34.708480,35.458000,35.782180,36.447050,35.727540"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.899760,17.431100,17.900960,18.343380,18.635250,18.806040,18.917000", \ - "16.724190,17.343780,17.801080,18.264990,18.539660,18.724860,18.835240", \ - "16.652970,17.287070,17.735590,18.213930,18.494990,18.667720,18.787140", \ - "17.393580,17.906560,18.393110,18.837070,19.121050,19.292000,19.400230", \ - "19.401190,19.797810,20.233020,20.655520,20.870050,21.056160,21.175240", \ - "22.544120,22.938360,23.343950,23.800880,24.060670,24.172570,24.272210", \ - "26.567490,26.942290,27.366680,27.898370,28.372710,28.669600,28.761190"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.417260,26.226480,26.554720,26.987110,27.404380,27.358810,27.698230", \ - "25.248970,26.073750,26.512880,26.940450,27.269810,27.615550,26.798610", \ - "25.180360,26.102220,26.498670,26.830960,27.227730,26.785850,27.217640", \ - "25.372330,26.268720,26.496870,27.149140,27.603050,26.571600,26.942090", \ - "26.772820,27.583940,27.833110,28.314150,28.395560,27.852180,27.881210", \ - "29.052890,29.892050,30.419250,30.923800,31.504730,31.078150,31.625580", \ - "32.968390,34.011340,34.421840,34.934570,35.651870,35.676100,35.895150"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.215860,17.730430,18.164080,18.615700,18.929150,19.103580,19.204680", \ - "17.130990,17.647950,18.106190,18.557690,18.844800,19.017390,19.124330", \ - "17.082470,17.607840,18.021330,18.505450,18.785650,18.965500,19.068760", \ - "17.770080,18.216850,18.675340,19.134430,19.431470,19.599240,19.708740", \ - "19.835120,20.226850,20.661370,21.051550,21.273780,21.455960,21.568840", \ - "23.171360,23.491460,23.908010,24.336860,24.596530,24.683630,24.788810", \ - "27.387500,27.612740,28.119260,28.650950,29.089590,29.359860,29.420560"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("27.096440,27.859880,28.331940,28.709740,28.725900,29.284870,28.988190", \ - "27.085170,27.899620,28.297000,28.644040,28.743260,29.452570,29.058050", \ - "26.966180,27.787930,28.264450,28.588480,28.870070,29.172890,28.681510", \ - "26.985070,27.885730,28.316020,28.768580,29.112110,28.880090,28.919110", \ - "28.355580,29.051530,29.484530,29.888240,30.251750,30.289350,28.534950", \ - "30.384650,31.403490,31.886390,32.504690,32.811340,32.583200,32.722610", \ - "34.317210,35.367620,35.747320,36.414240,36.763190,37.317770,35.661250"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.309260,14.861690,15.334180,15.693310,15.882890,15.946040,15.965580", \ - "14.330500,14.884440,15.331910,15.720440,15.898560,15.971240,16.003600", \ - "14.574190,15.227950,15.682020,16.030610,16.223150,16.284520,16.319290", \ - "16.157550,16.606300,17.036570,17.384940,17.563580,17.631290,17.660400", \ - "18.979000,19.432400,19.806320,20.121260,20.202980,20.236730,20.266570", \ - "22.871370,23.194170,23.626350,24.098970,24.398010,24.435000,24.404100", \ - "27.441380,27.830690,28.256240,28.862280,29.501410,30.061330,30.169550"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.208160,20.961080,21.437940,21.562150,21.850680,21.060690,21.946370", \ - "20.230900,20.912920,21.276080,21.767180,21.934380,21.557330,21.338060", \ - "20.365350,21.164430,21.409200,21.872960,22.125890,21.043450,21.096640", \ - "21.567650,22.308580,22.798810,22.911340,23.405000,22.910890,21.198740", \ - "24.178170,25.057390,25.431650,25.656820,26.141850,25.454180,24.381960", \ - "28.064450,28.728050,29.129520,29.497050,29.996610,29.634200,28.691300", \ - "33.243770,33.981820,34.287950,34.893880,35.285890,34.855950,34.929910"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.211480,14.874730,15.308290,15.682130,15.874880,15.946820,15.971750", \ - "14.339290,14.912910,15.341740,15.735040,15.909010,15.977330,16.009440", \ - "14.725890,15.257070,15.678290,16.057850,16.245220,16.316170,16.339940", \ - "16.142970,16.594960,17.017790,17.374640,17.546960,17.610700,17.627760", \ - "18.833930,19.276840,19.610860,19.958520,20.053680,20.097500,20.111720", \ - "22.533310,22.831520,23.248150,23.717630,24.005800,24.076770,24.025210", \ - "26.859370,27.243360,27.639810,28.228490,28.837210,29.387010,29.509880"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.941620,21.802220,22.071350,22.424570,23.126640,22.433880,21.420390", \ - "20.910180,21.760490,22.044550,22.631890,23.055610,22.974210,22.027620", \ - "21.030630,21.748970,22.067320,22.645000,23.057810,22.698270,23.098070", \ - "21.869560,22.826190,23.099120,23.631300,23.994530,24.138590,23.716370", \ - "24.539280,25.364910,25.716620,26.154500,26.084130,26.255720,25.190430", \ - "28.124700,28.832530,29.261840,29.762790,29.603660,30.260300,30.018990", \ - "32.897840,33.633410,34.133520,34.429070,35.098160,34.087610,35.034130"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.443950,15.041360,15.503750,15.890100,16.074350,16.136620,16.156330", \ - "14.498900,15.084270,15.516800,15.910900,16.102650,16.167800,16.190800", \ - "14.930780,15.433420,15.878220,16.250470,16.440800,16.508140,16.527870", \ - "16.536690,16.948580,17.323610,17.703840,17.872020,17.927480,17.953200", \ - "19.398800,19.780730,20.108180,20.436070,20.520280,20.572500,20.568850", \ - "23.323270,23.621440,24.012120,24.420160,24.705840,24.722960,24.672870", \ - "28.164200,28.302030,28.645460,29.246840,29.819610,30.295000,30.364940"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.647670,23.515630,23.920690,24.354010,24.487970,24.634120,23.651010", \ - "22.586640,23.455620,23.716070,24.187320,24.165200,24.552320,23.627200", \ - "22.611250,23.430880,23.844180,24.265780,24.723670,24.839440,23.859520", \ - "23.417660,24.351040,24.610350,25.062840,25.528690,25.827760,24.740790", \ - "26.074400,26.860530,27.132060,27.599740,27.951050,27.507930,26.358580", \ - "29.515720,30.267270,30.629350,30.886470,31.245900,31.171160,31.446440", \ - "34.274670,34.961560,35.500690,35.838240,36.184860,35.817350,36.034410"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.312580,14.884160,15.334810,15.710840,15.884410,15.954290,15.977410", \ - "14.317000,14.853980,15.324090,15.678240,15.874110,15.946810,15.971590", \ - "14.446740,15.007420,15.426500,15.808900,16.003020,16.069720,16.096350", \ - "15.310400,15.905110,16.328890,16.690910,16.875260,16.935140,16.976610", \ - "17.497500,18.097290,18.491140,18.869580,18.982890,19.030360,19.050150", \ - "20.395330,20.927710,21.431770,21.971510,22.369150,22.500140,22.463440", \ - "24.026550,24.392000,24.869350,25.548650,26.292780,27.064670,27.276200"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.524050,22.447250,22.787970,23.075270,23.499580,23.248290,21.852570", \ - "21.500220,22.303560,22.627780,23.035500,23.352940,23.118380,22.137580", \ - "21.637990,22.490140,22.908480,23.259560,23.529000,23.224640,22.423890", \ - "22.980860,23.697620,24.068130,24.524600,24.549880,24.499250,23.552410", \ - "25.524720,26.518610,26.908400,27.158830,27.562460,26.735740,25.599460", \ - "29.346710,30.064970,30.444270,31.008680,31.331840,30.879750,31.163350", \ - "34.205260,35.069770,35.568190,35.959340,36.362230,35.813600,36.073250"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.315050,14.870870,15.316200,15.699820,15.883220,15.954100,15.970690", \ - "14.316450,14.895520,15.326060,15.710630,15.881040,15.951400,15.978670", \ - "14.400580,15.018570,15.484560,15.854310,16.023980,16.097780,16.121960", \ - "15.331130,15.918620,16.344290,16.683840,16.876070,16.941310,16.973250", \ - "17.458850,17.945190,18.359100,18.736520,18.857350,18.885630,18.921910", \ - "20.176830,20.620280,21.077960,21.603270,21.984680,22.124490,22.104490", \ - "23.410650,23.821500,24.267940,24.935020,25.641980,26.360840,26.594710"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.562560,23.331630,23.763580,24.068090,24.677150,23.932320,23.908490", \ - "22.381920,23.279260,23.711370,24.183810,24.300280,24.217970,23.608380", \ - "22.593700,23.432530,23.760560,24.188680,24.632170,24.472280,23.903130", \ - "23.440930,24.338890,24.627600,25.057250,25.596810,25.359120,24.927010", \ - "26.073570,26.818720,27.167950,27.587560,28.153710,27.630280,27.823670", \ - "29.364220,30.301120,30.804400,31.131030,31.508810,31.338770,30.557810", \ - "34.312440,34.954690,35.349500,35.886150,36.208720,36.203550,34.126640"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.553980,15.054750,15.485300,15.877350,16.079890,16.141450,16.157970", \ - "14.476210,15.062220,15.512620,15.893150,16.077370,16.142910,16.162480", \ - "14.616750,15.206930,15.658020,16.019530,16.224980,16.290630,16.314770", \ - "15.609430,16.147930,16.561730,16.929420,17.125240,17.186370,17.206690", \ - "17.892610,18.282530,18.678000,19.058480,19.198370,19.234330,19.255600", \ - "20.843440,21.181200,21.657090,22.113310,22.509410,22.606050,22.561380", \ - "24.343590,24.657770,25.084780,25.722640,26.383500,27.040920,27.227740"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.140120,25.074090,25.438210,25.735930,25.911480,26.466240,26.004370", \ - "24.097990,24.940280,25.293640,25.929700,26.311560,26.371570,25.930390", \ - "24.315200,25.155840,25.549650,25.916390,26.107030,26.558710,26.068370", \ - "25.011900,25.884130,26.302890,26.858100,26.813360,27.227580,26.957060", \ - "27.543290,28.257600,28.694830,29.114970,29.350180,29.123470,28.813620", \ - "30.969040,31.805480,32.183230,32.723010,33.123680,32.709120,32.239040", \ - "35.725930,36.312110,36.858100,37.389080,37.724370,36.992810,36.204960"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI222_X1 - Cell Description : Combinational cell (AOI222_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI222_X1) { - - drive_strength : 1; - - area : 2.128000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 140.874648; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 30.072125; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 98.250750; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 32.564127; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 93.567125; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 98.250875; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 166.413750; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 100.741627; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 132.982625; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 32.564248; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 100.741625; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 35.057252; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 132.963250; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 96.080000; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 135.927625; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 135.907625; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 160.926729; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 98.251125; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 166.414000; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 100.741752; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 133.458125; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 166.413875; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 234.555625; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 168.902254; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 172.987237; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 100.741875; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 168.902502; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 103.234502; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 172.967825; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 135.292625; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 175.140750; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 175.120875; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 201.141069; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 32.564251; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 100.741751; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 35.057378; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 133.438500; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 100.741876; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 168.902502; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 103.234503; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 172.967700; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 35.057373; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 103.234500; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 37.551877; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 172.935525; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 135.272875; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 175.120875; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 175.088250; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 201.127069; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 136.587375; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 176.397625; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 176.363500; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 161.133844; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 176.398000; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 216.208500; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 216.174500; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 201.202161; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 176.364125; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 216.174750; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 216.127750; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 201.187784; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 161.566277; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 201.416792; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 201.402416; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 240.957904; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.674220; - fall_capacitance : 1.430470; - rise_capacitance : 1.674220; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.748793; - fall_capacitance : 1.417455; - rise_capacitance : 1.748793; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.605130; - fall_capacitance : 1.511070; - rise_capacitance : 1.605130; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.671760; - fall_capacitance : 1.483895; - rise_capacitance : 1.671760; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.594991; - fall_capacitance : 1.594991; - rise_capacitance : 1.557810; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.613056; - fall_capacitance : 1.555511; - rise_capacitance : 1.613056; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 13.008100; - function : "!(((A1 & A2) | (B1 & B2)) | (C1 & C2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006297,0.006780,0.007650,0.009213,0.012027,0.017102,0.026277", \ - "0.006981,0.007462,0.008333,0.009904,0.012731,0.017824,0.027017", \ - "0.008897,0.009537,0.010646,0.012522,0.015584,0.020578,0.029680", \ - "0.009463,0.010333,0.011833,0.014360,0.018495,0.025089,0.035299", \ - "0.008222,0.009340,0.011261,0.014483,0.019722,0.027982,0.040744", \ - "0.004955,0.006315,0.008647,0.012560,0.018930,0.028950,0.044285", \ - "-0.000474,0.001125,0.003847,0.008420,0.015885,0.027675,0.045680"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008600,0.009389,0.010812,0.013393,0.018044,0.026403,0.041459", \ - "0.009307,0.010077,0.011477,0.014044,0.018705,0.027102,0.042204", \ - "0.013328,0.014129,0.015522,0.017830,0.022178,0.030335,0.045264", \ - "0.018978,0.019987,0.021749,0.024777,0.029872,0.038153,0.052418", \ - "0.026090,0.027314,0.029428,0.033013,0.039019,0.048924,0.064846", \ - "0.034534,0.036017,0.038533,0.042780,0.049770,0.061091,0.079339", \ - "0.044364,0.046087,0.049026,0.053979,0.062087,0.075009,0.095419"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.003885,0.004291,0.005028,0.006364,0.008787,0.013178,0.021141", \ - "0.003883,0.004290,0.005026,0.006363,0.008786,0.013178,0.021139", \ - "0.005837,0.006174,0.006772,0.007797,0.009548,0.013303,0.021141", \ - "0.009593,0.009993,0.010673,0.011856,0.013874,0.017319,0.023138", \ - "0.014901,0.015397,0.016249,0.017680,0.020012,0.023817,0.030177", \ - "0.021674,0.022277,0.023324,0.025080,0.027910,0.032331,0.039273", \ - "0.029990,0.030687,0.031937,0.034024,0.037409,0.042631,0.050543"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.007152,0.007921,0.009299,0.011746,0.016094,0.023856,0.037749", \ - "0.007027,0.007809,0.009210,0.011691,0.016085,0.023851,0.037759", \ - "0.008178,0.008711,0.009743,0.011800,0.015851,0.023764,0.037747", \ - "0.011410,0.012051,0.013201,0.015244,0.018687,0.024894,0.037677", \ - "0.015896,0.016551,0.017734,0.019863,0.023667,0.030268,0.041267", \ - "0.021884,0.022580,0.023859,0.026100,0.030034,0.036962,0.048947", \ - "0.029350,0.030104,0.031495,0.033941,0.038139,0.045324,0.057823"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006297,0.006779,0.007649,0.009212,0.012026,0.017101,0.026274", \ - "0.006993,0.007474,0.008344,0.009914,0.012741,0.017834,0.027025", \ - "0.008959,0.009597,0.010706,0.012577,0.015633,0.020624,0.029726", \ - "0.009319,0.010200,0.011719,0.014273,0.018448,0.025085,0.035320", \ - "0.007397,0.008544,0.010513,0.013817,0.019175,0.027600,0.040536", \ - "0.002979,0.004384,0.006796,0.010846,0.017426,0.027747,0.043453", \ - "-0.004080,-0.002423,0.000409,0.005179,0.012946,0.025160,0.043748"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009230,0.010163,0.011876,0.015050,0.020876,0.031428,0.050445", \ - "0.009922,0.010822,0.012499,0.015647,0.021470,0.032073,0.051151", \ - "0.014345,0.015232,0.016723,0.019470,0.024878,0.035163,0.054040", \ - "0.020957,0.022085,0.024052,0.027458,0.033223,0.042805,0.060926", \ - "0.029375,0.030720,0.033047,0.037035,0.043801,0.055077,0.073364", \ - "0.039559,0.041163,0.043899,0.048544,0.056282,0.069030,0.089894", \ - "0.051567,0.053422,0.056598,0.061971,0.070825,0.085136,0.108209"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.003884,0.004291,0.005029,0.006362,0.008787,0.013178,0.021141", \ - "0.003883,0.004290,0.005028,0.006363,0.008787,0.013178,0.021141", \ - "0.005811,0.006149,0.006748,0.007772,0.009533,0.013296,0.021141", \ - "0.009512,0.009914,0.010618,0.011816,0.013862,0.017315,0.023132", \ - "0.014760,0.015267,0.016148,0.017616,0.020018,0.023887,0.030248", \ - "0.021501,0.022138,0.023228,0.025048,0.027948,0.032472,0.039506", \ - "0.029837,0.030568,0.031871,0.034051,0.037541,0.042893,0.050944"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008795,0.009841,0.011711,0.015008,0.020719,0.030650,0.048156", \ - "0.008576,0.009627,0.011528,0.014872,0.020650,0.030602,0.048170", \ - "0.009327,0.010087,0.011579,0.014485,0.020152,0.030487,0.048121", \ - "0.012600,0.013398,0.014835,0.017418,0.021764,0.030467,0.047849", \ - "0.016934,0.017713,0.019139,0.021756,0.026478,0.034718,0.049439", \ - "0.022797,0.023597,0.025067,0.027700,0.032443,0.041009,0.055889", \ - "0.030140,0.030994,0.032560,0.035334,0.040204,0.048824,0.064263"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006477,0.006964,0.007839,0.009416,0.012251,0.017366,0.026609", \ - "0.007172,0.007658,0.008535,0.010118,0.012967,0.018100,0.027360", \ - "0.009246,0.009878,0.010977,0.012836,0.015874,0.020889,0.030060", \ - "0.009847,0.010711,0.012205,0.014728,0.018868,0.025478,0.035689", \ - "0.008362,0.009471,0.011385,0.014612,0.019891,0.028238,0.041119", \ - "0.004680,0.006004,0.008301,0.012204,0.018612,0.028770,0.044335", \ - "-0.001247,0.000257,0.002880,0.007366,0.014819,0.026729,0.045052"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012567,0.013547,0.015330,0.018571,0.024441,0.035012,0.054029", \ - "0.013215,0.014181,0.015949,0.019181,0.025063,0.035673,0.054747", \ - "0.017303,0.018145,0.019727,0.022707,0.028320,0.038710,0.057621", \ - "0.024842,0.025899,0.027763,0.031009,0.036542,0.046127,0.064412", \ - "0.033982,0.035218,0.037405,0.041200,0.047722,0.058686,0.076632", \ - "0.045009,0.046451,0.048983,0.053329,0.060719,0.073114,0.093588", \ - "0.057902,0.059569,0.062492,0.067474,0.075842,0.089663,0.112297"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005206,0.005631,0.006390,0.007751,0.010191,0.014595,0.022565", \ - "0.005206,0.005630,0.006390,0.007751,0.010192,0.014594,0.022566", \ - "0.007395,0.007698,0.008238,0.009125,0.010913,0.014707,0.022568", \ - "0.011858,0.012169,0.012732,0.013754,0.015590,0.018829,0.024534", \ - "0.018106,0.018465,0.019114,0.020280,0.022316,0.025826,0.031876", \ - "0.025962,0.026402,0.027177,0.028568,0.030957,0.034935,0.041500", \ - "0.035461,0.035925,0.036854,0.038504,0.041325,0.045969,0.053372"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011399,0.012419,0.014231,0.017425,0.023044,0.032886,0.050406", \ - "0.011241,0.012273,0.014110,0.017337,0.022997,0.032867,0.050383", \ - "0.011123,0.012015,0.013676,0.016780,0.022652,0.032782,0.050393", \ - "0.014175,0.014987,0.016458,0.018895,0.023510,0.032527,0.050220", \ - "0.018252,0.019098,0.020606,0.023317,0.028117,0.036247,0.051347", \ - "0.023878,0.024747,0.026297,0.029057,0.033972,0.042668,0.057468", \ - "0.031038,0.031976,0.033626,0.036513,0.041557,0.050385,0.065957"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006297,0.006779,0.007649,0.009212,0.012026,0.017101,0.026274", \ - "0.006993,0.007474,0.008344,0.009914,0.012741,0.017834,0.027025", \ - "0.008959,0.009597,0.010706,0.012577,0.015633,0.020624,0.029726", \ - "0.009319,0.010200,0.011719,0.014273,0.018448,0.025085,0.035320", \ - "0.007397,0.008544,0.010513,0.013817,0.019175,0.027600,0.040536", \ - "0.002979,0.004384,0.006796,0.010846,0.017426,0.027747,0.043453", \ - "-0.004080,-0.002423,0.000409,0.005179,0.012946,0.025160,0.043748"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009230,0.010163,0.011876,0.015050,0.020876,0.031428,0.050445", \ - "0.009922,0.010822,0.012499,0.015647,0.021470,0.032073,0.051151", \ - "0.014345,0.015232,0.016723,0.019470,0.024878,0.035163,0.054040", \ - "0.020957,0.022085,0.024052,0.027458,0.033223,0.042805,0.060926", \ - "0.029375,0.030720,0.033047,0.037035,0.043801,0.055077,0.073364", \ - "0.039559,0.041163,0.043899,0.048544,0.056282,0.069030,0.089894", \ - "0.051567,0.053422,0.056598,0.061971,0.070825,0.085136,0.108209"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.003884,0.004291,0.005029,0.006362,0.008787,0.013178,0.021141", \ - "0.003883,0.004290,0.005028,0.006363,0.008787,0.013178,0.021141", \ - "0.005811,0.006149,0.006748,0.007772,0.009533,0.013296,0.021141", \ - "0.009512,0.009914,0.010618,0.011816,0.013862,0.017315,0.023132", \ - "0.014760,0.015267,0.016148,0.017616,0.020018,0.023887,0.030248", \ - "0.021501,0.022138,0.023228,0.025048,0.027948,0.032472,0.039506", \ - "0.029837,0.030568,0.031871,0.034051,0.037541,0.042893,0.050944"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008795,0.009841,0.011711,0.015008,0.020719,0.030650,0.048156", \ - "0.008576,0.009627,0.011528,0.014872,0.020650,0.030602,0.048170", \ - "0.009327,0.010087,0.011579,0.014485,0.020152,0.030487,0.048121", \ - "0.012600,0.013398,0.014835,0.017418,0.021764,0.030467,0.047849", \ - "0.016934,0.017713,0.019139,0.021756,0.026478,0.034718,0.049439", \ - "0.022797,0.023597,0.025067,0.027700,0.032443,0.041009,0.055889", \ - "0.030140,0.030994,0.032560,0.035334,0.040204,0.048824,0.064263"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006310,0.006792,0.007661,0.009224,0.012038,0.017111,0.026283", \ - "0.007033,0.007514,0.008385,0.009955,0.012780,0.017872,0.027062", \ - "0.009004,0.009642,0.010752,0.012625,0.015679,0.020671,0.029774", \ - "0.009133,0.010026,0.011569,0.014161,0.018381,0.025059,0.035323", \ - "0.006643,0.007816,0.009841,0.013223,0.018697,0.027266,0.040347", \ - "0.001282,0.002729,0.005223,0.009400,0.016161,0.026744,0.042767", \ - "-0.007102,-0.005383,-0.002444,0.002490,0.010513,0.023105,0.042177"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011041,0.012215,0.014360,0.018273,0.025350,0.038080,0.060987", \ - "0.011561,0.012701,0.014813,0.018715,0.025822,0.038624,0.061617", \ - "0.015988,0.016924,0.018717,0.022218,0.028952,0.041461,0.064248", \ - "0.023294,0.024564,0.026785,0.030643,0.037214,0.048777,0.070830", \ - "0.032653,0.034137,0.036714,0.041174,0.048801,0.061588,0.082857", \ - "0.044160,0.045906,0.048885,0.053969,0.062544,0.076874,0.100519", \ - "0.057884,0.059902,0.063327,0.069137,0.078793,0.094624,0.120574"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.003885,0.004290,0.005029,0.006365,0.008787,0.013178,0.021138", \ - "0.003884,0.004290,0.005028,0.006363,0.008785,0.013179,0.021141", \ - "0.005791,0.006130,0.006729,0.007752,0.009516,0.013291,0.021141", \ - "0.009503,0.009914,0.010623,0.011832,0.013879,0.017325,0.023131", \ - "0.014753,0.015273,0.016166,0.017660,0.020089,0.023979,0.030327", \ - "0.021518,0.022169,0.023280,0.025127,0.028077,0.032662,0.039737", \ - "0.029866,0.030620,0.031962,0.034197,0.037754,0.043178,0.051312"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011252,0.012492,0.014695,0.018532,0.025185,0.036867,0.057779", \ - "0.010997,0.012267,0.014512,0.018420,0.025165,0.036859,0.057777", \ - "0.011128,0.012169,0.014120,0.017801,0.024801,0.036810,0.057799", \ - "0.014035,0.015021,0.016828,0.019831,0.025444,0.036421,0.057711", \ - "0.017991,0.018934,0.020663,0.023843,0.029616,0.039464,0.058145", \ - "0.023618,0.024543,0.026242,0.029354,0.035050,0.045386,0.063124", \ - "0.030809,0.031749,0.033511,0.036666,0.042332,0.052601,0.071110"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006490,0.006976,0.007851,0.009428,0.012263,0.017377,0.026619", \ - "0.007212,0.007699,0.008576,0.010158,0.013007,0.018138,0.027397", \ - "0.009289,0.009923,0.011022,0.012883,0.015920,0.020937,0.030109", \ - "0.009665,0.010541,0.012062,0.014618,0.018806,0.025455,0.035692", \ - "0.007620,0.008754,0.010722,0.014030,0.019424,0.027913,0.040933", \ - "0.003006,0.004381,0.006756,0.010778,0.017376,0.027787,0.043660", \ - "-0.004220,-0.002653,0.000067,0.004718,0.012425,0.024700,0.043497"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.015252,0.016458,0.018643,0.022588,0.029681,0.042412,0.065326", \ - "0.015748,0.016946,0.019123,0.023071,0.030192,0.042978,0.065960", \ - "0.019511,0.020589,0.022589,0.026311,0.033194,0.045766,0.068575", \ - "0.027706,0.028898,0.031014,0.034706,0.041005,0.052888,0.075051", \ - "0.037777,0.039159,0.041612,0.045885,0.053257,0.065709,0.086916", \ - "0.050118,0.051696,0.054473,0.059282,0.067531,0.081504,0.104729", \ - "0.064759,0.066567,0.069725,0.075140,0.084331,0.099696,0.125214"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005207,0.005631,0.006390,0.007751,0.010191,0.014596,0.022564", \ - "0.005206,0.005631,0.006391,0.007751,0.010192,0.014596,0.022568", \ - "0.007374,0.007676,0.008218,0.009106,0.010897,0.014701,0.022568", \ - "0.011861,0.012181,0.012748,0.013771,0.015608,0.018838,0.024532", \ - "0.018128,0.018498,0.019164,0.020347,0.022405,0.025924,0.031950", \ - "0.026042,0.026478,0.027278,0.028699,0.031130,0.035153,0.041735", \ - "0.035603,0.036085,0.037032,0.038721,0.041594,0.046294,0.053766"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014319,0.015502,0.017616,0.021342,0.027908,0.039570,0.060509", \ - "0.014172,0.015374,0.017518,0.021284,0.027886,0.039576,0.060520", \ - "0.013669,0.014802,0.016888,0.020819,0.027692,0.039529,0.060524", \ - "0.016148,0.017170,0.018789,0.021875,0.027784,0.039096,0.060476", \ - "0.019763,0.020780,0.022601,0.025886,0.031707,0.041571,0.060621", \ - "0.025043,0.026060,0.027868,0.031146,0.037036,0.047472,0.065239", \ - "0.031958,0.033009,0.034895,0.038203,0.044084,0.054616,0.073232"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006477,0.006964,0.007839,0.009416,0.012251,0.017366,0.026609", \ - "0.007172,0.007658,0.008535,0.010118,0.012967,0.018100,0.027360", \ - "0.009246,0.009878,0.010977,0.012836,0.015874,0.020889,0.030060", \ - "0.009847,0.010711,0.012205,0.014728,0.018868,0.025478,0.035689", \ - "0.008362,0.009471,0.011385,0.014612,0.019891,0.028238,0.041119", \ - "0.004680,0.006004,0.008301,0.012204,0.018612,0.028770,0.044335", \ - "-0.001247,0.000257,0.002880,0.007366,0.014819,0.026729,0.045052"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012567,0.013547,0.015330,0.018571,0.024441,0.035012,0.054029", \ - "0.013215,0.014181,0.015949,0.019181,0.025063,0.035673,0.054747", \ - "0.017303,0.018145,0.019727,0.022707,0.028320,0.038710,0.057621", \ - "0.024842,0.025899,0.027763,0.031009,0.036542,0.046127,0.064412", \ - "0.033982,0.035218,0.037405,0.041200,0.047722,0.058686,0.076632", \ - "0.045009,0.046451,0.048983,0.053329,0.060719,0.073114,0.093588", \ - "0.057902,0.059569,0.062492,0.067474,0.075842,0.089663,0.112297"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005206,0.005631,0.006390,0.007751,0.010191,0.014595,0.022565", \ - "0.005206,0.005630,0.006390,0.007751,0.010192,0.014594,0.022566", \ - "0.007395,0.007698,0.008238,0.009125,0.010913,0.014707,0.022568", \ - "0.011858,0.012169,0.012732,0.013754,0.015590,0.018829,0.024534", \ - "0.018106,0.018465,0.019114,0.020280,0.022316,0.025826,0.031876", \ - "0.025962,0.026402,0.027177,0.028568,0.030957,0.034935,0.041500", \ - "0.035461,0.035925,0.036854,0.038504,0.041325,0.045969,0.053372"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011399,0.012419,0.014231,0.017425,0.023044,0.032886,0.050406", \ - "0.011241,0.012273,0.014110,0.017337,0.022997,0.032867,0.050383", \ - "0.011123,0.012015,0.013676,0.016780,0.022652,0.032782,0.050393", \ - "0.014175,0.014987,0.016458,0.018895,0.023510,0.032527,0.050220", \ - "0.018252,0.019098,0.020606,0.023317,0.028117,0.036247,0.051347", \ - "0.023878,0.024747,0.026297,0.029057,0.033972,0.042668,0.057468", \ - "0.031038,0.031976,0.033626,0.036513,0.041557,0.050385,0.065957"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006490,0.006976,0.007851,0.009428,0.012263,0.017377,0.026619", \ - "0.007212,0.007699,0.008576,0.010158,0.013007,0.018138,0.027397", \ - "0.009289,0.009923,0.011022,0.012883,0.015920,0.020937,0.030109", \ - "0.009665,0.010541,0.012062,0.014618,0.018806,0.025455,0.035692", \ - "0.007620,0.008754,0.010722,0.014030,0.019424,0.027913,0.040933", \ - "0.003006,0.004381,0.006756,0.010778,0.017376,0.027787,0.043660", \ - "-0.004220,-0.002653,0.000067,0.004718,0.012425,0.024700,0.043497"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.015252,0.016458,0.018643,0.022588,0.029681,0.042412,0.065326", \ - "0.015748,0.016946,0.019123,0.023071,0.030192,0.042978,0.065960", \ - "0.019511,0.020589,0.022589,0.026311,0.033194,0.045766,0.068575", \ - "0.027706,0.028898,0.031014,0.034706,0.041005,0.052888,0.075051", \ - "0.037777,0.039159,0.041612,0.045885,0.053257,0.065709,0.086916", \ - "0.050118,0.051696,0.054473,0.059282,0.067531,0.081504,0.104729", \ - "0.064759,0.066567,0.069725,0.075140,0.084331,0.099696,0.125214"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005207,0.005631,0.006390,0.007751,0.010191,0.014596,0.022564", \ - "0.005206,0.005631,0.006391,0.007751,0.010192,0.014596,0.022568", \ - "0.007374,0.007676,0.008218,0.009106,0.010897,0.014701,0.022568", \ - "0.011861,0.012181,0.012748,0.013771,0.015608,0.018838,0.024532", \ - "0.018128,0.018498,0.019164,0.020347,0.022405,0.025924,0.031950", \ - "0.026042,0.026478,0.027278,0.028699,0.031130,0.035153,0.041735", \ - "0.035603,0.036085,0.037032,0.038721,0.041594,0.046294,0.053766"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014319,0.015502,0.017616,0.021342,0.027908,0.039570,0.060509", \ - "0.014172,0.015374,0.017518,0.021284,0.027886,0.039576,0.060520", \ - "0.013669,0.014802,0.016888,0.020819,0.027692,0.039529,0.060524", \ - "0.016148,0.017170,0.018789,0.021875,0.027784,0.039096,0.060476", \ - "0.019763,0.020780,0.022601,0.025886,0.031707,0.041571,0.060621", \ - "0.025043,0.026060,0.027868,0.031146,0.037036,0.047472,0.065239", \ - "0.031958,0.033009,0.034895,0.038203,0.044084,0.054616,0.073232"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006679,0.007170,0.008053,0.009641,0.012502,0.017662,0.026978", \ - "0.007400,0.007892,0.008777,0.010373,0.013246,0.018420,0.027756", \ - "0.009592,0.010219,0.011308,0.013152,0.016162,0.021219,0.030468", \ - "0.010266,0.011117,0.012608,0.015125,0.019268,0.025879,0.036085", \ - "0.008858,0.009922,0.011793,0.014982,0.020252,0.028632,0.041569", \ - "0.005435,0.006640,0.008786,0.012528,0.018831,0.028979,0.044648", \ - "-0.000255,0.001079,0.003451,0.007623,0.014790,0.026567,0.044965"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.019581,0.020793,0.022982,0.026924,0.034010,0.046733,0.069638", \ - "0.020092,0.021302,0.023491,0.027442,0.034552,0.047323,0.070287", \ - "0.023481,0.024622,0.026709,0.030518,0.037462,0.050070,0.072890", \ - "0.031905,0.033041,0.035067,0.038581,0.044953,0.057022,0.079267", \ - "0.042640,0.043965,0.046315,0.050434,0.057586,0.069730,0.090978", \ - "0.055644,0.057144,0.059771,0.064372,0.072372,0.086029,0.108857", \ - "0.071057,0.072734,0.075720,0.080844,0.089668,0.104647,0.129758"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006650,0.007065,0.007814,0.009165,0.011607,0.016019,0.023996", \ - "0.006650,0.007064,0.007814,0.009165,0.011606,0.016017,0.023994", \ - "0.008930,0.009193,0.009616,0.010483,0.012289,0.016117,0.023995", \ - "0.014006,0.014262,0.014729,0.015617,0.017282,0.020321,0.025935", \ - "0.021099,0.021374,0.021885,0.022840,0.024607,0.027814,0.033555", \ - "0.029964,0.030270,0.030860,0.031970,0.033995,0.037552,0.043696", \ - "0.040504,0.040858,0.041543,0.042836,0.045186,0.049288,0.056168"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.017165,0.018320,0.020380,0.024054,0.030594,0.042268,0.063245", \ - "0.017085,0.018256,0.020335,0.024031,0.030585,0.042268,0.063254", \ - "0.016464,0.017706,0.019908,0.023758,0.030483,0.042256,0.063256", \ - "0.018117,0.019057,0.020826,0.024110,0.030235,0.041858,0.063230", \ - "0.021792,0.022833,0.024696,0.028011,0.033725,0.043768,0.063189", \ - "0.026833,0.027878,0.029753,0.033116,0.039110,0.049573,0.067366", \ - "0.033572,0.034658,0.036585,0.039970,0.045985,0.056677,0.075341"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.007316,0.007796,0.008664,0.010224,0.013034,0.018105,0.027277", \ - "0.007861,0.008354,0.009239,0.010824,0.013667,0.018772,0.027974", \ - "0.009005,0.009582,0.010593,0.012344,0.015364,0.020512,0.029736", \ - "0.009123,0.009908,0.011261,0.013531,0.017236,0.023204,0.033041", \ - "0.007113,0.008139,0.009913,0.012874,0.017657,0.025126,0.036604", \ - "0.002640,0.003908,0.006108,0.009776,0.015702,0.024929,0.038861", \ - "-0.004516,-0.003000,-0.000381,0.003986,0.011049,0.022070,0.038682"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010916,0.011699,0.013116,0.015676,0.020296,0.028623,0.043647", \ - "0.011604,0.012382,0.013793,0.016352,0.020979,0.029323,0.044366", \ - "0.015867,0.016552,0.017812,0.020170,0.024572,0.032702,0.047563", \ - "0.022718,0.023629,0.025240,0.028037,0.032799,0.040659,0.054911", \ - "0.031404,0.032465,0.034342,0.037595,0.043165,0.052526,0.067798", \ - "0.042171,0.043408,0.045584,0.049309,0.055615,0.066140,0.083531", \ - "0.055111,0.056533,0.059052,0.063299,0.070428,0.082156,0.101300"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.003884,0.004292,0.005026,0.006365,0.008787,0.013179,0.021143", \ - "0.003885,0.004290,0.005027,0.006364,0.008786,0.013178,0.021141", \ - "0.004960,0.005295,0.005913,0.007071,0.009166,0.013269,0.021141", \ - "0.007876,0.008205,0.008779,0.009799,0.011659,0.015221,0.022103", \ - "0.012076,0.012473,0.013152,0.014324,0.016301,0.019684,0.025863", \ - "0.017252,0.017737,0.018571,0.019994,0.022353,0.026139,0.032333", \ - "0.023378,0.023947,0.024941,0.026653,0.029468,0.033921,0.040802"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008962,0.009718,0.011078,0.013502,0.017834,0.025569,0.039512", \ - "0.008880,0.009641,0.011019,0.013468,0.017816,0.025574,0.039504", \ - "0.009336,0.009963,0.011137,0.013345,0.017626,0.025524,0.039500", \ - "0.012425,0.013097,0.014280,0.016341,0.019751,0.026264,0.039383", \ - "0.016142,0.016880,0.018201,0.020516,0.024522,0.031227,0.042439", \ - "0.020993,0.021807,0.023236,0.025721,0.030030,0.037420,0.049698", \ - "0.027058,0.027965,0.029520,0.032230,0.036826,0.044638,0.057890"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.007316,0.007796,0.008663,0.010223,0.013033,0.018103,0.027274", \ - "0.007873,0.008364,0.009249,0.010834,0.013676,0.018781,0.027982", \ - "0.009082,0.009656,0.010664,0.012410,0.015425,0.020567,0.029786", \ - "0.009130,0.009918,0.011275,0.013553,0.017270,0.023251,0.033097", \ - "0.006691,0.007732,0.009531,0.012532,0.017378,0.024933,0.036510", \ - "0.001363,0.002664,0.004915,0.008671,0.014730,0.024148,0.038319", \ - "-0.007084,-0.005517,-0.002812,0.001692,0.008970,0.020298,0.037317"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012039,0.012994,0.014738,0.017928,0.023739,0.034260,0.053233", \ - "0.012689,0.013633,0.015366,0.018545,0.024362,0.034899,0.053902", \ - "0.017119,0.017938,0.019476,0.022387,0.027906,0.038181,0.056964", \ - "0.025102,0.026129,0.027945,0.031114,0.036543,0.045996,0.064097", \ - "0.035023,0.036218,0.038324,0.042010,0.048362,0.059094,0.076783", \ - "0.047353,0.048720,0.051131,0.055287,0.062402,0.074437,0.094472", \ - "0.062258,0.063814,0.066565,0.071248,0.079182,0.092408,0.114369"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.003885,0.004291,0.005026,0.006363,0.008787,0.013179,0.021142", \ - "0.003886,0.004291,0.005028,0.006362,0.008788,0.013179,0.021141", \ - "0.004941,0.005276,0.005897,0.007059,0.009158,0.013265,0.021140", \ - "0.007792,0.008123,0.008704,0.009744,0.011624,0.015204,0.022095", \ - "0.011880,0.012289,0.012991,0.014189,0.016211,0.019642,0.025867", \ - "0.016964,0.017468,0.018325,0.019793,0.022206,0.026060,0.032356", \ - "0.023020,0.023611,0.024634,0.026401,0.029293,0.033818,0.040832"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011298,0.012320,0.014141,0.017356,0.023006,0.032857,0.050379", \ - "0.011129,0.012160,0.014010,0.017265,0.022947,0.032837,0.050381", \ - "0.011145,0.012033,0.013673,0.016754,0.022588,0.032734,0.050358", \ - "0.014058,0.014879,0.016364,0.018849,0.023498,0.032529,0.050191", \ - "0.017648,0.018538,0.020126,0.022934,0.027839,0.036090,0.051298", \ - "0.022332,0.023280,0.024943,0.027893,0.033080,0.042070,0.057103", \ - "0.028229,0.029256,0.031038,0.034144,0.039540,0.048920,0.065045"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.007495,0.007980,0.008853,0.010426,0.013258,0.018370,0.027609", \ - "0.008054,0.008549,0.009440,0.011039,0.013902,0.019048,0.028318", \ - "0.009315,0.009886,0.010891,0.012640,0.015665,0.020834,0.030122", \ - "0.009522,0.010299,0.011639,0.013896,0.017592,0.023571,0.033454", \ - "0.007362,0.008379,0.010144,0.013098,0.017892,0.025402,0.036956", \ - "0.002462,0.003724,0.005909,0.009580,0.015543,0.024865,0.038956", \ - "-0.005352,-0.003864,-0.001269,0.003095,0.010203,0.021367,0.038237"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.015484,0.016465,0.018244,0.021470,0.027307,0.037835,0.056816", \ - "0.016119,0.017095,0.018870,0.022095,0.027938,0.038483,0.057490", \ - "0.020137,0.021031,0.022679,0.025731,0.031370,0.041709,0.060531", \ - "0.028692,0.029670,0.031406,0.034454,0.039668,0.049339,0.067552", \ - "0.039202,0.040336,0.042356,0.045913,0.052077,0.062551,0.080068", \ - "0.052119,0.053399,0.055687,0.059668,0.066560,0.078332,0.098044", \ - "0.067679,0.069132,0.071696,0.076139,0.083764,0.096664,0.118295"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005206,0.005631,0.006390,0.007751,0.010192,0.014594,0.022567", \ - "0.005208,0.005632,0.006391,0.007750,0.010191,0.014593,0.022565", \ - "0.006370,0.006703,0.007319,0.008427,0.010553,0.014679,0.022568", \ - "0.009650,0.009921,0.010422,0.011357,0.013150,0.016659,0.023510", \ - "0.014467,0.014776,0.015319,0.016314,0.018094,0.021294,0.027365", \ - "0.020403,0.020767,0.021404,0.022571,0.024612,0.028079,0.034047", \ - "0.027367,0.027790,0.028542,0.029919,0.032315,0.036313,0.042841"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013772,0.014769,0.016544,0.019703,0.025264,0.035093,0.052640", \ - "0.013660,0.014661,0.016458,0.019642,0.025237,0.035086,0.052636", \ - "0.013245,0.014195,0.015942,0.019211,0.025000,0.035019,0.052634", \ - "0.015746,0.016572,0.017931,0.020491,0.025379,0.034654,0.052530", \ - "0.019368,0.020267,0.021860,0.024672,0.029544,0.037762,0.053252", \ - "0.023994,0.024956,0.026635,0.029620,0.034841,0.043828,0.058749", \ - "0.029844,0.030871,0.032661,0.035792,0.041245,0.050695,0.066820"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.007316,0.007796,0.008663,0.010223,0.013033,0.018103,0.027274", \ - "0.007873,0.008364,0.009249,0.010834,0.013676,0.018781,0.027982", \ - "0.009082,0.009656,0.010664,0.012410,0.015425,0.020567,0.029786", \ - "0.009130,0.009918,0.011275,0.013553,0.017270,0.023251,0.033097", \ - "0.006691,0.007732,0.009531,0.012532,0.017378,0.024933,0.036510", \ - "0.001363,0.002664,0.004915,0.008671,0.014730,0.024148,0.038319", \ - "-0.007084,-0.005517,-0.002812,0.001692,0.008970,0.020298,0.037317"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012039,0.012994,0.014738,0.017928,0.023739,0.034260,0.053233", \ - "0.012689,0.013633,0.015366,0.018545,0.024362,0.034899,0.053902", \ - "0.017119,0.017938,0.019476,0.022387,0.027906,0.038181,0.056964", \ - "0.025102,0.026129,0.027945,0.031114,0.036543,0.045996,0.064097", \ - "0.035023,0.036218,0.038324,0.042010,0.048362,0.059094,0.076783", \ - "0.047353,0.048720,0.051131,0.055287,0.062402,0.074437,0.094472", \ - "0.062258,0.063814,0.066565,0.071248,0.079182,0.092408,0.114369"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.003885,0.004291,0.005026,0.006363,0.008787,0.013179,0.021142", \ - "0.003886,0.004291,0.005028,0.006362,0.008788,0.013179,0.021141", \ - "0.004941,0.005276,0.005897,0.007059,0.009158,0.013265,0.021140", \ - "0.007792,0.008123,0.008704,0.009744,0.011624,0.015204,0.022095", \ - "0.011880,0.012289,0.012991,0.014189,0.016211,0.019642,0.025867", \ - "0.016964,0.017468,0.018325,0.019793,0.022206,0.026060,0.032356", \ - "0.023020,0.023611,0.024634,0.026401,0.029293,0.033818,0.040832"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011298,0.012320,0.014141,0.017356,0.023006,0.032857,0.050379", \ - "0.011129,0.012160,0.014010,0.017265,0.022947,0.032837,0.050381", \ - "0.011145,0.012033,0.013673,0.016754,0.022588,0.032734,0.050358", \ - "0.014058,0.014879,0.016364,0.018849,0.023498,0.032529,0.050191", \ - "0.017648,0.018538,0.020126,0.022934,0.027839,0.036090,0.051298", \ - "0.022332,0.023280,0.024943,0.027893,0.033080,0.042070,0.057103", \ - "0.028229,0.029256,0.031038,0.034144,0.039540,0.048920,0.065045"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.007328,0.007808,0.008675,0.010235,0.013044,0.018114,0.027284", \ - "0.007913,0.008405,0.009289,0.010874,0.013715,0.018820,0.028019", \ - "0.009153,0.009727,0.010733,0.012479,0.015490,0.020628,0.029844", \ - "0.009073,0.009868,0.011239,0.013535,0.017274,0.023276,0.033136", \ - "0.006237,0.007299,0.009129,0.012181,0.017100,0.024747,0.036413", \ - "0.000196,0.001530,0.003841,0.007687,0.013881,0.023478,0.037857", \ - "-0.009292,-0.007681,-0.004897,-0.000258,0.007221,0.018827,0.036194"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014599,0.015778,0.017920,0.021809,0.028840,0.041509,0.064371", \ - "0.015101,0.016272,0.018411,0.022302,0.029352,0.042053,0.064949", \ - "0.019270,0.020315,0.022267,0.025915,0.032691,0.045135,0.067806", \ - "0.027925,0.029088,0.031153,0.034773,0.040978,0.052699,0.074661", \ - "0.038713,0.040053,0.042431,0.046604,0.053824,0.066058,0.087026", \ - "0.052189,0.053696,0.056367,0.061017,0.069029,0.082692,0.105516", \ - "0.068602,0.070290,0.073295,0.078458,0.087262,0.102138,0.127074"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.003885,0.004292,0.005026,0.006364,0.008787,0.013178,0.021139", \ - "0.003886,0.004291,0.005029,0.006363,0.008786,0.013179,0.021140", \ - "0.004921,0.005257,0.005881,0.007044,0.009150,0.013261,0.021141", \ - "0.007748,0.008082,0.008672,0.009718,0.011610,0.015196,0.022086", \ - "0.011796,0.012209,0.012925,0.014141,0.016206,0.019646,0.025883", \ - "0.016851,0.017356,0.018231,0.019717,0.022162,0.026076,0.032406", \ - "0.022858,0.023465,0.024519,0.026309,0.029231,0.033833,0.040907"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014229,0.015422,0.017545,0.021294,0.027894,0.039561,0.060502", \ - "0.014063,0.015278,0.017438,0.021231,0.027863,0.039556,0.060515", \ - "0.013676,0.014804,0.016867,0.020752,0.027653,0.039521,0.060518", \ - "0.016048,0.017081,0.018738,0.021870,0.027779,0.039075,0.060455", \ - "0.019284,0.020349,0.022235,0.025604,0.031501,0.041486,0.060606", \ - "0.023706,0.024797,0.026739,0.030209,0.036353,0.047020,0.064999", \ - "0.029382,0.030544,0.032559,0.036129,0.042418,0.053468,0.072522"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.007507,0.007992,0.008865,0.010439,0.013270,0.018381,0.027619", \ - "0.008095,0.008589,0.009481,0.011078,0.013942,0.019086,0.028354", \ - "0.009384,0.009955,0.010959,0.012707,0.015730,0.020895,0.030180", \ - "0.009466,0.010249,0.011604,0.013878,0.017596,0.023597,0.033493", \ - "0.006908,0.007946,0.009744,0.012752,0.017620,0.025218,0.036861", \ - "0.001300,0.002598,0.004842,0.008602,0.014702,0.024204,0.038502", \ - "-0.007553,-0.006021,-0.003340,0.001155,0.008470,0.019909,0.037122"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.018855,0.020048,0.022207,0.026108,0.033144,0.045814,0.068670", \ - "0.019359,0.020552,0.022713,0.026620,0.033672,0.046369,0.069254", \ - "0.023133,0.024250,0.026296,0.030046,0.036903,0.049396,0.072080", \ - "0.032016,0.033131,0.035112,0.038578,0.044858,0.056774,0.078829", \ - "0.043439,0.044724,0.047010,0.051040,0.058054,0.070005,0.091029", \ - "0.057489,0.058923,0.061467,0.065938,0.073732,0.087113,0.109566", \ - "0.074540,0.076139,0.078964,0.083877,0.092389,0.106939,0.131515"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005206,0.005631,0.006390,0.007751,0.010192,0.014594,0.022565", \ - "0.005208,0.005631,0.006390,0.007751,0.010191,0.014594,0.022564", \ - "0.006351,0.006684,0.007302,0.008412,0.010544,0.014675,0.022568", \ - "0.009604,0.009882,0.010392,0.011336,0.013134,0.016650,0.023503", \ - "0.014392,0.014699,0.015261,0.016265,0.018073,0.021296,0.027383", \ - "0.020301,0.020673,0.021328,0.022513,0.024580,0.028098,0.034107", \ - "0.027250,0.027676,0.028450,0.029846,0.032284,0.036345,0.042927"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.017101,0.018262,0.020333,0.024023,0.030579,0.042250,0.063221", \ - "0.017010,0.018182,0.020278,0.023988,0.030566,0.042249,0.063230", \ - "0.016431,0.017634,0.019837,0.023707,0.030447,0.042229,0.063229", \ - "0.018079,0.019033,0.020817,0.024107,0.030219,0.041819,0.063202", \ - "0.021447,0.022513,0.024411,0.027774,0.033540,0.043691,0.063170", \ - "0.025778,0.026888,0.028850,0.032364,0.038532,0.049166,0.067157", \ - "0.031359,0.032530,0.034562,0.038178,0.044545,0.055658,0.074666"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.007495,0.007980,0.008853,0.010426,0.013258,0.018370,0.027609", \ - "0.008054,0.008549,0.009440,0.011039,0.013902,0.019048,0.028318", \ - "0.009315,0.009886,0.010891,0.012640,0.015665,0.020834,0.030122", \ - "0.009522,0.010299,0.011639,0.013896,0.017592,0.023571,0.033454", \ - "0.007362,0.008379,0.010144,0.013098,0.017892,0.025402,0.036956", \ - "0.002462,0.003724,0.005909,0.009580,0.015543,0.024865,0.038956", \ - "-0.005352,-0.003864,-0.001269,0.003095,0.010203,0.021367,0.038237"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.015484,0.016465,0.018244,0.021470,0.027307,0.037835,0.056816", \ - "0.016119,0.017095,0.018870,0.022095,0.027938,0.038483,0.057490", \ - "0.020137,0.021031,0.022679,0.025731,0.031370,0.041709,0.060531", \ - "0.028692,0.029670,0.031406,0.034454,0.039668,0.049339,0.067552", \ - "0.039202,0.040336,0.042356,0.045913,0.052077,0.062551,0.080068", \ - "0.052119,0.053399,0.055687,0.059668,0.066560,0.078332,0.098044", \ - "0.067679,0.069132,0.071696,0.076139,0.083764,0.096664,0.118295"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005206,0.005631,0.006390,0.007751,0.010192,0.014594,0.022567", \ - "0.005208,0.005632,0.006391,0.007750,0.010191,0.014593,0.022565", \ - "0.006370,0.006703,0.007319,0.008427,0.010553,0.014679,0.022568", \ - "0.009650,0.009921,0.010422,0.011357,0.013150,0.016659,0.023510", \ - "0.014467,0.014776,0.015319,0.016314,0.018094,0.021294,0.027365", \ - "0.020403,0.020767,0.021404,0.022571,0.024612,0.028079,0.034047", \ - "0.027367,0.027790,0.028542,0.029919,0.032315,0.036313,0.042841"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013772,0.014769,0.016544,0.019703,0.025264,0.035093,0.052640", \ - "0.013660,0.014661,0.016458,0.019642,0.025237,0.035086,0.052636", \ - "0.013245,0.014195,0.015942,0.019211,0.025000,0.035019,0.052634", \ - "0.015746,0.016572,0.017931,0.020491,0.025379,0.034654,0.052530", \ - "0.019368,0.020267,0.021860,0.024672,0.029544,0.037762,0.053252", \ - "0.023994,0.024956,0.026635,0.029620,0.034841,0.043828,0.058749", \ - "0.029844,0.030871,0.032661,0.035792,0.041245,0.050695,0.066820"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.007507,0.007992,0.008865,0.010439,0.013270,0.018381,0.027619", \ - "0.008095,0.008589,0.009481,0.011078,0.013942,0.019086,0.028354", \ - "0.009384,0.009955,0.010959,0.012707,0.015730,0.020895,0.030180", \ - "0.009466,0.010249,0.011604,0.013878,0.017596,0.023597,0.033493", \ - "0.006908,0.007946,0.009744,0.012752,0.017620,0.025218,0.036861", \ - "0.001300,0.002598,0.004842,0.008602,0.014702,0.024204,0.038502", \ - "-0.007553,-0.006021,-0.003340,0.001155,0.008470,0.019909,0.037122"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.018855,0.020048,0.022207,0.026108,0.033144,0.045814,0.068670", \ - "0.019359,0.020552,0.022713,0.026620,0.033672,0.046369,0.069254", \ - "0.023133,0.024250,0.026296,0.030046,0.036903,0.049396,0.072080", \ - "0.032016,0.033131,0.035112,0.038578,0.044858,0.056774,0.078829", \ - "0.043439,0.044724,0.047010,0.051040,0.058054,0.070005,0.091029", \ - "0.057489,0.058923,0.061467,0.065938,0.073732,0.087113,0.109566", \ - "0.074540,0.076139,0.078964,0.083877,0.092389,0.106939,0.131515"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005206,0.005631,0.006390,0.007751,0.010192,0.014594,0.022565", \ - "0.005208,0.005631,0.006390,0.007751,0.010191,0.014594,0.022564", \ - "0.006351,0.006684,0.007302,0.008412,0.010544,0.014675,0.022568", \ - "0.009604,0.009882,0.010392,0.011336,0.013134,0.016650,0.023503", \ - "0.014392,0.014699,0.015261,0.016265,0.018073,0.021296,0.027383", \ - "0.020301,0.020673,0.021328,0.022513,0.024580,0.028098,0.034107", \ - "0.027250,0.027676,0.028450,0.029846,0.032284,0.036345,0.042927"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.017101,0.018262,0.020333,0.024023,0.030579,0.042250,0.063221", \ - "0.017010,0.018182,0.020278,0.023988,0.030566,0.042249,0.063230", \ - "0.016431,0.017634,0.019837,0.023707,0.030447,0.042229,0.063229", \ - "0.018079,0.019033,0.020817,0.024107,0.030219,0.041819,0.063202", \ - "0.021447,0.022513,0.024411,0.027774,0.033540,0.043691,0.063170", \ - "0.025778,0.026888,0.028850,0.032364,0.038532,0.049166,0.067157", \ - "0.031359,0.032530,0.034562,0.038178,0.044545,0.055658,0.074666"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.007697,0.008187,0.009067,0.010651,0.013508,0.018662,0.027977", \ - "0.008287,0.008785,0.009683,0.011294,0.014182,0.019368,0.028712", \ - "0.009628,0.010195,0.011197,0.012947,0.015982,0.021178,0.030540", \ - "0.009892,0.010663,0.011997,0.014246,0.017940,0.023939,0.033874", \ - "0.007694,0.008700,0.010447,0.013392,0.018192,0.025728,0.037340", \ - "0.002699,0.003920,0.006055,0.009689,0.015640,0.025006,0.039199", \ - "-0.005175,-0.003780,-0.001311,0.002923,0.009956,0.021138,0.038142"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023182,0.024376,0.026539,0.030440,0.037472,0.050146,0.073002", \ - "0.023700,0.024896,0.027059,0.030967,0.038013,0.050707,0.073591", \ - "0.027231,0.028379,0.030467,0.034262,0.041160,0.053681,0.076392", \ - "0.035975,0.037046,0.038922,0.042377,0.048871,0.060905,0.083042", \ - "0.048019,0.049257,0.051459,0.055374,0.062206,0.073878,0.095087", \ - "0.062592,0.063974,0.066419,0.070753,0.078362,0.091490,0.113598", \ - "0.080194,0.081707,0.084418,0.089140,0.097420,0.111697,0.135946"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006650,0.007065,0.007814,0.009166,0.011608,0.016017,0.023996", \ - "0.006651,0.007065,0.007814,0.009165,0.011606,0.016018,0.023996", \ - "0.007823,0.008141,0.008715,0.009803,0.011950,0.016094,0.023995", \ - "0.011350,0.011586,0.012038,0.012910,0.014636,0.018099,0.024919", \ - "0.016742,0.016981,0.017430,0.018280,0.019876,0.022923,0.028878", \ - "0.023387,0.023659,0.024159,0.025120,0.026885,0.030065,0.035781", \ - "0.031151,0.031454,0.032027,0.033128,0.035167,0.038772,0.044912"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.019861,0.020999,0.023052,0.026711,0.033255,0.044963,0.065962", \ - "0.019808,0.020959,0.023017,0.026694,0.033242,0.044956,0.065975", \ - "0.019430,0.020630,0.022757,0.026533,0.033177,0.044943,0.065973", \ - "0.020185,0.021209,0.023066,0.026472,0.032784,0.044683,0.065969", \ - "0.023675,0.024735,0.026626,0.029963,0.035609,0.045952,0.065787", \ - "0.027970,0.029091,0.031065,0.034581,0.040742,0.051314,0.069346", \ - "0.033476,0.034651,0.036702,0.040338,0.046748,0.057893,0.076830"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010970,0.011491,0.012426,0.014092,0.017049,0.022299,0.031661", \ - "0.011611,0.012134,0.013071,0.014740,0.017703,0.022960,0.032326", \ - "0.014382,0.014914,0.015846,0.017448,0.020351,0.025554,0.034870", \ - "0.017209,0.017918,0.019174,0.021336,0.024983,0.030945,0.040420", \ - "0.018433,0.019322,0.020889,0.023587,0.028127,0.035549,0.047390", \ - "0.017857,0.018922,0.020811,0.024068,0.029517,0.038404,0.052504", \ - "0.015347,0.016584,0.018791,0.022589,0.028952,0.039326,0.055753"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.016288,0.017122,0.018625,0.021314,0.026125,0.034758,0.050314", \ - "0.016898,0.017749,0.019279,0.022009,0.026881,0.035589,0.051213", \ - "0.019832,0.020672,0.022181,0.024887,0.029747,0.038477,0.054172", \ - "0.024443,0.025491,0.027332,0.030496,0.035830,0.044625,0.060152", \ - "0.030873,0.032084,0.034214,0.037859,0.044012,0.054214,0.070844", \ - "0.039464,0.040880,0.043336,0.047521,0.054480,0.065872,0.084408", \ - "0.049608,0.051248,0.054110,0.058953,0.066931,0.079751,0.100181"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.007156,0.007577,0.008332,0.009698,0.012160,0.016603,0.024633", \ - "0.007156,0.007575,0.008330,0.009696,0.012159,0.016604,0.024633", \ - "0.007887,0.008218,0.008836,0.010004,0.012242,0.016603,0.024632", \ - "0.011722,0.012110,0.012798,0.013977,0.015989,0.019359,0.025696", \ - "0.016990,0.017465,0.018284,0.019682,0.022023,0.025905,0.032287", \ - "0.023605,0.024200,0.025212,0.026907,0.029686,0.034158,0.041266", \ - "0.031510,0.032247,0.033477,0.035542,0.038889,0.044117,0.052177"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009365,0.010087,0.011391,0.013764,0.018061,0.025833,0.039922", \ - "0.009374,0.010093,0.011397,0.013762,0.018059,0.025830,0.039926", \ - "0.009637,0.010295,0.011522,0.013820,0.018071,0.025835,0.039895", \ - "0.013060,0.013620,0.014653,0.016464,0.019751,0.026423,0.039901", \ - "0.017709,0.018245,0.019242,0.021123,0.024603,0.030845,0.042140", \ - "0.023741,0.024255,0.025221,0.027039,0.030456,0.036844,0.048432", \ - "0.031009,0.031530,0.032517,0.034376,0.037842,0.044220,0.056005"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010985,0.011507,0.012442,0.014107,0.017064,0.022314,0.031674", \ - "0.011677,0.012199,0.013136,0.014806,0.017769,0.023025,0.032390", \ - "0.014472,0.015004,0.015934,0.017539,0.020446,0.025652,0.034971", \ - "0.017125,0.017842,0.019114,0.021298,0.024975,0.030965,0.040464", \ - "0.017735,0.018651,0.020259,0.023035,0.027674,0.035234,0.047217", \ - "0.016041,0.017147,0.019110,0.022485,0.028125,0.037293,0.051729", \ - "0.011887,0.013181,0.015480,0.019441,0.026069,0.036862,0.053851"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.019850,0.020910,0.022807,0.026200,0.032267,0.043142,0.062725", \ - "0.020276,0.021348,0.023280,0.026724,0.032870,0.043841,0.063514", \ - "0.022854,0.023908,0.025807,0.029220,0.035350,0.046354,0.066125", \ - "0.027834,0.029054,0.031203,0.034924,0.041196,0.052025,0.071606", \ - "0.035128,0.036506,0.038928,0.043121,0.050269,0.062241,0.081991", \ - "0.045354,0.046918,0.049645,0.054320,0.062201,0.075318,0.096996", \ - "0.057747,0.059537,0.062668,0.067986,0.076828,0.091261,0.114754"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.007158,0.007576,0.008332,0.009697,0.012160,0.016602,0.024631", \ - "0.007156,0.007576,0.008332,0.009699,0.012160,0.016603,0.024632", \ - "0.007858,0.008192,0.008812,0.009985,0.012231,0.016602,0.024631", \ - "0.011728,0.012124,0.012807,0.013980,0.015997,0.019352,0.025684", \ - "0.017093,0.017573,0.018404,0.019812,0.022168,0.026015,0.032359", \ - "0.023846,0.024451,0.025475,0.027188,0.030007,0.034477,0.041577", \ - "0.031925,0.032669,0.033928,0.036015,0.039412,0.044693,0.052784"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012504,0.013398,0.015032,0.018000,0.023378,0.033107,0.050713", \ - "0.012508,0.013402,0.015035,0.018005,0.023382,0.033107,0.050700", \ - "0.012586,0.013458,0.015071,0.018019,0.023385,0.033117,0.050697", \ - "0.015570,0.016276,0.017489,0.019794,0.024319,0.033266,0.050703", \ - "0.020018,0.020709,0.021991,0.024395,0.028804,0.036578,0.051796", \ - "0.025930,0.026572,0.027758,0.030032,0.034362,0.042447,0.056890", \ - "0.033290,0.033885,0.035043,0.037267,0.041485,0.049418,0.064256"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011268,0.011790,0.012727,0.014400,0.017372,0.022655,0.032077", \ - "0.011959,0.012483,0.013421,0.015098,0.018077,0.023366,0.032794", \ - "0.014775,0.015299,0.016210,0.017824,0.020751,0.025992,0.035374", \ - "0.017658,0.018366,0.019614,0.021776,0.025418,0.031381,0.040865", \ - "0.018651,0.019537,0.021106,0.023826,0.028401,0.035890,0.047822", \ - "0.017520,0.018579,0.020473,0.023748,0.029270,0.038299,0.052615", \ - "0.014154,0.015379,0.017565,0.021364,0.027790,0.038352,0.055109"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023589,0.024635,0.026520,0.029896,0.035955,0.046829,0.066418", \ - "0.024096,0.025157,0.027064,0.030483,0.036599,0.047553,0.067216", \ - "0.026626,0.027675,0.029568,0.032973,0.039098,0.050092,0.069846", \ - "0.032131,0.033280,0.035307,0.038835,0.044883,0.055717,0.075308", \ - "0.039976,0.041270,0.043568,0.047563,0.054455,0.066118,0.085618", \ - "0.050804,0.052252,0.054803,0.059219,0.066783,0.079562,0.100881", \ - "0.063998,0.065637,0.068532,0.073496,0.081898,0.095871,0.118947"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008771,0.009174,0.009909,0.011243,0.013672,0.018084,0.026089", \ - "0.008770,0.009174,0.009909,0.011244,0.013671,0.018084,0.026090", \ - "0.009443,0.009765,0.010370,0.011518,0.013737,0.018083,0.026089", \ - "0.013773,0.014108,0.014705,0.015763,0.017610,0.020804,0.027125", \ - "0.019823,0.020221,0.020920,0.022137,0.024244,0.027827,0.033914", \ - "0.027397,0.027888,0.028730,0.030188,0.032659,0.036741,0.043466", \ - "0.036399,0.036993,0.038022,0.039785,0.042730,0.047506,0.055064"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014649,0.015562,0.017215,0.020205,0.025607,0.035365,0.053004", \ - "0.014649,0.015562,0.017213,0.020200,0.025609,0.035368,0.052996", \ - "0.014675,0.015582,0.017229,0.020210,0.025608,0.035369,0.052987", \ - "0.016870,0.017580,0.018901,0.021387,0.026174,0.035431,0.053031", \ - "0.021231,0.021988,0.023360,0.025855,0.030341,0.038203,0.053823", \ - "0.026802,0.027511,0.028846,0.031288,0.035811,0.044049,0.058537", \ - "0.033861,0.034584,0.035879,0.038287,0.042727,0.050931,0.065934"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009366,0.009918,0.010899,0.012629,0.015668,0.021005,0.030442", \ - "0.009989,0.010540,0.011520,0.013251,0.016290,0.021628,0.031067", \ - "0.012728,0.013305,0.014313,0.016020,0.018935,0.024191,0.033573", \ - "0.014893,0.015668,0.017028,0.019347,0.023195,0.029399,0.039098", \ - "0.015252,0.016222,0.017929,0.020838,0.025660,0.033430,0.045643", \ - "0.013641,0.014794,0.016849,0.020343,0.026135,0.035489,0.050115", \ - "0.009972,0.011298,0.013689,0.017741,0.024487,0.035375,0.052462"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.018357,0.019376,0.021202,0.024466,0.030317,0.040826,0.059784", \ - "0.018894,0.019931,0.021786,0.025105,0.031026,0.041628,0.060669", \ - "0.021559,0.022578,0.024408,0.027693,0.033594,0.044223,0.063351", \ - "0.025732,0.026909,0.028989,0.032604,0.038802,0.049302,0.068224", \ - "0.032001,0.033318,0.035618,0.039590,0.046386,0.057916,0.077275", \ - "0.040754,0.042272,0.044891,0.049351,0.056811,0.069202,0.089942", \ - "0.051289,0.053052,0.056071,0.061190,0.069622,0.083260,0.105409"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006686,0.007102,0.007847,0.009198,0.011634,0.016038,0.024024", \ - "0.006639,0.007064,0.007822,0.009183,0.011627,0.016036,0.024023", \ - "0.007698,0.007993,0.008549,0.009628,0.011759,0.016009,0.024022", \ - "0.011681,0.012062,0.012717,0.013858,0.015822,0.019140,0.025262", \ - "0.017201,0.017673,0.018468,0.019836,0.022094,0.025863,0.032099", \ - "0.024155,0.024751,0.025735,0.027394,0.030103,0.034417,0.041361", \ - "0.032500,0.033241,0.034446,0.036481,0.039751,0.044815,0.052648"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009828,0.010714,0.012327,0.015245,0.020554,0.030172,0.047581", \ - "0.009842,0.010724,0.012327,0.015248,0.020552,0.030174,0.047568", \ - "0.010058,0.010897,0.012446,0.015307,0.020595,0.030176,0.047565", \ - "0.012737,0.013503,0.014889,0.017292,0.021763,0.030511,0.047572", \ - "0.016653,0.017355,0.018659,0.021095,0.025625,0.033796,0.048947", \ - "0.022223,0.022880,0.024103,0.026383,0.030673,0.038777,0.053745", \ - "0.029088,0.029753,0.030987,0.033288,0.037532,0.045335,0.060071"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009382,0.009934,0.010915,0.012645,0.015684,0.021019,0.030455", \ - "0.010055,0.010606,0.011586,0.013316,0.016356,0.021693,0.031131", \ - "0.012824,0.013399,0.014406,0.016109,0.019030,0.024290,0.033675", \ - "0.014819,0.015603,0.016979,0.019321,0.023198,0.029429,0.039151", \ - "0.014588,0.015583,0.017331,0.020314,0.025242,0.033153,0.045499", \ - "0.011896,0.013099,0.015222,0.018843,0.024835,0.034454,0.049409", \ - "0.006638,0.008036,0.010519,0.014740,0.021766,0.033068,0.050699"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021992,0.023233,0.025446,0.029404,0.036479,0.049171,0.072046", \ - "0.022340,0.023600,0.025853,0.029876,0.037043,0.049842,0.072825", \ - "0.024714,0.025948,0.028167,0.032149,0.039301,0.052142,0.075234", \ - "0.029122,0.030493,0.032909,0.037139,0.044306,0.056943,0.079816", \ - "0.036071,0.037562,0.040180,0.044738,0.052599,0.066027,0.088742", \ - "0.046296,0.047972,0.050864,0.055839,0.064259,0.078478,0.102550", \ - "0.058934,0.060851,0.064138,0.069736,0.079050,0.094351,0.119720"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006688,0.007101,0.007848,0.009199,0.011633,0.016038,0.024023", \ - "0.006645,0.007067,0.007824,0.009184,0.011628,0.016037,0.024023", \ - "0.007662,0.007958,0.008518,0.009603,0.011748,0.016011,0.024023", \ - "0.011661,0.012038,0.012706,0.013849,0.015809,0.019125,0.025248", \ - "0.017216,0.017694,0.018509,0.019884,0.022175,0.025942,0.032162", \ - "0.024229,0.024831,0.025843,0.027531,0.030282,0.034653,0.041598", \ - "0.032665,0.033411,0.034652,0.036729,0.040059,0.045206,0.053111"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012452,0.013512,0.015455,0.018970,0.025365,0.036925,0.057757", \ - "0.012466,0.013532,0.015458,0.018970,0.025343,0.036907,0.057778", \ - "0.012583,0.013624,0.015526,0.019010,0.025357,0.036909,0.057785", \ - "0.015041,0.015931,0.017512,0.020453,0.026096,0.037032,0.057762", \ - "0.018701,0.019573,0.021194,0.024212,0.029748,0.039526,0.058477", \ - "0.024136,0.024903,0.026382,0.029159,0.034431,0.044356,0.062296", \ - "0.031069,0.031795,0.033225,0.035890,0.040901,0.050407,0.068420"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009664,0.010216,0.011198,0.012931,0.015982,0.021348,0.030845", \ - "0.010336,0.010887,0.011868,0.013603,0.016655,0.022023,0.031521", \ - "0.013146,0.013714,0.014704,0.016382,0.019323,0.024618,0.034063", \ - "0.015408,0.016174,0.017518,0.019822,0.023657,0.029850,0.039540", \ - "0.015613,0.016573,0.018266,0.021166,0.026007,0.033827,0.046104", \ - "0.013602,0.014736,0.016753,0.020232,0.026060,0.035507,0.050314", \ - "0.009286,0.010578,0.012891,0.016885,0.023632,0.034654,0.052010"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026363,0.027585,0.029780,0.033721,0.040785,0.053477,0.076357", \ - "0.026816,0.028054,0.030277,0.034263,0.041398,0.054181,0.077152", \ - "0.029132,0.030357,0.032565,0.036536,0.043678,0.056511,0.079578", \ - "0.033960,0.035269,0.037585,0.041577,0.048607,0.061250,0.084141", \ - "0.041311,0.042720,0.045221,0.049613,0.057262,0.070437,0.092979", \ - "0.052089,0.053627,0.056353,0.061070,0.069204,0.083143,0.106935", \ - "0.065529,0.067273,0.070320,0.075550,0.084416,0.099293,0.124320"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008376,0.008768,0.009481,0.010784,0.013169,0.017530,0.025485", \ - "0.008335,0.008734,0.009458,0.010772,0.013164,0.017528,0.025485", \ - "0.009279,0.009562,0.010107,0.011167,0.013277,0.017505,0.025486", \ - "0.013866,0.014178,0.014724,0.015723,0.017487,0.020593,0.026692", \ - "0.020201,0.020567,0.021209,0.022358,0.024346,0.027806,0.033734", \ - "0.028099,0.028560,0.029354,0.030722,0.033073,0.036989,0.043509", \ - "0.037523,0.038087,0.039062,0.040732,0.043551,0.048108,0.055440"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014954,0.016042,0.018009,0.021564,0.027985,0.039580,0.060495", \ - "0.014960,0.016047,0.018015,0.021563,0.027987,0.039597,0.060492", \ - "0.015016,0.016090,0.018044,0.021585,0.028010,0.039603,0.060509", \ - "0.016808,0.017718,0.019401,0.022532,0.028415,0.039626,0.060491", \ - "0.020341,0.021293,0.023021,0.026159,0.031768,0.041690,0.061010", \ - "0.025302,0.026196,0.027807,0.030788,0.036318,0.046441,0.064484", \ - "0.031887,0.032769,0.034324,0.037202,0.042489,0.052330,0.070568"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009648,0.010200,0.011181,0.012916,0.015966,0.021335,0.030831", \ - "0.010270,0.010821,0.011802,0.013536,0.016589,0.021958,0.031457", \ - "0.013052,0.013620,0.014612,0.016293,0.019229,0.024520,0.033962", \ - "0.015481,0.016237,0.017567,0.019847,0.023653,0.029817,0.039487", \ - "0.016268,0.017200,0.018847,0.021680,0.026413,0.034101,0.046245", \ - "0.015315,0.016404,0.018353,0.021708,0.027344,0.036523,0.050999", \ - "0.012563,0.013795,0.016014,0.019839,0.026312,0.036928,0.053738"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021923,0.022932,0.024748,0.028007,0.033859,0.044378,0.063337", \ - "0.022544,0.023566,0.025405,0.028703,0.034612,0.045203,0.064239", \ - "0.025170,0.026184,0.028005,0.031286,0.037191,0.047820,0.066936", \ - "0.029862,0.030982,0.032961,0.036434,0.042383,0.052843,0.071787", \ - "0.036576,0.037803,0.039988,0.043791,0.050379,0.061678,0.080768", \ - "0.045972,0.047349,0.049789,0.053977,0.061123,0.073220,0.093693", \ - "0.057328,0.058907,0.061700,0.066448,0.074418,0.087594,0.109379"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008375,0.008766,0.009480,0.010784,0.013170,0.017531,0.025485", \ - "0.008331,0.008731,0.009455,0.010771,0.013164,0.017530,0.025485", \ - "0.009311,0.009594,0.010136,0.011189,0.013289,0.017506,0.025486", \ - "0.013886,0.014194,0.014738,0.015725,0.017502,0.020607,0.026704", \ - "0.020157,0.020516,0.021154,0.022280,0.024257,0.027718,0.033676", \ - "0.027981,0.028431,0.029204,0.030545,0.032861,0.036749,0.043260", \ - "0.037285,0.037844,0.038781,0.040421,0.043190,0.047678,0.054947"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011905,0.012801,0.014435,0.017395,0.022741,0.032387,0.049805", \ - "0.011904,0.012809,0.014435,0.017389,0.022726,0.032377,0.049805", \ - "0.011997,0.012880,0.014487,0.017413,0.022747,0.032375,0.049808", \ - "0.014257,0.015032,0.016370,0.018837,0.023531,0.032527,0.049815", \ - "0.017840,0.018619,0.020024,0.022591,0.027247,0.035433,0.050914", \ - "0.023047,0.023802,0.025137,0.027592,0.032092,0.040414,0.055470", \ - "0.029689,0.030452,0.031808,0.034276,0.038697,0.046778,0.061772"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009664,0.010216,0.011198,0.012931,0.015982,0.021348,0.030845", \ - "0.010336,0.010887,0.011868,0.013603,0.016655,0.022023,0.031521", \ - "0.013146,0.013714,0.014704,0.016382,0.019323,0.024618,0.034063", \ - "0.015408,0.016174,0.017518,0.019822,0.023657,0.029850,0.039540", \ - "0.015613,0.016573,0.018266,0.021166,0.026007,0.033827,0.046104", \ - "0.013602,0.014736,0.016753,0.020232,0.026060,0.035507,0.050314", \ - "0.009286,0.010578,0.012891,0.016885,0.023632,0.034654,0.052010"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026363,0.027585,0.029780,0.033721,0.040785,0.053477,0.076357", \ - "0.026816,0.028054,0.030277,0.034263,0.041398,0.054181,0.077152", \ - "0.029132,0.030357,0.032565,0.036536,0.043678,0.056511,0.079578", \ - "0.033960,0.035269,0.037585,0.041577,0.048607,0.061250,0.084141", \ - "0.041311,0.042720,0.045221,0.049613,0.057262,0.070437,0.092979", \ - "0.052089,0.053627,0.056353,0.061070,0.069204,0.083143,0.106935", \ - "0.065529,0.067273,0.070320,0.075550,0.084416,0.099293,0.124320"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008376,0.008768,0.009481,0.010784,0.013169,0.017530,0.025485", \ - "0.008335,0.008734,0.009458,0.010772,0.013164,0.017528,0.025485", \ - "0.009279,0.009562,0.010107,0.011167,0.013277,0.017505,0.025486", \ - "0.013866,0.014178,0.014724,0.015723,0.017487,0.020593,0.026692", \ - "0.020201,0.020567,0.021209,0.022358,0.024346,0.027806,0.033734", \ - "0.028099,0.028560,0.029354,0.030722,0.033073,0.036989,0.043509", \ - "0.037523,0.038087,0.039062,0.040732,0.043551,0.048108,0.055440"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014954,0.016042,0.018009,0.021564,0.027985,0.039580,0.060495", \ - "0.014960,0.016047,0.018015,0.021563,0.027987,0.039597,0.060492", \ - "0.015016,0.016090,0.018044,0.021585,0.028010,0.039603,0.060509", \ - "0.016808,0.017718,0.019401,0.022532,0.028415,0.039626,0.060491", \ - "0.020341,0.021293,0.023021,0.026159,0.031768,0.041690,0.061010", \ - "0.025302,0.026196,0.027807,0.030788,0.036318,0.046441,0.064484", \ - "0.031887,0.032769,0.034324,0.037202,0.042489,0.052330,0.070568"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009973,0.010521,0.011505,0.013241,0.016307,0.021707,0.031269", \ - "0.010642,0.011190,0.012175,0.013915,0.016981,0.022381,0.031948", \ - "0.013493,0.014053,0.015024,0.016679,0.019642,0.024978,0.034490", \ - "0.016077,0.016820,0.018123,0.020381,0.024159,0.030302,0.039965", \ - "0.016862,0.017762,0.019367,0.022155,0.026872,0.034576,0.046768", \ - "0.015743,0.016780,0.018641,0.021912,0.027491,0.036704,0.051317", \ - "0.012518,0.013672,0.015784,0.019473,0.025832,0.036452,0.053452"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030733,0.031944,0.034127,0.038054,0.045110,0.057803,0.080690", \ - "0.031260,0.032484,0.034688,0.038651,0.045763,0.058529,0.081486", \ - "0.033555,0.034774,0.036971,0.040933,0.048062,0.060884,0.083937", \ - "0.038603,0.039833,0.042009,0.045912,0.052918,0.065574,0.088477", \ - "0.046332,0.047684,0.050101,0.054363,0.061835,0.074761,0.097233", \ - "0.057532,0.058988,0.061589,0.066121,0.074039,0.087746,0.111285", \ - "0.071620,0.073233,0.076122,0.081070,0.089605,0.104146,0.128881"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009977,0.010355,0.011050,0.012329,0.014685,0.019017,0.026950", \ - "0.009939,0.010323,0.011028,0.012316,0.014680,0.019016,0.026951", \ - "0.010832,0.011110,0.011645,0.012691,0.014782,0.018993,0.026950", \ - "0.015868,0.016127,0.016602,0.017479,0.019103,0.022045,0.028139", \ - "0.022860,0.023161,0.023684,0.024657,0.026422,0.029628,0.035299", \ - "0.031557,0.031923,0.032560,0.033689,0.035714,0.039262,0.045413", \ - "0.041856,0.042296,0.043077,0.044446,0.046848,0.050919,0.057747"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.017497,0.018598,0.020582,0.024175,0.030639,0.042268,0.063244", \ - "0.017505,0.018603,0.020588,0.024170,0.030644,0.042270,0.063246", \ - "0.017528,0.018626,0.020601,0.024180,0.030638,0.042277,0.063259", \ - "0.018739,0.019707,0.021485,0.024750,0.030829,0.042306,0.063239", \ - "0.022247,0.023237,0.025010,0.028194,0.033751,0.043945,0.063578", \ - "0.026844,0.027799,0.029503,0.032622,0.038311,0.048574,0.066732", \ - "0.033160,0.034096,0.035736,0.038748,0.044240,0.054327,0.072752"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011973,0.012494,0.013427,0.015093,0.018049,0.023300,0.032662", \ - "0.012566,0.013090,0.014029,0.015701,0.018665,0.023925,0.033296", \ - "0.014117,0.014663,0.015635,0.017333,0.020310,0.025590,0.034983", \ - "0.015867,0.016513,0.017652,0.019622,0.022989,0.028669,0.038338", \ - "0.016129,0.016949,0.018389,0.020860,0.024998,0.031727,0.042556", \ - "0.014170,0.015162,0.016942,0.019978,0.025026,0.033198,0.046030", \ - "0.009765,0.010938,0.013051,0.016648,0.022637,0.032325,0.047476"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.018711,0.019532,0.021005,0.023660,0.028440,0.037046,0.052580", \ - "0.019490,0.020315,0.021802,0.024473,0.029276,0.037905,0.053465", \ - "0.022616,0.023439,0.024922,0.027589,0.032391,0.041037,0.056623", \ - "0.027991,0.028959,0.030669,0.033641,0.038718,0.047302,0.062756", \ - "0.035622,0.036715,0.038649,0.042014,0.047798,0.057560,0.073708", \ - "0.046203,0.047428,0.049596,0.053325,0.059688,0.070394,0.088213", \ - "0.059160,0.060553,0.063030,0.067236,0.074330,0.086079,0.105397"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.007155,0.007577,0.008333,0.009697,0.012160,0.016603,0.024632", \ - "0.007154,0.007576,0.008333,0.009696,0.012159,0.016604,0.024633", \ - "0.007520,0.007903,0.008605,0.009891,0.012249,0.016609,0.024632", \ - "0.009791,0.010152,0.010787,0.011934,0.014038,0.017915,0.025195", \ - "0.013874,0.014270,0.014949,0.016133,0.018182,0.021774,0.028388", \ - "0.019049,0.019527,0.020337,0.021729,0.024054,0.027875,0.034331", \ - "0.025097,0.025684,0.026661,0.028335,0.031099,0.035493,0.042453"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011069,0.011797,0.013116,0.015495,0.019818,0.027606,0.041677", \ - "0.011072,0.011797,0.013113,0.015501,0.019818,0.027601,0.041675", \ - "0.011171,0.011875,0.013168,0.015523,0.019818,0.027607,0.041683", \ - "0.014098,0.014688,0.015726,0.017554,0.021062,0.027996,0.041685", \ - "0.018184,0.018814,0.019946,0.021986,0.025622,0.031955,0.043590", \ - "0.023102,0.023753,0.024908,0.027027,0.030845,0.037644,0.049479", \ - "0.029114,0.029793,0.030981,0.033183,0.037110,0.044118,0.056573"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011989,0.012510,0.013444,0.015109,0.018065,0.023315,0.032676", \ - "0.012632,0.013156,0.014095,0.015767,0.018732,0.023990,0.033359", \ - "0.014230,0.014776,0.015747,0.017443,0.020420,0.025700,0.035093", \ - "0.015885,0.016539,0.017684,0.019668,0.023044,0.028742,0.038424", \ - "0.015728,0.016565,0.018034,0.020551,0.024746,0.031568,0.042484", \ - "0.012926,0.013956,0.015776,0.018900,0.024078,0.032448,0.045514", \ - "0.007211,0.008426,0.010610,0.014339,0.020537,0.030526,0.046078"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022960,0.023991,0.025848,0.029191,0.035206,0.046043,0.065593", \ - "0.023593,0.024632,0.026505,0.029868,0.035915,0.046778,0.066361", \ - "0.026409,0.027442,0.029308,0.032669,0.038719,0.049608,0.069226", \ - "0.031993,0.033130,0.035143,0.038660,0.044667,0.055425,0.074915", \ - "0.040399,0.041661,0.043901,0.047823,0.054603,0.066129,0.085482", \ - "0.052389,0.053770,0.056228,0.060487,0.067824,0.080310,0.101294", \ - "0.067350,0.068894,0.071642,0.076347,0.084372,0.097844,0.120351"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.007156,0.007576,0.008332,0.009699,0.012160,0.016604,0.024630", \ - "0.007154,0.007576,0.008332,0.009697,0.012160,0.016604,0.024631", \ - "0.007508,0.007893,0.008595,0.009881,0.012243,0.016607,0.024631", \ - "0.009763,0.010121,0.010759,0.011915,0.014019,0.017902,0.025187", \ - "0.013851,0.014243,0.014933,0.016131,0.018201,0.021812,0.028404", \ - "0.019029,0.019509,0.020336,0.021746,0.024100,0.027958,0.034440", \ - "0.025100,0.025694,0.026685,0.028378,0.031172,0.035631,0.042637"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014652,0.015564,0.017213,0.020201,0.025603,0.035363,0.052982", \ - "0.014660,0.015563,0.017215,0.020201,0.025612,0.035357,0.052970", \ - "0.014682,0.015583,0.017225,0.020205,0.025606,0.035356,0.052989", \ - "0.016915,0.017632,0.018948,0.021434,0.026206,0.035426,0.052974", \ - "0.020998,0.021780,0.023188,0.025729,0.030257,0.038198,0.053835", \ - "0.025762,0.026558,0.027989,0.030603,0.035333,0.043759,0.058402", \ - "0.031699,0.032498,0.033930,0.036565,0.041352,0.050017,0.065417"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012271,0.012793,0.013730,0.015402,0.018373,0.023657,0.033081", \ - "0.012915,0.013439,0.014381,0.016058,0.019040,0.024331,0.033764", \ - "0.014528,0.015073,0.016041,0.017734,0.020729,0.026042,0.035498", \ - "0.016295,0.016938,0.018071,0.020040,0.023406,0.029113,0.038831", \ - "0.016385,0.017204,0.018645,0.021126,0.025284,0.032062,0.042967", \ - "0.013947,0.014948,0.016725,0.019784,0.024897,0.033177,0.046167", \ - "0.008730,0.009906,0.012017,0.015650,0.021721,0.031579,0.047007"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026655,0.027681,0.029535,0.032875,0.038891,0.049726,0.069283", \ - "0.027319,0.028352,0.030216,0.033573,0.039611,0.050472,0.070054", \ - "0.030127,0.031159,0.033021,0.036380,0.042425,0.053314,0.072927", \ - "0.036034,0.037120,0.039032,0.042361,0.048325,0.059099,0.078600", \ - "0.044888,0.046096,0.048248,0.052028,0.058614,0.069891,0.089094", \ - "0.057285,0.058597,0.060944,0.065034,0.072159,0.084391,0.105080", \ - "0.072784,0.074233,0.076840,0.081327,0.089058,0.102219,0.124407"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008771,0.009175,0.009910,0.011244,0.013671,0.018084,0.026089", \ - "0.008770,0.009174,0.009909,0.011244,0.013672,0.018084,0.026090", \ - "0.009108,0.009483,0.010166,0.011424,0.013751,0.018088,0.026089", \ - "0.011533,0.011857,0.012446,0.013537,0.015567,0.019376,0.026640", \ - "0.016045,0.016384,0.016983,0.018048,0.019946,0.023417,0.029898", \ - "0.021835,0.022236,0.022933,0.024155,0.026262,0.029844,0.036074", \ - "0.028615,0.029105,0.029930,0.031381,0.033849,0.037921,0.044555"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.016811,0.017737,0.019395,0.022405,0.027837,0.037641,0.055278", \ - "0.016812,0.017733,0.019399,0.022403,0.027836,0.037633,0.055272", \ - "0.016827,0.017744,0.019406,0.022409,0.027831,0.037632,0.055289", \ - "0.018369,0.019140,0.020554,0.023197,0.028159,0.037660,0.055289", \ - "0.022508,0.023311,0.024742,0.027306,0.031813,0.039932,0.055874", \ - "0.027189,0.028016,0.029495,0.032174,0.036970,0.045437,0.060113", \ - "0.032967,0.033811,0.035304,0.038023,0.042930,0.051703,0.067162"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010367,0.010919,0.011899,0.013629,0.016667,0.022003,0.031442", \ - "0.010937,0.011490,0.012473,0.014207,0.017249,0.022591,0.032036", \ - "0.012427,0.013003,0.014021,0.015801,0.018860,0.024221,0.033689", \ - "0.013739,0.014445,0.015681,0.017792,0.021318,0.027164,0.036971", \ - "0.013147,0.014055,0.015637,0.018324,0.022743,0.029811,0.040943", \ - "0.010127,0.011237,0.013184,0.016482,0.021909,0.030548,0.043881", \ - "0.004502,0.005802,0.008114,0.012007,0.018434,0.028677,0.044503"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021346,0.022340,0.024131,0.027356,0.033166,0.043646,0.062575", \ - "0.022085,0.023086,0.024891,0.028138,0.033975,0.044483,0.063444", \ - "0.024971,0.025969,0.027768,0.031008,0.036847,0.047371,0.066367", \ - "0.029749,0.030858,0.032820,0.036269,0.042191,0.052582,0.071420", \ - "0.037051,0.038248,0.040374,0.044094,0.050572,0.061727,0.080674", \ - "0.047717,0.049031,0.051358,0.055377,0.062276,0.074061,0.094188", \ - "0.061029,0.062500,0.065135,0.069609,0.077167,0.089792,0.110954"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006667,0.007083,0.007832,0.009188,0.011626,0.016036,0.024023", \ - "0.006644,0.007066,0.007821,0.009181,0.011624,0.016034,0.024025", \ - "0.007091,0.007467,0.008147,0.009408,0.011741,0.016049,0.024027", \ - "0.009647,0.009984,0.010591,0.011683,0.013703,0.017487,0.024661", \ - "0.013954,0.014330,0.014990,0.016133,0.018117,0.021587,0.028041", \ - "0.019364,0.019827,0.020617,0.021970,0.024233,0.027939,0.034220", \ - "0.025730,0.026301,0.027251,0.028880,0.031562,0.035838,0.042621"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011910,0.012815,0.014438,0.017391,0.022736,0.032377,0.049803", \ - "0.011915,0.012819,0.014441,0.017396,0.022728,0.032371,0.049805", \ - "0.012010,0.012891,0.014495,0.017419,0.022736,0.032379,0.049816", \ - "0.014259,0.015048,0.016413,0.018884,0.023565,0.032566,0.049824", \ - "0.017563,0.018371,0.019828,0.022451,0.027160,0.035422,0.050928", \ - "0.021931,0.022742,0.024196,0.026810,0.031551,0.040116,0.055326", \ - "0.027506,0.028355,0.029814,0.032473,0.037216,0.045754,0.061229"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010384,0.010935,0.011915,0.013645,0.016682,0.022018,0.031456", \ - "0.011003,0.011557,0.012539,0.014273,0.017315,0.022656,0.032099", \ - "0.012538,0.013113,0.014131,0.015910,0.018968,0.024331,0.033796", \ - "0.013771,0.014482,0.015725,0.017844,0.021381,0.027240,0.037060", \ - "0.012797,0.013719,0.015328,0.018053,0.022528,0.029676,0.040891", \ - "0.008997,0.010137,0.012132,0.015506,0.021061,0.029873,0.043430", \ - "0.002142,0.003488,0.005872,0.009893,0.016518,0.027066,0.043255"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.025659,0.026860,0.029027,0.032925,0.039946,0.052589,0.075433", \ - "0.026256,0.027468,0.029651,0.033576,0.040626,0.053311,0.076181", \ - "0.028897,0.030105,0.032282,0.036203,0.043258,0.055971,0.078885", \ - "0.033817,0.035113,0.037411,0.041393,0.048375,0.060932,0.083701", \ - "0.041684,0.043065,0.045517,0.049834,0.057378,0.070421,0.092813", \ - "0.053590,0.055078,0.057696,0.062262,0.070185,0.083850,0.107323", \ - "0.068745,0.070381,0.073285,0.078270,0.086775,0.101185,0.125687"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006667,0.007084,0.007833,0.009186,0.011627,0.016035,0.024022", \ - "0.006649,0.007069,0.007823,0.009181,0.011624,0.016035,0.024024", \ - "0.007079,0.007455,0.008136,0.009400,0.011735,0.016047,0.024024", \ - "0.009594,0.009935,0.010543,0.011649,0.013679,0.017469,0.024651", \ - "0.013860,0.014248,0.014916,0.016077,0.018078,0.021583,0.028037", \ - "0.019217,0.019690,0.020497,0.021878,0.024177,0.027958,0.034286", \ - "0.025533,0.026117,0.027086,0.028749,0.031480,0.035829,0.042708"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014967,0.016054,0.018013,0.021565,0.027999,0.039572,0.060496", \ - "0.014977,0.016060,0.018016,0.021573,0.027995,0.039596,0.060506", \ - "0.015024,0.016099,0.018047,0.021589,0.027989,0.039582,0.060510", \ - "0.016852,0.017768,0.019449,0.022566,0.028436,0.039630,0.060500", \ - "0.020144,0.021124,0.022882,0.026055,0.031716,0.041687,0.061004", \ - "0.024329,0.025294,0.027025,0.030186,0.035910,0.046212,0.064411", \ - "0.029786,0.030749,0.032479,0.035599,0.041257,0.051543,0.070166"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010666,0.011217,0.012198,0.013931,0.016981,0.022348,0.031845", \ - "0.011286,0.011838,0.012823,0.014560,0.017615,0.022987,0.032490", \ - "0.012836,0.013410,0.014425,0.016202,0.019268,0.024660,0.034186", \ - "0.014208,0.014908,0.016131,0.018227,0.021746,0.027607,0.037462", \ - "0.013522,0.014421,0.015991,0.018668,0.023089,0.030179,0.041372", \ - "0.010152,0.011246,0.013175,0.016469,0.021918,0.030628,0.044089", \ - "0.003894,0.005169,0.007448,0.011334,0.017793,0.028166,0.044206"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.029974,0.031169,0.033330,0.037222,0.044238,0.056887,0.079723", \ - "0.030606,0.031809,0.033981,0.037894,0.044935,0.057612,0.080478", \ - "0.033244,0.034446,0.036617,0.040529,0.047581,0.060287,0.083194", \ - "0.038428,0.039648,0.041814,0.045685,0.052641,0.065213,0.087995", \ - "0.046602,0.047934,0.050306,0.054505,0.061888,0.074706,0.097031", \ - "0.058826,0.060237,0.062757,0.067166,0.074904,0.088370,0.111612", \ - "0.074491,0.076032,0.078790,0.083545,0.091776,0.105910,0.130160"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008356,0.008750,0.009466,0.010774,0.013164,0.017529,0.025485", \ - "0.008338,0.008735,0.009457,0.010769,0.013162,0.017529,0.025486", \ - "0.008742,0.009097,0.009755,0.010980,0.013270,0.017540,0.025488", \ - "0.011469,0.011763,0.012309,0.013328,0.015265,0.018966,0.026110", \ - "0.016244,0.016550,0.017102,0.018107,0.019907,0.023218,0.029545", \ - "0.022272,0.022638,0.023291,0.024442,0.026441,0.029902,0.035942", \ - "0.029357,0.029801,0.030579,0.031938,0.034282,0.038196,0.044655"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.017501,0.018597,0.020583,0.024168,0.030621,0.042259,0.063216", \ - "0.017501,0.018601,0.020583,0.024165,0.030631,0.042259,0.063220", \ - "0.017526,0.018619,0.020600,0.024174,0.030627,0.042271,0.063236", \ - "0.018784,0.019749,0.021517,0.024779,0.030844,0.042279,0.063220", \ - "0.022114,0.023113,0.024910,0.028117,0.033723,0.043937,0.063551", \ - "0.026123,0.027129,0.028922,0.032164,0.037990,0.048365,0.066664", \ - "0.031397,0.032397,0.034182,0.037394,0.043195,0.053646,0.072365"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010649,0.011200,0.012181,0.013915,0.016966,0.022333,0.031832", \ - "0.011219,0.011772,0.012756,0.014493,0.017549,0.022921,0.032425", \ - "0.012726,0.013299,0.014314,0.016092,0.019158,0.024551,0.034077", \ - "0.014177,0.014874,0.016090,0.018177,0.021681,0.027530,0.037372", \ - "0.013873,0.014755,0.016300,0.018937,0.023298,0.030310,0.041423", \ - "0.011284,0.012345,0.014228,0.017439,0.022760,0.031294,0.044539", \ - "0.006259,0.007485,0.009688,0.013443,0.019700,0.029782,0.045440"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024880,0.025872,0.027660,0.030887,0.036701,0.047186,0.066118", \ - "0.025649,0.026646,0.028445,0.031688,0.037523,0.048032,0.066992", \ - "0.028531,0.029527,0.031323,0.034563,0.040402,0.050930,0.069916", \ - "0.033664,0.034728,0.036614,0.039927,0.045706,0.056102,0.074953", \ - "0.041279,0.042428,0.044476,0.048087,0.054417,0.065387,0.084139", \ - "0.052330,0.053569,0.055777,0.059635,0.066337,0.077929,0.097845", \ - "0.066199,0.067575,0.070049,0.074286,0.081551,0.093874,0.114785"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008355,0.008749,0.009466,0.010773,0.013164,0.017528,0.025484", \ - "0.008334,0.008732,0.009454,0.010768,0.013161,0.017528,0.025485", \ - "0.008755,0.009108,0.009763,0.010988,0.013276,0.017542,0.025489", \ - "0.011518,0.011812,0.012350,0.013365,0.015292,0.018983,0.026120", \ - "0.016336,0.016632,0.017178,0.018160,0.019935,0.023223,0.029549", \ - "0.022411,0.022776,0.023400,0.024519,0.026487,0.029874,0.035878", \ - "0.029516,0.029971,0.030725,0.032054,0.034355,0.038198,0.044561"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013994,0.014911,0.016552,0.019533,0.024904,0.034582,0.052041", \ - "0.013999,0.014909,0.016558,0.019534,0.024899,0.034590,0.052045", \ - "0.014042,0.014940,0.016582,0.019548,0.024909,0.034582,0.052053", \ - "0.015800,0.016569,0.017971,0.020565,0.025433,0.034654,0.052044", \ - "0.019112,0.019945,0.021431,0.024094,0.028835,0.037135,0.052927", \ - "0.023316,0.024159,0.025654,0.028351,0.033186,0.041837,0.057061", \ - "0.028767,0.029636,0.031138,0.033874,0.038728,0.047405,0.063003"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010666,0.011217,0.012198,0.013931,0.016981,0.022348,0.031845", \ - "0.011286,0.011838,0.012823,0.014560,0.017615,0.022987,0.032490", \ - "0.012836,0.013410,0.014425,0.016202,0.019268,0.024660,0.034186", \ - "0.014208,0.014908,0.016131,0.018227,0.021746,0.027607,0.037462", \ - "0.013522,0.014421,0.015991,0.018668,0.023089,0.030179,0.041372", \ - "0.010152,0.011246,0.013175,0.016469,0.021918,0.030628,0.044089", \ - "0.003894,0.005169,0.007448,0.011334,0.017793,0.028166,0.044206"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.029974,0.031169,0.033330,0.037222,0.044238,0.056887,0.079723", \ - "0.030606,0.031809,0.033981,0.037894,0.044935,0.057612,0.080478", \ - "0.033244,0.034446,0.036617,0.040529,0.047581,0.060287,0.083194", \ - "0.038428,0.039648,0.041814,0.045685,0.052641,0.065213,0.087995", \ - "0.046602,0.047934,0.050306,0.054505,0.061888,0.074706,0.097031", \ - "0.058826,0.060237,0.062757,0.067166,0.074904,0.088370,0.111612", \ - "0.074491,0.076032,0.078790,0.083545,0.091776,0.105910,0.130160"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008356,0.008750,0.009466,0.010774,0.013164,0.017529,0.025485", \ - "0.008338,0.008735,0.009457,0.010769,0.013162,0.017529,0.025486", \ - "0.008742,0.009097,0.009755,0.010980,0.013270,0.017540,0.025488", \ - "0.011469,0.011763,0.012309,0.013328,0.015265,0.018966,0.026110", \ - "0.016244,0.016550,0.017102,0.018107,0.019907,0.023218,0.029545", \ - "0.022272,0.022638,0.023291,0.024442,0.026441,0.029902,0.035942", \ - "0.029357,0.029801,0.030579,0.031938,0.034282,0.038196,0.044655"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.017501,0.018597,0.020583,0.024168,0.030621,0.042259,0.063216", \ - "0.017501,0.018601,0.020583,0.024165,0.030631,0.042259,0.063220", \ - "0.017526,0.018619,0.020600,0.024174,0.030627,0.042271,0.063236", \ - "0.018784,0.019749,0.021517,0.024779,0.030844,0.042279,0.063220", \ - "0.022114,0.023113,0.024910,0.028117,0.033723,0.043937,0.063551", \ - "0.026123,0.027129,0.028922,0.032164,0.037990,0.048365,0.066664", \ - "0.031397,0.032397,0.034182,0.037394,0.043195,0.053646,0.072365"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010971,0.011525,0.012505,0.014240,0.017308,0.022706,0.032274", \ - "0.011591,0.012145,0.013130,0.014870,0.017941,0.023345,0.032914", \ - "0.013161,0.013730,0.014743,0.016517,0.019592,0.025021,0.034612", \ - "0.014694,0.015379,0.016580,0.018646,0.022145,0.028006,0.037898", \ - "0.014363,0.015231,0.016745,0.019355,0.023705,0.030729,0.041900", \ - "0.011559,0.012586,0.014421,0.017587,0.022891,0.031463,0.044814", \ - "0.006081,0.007256,0.009384,0.013061,0.019277,0.029411,0.045245"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.034301,0.035494,0.037651,0.041542,0.048556,0.061207,0.084044", \ - "0.034961,0.036160,0.038326,0.042230,0.049265,0.061941,0.084808", \ - "0.037604,0.038802,0.040968,0.044876,0.051922,0.064625,0.087531", \ - "0.042800,0.043992,0.046119,0.049973,0.056929,0.069515,0.092310", \ - "0.051385,0.052683,0.054985,0.059086,0.066336,0.078920,0.101268", \ - "0.063867,0.065229,0.067667,0.071960,0.079556,0.092850,0.115881", \ - "0.079967,0.081432,0.084081,0.088656,0.096667,0.110578,0.134608"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009956,0.010337,0.011037,0.012319,0.014680,0.019016,0.026950", \ - "0.009939,0.010323,0.011027,0.012313,0.014676,0.019015,0.026950", \ - "0.010321,0.010669,0.011312,0.012518,0.014782,0.019028,0.026953", \ - "0.013211,0.013477,0.013980,0.014950,0.016815,0.020443,0.027568", \ - "0.018418,0.018666,0.019142,0.020019,0.021676,0.024836,0.031048", \ - "0.025054,0.025352,0.025877,0.026846,0.028610,0.031797,0.037601", \ - "0.032826,0.033182,0.033805,0.034936,0.036963,0.040501,0.046590"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020069,0.021174,0.023182,0.026785,0.033276,0.044957,0.065969", \ - "0.020069,0.021177,0.023182,0.026787,0.033283,0.044961,0.065971", \ - "0.020080,0.021187,0.023189,0.026790,0.033277,0.044956,0.065977", \ - "0.020891,0.021895,0.023742,0.027118,0.033373,0.044963,0.065966", \ - "0.024187,0.025200,0.026999,0.030207,0.035802,0.046260,0.066158", \ - "0.028089,0.029116,0.030937,0.034234,0.040121,0.050540,0.068968", \ - "0.033201,0.034219,0.036050,0.039342,0.045234,0.055804,0.074602"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013695,0.014276,0.015315,0.017148,0.020354,0.025938,0.035688", \ - "0.014266,0.014851,0.015888,0.017722,0.020927,0.026514,0.036263", \ - "0.017033,0.017574,0.018556,0.020326,0.023473,0.029008,0.038719", \ - "0.021529,0.022211,0.023409,0.025481,0.028988,0.034738,0.044156", \ - "0.024453,0.025280,0.026753,0.029294,0.033597,0.040719,0.052179", \ - "0.025852,0.026825,0.028571,0.031587,0.036675,0.045066,0.058585", \ - "0.025700,0.026807,0.028835,0.032292,0.038157,0.047825,0.063366"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021092,0.021950,0.023482,0.026209,0.031064,0.039745,0.055352", \ - "0.021833,0.022706,0.024265,0.027035,0.031950,0.040705,0.056385", \ - "0.024805,0.025670,0.027210,0.029964,0.034880,0.043671,0.059431", \ - "0.028816,0.029819,0.031583,0.034637,0.039825,0.048630,0.064323", \ - "0.032987,0.034149,0.036187,0.039702,0.045675,0.055685,0.072292", \ - "0.039110,0.040460,0.042804,0.046806,0.053484,0.064476,0.082598", \ - "0.047199,0.048742,0.051436,0.056001,0.063551,0.075734,0.095302"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009952,0.010386,0.011171,0.012578,0.015092,0.019591,0.027665", \ - "0.009928,0.010366,0.011156,0.012567,0.015087,0.019588,0.027664", \ - "0.009794,0.010213,0.010968,0.012352,0.014906,0.019542,0.027658", \ - "0.013518,0.013910,0.014591,0.015763,0.017763,0.021183,0.028101", \ - "0.018990,0.019453,0.020250,0.021624,0.023939,0.027762,0.034071", \ - "0.025888,0.026449,0.027407,0.029017,0.031692,0.036049,0.043127", \ - "0.034134,0.034832,0.035960,0.037904,0.041075,0.046079,0.053960"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010052,0.010761,0.012065,0.014437,0.018741,0.026548,0.040642", \ - "0.010076,0.010786,0.012077,0.014440,0.018747,0.026548,0.040658", \ - "0.010183,0.010877,0.012149,0.014486,0.018759,0.026550,0.040659", \ - "0.012605,0.013185,0.014213,0.016099,0.019747,0.026861,0.040658", \ - "0.017115,0.017643,0.018645,0.020544,0.024093,0.030574,0.042471", \ - "0.023957,0.024388,0.025218,0.026847,0.030063,0.036304,0.048077", \ - "0.032141,0.032543,0.033313,0.034876,0.037920,0.043804,0.055191"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012845,0.013427,0.014469,0.016305,0.019513,0.025092,0.034831", \ - "0.013398,0.013981,0.015021,0.016854,0.020060,0.025638,0.035376", \ - "0.016241,0.016765,0.017727,0.019476,0.022603,0.028119,0.037808", \ - "0.020261,0.020959,0.022197,0.024325,0.027909,0.033766,0.043222", \ - "0.022492,0.023353,0.024885,0.027519,0.031966,0.039255,0.050919", \ - "0.022941,0.023963,0.025795,0.028944,0.034228,0.042895,0.056736", \ - "0.021623,0.022809,0.024929,0.028541,0.034649,0.044670,0.060662"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024424,0.025480,0.027382,0.030775,0.036838,0.047713,0.067297", \ - "0.025062,0.026142,0.028072,0.031521,0.037664,0.048634,0.068307", \ - "0.027627,0.028687,0.030590,0.034010,0.040149,0.051169,0.070941", \ - "0.030977,0.032140,0.034201,0.037815,0.044012,0.054936,0.074632", \ - "0.034828,0.036104,0.038361,0.042308,0.049145,0.060885,0.080826", \ - "0.041244,0.042680,0.045194,0.049517,0.056836,0.069193,0.090225", \ - "0.049979,0.051607,0.054450,0.059298,0.067383,0.080667,0.102610"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009439,0.009878,0.010659,0.012065,0.014574,0.019060,0.027116", \ - "0.009389,0.009832,0.010625,0.012039,0.014559,0.019053,0.027114", \ - "0.009401,0.009799,0.010528,0.011875,0.014373,0.018993,0.027106", \ - "0.013368,0.013753,0.014424,0.015575,0.017556,0.020906,0.027662", \ - "0.019071,0.019532,0.020320,0.021667,0.023921,0.027679,0.033918", \ - "0.026336,0.026898,0.027841,0.029423,0.032046,0.036293,0.043204", \ - "0.035114,0.035791,0.036926,0.038843,0.041934,0.046815,0.054481"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012513,0.013407,0.015042,0.018004,0.023379,0.033116,0.050725", \ - "0.012528,0.013417,0.015046,0.018009,0.023383,0.033106,0.050701", \ - "0.012587,0.013465,0.015082,0.018022,0.023385,0.033103,0.050696", \ - "0.014407,0.015149,0.016510,0.019061,0.023922,0.033202,0.050698", \ - "0.018268,0.018968,0.020290,0.022782,0.027428,0.035779,0.051675", \ - "0.024653,0.025221,0.026309,0.028427,0.032572,0.040678,0.055932", \ - "0.032588,0.033124,0.034127,0.036108,0.039956,0.047418,0.062031"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013233,0.013814,0.014852,0.016686,0.019900,0.025503,0.035293", \ - "0.013785,0.014366,0.015402,0.017236,0.020447,0.026050,0.035838", \ - "0.016579,0.017108,0.018079,0.019841,0.022983,0.028527,0.038271", \ - "0.020872,0.021555,0.022763,0.024857,0.028400,0.034211,0.043678", \ - "0.023500,0.024331,0.025812,0.028385,0.032746,0.039963,0.051556", \ - "0.024551,0.025518,0.027261,0.030290,0.035432,0.043948,0.057660", \ - "0.024045,0.025134,0.027117,0.030546,0.036427,0.046201,0.061965"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.028155,0.029203,0.031084,0.034465,0.040518,0.051392,0.070980", \ - "0.028882,0.029944,0.031851,0.035269,0.041385,0.052335,0.071998", \ - "0.031402,0.032454,0.034351,0.037765,0.043895,0.054896,0.074653", \ - "0.035086,0.036199,0.038174,0.041629,0.047725,0.058641,0.078337", \ - "0.039348,0.040565,0.042722,0.046534,0.053205,0.064748,0.084479", \ - "0.046270,0.047603,0.049967,0.054056,0.061122,0.073245,0.094071", \ - "0.055662,0.057157,0.059801,0.064334,0.072035,0.084932,0.106583"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011316,0.011722,0.012458,0.013796,0.016224,0.020633,0.028630", \ - "0.011267,0.011678,0.012422,0.013770,0.016211,0.020627,0.028627", \ - "0.011252,0.011625,0.012312,0.013600,0.016027,0.020570,0.028620", \ - "0.015488,0.015811,0.016390,0.017408,0.019217,0.022445,0.029162", \ - "0.021792,0.022168,0.022828,0.023989,0.026000,0.029490,0.035470", \ - "0.029765,0.030214,0.030985,0.032324,0.034629,0.038520,0.045067", \ - "0.039309,0.039849,0.040790,0.042410,0.045099,0.049514,0.056711"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014651,0.015565,0.017209,0.020201,0.025606,0.035357,0.052976", \ - "0.014654,0.015563,0.017212,0.020201,0.025614,0.035364,0.052976", \ - "0.014684,0.015588,0.017227,0.020209,0.025609,0.035369,0.052975", \ - "0.015978,0.016762,0.018206,0.020897,0.025919,0.035420,0.052984", \ - "0.019601,0.020381,0.021802,0.024413,0.029155,0.037588,0.053721", \ - "0.025360,0.026039,0.027274,0.029600,0.034017,0.042376,0.057736", \ - "0.032940,0.033586,0.034738,0.036938,0.041049,0.048823,0.063768"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010590,0.011239,0.012383,0.014375,0.017799,0.023653,0.033694", \ - "0.011216,0.011857,0.012989,0.014968,0.018381,0.024226,0.034261", \ - "0.014525,0.015103,0.016103,0.017848,0.021045,0.026737,0.036688", \ - "0.018244,0.019003,0.020332,0.022609,0.026392,0.032502,0.042165", \ - "0.020204,0.021133,0.022776,0.025564,0.030239,0.037818,0.049793", \ - "0.020430,0.021517,0.023460,0.026769,0.032287,0.041253,0.055458", \ - "0.018896,0.020160,0.022389,0.026170,0.032505,0.042832,0.059210"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023438,0.024483,0.026350,0.029665,0.035560,0.046108,0.065095", \ - "0.024100,0.025161,0.027061,0.030428,0.036400,0.047036,0.066109", \ - "0.026959,0.028010,0.029888,0.033239,0.039208,0.049887,0.069053", \ - "0.030889,0.032052,0.034105,0.037675,0.043742,0.054358,0.073440", \ - "0.035013,0.036305,0.038572,0.042497,0.049241,0.060728,0.080153", \ - "0.041251,0.042707,0.045237,0.049561,0.056840,0.069028,0.089640", \ - "0.049544,0.051214,0.054088,0.058975,0.067067,0.080253,0.101883"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009251,0.009711,0.010538,0.012003,0.014580,0.019117,0.027183", \ - "0.009055,0.009543,0.010405,0.011907,0.014520,0.019088,0.027172", \ - "0.009248,0.009624,0.010322,0.011641,0.014142,0.018864,0.027112", \ - "0.013591,0.013969,0.014631,0.015768,0.017707,0.020996,0.027642", \ - "0.019543,0.019993,0.020762,0.022083,0.024292,0.027963,0.034083", \ - "0.027034,0.027588,0.028518,0.030079,0.032645,0.036778,0.043523", \ - "0.036024,0.036701,0.037844,0.039728,0.042757,0.047517,0.054996"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010431,0.011307,0.012904,0.015804,0.021103,0.030730,0.048131", \ - "0.010479,0.011340,0.012928,0.015818,0.021105,0.030746,0.048166", \ - "0.010595,0.011446,0.013010,0.015881,0.021140,0.030761,0.048138", \ - "0.012526,0.013256,0.014583,0.017063,0.021781,0.030897,0.048148", \ - "0.016080,0.016807,0.018148,0.020651,0.025276,0.033600,0.049236", \ - "0.022126,0.022734,0.023894,0.026112,0.030338,0.038468,0.053639", \ - "0.029975,0.030542,0.031614,0.033705,0.037663,0.045211,0.059784"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010091,0.010731,0.011862,0.013833,0.017226,0.023035,0.033018", \ - "0.010711,0.011340,0.012457,0.014409,0.017786,0.023583,0.033559", \ - "0.013957,0.014542,0.015564,0.017295,0.020448,0.026086,0.035973", \ - "0.017201,0.017976,0.019340,0.021668,0.025521,0.031717,0.041427", \ - "0.018462,0.019423,0.021132,0.024021,0.028819,0.036567,0.048722", \ - "0.017743,0.018882,0.020915,0.024359,0.030085,0.039322,0.053829", \ - "0.015076,0.016387,0.018717,0.022663,0.029253,0.039952,0.056784"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026515,0.027752,0.029970,0.033931,0.041006,0.053696,0.076576", \ - "0.027080,0.028340,0.030592,0.034617,0.041784,0.054583,0.077563", \ - "0.029548,0.030788,0.033012,0.037005,0.044163,0.057018,0.080121", \ - "0.032822,0.034145,0.036500,0.040599,0.047737,0.060472,0.083476", \ - "0.036561,0.037972,0.040476,0.044871,0.052540,0.065851,0.088761", \ - "0.043026,0.044587,0.047304,0.051991,0.059995,0.073698,0.097425", \ - "0.051931,0.053711,0.056754,0.061964,0.070686,0.085121,0.109389"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008732,0.009197,0.010027,0.011492,0.014064,0.018591,0.026639", \ - "0.008530,0.009019,0.009880,0.011384,0.013996,0.018555,0.026622", \ - "0.008979,0.009333,0.009997,0.011264,0.013691,0.018339,0.026565", \ - "0.013447,0.013820,0.014471,0.015590,0.017504,0.020743,0.027235", \ - "0.019596,0.020043,0.020804,0.022102,0.024270,0.027862,0.033905", \ - "0.027424,0.027974,0.028901,0.030439,0.032947,0.036976,0.043576", \ - "0.036947,0.037613,0.038746,0.040602,0.043561,0.048191,0.055441"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012457,0.013525,0.015455,0.018971,0.025354,0.036904,0.057760", \ - "0.012491,0.013545,0.015470,0.018984,0.025345,0.036910,0.057783", \ - "0.012566,0.013610,0.015517,0.018999,0.025365,0.036896,0.057763", \ - "0.014093,0.015000,0.016679,0.019810,0.025717,0.037008,0.057784", \ - "0.017269,0.018168,0.019840,0.022940,0.028658,0.038894,0.058372", \ - "0.023091,0.023841,0.025255,0.027954,0.033144,0.043146,0.061693", \ - "0.030865,0.031541,0.032861,0.035377,0.040142,0.049275,0.067124"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010486,0.011120,0.012248,0.014214,0.017612,0.023440,0.033471", \ - "0.011094,0.011721,0.012835,0.014788,0.018170,0.023987,0.034012", \ - "0.014335,0.014907,0.015907,0.017633,0.020812,0.026484,0.036426", \ - "0.017889,0.018644,0.019966,0.022243,0.026041,0.032175,0.041866", \ - "0.019668,0.020576,0.022196,0.024987,0.029672,0.037307,0.049367", \ - "0.019713,0.020762,0.022644,0.025908,0.031423,0.040458,0.054786", \ - "0.018083,0.019251,0.021358,0.025017,0.031277,0.041629,0.058152"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030887,0.032109,0.034306,0.038246,0.045311,0.058008,0.080886", \ - "0.031554,0.032793,0.035017,0.039002,0.046139,0.058918,0.081890", \ - "0.033977,0.035204,0.037416,0.041394,0.048543,0.061383,0.084460", \ - "0.037528,0.038786,0.041019,0.044989,0.052070,0.064810,0.087816", \ - "0.041575,0.042931,0.045342,0.049615,0.057139,0.070270,0.093038", \ - "0.048469,0.049919,0.052484,0.056958,0.064730,0.078246,0.101806", \ - "0.058061,0.059675,0.062506,0.067390,0.075728,0.089801,0.113839"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010786,0.011207,0.011965,0.013333,0.015794,0.020213,0.028174", \ - "0.010584,0.011026,0.011818,0.013226,0.015726,0.020176,0.028161", \ - "0.010909,0.011241,0.011865,0.013067,0.015410,0.019964,0.028103", \ - "0.015794,0.016082,0.016609,0.017558,0.019260,0.022306,0.028753", \ - "0.022620,0.022960,0.023553,0.024613,0.026478,0.029749,0.035489", \ - "0.031252,0.031649,0.032367,0.033591,0.035711,0.039304,0.045484", \ - "0.041611,0.042123,0.042986,0.044479,0.046943,0.051022,0.057742"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014961,0.016042,0.018012,0.021567,0.027988,0.039576,0.060488", \ - "0.014970,0.016051,0.018016,0.021569,0.027987,0.039581,0.060496", \ - "0.015004,0.016083,0.018038,0.021576,0.027987,0.039588,0.060515", \ - "0.016041,0.017011,0.018788,0.022067,0.028200,0.039609,0.060504", \ - "0.019046,0.020026,0.021804,0.025032,0.030825,0.041190,0.060911", \ - "0.024184,0.025047,0.026625,0.029557,0.035036,0.045300,0.063985", \ - "0.031491,0.032318,0.033818,0.036550,0.041611,0.051125,0.069332"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011012,0.011653,0.012791,0.014776,0.018204,0.024073,0.034159", \ - "0.011626,0.012263,0.013392,0.015366,0.018782,0.024646,0.034727", \ - "0.014909,0.015472,0.016441,0.018204,0.021427,0.027150,0.037153", \ - "0.018935,0.019669,0.020959,0.023184,0.026913,0.032965,0.042615", \ - "0.021384,0.022261,0.023824,0.026523,0.031079,0.038553,0.050444", \ - "0.022314,0.023322,0.025133,0.028274,0.033600,0.042374,0.056404", \ - "0.021735,0.022864,0.024896,0.028420,0.034457,0.044473,0.060559"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.027086,0.028110,0.029948,0.033236,0.039116,0.049658,0.068642", \ - "0.027833,0.028871,0.030732,0.034059,0.039996,0.050614,0.069671", \ - "0.030667,0.031698,0.033551,0.036874,0.042824,0.053490,0.072641", \ - "0.034967,0.036070,0.038018,0.041402,0.047346,0.057934,0.077014", \ - "0.039531,0.040744,0.042895,0.046661,0.053213,0.064486,0.083688", \ - "0.046296,0.047632,0.049994,0.054073,0.061068,0.072998,0.093388", \ - "0.055282,0.056804,0.059461,0.064006,0.071685,0.084462,0.105778"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011348,0.011765,0.012515,0.013878,0.016334,0.020756,0.028729", \ - "0.011160,0.011599,0.012383,0.013782,0.016278,0.020729,0.028720", \ - "0.011246,0.011593,0.012242,0.013488,0.015892,0.020509,0.028660", \ - "0.015933,0.016228,0.016768,0.017730,0.019464,0.022579,0.029175", \ - "0.022514,0.022861,0.023475,0.024560,0.026486,0.029849,0.035663", \ - "0.030740,0.031156,0.031890,0.033162,0.035359,0.039072,0.045418", \ - "0.040529,0.041051,0.041942,0.043485,0.046050,0.050298,0.057267"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012438,0.013334,0.014962,0.017913,0.023251,0.032915,0.050388", \ - "0.012454,0.013354,0.014976,0.017924,0.023266,0.032934,0.050389", \ - "0.012526,0.013410,0.015022,0.017946,0.023281,0.032938,0.050388", \ - "0.013948,0.014723,0.016137,0.018766,0.023679,0.033036,0.050400", \ - "0.017351,0.018143,0.019592,0.022217,0.026957,0.035333,0.051221", \ - "0.022828,0.023547,0.024860,0.027266,0.031752,0.040120,0.055413", \ - "0.030291,0.030975,0.032224,0.034524,0.038743,0.046602,0.061481"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010486,0.011120,0.012248,0.014214,0.017612,0.023440,0.033471", \ - "0.011094,0.011721,0.012835,0.014788,0.018170,0.023987,0.034012", \ - "0.014335,0.014907,0.015907,0.017633,0.020812,0.026484,0.036426", \ - "0.017889,0.018644,0.019966,0.022243,0.026041,0.032175,0.041866", \ - "0.019668,0.020576,0.022196,0.024987,0.029672,0.037307,0.049367", \ - "0.019713,0.020762,0.022644,0.025908,0.031423,0.040458,0.054786", \ - "0.018083,0.019251,0.021358,0.025017,0.031277,0.041629,0.058152"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030887,0.032109,0.034306,0.038246,0.045311,0.058008,0.080886", \ - "0.031554,0.032793,0.035017,0.039002,0.046139,0.058918,0.081890", \ - "0.033977,0.035204,0.037416,0.041394,0.048543,0.061383,0.084460", \ - "0.037528,0.038786,0.041019,0.044989,0.052070,0.064810,0.087816", \ - "0.041575,0.042931,0.045342,0.049615,0.057139,0.070270,0.093038", \ - "0.048469,0.049919,0.052484,0.056958,0.064730,0.078246,0.101806", \ - "0.058061,0.059675,0.062506,0.067390,0.075728,0.089801,0.113839"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010786,0.011207,0.011965,0.013333,0.015794,0.020213,0.028174", \ - "0.010584,0.011026,0.011818,0.013226,0.015726,0.020176,0.028161", \ - "0.010909,0.011241,0.011865,0.013067,0.015410,0.019964,0.028103", \ - "0.015794,0.016082,0.016609,0.017558,0.019260,0.022306,0.028753", \ - "0.022620,0.022960,0.023553,0.024613,0.026478,0.029749,0.035489", \ - "0.031252,0.031649,0.032367,0.033591,0.035711,0.039304,0.045484", \ - "0.041611,0.042123,0.042986,0.044479,0.046943,0.051022,0.057742"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014961,0.016042,0.018012,0.021567,0.027988,0.039576,0.060488", \ - "0.014970,0.016051,0.018016,0.021569,0.027987,0.039581,0.060496", \ - "0.015004,0.016083,0.018038,0.021576,0.027987,0.039588,0.060515", \ - "0.016041,0.017011,0.018788,0.022067,0.028200,0.039609,0.060504", \ - "0.019046,0.020026,0.021804,0.025032,0.030825,0.041190,0.060911", \ - "0.024184,0.025047,0.026625,0.029557,0.035036,0.045300,0.063985", \ - "0.031491,0.032318,0.033818,0.036550,0.041611,0.051125,0.069332"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010927,0.011556,0.012677,0.014636,0.018037,0.023884,0.033967", \ - "0.011521,0.012147,0.013260,0.015209,0.018596,0.024431,0.034510", \ - "0.014744,0.015304,0.016265,0.018009,0.021219,0.026924,0.036921", \ - "0.018685,0.019408,0.020678,0.022886,0.026611,0.032673,0.042347", \ - "0.021104,0.021944,0.023461,0.026109,0.030640,0.038126,0.050073", \ - "0.022074,0.023012,0.024725,0.027754,0.032981,0.041737,0.055843", \ - "0.021419,0.022434,0.024364,0.027727,0.033585,0.043500,0.059637"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.035249,0.036460,0.038643,0.042567,0.049623,0.062312,0.085187", \ - "0.035990,0.037213,0.039417,0.043378,0.050490,0.063249,0.086197", \ - "0.038396,0.039617,0.041819,0.045784,0.052920,0.065743,0.088796", \ - "0.042013,0.043231,0.045413,0.049338,0.056403,0.069142,0.092141", \ - "0.046420,0.047740,0.050085,0.054266,0.061662,0.074612,0.097313", \ - "0.053600,0.054985,0.057444,0.061765,0.069383,0.082748,0.106151", \ - "0.063730,0.065241,0.067921,0.072563,0.080614,0.094413,0.118261"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012658,0.013055,0.013771,0.015082,0.017470,0.021810,0.029705", \ - "0.012460,0.012879,0.013627,0.014975,0.017402,0.021775,0.029691", \ - "0.012703,0.013020,0.013620,0.014790,0.017077,0.021565,0.029635", \ - "0.017873,0.018111,0.018557,0.019392,0.020930,0.023850,0.030266", \ - "0.025289,0.025558,0.026037,0.026929,0.028566,0.031578,0.037055", \ - "0.034621,0.034932,0.035487,0.036492,0.038311,0.041549,0.047370", \ - "0.045746,0.046112,0.046813,0.048035,0.050129,0.053739,0.060000"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.017497,0.018592,0.020582,0.024163,0.030620,0.042253,0.063216", \ - "0.017501,0.018595,0.020585,0.024163,0.030624,0.042249,0.063215", \ - "0.017516,0.018613,0.020594,0.024169,0.030636,0.042259,0.063229", \ - "0.018169,0.019191,0.021038,0.024430,0.030734,0.042271,0.063220", \ - "0.021068,0.022087,0.023907,0.027189,0.032976,0.043535,0.063488", \ - "0.025667,0.026598,0.028286,0.031377,0.037066,0.047514,0.066309", \ - "0.032620,0.033515,0.035085,0.037968,0.043244,0.053065,0.071567"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014686,0.015268,0.016305,0.018139,0.021344,0.026928,0.036682", \ - "0.015222,0.015804,0.016843,0.018678,0.021886,0.027472,0.037226", \ - "0.016848,0.017422,0.018450,0.020274,0.023485,0.029082,0.038854", \ - "0.019435,0.020071,0.021196,0.023156,0.026551,0.032326,0.042160", \ - "0.021121,0.021889,0.023247,0.025596,0.029553,0.036102,0.046828", \ - "0.020876,0.021809,0.023461,0.026293,0.031036,0.038795,0.051160", \ - "0.018496,0.019582,0.021522,0.024844,0.030407,0.039501,0.053905"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023577,0.024407,0.025900,0.028583,0.033393,0.042043,0.057630", \ - "0.024484,0.025321,0.026828,0.029527,0.034362,0.043035,0.058643", \ - "0.027669,0.028507,0.030012,0.032715,0.037558,0.046251,0.061897", \ - "0.032188,0.033128,0.034789,0.037692,0.042669,0.051361,0.066971", \ - "0.037150,0.038221,0.040114,0.043422,0.049129,0.058829,0.075088", \ - "0.045156,0.046331,0.048417,0.052008,0.058159,0.068575,0.086139", \ - "0.056174,0.057493,0.059823,0.063795,0.070512,0.081678,0.100203"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009944,0.010379,0.011164,0.012574,0.015090,0.019589,0.027665", \ - "0.009933,0.010370,0.011159,0.012570,0.015088,0.019587,0.027664", \ - "0.009885,0.010321,0.011110,0.012520,0.015038,0.019573,0.027664", \ - "0.011638,0.012033,0.012733,0.013997,0.016265,0.020337,0.027962", \ - "0.015641,0.016038,0.016726,0.017932,0.020047,0.023801,0.030679", \ - "0.021081,0.021530,0.022304,0.023652,0.025922,0.029723,0.036288", \ - "0.027527,0.028078,0.028999,0.030570,0.033200,0.037433,0.044273"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011728,0.012455,0.013771,0.016167,0.020497,0.028335,0.042491", \ - "0.011734,0.012459,0.013775,0.016167,0.020500,0.028337,0.042470", \ - "0.011786,0.012508,0.013809,0.016190,0.020513,0.028338,0.042463", \ - "0.013719,0.014312,0.015386,0.017398,0.021221,0.028525,0.042499", \ - "0.017829,0.018444,0.019566,0.021601,0.025295,0.031848,0.043998", \ - "0.023368,0.023944,0.025003,0.026951,0.030578,0.037244,0.049310", \ - "0.030055,0.030625,0.031662,0.033598,0.037175,0.043747,0.055887"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013839,0.014422,0.015462,0.017298,0.020505,0.026085,0.035823", \ - "0.014349,0.014934,0.015975,0.017809,0.021017,0.026598,0.036337", \ - "0.015978,0.016555,0.017579,0.019398,0.022602,0.028189,0.037944", \ - "0.018333,0.018982,0.020132,0.022127,0.025552,0.031358,0.041214", \ - "0.019413,0.020223,0.021634,0.024075,0.028151,0.034837,0.045690", \ - "0.018279,0.019261,0.020995,0.023958,0.028902,0.036920,0.049585", \ - "0.014750,0.015904,0.017952,0.021435,0.027258,0.036712,0.051580"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.027532,0.028562,0.030420,0.033763,0.039778,0.050614,0.070171", \ - "0.028382,0.029420,0.031294,0.034657,0.040705,0.051571,0.071155", \ - "0.031198,0.032235,0.034105,0.037474,0.043528,0.054427,0.074051", \ - "0.034953,0.036061,0.038032,0.041476,0.047537,0.058389,0.077977", \ - "0.039423,0.040627,0.042764,0.046540,0.053158,0.064629,0.084279", \ - "0.047605,0.048881,0.051144,0.055090,0.061945,0.073806,0.094351", \ - "0.059221,0.060626,0.063111,0.067387,0.074683,0.087019,0.108038"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009413,0.009852,0.010639,0.012048,0.014563,0.019052,0.027114", \ - "0.009387,0.009829,0.010620,0.012036,0.014554,0.019050,0.027114", \ - "0.009373,0.009811,0.010598,0.012003,0.014516,0.019038,0.027114", \ - "0.011348,0.011727,0.012412,0.013649,0.015874,0.019896,0.027459", \ - "0.015558,0.015945,0.016625,0.017812,0.019879,0.023560,0.030330", \ - "0.021209,0.021656,0.022436,0.023761,0.025994,0.029729,0.036163", \ - "0.027968,0.028517,0.029433,0.030995,0.033584,0.037738,0.044453"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014658,0.015571,0.017222,0.020204,0.025607,0.035363,0.052986", \ - "0.014665,0.015572,0.017223,0.020211,0.025605,0.035365,0.052987", \ - "0.014693,0.015590,0.017233,0.020213,0.025614,0.035387,0.053008", \ - "0.016016,0.016795,0.018238,0.020924,0.025944,0.035413,0.052986", \ - "0.019515,0.020308,0.021750,0.024373,0.029138,0.037612,0.053768", \ - "0.024523,0.025252,0.026585,0.029057,0.033660,0.042202,0.057668", \ - "0.030906,0.031623,0.032905,0.035286,0.039718,0.047937,0.063325"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014226,0.014807,0.015844,0.017680,0.020893,0.026495,0.036286", \ - "0.014737,0.015318,0.016356,0.018191,0.021405,0.027008,0.036800", \ - "0.016355,0.016926,0.017949,0.019772,0.022986,0.028599,0.038407", \ - "0.018807,0.019448,0.020580,0.022559,0.025971,0.031788,0.041675", \ - "0.020156,0.020938,0.022320,0.024708,0.028738,0.035375,0.046217", \ - "0.019427,0.020369,0.022037,0.024926,0.029774,0.037697,0.050276", \ - "0.016451,0.017546,0.019498,0.022861,0.028531,0.037826,0.052540"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.031220,0.032246,0.034100,0.037439,0.043456,0.054291,0.073844", \ - "0.032102,0.033135,0.034999,0.038355,0.044393,0.055255,0.074836", \ - "0.034919,0.035952,0.037818,0.041180,0.047232,0.058123,0.077745", \ - "0.038888,0.039945,0.041831,0.045195,0.051219,0.062072,0.081665", \ - "0.043716,0.044880,0.046952,0.050631,0.057118,0.068421,0.087918", \ - "0.052123,0.053339,0.055507,0.059324,0.066027,0.077741,0.098127", \ - "0.064145,0.065464,0.067823,0.071900,0.078951,0.091052,0.111890"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011288,0.011698,0.012437,0.013780,0.016215,0.020627,0.028629", \ - "0.011265,0.011675,0.012420,0.013768,0.016206,0.020624,0.028627", \ - "0.011244,0.011653,0.012393,0.013735,0.016169,0.020612,0.028627", \ - "0.013281,0.013626,0.014254,0.015399,0.017526,0.021456,0.028968", \ - "0.017821,0.018142,0.018733,0.019791,0.021702,0.025230,0.031866", \ - "0.023992,0.024372,0.025022,0.026162,0.028154,0.031620,0.037816", \ - "0.031394,0.031846,0.032598,0.033921,0.036192,0.039988,0.046350"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.016817,0.017734,0.019397,0.022406,0.027831,0.037623,0.055274", \ - "0.016816,0.017737,0.019398,0.022405,0.027834,0.037623,0.055283", \ - "0.016826,0.017745,0.019405,0.022408,0.027836,0.037649,0.055272", \ - "0.017731,0.018560,0.020069,0.022860,0.028006,0.037669,0.055275", \ - "0.021120,0.021943,0.023425,0.026105,0.030899,0.039488,0.055859", \ - "0.025780,0.026562,0.027971,0.030561,0.035323,0.043985,0.059510", \ - "0.031924,0.032694,0.034061,0.036557,0.041135,0.049580,0.065163"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011596,0.012242,0.013385,0.015374,0.018796,0.024648,0.034687", \ - "0.012151,0.012795,0.013933,0.015918,0.019336,0.025184,0.035222", \ - "0.013977,0.014599,0.015702,0.017615,0.020961,0.026777,0.036816", \ - "0.016276,0.016982,0.018222,0.020349,0.023943,0.029970,0.040079", \ - "0.017021,0.017895,0.019429,0.022043,0.026363,0.033345,0.044495", \ - "0.015514,0.016591,0.018451,0.021607,0.026815,0.035189,0.048237", \ - "0.011665,0.012921,0.015096,0.018780,0.024887,0.034712,0.050003"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026506,0.027515,0.029329,0.032585,0.038426,0.048936,0.067896", \ - "0.027368,0.028386,0.030216,0.033493,0.039363,0.049903,0.068895", \ - "0.030481,0.031498,0.033327,0.036608,0.042489,0.053054,0.072084", \ - "0.034841,0.035939,0.037881,0.041261,0.047173,0.057702,0.076686", \ - "0.039606,0.040806,0.042929,0.046665,0.053166,0.064375,0.083505", \ - "0.047531,0.048814,0.051085,0.055026,0.061821,0.073511,0.093641", \ - "0.058722,0.060140,0.062648,0.066939,0.074220,0.086449,0.107155"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009190,0.009663,0.010491,0.011967,0.014553,0.019102,0.027176", \ - "0.009098,0.009572,0.010425,0.011915,0.014520,0.019084,0.027171", \ - "0.009022,0.009466,0.010281,0.011744,0.014355,0.018980,0.027145", \ - "0.011390,0.011757,0.012427,0.013635,0.015842,0.019865,0.027430", \ - "0.015886,0.016266,0.016927,0.018087,0.020103,0.023715,0.030407", \ - "0.021756,0.022195,0.022953,0.024256,0.026441,0.030088,0.036391", \ - "0.028732,0.029260,0.030157,0.031687,0.034216,0.038284,0.044852"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012473,0.013363,0.014989,0.017930,0.023268,0.032930,0.050403", \ - "0.012482,0.013374,0.014996,0.017935,0.023278,0.032933,0.050402", \ - "0.012542,0.013428,0.015036,0.017960,0.023279,0.032938,0.050390", \ - "0.013991,0.014766,0.016176,0.018801,0.023715,0.033049,0.050401", \ - "0.017248,0.018060,0.019533,0.022186,0.026944,0.035367,0.051269", \ - "0.021976,0.022754,0.024159,0.026719,0.031394,0.039946,0.055354", \ - "0.028230,0.029008,0.030384,0.032879,0.037422,0.045707,0.061053"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011108,0.011746,0.012872,0.014838,0.018226,0.024031,0.034013", \ - "0.011649,0.012282,0.013403,0.015361,0.018742,0.024542,0.034521", \ - "0.013433,0.014050,0.015143,0.017045,0.020354,0.026123,0.036102", \ - "0.015444,0.016165,0.017422,0.019577,0.023199,0.029236,0.039334", \ - "0.015584,0.016496,0.018084,0.020773,0.025205,0.032311,0.043563", \ - "0.013226,0.014345,0.016286,0.019563,0.024966,0.033574,0.046883", \ - "0.008235,0.009554,0.011845,0.015700,0.022070,0.032252,0.047973"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030183,0.031385,0.033551,0.037449,0.044467,0.057118,0.079961", \ - "0.030991,0.032203,0.034386,0.038311,0.045362,0.058046,0.080923", \ - "0.033749,0.034960,0.037142,0.041068,0.048132,0.060849,0.083776", \ - "0.037383,0.038648,0.040875,0.044823,0.051861,0.064524,0.087408", \ - "0.041626,0.042968,0.045355,0.049595,0.057065,0.070125,0.092804", \ - "0.049707,0.051102,0.053576,0.057910,0.065487,0.078755,0.102047", \ - "0.061514,0.063035,0.065718,0.070350,0.078283,0.091824,0.115257"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008662,0.009135,0.009969,0.011445,0.014030,0.018571,0.026628", \ - "0.008558,0.009038,0.009889,0.011384,0.013990,0.018548,0.026619", \ - "0.008599,0.009032,0.009827,0.011271,0.013859,0.018452,0.026598", \ - "0.011127,0.011490,0.012146,0.013333,0.015493,0.019457,0.026942", \ - "0.015746,0.016122,0.016783,0.017934,0.019931,0.023472,0.030061", \ - "0.021762,0.022203,0.022965,0.024263,0.026429,0.030041,0.036247", \ - "0.028999,0.029534,0.030428,0.031955,0.034460,0.038482,0.044954"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014980,0.016064,0.018026,0.021572,0.027992,0.039580,0.060513", \ - "0.014986,0.016072,0.018029,0.021575,0.027993,0.039585,0.060529", \ - "0.015016,0.016090,0.018045,0.021589,0.028006,0.039578,0.060513", \ - "0.016072,0.017039,0.018822,0.022097,0.028188,0.039609,0.060526", \ - "0.018967,0.019958,0.021753,0.024999,0.030814,0.041219,0.060945", \ - "0.023379,0.024306,0.026000,0.029076,0.034746,0.045157,0.063960", \ - "0.029485,0.030391,0.032023,0.034975,0.040366,0.050343,0.068977"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011499,0.012135,0.013258,0.015220,0.018614,0.024442,0.034472", \ - "0.012034,0.012666,0.013785,0.015742,0.019129,0.024952,0.034981", \ - "0.013808,0.014423,0.015512,0.017409,0.020734,0.026530,0.036563", \ - "0.015960,0.016664,0.017900,0.020025,0.023626,0.029664,0.039792", \ - "0.016442,0.017314,0.018848,0.021473,0.025833,0.032870,0.044098", \ - "0.014598,0.015648,0.017497,0.020659,0.025930,0.034407,0.047606", \ - "0.010333,0.011536,0.013676,0.017345,0.023496,0.033458,0.048982"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.034501,0.035697,0.037859,0.041754,0.048771,0.061423,0.084269", \ - "0.035347,0.036551,0.038723,0.042636,0.049681,0.062364,0.085239", \ - "0.038107,0.039311,0.041486,0.045406,0.052465,0.065183,0.088105", \ - "0.041860,0.043072,0.045247,0.049150,0.056172,0.068840,0.091730", \ - "0.046433,0.047738,0.050064,0.054212,0.061569,0.074464,0.097077", \ - "0.054652,0.055994,0.058374,0.062591,0.070042,0.083201,0.106362", \ - "0.066852,0.068286,0.070827,0.075264,0.082956,0.096292,0.119598"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010714,0.011141,0.011907,0.013288,0.015763,0.020193,0.028166", \ - "0.010607,0.011045,0.011826,0.013227,0.015721,0.020172,0.028158", \ - "0.010592,0.010998,0.011738,0.013103,0.015585,0.020077,0.028138", \ - "0.013215,0.013525,0.014104,0.015188,0.017218,0.021051,0.028477", \ - "0.018253,0.018551,0.019086,0.020056,0.021848,0.025183,0.031619", \ - "0.024885,0.025227,0.025811,0.026875,0.028738,0.032016,0.037943", \ - "0.032843,0.033245,0.033916,0.035147,0.037265,0.040851,0.046910"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.017508,0.018613,0.020595,0.024180,0.030649,0.042272,0.063253", \ - "0.017516,0.018612,0.020593,0.024174,0.030633,0.042274,0.063257", \ - "0.017525,0.018625,0.020602,0.024179,0.030641,0.042274,0.063258", \ - "0.018206,0.019224,0.021069,0.024457,0.030744,0.042281,0.063257", \ - "0.021028,0.022047,0.023880,0.027172,0.033004,0.043581,0.063531", \ - "0.025086,0.026062,0.027820,0.031024,0.036843,0.047400,0.066303", \ - "0.030892,0.031846,0.033548,0.036620,0.042193,0.052416,0.071262"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012014,0.012655,0.013791,0.015777,0.019200,0.025069,0.035154", \ - "0.012563,0.013205,0.014337,0.016318,0.019738,0.025603,0.035688", \ - "0.014371,0.014990,0.016088,0.017994,0.021354,0.027195,0.037283", \ - "0.016798,0.017491,0.018704,0.020802,0.024378,0.030406,0.040541", \ - "0.017865,0.018707,0.020190,0.022737,0.026987,0.033901,0.045032", \ - "0.016856,0.017860,0.019634,0.022676,0.027764,0.036004,0.048946", \ - "0.013666,0.014818,0.016857,0.020368,0.026270,0.035889,0.051001"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030090,0.031091,0.032895,0.036139,0.041977,0.052484,0.071446", \ - "0.030986,0.031993,0.033806,0.037067,0.042924,0.053458,0.072443", \ - "0.034102,0.035110,0.036925,0.040191,0.046063,0.056621,0.075646", \ - "0.038710,0.039750,0.041594,0.044879,0.050739,0.061261,0.080251", \ - "0.043860,0.045012,0.047054,0.050675,0.057031,0.068061,0.087026", \ - "0.052038,0.053254,0.055426,0.059216,0.065842,0.077366,0.097320", \ - "0.063671,0.064995,0.067356,0.071435,0.078452,0.090424,0.110931"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011291,0.011710,0.012470,0.013844,0.016310,0.020741,0.028724", \ - "0.011197,0.011626,0.012402,0.013792,0.016278,0.020724,0.028716", \ - "0.011075,0.011485,0.012236,0.013611,0.016110,0.020621,0.028694", \ - "0.013500,0.013819,0.014411,0.015520,0.017590,0.021478,0.028974", \ - "0.018367,0.018670,0.019211,0.020201,0.022027,0.025427,0.031971", \ - "0.024810,0.025145,0.025744,0.026816,0.028723,0.032049,0.038085", \ - "0.032432,0.032834,0.033542,0.034795,0.036963,0.040616,0.046788"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014511,0.015420,0.017068,0.020053,0.025430,0.035144,0.052638", \ - "0.014517,0.015427,0.017074,0.020050,0.025431,0.035136,0.052636", \ - "0.014557,0.015456,0.017100,0.020067,0.025436,0.035133,0.052634", \ - "0.015578,0.016394,0.017882,0.020620,0.025691,0.035192,0.052638", \ - "0.018809,0.019654,0.021161,0.023860,0.028662,0.037169,0.053327", \ - "0.023251,0.024073,0.025552,0.028210,0.033024,0.041699,0.057159", \ - "0.029276,0.030090,0.031541,0.034139,0.038842,0.047316,0.062847"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011499,0.012135,0.013258,0.015220,0.018614,0.024442,0.034472", \ - "0.012034,0.012666,0.013785,0.015742,0.019129,0.024952,0.034981", \ - "0.013808,0.014423,0.015512,0.017409,0.020734,0.026530,0.036563", \ - "0.015960,0.016664,0.017900,0.020025,0.023626,0.029664,0.039792", \ - "0.016442,0.017314,0.018848,0.021473,0.025833,0.032870,0.044098", \ - "0.014598,0.015648,0.017497,0.020659,0.025930,0.034407,0.047606", \ - "0.010333,0.011536,0.013676,0.017345,0.023496,0.033458,0.048982"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.034501,0.035697,0.037859,0.041754,0.048771,0.061423,0.084269", \ - "0.035347,0.036551,0.038723,0.042636,0.049681,0.062364,0.085239", \ - "0.038107,0.039311,0.041486,0.045406,0.052465,0.065183,0.088105", \ - "0.041860,0.043072,0.045247,0.049150,0.056172,0.068840,0.091730", \ - "0.046433,0.047738,0.050064,0.054212,0.061569,0.074464,0.097077", \ - "0.054652,0.055994,0.058374,0.062591,0.070042,0.083201,0.106362", \ - "0.066852,0.068286,0.070827,0.075264,0.082956,0.096292,0.119598"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010714,0.011141,0.011907,0.013288,0.015763,0.020193,0.028166", \ - "0.010607,0.011045,0.011826,0.013227,0.015721,0.020172,0.028158", \ - "0.010592,0.010998,0.011738,0.013103,0.015585,0.020077,0.028138", \ - "0.013215,0.013525,0.014104,0.015188,0.017218,0.021051,0.028477", \ - "0.018253,0.018551,0.019086,0.020056,0.021848,0.025183,0.031619", \ - "0.024885,0.025227,0.025811,0.026875,0.028738,0.032016,0.037943", \ - "0.032843,0.033245,0.033916,0.035147,0.037265,0.040851,0.046910"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.017508,0.018613,0.020595,0.024180,0.030649,0.042272,0.063253", \ - "0.017516,0.018612,0.020593,0.024174,0.030633,0.042274,0.063257", \ - "0.017525,0.018625,0.020602,0.024179,0.030641,0.042274,0.063258", \ - "0.018206,0.019224,0.021069,0.024457,0.030744,0.042281,0.063257", \ - "0.021028,0.022047,0.023880,0.027172,0.033004,0.043581,0.063531", \ - "0.025086,0.026062,0.027820,0.031024,0.036843,0.047400,0.066303", \ - "0.030892,0.031846,0.033548,0.036620,0.042193,0.052416,0.071262"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011937,0.012566,0.013681,0.015643,0.019037,0.024879,0.034962", \ - "0.012463,0.013090,0.014209,0.016156,0.019552,0.025391,0.035469", \ - "0.014224,0.014837,0.015918,0.017807,0.021143,0.026970,0.037051", \ - "0.016541,0.017218,0.018425,0.020520,0.024091,0.030127,0.040281", \ - "0.017430,0.018256,0.019724,0.022260,0.026521,0.033477,0.044670", \ - "0.016223,0.017193,0.018920,0.021926,0.027012,0.035312,0.048377", \ - "0.012814,0.013892,0.015865,0.019285,0.025136,0.034800,0.050071"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.038820,0.040012,0.042168,0.046057,0.053072,0.065725,0.088563", \ - "0.039692,0.040891,0.043055,0.046960,0.053993,0.066672,0.089538", \ - "0.042460,0.043660,0.045828,0.049741,0.056791,0.069499,0.092411", \ - "0.046226,0.047422,0.049577,0.053466,0.060482,0.073150,0.096036", \ - "0.051137,0.052414,0.054688,0.058762,0.066013,0.078732,0.101331", \ - "0.059446,0.060746,0.063062,0.067189,0.074555,0.087617,0.110647", \ - "0.071924,0.073290,0.075737,0.080019,0.087539,0.100722,0.123920"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012586,0.012990,0.013714,0.015036,0.017436,0.021791,0.029696", \ - "0.012483,0.012894,0.013636,0.014974,0.017395,0.021768,0.029687", \ - "0.012433,0.012822,0.013528,0.014842,0.017259,0.021676,0.029667", \ - "0.015126,0.015399,0.015929,0.016945,0.018882,0.022626,0.030002", \ - "0.020499,0.020741,0.021193,0.022049,0.023680,0.026866,0.033162", \ - "0.027664,0.027941,0.028415,0.029291,0.030926,0.033940,0.039625", \ - "0.036259,0.036559,0.037102,0.038105,0.039924,0.043134,0.048831"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020067,0.021175,0.023178,0.026790,0.033288,0.044960,0.065969", \ - "0.020068,0.021178,0.023179,0.026794,0.033289,0.044961,0.065974", \ - "0.020081,0.021183,0.023183,0.026794,0.033286,0.044952,0.065972", \ - "0.020474,0.021526,0.023429,0.026928,0.033330,0.044953,0.065976", \ - "0.023192,0.024223,0.026074,0.029377,0.035211,0.045984,0.066150", \ - "0.026986,0.027999,0.029807,0.033091,0.039026,0.049664,0.068676", \ - "0.032525,0.033512,0.035268,0.038416,0.044131,0.054549,0.073565"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.309087,0.307196,0.319755,0.321240,0.336856,0.341650,0.351551", \ - "0.294551,0.306451,0.312736,0.320867,0.341422,0.367324,0.383141", \ - "0.557977,0.536108,0.512646,0.492502,0.473619,0.463595,0.461689", \ - "1.514378,1.474696,1.403641,1.284718,1.108705,0.927247,0.801058", \ - "3.012925,2.972679,2.931257,2.797731,2.574281,2.180011,1.709737", \ - "4.988915,4.980721,4.993536,4.903472,4.741186,4.306514,3.569234", \ - "7.502723,7.580157,7.583559,7.554604,7.434706,7.168405,6.400837"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.639013,3.663871,3.662024,3.732896,3.757826,3.800901,3.752260", \ - "3.581218,3.607201,3.604757,3.677730,3.645596,3.725968,3.807065", \ - "3.872449,3.916334,3.853262,3.896510,3.843829,3.782481,3.734520", \ - "4.858570,4.922177,4.880702,4.798905,4.644701,4.547923,4.265602", \ - "6.602729,6.554671,6.474701,6.372334,6.212390,5.904119,5.609925", \ - "9.231691,9.167508,9.075439,8.913167,8.543730,8.193407,7.619331", \ - "12.769750,12.765570,12.601910,12.463680,12.108760,11.391740,10.757310"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.356753,0.354700,0.349549,0.344825,0.339773,0.344997,0.356319", \ - "0.345634,0.346817,0.345302,0.344771,0.355119,0.372284,0.388210", \ - "0.594757,0.580963,0.553991,0.528935,0.506903,0.496061,0.486589", \ - "1.434757,1.403783,1.343381,1.250060,1.098547,0.936052,0.815652", \ - "2.725580,2.691219,2.647448,2.573182,2.395632,2.060365,1.639248", \ - "4.430462,4.441508,4.455144,4.401989,4.267152,3.951618,3.312904", \ - "6.666787,6.668088,6.697887,6.708597,6.650941,6.383151,5.822890"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.522451,3.576370,3.588391,3.646137,3.670469,3.706550,3.744690", \ - "3.470694,3.522629,3.550125,3.557820,3.619509,3.616207,3.723406", \ - "3.811802,3.790431,3.775532,3.796561,3.781693,3.777640,3.743860", \ - "4.758393,4.717159,4.673095,4.585871,4.489075,4.331740,4.171277", \ - "6.278386,6.224819,6.181587,6.084018,5.967049,5.617096,5.339253", \ - "8.675907,8.642250,8.506879,8.355979,8.042865,7.812092,7.164975", \ - "11.964540,11.928670,11.774820,11.570560,11.153840,10.671930,9.915074"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.336887,0.339534,0.336209,0.336526,0.338310,0.348343,0.352865", \ - "0.331448,0.333834,0.333917,0.341696,0.354101,0.367899,0.388276", \ - "0.570566,0.555463,0.546994,0.527596,0.505397,0.495687,0.486009", \ - "1.412884,1.375467,1.324892,1.218810,1.073393,0.928047,0.814386", \ - "2.802503,2.764315,2.706298,2.581980,2.383883,2.041251,1.634296", \ - "4.605044,4.617114,4.596791,4.471534,4.328086,3.941433,3.294388", \ - "6.928222,6.924904,6.945416,6.890776,6.781555,6.494413,5.817641"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.676353,4.696690,4.710459,4.743909,4.718801,4.812582,4.841718", \ - "4.608919,4.625717,4.666955,4.690004,4.736876,4.722505,4.761199", \ - "4.861538,4.846513,4.841284,4.847136,4.861802,4.855123,4.855398", \ - "5.737516,5.704757,5.667760,5.628085,5.560763,5.365642,5.281109", \ - "7.185012,7.188224,7.125594,7.130504,6.967549,6.715041,6.446648", \ - "9.511220,9.431118,9.367667,9.250500,9.059361,8.770547,8.208442", \ - "12.775330,12.687070,12.600730,12.401150,11.992160,11.608570,10.813780"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.356753,0.354700,0.349549,0.344825,0.339773,0.344997,0.356319", \ - "0.345634,0.346817,0.345302,0.344771,0.355119,0.372284,0.388210", \ - "0.594757,0.580963,0.553991,0.528935,0.506903,0.496061,0.486589", \ - "1.434757,1.403783,1.343381,1.250060,1.098547,0.936052,0.815652", \ - "2.725580,2.691219,2.647448,2.573182,2.395632,2.060365,1.639248", \ - "4.430462,4.441508,4.455144,4.401989,4.267152,3.951618,3.312904", \ - "6.666787,6.668088,6.697887,6.708597,6.650941,6.383151,5.822890"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.522451,3.576370,3.588391,3.646137,3.670469,3.706550,3.744690", \ - "3.470694,3.522629,3.550125,3.557820,3.619509,3.616207,3.723406", \ - "3.811802,3.790431,3.775532,3.796561,3.781693,3.777640,3.743860", \ - "4.758393,4.717159,4.673095,4.585871,4.489075,4.331740,4.171277", \ - "6.278386,6.224819,6.181587,6.084018,5.967049,5.617096,5.339253", \ - "8.675907,8.642250,8.506879,8.355979,8.042865,7.812092,7.164975", \ - "11.964540,11.928670,11.774820,11.570560,11.153840,10.671930,9.915074"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.375208,0.372901,0.361698,0.354085,0.349354,0.356340,0.359615", \ - "0.374933,0.375586,0.371529,0.373737,0.380117,0.393495,0.408990", \ - "0.614423,0.602507,0.584709,0.560135,0.533306,0.521525,0.515878", \ - "1.371923,1.351003,1.306115,1.215332,1.079868,0.930641,0.824448", \ - "2.527777,2.526706,2.491586,2.414869,2.258478,1.958481,1.572215", \ - "4.073000,4.049894,4.064077,4.031834,3.932164,3.668957,3.102604", \ - "5.968088,6.035200,6.061501,6.085529,6.069741,5.888450,5.360031"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.601817,3.625373,3.644630,3.664508,3.690917,3.760498,3.644216", \ - "3.545977,3.568389,3.587491,3.619634,3.650979,3.713875,3.688300", \ - "3.804668,3.811835,3.807018,3.778799,3.772794,3.761165,3.764468", \ - "4.706321,4.681190,4.613719,4.553450,4.432495,4.359409,4.140002", \ - "6.111109,6.087317,6.033408,5.979454,5.771749,5.551853,5.240051", \ - "8.244490,8.190506,8.077866,7.963642,7.765521,7.325588,6.980336", \ - "11.264610,11.200150,11.086800,10.830080,10.483110,10.069460,9.472156"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.349374,0.348126,0.347299,0.347708,0.347339,0.355477,0.359467", \ - "0.353648,0.354824,0.359845,0.368084,0.377060,0.391458,0.408493", \ - "0.592285,0.587318,0.568225,0.547661,0.534405,0.518133,0.514727", \ - "1.365392,1.341851,1.278380,1.193506,1.053255,0.923516,0.819561", \ - "2.614569,2.587327,2.529758,2.425271,2.248279,1.939550,1.566809", \ - "4.246572,4.239804,4.208203,4.142939,3.987033,3.664683,3.079202", \ - "6.292129,6.279245,6.298295,6.253227,6.142435,5.951485,5.374989"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.749076,4.726268,4.744477,4.767850,4.827553,4.858720,4.764789", \ - "4.654940,4.677445,4.696092,4.729618,4.741357,4.741965,4.771845", \ - "4.864697,4.877288,4.882327,4.889253,4.854570,4.809491,4.826384", \ - "5.663858,5.653266,5.630296,5.601317,5.465416,5.360820,5.323121", \ - "7.055037,7.046357,7.024498,6.913223,6.744302,6.475987,6.312380", \ - "9.100975,9.057843,8.966254,8.904397,8.739600,8.429160,7.976761", \ - "12.053860,12.011780,11.904060,11.710080,11.422090,10.987690,10.427280"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.336887,0.339534,0.336209,0.336526,0.338310,0.348343,0.352865", \ - "0.331448,0.333834,0.333917,0.341696,0.354101,0.367899,0.388276", \ - "0.570566,0.555463,0.546994,0.527596,0.505397,0.495687,0.486009", \ - "1.412884,1.375467,1.324892,1.218810,1.073393,0.928047,0.814386", \ - "2.802503,2.764315,2.706298,2.581980,2.383883,2.041251,1.634296", \ - "4.605044,4.617114,4.596791,4.471534,4.328086,3.941433,3.294388", \ - "6.928222,6.924904,6.945416,6.890776,6.781555,6.494413,5.817641"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.676353,4.696690,4.710459,4.743909,4.718801,4.812582,4.841718", \ - "4.608919,4.625717,4.666955,4.690004,4.736876,4.722505,4.761199", \ - "4.861538,4.846513,4.841284,4.847136,4.861802,4.855123,4.855398", \ - "5.737516,5.704757,5.667760,5.628085,5.560763,5.365642,5.281109", \ - "7.185012,7.188224,7.125594,7.130504,6.967549,6.715041,6.446648", \ - "9.511220,9.431118,9.367667,9.250500,9.059361,8.770547,8.208442", \ - "12.775330,12.687070,12.600730,12.401150,11.992160,11.608570,10.813780"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.349374,0.348126,0.347299,0.347708,0.347339,0.355477,0.359467", \ - "0.353648,0.354824,0.359845,0.368084,0.377060,0.391458,0.408493", \ - "0.592285,0.587318,0.568225,0.547661,0.534405,0.518133,0.514727", \ - "1.365392,1.341851,1.278380,1.193506,1.053255,0.923516,0.819561", \ - "2.614569,2.587327,2.529758,2.425271,2.248279,1.939550,1.566809", \ - "4.246572,4.239804,4.208203,4.142939,3.987033,3.664683,3.079202", \ - "6.292129,6.279245,6.298295,6.253227,6.142435,5.951485,5.374989"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.749076,4.726268,4.744477,4.767850,4.827553,4.858720,4.764789", \ - "4.654940,4.677445,4.696092,4.729618,4.741357,4.741965,4.771845", \ - "4.864697,4.877288,4.882327,4.889253,4.854570,4.809491,4.826384", \ - "5.663858,5.653266,5.630296,5.601317,5.465416,5.360820,5.323121", \ - "7.055037,7.046357,7.024498,6.913223,6.744302,6.475987,6.312380", \ - "9.100975,9.057843,8.966254,8.904397,8.739600,8.429160,7.976761", \ - "12.053860,12.011780,11.904060,11.710080,11.422090,10.987690,10.427280"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.333611,0.334704,0.338551,0.340922,0.342630,0.349023,0.359107", \ - "0.343856,0.349663,0.353204,0.362969,0.372485,0.395199,0.410029", \ - "0.579762,0.570195,0.563035,0.548830,0.529480,0.522583,0.515457", \ - "1.347191,1.311662,1.254411,1.163905,1.039106,0.922779,0.819537", \ - "2.648456,2.606914,2.548844,2.420548,2.226703,1.918540,1.560642", \ - "4.361086,4.332058,4.289454,4.185524,3.995695,3.663779,3.063188", \ - "6.501566,6.500840,6.453507,6.417947,6.266489,5.995555,5.359791"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.851838,5.874167,5.892700,5.898250,5.918990,5.961290,6.036033", \ - "5.809044,5.800504,5.821856,5.868746,5.917815,5.966172,6.023132", \ - "5.940962,5.956496,5.964251,5.965585,5.962315,6.008025,5.891077", \ - "6.677537,6.680463,6.667937,6.645807,6.598000,6.466090,6.377534", \ - "8.099515,8.042110,8.003412,7.937212,7.744503,7.563673,7.301368", \ - "9.995155,9.963645,9.937567,9.874748,9.751412,9.430968,8.917415", \ - "12.886060,12.834280,12.725470,12.544490,12.306380,12.052070,11.504840"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.308863,0.312192,0.323351,0.327647,0.333084,0.346832,0.357196", \ - "0.250265,0.263886,0.275725,0.293320,0.324465,0.349843,0.374161", \ - "0.365276,0.355508,0.345324,0.339082,0.349033,0.368980,0.395673", \ - "1.136014,1.107786,1.053322,0.965272,0.832958,0.690038,0.617547", \ - "2.353515,2.340196,2.311500,2.231123,2.073747,1.763854,1.357102", \ - "3.997116,3.946389,3.947690,3.958726,3.833476,3.577444,2.996639", \ - "5.992463,6.017061,6.060126,6.051223,6.050604,5.925335,5.421739"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.614900,4.605426,4.634611,4.621199,4.670979,4.645689,4.596932", \ - "4.490286,4.514325,4.583553,4.612411,4.633858,4.643142,4.537013", \ - "4.871849,4.882800,4.886483,4.835149,4.793184,4.832783,4.794526", \ - "5.946227,5.901548,5.863949,5.804283,5.727095,5.516416,5.264977", \ - "7.613325,7.592927,7.593802,7.477957,7.400067,7.059761,6.594954", \ - "10.293620,10.302460,10.229400,10.091320,9.816530,9.495407,9.012590", \ - "13.900140,13.900850,13.812950,13.660830,13.382190,12.965460,12.218380"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.354907,0.352334,0.355086,0.346624,0.341595,0.351033,0.357603", \ - "0.300057,0.302175,0.312041,0.319952,0.333144,0.359688,0.380002", \ - "0.414141,0.403532,0.394698,0.386751,0.391031,0.399747,0.423753", \ - "1.064878,1.067118,1.026232,0.954828,0.848455,0.716562,0.645004", \ - "2.100578,2.110064,2.078136,2.042471,1.927447,1.677568,1.325305", \ - "3.487211,3.512775,3.517882,3.503893,3.448121,3.239018,2.782534", \ - "5.217240,5.252700,5.272292,5.338560,5.336904,5.258279,4.886780"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.539061,4.501792,4.565540,4.540313,4.531964,4.624685,4.635161", \ - "4.460388,4.461804,4.484938,4.523520,4.550973,4.548682,4.604849", \ - "4.757298,4.795197,4.783143,4.736480,4.766241,4.701302,4.734226", \ - "5.774422,5.737849,5.695631,5.642784,5.532536,5.400619,5.201127", \ - "7.357263,7.306768,7.305153,7.272962,7.089590,6.818506,6.480122", \ - "9.699381,9.678812,9.623506,9.489913,9.347553,9.025288,8.446908", \ - "13.005450,12.973980,12.897010,12.714180,12.456380,11.993440,11.447420"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.335348,0.341592,0.337869,0.341560,0.341609,0.350569,0.352746", \ - "0.285479,0.287913,0.299667,0.314574,0.335345,0.361830,0.381990", \ - "0.392410,0.384237,0.384019,0.383042,0.387282,0.400092,0.422002", \ - "1.079512,1.057450,1.009997,0.932698,0.823546,0.712854,0.644404", \ - "2.204290,2.190771,2.143842,2.072201,1.917938,1.661829,1.309663", \ - "3.691373,3.679611,3.658365,3.612562,3.516772,3.268809,2.768579", \ - "5.502374,5.530030,5.516303,5.505892,5.485607,5.324171,4.900497"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.599206,5.600348,5.657453,5.683401,5.697965,5.693391,5.766124", \ - "5.555272,5.547351,5.601031,5.609714,5.670141,5.718130,5.719461", \ - "5.811483,5.854042,5.848487,5.844536,5.837551,5.775625,5.844949", \ - "6.768156,6.737985,6.706998,6.648990,6.612328,6.458364,6.365666", \ - "8.303038,8.322032,8.297304,8.204635,8.089037,7.858749,7.520315", \ - "10.626660,10.607730,10.518210,10.471910,10.329450,10.016790,9.535629", \ - "13.847070,13.819920,13.749630,13.589400,13.373500,12.996680,12.294140"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.354907,0.352334,0.355086,0.346624,0.341595,0.351033,0.357603", \ - "0.300057,0.302175,0.312041,0.319952,0.333144,0.359688,0.380002", \ - "0.414141,0.403532,0.394698,0.386751,0.391031,0.399747,0.423753", \ - "1.064878,1.067118,1.026232,0.954828,0.848455,0.716562,0.645004", \ - "2.100578,2.110064,2.078136,2.042471,1.927447,1.677568,1.325305", \ - "3.487211,3.512775,3.517882,3.503893,3.448121,3.239018,2.782534", \ - "5.217240,5.252700,5.272292,5.338560,5.336904,5.258279,4.886780"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.539061,4.501792,4.565540,4.540313,4.531964,4.624685,4.635161", \ - "4.460388,4.461804,4.484938,4.523520,4.550973,4.548682,4.604849", \ - "4.757298,4.795197,4.783143,4.736480,4.766241,4.701302,4.734226", \ - "5.774422,5.737849,5.695631,5.642784,5.532536,5.400619,5.201127", \ - "7.357263,7.306768,7.305153,7.272962,7.089590,6.818506,6.480122", \ - "9.699381,9.678812,9.623506,9.489913,9.347553,9.025288,8.446908", \ - "13.005450,12.973980,12.897010,12.714180,12.456380,11.993440,11.447420"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.367846,0.364747,0.366466,0.358578,0.352397,0.358559,0.361917", \ - "0.326451,0.328241,0.335387,0.343926,0.359918,0.382651,0.406400", \ - "0.443973,0.435345,0.427501,0.426262,0.421634,0.438542,0.458136", \ - "1.051530,1.036348,1.010169,0.946146,0.849785,0.732863,0.667672", \ - "1.951595,1.974179,1.962014,1.924977,1.812516,1.608168,1.287103", \ - "3.134212,3.154223,3.183287,3.178986,3.178383,3.026124,2.614128", \ - "4.674548,4.671062,4.735944,4.743959,4.812794,4.796019,4.517455"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.604823,4.611637,4.624430,4.632815,4.601976,4.607797,4.559172", \ - "4.542332,4.519963,4.575892,4.556391,4.563807,4.507481,4.584651", \ - "4.816547,4.824628,4.820999,4.804850,4.788124,4.639104,4.705082", \ - "5.730476,5.678447,5.652233,5.599894,5.484094,5.335974,5.260885", \ - "7.203523,7.194723,7.158174,7.067639,6.885553,6.531945,6.336890", \ - "9.303516,9.265637,9.182282,9.122904,8.985970,8.549134,8.030222", \ - "12.258690,12.206290,12.140380,12.003900,11.734400,11.395760,10.776400"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.347377,0.349769,0.348515,0.349463,0.353455,0.357307,0.359599", \ - "0.308021,0.313179,0.325953,0.341147,0.357733,0.383214,0.404897", \ - "0.418088,0.416796,0.418130,0.415956,0.419747,0.437365,0.454563", \ - "1.055323,1.033455,0.991838,0.924486,0.827804,0.729315,0.665634", \ - "2.051926,2.022658,1.986574,1.951725,1.814855,1.595023,1.273577", \ - "3.350105,3.339992,3.313896,3.306659,3.208011,3.038801,2.598804", \ - "4.964571,4.957264,4.973069,4.989874,4.958787,4.864663,4.522425"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.686504,5.706180,5.730590,5.744102,5.753649,5.796783,5.774715", \ - "5.641197,5.661362,5.676578,5.676483,5.724087,5.752742,5.651544", \ - "5.878837,5.890689,5.893056,5.871679,5.884498,5.777643,5.769342", \ - "6.694125,6.694214,6.675283,6.616709,6.535516,6.349792,6.312457", \ - "8.213196,8.179858,8.140408,8.002931,7.899692,7.690298,7.332194", \ - "10.210900,10.181840,10.163310,10.057510,9.979107,9.524826,9.102850", \ - "13.130120,13.121750,13.021380,12.910430,12.705790,12.330370,11.812680"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.335348,0.341592,0.337869,0.341560,0.341609,0.350569,0.352746", \ - "0.285479,0.287913,0.299667,0.314574,0.335345,0.361830,0.381990", \ - "0.392410,0.384237,0.384019,0.383042,0.387282,0.400092,0.422002", \ - "1.079512,1.057450,1.009997,0.932698,0.823546,0.712854,0.644404", \ - "2.204290,2.190771,2.143842,2.072201,1.917938,1.661829,1.309663", \ - "3.691373,3.679611,3.658365,3.612562,3.516772,3.268809,2.768579", \ - "5.502374,5.530030,5.516303,5.505892,5.485607,5.324171,4.900497"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.599206,5.600348,5.657453,5.683401,5.697965,5.693391,5.766124", \ - "5.555272,5.547351,5.601031,5.609714,5.670141,5.718130,5.719461", \ - "5.811483,5.854042,5.848487,5.844536,5.837551,5.775625,5.844949", \ - "6.768156,6.737985,6.706998,6.648990,6.612328,6.458364,6.365666", \ - "8.303038,8.322032,8.297304,8.204635,8.089037,7.858749,7.520315", \ - "10.626660,10.607730,10.518210,10.471910,10.329450,10.016790,9.535629", \ - "13.847070,13.819920,13.749630,13.589400,13.373500,12.996680,12.294140"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.347377,0.349769,0.348515,0.349463,0.353455,0.357307,0.359599", \ - "0.308021,0.313179,0.325953,0.341147,0.357733,0.383214,0.404897", \ - "0.418088,0.416796,0.418130,0.415956,0.419747,0.437365,0.454563", \ - "1.055323,1.033455,0.991838,0.924486,0.827804,0.729315,0.665634", \ - "2.051926,2.022658,1.986574,1.951725,1.814855,1.595023,1.273577", \ - "3.350105,3.339992,3.313896,3.306659,3.208011,3.038801,2.598804", \ - "4.964571,4.957264,4.973069,4.989874,4.958787,4.864663,4.522425"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.686504,5.706180,5.730590,5.744102,5.753649,5.796783,5.774715", \ - "5.641197,5.661362,5.676578,5.676483,5.724087,5.752742,5.651544", \ - "5.878837,5.890689,5.893056,5.871679,5.884498,5.777643,5.769342", \ - "6.694125,6.694214,6.675283,6.616709,6.535516,6.349792,6.312457", \ - "8.213196,8.179858,8.140408,8.002931,7.899692,7.690298,7.332194", \ - "10.210900,10.181840,10.163310,10.057510,9.979107,9.524826,9.102850", \ - "13.130120,13.121750,13.021380,12.910430,12.705790,12.330370,11.812680"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.339724,0.341443,0.342036,0.347218,0.349228,0.351388,0.360187", \ - "0.297459,0.304464,0.319024,0.334662,0.354113,0.377550,0.402599", \ - "0.408635,0.405303,0.408063,0.411412,0.418845,0.436866,0.455747", \ - "1.035658,1.010690,0.970283,0.905584,0.806736,0.722389,0.665474", \ - "2.102989,2.071477,2.023497,1.953992,1.818303,1.575313,1.264708", \ - "3.440773,3.452658,3.442625,3.389666,3.283127,3.038138,2.586855", \ - "5.134042,5.173924,5.142200,5.143519,5.035443,4.919909,4.520625"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.782266,6.815935,6.817651,6.812815,6.829859,6.866512,6.954678", \ - "6.740766,6.761543,6.777502,6.809668,6.806168,6.830435,6.841998", \ - "6.951530,6.966319,6.972552,6.954803,6.968032,6.971906,6.829041", \ - "7.722093,7.726151,7.715693,7.647536,7.575767,7.436692,7.360661", \ - "9.177530,9.124318,9.100562,9.003423,8.935040,8.661127,8.343337", \ - "11.188450,11.167980,11.112670,11.097020,10.955980,10.600390,10.273330", \ - "14.047600,14.006840,13.961790,13.851670,13.623950,13.401980,12.819660"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.669556,1.669855,1.695082,1.711559,1.738848,1.767365,1.804768", \ - "1.640996,1.648418,1.671815,1.678424,1.717744,1.747956,1.788298", \ - "1.708085,1.723736,1.725601,1.750095,1.757283,1.781676,1.818761", \ - "2.396856,2.375947,2.338674,2.278288,2.201984,2.157662,2.092034", \ - "3.825014,3.806415,3.761667,3.650979,3.485999,3.225650,2.921094", \ - "5.899155,5.898590,5.859064,5.767283,5.577088,5.219841,4.650072", \ - "8.522108,8.526861,8.513796,8.475003,8.379027,8.047292,7.354258"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.167133,6.186709,6.178461,6.191655,6.249700,6.248849,6.135180", \ - "6.052880,6.010315,6.074760,6.063340,6.150221,6.204497,6.151055", \ - "5.913325,5.931695,5.929139,5.907933,6.017454,6.064354,6.130025", \ - "6.244365,6.250952,6.223123,6.196424,6.206505,6.203321,6.111194", \ - "7.265162,7.271681,7.259630,7.191537,7.087023,6.922618,6.725322", \ - "9.368631,9.272462,9.205596,9.009431,8.664949,8.579345,8.162243", \ - "12.282720,12.216200,12.061800,11.832010,11.535180,10.957040,10.461280"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.671686,1.684312,1.695408,1.715065,1.742371,1.774979,1.814779", \ - "1.674420,1.682009,1.700481,1.729045,1.747398,1.790509,1.832204", \ - "1.754906,1.767908,1.785078,1.799773,1.817192,1.842338,1.875275", \ - "2.372686,2.356617,2.322163,2.270474,2.215119,2.169559,2.120392", \ - "3.637988,3.612850,3.578189,3.494607,3.349773,3.118033,2.855110", \ - "5.435834,5.435130,5.405806,5.348240,5.194915,4.895006,4.396308", \ - "7.655668,7.664284,7.715788,7.700326,7.631033,7.359319,6.793426"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.085464,6.129624,6.134161,6.165384,6.186763,6.093886,6.225836", \ - "5.979563,5.976452,6.038733,6.015844,6.035565,6.057630,6.089903", \ - "5.856989,5.849242,5.904861,5.895972,5.958909,6.022866,6.062855", \ - "6.083834,6.115829,6.102876,6.067902,6.079563,6.057874,6.068345", \ - "7.108959,7.078339,7.073083,6.922826,6.863185,6.679231,6.612810", \ - "8.853600,8.784228,8.734348,8.609862,8.399623,8.177900,7.715285", \ - "11.518450,11.429770,11.341680,11.113800,10.686620,10.367870,9.862046"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.702846,1.715402,1.720840,1.739949,1.760114,1.783941,1.813199", \ - "1.705642,1.719873,1.725801,1.733486,1.772270,1.793270,1.829568", \ - "1.787551,1.804012,1.807053,1.808315,1.826044,1.845998,1.879960", \ - "2.365396,2.351273,2.317164,2.263258,2.215554,2.176310,2.126755", \ - "3.671494,3.644251,3.581550,3.488851,3.340583,3.109788,2.859157", \ - "5.547254,5.515464,5.482986,5.385021,5.200394,4.888551,4.384298", \ - "7.890118,7.891088,7.868885,7.843928,7.709582,7.403073,6.798033"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.181305,7.232668,7.237351,7.219391,7.259566,7.287548,7.352860", \ - "7.093374,7.139539,7.148028,7.163538,7.144888,7.283538,7.194166", \ - "6.960240,7.004282,7.009782,7.040041,7.070796,7.059124,7.183795", \ - "7.162993,7.186595,7.177743,7.190610,7.071732,7.049100,7.176979", \ - "8.127359,8.100996,8.060764,7.969454,7.901834,7.801748,7.702297", \ - "9.747701,9.689770,9.665592,9.527578,9.402215,9.226171,8.792156", \ - "12.348960,12.269270,12.146700,12.000470,11.705170,11.346080,10.892090"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.492908,1.478564,1.523136,1.557532,1.591417,1.638969,1.681745", \ - "1.445264,1.452737,1.473105,1.516362,1.556775,1.606777,1.649603", \ - "1.498891,1.524930,1.540422,1.551548,1.581261,1.618151,1.649468", \ - "2.193989,2.187470,2.148523,2.082780,2.003498,1.957767,1.908715", \ - "3.605756,3.585180,3.521543,3.426045,3.259691,2.996794,2.694293", \ - "5.540296,5.540010,5.497045,5.442998,5.259678,4.904861,4.346325", \ - "8.018674,8.056565,8.055393,8.032162,7.890528,7.605114,6.928872"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.632852,5.674543,5.692103,5.679043,5.720108,5.765525,5.686611", \ - "5.520342,5.518680,5.576341,5.599869,5.594045,5.630979,5.677815", \ - "5.398191,5.391367,5.456010,5.459121,5.497485,5.487412,5.544697", \ - "5.679275,5.664025,5.697047,5.691719,5.679359,5.583679,5.602868", \ - "6.646635,6.618906,6.629545,6.611526,6.446334,6.375122,6.153210", \ - "8.608770,8.543458,8.451090,8.328280,8.100498,7.861075,7.507545", \ - "11.430130,11.353700,11.265350,11.051420,10.667300,10.228120,9.651140"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.501976,1.512761,1.521578,1.561410,1.598819,1.640375,1.688067", \ - "1.487464,1.501750,1.514004,1.548445,1.592467,1.642347,1.685913", \ - "1.562989,1.558508,1.591421,1.599097,1.635640,1.673534,1.712464", \ - "2.194330,2.175735,2.141059,2.085688,2.009332,1.977012,1.939186", \ - "3.415331,3.398674,3.337798,3.284197,3.138143,2.909169,2.635823", \ - "5.116887,5.119877,5.089698,5.049463,4.907025,4.613566,4.121533", \ - "7.254355,7.290581,7.271772,7.288899,7.218322,6.994377,6.431540"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.595306,5.618014,5.636219,5.626148,5.591441,5.663230,5.530405", \ - "5.444216,5.498836,5.494885,5.550669,5.576866,5.643505,5.677832", \ - "5.330394,5.352310,5.370218,5.416690,5.423299,5.509983,5.571547", \ - "5.561111,5.573602,5.530017,5.537870,5.518570,5.480368,5.411094", \ - "6.430908,6.440629,6.451507,6.376454,6.183478,6.081168,6.027803", \ - "8.158075,8.088081,8.030020,7.885820,7.731850,7.496965,7.047788", \ - "10.719140,10.668180,10.515850,10.302260,9.935270,9.614848,8.985558"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.547262,1.549886,1.558540,1.590103,1.615556,1.659612,1.699950", \ - "1.533068,1.544170,1.542280,1.580400,1.606464,1.652680,1.695994", \ - "1.595149,1.619169,1.614806,1.638186,1.652744,1.681654,1.717532", \ - "2.201796,2.174241,2.138456,2.083694,2.035629,1.992925,1.948253", \ - "3.460333,3.420118,3.381587,3.292018,3.133820,2.894077,2.640917", \ - "5.258322,5.212508,5.181486,5.109625,4.939908,4.609978,4.112740", \ - "7.501201,7.478161,7.490444,7.448270,7.288499,7.007228,6.425157"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.696683,6.718501,6.735657,6.762280,6.794611,6.825534,6.851711", \ - "6.608589,6.587714,6.633966,6.636650,6.665963,6.695639,6.783424", \ - "6.438957,6.461390,6.480315,6.521064,6.500817,6.560176,6.577860", \ - "6.638297,6.608058,6.614025,6.643365,6.648036,6.582602,6.646472", \ - "7.475299,7.485298,7.463602,7.391022,7.327552,7.164611,7.026504", \ - "9.033430,9.012909,8.962525,8.850711,8.710993,8.522217,8.084131", \ - "11.532480,11.447220,11.352960,11.209880,10.956630,10.569420,10.023220"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.521768,1.529157,1.550565,1.583705,1.609881,1.647410,1.690752", \ - "1.476198,1.510100,1.506713,1.541694,1.573955,1.617297,1.652837", \ - "1.564156,1.566919,1.564859,1.583277,1.600197,1.628816,1.661630", \ - "2.215578,2.184464,2.146206,2.081640,2.027438,1.968371,1.916228", \ - "3.649969,3.610870,3.545681,3.427538,3.255237,2.988529,2.691401", \ - "5.682655,5.673195,5.614470,5.486421,5.292881,4.910956,4.337620", \ - "8.267169,8.269983,8.250765,8.163782,7.960690,7.630800,6.924756"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.748556,6.720393,6.785043,6.738764,6.808090,6.829357,6.840487", \ - "6.632413,6.628770,6.698178,6.676047,6.761633,6.747798,6.701612", \ - "6.499139,6.501305,6.559607,6.559464,6.609451,6.584018,6.766993", \ - "6.749052,6.736384,6.731749,6.758401,6.769184,6.769672,6.632685", \ - "7.653734,7.634270,7.627507,7.614696,7.490764,7.360653,7.302875", \ - "9.471675,9.397994,9.321228,9.259077,9.093925,8.912324,8.576694", \ - "12.230970,12.160980,12.055430,11.902660,11.591040,11.206480,10.725240"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.547262,1.549886,1.558540,1.590103,1.615556,1.659612,1.699950", \ - "1.533068,1.544170,1.542280,1.580400,1.606464,1.652680,1.695994", \ - "1.595149,1.619169,1.614806,1.638186,1.652744,1.681654,1.717532", \ - "2.201796,2.174241,2.138456,2.083694,2.035629,1.992925,1.948253", \ - "3.460333,3.420118,3.381587,3.292018,3.133820,2.894077,2.640917", \ - "5.258322,5.212508,5.181486,5.109625,4.939908,4.609978,4.112740", \ - "7.501201,7.478161,7.490444,7.448270,7.288499,7.007228,6.425157"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.696683,6.718501,6.735657,6.762280,6.794611,6.825534,6.851711", \ - "6.608589,6.587714,6.633966,6.636650,6.665963,6.695639,6.783424", \ - "6.438957,6.461390,6.480315,6.521064,6.500817,6.560176,6.577860", \ - "6.638297,6.608058,6.614025,6.643365,6.648036,6.582602,6.646472", \ - "7.475299,7.485298,7.463602,7.391022,7.327552,7.164611,7.026504", \ - "9.033430,9.012909,8.962525,8.850711,8.710993,8.522217,8.084131", \ - "11.532480,11.447220,11.352960,11.209880,10.956630,10.569420,10.023220"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.568734,1.575803,1.596476,1.612758,1.635010,1.666244,1.707516", \ - "1.551536,1.573307,1.588317,1.602943,1.619298,1.660545,1.694855", \ - "1.622471,1.647793,1.650080,1.662158,1.670971,1.695751,1.724852", \ - "2.194555,2.173333,2.135354,2.085662,2.043839,1.998472,1.951201", \ - "3.473863,3.453876,3.394714,3.281861,3.127040,2.891898,2.648705", \ - "5.337610,5.308327,5.250242,5.121208,4.941698,4.610599,4.103621", \ - "7.695000,7.641380,7.638965,7.536729,7.399487,7.048860,6.420215"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.797443,7.819085,7.836080,7.812473,7.893871,7.816473,7.915974", \ - "7.722636,7.746307,7.767199,7.770177,7.842706,7.781775,7.941116", \ - "7.594683,7.571964,7.606755,7.604102,7.705511,7.692315,7.631639", \ - "7.722832,7.727721,7.702035,7.742497,7.759234,7.694025,7.808064", \ - "8.521234,8.482167,8.474398,8.451852,8.358400,8.261299,8.104774", \ - "9.945599,9.935161,9.901239,9.870175,9.739467,9.523462,9.314488", \ - "12.375690,12.339770,12.261410,12.107850,11.875410,11.642420,10.998320"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.675488,1.671493,1.689071,1.706137,1.741025,1.768677,1.806710", \ - "1.642361,1.644791,1.663597,1.673124,1.720270,1.747230,1.794855", \ - "1.609555,1.616382,1.639460,1.661718,1.690172,1.724027,1.777528", \ - "2.108790,2.091039,2.070145,2.028797,1.976746,1.954996,1.937966", \ - "3.280101,3.253949,3.227148,3.154656,3.025457,2.826330,2.580278", \ - "4.910241,4.930978,4.903649,4.878559,4.747616,4.504049,4.052099", \ - "7.037690,7.003068,7.013132,7.060128,6.991067,6.827349,6.358091"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.067910,7.083968,7.069813,7.129251,7.119467,7.166220,7.107987", \ - "6.997393,7.016021,7.069324,7.033885,7.064517,7.066619,7.091850", \ - "6.965727,6.982291,6.969251,7.023770,7.004781,7.079454,7.122132", \ - "7.281875,7.269742,7.264199,7.249604,7.173538,7.157810,7.222553", \ - "8.368608,8.347770,8.316649,8.321460,8.198679,8.045990,7.874387", \ - "10.337590,10.358690,10.253020,10.145730,10.000190,9.805785,9.388779", \ - "13.333700,13.278880,13.145540,13.004610,12.750750,12.244940,11.731640"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.673577,1.690267,1.697230,1.720318,1.745050,1.772955,1.816163", \ - "1.673893,1.677711,1.696759,1.725250,1.744934,1.782660,1.830972", \ - "1.666512,1.677148,1.697929,1.708567,1.744577,1.785088,1.836286", \ - "2.117688,2.102490,2.086709,2.050690,2.002961,1.991510,1.983112", \ - "3.128706,3.089081,3.086749,3.042603,2.933582,2.768085,2.543064", \ - "4.529910,4.522195,4.532863,4.495987,4.441134,4.241850,3.865899", \ - "6.305224,6.333959,6.345565,6.393002,6.345159,6.246041,5.877698"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.058729,7.047686,7.045874,7.073478,7.053252,7.067937,7.010555", \ - "6.995643,6.986694,6.987766,7.028797,6.972241,6.989502,6.983136", \ - "6.918908,6.947368,6.960840,6.980345,6.944073,6.972381,6.895254", \ - "7.130052,7.164134,7.152655,7.128980,7.088992,7.028779,7.107750", \ - "8.189244,8.160126,8.102170,8.049051,7.948451,7.787119,7.678076", \ - "9.871609,9.867648,9.792082,9.709896,9.626108,9.327346,8.794694", \ - "12.524360,12.486860,12.388420,12.256260,11.941870,11.581690,11.084230"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.704933,1.719253,1.727170,1.741896,1.762916,1.781541,1.816036", \ - "1.700857,1.710575,1.721825,1.743708,1.768160,1.801371,1.829869", \ - "1.693368,1.707481,1.713473,1.738160,1.764526,1.791455,1.835058", \ - "2.112863,2.102556,2.082605,2.038428,2.011081,1.996413,1.996044", \ - "3.158098,3.133133,3.108877,3.049532,2.938248,2.761750,2.553869", \ - "4.622339,4.641262,4.632576,4.571248,4.465016,4.248401,3.851955", \ - "6.502012,6.510726,6.515046,6.504999,6.472901,6.299098,5.892303"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("8.146753,8.136055,8.161328,8.167906,8.122672,8.092267,8.101565", \ - "8.090016,8.080648,8.081766,8.097150,8.093341,8.197615,8.051972", \ - "8.012118,8.002109,8.056052,8.045214,8.074945,8.076705,8.122955", \ - "8.199399,8.236111,8.227590,8.220046,8.234872,8.211540,8.214316", \ - "9.183951,9.166508,9.124312,9.067293,8.923726,8.756120,8.767981", \ - "10.857810,10.810960,10.749400,10.684510,10.620060,10.351070,9.940499", \ - "13.397630,13.339580,13.280190,13.162830,12.918160,12.647840,12.113000"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.494757,1.479211,1.523583,1.553325,1.590257,1.634808,1.684716", \ - "1.440976,1.448246,1.472303,1.510209,1.553474,1.605346,1.653858", \ - "1.409556,1.413674,1.441297,1.479192,1.514844,1.569343,1.619353", \ - "1.923028,1.903044,1.888468,1.845261,1.790080,1.770468,1.767255", \ - "3.031184,3.005455,2.988277,2.921154,2.811087,2.613484,2.369741", \ - "4.613471,4.606670,4.555565,4.556864,4.446449,4.217083,3.778505", \ - "6.588489,6.548457,6.614247,6.634052,6.595439,6.412859,5.961498"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.606179,6.609315,6.599942,6.601843,6.641213,6.674630,6.683217", \ - "6.536577,6.529525,6.534925,6.582746,6.582267,6.618095,6.626654", \ - "6.458068,6.449494,6.471517,6.508331,6.459514,6.468048,6.456552", \ - "6.728999,6.714880,6.707772,6.691050,6.630274,6.633569,6.584787", \ - "7.704872,7.689593,7.701797,7.638702,7.588004,7.424704,7.169673", \ - "9.615127,9.584388,9.514801,9.419463,9.248394,9.072018,8.657235", \ - "12.385360,12.371310,12.275940,12.145370,11.901820,11.514820,11.028100"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.500092,1.488220,1.531145,1.564962,1.598971,1.648998,1.694753", \ - "1.465917,1.478512,1.515891,1.542221,1.591307,1.634306,1.690257", \ - "1.454667,1.461952,1.485384,1.529539,1.569282,1.627090,1.670669", \ - "1.929825,1.914967,1.905237,1.869705,1.821687,1.806902,1.813667", \ - "2.899051,2.874563,2.847825,2.824443,2.727020,2.569900,2.342968", \ - "4.240992,4.244957,4.239482,4.225839,4.154347,3.973906,3.613539", \ - "5.900511,5.926119,5.933753,5.980997,6.002455,5.890093,5.538341"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.530178,6.548626,6.560728,6.586940,6.574387,6.592084,6.636422", \ - "6.467411,6.487741,6.507645,6.510586,6.538790,6.474666,6.454268", \ - "6.398906,6.418588,6.432542,6.441974,6.448181,6.475460,6.468549", \ - "6.618189,6.586458,6.589311,6.577405,6.560407,6.576123,6.435778", \ - "7.545974,7.521215,7.504540,7.440741,7.306033,7.193732,7.101571", \ - "9.141599,9.125219,9.077994,9.041746,8.951620,8.685017,8.341125", \ - "11.688460,11.608760,11.571360,11.421780,11.221770,10.878440,10.417460"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.551169,1.537066,1.559530,1.591135,1.616128,1.659060,1.700226", \ - "1.531236,1.535940,1.538185,1.582811,1.606827,1.649000,1.696383", \ - "1.509309,1.503676,1.529509,1.561105,1.588607,1.640486,1.683952", \ - "1.946192,1.919484,1.897921,1.867713,1.832713,1.820633,1.824627", \ - "2.955971,2.929911,2.906327,2.838529,2.732231,2.558690,2.351071", \ - "4.376918,4.347727,4.342056,4.306984,4.203725,3.992664,3.605226", \ - "6.145587,6.109630,6.161093,6.133784,6.102803,5.944331,5.552161"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.624008,7.660370,7.656507,7.640100,7.682174,7.712548,7.768836", \ - "7.567708,7.588147,7.603583,7.630245,7.625729,7.670919,7.710455", \ - "7.511877,7.518835,7.534045,7.525131,7.602261,7.580911,7.518502", \ - "7.696906,7.666526,7.672750,7.696247,7.668358,7.622232,7.736382", \ - "8.555345,8.548441,8.498373,8.457738,8.346477,8.215094,8.192354", \ - "10.105620,10.097000,10.064670,9.992731,9.947298,9.596195,9.365891", \ - "12.548300,12.526770,12.447730,12.364810,12.123450,11.926710,11.423250"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.540818,1.527876,1.545316,1.580631,1.606784,1.646840,1.691054", \ - "1.494658,1.501240,1.504604,1.532238,1.570697,1.612983,1.659305", \ - "1.445166,1.466479,1.467880,1.506749,1.534534,1.574184,1.626163", \ - "1.929979,1.918251,1.889332,1.846297,1.790315,1.787563,1.778984", \ - "3.081217,3.049890,3.029427,2.943815,2.814949,2.607276,2.375918", \ - "4.744774,4.705593,4.692478,4.631115,4.488978,4.229879,3.773484", \ - "6.790902,6.807856,6.820596,6.753673,6.685681,6.472338,5.969082"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.693867,7.685774,7.689842,7.704112,7.752735,7.790446,7.812990", \ - "7.630690,7.623838,7.630004,7.665295,7.707764,7.743152,7.783683", \ - "7.573436,7.543381,7.548681,7.616238,7.595867,7.677667,7.580644", \ - "7.800487,7.788123,7.783071,7.756164,7.734444,7.806478,7.814108", \ - "8.742198,8.729580,8.757336,8.670903,8.635081,8.505663,8.384562", \ - "10.520810,10.475310,10.473570,10.357020,10.305110,10.108140,9.725771", \ - "13.274730,13.213560,13.178420,13.024220,12.852070,12.451080,11.902470"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.551169,1.537066,1.559530,1.591135,1.616128,1.659060,1.700226", \ - "1.531236,1.535940,1.538185,1.582811,1.606827,1.649000,1.696383", \ - "1.509309,1.503676,1.529509,1.561105,1.588607,1.640486,1.683952", \ - "1.946192,1.919484,1.897921,1.867713,1.832713,1.820633,1.824627", \ - "2.955971,2.929911,2.906327,2.838529,2.732231,2.558690,2.351071", \ - "4.376918,4.347727,4.342056,4.306984,4.203725,3.992664,3.605226", \ - "6.145587,6.109630,6.161093,6.133784,6.102803,5.944331,5.552161"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.624008,7.660370,7.656507,7.640100,7.682174,7.712548,7.768836", \ - "7.567708,7.588147,7.603583,7.630245,7.625729,7.670919,7.710455", \ - "7.511877,7.518835,7.534045,7.525131,7.602261,7.580911,7.518502", \ - "7.696906,7.666526,7.672750,7.696247,7.668358,7.622232,7.736382", \ - "8.555345,8.548441,8.498373,8.457738,8.346477,8.215094,8.192354", \ - "10.105620,10.097000,10.064670,9.992731,9.947298,9.596195,9.365891", \ - "12.548300,12.526770,12.447730,12.364810,12.123450,11.926710,11.423250"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.577773,1.572661,1.595297,1.614257,1.633212,1.671224,1.700660", \ - "1.559201,1.563921,1.580616,1.604490,1.626247,1.660470,1.703206", \ - "1.549652,1.548886,1.551300,1.587402,1.608836,1.648881,1.691884", \ - "1.934386,1.932175,1.897485,1.863080,1.849298,1.839020,1.822831", \ - "2.968376,2.966093,2.921989,2.840562,2.727900,2.553099,2.356897", \ - "4.471809,4.444903,4.413495,4.351498,4.222926,3.996067,3.601638", \ - "6.315811,6.327653,6.316274,6.254018,6.186043,5.989205,5.564377"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("8.719209,8.739235,8.753720,8.779508,8.775678,8.863488,8.833938", \ - "8.667678,8.688683,8.704693,8.719580,8.723410,8.768712,8.850839", \ - "8.599442,8.620501,8.643472,8.634623,8.693012,8.705666,8.673944", \ - "8.734270,8.759906,8.766781,8.758119,8.753548,8.830844,8.801175", \ - "9.548414,9.556868,9.547211,9.500296,9.438057,9.258344,9.272440", \ - "11.117110,11.095110,11.074570,11.034190,10.941300,10.703920,10.390600", \ - "13.472830,13.448220,13.388490,13.274210,13.119560,12.886160,12.425270"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.713140,2.716578,2.738045,2.803208,2.867368,2.937232,3.036265", \ - "2.635490,2.655313,2.685166,2.741755,2.812301,2.896923,2.980705", \ - "2.654732,2.665187,2.694187,2.739709,2.801853,2.885016,2.964932", \ - "3.185843,3.176402,3.174199,3.173811,3.170258,3.182104,3.198647", \ - "4.592199,4.557086,4.530437,4.454446,4.336558,4.155581,3.974178", \ - "6.768327,6.728606,6.684463,6.623944,6.423157,6.108795,5.640102", \ - "9.611200,9.601074,9.617161,9.562821,9.388281,9.026698,8.352989"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("8.190913,8.212172,8.272432,8.274850,8.267838,8.272663,8.287693", \ - "8.068220,8.093294,8.160027,8.151906,8.202231,8.228695,8.226520", \ - "7.970375,7.991512,7.987341,8.050775,8.001966,8.103672,8.151299", \ - "8.013970,7.993583,7.996041,8.037503,8.051339,7.967064,8.017666", \ - "8.580705,8.596229,8.572492,8.632328,8.548377,8.362445,8.435138", \ - "10.383630,10.350200,10.275740,10.156710,9.889631,9.706741,9.512283", \ - "13.366010,13.312960,13.182180,12.993750,12.584240,12.135140,11.611720"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.572511,2.597527,2.625297,2.683079,2.744415,2.848779,2.951882", \ - "2.508799,2.525028,2.560593,2.603631,2.670238,2.776260,2.880299", \ - "2.516205,2.529590,2.560383,2.594830,2.672409,2.747968,2.839668", \ - "3.019435,3.027122,3.015036,3.007653,3.001606,3.023043,3.055761", \ - "4.372113,4.333697,4.302018,4.245452,4.122263,3.951366,3.773931", \ - "6.412672,6.412979,6.376638,6.302541,6.133742,5.815466,5.354467", \ - "9.118653,9.120683,9.102345,9.066886,8.893154,8.586518,7.942477"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.745679,7.739151,7.742822,7.776373,7.821359,7.840838,7.840321", \ - "7.628582,7.625840,7.636018,7.701581,7.670817,7.736681,7.745709", \ - "7.494429,7.487656,7.492735,7.509560,7.604939,7.584152,7.540421", \ - "7.501048,7.490045,7.488529,7.542473,7.548357,7.551330,7.533065", \ - "8.097470,8.088088,8.115642,8.065205,7.974464,7.946919,7.862370", \ - "9.737871,9.685362,9.615533,9.460393,9.346764,9.167169,8.776915", \ - "12.638030,12.566540,12.459490,12.181910,11.830110,11.430140,10.876990"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.643533,2.668794,2.693802,2.730153,2.784161,2.870161,2.965440", \ - "2.578269,2.591185,2.620294,2.663554,2.724608,2.804264,2.884537", \ - "2.584419,2.594825,2.626961,2.649258,2.710112,2.773656,2.849788", \ - "3.055505,3.060147,3.047771,3.050945,3.052979,3.046400,3.061564", \ - "4.394966,4.392404,4.336801,4.262210,4.140435,3.961286,3.784959", \ - "6.505786,6.497973,6.452427,6.343274,6.143391,5.829185,5.354147", \ - "9.299174,9.293208,9.250722,9.148172,8.998122,8.596924,7.948510"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("8.852748,8.833993,8.889210,8.844541,8.916422,8.843155,8.809239", \ - "8.742382,8.751134,8.797432,8.788478,8.759013,8.826592,8.811167", \ - "8.625589,8.592832,8.651201,8.615303,8.660461,8.742172,8.773584", \ - "8.593301,8.582825,8.634557,8.645955,8.652563,8.610299,8.730669", \ - "9.120015,9.170415,9.154515,9.062259,9.006520,8.975614,8.789429", \ - "10.631560,10.587950,10.562490,10.488270,10.384320,10.201310,9.827624", \ - "13.464840,13.400420,13.254260,13.075330,12.742240,12.428620,11.797150"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.328664,2.341375,2.412325,2.466598,2.570043,2.701207,2.837685", \ - "2.275227,2.298732,2.347261,2.407096,2.512094,2.632975,2.778022", \ - "2.268553,2.309725,2.331719,2.410956,2.482069,2.609803,2.736692", \ - "2.852900,2.837193,2.818075,2.814829,2.850448,2.867189,2.926395", \ - "4.213115,4.202812,4.166680,4.079466,3.972476,3.814148,3.644210", \ - "6.312771,6.303106,6.268178,6.156629,6.007513,5.681605,5.232312", \ - "9.040817,9.040701,9.013116,8.986304,8.808676,8.463148,7.818792"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.729160,7.727542,7.743052,7.772294,7.763957,7.808841,7.778906", \ - "7.597392,7.611454,7.636678,7.698310,7.703863,7.762193,7.790087", \ - "7.470978,7.468400,7.468779,7.514532,7.511958,7.638722,7.694203", \ - "7.482541,7.477358,7.486374,7.520440,7.472228,7.462983,7.555602", \ - "7.992232,7.996565,8.019024,8.011839,7.963955,7.932142,7.819511", \ - "9.679539,9.632569,9.514881,9.432016,9.277301,9.103110,8.768984", \ - "12.511830,12.456770,12.351050,12.102180,11.821320,11.388240,10.889230"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.240249,2.246891,2.305625,2.373450,2.483470,2.604318,2.760074", \ - "2.164883,2.177303,2.219854,2.299205,2.395729,2.545502,2.682293", \ - "2.164038,2.182191,2.220645,2.293287,2.381110,2.502405,2.632307", \ - "2.721348,2.691172,2.709616,2.695455,2.721316,2.761440,2.806888", \ - "4.022982,3.992789,3.964413,3.912903,3.804229,3.641463,3.481400", \ - "6.018382,6.009614,5.944025,5.897021,5.738032,5.433806,4.994274", \ - "8.565691,8.560706,8.563890,8.528846,8.400051,8.068974,7.466315"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.199368,7.222299,7.239978,7.281617,7.271006,7.214008,7.176854", \ - "7.083777,7.110276,7.134665,7.176904,7.200610,7.184595,7.186957", \ - "6.968609,6.979270,6.996337,7.044603,7.079065,7.070652,7.211323", \ - "6.969513,6.988260,7.000663,7.008305,7.033578,7.046661,7.021784", \ - "7.475540,7.507101,7.537502,7.474843,7.330008,7.382625,7.270571", \ - "9.027675,9.012470,8.919723,8.804818,8.646406,8.532897,8.103419", \ - "11.846510,11.765940,11.637510,11.406940,11.111570,10.614750,10.068050"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.306137,2.358847,2.369017,2.457767,2.542093,2.657265,2.778016", \ - "2.266106,2.262134,2.303937,2.383355,2.466285,2.567750,2.690786", \ - "2.273378,2.279760,2.300518,2.338286,2.428599,2.526171,2.653517", \ - "2.772373,2.754601,2.755676,2.741911,2.755316,2.780536,2.830259", \ - "4.104577,4.067820,4.037846,3.953014,3.840881,3.658661,3.502963", \ - "6.131781,6.122095,6.062349,5.971243,5.770006,5.458845,4.992296", \ - "8.816981,8.790900,8.739637,8.676823,8.487181,8.125518,7.478870"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("8.300413,8.322345,8.369983,8.339375,8.397735,8.393477,8.449038", \ - "8.202642,8.227352,8.249103,8.282138,8.263628,8.252966,8.449690", \ - "8.065039,8.087732,8.116792,8.117689,8.106181,8.143233,8.195323", \ - "8.067558,8.087028,8.100255,8.080615,8.140842,8.132598,8.114018", \ - "8.580774,8.589450,8.559224,8.499220,8.493576,8.407657,8.383532", \ - "9.946801,9.940721,9.864669,9.813150,9.734347,9.498599,9.158492", \ - "12.654510,12.602160,12.462780,12.254620,12.038000,11.677900,11.237390"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.441055,2.458534,2.496027,2.538816,2.633527,2.739742,2.847671", \ - "2.358299,2.393277,2.406497,2.459915,2.550910,2.660436,2.785826", \ - "2.370909,2.388127,2.428364,2.473203,2.543156,2.632472,2.741725", \ - "2.890515,2.896396,2.888272,2.877216,2.884973,2.908250,2.936526", \ - "4.269849,4.252533,4.210493,4.117133,4.005136,3.820669,3.661862", \ - "6.409890,6.410839,6.343453,6.221997,6.028567,5.710565,5.223298", \ - "9.257680,9.232397,9.199486,9.091706,8.914823,8.519375,7.820753"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("8.830660,8.827358,8.843507,8.894214,8.922097,8.882393,8.884444", \ - "8.728885,8.736419,8.744492,8.770569,8.840926,8.883098,8.887791", \ - "8.567973,8.565269,8.611118,8.629320,8.641957,8.785553,8.815846", \ - "8.583683,8.586367,8.587047,8.639229,8.598422,8.689898,8.758703", \ - "9.082261,9.083096,9.127928,9.111937,8.969648,8.962548,8.917912", \ - "10.578730,10.539940,10.495770,10.397070,10.320890,10.053490,9.856242", \ - "13.348050,13.290340,13.150510,13.007130,12.674630,12.235850,11.913250"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.306137,2.358847,2.369017,2.457767,2.542093,2.657265,2.778016", \ - "2.266106,2.262134,2.303937,2.383355,2.466285,2.567750,2.690786", \ - "2.273378,2.279760,2.300518,2.338286,2.428599,2.526171,2.653517", \ - "2.772373,2.754601,2.755676,2.741911,2.755316,2.780536,2.830259", \ - "4.104577,4.067820,4.037846,3.953014,3.840881,3.658661,3.502963", \ - "6.131781,6.122095,6.062349,5.971243,5.770006,5.458845,4.992296", \ - "8.816981,8.790900,8.739637,8.676823,8.487181,8.125518,7.478870"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("8.300413,8.322345,8.369983,8.339375,8.397735,8.393477,8.449038", \ - "8.202642,8.227352,8.249103,8.282138,8.263628,8.252966,8.449690", \ - "8.065039,8.087732,8.116792,8.117689,8.106181,8.143233,8.195323", \ - "8.067558,8.087028,8.100255,8.080615,8.140842,8.132598,8.114018", \ - "8.580774,8.589450,8.559224,8.499220,8.493576,8.407657,8.383532", \ - "9.946801,9.940721,9.864669,9.813150,9.734347,9.498599,9.158492", \ - "12.654510,12.602160,12.462780,12.254620,12.038000,11.677900,11.237390"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.411695,2.416106,2.457832,2.519163,2.574854,2.688035,2.789778", \ - "2.336227,2.340802,2.387654,2.433706,2.515289,2.605276,2.712035", \ - "2.343996,2.361293,2.383525,2.402302,2.487109,2.560603,2.661871", \ - "2.816699,2.810723,2.805569,2.799568,2.793654,2.819135,2.844501", \ - "4.141703,4.117834,4.073856,3.967878,3.858951,3.668883,3.514909", \ - "6.218374,6.201141,6.122057,5.989576,5.801957,5.466871,5.003739", \ - "8.982361,8.947856,8.891402,8.767487,8.560968,8.150472,7.479498"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("9.401120,9.422770,9.439590,9.483246,9.469626,9.472794,9.571907", \ - "9.362032,9.340156,9.360733,9.366096,9.432206,9.472779,9.521250", \ - "9.196124,9.199873,9.220200,9.221106,9.296818,9.399572,9.377067", \ - "9.167600,9.187691,9.202066,9.220453,9.251004,9.301373,9.320077", \ - "9.617147,9.632338,9.635491,9.576025,9.508243,9.586234,9.544780", \ - "10.897110,10.906070,10.836630,10.820220,10.815940,10.500750,10.420460", \ - "13.485770,13.416350,13.355280,13.196330,12.968620,12.660560,12.163210"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.706204,2.717073,2.740141,2.804570,2.856473,2.953837,3.036083", \ - "2.655882,2.657556,2.688422,2.727364,2.797194,2.882799,2.980618", \ - "2.610951,2.618021,2.643949,2.698126,2.758806,2.836088,2.937828", \ - "2.956332,2.949441,2.946182,2.950070,2.984056,3.011248,3.067142", \ - "4.074250,4.066011,4.027637,3.993744,3.904702,3.771687,3.646785", \ - "5.843075,5.849803,5.801839,5.782028,5.653783,5.415654,5.050248", \ - "8.155918,8.187828,8.187847,8.175089,8.092248,7.866092,7.369669"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("9.164418,9.181290,9.201604,9.215570,9.212523,9.135774,9.234205", \ - "9.083582,9.102171,9.092439,9.147137,9.171056,9.119113,9.253597", \ - "9.035919,9.054166,9.073125,9.052786,9.029690,9.149794,9.071117", \ - "9.046760,9.064379,9.052006,9.061146,9.017857,9.071331,9.050989", \ - "9.644319,9.663898,9.656612,9.650127,9.555616,9.535128,9.381148", \ - "11.422650,11.400260,11.324570,11.197590,11.055790,10.996810,10.647680", \ - "14.415600,14.365000,14.252330,14.111980,13.883830,13.452150,12.908410"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.573742,2.590224,2.626183,2.662213,2.749305,2.846235,2.951069", \ - "2.512358,2.528429,2.563719,2.603746,2.685760,2.776867,2.872786", \ - "2.463571,2.478593,2.512209,2.561973,2.632850,2.725137,2.824873", \ - "2.789941,2.803716,2.799873,2.796798,2.829897,2.876875,2.940718", \ - "3.871629,3.849417,3.822267,3.794556,3.714552,3.606459,3.476561", \ - "5.534503,5.520557,5.507505,5.472477,5.369687,5.150816,4.807765", \ - "7.694267,7.720695,7.727312,7.685424,7.670468,7.464532,7.013098"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("8.707141,8.709464,8.708012,8.739057,8.751919,8.718618,8.593363", \ - "8.645048,8.636270,8.650219,8.656923,8.642215,8.687099,8.550501", \ - "8.561021,8.569377,8.552068,8.609431,8.632567,8.514596,8.542685", \ - "8.572770,8.561595,8.558399,8.549567,8.568281,8.615138,8.661197", \ - "9.123152,9.172706,9.155948,9.123275,9.069867,8.969958,8.942587", \ - "10.791360,10.750760,10.700530,10.614030,10.517220,10.334910,10.045140", \ - "13.635470,13.592110,13.488080,13.363420,13.040300,12.712450,12.186040"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.644769,2.657768,2.694150,2.724500,2.799023,2.878611,2.963929", \ - "2.581439,2.594157,2.622974,2.661089,2.724011,2.804076,2.882750", \ - "2.532359,2.544289,2.571691,2.612315,2.667685,2.749604,2.834240", \ - "2.839694,2.832487,2.825648,2.826184,2.873387,2.910331,2.951409", \ - "3.899817,3.910206,3.875623,3.810714,3.733008,3.616082,3.493971", \ - "5.631445,5.639375,5.589080,5.547155,5.414757,5.166611,4.812187", \ - "7.887815,7.905896,7.900031,7.834950,7.745125,7.513257,7.007943"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("9.807905,9.797702,9.797356,9.787821,9.773380,9.853424,9.738083", \ - "9.739359,9.730360,9.764180,9.784222,9.749992,9.812690,9.838582", \ - "9.667541,9.646382,9.700811,9.700569,9.659194,9.788566,9.655263", \ - "9.661852,9.650782,9.673409,9.665482,9.702369,9.763589,9.571128", \ - "10.206740,10.180410,10.166130,10.158180,10.143610,10.042000,9.891427", \ - "11.716370,11.681800,11.642070,11.600730,11.531690,11.332170,10.999760", \ - "14.493920,14.489260,14.411430,14.257310,13.997080,13.688710,13.062310"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.299629,2.325557,2.386128,2.477819,2.570458,2.694140,2.836230", \ - "2.267245,2.281097,2.351516,2.414442,2.508682,2.641188,2.778080", \ - "2.210054,2.244958,2.277114,2.357233,2.444150,2.579130,2.716487", \ - "2.600601,2.595066,2.605803,2.603087,2.639812,2.729540,2.819133", \ - "3.702079,3.691300,3.669070,3.607865,3.546104,3.450514,3.335208", \ - "5.406375,5.371834,5.370835,5.340371,5.210758,5.019520,4.671426", \ - "7.613709,7.631396,7.631459,7.602168,7.543705,7.334339,6.874299"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("8.654814,8.665845,8.686609,8.712353,8.739385,8.707664,8.716834", \ - "8.577159,8.571517,8.638504,8.628150,8.630515,8.674573,8.728443", \ - "8.530484,8.531179,8.563923,8.578598,8.593575,8.672031,8.701003", \ - "8.563342,8.557230,8.563512,8.552549,8.546983,8.654810,8.605032", \ - "9.083089,9.085140,9.127354,9.050117,9.027077,9.014381,8.892321", \ - "10.669150,10.633650,10.593520,10.470620,10.386510,10.209610,9.920238", \ - "13.501670,13.447660,13.371550,13.246180,12.967040,12.638510,12.094240"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.208802,2.233443,2.306778,2.367118,2.481111,2.604381,2.755182", \ - "2.149384,2.173302,2.240102,2.311101,2.415907,2.535618,2.681463", \ - "2.108533,2.127773,2.184870,2.249968,2.331142,2.476693,2.613267", \ - "2.476566,2.463147,2.463907,2.489396,2.539868,2.608683,2.705938", \ - "3.517851,3.494883,3.506217,3.475025,3.413990,3.308551,3.200686", \ - "5.084563,5.107641,5.109851,5.080128,4.991783,4.792192,4.473301", \ - "7.183152,7.143057,7.209429,7.195840,7.160636,6.982258,6.553391"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("8.180443,8.153289,8.173071,8.190395,8.202240,8.230831,8.280280", \ - "8.107382,8.127588,8.142650,8.112097,8.157088,8.132541,8.095181", \ - "8.027584,8.047359,8.062091,8.087454,8.051075,8.131167,8.083460", \ - "8.048038,8.066353,8.077499,8.080029,8.099662,8.129358,8.023149", \ - "8.580728,8.588368,8.557259,8.529397,8.493577,8.449877,8.299754", \ - "10.069980,10.032910,10.004890,9.929997,9.877063,9.563432,9.413976", \ - "12.801240,12.733010,12.671780,12.547520,12.322490,11.911220,11.455650"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.342331,2.341848,2.372589,2.446939,2.541689,2.648910,2.777843", \ - "2.245917,2.268766,2.306865,2.358801,2.465282,2.569975,2.700078", \ - "2.199711,2.225479,2.254183,2.326854,2.400918,2.518335,2.631682", \ - "2.542459,2.535895,2.538087,2.542649,2.569691,2.654625,2.729521", \ - "3.598119,3.574024,3.540076,3.509371,3.438926,3.325329,3.225333", \ - "5.260091,5.212155,5.203496,5.153342,5.030337,4.816947,4.471333", \ - "7.406969,7.409339,7.394676,7.368367,7.275052,7.044276,6.573717"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("9.273399,9.292462,9.259365,9.267214,9.326836,9.281090,9.404502", \ - "9.206983,9.229623,9.242795,9.258739,9.250239,9.239093,9.236864", \ - "9.133113,9.147865,9.177742,9.182214,9.165244,9.206890,9.218699", \ - "9.142456,9.161333,9.174073,9.178430,9.200632,9.155740,9.181326", \ - "9.614120,9.628155,9.629915,9.577566,9.564262,9.457481,9.459719", \ - "11.029640,10.993470,10.980890,10.960080,10.918190,10.670930,10.464680", \ - "13.689610,13.625660,13.571880,13.426080,13.252320,12.884710,12.525450"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.442303,2.459605,2.496878,2.532022,2.624216,2.725522,2.845644", \ - "2.360989,2.372646,2.402545,2.488522,2.553268,2.674474,2.788182", \ - "2.318382,2.316616,2.383785,2.435611,2.499509,2.604987,2.724482", \ - "2.644187,2.637650,2.633621,2.649497,2.706151,2.762776,2.838668", \ - "3.769169,3.749555,3.712551,3.668906,3.589444,3.464392,3.354476", \ - "5.510326,5.517012,5.460498,5.395850,5.261295,5.040508,4.663100", \ - "7.807855,7.822763,7.811504,7.747368,7.632725,7.388822,6.876550"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("9.747106,9.740092,9.746964,9.763417,9.788424,9.811998,9.870859", \ - "9.700557,9.680360,9.737501,9.760104,9.739401,9.808953,9.858453", \ - "9.629802,9.624563,9.634052,9.661557,9.723190,9.766887,9.820010", \ - "9.619352,9.653118,9.659650,9.687027,9.708483,9.731430,9.729936", \ - "10.126020,10.173110,10.132660,10.145440,10.128020,10.058070,9.897891", \ - "11.599270,11.584080,11.540080,11.536410,11.435790,11.213920,10.982400", \ - "14.369680,14.319450,14.250690,14.143530,13.848830,13.650660,13.060200"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.342331,2.341848,2.372589,2.446939,2.541689,2.648910,2.777843", \ - "2.245917,2.268766,2.306865,2.358801,2.465282,2.569975,2.700078", \ - "2.199711,2.225479,2.254183,2.326854,2.400918,2.518335,2.631682", \ - "2.542459,2.535895,2.538087,2.542649,2.569691,2.654625,2.729521", \ - "3.598119,3.574024,3.540076,3.509371,3.438926,3.325329,3.225333", \ - "5.260091,5.212155,5.203496,5.153342,5.030337,4.816947,4.471333", \ - "7.406969,7.409339,7.394676,7.368367,7.275052,7.044276,6.573717"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("9.273399,9.292462,9.259365,9.267214,9.326836,9.281090,9.404502", \ - "9.206983,9.229623,9.242795,9.258739,9.250239,9.239093,9.236864", \ - "9.133113,9.147865,9.177742,9.182214,9.165244,9.206890,9.218699", \ - "9.142456,9.161333,9.174073,9.178430,9.200632,9.155740,9.181326", \ - "9.614120,9.628155,9.629915,9.577566,9.564262,9.457481,9.459719", \ - "11.029640,10.993470,10.980890,10.960080,10.918190,10.670930,10.464680", \ - "13.689610,13.625660,13.571880,13.426080,13.252320,12.884710,12.525450"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.411471,2.417091,2.441213,2.521209,2.580417,2.682300,2.785197", \ - "2.337471,2.344284,2.381452,2.431467,2.507847,2.604479,2.718781", \ - "2.286408,2.293953,2.340454,2.362748,2.437765,2.534126,2.644373", \ - "2.577338,2.578748,2.577449,2.599437,2.625969,2.674232,2.737160", \ - "3.658279,3.636083,3.603776,3.545972,3.467452,3.333586,3.233837", \ - "5.372948,5.341251,5.282932,5.189862,5.079290,4.836933,4.484146", \ - "7.571971,7.580087,7.560456,7.482792,7.370233,7.071251,6.594408"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("10.369250,10.389150,10.404010,10.389750,10.381400,10.471120,10.487150", \ - "10.307460,10.328360,10.344570,10.374620,10.364250,10.381450,10.493060", \ - "10.229230,10.250390,10.267070,10.269050,10.300280,10.385980,10.231700", \ - "10.239340,10.259180,10.273390,10.276520,10.300240,10.248550,10.423590", \ - "10.690700,10.659620,10.662120,10.667070,10.652730,10.646240,10.500500", \ - "12.014850,12.024680,11.970600,11.984680,11.906060,11.694900,11.489170", \ - "14.594760,14.533930,14.488370,14.403150,14.189780,14.005780,13.532760"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI222_X2 - Cell Description : Combinational cell (AOI222_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI222_X2) { - - drive_strength : 2; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 281.748900; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 60.144125; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 196.501250; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 65.128127; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 187.134125; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 196.501500; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 332.827000; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 201.483129; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 265.965000; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 65.128252; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 201.483004; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 70.114508; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 265.925750; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 192.159875; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 271.855125; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 271.814875; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 321.852964; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 196.501250; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 332.826625; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 201.482879; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 266.915625; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 332.827875; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 469.110750; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 337.803631; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 345.973750; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 201.483129; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 337.803631; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 206.469010; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 345.934500; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 270.585000; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 350.281125; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 350.241000; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 402.281269; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 65.128381; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 201.483133; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 70.114635; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 266.876250; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 201.483508; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 337.804013; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 206.469387; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 345.934750; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 70.114760; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 206.468137; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 75.103641; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 345.869500; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 270.545250; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 350.241375; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 350.175750; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 402.252769; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 273.174000; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 352.794000; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 352.725875; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 322.266190; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 352.795125; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 432.415875; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 432.347500; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 402.402711; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 352.726875; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 432.347375; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 432.253500; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 402.373954; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 323.138138; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 402.839162; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 402.810275; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 481.914163; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.230863; - fall_capacitance : 2.741273; - rise_capacitance : 3.230863; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.324314; - fall_capacitance : 2.657470; - rise_capacitance : 3.324314; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.071942; - fall_capacitance : 2.880712; - rise_capacitance : 3.071942; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.505620; - fall_capacitance : 3.116688; - rise_capacitance : 3.505620; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.058196; - fall_capacitance : 3.058196; - rise_capacitance : 2.990449; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.358402; - fall_capacitance : 3.243489; - rise_capacitance : 3.358402; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.634800; - function : "!(((A1 & A2) | (B1 & B2)) | (C1 & C2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006175,0.006532,0.007182,0.008472,0.011027,0.016100,0.026204", \ - "0.006861,0.007216,0.007867,0.009160,0.011728,0.016821,0.026945", \ - "0.008747,0.009223,0.010067,0.011659,0.014540,0.019592,0.029610", \ - "0.009266,0.009912,0.011057,0.013205,0.017088,0.023863,0.035231", \ - "0.007969,0.008795,0.010266,0.013010,0.017945,0.026455,0.040663", \ - "0.004648,0.005651,0.007439,0.010767,0.016765,0.027108,0.044192", \ - "-0.000833,0.000342,0.002426,0.006315,0.013348,0.025501,0.045571"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008433,0.009010,0.010072,0.012196,0.016419,0.024784,0.041373", \ - "0.009154,0.009713,0.010755,0.012858,0.017081,0.025482,0.042126", \ - "0.013159,0.013756,0.014816,0.016771,0.020641,0.028748,0.045188", \ - "0.018759,0.019512,0.020842,0.023391,0.028138,0.036633,0.052343", \ - "0.025821,0.026736,0.028339,0.031370,0.036967,0.047070,0.064761", \ - "0.034210,0.035319,0.037230,0.040835,0.047394,0.058977,0.079233", \ - "0.043980,0.045265,0.047496,0.051706,0.059333,0.072615,0.095293"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.003786,0.004087,0.004635,0.005734,0.007929,0.012318,0.021090", \ - "0.003784,0.004084,0.004633,0.005734,0.007930,0.012317,0.021091", \ - "0.005748,0.005995,0.006444,0.007318,0.008891,0.012518,0.021092", \ - "0.009491,0.009784,0.010303,0.011294,0.013163,0.016653,0.023100", \ - "0.014771,0.015144,0.015792,0.017006,0.019208,0.023084,0.030122", \ - "0.021494,0.021957,0.022754,0.024254,0.026928,0.031490,0.039210", \ - "0.029745,0.030287,0.031231,0.033026,0.036236,0.041649,0.050475"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006959,0.007524,0.008554,0.010585,0.014550,0.022304,0.037644", \ - "0.006835,0.007409,0.008453,0.010517,0.014529,0.022295,0.037646", \ - "0.008043,0.008424,0.009172,0.010795,0.014358,0.022213,0.037646", \ - "0.011238,0.011710,0.012574,0.014270,0.017524,0.023588,0.037566", \ - "0.015715,0.016196,0.017080,0.018839,0.022314,0.028994,0.041181", \ - "0.021680,0.022193,0.023144,0.025021,0.028629,0.035589,0.048850", \ - "0.029115,0.029677,0.030713,0.032763,0.036659,0.043908,0.057714"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006175,0.006531,0.007181,0.008471,0.011026,0.016099,0.026201", \ - "0.006873,0.007227,0.007878,0.009171,0.011738,0.016830,0.026953", \ - "0.008810,0.009285,0.010128,0.011717,0.014592,0.019639,0.029655", \ - "0.009118,0.009769,0.010932,0.013105,0.017029,0.023852,0.035251", \ - "0.007134,0.007986,0.009492,0.012302,0.017359,0.026046,0.040453", \ - "0.002642,0.003686,0.005534,0.008983,0.015190,0.025843,0.043351", \ - "-0.004475,-0.003246,-0.001078,0.002977,0.010293,0.022904,0.043634"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009022,0.009699,0.010972,0.013560,0.018816,0.029368,0.050327", \ - "0.009729,0.010391,0.011624,0.014174,0.019417,0.030011,0.051040", \ - "0.014153,0.014814,0.015988,0.018167,0.022942,0.033145,0.053935", \ - "0.020711,0.021549,0.023035,0.025893,0.031251,0.040944,0.060823", \ - "0.029081,0.030082,0.031844,0.035202,0.041477,0.052959,0.073267", \ - "0.039209,0.040405,0.042486,0.046415,0.053637,0.066634,0.089776", \ - "0.051155,0.052547,0.054952,0.059509,0.067816,0.082473,0.108080"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.003788,0.004087,0.004636,0.005734,0.007929,0.012319,0.021091", \ - "0.003784,0.004084,0.004634,0.005734,0.007930,0.012316,0.021091", \ - "0.005723,0.005970,0.006420,0.007294,0.008872,0.012513,0.021092", \ - "0.009406,0.009707,0.010238,0.011250,0.013143,0.016647,0.023094", \ - "0.014616,0.015001,0.015671,0.016926,0.019182,0.023143,0.030199", \ - "0.021330,0.021805,0.022633,0.024191,0.026952,0.031621,0.039443", \ - "0.029591,0.030153,0.031150,0.033018,0.036333,0.041892,0.050875"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008526,0.009300,0.010699,0.013442,0.018704,0.028687,0.047996", \ - "0.008304,0.009084,0.010507,0.013295,0.018621,0.028666,0.048002", \ - "0.009135,0.009682,0.010756,0.013078,0.018062,0.028459,0.047987", \ - "0.012393,0.012974,0.014044,0.016179,0.020167,0.028682,0.047720", \ - "0.016718,0.017289,0.018349,0.020494,0.024791,0.033148,0.049333", \ - "0.022555,0.023145,0.024243,0.026426,0.030738,0.039315,0.055782", \ - "0.029882,0.030509,0.031674,0.033987,0.038461,0.047109,0.064143"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006353,0.006711,0.007367,0.008667,0.011243,0.016357,0.026538", \ - "0.007051,0.007408,0.008063,0.009368,0.011955,0.017088,0.027290", \ - "0.009095,0.009565,0.010401,0.011977,0.014836,0.019895,0.029992", \ - "0.009645,0.010286,0.011428,0.013569,0.017458,0.024250,0.035622", \ - "0.008112,0.008933,0.010392,0.013133,0.018101,0.026699,0.041040", \ - "0.004384,0.005361,0.007111,0.010414,0.016436,0.026901,0.044248", \ - "-0.001555,-0.000450,0.001537,0.005312,0.012290,0.024540,0.044956"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012343,0.013062,0.014387,0.017046,0.022363,0.032926,0.053862", \ - "0.013009,0.013716,0.015025,0.017669,0.022988,0.033589,0.054588", \ - "0.017128,0.017741,0.018900,0.021303,0.026324,0.036662,0.057464", \ - "0.024604,0.025388,0.026793,0.029505,0.034629,0.044189,0.064252", \ - "0.033695,0.034617,0.036261,0.039431,0.045452,0.056588,0.076482", \ - "0.044671,0.045748,0.047652,0.051300,0.058146,0.070729,0.093408", \ - "0.057503,0.058749,0.060946,0.065139,0.072936,0.087013,0.112090"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005105,0.005419,0.005989,0.007114,0.009334,0.013739,0.022521", \ - "0.005102,0.005416,0.005988,0.007114,0.009334,0.013738,0.022523", \ - "0.007313,0.007536,0.007941,0.008701,0.010250,0.013922,0.022523", \ - "0.011779,0.012012,0.012427,0.013267,0.014938,0.018197,0.024498", \ - "0.018009,0.018273,0.018761,0.019729,0.021603,0.025141,0.031831", \ - "0.025856,0.026178,0.026754,0.027913,0.030131,0.034176,0.041451", \ - "0.035300,0.035674,0.036350,0.037724,0.040348,0.045098,0.053326"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011141,0.011889,0.013243,0.015901,0.021036,0.030908,0.050198", \ - "0.010984,0.011745,0.013115,0.015803,0.020991,0.030896,0.050211", \ - "0.010903,0.011548,0.012766,0.015283,0.020562,0.030786,0.050201", \ - "0.013961,0.014569,0.015664,0.017784,0.021798,0.030663,0.050028", \ - "0.018041,0.018653,0.019780,0.022016,0.026406,0.034691,0.051179", \ - "0.023652,0.024291,0.025447,0.027732,0.032205,0.040940,0.057326", \ - "0.030788,0.031477,0.032713,0.035127,0.039753,0.048616,0.065796"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006175,0.006531,0.007181,0.008471,0.011026,0.016099,0.026201", \ - "0.006873,0.007227,0.007878,0.009171,0.011738,0.016830,0.026953", \ - "0.008810,0.009285,0.010128,0.011717,0.014592,0.019639,0.029655", \ - "0.009118,0.009769,0.010932,0.013105,0.017029,0.023852,0.035251", \ - "0.007134,0.007986,0.009492,0.012302,0.017359,0.026046,0.040453", \ - "0.002642,0.003686,0.005534,0.008983,0.015190,0.025843,0.043351", \ - "-0.004475,-0.003246,-0.001078,0.002977,0.010293,0.022904,0.043634"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009022,0.009699,0.010972,0.013560,0.018816,0.029368,0.050327", \ - "0.009729,0.010391,0.011624,0.014174,0.019417,0.030011,0.051040", \ - "0.014153,0.014814,0.015988,0.018167,0.022942,0.033145,0.053935", \ - "0.020711,0.021549,0.023035,0.025893,0.031251,0.040944,0.060823", \ - "0.029081,0.030082,0.031844,0.035202,0.041477,0.052959,0.073267", \ - "0.039209,0.040405,0.042486,0.046415,0.053637,0.066634,0.089776", \ - "0.051155,0.052547,0.054952,0.059509,0.067816,0.082473,0.108080"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.003788,0.004087,0.004636,0.005734,0.007929,0.012319,0.021091", \ - "0.003784,0.004084,0.004634,0.005734,0.007930,0.012316,0.021091", \ - "0.005723,0.005970,0.006420,0.007294,0.008872,0.012513,0.021092", \ - "0.009406,0.009707,0.010238,0.011250,0.013143,0.016647,0.023094", \ - "0.014616,0.015001,0.015671,0.016926,0.019182,0.023143,0.030199", \ - "0.021330,0.021805,0.022633,0.024191,0.026952,0.031621,0.039443", \ - "0.029591,0.030153,0.031150,0.033018,0.036333,0.041892,0.050875"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008526,0.009300,0.010699,0.013442,0.018704,0.028687,0.047996", \ - "0.008304,0.009084,0.010507,0.013295,0.018621,0.028666,0.048002", \ - "0.009135,0.009682,0.010756,0.013078,0.018062,0.028459,0.047987", \ - "0.012393,0.012974,0.014044,0.016179,0.020167,0.028682,0.047720", \ - "0.016718,0.017289,0.018349,0.020494,0.024791,0.033148,0.049333", \ - "0.022555,0.023145,0.024243,0.026426,0.030738,0.039315,0.055782", \ - "0.029882,0.030509,0.031674,0.033987,0.038461,0.047109,0.064143"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006187,0.006544,0.007193,0.008483,0.011038,0.016110,0.026211", \ - "0.006915,0.007268,0.007919,0.009212,0.011778,0.016869,0.026989", \ - "0.008852,0.009328,0.010174,0.011764,0.014639,0.019686,0.029705", \ - "0.008923,0.009591,0.010767,0.012976,0.016948,0.023822,0.035254", \ - "0.006367,0.007241,0.008789,0.011671,0.016841,0.025690,0.040261", \ - "0.000936,0.002015,0.003920,0.007477,0.013870,0.024805,0.042666", \ - "-0.007519,-0.006240,-0.003991,0.000210,0.007781,0.020781,0.042056"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010784,0.011645,0.013239,0.016440,0.022866,0.035607,0.060853", \ - "0.011330,0.012165,0.013721,0.016902,0.023335,0.036148,0.061487", \ - "0.015778,0.016481,0.017780,0.020570,0.026567,0.039027,0.064125", \ - "0.023017,0.023959,0.025635,0.028869,0.034962,0.046462,0.070706", \ - "0.032325,0.033428,0.035375,0.039115,0.046172,0.059181,0.082739", \ - "0.043788,0.045079,0.047336,0.051629,0.059601,0.074164,0.100382", \ - "0.057443,0.058954,0.061540,0.066463,0.075495,0.091649,0.120421"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.003787,0.004087,0.004636,0.005735,0.007930,0.012318,0.021091", \ - "0.003785,0.004085,0.004635,0.005734,0.007928,0.012318,0.021091", \ - "0.005704,0.005953,0.006401,0.007275,0.008857,0.012505,0.021092", \ - "0.009396,0.009697,0.010235,0.011260,0.013161,0.016658,0.023089", \ - "0.014609,0.014996,0.015683,0.016961,0.019252,0.023234,0.030279", \ - "0.021331,0.021817,0.022675,0.024257,0.027078,0.031803,0.039675", \ - "0.029623,0.030189,0.031227,0.033136,0.036528,0.042164,0.051247"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010925,0.011844,0.013506,0.016713,0.022827,0.034563,0.057608", \ - "0.010675,0.011613,0.013307,0.016577,0.022771,0.034561,0.057620", \ - "0.010874,0.011625,0.013060,0.016028,0.022322,0.034459,0.057629", \ - "0.013773,0.014499,0.015843,0.018463,0.023387,0.034183,0.057525", \ - "0.017731,0.018419,0.019700,0.022306,0.027548,0.037527,0.057974", \ - "0.023339,0.024020,0.025287,0.027840,0.032989,0.043334,0.062988", \ - "0.030515,0.031216,0.032516,0.035131,0.040291,0.050544,0.070959"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006366,0.006724,0.007379,0.008678,0.011254,0.016368,0.026548", \ - "0.007092,0.007450,0.008105,0.009409,0.011995,0.017127,0.027327", \ - "0.009138,0.009609,0.010446,0.012024,0.014883,0.019942,0.030041", \ - "0.009457,0.010109,0.011269,0.013444,0.017380,0.024221,0.035626", \ - "0.007358,0.008202,0.009701,0.012508,0.017591,0.026350,0.040853", \ - "0.002700,0.003710,0.005521,0.008932,0.015134,0.025873,0.043568", \ - "-0.004564,-0.003410,-0.001344,0.002578,0.009801,0.022439,0.043394"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014986,0.015872,0.017501,0.020748,0.027188,0.039920,0.065147", \ - "0.015502,0.016379,0.017998,0.021239,0.027700,0.040488,0.065794", \ - "0.019292,0.020077,0.021552,0.024575,0.030771,0.043311,0.068417", \ - "0.027444,0.028329,0.029919,0.033002,0.038817,0.050524,0.074888", \ - "0.037471,0.038499,0.040335,0.043898,0.050698,0.063339,0.086753", \ - "0.049765,0.050940,0.053026,0.057043,0.064662,0.078827,0.104550", \ - "0.064347,0.065690,0.068065,0.072618,0.081144,0.096755,0.125005"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005105,0.005419,0.005989,0.007114,0.009333,0.013738,0.022521", \ - "0.005102,0.005417,0.005988,0.007114,0.009333,0.013738,0.022522", \ - "0.007292,0.007514,0.007922,0.008681,0.010232,0.013916,0.022523", \ - "0.011782,0.012013,0.012440,0.013281,0.014958,0.018210,0.024495", \ - "0.018035,0.018305,0.018808,0.019792,0.021689,0.025243,0.031912", \ - "0.025923,0.026253,0.026850,0.028033,0.030283,0.034384,0.041688", \ - "0.035444,0.035814,0.036514,0.037926,0.040600,0.045421,0.053712"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014014,0.014887,0.016471,0.019559,0.025567,0.037244,0.060288", \ - "0.013870,0.014754,0.016363,0.019490,0.025546,0.037231,0.060301", \ - "0.013395,0.014218,0.015764,0.018928,0.025270,0.037203,0.060302", \ - "0.015886,0.016645,0.017916,0.020376,0.025638,0.036759,0.060256", \ - "0.019507,0.020245,0.021605,0.024315,0.029647,0.039568,0.060423", \ - "0.024788,0.025524,0.026873,0.029566,0.034915,0.045412,0.065039", \ - "0.031690,0.032455,0.033859,0.036611,0.041977,0.052503,0.073044"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006353,0.006711,0.007367,0.008667,0.011243,0.016357,0.026538", \ - "0.007051,0.007408,0.008063,0.009368,0.011955,0.017088,0.027290", \ - "0.009095,0.009565,0.010401,0.011977,0.014836,0.019895,0.029992", \ - "0.009645,0.010286,0.011428,0.013569,0.017458,0.024250,0.035622", \ - "0.008112,0.008933,0.010392,0.013133,0.018101,0.026699,0.041040", \ - "0.004384,0.005361,0.007111,0.010414,0.016436,0.026901,0.044248", \ - "-0.001555,-0.000450,0.001537,0.005312,0.012290,0.024540,0.044956"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012343,0.013062,0.014387,0.017046,0.022363,0.032926,0.053862", \ - "0.013009,0.013716,0.015025,0.017669,0.022988,0.033589,0.054588", \ - "0.017128,0.017741,0.018900,0.021303,0.026324,0.036662,0.057464", \ - "0.024604,0.025388,0.026793,0.029505,0.034629,0.044189,0.064252", \ - "0.033695,0.034617,0.036261,0.039431,0.045452,0.056588,0.076482", \ - "0.044671,0.045748,0.047652,0.051300,0.058146,0.070729,0.093408", \ - "0.057503,0.058749,0.060946,0.065139,0.072936,0.087013,0.112090"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005105,0.005419,0.005989,0.007114,0.009334,0.013739,0.022521", \ - "0.005102,0.005416,0.005988,0.007114,0.009334,0.013738,0.022523", \ - "0.007313,0.007536,0.007941,0.008701,0.010250,0.013922,0.022523", \ - "0.011779,0.012012,0.012427,0.013267,0.014938,0.018197,0.024498", \ - "0.018009,0.018273,0.018761,0.019729,0.021603,0.025141,0.031831", \ - "0.025856,0.026178,0.026754,0.027913,0.030131,0.034176,0.041451", \ - "0.035300,0.035674,0.036350,0.037724,0.040348,0.045098,0.053326"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011141,0.011889,0.013243,0.015901,0.021036,0.030908,0.050198", \ - "0.010984,0.011745,0.013115,0.015803,0.020991,0.030896,0.050211", \ - "0.010903,0.011548,0.012766,0.015283,0.020562,0.030786,0.050201", \ - "0.013961,0.014569,0.015664,0.017784,0.021798,0.030663,0.050028", \ - "0.018041,0.018653,0.019780,0.022016,0.026406,0.034691,0.051179", \ - "0.023652,0.024291,0.025447,0.027732,0.032205,0.040940,0.057326", \ - "0.030788,0.031477,0.032713,0.035127,0.039753,0.048616,0.065796"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006366,0.006724,0.007379,0.008678,0.011254,0.016368,0.026548", \ - "0.007092,0.007450,0.008105,0.009409,0.011995,0.017127,0.027327", \ - "0.009138,0.009609,0.010446,0.012024,0.014883,0.019942,0.030041", \ - "0.009457,0.010109,0.011269,0.013444,0.017380,0.024221,0.035626", \ - "0.007358,0.008202,0.009701,0.012508,0.017591,0.026350,0.040853", \ - "0.002700,0.003710,0.005521,0.008932,0.015134,0.025873,0.043568", \ - "-0.004564,-0.003410,-0.001344,0.002578,0.009801,0.022439,0.043394"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014986,0.015872,0.017501,0.020748,0.027188,0.039920,0.065147", \ - "0.015502,0.016379,0.017998,0.021239,0.027700,0.040488,0.065794", \ - "0.019292,0.020077,0.021552,0.024575,0.030771,0.043311,0.068417", \ - "0.027444,0.028329,0.029919,0.033002,0.038817,0.050524,0.074888", \ - "0.037471,0.038499,0.040335,0.043898,0.050698,0.063339,0.086753", \ - "0.049765,0.050940,0.053026,0.057043,0.064662,0.078827,0.104550", \ - "0.064347,0.065690,0.068065,0.072618,0.081144,0.096755,0.125005"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005105,0.005419,0.005989,0.007114,0.009333,0.013738,0.022521", \ - "0.005102,0.005417,0.005988,0.007114,0.009333,0.013738,0.022522", \ - "0.007292,0.007514,0.007922,0.008681,0.010232,0.013916,0.022523", \ - "0.011782,0.012013,0.012440,0.013281,0.014958,0.018210,0.024495", \ - "0.018035,0.018305,0.018808,0.019792,0.021689,0.025243,0.031912", \ - "0.025923,0.026253,0.026850,0.028033,0.030283,0.034384,0.041688", \ - "0.035444,0.035814,0.036514,0.037926,0.040600,0.045421,0.053712"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014014,0.014887,0.016471,0.019559,0.025567,0.037244,0.060288", \ - "0.013870,0.014754,0.016363,0.019490,0.025546,0.037231,0.060301", \ - "0.013395,0.014218,0.015764,0.018928,0.025270,0.037203,0.060302", \ - "0.015886,0.016645,0.017916,0.020376,0.025638,0.036759,0.060256", \ - "0.019507,0.020245,0.021605,0.024315,0.029647,0.039568,0.060423", \ - "0.024788,0.025524,0.026873,0.029566,0.034915,0.045412,0.065039", \ - "0.031690,0.032455,0.033859,0.036611,0.041977,0.052503,0.073044"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006554,0.006916,0.007575,0.008885,0.011483,0.016643,0.026908", \ - "0.007279,0.007639,0.008301,0.009616,0.012225,0.017402,0.027687", \ - "0.009443,0.009905,0.010734,0.012298,0.015140,0.020217,0.030402", \ - "0.010063,0.010696,0.011828,0.013967,0.017853,0.024651,0.036021", \ - "0.008615,0.009406,0.010818,0.013514,0.018460,0.027085,0.041494", \ - "0.005177,0.006061,0.007678,0.010807,0.016683,0.027110,0.044566", \ - "-0.000506,0.000467,0.002255,0.005720,0.012349,0.024397,0.044878"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.019312,0.020202,0.021834,0.025078,0.031507,0.044218,0.069416", \ - "0.019840,0.020727,0.022357,0.025604,0.032052,0.044811,0.070074", \ - "0.023247,0.024081,0.025628,0.028744,0.035014,0.047583,0.072683", \ - "0.031649,0.032493,0.034015,0.036974,0.042656,0.054615,0.079062", \ - "0.042333,0.043314,0.045076,0.048501,0.055079,0.067385,0.090766", \ - "0.055297,0.056400,0.058373,0.062203,0.069553,0.083373,0.108628", \ - "0.070651,0.071913,0.074116,0.078401,0.086550,0.101723,0.129496"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006559,0.006864,0.007423,0.008536,0.010753,0.015163,0.023956", \ - "0.006557,0.006862,0.007421,0.008536,0.010753,0.015164,0.023956", \ - "0.008861,0.009057,0.009385,0.010059,0.011623,0.015331,0.023956", \ - "0.013947,0.014132,0.014476,0.015193,0.016688,0.019727,0.025904", \ - "0.021040,0.021239,0.021615,0.022389,0.023985,0.027187,0.033522", \ - "0.029893,0.030128,0.030551,0.031451,0.033278,0.036862,0.043662", \ - "0.040413,0.040667,0.041176,0.042230,0.044373,0.048512,0.056122"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.016868,0.017712,0.019250,0.022288,0.028231,0.039900,0.062972", \ - "0.016784,0.017646,0.019195,0.022248,0.028225,0.039895,0.062981", \ - "0.016170,0.017067,0.018723,0.021921,0.028069,0.039871,0.062968", \ - "0.017884,0.018566,0.019863,0.022523,0.027985,0.039440,0.062951", \ - "0.021524,0.022287,0.023670,0.026419,0.031745,0.041684,0.062935", \ - "0.026564,0.027331,0.028718,0.031491,0.036951,0.047494,0.067139", \ - "0.033298,0.034087,0.035512,0.038323,0.043815,0.054529,0.075117"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.007375,0.007729,0.008378,0.009664,0.012215,0.017284,0.027384", \ - "0.007939,0.008302,0.008964,0.010275,0.012861,0.017969,0.028106", \ - "0.009070,0.009497,0.010263,0.011730,0.014510,0.019705,0.029869", \ - "0.009126,0.009710,0.010745,0.012678,0.016160,0.022263,0.033156", \ - "0.007032,0.007799,0.009156,0.011684,0.016202,0.023914,0.036690", \ - "0.002470,0.003421,0.005104,0.008230,0.013829,0.023371,0.038909", \ - "-0.004775,-0.003636,-0.001633,0.002084,0.008753,0.020143,0.038681"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011111,0.011690,0.012753,0.014872,0.019083,0.027443,0.044048", \ - "0.011783,0.012359,0.013418,0.015534,0.019751,0.028127,0.044756", \ - "0.016028,0.016530,0.017471,0.019402,0.023388,0.031523,0.047941", \ - "0.022938,0.023610,0.024821,0.027158,0.031566,0.039558,0.055279", \ - "0.031666,0.032457,0.033865,0.036581,0.041724,0.051231,0.068169", \ - "0.042493,0.043406,0.045047,0.048165,0.054002,0.064692,0.083966", \ - "0.055489,0.056552,0.058430,0.062000,0.068626,0.080569,0.101796"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.003787,0.004085,0.004634,0.005734,0.007928,0.012318,0.021091", \ - "0.003789,0.004088,0.004635,0.005734,0.007930,0.012316,0.021092", \ - "0.004871,0.005114,0.005568,0.006510,0.008392,0.012440,0.021091", \ - "0.007806,0.008048,0.008471,0.009315,0.010982,0.014492,0.022049", \ - "0.012032,0.012323,0.012833,0.013810,0.015636,0.019019,0.025809", \ - "0.017246,0.017596,0.018216,0.019407,0.021597,0.025441,0.032282", \ - "0.023405,0.023815,0.024549,0.025979,0.028604,0.033136,0.040769"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009023,0.009577,0.010597,0.012601,0.016552,0.024328,0.039732", \ - "0.008940,0.009504,0.010538,0.012561,0.016531,0.024321,0.039728", \ - "0.009364,0.009829,0.010697,0.012499,0.016308,0.024266,0.039733", \ - "0.012428,0.012929,0.013818,0.015548,0.018714,0.025168,0.039615", \ - "0.016128,0.016670,0.017663,0.019604,0.023311,0.030154,0.042605", \ - "0.020932,0.021536,0.022610,0.024702,0.028692,0.036198,0.049854", \ - "0.026941,0.027600,0.028801,0.031086,0.035373,0.043309,0.058038"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.007375,0.007729,0.008377,0.009663,0.012214,0.017282,0.027381", \ - "0.007949,0.008312,0.008975,0.010285,0.012870,0.017978,0.028114", \ - "0.009147,0.009573,0.010335,0.011798,0.014572,0.019760,0.029919", \ - "0.009140,0.009726,0.010764,0.012702,0.016194,0.022312,0.033214", \ - "0.006624,0.007402,0.008777,0.011337,0.015914,0.023716,0.036602", \ - "0.001203,0.002179,0.003901,0.007103,0.012830,0.022572,0.038375", \ - "-0.007337,-0.006157,-0.004092,-0.000255,0.006621,0.018339,0.037328"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012268,0.012973,0.014280,0.016908,0.022189,0.032731,0.053687", \ - "0.012898,0.013596,0.014893,0.017510,0.022792,0.033354,0.054343", \ - "0.017296,0.017902,0.019047,0.021423,0.026395,0.036661,0.057396", \ - "0.025340,0.026096,0.027460,0.030105,0.035115,0.044570,0.064516", \ - "0.035314,0.036197,0.037777,0.040845,0.046697,0.057580,0.077199", \ - "0.047697,0.048708,0.050514,0.053987,0.060550,0.072748,0.094938", \ - "0.062657,0.063815,0.065870,0.069791,0.077138,0.090568,0.114897"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.003788,0.004085,0.004634,0.005734,0.007928,0.012318,0.021091", \ - "0.003791,0.004088,0.004637,0.005734,0.007930,0.012316,0.021093", \ - "0.004852,0.005097,0.005554,0.006496,0.008382,0.012436,0.021092", \ - "0.007719,0.007960,0.008398,0.009250,0.010942,0.014471,0.022040", \ - "0.011830,0.012124,0.012648,0.013653,0.015522,0.018973,0.025811", \ - "0.016955,0.017310,0.017949,0.019176,0.021417,0.025369,0.032308", \ - "0.023048,0.023464,0.024223,0.025695,0.028385,0.033034,0.040802"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011384,0.012131,0.013490,0.016160,0.021327,0.031257,0.050627", \ - "0.011209,0.011973,0.013351,0.016058,0.021277,0.031256,0.050620", \ - "0.011192,0.011845,0.013070,0.015588,0.020865,0.031115,0.050627", \ - "0.014069,0.014676,0.015789,0.017910,0.022054,0.031020,0.050449", \ - "0.017639,0.018293,0.019487,0.021829,0.026354,0.034763,0.051528", \ - "0.022277,0.022978,0.024234,0.026701,0.031479,0.040593,0.057285", \ - "0.028124,0.028878,0.030227,0.032843,0.037840,0.047332,0.065223"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.007552,0.007909,0.008563,0.009858,0.012430,0.017540,0.027719", \ - "0.008129,0.008495,0.009163,0.010482,0.013088,0.018237,0.028452", \ - "0.009377,0.009801,0.010561,0.012023,0.014807,0.020019,0.030257", \ - "0.009531,0.010109,0.011132,0.013050,0.016520,0.022629,0.033572", \ - "0.007301,0.008058,0.009406,0.011921,0.016443,0.024191,0.037050", \ - "0.002319,0.003261,0.004931,0.008052,0.013676,0.023309,0.039020", \ - "-0.005569,-0.004451,-0.002470,0.001220,0.007919,0.019444,0.038260"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.015730,0.016452,0.017783,0.020442,0.025745,0.036290,0.057225", \ - "0.016348,0.017067,0.018394,0.021050,0.026359,0.036922,0.057882", \ - "0.020340,0.021000,0.022231,0.024731,0.029829,0.040162,0.060912", \ - "0.028918,0.029639,0.030941,0.033476,0.038293,0.047868,0.067931", \ - "0.039480,0.040319,0.041827,0.044777,0.050441,0.061043,0.080439", \ - "0.052430,0.053388,0.055093,0.058398,0.064733,0.076634,0.098442", \ - "0.068040,0.069121,0.071047,0.074732,0.081759,0.094819,0.118746"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005105,0.005419,0.005989,0.007114,0.009334,0.013739,0.022522", \ - "0.005107,0.005420,0.005989,0.007114,0.009334,0.013738,0.022523", \ - "0.006285,0.006527,0.006978,0.007896,0.009773,0.013852,0.022524", \ - "0.009591,0.009786,0.010152,0.010904,0.012490,0.015940,0.023460", \ - "0.014439,0.014655,0.015059,0.015863,0.017471,0.020647,0.027316", \ - "0.020413,0.020664,0.021136,0.022084,0.023941,0.027436,0.034000", \ - "0.027424,0.027705,0.028252,0.029375,0.031567,0.035613,0.042825"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013837,0.014571,0.015899,0.018510,0.023598,0.033483,0.052843", \ - "0.013723,0.014465,0.015809,0.018444,0.023562,0.033473,0.052836", \ - "0.013304,0.014010,0.015305,0.017975,0.023283,0.033389,0.052833", \ - "0.015763,0.016375,0.017407,0.019483,0.023865,0.033071,0.052737", \ - "0.019373,0.020030,0.021223,0.023568,0.028062,0.036374,0.053435", \ - "0.023967,0.024669,0.025929,0.028418,0.033224,0.042333,0.058895", \ - "0.029782,0.030519,0.031869,0.034493,0.039530,0.049093,0.066962"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.007375,0.007729,0.008377,0.009663,0.012214,0.017282,0.027381", \ - "0.007949,0.008312,0.008975,0.010285,0.012870,0.017978,0.028114", \ - "0.009147,0.009573,0.010335,0.011798,0.014572,0.019760,0.029919", \ - "0.009140,0.009726,0.010764,0.012702,0.016194,0.022312,0.033214", \ - "0.006624,0.007402,0.008777,0.011337,0.015914,0.023716,0.036602", \ - "0.001203,0.002179,0.003901,0.007103,0.012830,0.022572,0.038375", \ - "-0.007337,-0.006157,-0.004092,-0.000255,0.006621,0.018339,0.037328"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012268,0.012973,0.014280,0.016908,0.022189,0.032731,0.053687", \ - "0.012898,0.013596,0.014893,0.017510,0.022792,0.033354,0.054343", \ - "0.017296,0.017902,0.019047,0.021423,0.026395,0.036661,0.057396", \ - "0.025340,0.026096,0.027460,0.030105,0.035115,0.044570,0.064516", \ - "0.035314,0.036197,0.037777,0.040845,0.046697,0.057580,0.077199", \ - "0.047697,0.048708,0.050514,0.053987,0.060550,0.072748,0.094938", \ - "0.062657,0.063815,0.065870,0.069791,0.077138,0.090568,0.114897"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.003788,0.004085,0.004634,0.005734,0.007928,0.012318,0.021091", \ - "0.003791,0.004088,0.004637,0.005734,0.007930,0.012316,0.021093", \ - "0.004852,0.005097,0.005554,0.006496,0.008382,0.012436,0.021092", \ - "0.007719,0.007960,0.008398,0.009250,0.010942,0.014471,0.022040", \ - "0.011830,0.012124,0.012648,0.013653,0.015522,0.018973,0.025811", \ - "0.016955,0.017310,0.017949,0.019176,0.021417,0.025369,0.032308", \ - "0.023048,0.023464,0.024223,0.025695,0.028385,0.033034,0.040802"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011384,0.012131,0.013490,0.016160,0.021327,0.031257,0.050627", \ - "0.011209,0.011973,0.013351,0.016058,0.021277,0.031256,0.050620", \ - "0.011192,0.011845,0.013070,0.015588,0.020865,0.031115,0.050627", \ - "0.014069,0.014676,0.015789,0.017910,0.022054,0.031020,0.050449", \ - "0.017639,0.018293,0.019487,0.021829,0.026354,0.034763,0.051528", \ - "0.022277,0.022978,0.024234,0.026701,0.031479,0.040593,0.057285", \ - "0.028124,0.028878,0.030227,0.032843,0.037840,0.047332,0.065223"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.007387,0.007741,0.008390,0.009675,0.012226,0.017293,0.027391", \ - "0.007991,0.008353,0.009015,0.010325,0.012910,0.018017,0.028150", \ - "0.009220,0.009645,0.010406,0.011867,0.014639,0.019823,0.029978", \ - "0.009087,0.009679,0.010726,0.012681,0.016196,0.022338,0.033254", \ - "0.006178,0.006970,0.008370,0.010975,0.015622,0.023521,0.036510", \ - "0.000046,0.001047,0.002813,0.006094,0.011952,0.021883,0.037922", \ - "-0.009546,-0.008329,-0.006199,-0.002246,0.004826,0.016838,0.036214"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014883,0.015754,0.017358,0.020565,0.026958,0.039655,0.064889", \ - "0.015362,0.016229,0.017828,0.021037,0.027447,0.040182,0.065449", \ - "0.019498,0.020270,0.021727,0.024709,0.030839,0.043280,0.068287", \ - "0.028187,0.029045,0.030594,0.033608,0.039309,0.050929,0.075136", \ - "0.039025,0.040016,0.041794,0.045265,0.051908,0.064303,0.087495", \ - "0.052546,0.053663,0.055662,0.059532,0.066910,0.080733,0.106002", \ - "0.069013,0.070267,0.072518,0.076822,0.084955,0.100020,0.127618"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.003787,0.004085,0.004634,0.005734,0.007930,0.012317,0.021091", \ - "0.003791,0.004087,0.004636,0.005734,0.007930,0.012317,0.021092", \ - "0.004833,0.005078,0.005535,0.006481,0.008371,0.012432,0.021092", \ - "0.007672,0.007920,0.008357,0.009222,0.010923,0.014464,0.022033", \ - "0.011742,0.012041,0.012578,0.013596,0.015490,0.018973,0.025827", \ - "0.016829,0.017190,0.017844,0.019088,0.021372,0.025352,0.032349", \ - "0.022892,0.023319,0.024095,0.025592,0.028313,0.033019,0.040870"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014301,0.015186,0.016773,0.019884,0.025913,0.037642,0.060763", \ - "0.014145,0.015037,0.016657,0.019804,0.025884,0.037647,0.060767", \ - "0.013738,0.014568,0.016113,0.019269,0.025625,0.037588,0.060767", \ - "0.016071,0.016841,0.018092,0.020635,0.025958,0.037165,0.060731", \ - "0.019286,0.020062,0.021480,0.024280,0.029710,0.039792,0.060873", \ - "0.023661,0.024469,0.025925,0.028817,0.034455,0.045256,0.065208", \ - "0.029287,0.030135,0.031657,0.034650,0.040441,0.051603,0.072715"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.007564,0.007921,0.008575,0.009871,0.012442,0.017552,0.027728", \ - "0.008169,0.008535,0.009203,0.010522,0.013127,0.018276,0.028489", \ - "0.009448,0.009872,0.010632,0.012093,0.014873,0.020081,0.030315", \ - "0.009479,0.010063,0.011096,0.013030,0.016523,0.022655,0.033613", \ - "0.006852,0.007625,0.008997,0.011560,0.016154,0.023999,0.036960", \ - "0.001157,0.002123,0.003841,0.007042,0.012801,0.022622,0.038569", \ - "-0.007781,-0.006627,-0.004585,-0.000771,0.006124,0.017947,0.037151"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.019171,0.020051,0.021665,0.024883,0.031278,0.043969,0.069181", \ - "0.019657,0.020536,0.022151,0.025373,0.031785,0.044503,0.069750", \ - "0.023403,0.024227,0.025756,0.028837,0.035051,0.047542,0.072563", \ - "0.032289,0.033108,0.034597,0.037502,0.043134,0.054997,0.079302", \ - "0.043762,0.044707,0.046411,0.049760,0.056203,0.068293,0.091501", \ - "0.057857,0.058917,0.060815,0.064526,0.071680,0.085200,0.110040", \ - "0.074942,0.076130,0.078247,0.082330,0.090157,0.104861,0.132050"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005105,0.005419,0.005989,0.007114,0.009334,0.013738,0.022521", \ - "0.005107,0.005420,0.005990,0.007114,0.009334,0.013738,0.022524", \ - "0.006261,0.006505,0.006960,0.007879,0.009763,0.013847,0.022523", \ - "0.009543,0.009745,0.010114,0.010876,0.012475,0.015930,0.023453", \ - "0.014355,0.014574,0.014984,0.015810,0.017438,0.020654,0.027331", \ - "0.020301,0.020564,0.021043,0.022011,0.023896,0.027448,0.034054", \ - "0.027287,0.027595,0.028155,0.029296,0.031518,0.035615,0.042898"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.017179,0.018029,0.019576,0.022628,0.028600,0.040317,0.063464", \ - "0.017085,0.017949,0.019515,0.022589,0.028583,0.040312,0.063476", \ - "0.016515,0.017408,0.019058,0.022266,0.028436,0.040294,0.063473", \ - "0.018107,0.018813,0.020136,0.022835,0.028353,0.039857,0.063454", \ - "0.021465,0.022254,0.023674,0.026468,0.031867,0.041957,0.063405", \ - "0.025762,0.026578,0.028052,0.030968,0.036643,0.047411,0.067344", \ - "0.031310,0.032153,0.033678,0.036702,0.042557,0.053796,0.074857"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.007552,0.007909,0.008563,0.009858,0.012430,0.017540,0.027719", \ - "0.008129,0.008495,0.009163,0.010482,0.013088,0.018237,0.028452", \ - "0.009377,0.009801,0.010561,0.012023,0.014807,0.020019,0.030257", \ - "0.009531,0.010109,0.011132,0.013050,0.016520,0.022629,0.033572", \ - "0.007301,0.008058,0.009406,0.011921,0.016443,0.024191,0.037050", \ - "0.002319,0.003261,0.004931,0.008052,0.013676,0.023309,0.039020", \ - "-0.005569,-0.004451,-0.002470,0.001220,0.007919,0.019444,0.038260"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.015730,0.016452,0.017783,0.020442,0.025745,0.036290,0.057225", \ - "0.016348,0.017067,0.018394,0.021050,0.026359,0.036922,0.057882", \ - "0.020340,0.021000,0.022231,0.024731,0.029829,0.040162,0.060912", \ - "0.028918,0.029639,0.030941,0.033476,0.038293,0.047868,0.067931", \ - "0.039480,0.040319,0.041827,0.044777,0.050441,0.061043,0.080439", \ - "0.052430,0.053388,0.055093,0.058398,0.064733,0.076634,0.098442", \ - "0.068040,0.069121,0.071047,0.074732,0.081759,0.094819,0.118746"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005105,0.005419,0.005989,0.007114,0.009334,0.013739,0.022522", \ - "0.005107,0.005420,0.005989,0.007114,0.009334,0.013738,0.022523", \ - "0.006285,0.006527,0.006978,0.007896,0.009773,0.013852,0.022524", \ - "0.009591,0.009786,0.010152,0.010904,0.012490,0.015940,0.023460", \ - "0.014439,0.014655,0.015059,0.015863,0.017471,0.020647,0.027316", \ - "0.020413,0.020664,0.021136,0.022084,0.023941,0.027436,0.034000", \ - "0.027424,0.027705,0.028252,0.029375,0.031567,0.035613,0.042825"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013837,0.014571,0.015899,0.018510,0.023598,0.033483,0.052843", \ - "0.013723,0.014465,0.015809,0.018444,0.023562,0.033473,0.052836", \ - "0.013304,0.014010,0.015305,0.017975,0.023283,0.033389,0.052833", \ - "0.015763,0.016375,0.017407,0.019483,0.023865,0.033071,0.052737", \ - "0.019373,0.020030,0.021223,0.023568,0.028062,0.036374,0.053435", \ - "0.023967,0.024669,0.025929,0.028418,0.033224,0.042333,0.058895", \ - "0.029782,0.030519,0.031869,0.034493,0.039530,0.049093,0.066962"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.007564,0.007921,0.008575,0.009871,0.012442,0.017552,0.027728", \ - "0.008169,0.008535,0.009203,0.010522,0.013127,0.018276,0.028489", \ - "0.009448,0.009872,0.010632,0.012093,0.014873,0.020081,0.030315", \ - "0.009479,0.010063,0.011096,0.013030,0.016523,0.022655,0.033613", \ - "0.006852,0.007625,0.008997,0.011560,0.016154,0.023999,0.036960", \ - "0.001157,0.002123,0.003841,0.007042,0.012801,0.022622,0.038569", \ - "-0.007781,-0.006627,-0.004585,-0.000771,0.006124,0.017947,0.037151"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.019171,0.020051,0.021665,0.024883,0.031278,0.043969,0.069181", \ - "0.019657,0.020536,0.022151,0.025373,0.031785,0.044503,0.069750", \ - "0.023403,0.024227,0.025756,0.028837,0.035051,0.047542,0.072563", \ - "0.032289,0.033108,0.034597,0.037502,0.043134,0.054997,0.079302", \ - "0.043762,0.044707,0.046411,0.049760,0.056203,0.068293,0.091501", \ - "0.057857,0.058917,0.060815,0.064526,0.071680,0.085200,0.110040", \ - "0.074942,0.076130,0.078247,0.082330,0.090157,0.104861,0.132050"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005105,0.005419,0.005989,0.007114,0.009334,0.013738,0.022521", \ - "0.005107,0.005420,0.005990,0.007114,0.009334,0.013738,0.022524", \ - "0.006261,0.006505,0.006960,0.007879,0.009763,0.013847,0.022523", \ - "0.009543,0.009745,0.010114,0.010876,0.012475,0.015930,0.023453", \ - "0.014355,0.014574,0.014984,0.015810,0.017438,0.020654,0.027331", \ - "0.020301,0.020564,0.021043,0.022011,0.023896,0.027448,0.034054", \ - "0.027287,0.027595,0.028155,0.029296,0.031518,0.035615,0.042898"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.017179,0.018029,0.019576,0.022628,0.028600,0.040317,0.063464", \ - "0.017085,0.017949,0.019515,0.022589,0.028583,0.040312,0.063476", \ - "0.016515,0.017408,0.019058,0.022266,0.028436,0.040294,0.063473", \ - "0.018107,0.018813,0.020136,0.022835,0.028353,0.039857,0.063454", \ - "0.021465,0.022254,0.023674,0.026468,0.031867,0.041957,0.063405", \ - "0.025762,0.026578,0.028052,0.030968,0.036643,0.047411,0.067344", \ - "0.031310,0.032153,0.033678,0.036702,0.042557,0.053796,0.074857"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.007752,0.008112,0.008769,0.010078,0.012672,0.017827,0.028088", \ - "0.008360,0.008729,0.009399,0.010730,0.013358,0.018551,0.028850", \ - "0.009692,0.010112,0.010868,0.012330,0.015122,0.020358,0.030676", \ - "0.009910,0.010482,0.011494,0.013406,0.016870,0.022995,0.033997", \ - "0.007646,0.008389,0.009720,0.012223,0.016745,0.024519,0.037444", \ - "0.002590,0.003498,0.005115,0.008190,0.013789,0.023452,0.039272", \ - "-0.005335,-0.004296,-0.002434,0.001125,0.007710,0.019227,0.038185"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023497,0.024377,0.025990,0.029201,0.035588,0.048264,0.073447", \ - "0.023997,0.024878,0.026494,0.029711,0.036110,0.048807,0.074020", \ - "0.027509,0.028352,0.029912,0.033031,0.039280,0.051793,0.076808", \ - "0.036234,0.037021,0.038432,0.041244,0.047088,0.059081,0.083451", \ - "0.048310,0.049222,0.050875,0.054113,0.060375,0.072186,0.095496", \ - "0.062928,0.063946,0.065764,0.069354,0.076322,0.089561,0.114000", \ - "0.080552,0.081686,0.083689,0.087615,0.095196,0.109602,0.136392"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006560,0.006863,0.007422,0.008536,0.010752,0.015165,0.023957", \ - "0.006561,0.006865,0.007423,0.008536,0.010753,0.015163,0.023957", \ - "0.007742,0.007973,0.008403,0.009269,0.011168,0.015269,0.023956", \ - "0.011296,0.011466,0.011791,0.012481,0.014000,0.017390,0.024874", \ - "0.016717,0.016881,0.017209,0.017884,0.019307,0.022298,0.028829", \ - "0.023406,0.023593,0.023948,0.024715,0.026293,0.029464,0.035744", \ - "0.031218,0.031409,0.031823,0.032700,0.034514,0.038107,0.044888"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.019916,0.020749,0.022282,0.025300,0.031258,0.042970,0.066146", \ - "0.019867,0.020710,0.022244,0.025277,0.031242,0.042965,0.066147", \ - "0.019507,0.020385,0.021973,0.025098,0.031161,0.042953,0.066149", \ - "0.020229,0.020980,0.022359,0.025152,0.030829,0.042652,0.066137", \ - "0.023697,0.024475,0.025889,0.028658,0.033862,0.044167,0.065963", \ - "0.027974,0.028791,0.030256,0.033180,0.038844,0.049549,0.069495", \ - "0.033450,0.034310,0.035824,0.038857,0.044746,0.056002,0.076960"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010818,0.011200,0.011900,0.013275,0.015964,0.021215,0.031505", \ - "0.011458,0.011843,0.012543,0.013920,0.016615,0.021873,0.032169", \ - "0.014223,0.014617,0.015322,0.016659,0.019282,0.024476,0.034714", \ - "0.016977,0.017506,0.018453,0.020270,0.023659,0.029752,0.040266", \ - "0.018127,0.018785,0.019978,0.022246,0.026468,0.034047,0.047189", \ - "0.017455,0.018246,0.019698,0.022436,0.027508,0.036595,0.052243", \ - "0.014863,0.015780,0.017467,0.020658,0.026578,0.037186,0.055428"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.016087,0.016705,0.017831,0.020057,0.024440,0.033092,0.050257", \ - "0.016694,0.017325,0.018469,0.020730,0.025174,0.033909,0.051157", \ - "0.019624,0.020245,0.021374,0.023611,0.028033,0.036782,0.054106", \ - "0.024181,0.024962,0.026353,0.029017,0.033987,0.042950,0.060083", \ - "0.030597,0.031497,0.033108,0.036177,0.041897,0.052296,0.070766", \ - "0.039159,0.040216,0.042086,0.045623,0.052122,0.063753,0.084321", \ - "0.049267,0.050500,0.052675,0.056781,0.064261,0.077406,0.100098"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.007023,0.007328,0.007890,0.009010,0.011238,0.015668,0.024491", \ - "0.007021,0.007325,0.007890,0.009010,0.011238,0.015668,0.024491", \ - "0.007786,0.008028,0.008478,0.009413,0.011387,0.015665,0.024490", \ - "0.011604,0.011895,0.012403,0.013391,0.015261,0.018693,0.025581", \ - "0.016851,0.017208,0.017822,0.019001,0.021186,0.025113,0.032182", \ - "0.023444,0.023888,0.024635,0.026075,0.028694,0.033258,0.041145", \ - "0.031319,0.031868,0.032782,0.034530,0.037705,0.043092,0.052051"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009182,0.009711,0.010685,0.012636,0.016537,0.024319,0.039843", \ - "0.009194,0.009721,0.010689,0.012636,0.016536,0.024320,0.039851", \ - "0.009475,0.009947,0.010854,0.012721,0.016560,0.024322,0.039823", \ - "0.012903,0.013316,0.014082,0.015620,0.018545,0.025073,0.039840", \ - "0.017556,0.017940,0.018670,0.020196,0.023331,0.029621,0.042091", \ - "0.023577,0.023940,0.024654,0.026126,0.029194,0.035558,0.048363", \ - "0.030817,0.031200,0.031916,0.033430,0.036555,0.042916,0.055917"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010834,0.011217,0.011916,0.013290,0.015979,0.021229,0.031518", \ - "0.011524,0.011907,0.012608,0.013987,0.016680,0.021937,0.032232", \ - "0.014314,0.014706,0.015412,0.016747,0.019375,0.024573,0.034815", \ - "0.016892,0.017423,0.018385,0.020225,0.023642,0.029767,0.040311", \ - "0.017421,0.018096,0.019325,0.021658,0.025982,0.033708,0.047011", \ - "0.015630,0.016445,0.017953,0.020795,0.026046,0.035430,0.051470", \ - "0.011373,0.012336,0.014097,0.017430,0.023602,0.034641,0.053520"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.019564,0.020346,0.021765,0.024573,0.030098,0.040995,0.062594", \ - "0.019986,0.020777,0.022223,0.025075,0.030677,0.041679,0.063383", \ - "0.022565,0.023343,0.024761,0.027577,0.033153,0.044181,0.065989", \ - "0.027512,0.028414,0.030037,0.033160,0.039018,0.049889,0.071475", \ - "0.034793,0.035815,0.037650,0.041165,0.047784,0.059959,0.081866", \ - "0.044998,0.046163,0.048234,0.052173,0.059497,0.072840,0.096869", \ - "0.057351,0.058701,0.061077,0.065573,0.073836,0.088578,0.114633"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.007024,0.007327,0.007889,0.009010,0.011238,0.015667,0.024490", \ - "0.007020,0.007328,0.007888,0.009011,0.011237,0.015667,0.024491", \ - "0.007758,0.007999,0.008453,0.009390,0.011376,0.015665,0.024490", \ - "0.011611,0.011897,0.012411,0.013402,0.015258,0.018681,0.025571", \ - "0.016951,0.017311,0.017933,0.019122,0.021322,0.025250,0.032256", \ - "0.023670,0.024125,0.024884,0.026345,0.028993,0.033590,0.041460", \ - "0.031718,0.032270,0.033204,0.034986,0.038206,0.043660,0.052657"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012271,0.012928,0.014146,0.016582,0.021461,0.031195,0.050601", \ - "0.012269,0.012932,0.014148,0.016584,0.021460,0.031194,0.050597", \ - "0.012347,0.012991,0.014190,0.016606,0.021468,0.031199,0.050594", \ - "0.015372,0.015904,0.016824,0.018667,0.022657,0.031448,0.050612", \ - "0.019812,0.020312,0.021258,0.023212,0.027204,0.035029,0.051710", \ - "0.025727,0.026188,0.027055,0.028890,0.032754,0.040828,0.056799", \ - "0.033085,0.033511,0.034345,0.036139,0.039901,0.047774,0.064138"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011115,0.011499,0.012198,0.013578,0.016281,0.021564,0.031926", \ - "0.011805,0.012189,0.012891,0.014273,0.016982,0.022272,0.032639", \ - "0.014618,0.015004,0.015702,0.017027,0.019673,0.024908,0.035221", \ - "0.017432,0.017954,0.018898,0.020714,0.024099,0.030190,0.040715", \ - "0.018341,0.018998,0.020194,0.022477,0.026731,0.034379,0.047624", \ - "0.017130,0.017915,0.019363,0.022111,0.027240,0.036464,0.052367", \ - "0.013692,0.014588,0.016262,0.019445,0.025406,0.036188,0.054804"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023295,0.024065,0.025472,0.028261,0.033769,0.044656,0.066240", \ - "0.023802,0.024581,0.026009,0.028830,0.034398,0.045367,0.067038", \ - "0.026331,0.027102,0.028516,0.031322,0.036884,0.047893,0.069665", \ - "0.031815,0.032664,0.034191,0.037151,0.042713,0.053544,0.075127", \ - "0.039641,0.040603,0.042331,0.045664,0.052021,0.063852,0.085443", \ - "0.050459,0.051541,0.053462,0.057153,0.064139,0.077095,0.100696", \ - "0.063621,0.064847,0.067034,0.071201,0.078997,0.093204,0.118759"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008636,0.008930,0.009476,0.010568,0.012759,0.017153,0.025951", \ - "0.008635,0.008931,0.009475,0.010568,0.012759,0.017153,0.025952", \ - "0.009340,0.009574,0.010016,0.010933,0.012887,0.017151,0.025951", \ - "0.013668,0.013915,0.014359,0.015231,0.016928,0.020144,0.027013", \ - "0.019709,0.019999,0.020518,0.021530,0.023474,0.027110,0.033817", \ - "0.027269,0.027622,0.028239,0.029463,0.031765,0.035925,0.043356", \ - "0.036238,0.036695,0.037437,0.038915,0.041680,0.046555,0.054950"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014415,0.015081,0.016310,0.018769,0.023669,0.033438,0.052886", \ - "0.014420,0.015083,0.016315,0.018769,0.023670,0.033436,0.052850", \ - "0.014443,0.015106,0.016331,0.018779,0.023677,0.033429,0.052851", \ - "0.016687,0.017203,0.018171,0.020178,0.024430,0.033533,0.052865", \ - "0.021014,0.021565,0.022583,0.024633,0.028723,0.036586,0.053700", \ - "0.026571,0.027092,0.028071,0.030062,0.034140,0.042389,0.058397", \ - "0.033631,0.034143,0.035089,0.037059,0.041055,0.049233,0.065772"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009225,0.009631,0.010365,0.011800,0.014573,0.019918,0.030294", \ - "0.009848,0.010253,0.010986,0.012420,0.015193,0.020540,0.030919", \ - "0.012568,0.012999,0.013763,0.015210,0.017872,0.023115,0.033426", \ - "0.014660,0.015239,0.016270,0.018227,0.021819,0.028175,0.038955", \ - "0.014938,0.015654,0.016962,0.019418,0.023921,0.031882,0.045446", \ - "0.013240,0.014104,0.015673,0.018626,0.024039,0.033617,0.049865", \ - "0.009469,0.010476,0.012304,0.015724,0.022015,0.033172,0.052157"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.018091,0.018840,0.020209,0.022906,0.028227,0.038742,0.059633", \ - "0.018623,0.019388,0.020776,0.023519,0.028913,0.039528,0.060515", \ - "0.021282,0.022032,0.023400,0.026111,0.031473,0.042105,0.063186", \ - "0.025410,0.026284,0.027854,0.030880,0.036619,0.047220,0.068057", \ - "0.031679,0.032653,0.034394,0.037726,0.044004,0.055683,0.077122", \ - "0.040410,0.041538,0.043526,0.047297,0.054242,0.066836,0.089788", \ - "0.050910,0.052216,0.054519,0.058858,0.066760,0.080711,0.105265"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006548,0.006852,0.007406,0.008513,0.010715,0.015105,0.023879", \ - "0.006500,0.006809,0.007375,0.008495,0.010706,0.015103,0.023880", \ - "0.007606,0.007819,0.008221,0.009073,0.010939,0.015074,0.023879", \ - "0.011562,0.011837,0.012332,0.013291,0.015104,0.018467,0.025147", \ - "0.017062,0.017410,0.018006,0.019154,0.021266,0.025088,0.031993", \ - "0.023978,0.024417,0.025155,0.026566,0.029122,0.033546,0.041234", \ - "0.032304,0.032835,0.033741,0.035468,0.038568,0.043814,0.052507"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009599,0.010241,0.011441,0.013845,0.018658,0.028291,0.047440", \ - "0.009618,0.010261,0.011452,0.013851,0.018649,0.028260,0.047438", \ - "0.009845,0.010457,0.011599,0.013927,0.018682,0.028263,0.047415", \ - "0.012531,0.013090,0.014128,0.016154,0.020124,0.028749,0.047426", \ - "0.016457,0.016964,0.017921,0.019898,0.023980,0.032208,0.048822", \ - "0.022019,0.022489,0.023395,0.025248,0.029093,0.037127,0.053620", \ - "0.028861,0.029338,0.030253,0.032129,0.035947,0.043723,0.059931"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009241,0.009647,0.010381,0.011815,0.014588,0.019932,0.030307", \ - "0.009913,0.010318,0.011052,0.012485,0.015258,0.020604,0.030981", \ - "0.012666,0.013095,0.013858,0.015302,0.017965,0.023213,0.033527", \ - "0.014582,0.015170,0.016212,0.018191,0.021814,0.028203,0.039007", \ - "0.014261,0.015008,0.016340,0.018860,0.023468,0.031583,0.045298", \ - "0.011473,0.012373,0.014005,0.017059,0.022662,0.032531,0.049154", \ - "0.006123,0.007172,0.009073,0.012636,0.019193,0.030783,0.050391"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021634,0.022548,0.024208,0.027481,0.033919,0.046622,0.071830", \ - "0.021981,0.022912,0.024596,0.027925,0.034454,0.047277,0.072604", \ - "0.024353,0.025263,0.026921,0.030209,0.036705,0.049559,0.075006", \ - "0.028732,0.029747,0.031569,0.035098,0.041749,0.054399,0.079593", \ - "0.035686,0.036792,0.038765,0.042574,0.049818,0.063403,0.088541", \ - "0.045905,0.047145,0.049343,0.053527,0.061332,0.075734,0.102357", \ - "0.058515,0.059928,0.062429,0.067167,0.075863,0.091457,0.119540"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006549,0.006854,0.007408,0.008513,0.010716,0.015104,0.023879", \ - "0.006505,0.006813,0.007378,0.008497,0.010707,0.015103,0.023879", \ - "0.007569,0.007784,0.008189,0.009047,0.010921,0.015071,0.023878", \ - "0.011539,0.011816,0.012315,0.013279,0.015093,0.018448,0.025133", \ - "0.017065,0.017418,0.018034,0.019198,0.021335,0.025167,0.032054", \ - "0.024045,0.024495,0.025248,0.026689,0.029283,0.033780,0.041477", \ - "0.032431,0.032994,0.033922,0.035690,0.038849,0.044189,0.052965"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012166,0.012951,0.014390,0.017277,0.023061,0.034597,0.057592", \ - "0.012192,0.012964,0.014406,0.017285,0.023065,0.034594,0.057609", \ - "0.012312,0.013068,0.014479,0.017332,0.023080,0.034603,0.057626", \ - "0.014781,0.015461,0.016640,0.019026,0.024037,0.034766,0.057600", \ - "0.018455,0.019077,0.020275,0.022726,0.027746,0.037556,0.058328", \ - "0.023887,0.024445,0.025526,0.027767,0.032472,0.042339,0.062141", \ - "0.030789,0.031343,0.032382,0.034544,0.039029,0.048438,0.068242"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009513,0.009918,0.010654,0.012091,0.014875,0.020251,0.030698", \ - "0.010184,0.010587,0.011324,0.012760,0.015545,0.020923,0.031371", \ - "0.012981,0.013403,0.014156,0.015582,0.018244,0.023531,0.033916", \ - "0.015167,0.015735,0.016756,0.018699,0.022281,0.028625,0.039397", \ - "0.015297,0.016005,0.017293,0.019738,0.024255,0.032268,0.045910", \ - "0.013215,0.014054,0.015595,0.018514,0.023938,0.033614,0.050076", \ - "0.008869,0.009828,0.011578,0.014917,0.021173,0.032435,0.051730"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026522,0.027424,0.029070,0.032328,0.038766,0.051492,0.076746", \ - "0.026983,0.027896,0.029561,0.032859,0.039361,0.052185,0.077535", \ - "0.029294,0.030197,0.031849,0.035129,0.041628,0.054497,0.079964", \ - "0.034147,0.035109,0.036841,0.040176,0.046595,0.059260,0.084512", \ - "0.041531,0.042577,0.044451,0.048091,0.055108,0.068414,0.093356", \ - "0.052379,0.053526,0.055561,0.059476,0.066947,0.081015,0.107318", \ - "0.065891,0.067178,0.069462,0.073819,0.082004,0.097062,0.124734"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008460,0.008746,0.009274,0.010338,0.012484,0.016815,0.025549", \ - "0.008417,0.008709,0.009246,0.010321,0.012476,0.016814,0.025548", \ - "0.009398,0.009606,0.010001,0.010838,0.012676,0.016783,0.025548", \ - "0.014069,0.014289,0.014685,0.015481,0.017074,0.020170,0.026785", \ - "0.020502,0.020758,0.021223,0.022143,0.023931,0.027369,0.033863", \ - "0.028526,0.028847,0.029400,0.030517,0.032636,0.036548,0.043684", \ - "0.038083,0.038460,0.039152,0.040509,0.043056,0.047642,0.055663"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014998,0.015798,0.017272,0.020202,0.026051,0.037665,0.060775", \ - "0.015010,0.015802,0.017277,0.020201,0.026045,0.037653,0.060755", \ - "0.015062,0.015855,0.017307,0.020227,0.026059,0.037669,0.060753", \ - "0.016813,0.017487,0.018740,0.021297,0.026600,0.037742,0.060760", \ - "0.020309,0.021013,0.022305,0.024899,0.030049,0.039971,0.061243", \ - "0.025223,0.025875,0.027078,0.029534,0.034541,0.044712,0.064704", \ - "0.031782,0.032404,0.033580,0.035953,0.040766,0.050578,0.070745"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009497,0.009902,0.010638,0.012075,0.014859,0.020237,0.030685", \ - "0.010118,0.010522,0.011258,0.012694,0.015479,0.020859,0.031308", \ - "0.012887,0.013309,0.014062,0.015491,0.018150,0.023433,0.033816", \ - "0.015243,0.015802,0.016812,0.018733,0.022284,0.028596,0.039344", \ - "0.015957,0.016647,0.017904,0.020285,0.024698,0.032563,0.046053", \ - "0.014943,0.015752,0.017236,0.020054,0.025292,0.034679,0.050765", \ - "0.012162,0.013076,0.014756,0.017953,0.023950,0.034786,0.053462"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022078,0.022824,0.024187,0.026886,0.032220,0.042772,0.063712", \ - "0.022704,0.023459,0.024838,0.027568,0.032956,0.043584,0.064610", \ - "0.025319,0.026067,0.027432,0.030143,0.035523,0.046181,0.067299", \ - "0.030030,0.030854,0.032338,0.035229,0.040724,0.051223,0.072132", \ - "0.036784,0.037693,0.039333,0.042490,0.048543,0.059962,0.081107", \ - "0.046244,0.047267,0.049099,0.052582,0.059165,0.071398,0.094044", \ - "0.057666,0.058842,0.060936,0.064897,0.072272,0.085650,0.109754"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008458,0.008744,0.009273,0.010337,0.012483,0.016817,0.025549", \ - "0.008412,0.008705,0.009243,0.010319,0.012476,0.016815,0.025548", \ - "0.009434,0.009638,0.010031,0.010862,0.012691,0.016785,0.025549", \ - "0.014087,0.014309,0.014701,0.015498,0.017086,0.020186,0.026799", \ - "0.020464,0.020726,0.021176,0.022079,0.023849,0.027267,0.033803", \ - "0.028403,0.028730,0.029265,0.030352,0.032443,0.036301,0.043429", \ - "0.037833,0.038236,0.038888,0.040215,0.042712,0.047235,0.055181"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011947,0.012614,0.013831,0.016270,0.021119,0.030797,0.050047", \ - "0.011954,0.012616,0.013835,0.016265,0.021120,0.030792,0.050047", \ - "0.012040,0.012690,0.013890,0.016302,0.021132,0.030796,0.050046", \ - "0.014263,0.014840,0.015848,0.017866,0.022073,0.031014,0.050060", \ - "0.017808,0.018387,0.019436,0.021553,0.025797,0.034081,0.051134", \ - "0.022986,0.023524,0.024527,0.026550,0.030642,0.038980,0.055647", \ - "0.029584,0.030154,0.031156,0.033198,0.037250,0.045341,0.061915"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009513,0.009918,0.010654,0.012091,0.014875,0.020251,0.030698", \ - "0.010184,0.010587,0.011324,0.012760,0.015545,0.020923,0.031371", \ - "0.012981,0.013403,0.014156,0.015582,0.018244,0.023531,0.033916", \ - "0.015167,0.015735,0.016756,0.018699,0.022281,0.028625,0.039397", \ - "0.015297,0.016005,0.017293,0.019738,0.024255,0.032268,0.045910", \ - "0.013215,0.014054,0.015595,0.018514,0.023938,0.033614,0.050076", \ - "0.008869,0.009828,0.011578,0.014917,0.021173,0.032435,0.051730"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026522,0.027424,0.029070,0.032328,0.038766,0.051492,0.076746", \ - "0.026983,0.027896,0.029561,0.032859,0.039361,0.052185,0.077535", \ - "0.029294,0.030197,0.031849,0.035129,0.041628,0.054497,0.079964", \ - "0.034147,0.035109,0.036841,0.040176,0.046595,0.059260,0.084512", \ - "0.041531,0.042577,0.044451,0.048091,0.055108,0.068414,0.093356", \ - "0.052379,0.053526,0.055561,0.059476,0.066947,0.081015,0.107318", \ - "0.065891,0.067178,0.069462,0.073819,0.082004,0.097062,0.124734"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008460,0.008746,0.009274,0.010338,0.012484,0.016815,0.025549", \ - "0.008417,0.008709,0.009246,0.010321,0.012476,0.016814,0.025548", \ - "0.009398,0.009606,0.010001,0.010838,0.012676,0.016783,0.025548", \ - "0.014069,0.014289,0.014685,0.015481,0.017074,0.020170,0.026785", \ - "0.020502,0.020758,0.021223,0.022143,0.023931,0.027369,0.033863", \ - "0.028526,0.028847,0.029400,0.030517,0.032636,0.036548,0.043684", \ - "0.038083,0.038460,0.039152,0.040509,0.043056,0.047642,0.055663"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014998,0.015798,0.017272,0.020202,0.026051,0.037665,0.060775", \ - "0.015010,0.015802,0.017277,0.020201,0.026045,0.037653,0.060755", \ - "0.015062,0.015855,0.017307,0.020227,0.026059,0.037669,0.060753", \ - "0.016813,0.017487,0.018740,0.021297,0.026600,0.037742,0.060760", \ - "0.020309,0.021013,0.022305,0.024899,0.030049,0.039971,0.061243", \ - "0.025223,0.025875,0.027078,0.029534,0.034541,0.044712,0.064704", \ - "0.031782,0.032404,0.033580,0.035953,0.040766,0.050578,0.070745"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009817,0.010225,0.010960,0.012398,0.015196,0.020607,0.031129", \ - "0.010487,0.010894,0.011627,0.013068,0.015864,0.021278,0.031805", \ - "0.013333,0.013744,0.014483,0.015890,0.018556,0.023883,0.034348", \ - "0.015846,0.016395,0.017382,0.019277,0.022804,0.029089,0.039825", \ - "0.016577,0.017241,0.018455,0.020787,0.025167,0.033043,0.046586", \ - "0.015435,0.016197,0.017607,0.020321,0.025473,0.034868,0.051099", \ - "0.012255,0.013106,0.014682,0.017725,0.023556,0.034343,0.053209"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.030892,0.031785,0.033418,0.036659,0.043078,0.055790,0.081020", \ - "0.031426,0.032326,0.033975,0.037246,0.043717,0.056506,0.081831", \ - "0.033715,0.034612,0.036255,0.039522,0.046002,0.058842,0.084269", \ - "0.038775,0.039673,0.041300,0.044519,0.050889,0.063554,0.088804", \ - "0.046529,0.047540,0.049338,0.052861,0.059701,0.072760,0.097564", \ - "0.057777,0.058863,0.060802,0.064555,0.071798,0.085607,0.111608", \ - "0.071915,0.073131,0.075276,0.079388,0.087225,0.101901,0.129220"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010061,0.010337,0.010848,0.011887,0.014002,0.018304,0.027015", \ - "0.010020,0.010302,0.010822,0.011871,0.013996,0.018302,0.027015", \ - "0.010957,0.011157,0.011541,0.012363,0.014182,0.018272,0.027014", \ - "0.016056,0.016247,0.016585,0.017280,0.018726,0.021630,0.028231", \ - "0.023153,0.023355,0.023731,0.024505,0.026072,0.029218,0.035428", \ - "0.031970,0.032214,0.032653,0.033560,0.035366,0.038870,0.045593", \ - "0.042394,0.042697,0.043225,0.044322,0.046463,0.050516,0.057969"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.017540,0.018356,0.019835,0.022796,0.028669,0.040324,0.063473", \ - "0.017547,0.018353,0.019836,0.022797,0.028675,0.040322,0.063463", \ - "0.017575,0.018375,0.019860,0.022804,0.028675,0.040322,0.063465", \ - "0.018757,0.019469,0.020796,0.023476,0.028947,0.040368,0.063466", \ - "0.022227,0.022956,0.024280,0.026921,0.032051,0.042174,0.063782", \ - "0.026790,0.027476,0.028757,0.031328,0.036503,0.046803,0.066921", \ - "0.033086,0.033732,0.034970,0.037458,0.042453,0.052534,0.072896"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011783,0.012165,0.012864,0.014237,0.016927,0.022177,0.032468", \ - "0.012371,0.012758,0.013460,0.014840,0.017537,0.022798,0.033099", \ - "0.013909,0.014312,0.015041,0.016459,0.019173,0.024456,0.034784", \ - "0.015610,0.016091,0.016949,0.018604,0.021714,0.027460,0.038131", \ - "0.015792,0.016397,0.017496,0.019582,0.023435,0.030319,0.042316", \ - "0.013743,0.014488,0.015846,0.018403,0.023119,0.031495,0.045752", \ - "0.009249,0.010127,0.011748,0.014776,0.020366,0.030288,0.047135"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.018460,0.019064,0.020166,0.022355,0.026695,0.035305,0.052427", \ - "0.019238,0.019845,0.020957,0.023161,0.027523,0.036162,0.053310", \ - "0.022355,0.022960,0.024067,0.026267,0.030631,0.039282,0.056460", \ - "0.027684,0.028401,0.029689,0.032178,0.036887,0.045559,0.062586", \ - "0.035300,0.036111,0.037567,0.040374,0.045713,0.055620,0.073526", \ - "0.045859,0.046771,0.048406,0.051528,0.057414,0.068273,0.088003", \ - "0.058781,0.059822,0.061693,0.065223,0.071817,0.083777,0.105171"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.007023,0.007329,0.007888,0.009009,0.011238,0.015668,0.024491", \ - "0.007019,0.007327,0.007890,0.009009,0.011238,0.015668,0.024490", \ - "0.007402,0.007683,0.008201,0.009245,0.011364,0.015677,0.024491", \ - "0.009683,0.009950,0.010416,0.011359,0.013252,0.017094,0.025068", \ - "0.013765,0.014050,0.014558,0.015548,0.017423,0.021021,0.028271", \ - "0.018919,0.019262,0.019871,0.021043,0.023213,0.027111,0.034212", \ - "0.024937,0.025373,0.026096,0.027509,0.030105,0.034624,0.042344"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010884,0.011415,0.012400,0.014360,0.018270,0.026067,0.041588", \ - "0.010883,0.011421,0.012402,0.014359,0.018272,0.026064,0.041586", \ - "0.010994,0.011507,0.012464,0.014394,0.018283,0.026073,0.041579", \ - "0.013939,0.014378,0.015165,0.016674,0.019777,0.026584,0.041609", \ - "0.017996,0.018454,0.019298,0.020980,0.024305,0.030723,0.043511", \ - "0.022894,0.023361,0.024225,0.025965,0.029441,0.036268,0.049383", \ - "0.028884,0.029376,0.030257,0.032073,0.035646,0.042681,0.056436"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011800,0.012183,0.012881,0.014253,0.016944,0.022193,0.032482", \ - "0.012438,0.012824,0.013525,0.014905,0.017603,0.022863,0.033163", \ - "0.014022,0.014424,0.015154,0.016570,0.019283,0.024566,0.034894", \ - "0.015628,0.016112,0.016977,0.018640,0.021765,0.027530,0.038217", \ - "0.015384,0.016008,0.017124,0.019248,0.023165,0.030138,0.042242", \ - "0.012490,0.013254,0.014650,0.017280,0.022127,0.030700,0.045228", \ - "0.006672,0.007581,0.009257,0.012395,0.018182,0.028426,0.045726"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022635,0.023394,0.024780,0.027535,0.033002,0.043842,0.065393", \ - "0.023269,0.024033,0.025431,0.028205,0.033702,0.044579,0.066163", \ - "0.026077,0.026840,0.028232,0.031001,0.036499,0.047398,0.069024", \ - "0.031638,0.032478,0.033993,0.036934,0.042477,0.053238,0.074705", \ - "0.040039,0.040973,0.042653,0.045918,0.052166,0.063848,0.085279", \ - "0.052017,0.053044,0.054891,0.058447,0.065211,0.077854,0.101080", \ - "0.066948,0.068109,0.070171,0.074117,0.081542,0.095219,0.120130"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.007021,0.007327,0.007889,0.009010,0.011238,0.015667,0.024490", \ - "0.007019,0.007328,0.007890,0.009009,0.011238,0.015668,0.024490", \ - "0.007387,0.007667,0.008187,0.009236,0.011356,0.015676,0.024491", \ - "0.009652,0.009917,0.010390,0.011336,0.013237,0.017080,0.025059", \ - "0.013733,0.014019,0.014539,0.015538,0.017432,0.021038,0.028290", \ - "0.018887,0.019239,0.019857,0.021047,0.023247,0.027171,0.034324", \ - "0.024930,0.025370,0.026104,0.027538,0.030167,0.034744,0.042523"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014418,0.015087,0.016320,0.018775,0.023675,0.033436,0.052864", \ - "0.014419,0.015087,0.016318,0.018773,0.023675,0.033444,0.052860", \ - "0.014445,0.015109,0.016332,0.018783,0.023677,0.033440,0.052877", \ - "0.016733,0.017256,0.018227,0.020230,0.024471,0.033553,0.052890", \ - "0.020762,0.021340,0.022388,0.024490,0.028631,0.036590,0.053732", \ - "0.025519,0.026090,0.027158,0.029299,0.033598,0.042081,0.058290", \ - "0.031445,0.032007,0.033078,0.035240,0.039573,0.048250,0.065267"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012080,0.012464,0.013164,0.014542,0.017245,0.022528,0.032889", \ - "0.012720,0.013105,0.013808,0.015193,0.017904,0.023198,0.033570", \ - "0.014319,0.014721,0.015449,0.016859,0.019583,0.024901,0.035301", \ - "0.016039,0.016515,0.017371,0.019021,0.022130,0.027900,0.038629", \ - "0.016049,0.016656,0.017752,0.019841,0.023717,0.030646,0.042732", \ - "0.013532,0.014273,0.015632,0.018203,0.022969,0.031452,0.045888", \ - "0.008228,0.009105,0.010723,0.013771,0.019426,0.029522,0.046678"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026302,0.027057,0.028439,0.031186,0.036643,0.047470,0.068995", \ - "0.026968,0.027726,0.029117,0.031878,0.037357,0.048214,0.069768", \ - "0.029772,0.030529,0.031918,0.034678,0.040164,0.051046,0.072637", \ - "0.035663,0.036462,0.037902,0.040674,0.046091,0.056845,0.078303", \ - "0.044499,0.045394,0.047000,0.050138,0.056188,0.067591,0.088800", \ - "0.056874,0.057848,0.059604,0.063003,0.069546,0.081900,0.104767", \ - "0.072344,0.073435,0.075381,0.079118,0.086241,0.099549,0.124069"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008635,0.008931,0.009476,0.010567,0.012759,0.017153,0.025951", \ - "0.008634,0.008930,0.009475,0.010567,0.012759,0.017154,0.025951", \ - "0.008988,0.009259,0.009766,0.010787,0.012874,0.017160,0.025951", \ - "0.011428,0.011669,0.012102,0.012988,0.014808,0.018571,0.026512", \ - "0.015948,0.016190,0.016636,0.017518,0.019242,0.022675,0.029789", \ - "0.021722,0.022014,0.022531,0.023549,0.025492,0.029109,0.035961", \ - "0.028481,0.028836,0.029446,0.030659,0.032957,0.037108,0.044445"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.016569,0.017241,0.018480,0.020952,0.025869,0.035661,0.055081", \ - "0.016565,0.017241,0.018483,0.020949,0.025868,0.035669,0.055090", \ - "0.016576,0.017250,0.018485,0.020952,0.025873,0.035651,0.055099", \ - "0.018167,0.018723,0.019765,0.021901,0.026338,0.035711,0.055099", \ - "0.022268,0.022853,0.023919,0.026046,0.030203,0.038233,0.055694", \ - "0.026912,0.027527,0.028622,0.030824,0.035191,0.043713,0.059947", \ - "0.032698,0.033292,0.034409,0.036639,0.041098,0.049888,0.066958"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010189,0.010595,0.011329,0.012762,0.015533,0.020878,0.031256", \ - "0.010756,0.011162,0.011898,0.013335,0.016112,0.021463,0.031847", \ - "0.012228,0.012652,0.013418,0.014900,0.017715,0.023086,0.033497", \ - "0.013479,0.014004,0.014944,0.016727,0.020008,0.025941,0.036774", \ - "0.012804,0.013480,0.014687,0.016965,0.021110,0.028361,0.040713", \ - "0.009695,0.010532,0.012023,0.014810,0.019897,0.028774,0.043609", \ - "0.003990,0.004971,0.006740,0.010032,0.016038,0.026579,0.044177"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021025,0.021756,0.023093,0.025747,0.031017,0.041487,0.062328", \ - "0.021763,0.022499,0.023847,0.026520,0.031817,0.042322,0.063196", \ - "0.024639,0.025373,0.026714,0.029379,0.034675,0.045191,0.066103", \ - "0.029379,0.030196,0.031672,0.034542,0.040026,0.050425,0.071150", \ - "0.036681,0.037565,0.039162,0.042254,0.048200,0.059461,0.080411", \ - "0.047326,0.048307,0.050062,0.053418,0.059776,0.071681,0.093911", \ - "0.060598,0.061712,0.063693,0.067451,0.074461,0.087274,0.110669"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006526,0.006831,0.007389,0.008500,0.010707,0.015102,0.023878", \ - "0.006505,0.006811,0.007375,0.008491,0.010705,0.015101,0.023879", \ - "0.006967,0.007248,0.007751,0.008768,0.010861,0.015130,0.023881", \ - "0.009535,0.009788,0.010231,0.011132,0.012942,0.016677,0.024531", \ - "0.013832,0.014111,0.014611,0.015562,0.017375,0.020857,0.027916", \ - "0.019221,0.019560,0.020153,0.021294,0.023406,0.027179,0.034109", \ - "0.025555,0.025974,0.026682,0.028063,0.030584,0.034978,0.042504"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011680,0.012338,0.013549,0.015972,0.020806,0.030436,0.049629", \ - "0.011684,0.012342,0.013552,0.015973,0.020811,0.030439,0.049627", \ - "0.011778,0.012423,0.013615,0.016010,0.020823,0.030439,0.049628", \ - "0.014052,0.014635,0.015673,0.017688,0.021850,0.030709,0.049631", \ - "0.017334,0.017927,0.019015,0.021172,0.025456,0.033784,0.050773", \ - "0.021694,0.022287,0.023365,0.025520,0.029817,0.038368,0.055168", \ - "0.027257,0.027856,0.028962,0.031155,0.035473,0.044000,0.061043"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010206,0.010611,0.011345,0.012778,0.015549,0.020893,0.031270", \ - "0.010822,0.011228,0.011965,0.013401,0.016177,0.021528,0.031910", \ - "0.012340,0.012764,0.013529,0.015010,0.017823,0.023195,0.033606", \ - "0.013508,0.014038,0.014981,0.016770,0.020067,0.026016,0.036862", \ - "0.012448,0.013139,0.014365,0.016674,0.020875,0.028213,0.040659", \ - "0.008552,0.009407,0.010935,0.013792,0.018998,0.028066,0.043152", \ - "0.001598,0.002619,0.004442,0.007843,0.014048,0.024894,0.042921"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.025265,0.026148,0.027765,0.030977,0.037344,0.049987,0.075139", \ - "0.025861,0.026752,0.028382,0.031616,0.038017,0.050701,0.075893", \ - "0.028496,0.029384,0.031008,0.034237,0.040641,0.053347,0.078587", \ - "0.033394,0.034348,0.036069,0.039420,0.045790,0.058339,0.083397", \ - "0.041270,0.042292,0.044127,0.047709,0.054628,0.067788,0.092533", \ - "0.053171,0.054276,0.056248,0.060050,0.067329,0.081113,0.107041", \ - "0.068291,0.069528,0.071712,0.075883,0.083743,0.098331,0.125405"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006528,0.006834,0.007391,0.008500,0.010708,0.015102,0.023879", \ - "0.006509,0.006815,0.007378,0.008494,0.010704,0.015101,0.023878", \ - "0.006959,0.007237,0.007737,0.008760,0.010854,0.015127,0.023881", \ - "0.009486,0.009739,0.010188,0.011095,0.012917,0.016658,0.024522", \ - "0.013740,0.014017,0.014523,0.015496,0.017340,0.020842,0.027923", \ - "0.019071,0.019415,0.020021,0.021186,0.023337,0.027174,0.034169", \ - "0.025356,0.025782,0.026507,0.027916,0.030482,0.034954,0.042585"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014694,0.015488,0.016947,0.019863,0.025685,0.037282,0.060300", \ - "0.014694,0.015491,0.016947,0.019865,0.025693,0.037272,0.060315", \ - "0.014746,0.015533,0.016984,0.019886,0.025701,0.037274,0.060316", \ - "0.016618,0.017290,0.018532,0.021060,0.026307,0.037370,0.060307", \ - "0.019867,0.020587,0.021898,0.024514,0.029691,0.039652,0.060847", \ - "0.024040,0.024745,0.026032,0.028628,0.033820,0.044143,0.064241", \ - "0.029510,0.030193,0.031479,0.034045,0.039171,0.049439,0.069971"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010477,0.010881,0.011618,0.013052,0.015836,0.021213,0.031661", \ - "0.011092,0.011499,0.012237,0.013675,0.016465,0.021848,0.032301", \ - "0.012627,0.013050,0.013813,0.015294,0.018109,0.023515,0.033997", \ - "0.013940,0.014460,0.015389,0.017155,0.020428,0.026376,0.037264", \ - "0.013168,0.013837,0.015031,0.017293,0.021439,0.028715,0.041142", \ - "0.009708,0.010531,0.011999,0.014778,0.019878,0.028831,0.043811", \ - "0.003372,0.004331,0.006065,0.009336,0.015363,0.026020,0.043876"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.030100,0.030983,0.032598,0.035810,0.042189,0.054858,0.080059", \ - "0.030737,0.031624,0.033249,0.036477,0.042882,0.055586,0.080815", \ - "0.033367,0.034253,0.035876,0.039104,0.045516,0.058244,0.083518", \ - "0.038558,0.039453,0.041069,0.044266,0.050594,0.063179,0.088305", \ - "0.046766,0.047745,0.049510,0.052985,0.059744,0.072685,0.097332", \ - "0.059021,0.060075,0.061947,0.065596,0.072673,0.086232,0.111909", \ - "0.074742,0.075889,0.077942,0.081882,0.089430,0.103681,0.130468"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008439,0.008727,0.009257,0.010325,0.012476,0.016813,0.025549", \ - "0.008420,0.008710,0.009245,0.010318,0.012474,0.016813,0.025548", \ - "0.008846,0.009101,0.009582,0.010573,0.012619,0.016838,0.025552", \ - "0.011631,0.011846,0.012237,0.013049,0.014763,0.018386,0.026188", \ - "0.016480,0.016695,0.017093,0.017892,0.019496,0.022756,0.029652", \ - "0.022603,0.022858,0.023319,0.024239,0.026039,0.029467,0.036087", \ - "0.029778,0.030089,0.030633,0.031730,0.033856,0.037767,0.044841"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.017551,0.018364,0.019842,0.022799,0.028676,0.040327,0.063474", \ - "0.017553,0.018363,0.019843,0.022800,0.028680,0.040337,0.063481", \ - "0.017578,0.018387,0.019860,0.022811,0.028679,0.040337,0.063487", \ - "0.018807,0.019518,0.020836,0.023511,0.028985,0.040369,0.063476", \ - "0.022102,0.022842,0.024184,0.026845,0.032025,0.042183,0.063806", \ - "0.026068,0.026808,0.028153,0.030832,0.036148,0.046607,0.066877", \ - "0.031315,0.032038,0.033372,0.036040,0.041332,0.051828,0.072537"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010461,0.010865,0.011601,0.013036,0.015820,0.021198,0.031647", \ - "0.011027,0.011432,0.012169,0.013608,0.016399,0.021782,0.032238", \ - "0.012517,0.012938,0.013703,0.015184,0.018001,0.023406,0.033888", \ - "0.013909,0.014427,0.015351,0.017110,0.020368,0.026301,0.037175", \ - "0.013525,0.014180,0.015358,0.017585,0.021670,0.028861,0.041194", \ - "0.010852,0.011655,0.013085,0.015792,0.020769,0.029533,0.044266", \ - "0.005760,0.006681,0.008362,0.011516,0.017351,0.027695,0.045115"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.024990,0.025721,0.027060,0.029723,0.035012,0.045517,0.066407", \ - "0.025760,0.026496,0.027843,0.030519,0.035829,0.046360,0.067280", \ - "0.028629,0.029363,0.030706,0.033379,0.038691,0.049238,0.070192", \ - "0.033762,0.034544,0.035957,0.038721,0.044002,0.054414,0.075218", \ - "0.041410,0.042257,0.043781,0.046768,0.052566,0.063629,0.084373", \ - "0.052478,0.053397,0.055055,0.058246,0.064389,0.076068,0.098072", \ - "0.066388,0.067421,0.069271,0.072787,0.079466,0.091916,0.115014"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008438,0.008726,0.009257,0.010325,0.012476,0.016814,0.025548", \ - "0.008416,0.008707,0.009243,0.010316,0.012473,0.016813,0.025548", \ - "0.008857,0.009113,0.009596,0.010581,0.012625,0.016841,0.025552", \ - "0.011681,0.011896,0.012283,0.013085,0.014786,0.018404,0.026197", \ - "0.016575,0.016791,0.017176,0.017957,0.019532,0.022765,0.029646", \ - "0.022749,0.022994,0.023443,0.024342,0.026096,0.029469,0.036018", \ - "0.029967,0.030272,0.030793,0.031867,0.033940,0.037772,0.044741"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014040,0.014715,0.015940,0.018401,0.023282,0.032981,0.052260", \ - "0.014040,0.014710,0.015949,0.018401,0.023277,0.032978,0.052257", \ - "0.014082,0.014747,0.015971,0.018414,0.023284,0.032983,0.052258", \ - "0.015814,0.016384,0.017428,0.019548,0.023935,0.033092,0.052267", \ - "0.019088,0.019705,0.020817,0.023029,0.027374,0.035735,0.053133", \ - "0.023261,0.023881,0.025002,0.027232,0.031657,0.040357,0.057219", \ - "0.028691,0.029309,0.030449,0.032717,0.037157,0.045887,0.063134"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010477,0.010881,0.011618,0.013052,0.015836,0.021213,0.031661", \ - "0.011092,0.011499,0.012237,0.013675,0.016465,0.021848,0.032301", \ - "0.012627,0.013050,0.013813,0.015294,0.018109,0.023515,0.033997", \ - "0.013940,0.014460,0.015389,0.017155,0.020428,0.026376,0.037264", \ - "0.013168,0.013837,0.015031,0.017293,0.021439,0.028715,0.041142", \ - "0.009708,0.010531,0.011999,0.014778,0.019878,0.028831,0.043811", \ - "0.003372,0.004331,0.006065,0.009336,0.015363,0.026020,0.043876"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.030100,0.030983,0.032598,0.035810,0.042189,0.054858,0.080059", \ - "0.030737,0.031624,0.033249,0.036477,0.042882,0.055586,0.080815", \ - "0.033367,0.034253,0.035876,0.039104,0.045516,0.058244,0.083518", \ - "0.038558,0.039453,0.041069,0.044266,0.050594,0.063179,0.088305", \ - "0.046766,0.047745,0.049510,0.052985,0.059744,0.072685,0.097332", \ - "0.059021,0.060075,0.061947,0.065596,0.072673,0.086232,0.111909", \ - "0.074742,0.075889,0.077942,0.081882,0.089430,0.103681,0.130468"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008439,0.008727,0.009257,0.010325,0.012476,0.016813,0.025549", \ - "0.008420,0.008710,0.009245,0.010318,0.012474,0.016813,0.025548", \ - "0.008846,0.009101,0.009582,0.010573,0.012619,0.016838,0.025552", \ - "0.011631,0.011846,0.012237,0.013049,0.014763,0.018386,0.026188", \ - "0.016480,0.016695,0.017093,0.017892,0.019496,0.022756,0.029652", \ - "0.022603,0.022858,0.023319,0.024239,0.026039,0.029467,0.036087", \ - "0.029778,0.030089,0.030633,0.031730,0.033856,0.037767,0.044841"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.017551,0.018364,0.019842,0.022799,0.028676,0.040327,0.063474", \ - "0.017553,0.018363,0.019843,0.022800,0.028680,0.040337,0.063481", \ - "0.017578,0.018387,0.019860,0.022811,0.028679,0.040337,0.063487", \ - "0.018807,0.019518,0.020836,0.023511,0.028985,0.040369,0.063476", \ - "0.022102,0.022842,0.024184,0.026845,0.032025,0.042183,0.063806", \ - "0.026068,0.026808,0.028153,0.030832,0.036148,0.046607,0.066877", \ - "0.031315,0.032038,0.033372,0.036040,0.041332,0.051828,0.072537"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010782,0.011190,0.011922,0.013362,0.016157,0.021567,0.032091", \ - "0.011399,0.011805,0.012539,0.013984,0.016783,0.022202,0.032734", \ - "0.012951,0.013375,0.014132,0.015610,0.018429,0.023871,0.034429", \ - "0.014432,0.014940,0.015849,0.017587,0.020832,0.026776,0.037706", \ - "0.014024,0.014666,0.015818,0.018014,0.022082,0.029279,0.041677", \ - "0.011157,0.011926,0.013314,0.015968,0.020912,0.029703,0.044553", \ - "0.005653,0.006531,0.008136,0.011204,0.016965,0.027335,0.044942"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.034407,0.035284,0.036896,0.040098,0.046464,0.059118,0.084285", \ - "0.035069,0.035950,0.037570,0.040783,0.047171,0.059852,0.085049", \ - "0.037702,0.038585,0.040201,0.043418,0.049815,0.062520,0.087758", \ - "0.042896,0.043768,0.045352,0.048524,0.054836,0.067419,0.092528", \ - "0.051509,0.052459,0.054171,0.057554,0.064174,0.076861,0.101474", \ - "0.064019,0.065035,0.066826,0.070369,0.077293,0.090656,0.116071", \ - "0.080135,0.081222,0.083192,0.086974,0.094293,0.108285,0.134792"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010041,0.010319,0.010833,0.011875,0.013995,0.018302,0.027014", \ - "0.010023,0.010303,0.010822,0.011869,0.013993,0.018301,0.027014", \ - "0.010426,0.010673,0.011145,0.012116,0.014132,0.018325,0.027017", \ - "0.013371,0.013560,0.013918,0.014682,0.016323,0.019876,0.027645", \ - "0.018640,0.018826,0.019157,0.019853,0.021302,0.024397,0.031152", \ - "0.025362,0.025573,0.025943,0.026709,0.028276,0.031410,0.037747", \ - "0.033247,0.033482,0.033911,0.034811,0.036615,0.040124,0.046769"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.020109,0.020922,0.022418,0.025388,0.031287,0.042976,0.066146", \ - "0.020111,0.020925,0.022418,0.025387,0.031288,0.042978,0.066143", \ - "0.020122,0.020933,0.022426,0.025393,0.031289,0.042977,0.066148", \ - "0.020908,0.021650,0.023026,0.025794,0.031426,0.042997,0.066143", \ - "0.024176,0.024908,0.026262,0.028936,0.034040,0.044433,0.066343", \ - "0.028041,0.028790,0.030154,0.032871,0.038249,0.048743,0.069123", \ - "0.033107,0.033867,0.035233,0.037939,0.043322,0.053939,0.074717"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013538,0.013971,0.014751,0.016275,0.019212,0.024829,0.035575", \ - "0.014113,0.014545,0.015324,0.016848,0.019787,0.025403,0.036150", \ - "0.016898,0.017292,0.018023,0.019480,0.022348,0.027906,0.038603", \ - "0.021356,0.021859,0.022759,0.024503,0.027758,0.033630,0.044040", \ - "0.024229,0.024844,0.025964,0.028096,0.032083,0.039331,0.052035", \ - "0.025574,0.026302,0.027637,0.030171,0.034880,0.043425,0.058412", \ - "0.025357,0.026201,0.027745,0.030658,0.036080,0.045925,0.063152"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.020964,0.021597,0.022747,0.025008,0.029436,0.038138,0.055358", \ - "0.021700,0.022343,0.023513,0.025812,0.030303,0.039087,0.056390", \ - "0.024679,0.025312,0.026468,0.028750,0.033232,0.042049,0.059439", \ - "0.028640,0.029382,0.030717,0.033281,0.038107,0.047002,0.064319", \ - "0.032754,0.033614,0.035158,0.038114,0.043652,0.053829,0.072249", \ - "0.038826,0.039823,0.041605,0.044983,0.051209,0.062414,0.082499", \ - "0.046854,0.048000,0.050047,0.053912,0.060979,0.073453,0.095165"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009873,0.010190,0.010774,0.011933,0.014219,0.018716,0.027601", \ - "0.009846,0.010167,0.010755,0.011920,0.014211,0.018713,0.027601", \ - "0.009714,0.010017,0.010575,0.011706,0.014001,0.018650,0.027594", \ - "0.013442,0.013733,0.014240,0.015226,0.017074,0.020502,0.028044", \ - "0.018896,0.019237,0.019835,0.020984,0.023131,0.027029,0.034018", \ - "0.025794,0.026209,0.026908,0.028268,0.030782,0.035221,0.043050", \ - "0.034035,0.034539,0.035383,0.037010,0.039991,0.045149,0.053891"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009872,0.010394,0.011368,0.013313,0.017221,0.025031,0.040593", \ - "0.009895,0.010414,0.011383,0.013325,0.017224,0.025031,0.040593", \ - "0.010012,0.010521,0.011466,0.013375,0.017244,0.025035,0.040594", \ - "0.012451,0.012875,0.013652,0.015190,0.018423,0.025437,0.040607", \ - "0.016965,0.017350,0.018081,0.019609,0.022807,0.029306,0.042411", \ - "0.023839,0.024143,0.024743,0.026033,0.028866,0.035025,0.048012", \ - "0.032048,0.032315,0.032871,0.034095,0.036796,0.042602,0.055119"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012681,0.013112,0.013897,0.015424,0.018365,0.023980,0.034713", \ - "0.013234,0.013667,0.014450,0.015975,0.018915,0.024527,0.035259", \ - "0.016106,0.016487,0.017199,0.018634,0.021479,0.027014,0.037689", \ - "0.020069,0.020588,0.021525,0.023316,0.026647,0.032632,0.043103", \ - "0.022245,0.022883,0.024055,0.026272,0.030400,0.037839,0.050775", \ - "0.022629,0.023398,0.024804,0.027453,0.032361,0.041197,0.056557", \ - "0.021246,0.022151,0.023767,0.026813,0.032472,0.042692,0.060449"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.024241,0.025022,0.026446,0.029252,0.034778,0.045672,0.067269", \ - "0.024882,0.025672,0.027122,0.029973,0.035577,0.046575,0.068277", \ - "0.027455,0.028233,0.029657,0.032484,0.038070,0.049108,0.070926", \ - "0.030771,0.031635,0.033184,0.036201,0.041926,0.052888,0.074609", \ - "0.034576,0.035515,0.037217,0.040516,0.046814,0.058688,0.080774", \ - "0.040940,0.042006,0.043907,0.047543,0.054328,0.066853,0.090119", \ - "0.049623,0.050829,0.052981,0.057077,0.064621,0.078161,0.102467"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009349,0.009672,0.010255,0.011414,0.013696,0.018183,0.027050", \ - "0.009298,0.009622,0.010214,0.011382,0.013677,0.018175,0.027048", \ - "0.009317,0.009611,0.010145,0.011241,0.013481,0.018095,0.027038", \ - "0.013286,0.013568,0.014071,0.015043,0.016866,0.020234,0.027605", \ - "0.018988,0.019323,0.019911,0.021042,0.023145,0.026961,0.033863", \ - "0.026241,0.026658,0.027360,0.028693,0.031157,0.035488,0.043132", \ - "0.035004,0.035506,0.036358,0.037972,0.040892,0.045912,0.054411"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012276,0.012935,0.014151,0.016587,0.021465,0.031195,0.050601", \ - "0.012291,0.012946,0.014159,0.016590,0.021466,0.031196,0.050606", \ - "0.012353,0.013000,0.014201,0.016613,0.021473,0.031201,0.050591", \ - "0.014203,0.014750,0.015756,0.017819,0.022161,0.031335,0.050608", \ - "0.018061,0.018577,0.019544,0.021558,0.025733,0.034142,0.051572", \ - "0.024480,0.024883,0.025678,0.027366,0.031035,0.039019,0.055835", \ - "0.032437,0.032816,0.033541,0.035115,0.038524,0.045869,0.061915"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013076,0.013504,0.014285,0.015808,0.018753,0.024389,0.035183", \ - "0.013628,0.014057,0.014836,0.016359,0.019301,0.024936,0.035727", \ - "0.016445,0.016831,0.017552,0.018997,0.021857,0.027421,0.038159", \ - "0.020690,0.021194,0.022109,0.023865,0.027154,0.033089,0.043568", \ - "0.023273,0.023886,0.025012,0.027166,0.031210,0.038561,0.051418", \ - "0.024272,0.024992,0.026320,0.028859,0.033616,0.042286,0.057490", \ - "0.023713,0.024537,0.026043,0.028913,0.034337,0.044279,0.061762"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.027965,0.028735,0.030144,0.032933,0.038440,0.049326,0.070913", \ - "0.028691,0.029471,0.030899,0.033720,0.039288,0.050257,0.071931", \ - "0.031226,0.032001,0.033418,0.036232,0.041802,0.052819,0.074604", \ - "0.034897,0.035717,0.037204,0.040085,0.045654,0.056582,0.078291", \ - "0.039120,0.040017,0.041632,0.044803,0.050925,0.062580,0.084414", \ - "0.046000,0.046990,0.048760,0.052180,0.058690,0.070936,0.093956", \ - "0.055340,0.056453,0.058440,0.062241,0.069382,0.082478,0.106431"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011241,0.011538,0.012085,0.013182,0.015379,0.019775,0.028570", \ - "0.011189,0.011492,0.012045,0.013150,0.015360,0.019767,0.028568", \ - "0.011181,0.011455,0.011959,0.012997,0.015161,0.019690,0.028558", \ - "0.015430,0.015666,0.016096,0.016941,0.018590,0.021787,0.029111", \ - "0.021733,0.022009,0.022492,0.023452,0.025303,0.028829,0.035427", \ - "0.029708,0.030029,0.030604,0.031718,0.033845,0.037780,0.045009", \ - "0.039237,0.039664,0.040347,0.041688,0.044200,0.048699,0.056660"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014417,0.015087,0.016320,0.018774,0.023673,0.033438,0.052868", \ - "0.014426,0.015092,0.016323,0.018775,0.023674,0.033435,0.052862", \ - "0.014454,0.015114,0.016340,0.018784,0.023677,0.033442,0.052859", \ - "0.015770,0.016347,0.017412,0.019596,0.024105,0.033504,0.052862", \ - "0.019384,0.019952,0.021008,0.023144,0.027443,0.035893,0.053612", \ - "0.025168,0.025651,0.026564,0.028449,0.032392,0.040679,0.057622", \ - "0.032765,0.033220,0.034079,0.035855,0.039530,0.047219,0.063635"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010429,0.010909,0.011772,0.013437,0.016594,0.022504,0.033581", \ - "0.011058,0.011531,0.012385,0.014036,0.017179,0.023078,0.034148", \ - "0.014388,0.014816,0.015580,0.017017,0.019904,0.025609,0.036574", \ - "0.018054,0.018613,0.019623,0.021546,0.025078,0.031334,0.042055", \ - "0.019968,0.020654,0.021911,0.024261,0.028615,0.036358,0.049660", \ - "0.020127,0.020951,0.022445,0.025229,0.030361,0.039527,0.055287", \ - "0.018554,0.019523,0.021220,0.024401,0.030285,0.040836,0.059004"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023238,0.024011,0.025411,0.028159,0.033534,0.044092,0.065013", \ - "0.023895,0.024680,0.026106,0.028898,0.034349,0.045007,0.066026", \ - "0.026764,0.027539,0.028946,0.031720,0.037158,0.047853,0.068977", \ - "0.030648,0.031516,0.033064,0.036055,0.041673,0.052330,0.073355", \ - "0.034738,0.035693,0.037402,0.040694,0.046917,0.058546,0.080047", \ - "0.040929,0.042010,0.043923,0.047569,0.054329,0.066693,0.089487", \ - "0.049170,0.050403,0.052588,0.056720,0.064287,0.077745,0.101699"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009143,0.009484,0.010105,0.011320,0.013677,0.018232,0.027116", \ - "0.008943,0.009306,0.009952,0.011205,0.013606,0.018198,0.027105", \ - "0.009171,0.009440,0.009953,0.011016,0.013243,0.017930,0.027042", \ - "0.013504,0.013788,0.014279,0.015231,0.017029,0.020346,0.027583", \ - "0.019442,0.019776,0.020354,0.021466,0.023524,0.027247,0.034022", \ - "0.026929,0.027340,0.028025,0.029353,0.031762,0.035990,0.043449", \ - "0.035891,0.036410,0.037258,0.038853,0.041731,0.046629,0.054911"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010213,0.010858,0.012036,0.014417,0.019205,0.028805,0.048003", \ - "0.010245,0.010884,0.012068,0.014436,0.019214,0.028810,0.048004", \ - "0.010379,0.010998,0.012157,0.014498,0.019244,0.028813,0.048023", \ - "0.012326,0.012864,0.013853,0.015862,0.020062,0.029040,0.048013", \ - "0.015885,0.016407,0.017393,0.019429,0.023609,0.031962,0.049111", \ - "0.021951,0.022389,0.023238,0.025020,0.028776,0.036797,0.053509", \ - "0.029822,0.030218,0.030998,0.032669,0.036217,0.043657,0.059643"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009926,0.010399,0.011252,0.012899,0.016024,0.021888,0.032902", \ - "0.010551,0.011015,0.011856,0.013485,0.016592,0.022439,0.033443", \ - "0.013812,0.014249,0.015024,0.016475,0.019316,0.024963,0.035857", \ - "0.016997,0.017572,0.018606,0.020578,0.024181,0.030532,0.041314", \ - "0.018209,0.018924,0.020219,0.022663,0.027151,0.035080,0.048578", \ - "0.017417,0.018284,0.019835,0.022740,0.028078,0.037543,0.053648", \ - "0.014679,0.015681,0.017467,0.020796,0.026933,0.037866,0.056569"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026265,0.027176,0.028836,0.032110,0.038549,0.051251,0.076458", \ - "0.026827,0.027754,0.029441,0.032769,0.039297,0.052118,0.077447", \ - "0.029308,0.030221,0.031886,0.035180,0.041688,0.054553,0.080012", \ - "0.032549,0.033531,0.035302,0.038738,0.045278,0.058024,0.083367", \ - "0.036255,0.037296,0.039182,0.042844,0.049883,0.063308,0.088636", \ - "0.042686,0.043834,0.045887,0.049824,0.057220,0.071054,0.097257", \ - "0.051548,0.052850,0.055157,0.059558,0.067677,0.082359,0.109191"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008616,0.008960,0.009587,0.010801,0.013158,0.017703,0.026568", \ - "0.008408,0.008769,0.009420,0.010676,0.013076,0.017662,0.026553", \ - "0.008906,0.009161,0.009641,0.010655,0.012811,0.017403,0.026492", \ - "0.013357,0.013632,0.014126,0.015060,0.016833,0.020116,0.027177", \ - "0.019493,0.019821,0.020402,0.021497,0.023511,0.027167,0.033844", \ - "0.027315,0.027727,0.028421,0.029728,0.032087,0.036204,0.043500", \ - "0.036815,0.037325,0.038189,0.039759,0.042565,0.047320,0.055363"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012182,0.012961,0.014398,0.017285,0.023065,0.034596,0.057589", \ - "0.012207,0.012984,0.014414,0.017291,0.023066,0.034604,0.057597", \ - "0.012290,0.013057,0.014469,0.017329,0.023080,0.034595,0.057610", \ - "0.013839,0.014505,0.015749,0.018285,0.023574,0.034684,0.057633", \ - "0.017018,0.017672,0.018898,0.021425,0.026600,0.036827,0.058206", \ - "0.022867,0.023408,0.024442,0.026615,0.031220,0.041091,0.061532", \ - "0.030653,0.031142,0.032102,0.034123,0.038386,0.047380,0.066953"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010300,0.010770,0.011619,0.013263,0.016393,0.022280,0.033353", \ - "0.010915,0.011377,0.012215,0.013845,0.016957,0.022829,0.033895", \ - "0.014177,0.014602,0.015362,0.016790,0.019659,0.025345,0.036307", \ - "0.017680,0.018237,0.019240,0.021158,0.024703,0.030991,0.041752", \ - "0.019414,0.020085,0.021317,0.023662,0.028022,0.035828,0.049228", \ - "0.019441,0.020217,0.021648,0.024373,0.029482,0.038707,0.054620", \ - "0.017810,0.018690,0.020272,0.023324,0.029090,0.039626,0.057967"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.031152,0.032054,0.033700,0.036959,0.043397,0.056122,0.081373", \ - "0.031827,0.032739,0.034406,0.037702,0.044206,0.057023,0.082379", \ - "0.034260,0.035165,0.036821,0.040108,0.046616,0.059490,0.084967", \ - "0.037817,0.038738,0.040412,0.043703,0.050157,0.062925,0.088312", \ - "0.041868,0.042863,0.044664,0.048205,0.055090,0.068324,0.093505", \ - "0.048775,0.049847,0.051758,0.055469,0.062596,0.076202,0.102231", \ - "0.058389,0.059590,0.061701,0.065767,0.073446,0.087680,0.114235"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010978,0.011283,0.011844,0.012960,0.015181,0.019584,0.028333", \ - "0.010766,0.011088,0.011677,0.012834,0.015099,0.019543,0.028319", \ - "0.011123,0.011357,0.011808,0.012758,0.014813,0.019283,0.028257", \ - "0.016063,0.016270,0.016650,0.017405,0.018928,0.021931,0.028920", \ - "0.023003,0.023239,0.023665,0.024507,0.026163,0.029402,0.035686", \ - "0.031750,0.032035,0.032539,0.033521,0.035412,0.038975,0.045716", \ - "0.042249,0.042633,0.043246,0.044426,0.046661,0.050709,0.058039"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.015001,0.015804,0.017273,0.020205,0.026045,0.037654,0.060766", \ - "0.015015,0.015810,0.017279,0.020206,0.026051,0.037665,0.060753", \ - "0.015047,0.015842,0.017301,0.020220,0.026047,0.037670,0.060755", \ - "0.016047,0.016771,0.018099,0.020789,0.026296,0.037706,0.060765", \ - "0.019031,0.019753,0.021088,0.023749,0.029049,0.039427,0.061169", \ - "0.024118,0.024758,0.025936,0.028340,0.033291,0.043542,0.064204", \ - "0.031423,0.032011,0.033125,0.035385,0.039967,0.049435,0.069517"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010825,0.011301,0.012159,0.013819,0.016977,0.022909,0.034043", \ - "0.011447,0.011915,0.012766,0.014416,0.017561,0.023481,0.034611", \ - "0.014755,0.015174,0.015921,0.017348,0.020261,0.026005,0.037036", \ - "0.018736,0.019278,0.020254,0.022126,0.025601,0.031797,0.042503", \ - "0.021147,0.021794,0.022981,0.025245,0.029476,0.037103,0.050306", \ - "0.022050,0.022802,0.024184,0.026804,0.031729,0.040675,0.056243", \ - "0.021491,0.022335,0.023867,0.026800,0.032353,0.042534,0.060378"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.027325,0.028082,0.029462,0.032186,0.037550,0.048129,0.069098", \ - "0.028078,0.028844,0.030240,0.032996,0.038415,0.049072,0.070122", \ - "0.030916,0.031677,0.033066,0.035816,0.041242,0.051942,0.073096", \ - "0.035223,0.036034,0.037496,0.040316,0.045758,0.056389,0.077460", \ - "0.039796,0.040690,0.042298,0.045427,0.051442,0.062833,0.084096", \ - "0.046578,0.047568,0.049330,0.052724,0.059162,0.071215,0.093761", \ - "0.055604,0.056729,0.058710,0.062503,0.069598,0.082551,0.106126"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011558,0.011860,0.012415,0.013523,0.015736,0.020137,0.028895", \ - "0.011360,0.011679,0.012262,0.013409,0.015666,0.020104,0.028884", \ - "0.011471,0.011717,0.012186,0.013173,0.015287,0.019836,0.028823", \ - "0.016204,0.016422,0.016807,0.017579,0.019128,0.022185,0.029346", \ - "0.022886,0.023133,0.023569,0.024439,0.026156,0.029470,0.035858", \ - "0.031241,0.031524,0.032037,0.033062,0.035029,0.038729,0.045656", \ - "0.041150,0.041475,0.042139,0.043378,0.045717,0.049951,0.057559"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012483,0.013149,0.014363,0.016800,0.021665,0.031350,0.050634", \ - "0.012500,0.013166,0.014377,0.016803,0.021663,0.031352,0.050638", \ - "0.012569,0.013229,0.014430,0.016841,0.021671,0.031355,0.050640", \ - "0.013959,0.014529,0.015588,0.017740,0.022181,0.031467,0.050649", \ - "0.017333,0.017915,0.018997,0.021170,0.025498,0.033935,0.051465", \ - "0.022767,0.023297,0.024274,0.026260,0.030321,0.038681,0.055607", \ - "0.030209,0.030701,0.031640,0.033533,0.037376,0.045215,0.061645"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010300,0.010770,0.011619,0.013263,0.016393,0.022280,0.033353", \ - "0.010915,0.011377,0.012215,0.013845,0.016957,0.022829,0.033895", \ - "0.014177,0.014602,0.015362,0.016790,0.019659,0.025345,0.036307", \ - "0.017680,0.018237,0.019240,0.021158,0.024703,0.030991,0.041752", \ - "0.019414,0.020085,0.021317,0.023662,0.028022,0.035828,0.049228", \ - "0.019441,0.020217,0.021648,0.024373,0.029482,0.038707,0.054620", \ - "0.017810,0.018690,0.020272,0.023324,0.029090,0.039626,0.057967"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.031152,0.032054,0.033700,0.036959,0.043397,0.056122,0.081373", \ - "0.031827,0.032739,0.034406,0.037702,0.044206,0.057023,0.082379", \ - "0.034260,0.035165,0.036821,0.040108,0.046616,0.059490,0.084967", \ - "0.037817,0.038738,0.040412,0.043703,0.050157,0.062925,0.088312", \ - "0.041868,0.042863,0.044664,0.048205,0.055090,0.068324,0.093505", \ - "0.048775,0.049847,0.051758,0.055469,0.062596,0.076202,0.102231", \ - "0.058389,0.059590,0.061701,0.065767,0.073446,0.087680,0.114235"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010978,0.011283,0.011844,0.012960,0.015181,0.019584,0.028333", \ - "0.010766,0.011088,0.011677,0.012834,0.015099,0.019543,0.028319", \ - "0.011123,0.011357,0.011808,0.012758,0.014813,0.019283,0.028257", \ - "0.016063,0.016270,0.016650,0.017405,0.018928,0.021931,0.028920", \ - "0.023003,0.023239,0.023665,0.024507,0.026163,0.029402,0.035686", \ - "0.031750,0.032035,0.032539,0.033521,0.035412,0.038975,0.045716", \ - "0.042249,0.042633,0.043246,0.044426,0.046661,0.050709,0.058039"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.015001,0.015804,0.017273,0.020205,0.026045,0.037654,0.060766", \ - "0.015015,0.015810,0.017279,0.020206,0.026051,0.037665,0.060753", \ - "0.015047,0.015842,0.017301,0.020220,0.026047,0.037670,0.060755", \ - "0.016047,0.016771,0.018099,0.020789,0.026296,0.037706,0.060765", \ - "0.019031,0.019753,0.021088,0.023749,0.029049,0.039427,0.061169", \ - "0.024118,0.024758,0.025936,0.028340,0.033291,0.043542,0.064204", \ - "0.031423,0.032011,0.033125,0.035385,0.039967,0.049435,0.069517"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010750,0.011219,0.012058,0.013696,0.016824,0.022727,0.033858", \ - "0.011350,0.011813,0.012646,0.014270,0.017387,0.023278,0.034399", \ - "0.014597,0.015012,0.015751,0.017160,0.020060,0.025782,0.036811", \ - "0.018504,0.019028,0.019992,0.021839,0.025304,0.031509,0.042240", \ - "0.020904,0.021522,0.022659,0.024863,0.029050,0.036683,0.049947", \ - "0.021890,0.022579,0.023869,0.026366,0.031162,0.040054,0.055696", \ - "0.021267,0.022056,0.023476,0.026252,0.031590,0.041611,0.059483"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.035516,0.036408,0.038041,0.041282,0.047701,0.060414,0.085652", \ - "0.036262,0.037163,0.038812,0.042082,0.048553,0.061345,0.086671", \ - "0.038685,0.039585,0.041231,0.044503,0.050992,0.063842,0.089281", \ - "0.042301,0.043199,0.044829,0.048073,0.054497,0.067256,0.092636", \ - "0.046730,0.047697,0.049439,0.052899,0.059659,0.072713,0.097776", \ - "0.053909,0.054940,0.056760,0.060335,0.067294,0.080733,0.106576", \ - "0.064060,0.065189,0.067162,0.071025,0.078404,0.092325,0.118653"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012840,0.013129,0.013661,0.014726,0.016876,0.021194,0.029868", \ - "0.012631,0.012937,0.013497,0.014602,0.016794,0.021152,0.029853", \ - "0.012908,0.013136,0.013571,0.014490,0.016493,0.020897,0.029793", \ - "0.018134,0.018307,0.018623,0.019275,0.020645,0.023484,0.030439", \ - "0.025652,0.025842,0.026180,0.026876,0.028312,0.031267,0.037255", \ - "0.035087,0.035301,0.035704,0.036492,0.038094,0.041269,0.047608", \ - "0.046358,0.046653,0.047125,0.048076,0.049935,0.053497,0.060300"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.017548,0.018354,0.019845,0.022797,0.028670,0.040326,0.063476", \ - "0.017552,0.018357,0.019845,0.022798,0.028678,0.040330,0.063471", \ - "0.017566,0.018372,0.019854,0.022804,0.028677,0.040328,0.063474", \ - "0.018200,0.018951,0.020332,0.023126,0.028801,0.040347,0.063475", \ - "0.021067,0.021818,0.023185,0.025903,0.031218,0.041735,0.063746", \ - "0.025632,0.026315,0.027572,0.030116,0.035272,0.045740,0.066526", \ - "0.032562,0.033189,0.034378,0.036759,0.041559,0.051339,0.071750"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014502,0.014934,0.015714,0.017235,0.020174,0.025793,0.036540", \ - "0.015037,0.015468,0.016249,0.017772,0.020712,0.026334,0.037083", \ - "0.016661,0.017084,0.017853,0.019363,0.022302,0.027934,0.038704", \ - "0.019219,0.019689,0.020532,0.022174,0.025299,0.031133,0.041995", \ - "0.020848,0.021419,0.022452,0.024430,0.028107,0.034760,0.046631", \ - "0.020542,0.021245,0.022505,0.024886,0.029298,0.037219,0.050933", \ - "0.018097,0.018918,0.020406,0.023197,0.028363,0.037641,0.053638"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023405,0.024017,0.025133,0.027344,0.031718,0.040371,0.057544", \ - "0.024311,0.024926,0.026053,0.028280,0.032677,0.041359,0.058558", \ - "0.027500,0.028117,0.029242,0.031471,0.035876,0.044579,0.061816", \ - "0.031986,0.032681,0.033931,0.036356,0.040959,0.049687,0.066885", \ - "0.036895,0.037687,0.039112,0.041872,0.047137,0.056968,0.074976", \ - "0.044863,0.045738,0.047305,0.050312,0.055996,0.066547,0.085980", \ - "0.055845,0.056825,0.058582,0.061920,0.068153,0.079507,0.100009"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009862,0.010182,0.010766,0.011928,0.014216,0.018714,0.027601", \ - "0.009849,0.010172,0.010758,0.011920,0.014211,0.018712,0.027600", \ - "0.009796,0.010116,0.010705,0.011869,0.014160,0.018693,0.027600", \ - "0.011566,0.011852,0.012378,0.013410,0.015470,0.019536,0.027903", \ - "0.015575,0.015856,0.016370,0.017372,0.019304,0.023065,0.030623", \ - "0.020991,0.021324,0.021904,0.023027,0.025141,0.028991,0.036219", \ - "0.027446,0.027837,0.028514,0.029838,0.032298,0.036638,0.044216"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011543,0.012078,0.013061,0.015025,0.018956,0.026782,0.042354", \ - "0.011557,0.012087,0.013067,0.015030,0.018955,0.026785,0.042367", \ - "0.011606,0.012133,0.013109,0.015055,0.018965,0.026787,0.042366", \ - "0.013563,0.013997,0.014796,0.016425,0.019828,0.027046,0.042367", \ - "0.017664,0.018115,0.018948,0.020616,0.023966,0.030562,0.043910", \ - "0.023214,0.023631,0.024407,0.025995,0.029258,0.035899,0.049213", \ - "0.029903,0.030318,0.031081,0.032653,0.035879,0.042427,0.055777"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013647,0.014079,0.014863,0.016389,0.019330,0.024946,0.035678", \ - "0.014155,0.014590,0.015373,0.016898,0.019840,0.025455,0.036190", \ - "0.015781,0.016210,0.016979,0.018483,0.021414,0.027039,0.037791", \ - "0.018107,0.018585,0.019453,0.021123,0.024284,0.030160,0.041046", \ - "0.019126,0.019724,0.020803,0.022855,0.026656,0.033471,0.045490", \ - "0.017920,0.018659,0.019984,0.022477,0.027083,0.035291,0.049343", \ - "0.014308,0.015187,0.016751,0.019687,0.025105,0.034781,0.051296"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.027314,0.028071,0.029458,0.032211,0.037676,0.048514,0.070062", \ - "0.028160,0.028927,0.030324,0.033098,0.038591,0.049465,0.071047", \ - "0.030990,0.031752,0.033148,0.035923,0.041424,0.052325,0.073953", \ - "0.034722,0.035540,0.037020,0.039898,0.045436,0.056296,0.077882", \ - "0.039146,0.040034,0.041634,0.044774,0.050845,0.062423,0.084159", \ - "0.047293,0.048241,0.049939,0.053227,0.059527,0.071488,0.094184", \ - "0.058871,0.059924,0.061789,0.065369,0.072116,0.084611,0.107836"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009322,0.009644,0.010232,0.011395,0.013684,0.018177,0.027048", \ - "0.009295,0.009622,0.010212,0.011380,0.013673,0.018172,0.027048", \ - "0.009277,0.009599,0.010186,0.011347,0.013635,0.018153,0.027048", \ - "0.011274,0.011550,0.012062,0.013067,0.015094,0.019103,0.027397", \ - "0.015477,0.015769,0.016273,0.017261,0.019155,0.022836,0.030274", \ - "0.021120,0.021454,0.022033,0.023146,0.025227,0.029012,0.036103", \ - "0.027872,0.028280,0.028961,0.030275,0.032698,0.036959,0.044401"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014428,0.015091,0.016319,0.018773,0.023673,0.033430,0.052855", \ - "0.014428,0.015096,0.016322,0.018775,0.023673,0.033428,0.052850", \ - "0.014454,0.015116,0.016339,0.018789,0.023677,0.033432,0.052862", \ - "0.015807,0.016382,0.017445,0.019628,0.024124,0.033509,0.052848", \ - "0.019297,0.019874,0.020944,0.023098,0.027416,0.035911,0.053624", \ - "0.024321,0.024854,0.025831,0.027841,0.031971,0.040466,0.057542", \ - "0.030712,0.031213,0.032172,0.034114,0.038094,0.046260,0.063184"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014041,0.014470,0.015250,0.016773,0.019717,0.025353,0.036147", \ - "0.014549,0.014979,0.015759,0.017283,0.020226,0.025864,0.036659", \ - "0.016166,0.016588,0.017353,0.018860,0.021800,0.027448,0.038261", \ - "0.018588,0.019060,0.019913,0.021565,0.024709,0.030590,0.041515", \ - "0.019879,0.020461,0.021509,0.023516,0.027260,0.034025,0.046027", \ - "0.019089,0.019794,0.021069,0.023490,0.027993,0.036087,0.050050", \ - "0.016045,0.016863,0.018364,0.021181,0.026440,0.035927,0.052269"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.030970,0.031724,0.033106,0.035853,0.041308,0.052139,0.073660", \ - "0.031852,0.032610,0.034001,0.036762,0.042241,0.053097,0.074654", \ - "0.034688,0.035446,0.036837,0.039602,0.045090,0.055975,0.077576", \ - "0.038656,0.039436,0.040845,0.043626,0.049099,0.059945,0.081507", \ - "0.043447,0.044303,0.045845,0.048894,0.054831,0.066220,0.087749", \ - "0.051815,0.052724,0.054339,0.057503,0.063640,0.075426,0.097919", \ - "0.063813,0.064795,0.066557,0.069952,0.076440,0.088655,0.111654"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011213,0.011513,0.012062,0.013163,0.015366,0.019768,0.028568", \ - "0.011187,0.011489,0.012042,0.013147,0.015356,0.019764,0.028567", \ - "0.011165,0.011463,0.012012,0.013113,0.015317,0.019745,0.028567", \ - "0.013223,0.013471,0.013938,0.014870,0.016786,0.020676,0.028913", \ - "0.017766,0.018009,0.018439,0.019306,0.021035,0.024527,0.031811", \ - "0.023938,0.024210,0.024691,0.025637,0.027473,0.030953,0.037769", \ - "0.031353,0.031665,0.032215,0.033317,0.035425,0.039272,0.046303"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.016569,0.017243,0.018486,0.020950,0.025868,0.035672,0.055083", \ - "0.016572,0.017245,0.018486,0.020951,0.025868,0.035650,0.055087", \ - "0.016581,0.017254,0.018490,0.020955,0.025878,0.035672,0.055080", \ - "0.017506,0.018109,0.019228,0.021497,0.026130,0.035698,0.055091", \ - "0.020894,0.021491,0.022596,0.024797,0.029162,0.037723,0.055682", \ - "0.025563,0.026125,0.027171,0.029283,0.033569,0.042212,0.059341", \ - "0.031708,0.032265,0.033275,0.035318,0.039460,0.047841,0.064977"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011408,0.011886,0.012748,0.014410,0.017563,0.023471,0.034546", \ - "0.011962,0.012438,0.013296,0.014953,0.018101,0.024005,0.035080", \ - "0.013787,0.014247,0.015076,0.016678,0.019737,0.025594,0.036667", \ - "0.016051,0.016575,0.017509,0.019303,0.022635,0.028745,0.039919", \ - "0.016735,0.017386,0.018555,0.020763,0.024800,0.031947,0.044307", \ - "0.015180,0.015985,0.017407,0.020067,0.024931,0.033514,0.048014", \ - "0.011232,0.012210,0.013872,0.016978,0.022669,0.032737,0.049741"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026265,0.027008,0.028363,0.031046,0.036350,0.046850,0.067715", \ - "0.027126,0.027875,0.029242,0.031943,0.037278,0.047811,0.068713", \ - "0.030245,0.030993,0.032359,0.035064,0.040406,0.050964,0.071909", \ - "0.034580,0.035390,0.036848,0.039677,0.045085,0.055620,0.076516", \ - "0.039306,0.040195,0.041785,0.044891,0.050865,0.062179,0.083320", \ - "0.047196,0.048152,0.049858,0.053145,0.059401,0.071195,0.093419", \ - "0.058348,0.059410,0.061299,0.064896,0.071636,0.084034,0.106905"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009083,0.009430,0.010055,0.011280,0.013648,0.018214,0.027109", \ - "0.008984,0.009340,0.009978,0.011220,0.013609,0.018195,0.027101", \ - "0.008921,0.009251,0.009851,0.011058,0.013437,0.018070,0.027076", \ - "0.011309,0.011577,0.012077,0.013065,0.015061,0.019074,0.027367", \ - "0.015804,0.016082,0.016580,0.017542,0.019394,0.022995,0.030340", \ - "0.021662,0.021982,0.022551,0.023644,0.025676,0.029378,0.036327", \ - "0.028633,0.029010,0.029681,0.030971,0.033345,0.037507,0.044788"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012237,0.012895,0.014103,0.016519,0.021346,0.030990,0.050211", \ - "0.012257,0.012910,0.014116,0.016528,0.021350,0.030997,0.050202", \ - "0.012320,0.012964,0.014158,0.016555,0.021362,0.031005,0.050204", \ - "0.013791,0.014359,0.015405,0.017523,0.021915,0.031129,0.050221", \ - "0.017030,0.017632,0.018724,0.020906,0.025221,0.033674,0.051090", \ - "0.021771,0.022339,0.023382,0.025478,0.029689,0.038206,0.055187", \ - "0.028039,0.028590,0.029612,0.031665,0.035772,0.044016,0.060870"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010917,0.011387,0.012237,0.013878,0.016998,0.022857,0.033868", \ - "0.011457,0.011924,0.012769,0.014403,0.017514,0.023367,0.034375", \ - "0.013240,0.013695,0.014518,0.016111,0.019138,0.024944,0.035950", \ - "0.015208,0.015738,0.016696,0.018513,0.021878,0.028004,0.039171", \ - "0.015280,0.015962,0.017174,0.019448,0.023599,0.030889,0.043370", \ - "0.012855,0.013694,0.015178,0.017952,0.023012,0.031854,0.046658", \ - "0.007781,0.008795,0.010541,0.013798,0.019745,0.030199,0.047687"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.029895,0.030779,0.032396,0.035605,0.041971,0.054610,0.079759", \ - "0.030701,0.031593,0.033222,0.036454,0.042855,0.055535,0.080722", \ - "0.033473,0.034362,0.035990,0.039223,0.045633,0.058342,0.083586", \ - "0.037089,0.038023,0.039700,0.042972,0.049376,0.062031,0.087221", \ - "0.041298,0.042284,0.044073,0.047585,0.054419,0.067566,0.092604", \ - "0.049351,0.050387,0.052244,0.055843,0.062786,0.076122,0.101812", \ - "0.061121,0.062252,0.064270,0.068146,0.075465,0.089143,0.114995"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008544,0.008893,0.009525,0.010751,0.013120,0.017682,0.026559", \ - "0.008433,0.008791,0.009433,0.010682,0.013072,0.017655,0.026549", \ - "0.008500,0.008812,0.009403,0.010590,0.012942,0.017544,0.026526", \ - "0.011043,0.011315,0.011793,0.012767,0.014714,0.018670,0.026876", \ - "0.015659,0.015940,0.016440,0.017392,0.019224,0.022760,0.030003", \ - "0.021659,0.021990,0.022564,0.023656,0.025677,0.029334,0.036185", \ - "0.028896,0.029281,0.029950,0.031240,0.033596,0.037721,0.044887"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014693,0.015487,0.016949,0.019864,0.025686,0.037255,0.060289", \ - "0.014704,0.015502,0.016956,0.019871,0.025688,0.037276,0.060299", \ - "0.014737,0.015523,0.016978,0.019880,0.025696,0.037271,0.060290", \ - "0.015817,0.016529,0.017840,0.020511,0.025972,0.037298,0.060295", \ - "0.018698,0.019426,0.020760,0.023425,0.028720,0.039100,0.060739", \ - "0.023133,0.023811,0.025057,0.027571,0.032675,0.043044,0.063759", \ - "0.029247,0.029902,0.031111,0.033535,0.038394,0.048298,0.068767"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011288,0.011754,0.012603,0.014240,0.017366,0.023247,0.034320", \ - "0.011821,0.012288,0.013130,0.014763,0.017880,0.023757,0.034827", \ - "0.013594,0.014049,0.014869,0.016457,0.019493,0.025331,0.036402", \ - "0.015708,0.016230,0.017166,0.018955,0.022293,0.028418,0.039620", \ - "0.016122,0.016772,0.017939,0.020154,0.024232,0.031441,0.043897", \ - "0.014222,0.015004,0.016410,0.019071,0.023990,0.032686,0.047366", \ - "0.009890,0.010813,0.012434,0.015509,0.021225,0.031427,0.048696"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.034729,0.035610,0.037225,0.040436,0.046814,0.059482,0.084676", \ - "0.035575,0.036462,0.038086,0.041314,0.047718,0.060417,0.085643", \ - "0.038349,0.039236,0.040861,0.044092,0.050509,0.063237,0.088514", \ - "0.042104,0.042995,0.044618,0.047842,0.054226,0.066908,0.092146", \ - "0.046680,0.047639,0.049368,0.052801,0.059521,0.072509,0.097464", \ - "0.054902,0.055891,0.057650,0.061134,0.067937,0.081153,0.106712", \ - "0.067106,0.068164,0.070061,0.073729,0.080783,0.094206,0.119921"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010904,0.011213,0.011781,0.012909,0.015144,0.019562,0.028323", \ - "0.010792,0.011110,0.011690,0.012837,0.015095,0.019535,0.028314", \ - "0.010783,0.011077,0.011619,0.012724,0.014958,0.019423,0.028292", \ - "0.013449,0.013676,0.014089,0.014952,0.016744,0.020523,0.028635", \ - "0.018554,0.018762,0.019147,0.019923,0.021503,0.024774,0.031793", \ - "0.025281,0.025512,0.025932,0.026779,0.028439,0.031675,0.038143", \ - "0.033346,0.033602,0.034086,0.035068,0.036969,0.040527,0.047151"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.017554,0.018364,0.019848,0.022800,0.028668,0.040330,0.063463", \ - "0.017554,0.018367,0.019848,0.022800,0.028669,0.040333,0.063471", \ - "0.017572,0.018377,0.019853,0.022806,0.028674,0.040321,0.063476", \ - "0.018225,0.018972,0.020360,0.023144,0.028805,0.040342,0.063473", \ - "0.021028,0.021783,0.023154,0.025876,0.031218,0.041765,0.063742", \ - "0.025050,0.025774,0.027090,0.029727,0.035020,0.045599,0.066490", \ - "0.030841,0.031540,0.032810,0.035351,0.040417,0.050627,0.071422"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011802,0.012277,0.013135,0.014790,0.017947,0.023875,0.035010", \ - "0.012352,0.012824,0.013680,0.015333,0.018483,0.024408,0.035542", \ - "0.014161,0.014619,0.015446,0.017036,0.020109,0.025994,0.037129", \ - "0.016557,0.017068,0.017987,0.019750,0.023058,0.029167,0.040377", \ - "0.017565,0.018192,0.019319,0.021465,0.025429,0.032500,0.044840", \ - "0.016507,0.017260,0.018612,0.021161,0.025899,0.034337,0.048719", \ - "0.013251,0.014139,0.015688,0.018627,0.024103,0.033936,0.050732"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.030287,0.031025,0.032374,0.035053,0.040363,0.050888,0.071806", \ - "0.031184,0.031925,0.033283,0.035975,0.041306,0.051858,0.072804", \ - "0.034307,0.035049,0.036407,0.039104,0.044445,0.055023,0.076012", \ - "0.038917,0.039681,0.041057,0.043778,0.049120,0.059661,0.080605", \ - "0.044073,0.044918,0.046441,0.049441,0.055263,0.066385,0.087352", \ - "0.052259,0.053153,0.054769,0.057906,0.063974,0.075579,0.097615", \ - "0.063899,0.064887,0.066646,0.070034,0.076475,0.088557,0.111201"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011500,0.011805,0.012366,0.013483,0.015707,0.020120,0.028888", \ - "0.011401,0.011713,0.012287,0.013423,0.015668,0.020100,0.028881", \ - "0.011281,0.011577,0.012128,0.013243,0.015490,0.019975,0.028856", \ - "0.013749,0.013975,0.014399,0.015281,0.017117,0.020946,0.029141", \ - "0.018676,0.018891,0.019278,0.020067,0.021677,0.025012,0.032143", \ - "0.025208,0.025430,0.025859,0.026719,0.028406,0.031704,0.038292", \ - "0.032943,0.033198,0.033696,0.034702,0.036645,0.040288,0.047034"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.014553,0.015221,0.016452,0.018909,0.023802,0.033531,0.052845", \ - "0.014557,0.015228,0.016460,0.018917,0.023802,0.033525,0.052840", \ - "0.014597,0.015263,0.016485,0.018931,0.023817,0.033532,0.052840", \ - "0.015593,0.016196,0.017306,0.019550,0.024130,0.033606,0.052853", \ - "0.018809,0.019428,0.020555,0.022793,0.027186,0.035724,0.053509", \ - "0.023213,0.023828,0.024928,0.027125,0.031510,0.040214,0.057313", \ - "0.029225,0.029813,0.030898,0.033060,0.037333,0.045843,0.062983"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011288,0.011754,0.012603,0.014240,0.017366,0.023247,0.034320", \ - "0.011821,0.012288,0.013130,0.014763,0.017880,0.023757,0.034827", \ - "0.013594,0.014049,0.014869,0.016457,0.019493,0.025331,0.036402", \ - "0.015708,0.016230,0.017166,0.018955,0.022293,0.028418,0.039620", \ - "0.016122,0.016772,0.017939,0.020154,0.024232,0.031441,0.043897", \ - "0.014222,0.015004,0.016410,0.019071,0.023990,0.032686,0.047366", \ - "0.009890,0.010813,0.012434,0.015509,0.021225,0.031427,0.048696"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.034729,0.035610,0.037225,0.040436,0.046814,0.059482,0.084676", \ - "0.035575,0.036462,0.038086,0.041314,0.047718,0.060417,0.085643", \ - "0.038349,0.039236,0.040861,0.044092,0.050509,0.063237,0.088514", \ - "0.042104,0.042995,0.044618,0.047842,0.054226,0.066908,0.092146", \ - "0.046680,0.047639,0.049368,0.052801,0.059521,0.072509,0.097464", \ - "0.054902,0.055891,0.057650,0.061134,0.067937,0.081153,0.106712", \ - "0.067106,0.068164,0.070061,0.073729,0.080783,0.094206,0.119921"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010904,0.011213,0.011781,0.012909,0.015144,0.019562,0.028323", \ - "0.010792,0.011110,0.011690,0.012837,0.015095,0.019535,0.028314", \ - "0.010783,0.011077,0.011619,0.012724,0.014958,0.019423,0.028292", \ - "0.013449,0.013676,0.014089,0.014952,0.016744,0.020523,0.028635", \ - "0.018554,0.018762,0.019147,0.019923,0.021503,0.024774,0.031793", \ - "0.025281,0.025512,0.025932,0.026779,0.028439,0.031675,0.038143", \ - "0.033346,0.033602,0.034086,0.035068,0.036969,0.040527,0.047151"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.017554,0.018364,0.019848,0.022800,0.028668,0.040330,0.063463", \ - "0.017554,0.018367,0.019848,0.022800,0.028669,0.040333,0.063471", \ - "0.017572,0.018377,0.019853,0.022806,0.028674,0.040321,0.063476", \ - "0.018225,0.018972,0.020360,0.023144,0.028805,0.040342,0.063473", \ - "0.021028,0.021783,0.023154,0.025876,0.031218,0.041765,0.063742", \ - "0.025050,0.025774,0.027090,0.029727,0.035020,0.045599,0.066490", \ - "0.030841,0.031540,0.032810,0.035351,0.040417,0.050627,0.071422"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011732,0.012198,0.013037,0.014669,0.017794,0.023695,0.034826", \ - "0.012265,0.012726,0.013558,0.015190,0.018308,0.024205,0.035334", \ - "0.014024,0.014474,0.015285,0.016861,0.019907,0.025777,0.036909", \ - "0.016303,0.016812,0.017716,0.019474,0.022776,0.028892,0.040125", \ - "0.017143,0.017759,0.018864,0.020991,0.024962,0.032073,0.044487", \ - "0.015916,0.016634,0.017936,0.020441,0.025154,0.033642,0.048162", \ - "0.012486,0.013313,0.014788,0.017620,0.023009,0.032854,0.049819"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.039026,0.039905,0.041514,0.044717,0.051085,0.063736,0.088902", \ - "0.039899,0.040782,0.042400,0.045614,0.052000,0.064682,0.089879", \ - "0.042687,0.043570,0.045189,0.048409,0.054810,0.067519,0.092762", \ - "0.046458,0.047338,0.048946,0.052151,0.058518,0.071187,0.096406", \ - "0.051382,0.052317,0.054005,0.057368,0.063979,0.076780,0.101684", \ - "0.059684,0.060650,0.062349,0.065754,0.072462,0.085562,0.110967", \ - "0.072153,0.073184,0.074992,0.078523,0.085390,0.098634,0.124207"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012767,0.013060,0.013599,0.014677,0.016839,0.021172,0.029859", \ - "0.012658,0.012957,0.013508,0.014605,0.016791,0.021145,0.029849", \ - "0.012615,0.012897,0.013415,0.014479,0.016648,0.021034,0.029827", \ - "0.015350,0.015553,0.015931,0.016732,0.018440,0.022106,0.030164", \ - "0.020795,0.020964,0.021285,0.021956,0.023383,0.026474,0.033340", \ - "0.028056,0.028235,0.028566,0.029263,0.030694,0.033634,0.039833", \ - "0.036752,0.036937,0.037316,0.038108,0.039703,0.042866,0.049074"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.020106,0.020928,0.022420,0.025390,0.031288,0.042976,0.066139", \ - "0.020110,0.020929,0.022417,0.025391,0.031287,0.042973,0.066145", \ - "0.020118,0.020928,0.022423,0.025391,0.031289,0.042970,0.066149", \ - "0.020499,0.021275,0.022694,0.025565,0.031360,0.042986,0.066147", \ - "0.023193,0.023952,0.025336,0.028072,0.033399,0.044119,0.066318", \ - "0.026960,0.027714,0.029056,0.031761,0.037156,0.047840,0.068832", \ - "0.032489,0.033192,0.034508,0.037107,0.042308,0.052720,0.073691"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.625194,0.624148,0.630385,0.647558,0.658593,0.691733,0.705558", \ - "0.605147,0.602704,0.615911,0.636356,0.670357,0.720706,0.766202", \ - "1.128743,1.102702,1.065162,1.005501,0.955780,0.930379,0.924267", \ - "3.030755,2.978512,2.888691,2.681495,2.332210,1.901104,1.606455", \ - "5.994509,5.981912,5.923282,5.739155,5.317064,4.496518,3.419563", \ - "10.007790,9.946449,9.995104,9.805696,9.565145,8.789056,7.144023", \ - "15.104990,15.131800,15.152910,15.121780,14.879950,14.424150,12.786150"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.441576,7.561554,7.636747,7.693783,7.711524,7.781720,7.821402", \ - "7.336453,7.460388,7.471278,7.587856,7.666844,7.672398,7.774066", \ - "8.078963,8.042466,8.093146,8.082208,8.011232,7.952387,7.907687", \ - "10.056220,9.979116,10.065830,9.927486,9.705952,9.247540,8.964087", \ - "13.428810,13.413380,13.288490,13.056940,12.790550,12.251020,11.512380", \ - "18.797790,18.667390,18.525280,18.248300,17.630250,16.886520,15.705510", \ - "25.855030,25.726930,25.550710,25.258450,24.619730,23.480250,21.747790"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.731472,0.717970,0.710014,0.698476,0.694615,0.696510,0.707725", \ - "0.709172,0.697067,0.692302,0.699356,0.714673,0.737060,0.779536", \ - "1.202352,1.176489,1.144792,1.084066,1.027778,0.997461,0.976741", \ - "2.871885,2.832779,2.754876,2.584005,2.296068,1.914908,1.636173", \ - "5.433557,5.436398,5.380468,5.246469,4.920665,4.233243,3.279343", \ - "8.904926,8.856231,8.894987,8.853964,8.627728,8.016909,6.624647", \ - "13.228850,13.306850,13.354410,13.323090,13.362740,12.953100,11.622480"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.361270,7.417323,7.440344,7.472370,7.615007,7.658895,7.544293", \ - "7.264378,7.251517,7.337385,7.370619,7.494199,7.584191,7.591809", \ - "7.890036,7.869386,7.847734,7.813721,7.837164,7.817392,7.786578", \ - "9.828306,9.748127,9.660930,9.541166,9.331218,9.020735,8.771235", \ - "12.787830,12.745730,12.684910,12.491420,12.353990,11.758490,10.968650", \ - "17.686720,17.508380,17.411760,17.026710,16.610880,15.961780,14.611690", \ - "24.247240,24.062750,23.962660,23.508750,22.829230,21.768200,20.102390"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.676693,0.667468,0.674453,0.681410,0.676546,0.691117,0.707041", \ - "0.664949,0.655694,0.666495,0.678866,0.697486,0.741733,0.782468", \ - "1.158392,1.129000,1.108670,1.072382,1.022311,0.994051,0.974544", \ - "2.857646,2.807112,2.701372,2.537319,2.244614,1.899489,1.630729", \ - "5.619380,5.584784,5.482241,5.271469,4.895636,4.198371,3.265800", \ - "9.261338,9.230672,9.217953,9.025515,8.763647,8.032460,6.587431", \ - "13.894290,13.835030,13.882700,13.829250,13.584800,13.115000,11.618090"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.558216,9.614609,9.637537,9.744314,9.806196,9.893086,9.913615", \ - "9.472363,9.527296,9.549417,9.624950,9.639998,9.845921,9.842677", \ - "9.941683,9.980545,9.975625,9.963487,9.896641,9.873172,10.029800", \ - "11.702110,11.710450,11.656500,11.568830,11.401820,11.195200,10.758890", \ - "14.626320,14.576220,14.601020,14.484260,14.223820,13.795790,13.138830", \ - "19.258690,19.199900,19.129200,18.869010,18.485270,17.954940,16.724070", \ - "25.780400,25.715330,25.507910,25.227710,24.477690,23.643010,22.145320"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.731472,0.717970,0.710014,0.698476,0.694615,0.696510,0.707725", \ - "0.709172,0.697067,0.692302,0.699356,0.714673,0.737060,0.779536", \ - "1.202352,1.176489,1.144792,1.084066,1.027778,0.997461,0.976741", \ - "2.871885,2.832779,2.754876,2.584005,2.296068,1.914908,1.636173", \ - "5.433557,5.436398,5.380468,5.246469,4.920665,4.233243,3.279343", \ - "8.904926,8.856231,8.894987,8.853964,8.627728,8.016909,6.624647", \ - "13.228850,13.306850,13.354410,13.323090,13.362740,12.953100,11.622480"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.361270,7.417323,7.440344,7.472370,7.615007,7.658895,7.544293", \ - "7.264378,7.251517,7.337385,7.370619,7.494199,7.584191,7.591809", \ - "7.890036,7.869386,7.847734,7.813721,7.837164,7.817392,7.786578", \ - "9.828306,9.748127,9.660930,9.541166,9.331218,9.020735,8.771235", \ - "12.787830,12.745730,12.684910,12.491420,12.353990,11.758490,10.968650", \ - "17.686720,17.508380,17.411760,17.026710,16.610880,15.961780,14.611690", \ - "24.247240,24.062750,23.962660,23.508750,22.829230,21.768200,20.102390"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.754327,0.744568,0.735172,0.721177,0.713769,0.711927,0.721115", \ - "0.758324,0.754388,0.747404,0.750441,0.753122,0.788882,0.821939", \ - "1.240929,1.215950,1.188275,1.133376,1.083476,1.045227,1.033266", \ - "2.779444,2.738739,2.647524,2.511903,2.247787,1.899550,1.643556", \ - "5.059878,5.038571,5.006236,4.884239,4.614250,4.020803,3.144804", \ - "8.100398,8.112311,8.094403,8.093084,7.909595,7.447587,6.202859", \ - "11.978440,12.013880,12.088070,12.064860,12.151210,11.843380,10.725560"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.535440,7.538369,7.594719,7.616374,7.664322,7.705187,7.589399", \ - "7.376317,7.430752,7.395723,7.498115,7.545287,7.713208,7.658561", \ - "7.940688,7.932925,7.858627,7.899510,7.781409,7.781469,7.832840", \ - "9.658132,9.623305,9.589789,9.470251,9.155470,9.041137,8.667455", \ - "12.466710,12.436080,12.406370,12.322540,11.916690,11.402410,10.774530", \ - "16.826820,16.728110,16.579050,16.317440,15.826090,15.300650,14.166000", \ - "22.853490,22.753440,22.474210,22.128600,21.501700,20.373270,19.038360"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.701933,0.695511,0.698691,0.694614,0.695894,0.703934,0.720154", \ - "0.716242,0.709914,0.719279,0.729649,0.749774,0.782679,0.825367", \ - "1.199599,1.178477,1.157686,1.123737,1.075958,1.047417,1.032588", \ - "2.760639,2.714889,2.610723,2.468449,2.196787,1.884645,1.641891", \ - "5.241641,5.181566,5.107694,4.948057,4.606967,3.984370,3.132269", \ - "8.496920,8.481708,8.400872,8.319251,8.082807,7.454913,6.160932", \ - "12.588410,12.573020,12.560670,12.496290,12.403860,11.945130,10.743920"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.727660,9.743561,9.798914,9.839869,9.913159,9.970999,10.062130", \ - "9.636579,9.671943,9.706984,9.724022,9.840335,9.819816,9.798564", \ - "10.061480,10.062070,10.000930,9.996892,10.052320,10.007160,10.085930", \ - "11.647600,11.624950,11.521880,11.507740,11.243090,11.195330,10.728110", \ - "14.369240,14.413940,14.391620,14.191560,13.872820,13.502370,12.845730", \ - "18.453740,18.370970,18.343790,18.120520,17.866770,17.172950,16.134750", \ - "24.413910,24.311510,24.150250,23.793500,23.258430,22.454490,21.036210"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.676693,0.667468,0.674453,0.681410,0.676546,0.691117,0.707041", \ - "0.664949,0.655694,0.666495,0.678866,0.697486,0.741733,0.782468", \ - "1.158392,1.129000,1.108670,1.072382,1.022311,0.994051,0.974544", \ - "2.857646,2.807112,2.701372,2.537319,2.244614,1.899489,1.630729", \ - "5.619380,5.584784,5.482241,5.271469,4.895636,4.198371,3.265800", \ - "9.261338,9.230672,9.217953,9.025515,8.763647,8.032460,6.587431", \ - "13.894290,13.835030,13.882700,13.829250,13.584800,13.115000,11.618090"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.558216,9.614609,9.637537,9.744314,9.806196,9.893086,9.913615", \ - "9.472363,9.527296,9.549417,9.624950,9.639998,9.845921,9.842677", \ - "9.941683,9.980545,9.975625,9.963487,9.896641,9.873172,10.029800", \ - "11.702110,11.710450,11.656500,11.568830,11.401820,11.195200,10.758890", \ - "14.626320,14.576220,14.601020,14.484260,14.223820,13.795790,13.138830", \ - "19.258690,19.199900,19.129200,18.869010,18.485270,17.954940,16.724070", \ - "25.780400,25.715330,25.507910,25.227710,24.477690,23.643010,22.145320"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.701933,0.695511,0.698691,0.694614,0.695894,0.703934,0.720154", \ - "0.716242,0.709914,0.719279,0.729649,0.749774,0.782679,0.825367", \ - "1.199599,1.178477,1.157686,1.123737,1.075958,1.047417,1.032588", \ - "2.760639,2.714889,2.610723,2.468449,2.196787,1.884645,1.641891", \ - "5.241641,5.181566,5.107694,4.948057,4.606967,3.984370,3.132269", \ - "8.496920,8.481708,8.400872,8.319251,8.082807,7.454913,6.160932", \ - "12.588410,12.573020,12.560670,12.496290,12.403860,11.945130,10.743920"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.727660,9.743561,9.798914,9.839869,9.913159,9.970999,10.062130", \ - "9.636579,9.671943,9.706984,9.724022,9.840335,9.819816,9.798564", \ - "10.061480,10.062070,10.000930,9.996892,10.052320,10.007160,10.085930", \ - "11.647600,11.624950,11.521880,11.507740,11.243090,11.195330,10.728110", \ - "14.369240,14.413940,14.391620,14.191560,13.872820,13.502370,12.845730", \ - "18.453740,18.370970,18.343790,18.120520,17.866770,17.172950,16.134750", \ - "24.413910,24.311510,24.150250,23.793500,23.258430,22.454490,21.036210"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.671108,0.670609,0.673700,0.684357,0.692570,0.702215,0.719189", \ - "0.689683,0.683722,0.701301,0.721988,0.742815,0.781392,0.821545", \ - "1.168463,1.153049,1.127923,1.107144,1.075935,1.044564,1.032712", \ - "2.710172,2.657459,2.568601,2.411052,2.149465,1.875399,1.642488", \ - "5.308807,5.221961,5.154107,4.946514,4.591194,3.939370,3.124680", \ - "8.718800,8.685394,8.581931,8.487486,8.149243,7.451078,6.127808", \ - "13.042870,13.006540,12.997970,12.903590,12.613350,12.051830,10.710820"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("11.952700,11.951680,12.006790,12.073620,12.126700,12.187690,12.097530", \ - "11.857660,11.873190,11.928430,11.940640,12.076590,12.115440,12.141820", \ - "12.216590,12.221880,12.167330,12.184370,12.207300,12.316750,12.114710", \ - "13.690440,13.677890,13.592190,13.582910,13.502870,13.327230,13.082820", \ - "16.426960,16.426020,16.350360,16.205590,15.901180,15.583630,14.928890", \ - "20.310930,20.268050,20.180490,19.990690,19.872090,19.172540,18.291190", \ - "26.036070,25.934420,25.788250,25.557170,24.959130,24.320390,23.240650"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.629676,0.633160,0.641654,0.643615,0.664497,0.696269,0.707809", \ - "0.495935,0.502580,0.531397,0.576657,0.634118,0.692417,0.755458", \ - "0.701612,0.684098,0.672934,0.662864,0.670675,0.714834,0.780666", \ - "2.179691,2.173569,2.098454,1.961279,1.708245,1.369435,1.207938", \ - "4.661225,4.616839,4.586128,4.479540,4.185037,3.577137,2.666466", \ - "7.911795,7.878137,7.845437,7.815739,7.673178,7.194945,5.917995", \ - "11.898720,12.017930,12.038460,12.038270,12.036580,11.767250,10.741150"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.726508,9.760959,9.742416,9.740768,9.881004,9.881852,10.017050", \ - "9.623631,9.615223,9.575824,9.613698,9.719876,9.826329,9.851551", \ - "10.218150,10.163110,10.282090,10.246100,10.143880,10.170400,9.887093", \ - "12.418780,12.372640,12.224380,12.149500,11.931310,11.575550,11.243250", \ - "15.768650,15.732290,15.649800,15.491940,15.413930,14.691750,14.009120", \ - "21.003480,20.990350,20.927680,20.703120,20.319190,19.674750,18.486360", \ - "28.303460,28.188830,28.152980,27.925190,27.436450,26.504070,24.948120"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.716280,0.714731,0.708019,0.693345,0.698217,0.700577,0.711180", \ - "0.593913,0.608328,0.607320,0.634390,0.664594,0.709356,0.766753", \ - "0.801057,0.786116,0.768219,0.759256,0.750667,0.788723,0.836452", \ - "2.107993,2.106192,2.049454,1.933176,1.726048,1.434250,1.267994", \ - "4.141624,4.129115,4.154677,4.076907,3.880242,3.397425,2.604505", \ - "6.901563,6.876955,6.876682,6.955119,6.876398,6.533149,5.502104", \ - "10.352480,10.313280,10.420310,10.546540,10.552960,10.490760,9.714685"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.541234,9.566229,9.590724,9.612601,9.727125,9.609885,9.862986", \ - "9.412099,9.462821,9.476562,9.496503,9.514013,9.642265,9.733950", \ - "10.110340,10.029780,10.030370,10.032650,10.037590,10.023350,9.898999", \ - "12.021000,12.025340,11.965410,11.871850,11.617470,11.409990,11.051510", \ - "15.167920,15.155050,15.123840,15.018410,14.769340,14.163020,13.303050", \ - "19.825350,19.875220,19.774260,19.517650,19.167530,18.665630,17.314970", \ - "26.403630,26.338280,26.250000,26.020670,25.555810,24.680830,23.244960"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.670551,0.680480,0.677524,0.680250,0.678951,0.695055,0.706934", \ - "0.559122,0.573712,0.586157,0.612676,0.654344,0.713312,0.762831", \ - "0.750066,0.752961,0.732075,0.735226,0.742418,0.781936,0.835514", \ - "2.123506,2.086024,2.008810,1.895402,1.679065,1.419565,1.271570", \ - "4.318876,4.279740,4.268198,4.135984,3.897728,3.356999,2.579228", \ - "7.253753,7.230835,7.267358,7.190693,7.018641,6.551458,5.481472", \ - "10.894540,10.855100,10.946700,10.995050,10.942290,10.668560,9.757653"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("11.698930,11.769330,11.765940,11.832140,11.945050,11.823670,12.028170", \ - "11.604630,11.686920,11.672550,11.769970,11.818890,11.752580,11.949470", \ - "12.110980,12.150900,12.145720,12.215800,12.090570,12.184940,12.139670", \ - "14.012850,13.914600,13.873680,13.846790,13.693730,13.473750,13.004050", \ - "17.068480,17.073000,17.124480,16.989380,16.680690,16.255450,15.633700", \ - "21.693700,21.681860,21.513500,21.465720,21.203250,20.533020,19.395170", \ - "28.106240,28.104960,27.995710,27.825010,27.334120,26.622320,25.292470"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.716280,0.714731,0.708019,0.693345,0.698217,0.700577,0.711180", \ - "0.593913,0.608328,0.607320,0.634390,0.664594,0.709356,0.766753", \ - "0.801057,0.786116,0.768219,0.759256,0.750667,0.788723,0.836452", \ - "2.107993,2.106192,2.049454,1.933176,1.726048,1.434250,1.267994", \ - "4.141624,4.129115,4.154677,4.076907,3.880242,3.397425,2.604505", \ - "6.901563,6.876955,6.876682,6.955119,6.876398,6.533149,5.502104", \ - "10.352480,10.313280,10.420310,10.546540,10.552960,10.490760,9.714685"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.541234,9.566229,9.590724,9.612601,9.727125,9.609885,9.862986", \ - "9.412099,9.462821,9.476562,9.496503,9.514013,9.642265,9.733950", \ - "10.110340,10.029780,10.030370,10.032650,10.037590,10.023350,9.898999", \ - "12.021000,12.025340,11.965410,11.871850,11.617470,11.409990,11.051510", \ - "15.167920,15.155050,15.123840,15.018410,14.769340,14.163020,13.303050", \ - "19.825350,19.875220,19.774260,19.517650,19.167530,18.665630,17.314970", \ - "26.403630,26.338280,26.250000,26.020670,25.555810,24.680830,23.244960"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.743888,0.740025,0.733635,0.715914,0.716226,0.717627,0.723772", \ - "0.648045,0.659966,0.658145,0.684405,0.711876,0.753173,0.807945", \ - "0.861142,0.853947,0.833690,0.827357,0.820735,0.856780,0.905747", \ - "2.054046,2.049603,1.999225,1.911188,1.724805,1.462321,1.311198", \ - "3.905486,3.896846,3.884920,3.812932,3.659401,3.249267,2.535134", \ - "6.190169,6.294229,6.276824,6.313879,6.277981,6.022216,5.168689", \ - "9.225863,9.338585,9.320530,9.487560,9.578799,9.500160,8.949755"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.741957,9.714255,9.750314,9.737564,9.845029,9.919368,9.689015", \ - "9.585758,9.628180,9.643591,9.688647,9.691452,9.776798,9.625390", \ - "10.125220,10.120550,10.147920,10.088780,10.069000,10.056820,9.857053", \ - "11.941300,11.914090,11.804880,11.779400,11.542720,11.232080,10.960970", \ - "14.872020,14.839780,14.871380,14.673880,14.394190,13.873880,13.018200", \ - "19.049410,18.976630,18.898030,18.788940,18.485510,17.748460,16.579500", \ - "24.929990,24.837590,24.784070,24.578040,24.110940,23.359720,22.081280"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.694659,0.703549,0.699555,0.700629,0.703742,0.713641,0.722198", \ - "0.607790,0.624405,0.633076,0.660041,0.707828,0.753349,0.811690", \ - "0.813706,0.816177,0.814471,0.808713,0.813824,0.846387,0.901661", \ - "2.076003,2.044593,1.973045,1.875820,1.679373,1.447433,1.311007", \ - "4.049493,4.027922,4.000692,3.899442,3.686715,3.216019,2.509938", \ - "6.633382,6.607555,6.581484,6.535208,6.463507,6.076608,5.153269", \ - "9.852572,9.816154,9.774634,9.826803,9.850107,9.712340,8.953533"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("11.879810,11.897840,11.937580,11.932420,12.045750,12.077130,11.921280", \ - "11.784550,11.796010,11.844940,11.843440,11.867850,12.017460,12.065270", \ - "12.251070,12.285200,12.280010,12.259630,12.229190,12.286270,12.138660", \ - "13.962390,13.852750,13.852120,13.759480,13.658600,13.364920,13.067370", \ - "16.903070,16.816170,16.780590,16.623800,16.352880,15.929830,15.305910", \ - "20.923090,20.899150,20.821130,20.705570,20.505090,19.817680,18.771650", \ - "26.662850,26.667620,26.542320,26.388140,25.927010,25.391080,24.119660"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.670551,0.680480,0.677524,0.680250,0.678951,0.695055,0.706934", \ - "0.559122,0.573712,0.586157,0.612676,0.654344,0.713312,0.762831", \ - "0.750066,0.752961,0.732075,0.735226,0.742418,0.781936,0.835514", \ - "2.123506,2.086024,2.008810,1.895402,1.679065,1.419565,1.271570", \ - "4.318876,4.279740,4.268198,4.135984,3.897728,3.356999,2.579228", \ - "7.253753,7.230835,7.267358,7.190693,7.018641,6.551458,5.481472", \ - "10.894540,10.855100,10.946700,10.995050,10.942290,10.668560,9.757653"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("11.698930,11.769330,11.765940,11.832140,11.945050,11.823670,12.028170", \ - "11.604630,11.686920,11.672550,11.769970,11.818890,11.752580,11.949470", \ - "12.110980,12.150900,12.145720,12.215800,12.090570,12.184940,12.139670", \ - "14.012850,13.914600,13.873680,13.846790,13.693730,13.473750,13.004050", \ - "17.068480,17.073000,17.124480,16.989380,16.680690,16.255450,15.633700", \ - "21.693700,21.681860,21.513500,21.465720,21.203250,20.533020,19.395170", \ - "28.106240,28.104960,27.995710,27.825010,27.334120,26.622320,25.292470"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.694659,0.703549,0.699555,0.700629,0.703742,0.713641,0.722198", \ - "0.607790,0.624405,0.633076,0.660041,0.707828,0.753349,0.811690", \ - "0.813706,0.816177,0.814471,0.808713,0.813824,0.846387,0.901661", \ - "2.076003,2.044593,1.973045,1.875820,1.679373,1.447433,1.311007", \ - "4.049493,4.027922,4.000692,3.899442,3.686715,3.216019,2.509938", \ - "6.633382,6.607555,6.581484,6.535208,6.463507,6.076608,5.153269", \ - "9.852572,9.816154,9.774634,9.826803,9.850107,9.712340,8.953533"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("11.879810,11.897840,11.937580,11.932420,12.045750,12.077130,11.921280", \ - "11.784550,11.796010,11.844940,11.843440,11.867850,12.017460,12.065270", \ - "12.251070,12.285200,12.280010,12.259630,12.229190,12.286270,12.138660", \ - "13.962390,13.852750,13.852120,13.759480,13.658600,13.364920,13.067370", \ - "16.903070,16.816170,16.780590,16.623800,16.352880,15.929830,15.305910", \ - "20.923090,20.899150,20.821130,20.705570,20.505090,19.817680,18.771650", \ - "26.662850,26.667620,26.542320,26.388140,25.927010,25.391080,24.119660"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.671836,0.680016,0.679229,0.687827,0.691630,0.712222,0.727674", \ - "0.587191,0.600029,0.615263,0.651108,0.700828,0.752985,0.806048", \ - "0.788962,0.789031,0.795002,0.797220,0.809556,0.850181,0.902521", \ - "2.035802,2.001014,1.941996,1.825905,1.633904,1.433506,1.309671", \ - "4.153173,4.123974,4.066456,3.936402,3.680959,3.180074,2.489816", \ - "6.898907,6.885411,6.847320,6.742170,6.564818,6.128060,5.122687", \ - "10.234270,10.275320,10.227270,10.164250,10.147230,9.836706,9.008435"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("14.074750,14.113690,14.135250,14.132940,14.250310,14.364660,14.387860", \ - "13.988020,14.000040,14.049990,14.051170,14.127620,14.250760,14.095930", \ - "14.402510,14.405620,14.441090,14.416720,14.365300,14.474510,14.347200", \ - "15.933860,15.921920,15.933010,15.859000,15.721970,15.478170,15.286830", \ - "18.830500,18.802750,18.685610,18.643120,18.428390,18.001320,17.273980", \ - "22.835050,22.787910,22.752920,22.630670,22.473630,21.704230,21.050260", \ - "28.528500,28.454020,28.429510,28.217980,27.895210,27.364840,25.876500"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.328404,3.311233,3.367759,3.396281,3.459072,3.534022,3.607932", \ - "3.273700,3.300660,3.326180,3.346334,3.425213,3.490495,3.591844", \ - "3.443552,3.420785,3.458535,3.484756,3.523487,3.560067,3.626420", \ - "4.813817,4.768575,4.725247,4.607413,4.441108,4.334948,4.199375", \ - "7.699929,7.642072,7.560471,7.405789,7.083686,6.541628,5.846805", \ - "11.767580,11.807320,11.728530,11.600120,11.291300,10.574310,9.295699", \ - "16.997440,16.981560,16.984860,17.014260,16.764870,16.179180,14.699220"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("12.432430,12.530500,12.488900,12.579080,12.625100,12.703350,12.647180", \ - "12.204530,12.284540,12.276330,12.348360,12.400240,12.559160,12.638270", \ - "12.063560,12.026670,12.093790,12.116900,12.204570,12.314690,12.292640", \ - "12.691190,12.684450,12.609700,12.630780,12.593800,12.520160,12.421080", \ - "14.799290,14.709400,14.709440,14.609510,14.471160,14.013530,13.702000", \ - "18.929240,18.792780,18.689350,18.414640,17.933650,17.358190,16.532620", \ - "24.838180,24.685820,24.540500,24.138310,23.333000,22.434280,20.983300"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.331171,3.348356,3.384016,3.413550,3.475256,3.540112,3.628726", \ - "3.361933,3.339318,3.395263,3.434367,3.500003,3.567899,3.660999", \ - "3.537451,3.552864,3.545923,3.567232,3.632453,3.686465,3.741863", \ - "4.765820,4.732843,4.677115,4.600502,4.444096,4.359553,4.252858", \ - "7.299672,7.247006,7.206605,7.075052,6.793598,6.322273,5.713836", \ - "10.876730,10.838130,10.797700,10.705750,10.505250,9.903641,8.789537", \ - "15.363780,15.355880,15.395700,15.419980,15.286650,14.828000,13.588220"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("12.399460,12.413120,12.455450,12.486590,12.549940,12.572440,12.474800", \ - "12.146730,12.137700,12.157060,12.282910,12.270350,12.462650,12.513160", \ - "11.846460,11.939720,11.897730,11.953900,12.066530,12.174710,12.355760", \ - "12.421920,12.338410,12.420710,12.322530,12.201350,12.338520,12.339900", \ - "14.370870,14.383310,14.338970,14.223610,13.850850,13.594640,13.423750", \ - "17.885400,17.833690,17.679640,17.427060,17.160620,16.680530,15.806460", \ - "23.309380,23.136780,22.926400,22.648370,21.978610,21.054100,19.930840"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.423402,3.421782,3.438417,3.467061,3.501546,3.567929,3.631689", \ - "3.403574,3.421457,3.445155,3.477853,3.512035,3.581693,3.666592", \ - "3.595790,3.577008,3.599768,3.634097,3.660939,3.686761,3.753581", \ - "4.736852,4.707323,4.668440,4.581771,4.480675,4.372369,4.262135", \ - "7.359004,7.316500,7.250540,7.078380,6.787952,6.306793,5.719149", \ - "11.081980,11.038870,11.017000,10.836790,10.569190,9.898500,8.777665", \ - "15.809970,15.787490,15.737870,15.722750,15.491520,14.910310,13.596350"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("14.596920,14.640390,14.660020,14.690290,14.652100,14.769110,14.878020", \ - "14.420220,14.366550,14.484690,14.454360,14.554310,14.704500,14.777050", \ - "14.163040,14.100070,14.138540,14.230320,14.293190,14.332640,14.378520", \ - "14.550020,14.479430,14.569990,14.554870,14.474280,14.550210,14.607890", \ - "16.401950,16.422860,16.371460,16.253230,16.046410,15.802800,15.623910", \ - "19.682380,19.643660,19.519380,19.373010,18.997070,18.565760,17.815630", \ - "24.885550,24.819810,24.633670,24.355700,23.836520,23.006490,21.981970"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.980773,3.001998,3.011743,3.065388,3.173976,3.265259,3.364629", \ - "2.877429,2.919099,2.938897,2.998708,3.087047,3.203600,3.303844", \ - "3.003498,3.046238,3.058928,3.104560,3.163403,3.228252,3.305841", \ - "4.440985,4.412909,4.348580,4.244504,4.068663,3.936668,3.816087", \ - "7.212311,7.162829,7.121665,6.965822,6.645086,6.092744,5.384823", \ - "11.122430,11.114130,11.085490,10.966560,10.669170,9.955082,8.708583", \ - "16.054460,16.055380,16.045330,16.071690,15.916410,15.326530,13.863730"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("11.500580,11.443200,11.491780,11.579180,11.594880,11.648780,11.687710", \ - "11.165160,11.278230,11.249880,11.309940,11.483070,11.412620,11.627810", \ - "11.037140,11.010350,11.056480,11.097150,11.171130,11.311700,11.418380", \ - "11.611200,11.538440,11.544080,11.471260,11.542110,11.480820,11.299650", \ - "13.462230,13.478010,13.439860,13.425530,13.323360,12.971630,12.544260", \ - "17.396810,17.357510,17.215420,16.963510,16.502970,16.101790,15.247780", \ - "23.142610,22.982140,22.808100,22.509660,21.705910,20.789210,19.582420"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.962194,3.004049,3.028676,3.106074,3.187139,3.270720,3.378939", \ - "2.975796,2.984328,2.995877,3.060202,3.161726,3.273321,3.372088", \ - "3.098205,3.134634,3.165006,3.202908,3.268721,3.338625,3.425035", \ - "4.403179,4.378911,4.324562,4.226331,4.081535,3.972422,3.884713", \ - "6.864181,6.833480,6.753410,6.633635,6.384888,5.904721,5.284091", \ - "10.226170,10.247300,10.214280,10.097130,9.928338,9.338771,8.259205", \ - "14.534970,14.529960,14.577800,14.600810,14.517740,14.061690,12.864250"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("11.336470,11.352670,11.406690,11.414300,11.436670,11.561100,11.535050", \ - "11.123610,11.145190,11.209170,11.250480,11.306070,11.372210,11.309180", \ - "10.900350,10.914870,10.920410,10.946890,10.989040,11.140590,11.207890", \ - "11.284440,11.284460,11.312520,11.262140,11.318380,11.275350,11.296640", \ - "13.130830,13.123190,13.046680,12.987770,12.739640,12.501330,12.186730", \ - "16.512170,16.441100,16.261660,16.087460,15.816850,15.353030,14.364150", \ - "21.723210,21.543390,21.399580,21.007610,20.380640,19.567150,18.243160"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.088342,3.080761,3.137168,3.165352,3.224060,3.308732,3.393166", \ - "3.076494,3.076395,3.117108,3.155929,3.203450,3.293564,3.384900", \ - "3.239167,3.228407,3.246181,3.268311,3.303695,3.361314,3.435500", \ - "4.423694,4.378809,4.336636,4.222816,4.105303,4.003936,3.892720", \ - "6.942750,6.897866,6.824496,6.683263,6.380791,5.885012,5.280769", \ - "10.540410,10.490990,10.438720,10.320190,9.987594,9.356057,8.229289", \ - "15.075840,15.026750,15.041500,14.945330,14.720140,14.148340,12.853180"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("13.803390,13.817830,13.869890,13.920790,13.942150,14.043240,14.067300", \ - "13.631010,13.649390,13.680440,13.751760,13.844670,13.968460,13.892020", \ - "13.295480,13.400230,13.364120,13.484320,13.568780,13.612780,13.654960", \ - "13.699500,13.703510,13.645590,13.705250,13.744020,13.653270,13.851960", \ - "15.372070,15.391990,15.378210,15.279000,15.095480,14.935250,14.466520", \ - "18.484190,18.437190,18.380680,18.250300,17.982930,17.463110,16.941520", \ - "23.471650,23.391870,23.187310,22.909120,22.356510,21.689300,20.561860"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.072111,3.079764,3.121044,3.157200,3.209078,3.281162,3.378921", \ - "3.011149,3.001775,3.037833,3.058196,3.139722,3.226341,3.315095", \ - "3.101370,3.122134,3.150013,3.176253,3.200829,3.254016,3.324446", \ - "4.446188,4.405245,4.355601,4.233725,4.094191,3.955672,3.825765", \ - "7.296386,7.286362,7.164641,6.995409,6.625811,6.073461,5.389224", \ - "11.428940,11.406070,11.307270,11.121180,10.716380,9.962961,8.673518", \ - "16.628660,16.571390,16.547970,16.371050,16.092030,15.406380,13.837650"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("13.857410,13.909120,13.924410,14.025500,14.046260,14.042700,14.177720", \ - "13.672730,13.728040,13.750310,13.866340,13.906380,13.972600,13.970610", \ - "13.410680,13.485030,13.479620,13.584650,13.625700,13.627600,13.679750", \ - "13.916220,13.958020,13.953060,13.902640,13.964230,13.976010,13.964650", \ - "15.726020,15.641440,15.721500,15.754130,15.569300,15.201390,15.073590", \ - "19.321970,19.299840,19.185680,19.004990,18.687960,18.279550,17.621560", \ - "24.914120,24.819200,24.662320,24.314520,23.722980,22.851270,21.744760"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.088342,3.080761,3.137168,3.165352,3.224060,3.308732,3.393166", \ - "3.076494,3.076395,3.117108,3.155929,3.203450,3.293564,3.384900", \ - "3.239167,3.228407,3.246181,3.268311,3.303695,3.361314,3.435500", \ - "4.423694,4.378809,4.336636,4.222816,4.105303,4.003936,3.892720", \ - "6.942750,6.897866,6.824496,6.683263,6.380791,5.885012,5.280769", \ - "10.540410,10.490990,10.438720,10.320190,9.987594,9.356057,8.229289", \ - "15.075840,15.026750,15.041500,14.945330,14.720140,14.148340,12.853180"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("13.803390,13.817830,13.869890,13.920790,13.942150,14.043240,14.067300", \ - "13.631010,13.649390,13.680440,13.751760,13.844670,13.968460,13.892020", \ - "13.295480,13.400230,13.364120,13.484320,13.568780,13.612780,13.654960", \ - "13.699500,13.703510,13.645590,13.705250,13.744020,13.653270,13.851960", \ - "15.372070,15.391990,15.378210,15.279000,15.095480,14.935250,14.466520", \ - "18.484190,18.437190,18.380680,18.250300,17.982930,17.463110,16.941520", \ - "23.471650,23.391870,23.187310,22.909120,22.356510,21.689300,20.561860"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.162421,3.159733,3.193362,3.201756,3.256006,3.332735,3.412681", \ - "3.133307,3.129197,3.172428,3.192852,3.240592,3.315554,3.395681", \ - "3.297652,3.277535,3.300336,3.320996,3.334555,3.380956,3.439522", \ - "4.412257,4.378580,4.320223,4.226865,4.121456,4.017714,3.913038", \ - "6.986985,6.927602,6.843962,6.684069,6.375818,5.869438,5.295315", \ - "10.701970,10.686920,10.557800,10.408390,10.052120,9.349687,8.223896", \ - "15.409140,15.355710,15.353810,15.211280,14.920150,14.237650,12.850350"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("16.008630,16.022640,16.079610,16.065750,16.133310,16.251330,16.359170", \ - "15.862030,15.878620,15.936210,15.974900,16.088170,16.206730,16.258520", \ - "15.609340,15.625140,15.589190,15.690230,15.819840,15.906910,15.800850", \ - "15.872850,15.879230,15.884170,15.887570,15.929730,15.924110,16.000580", \ - "17.468330,17.463750,17.384030,17.290240,17.182620,17.116430,16.652750", \ - "20.315490,20.283590,20.255840,20.177350,20.140880,19.561780,19.014680", \ - "25.170030,25.102490,25.004400,24.720470,24.161920,23.789540,22.865880"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.318810,3.343232,3.371338,3.398995,3.458908,3.533021,3.606094", \ - "3.277423,3.251130,3.297957,3.343563,3.415594,3.500154,3.583649", \ - "3.223423,3.226693,3.264519,3.294158,3.368184,3.454734,3.552649", \ - "4.210527,4.203760,4.175132,4.104640,3.974560,3.922240,3.892326", \ - "6.562785,6.514907,6.497040,6.359606,6.136870,5.730362,5.157454", \ - "9.892824,9.862725,9.840862,9.789266,9.604242,9.128819,8.123832", \ - "14.017760,13.996160,14.103270,14.122730,14.084170,13.768370,12.723740"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("14.380840,14.343000,14.423820,14.449010,14.492110,14.407540,14.374970", \ - "14.237480,14.201910,14.287530,14.330910,14.305460,14.310070,14.273520", \ - "14.053500,14.141530,14.112820,14.120400,14.106740,14.327100,14.222580", \ - "14.744370,14.639860,14.703150,14.727810,14.622630,14.625590,14.535160", \ - "16.936160,16.806940,16.836820,16.781010,16.664530,16.324050,15.838820", \ - "20.966890,20.850450,20.830470,20.597030,20.199860,19.768750,18.692350", \ - "26.871970,26.709420,26.596510,26.388210,25.923830,25.048700,23.844220"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.354068,3.356853,3.388644,3.417161,3.472933,3.542882,3.627767", \ - "3.349635,3.319814,3.385331,3.419548,3.485156,3.566247,3.658619", \ - "3.310801,3.338805,3.370696,3.419530,3.482059,3.571357,3.662180", \ - "4.219104,4.234028,4.201680,4.140579,4.024314,3.988699,3.978227", \ - "6.266310,6.250998,6.188445,6.125289,5.937281,5.606633,5.100594", \ - "9.006493,9.045171,9.086620,9.059610,8.924711,8.577586,7.738593", \ - "12.603210,12.651130,12.640070,12.733780,12.768360,12.560630,11.762560"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("14.278280,14.294620,14.295060,14.327810,14.361190,14.207560,14.158070", \ - "14.177200,14.170610,14.175870,14.178210,14.205030,14.260450,14.277520", \ - "13.979520,14.017400,14.020020,14.020260,14.042700,14.237130,14.317430", \ - "14.512300,14.483790,14.422970,14.494780,14.348290,14.360050,14.432010", \ - "16.501090,16.532710,16.460180,16.350260,16.124310,15.689740,15.365450", \ - "20.022640,19.994980,19.913440,19.670870,19.456350,18.812330,18.073330", \ - "25.234670,25.178820,25.008200,24.782510,24.261180,23.453840,22.399760"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.382568,3.431275,3.442626,3.469048,3.509865,3.568862,3.632872", \ - "3.369079,3.410712,3.436679,3.470892,3.514722,3.576471,3.664029", \ - "3.406423,3.412539,3.427118,3.462265,3.502856,3.584554,3.672532", \ - "4.237438,4.218838,4.188458,4.125113,4.024619,4.010656,3.985582", \ - "6.327836,6.278361,6.258760,6.146928,5.961740,5.591821,5.113542", \ - "9.297741,9.278864,9.288291,9.225095,9.016434,8.592709,7.727269", \ - "13.037970,13.019690,13.074290,13.077460,12.964290,12.709580,11.805660"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("16.438700,16.478830,16.518050,16.520010,16.497790,16.532650,16.673500", \ - "16.324890,16.366780,16.373190,16.376860,16.357660,16.481330,16.603550", \ - "16.170890,16.212650,16.217210,16.332480,16.283980,16.239490,16.517420", \ - "16.659690,16.620930,16.617360,16.659170,16.647710,16.591040,16.690480", \ - "18.543270,18.454050,18.404120,18.315190,18.231130,17.992560,17.616730", \ - "21.902210,21.888560,21.796360,21.625600,21.513040,20.938840,20.320100", \ - "26.998490,26.953360,26.800700,26.606920,26.274430,25.466760,24.554000"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.958957,2.991587,3.038773,3.063658,3.166812,3.263968,3.367075", \ - "2.894248,2.915745,2.925316,2.990724,3.072885,3.190568,3.296596", \ - "2.812735,2.850848,2.884536,2.934536,3.023321,3.122518,3.238694", \ - "3.833998,3.839408,3.806993,3.740802,3.623099,3.551849,3.541994", \ - "6.101474,6.080385,6.006457,5.919933,5.704390,5.318585,4.735923", \ - "9.162793,9.217929,9.195240,9.142189,8.988586,8.522775,7.586597", \ - "13.118650,13.094770,13.196560,13.212120,13.220930,12.944520,11.948410"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("13.351200,13.397760,13.406040,13.436290,13.452850,13.498830,13.558640", \ - "13.211710,13.260880,13.273710,13.290640,13.388040,13.415000,13.477810", \ - "13.057130,13.105490,13.115090,13.165580,13.238230,13.322320,13.385720", \ - "13.611220,13.650050,13.642910,13.624740,13.608420,13.491860,13.393130", \ - "15.574600,15.606430,15.592200,15.573380,15.381990,15.071450,14.501350", \ - "19.460260,19.334840,19.228690,19.144930,18.886360,18.419830,17.576530", \ - "25.067800,24.927130,24.886940,24.604280,24.174300,23.264020,22.177390"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.968285,3.008730,3.027552,3.103668,3.167183,3.282409,3.385789", \ - "2.961135,2.981152,3.011742,3.064331,3.152376,3.253452,3.375079", \ - "2.917033,2.955008,2.986308,3.043245,3.126018,3.237187,3.360376", \ - "3.850162,3.869146,3.832531,3.781703,3.679004,3.622400,3.633911", \ - "5.762819,5.798204,5.778353,5.709153,5.538820,5.198857,4.693098", \ - "8.481584,8.434525,8.490621,8.466125,8.356714,8.043157,7.250001", \ - "11.739760,11.844340,11.825330,11.925930,12.003390,11.815780,11.093220"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("13.216100,13.225020,13.269420,13.352100,13.314320,13.301360,13.446190", \ - "13.089950,13.101800,13.150720,13.147880,13.264780,13.235810,13.175200", \ - "12.955250,12.965800,13.012760,13.101850,13.123660,13.091800,13.135440", \ - "13.404510,13.406120,13.342910,13.403140,13.353510,13.298550,13.293090", \ - "15.296730,15.294530,15.203560,15.109350,14.908880,14.624390,14.306110", \ - "18.567350,18.524960,18.382980,18.308060,18.125520,17.562070,16.726970", \ - "23.577070,23.508370,23.406910,23.134300,22.773110,22.085120,21.030710"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.053513,3.085210,3.140710,3.155952,3.224126,3.306640,3.392825", \ - "3.030415,3.075328,3.102761,3.127129,3.209600,3.289579,3.382072", \ - "3.011848,3.020803,3.081080,3.108061,3.166216,3.261779,3.366532", \ - "3.886639,3.875373,3.831361,3.776323,3.676438,3.658853,3.644786", \ - "5.936383,5.913606,5.861842,5.766620,5.562821,5.199365,4.717118", \ - "8.782546,8.775795,8.732579,8.679816,8.501960,8.075281,7.221968", \ - "12.323650,12.351480,12.269650,12.315810,12.238900,11.987520,11.116890"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("15.665530,15.675820,15.721850,15.769820,15.847060,15.859240,15.909030", \ - "15.553930,15.586040,15.615040,15.680980,15.752020,15.796210,15.715210", \ - "15.418210,15.429890,15.478770,15.477490,15.478790,15.688080,15.665860", \ - "15.730450,15.824970,15.766450,15.833730,15.701800,15.729970,15.852590", \ - "17.534340,17.524490,17.437900,17.387500,17.202520,16.913790,16.652940", \ - "20.637740,20.608760,20.582550,20.451520,20.415880,19.820800,19.114900", \ - "25.514820,25.455320,25.372020,25.213980,24.733010,24.207100,23.202370"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.086230,3.065856,3.123621,3.142699,3.214649,3.284861,3.375671", \ - "2.975858,2.983763,3.011398,3.058562,3.139385,3.218320,3.319416", \ - "2.919606,2.919420,2.961852,3.002059,3.057418,3.153113,3.251676", \ - "3.866152,3.859442,3.799807,3.734680,3.619456,3.571989,3.552544", \ - "6.214830,6.161631,6.115657,5.977234,5.719496,5.300788,4.755514", \ - "9.520715,9.518555,9.447906,9.358398,9.091444,8.568018,7.558769", \ - "13.623510,13.708610,13.665470,13.588380,13.501150,13.064080,11.964530"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("15.802590,15.751290,15.761050,15.875010,15.914910,15.876580,16.024060", \ - "15.677520,15.615160,15.627470,15.760480,15.799710,15.760860,15.724510", \ - "15.522240,15.571410,15.583800,15.606330,15.702290,15.779030,15.634660", \ - "15.975770,15.977730,15.952570,15.961530,16.011130,16.025930,16.067630", \ - "17.849140,17.841870,17.876870,17.813930,17.696170,17.458850,17.117440", \ - "21.469630,21.463470,21.379470,21.219700,20.956690,20.719520,19.972950", \ - "26.973070,26.942640,26.813320,26.551580,26.178860,25.525950,24.513070"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.053513,3.085210,3.140710,3.155952,3.224126,3.306640,3.392825", \ - "3.030415,3.075328,3.102761,3.127129,3.209600,3.289579,3.382072", \ - "3.011848,3.020803,3.081080,3.108061,3.166216,3.261779,3.366532", \ - "3.886639,3.875373,3.831361,3.776323,3.676438,3.658853,3.644786", \ - "5.936383,5.913606,5.861842,5.766620,5.562821,5.199365,4.717118", \ - "8.782546,8.775795,8.732579,8.679816,8.501960,8.075281,7.221968", \ - "12.323650,12.351480,12.269650,12.315810,12.238900,11.987520,11.116890"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("15.665530,15.675820,15.721850,15.769820,15.847060,15.859240,15.909030", \ - "15.553930,15.586040,15.615040,15.680980,15.752020,15.796210,15.715210", \ - "15.418210,15.429890,15.478770,15.477490,15.478790,15.688080,15.665860", \ - "15.730450,15.824970,15.766450,15.833730,15.701800,15.729970,15.852590", \ - "17.534340,17.524490,17.437900,17.387500,17.202520,16.913790,16.652940", \ - "20.637740,20.608760,20.582550,20.451520,20.415880,19.820800,19.114900", \ - "25.514820,25.455320,25.372020,25.213980,24.733010,24.207100,23.202370"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.164702,3.148020,3.196279,3.206257,3.270026,3.332847,3.405140", \ - "3.126640,3.123607,3.162956,3.168649,3.239286,3.310150,3.403165", \ - "3.065939,3.111700,3.134712,3.161166,3.202349,3.281469,3.372211", \ - "3.883480,3.870605,3.848536,3.767279,3.714909,3.680953,3.654568", \ - "5.962422,5.935774,5.894340,5.776689,5.564365,5.183359,4.720090", \ - "8.952520,8.969813,8.885260,8.801842,8.565751,8.100969,7.223359", \ - "12.670730,12.657480,12.696080,12.617930,12.486020,12.103620,11.142090"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("17.863440,17.874490,17.923130,17.919660,17.909080,18.106290,18.061380", \ - "17.776910,17.773300,17.824430,17.824850,17.965680,18.050430,18.096510", \ - "17.626930,17.639760,17.690670,17.692000,17.734620,17.920360,18.056090", \ - "17.904480,17.910700,17.980230,17.929180,18.014790,18.064870,18.027070", \ - "19.543800,19.536100,19.546240,19.476790,19.386440,19.257110,18.979930", \ - "22.635980,22.618180,22.618370,22.506870,22.304370,22.009970,21.353670", \ - "27.385280,27.333140,27.267410,27.043000,26.833210,26.230340,25.244160"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.419688,5.429226,5.432751,5.550464,5.691979,5.875500,6.090521", \ - "5.291048,5.324737,5.373604,5.446795,5.576158,5.761226,5.975901", \ - "5.313614,5.356081,5.401566,5.451461,5.578997,5.715927,5.926478", \ - "6.391975,6.367582,6.332132,6.341178,6.325006,6.366683,6.393307", \ - "9.194667,9.144708,9.082510,8.971693,8.749528,8.360128,7.941992", \ - "13.554130,13.533160,13.463800,13.295180,12.989980,12.337650,11.263440", \ - "19.234460,19.265780,19.214950,19.103660,18.845190,18.198290,16.691400"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("16.699200,16.669210,16.774790,16.753040,16.893410,16.871420,16.837050", \ - "16.454930,16.430820,16.534300,16.612560,16.657710,16.775060,16.963540", \ - "16.228890,16.232440,16.195370,16.268670,16.456460,16.586650,16.738710", \ - "16.275100,16.240390,16.329240,16.319330,16.322140,16.425190,16.350540", \ - "17.510280,17.439970,17.516940,17.533590,17.455990,17.097860,17.154880", \ - "21.108940,20.999790,20.822310,20.693210,20.191580,19.922440,19.349300", \ - "27.075150,26.940210,26.833440,26.433420,25.837870,24.792040,23.396060"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.150234,5.116629,5.217138,5.310476,5.464037,5.662048,5.891408", \ - "4.936458,5.017088,5.088010,5.155732,5.321709,5.515251,5.757536", \ - "4.951766,5.009439,5.084924,5.175410,5.295412,5.479017,5.677291", \ - "6.050290,6.026794,6.021683,6.004060,5.993564,6.052687,6.097896", \ - "8.740906,8.708753,8.651077,8.522567,8.331506,7.950166,7.548198", \ - "12.863220,12.853340,12.769970,12.660810,12.363910,11.743130,10.698080", \ - "18.240230,18.224880,18.152560,18.158070,17.894960,17.279420,15.874260"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("15.713730,15.783250,15.788010,15.877950,15.870350,15.965810,15.970790", \ - "15.480700,15.579790,15.550600,15.654160,15.741500,15.711420,15.659490", \ - "15.249890,15.259820,15.270520,15.361720,15.371330,15.519150,15.721130", \ - "15.329450,15.268230,15.268590,15.329950,15.322440,15.458830,15.361520", \ - "16.410020,16.456140,16.468590,16.463230,16.249140,16.176980,15.823620", \ - "19.818250,19.691670,19.583760,19.426870,19.077810,18.745360,18.009140", \ - "25.618800,25.466750,25.304830,24.908860,24.284350,23.227720,21.927170"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.289974,5.330789,5.366265,5.440415,5.544472,5.708059,5.917187", \ - "5.160605,5.165185,5.224664,5.297346,5.400529,5.579514,5.779568", \ - "5.173112,5.142399,5.208242,5.266180,5.373807,5.515419,5.705839", \ - "6.122584,6.116146,6.102893,6.081544,6.100763,6.083853,6.125737", \ - "8.842104,8.802918,8.717900,8.582521,8.364948,7.969719,7.567128", \ - "13.081010,13.036180,12.906360,12.751400,12.406370,11.763990,10.708350", \ - "18.633690,18.578680,18.547150,18.416390,18.081130,17.344740,15.885470"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("18.010930,17.995950,18.023940,18.005900,18.003400,18.170740,18.250490", \ - "17.812880,17.781880,17.813690,17.883780,17.814260,18.106540,17.880830", \ - "17.529450,17.473350,17.484630,17.530300,17.705340,17.796170,17.796750", \ - "17.517270,17.455260,17.456900,17.457780,17.480650,17.638270,17.508520", \ - "18.572590,18.636730,18.554310,18.521270,18.269050,18.328860,18.169340", \ - "21.602920,21.489180,21.405430,21.258800,21.088860,20.621620,19.939410", \ - "27.170240,27.129840,26.883390,26.633770,25.999430,25.207520,23.873740"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.683592,4.696014,4.735388,4.895025,5.075395,5.365565,5.657981", \ - "4.556089,4.564990,4.628781,4.779489,4.930819,5.223030,5.538557", \ - "4.568085,4.601473,4.616865,4.749992,4.909384,5.186911,5.462178", \ - "5.678006,5.700037,5.659520,5.650329,5.666339,5.722397,5.852809", \ - "8.453297,8.424400,8.358895,8.221057,8.016286,7.672940,7.279488", \ - "12.649330,12.606610,12.524880,12.436070,12.117050,11.487340,10.459340", \ - "18.077110,18.072030,18.092610,17.987690,17.721520,17.061490,15.635970"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("15.672170,15.737630,15.755580,15.777200,15.780260,15.953930,15.811680", \ - "15.468600,15.496940,15.550700,15.641110,15.595720,15.868350,15.844570", \ - "15.187680,15.189430,15.215280,15.321670,15.385460,15.465580,15.700440", \ - "15.182190,15.259650,15.255700,15.272020,15.316680,15.472260,15.362840", \ - "16.321810,16.254080,16.279410,16.319340,16.326110,16.115700,16.003410", \ - "19.596850,19.586230,19.379860,19.253580,18.929580,18.712740,17.849040", \ - "25.375880,25.228090,25.084420,24.768860,24.028990,23.195230,22.088280"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.420066,4.486674,4.560761,4.647602,4.911455,5.194931,5.518665", \ - "4.317651,4.278203,4.425114,4.540439,4.743429,5.020482,5.371466", \ - "4.263680,4.358792,4.398673,4.497377,4.690751,4.948110,5.248481", \ - "5.432804,5.430498,5.396748,5.391292,5.418150,5.503648,5.622459", \ - "8.073567,8.039708,7.985471,7.890345,7.678769,7.340738,6.963498", \ - "12.008870,12.021110,11.979210,11.850790,11.567020,10.983560,9.981904", \ - "17.126890,17.087560,17.111450,17.068000,16.917290,16.292850,14.925240"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("14.728960,14.745470,14.765540,14.835700,14.773280,14.921440,14.877830", \ - "14.498080,14.520020,14.511560,14.618840,14.724610,14.833860,14.832920", \ - "14.243300,14.258860,14.223910,14.321640,14.427770,14.476680,14.617750", \ - "14.184440,14.195130,14.239420,14.297830,14.262300,14.424090,14.524810", \ - "15.289300,15.235070,15.288420,15.274660,15.185300,15.012800,14.855490", \ - "18.407160,18.362130,18.221970,17.978760,17.656650,17.267320,16.539730", \ - "24.044520,23.883240,23.690830,23.342300,22.673640,21.605390,20.448030"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.687458,4.655122,4.764227,4.879344,5.044487,5.278264,5.546658", \ - "4.522682,4.565565,4.634896,4.729677,4.877073,5.134492,5.402008", \ - "4.549872,4.541597,4.561484,4.696540,4.850047,5.054222,5.307215", \ - "5.561544,5.533901,5.528405,5.517043,5.525689,5.565659,5.666167", \ - "8.215764,8.175917,8.118834,7.981441,7.744065,7.379789,6.990184", \ - "12.314540,12.296110,12.200140,11.998420,11.685650,11.021500,9.977675", \ - "17.669950,17.641880,17.539680,17.448330,17.095420,16.401630,14.934300"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("17.195690,17.210390,17.171600,17.242290,17.349410,17.269130,17.463470", \ - "17.005160,17.023590,17.012120,17.080180,17.041300,17.276160,17.441340", \ - "16.642020,16.657300,16.713560,16.775560,16.920700,16.966110,16.919410", \ - "16.646190,16.656010,16.701480,16.713080,16.747960,16.786730,16.853940", \ - "17.666570,17.693700,17.623460,17.552410,17.579320,17.453570,17.261940", \ - "20.386280,20.359180,20.243830,20.176920,19.876090,19.743840,19.209970", \ - "25.787530,25.638410,25.554650,25.166980,24.649420,23.922760,23.016240"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.869680,4.919164,4.964451,5.039848,5.192399,5.437546,5.710545", \ - "4.763852,4.722813,4.833592,4.924983,5.071180,5.306084,5.576426", \ - "4.704515,4.809817,4.839653,4.926357,5.053589,5.248654,5.498294", \ - "5.820453,5.798215,5.780829,5.778636,5.789008,5.823637,5.899655", \ - "8.582166,8.553519,8.477571,8.333365,8.070257,7.691357,7.315040", \ - "12.891430,12.836080,12.760680,12.550930,12.200350,11.525310,10.446260", \ - "18.558310,18.498840,18.404590,18.323010,17.908400,17.173480,15.646640"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("18.156760,18.098300,18.155650,18.281920,18.265530,18.249710,18.466770", \ - "17.958160,17.911300,18.045740,18.024490,18.190080,18.163880,18.442010", \ - "17.686120,17.695840,17.720920,17.806910,17.928070,17.945640,18.107230", \ - "17.688540,17.709650,17.739540,17.695670,17.809430,17.746800,17.807250", \ - "18.660170,18.662140,18.676470,18.691190,18.551050,18.587180,18.496250", \ - "21.645420,21.536780,21.469240,21.362900,21.195240,20.979520,20.465410", \ - "27.174050,27.039440,26.912000,26.608610,26.009580,25.266610,24.316300"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.687458,4.655122,4.764227,4.879344,5.044487,5.278264,5.546658", \ - "4.522682,4.565565,4.634896,4.729677,4.877073,5.134492,5.402008", \ - "4.549872,4.541597,4.561484,4.696540,4.850047,5.054222,5.307215", \ - "5.561544,5.533901,5.528405,5.517043,5.525689,5.565659,5.666167", \ - "8.215764,8.175917,8.118834,7.981441,7.744065,7.379789,6.990184", \ - "12.314540,12.296110,12.200140,11.998420,11.685650,11.021500,9.977675", \ - "17.669950,17.641880,17.539680,17.448330,17.095420,16.401630,14.934300"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("17.195690,17.210390,17.171600,17.242290,17.349410,17.269130,17.463470", \ - "17.005160,17.023590,17.012120,17.080180,17.041300,17.276160,17.441340", \ - "16.642020,16.657300,16.713560,16.775560,16.920700,16.966110,16.919410", \ - "16.646190,16.656010,16.701480,16.713080,16.747960,16.786730,16.853940", \ - "17.666570,17.693700,17.623460,17.552410,17.579320,17.453570,17.261940", \ - "20.386280,20.359180,20.243830,20.176920,19.876090,19.743840,19.209970", \ - "25.787530,25.638410,25.554650,25.166980,24.649420,23.922760,23.016240"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.845324,4.868887,4.901798,4.971268,5.137055,5.341587,5.587252", \ - "4.678739,4.678519,4.769609,4.852674,4.988293,5.203098,5.432056", \ - "4.688644,4.683209,4.761535,4.824018,4.935313,5.096388,5.346368", \ - "5.646769,5.633814,5.620164,5.620097,5.615109,5.612940,5.687705", \ - "8.299348,8.248018,8.181090,8.045621,7.778643,7.383852,7.024007", \ - "12.441870,12.431200,12.322120,12.138940,11.729760,11.029110,9.997040", \ - "17.960830,17.953340,17.849550,17.650830,17.260030,16.433010,14.927540"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("19.401460,19.415410,19.375120,19.496650,19.549230,19.597220,19.603470", \ - "19.235810,19.258380,19.274050,19.359640,19.442610,19.415760,19.630700", \ - "18.957260,18.973790,19.009340,19.074060,19.161290,19.264550,19.193550", \ - "18.846480,18.857630,18.929670,18.948200,19.027370,19.156770,19.314640", \ - "19.752550,19.803390,19.785380,19.725820,19.664040,19.667910,19.507100", \ - "22.289350,22.276080,22.277950,22.183260,22.138620,21.803070,21.298250", \ - "27.453730,27.403750,27.240710,26.976980,26.567040,25.874180,24.958370"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.387367,5.434184,5.492795,5.549371,5.700639,5.876183,6.088655", \ - "5.289249,5.335180,5.375832,5.433554,5.585840,5.748531,5.977248", \ - "5.200386,5.244958,5.248146,5.337118,5.483732,5.656947,5.883340", \ - "5.908992,5.895211,5.877249,5.859261,5.942989,6.018725,6.143555", \ - "8.151505,8.104173,8.098409,8.008149,7.869461,7.593413,7.290033", \ - "11.700480,11.678920,11.671680,11.595970,11.385160,10.934160,10.088690", \ - "16.374310,16.334680,16.398200,16.380870,16.232360,15.826320,14.736010"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("18.662770,18.631050,18.667580,18.664070,18.661390,18.712880,18.780820", \ - "18.501510,18.468450,18.556840,18.596000,18.556070,18.700440,18.587680", \ - "18.275430,18.373050,18.350850,18.366620,18.523110,18.566100,18.526250", \ - "18.427560,18.446170,18.343900,18.373920,18.409620,18.388000,18.620880", \ - "19.623630,19.584560,19.534710,19.607520,19.553650,19.356810,19.058450", \ - "23.061220,23.095160,22.995240,22.831770,22.493760,22.174150,21.602850", \ - "29.158210,29.040320,28.961410,28.693360,28.051100,27.226320,26.257180"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.157601,5.170893,5.215453,5.315874,5.454921,5.674622,5.892880", \ - "4.934488,5.011192,5.091489,5.149872,5.324873,5.523887,5.759593", \ - "4.854865,4.924921,4.990018,5.037641,5.220845,5.404414,5.639293", \ - "5.576703,5.571742,5.586622,5.591573,5.612215,5.735525,5.866666", \ - "7.701588,7.675286,7.669129,7.624114,7.486658,7.239249,6.945116", \ - "11.067660,11.066880,11.036420,10.978100,10.817730,10.406530,9.606554", \ - "15.304490,15.411950,15.415120,15.376090,15.348930,15.003990,13.991320"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("17.693290,17.713980,17.715010,17.710740,17.731740,17.813630,17.682490", \ - "17.525440,17.566610,17.571620,17.584600,17.565700,17.732870,17.601640", \ - "17.372780,17.398100,17.402340,17.404860,17.469040,17.572900,17.550230", \ - "17.437410,17.473010,17.417340,17.410400,17.440490,17.443690,17.462930", \ - "18.581310,18.632650,18.544770,18.465040,18.279740,18.128440,18.071620", \ - "21.818040,21.814080,21.736860,21.600200,21.362560,21.037700,20.051870", \ - "27.610270,27.505070,27.394900,27.091360,26.608480,25.786180,24.641260"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.296963,5.261116,5.374106,5.443406,5.552161,5.712797,5.928150", \ - "5.153866,5.129628,5.216164,5.296953,5.404915,5.577109,5.788981", \ - "5.037399,5.034622,5.113923,5.190970,5.299898,5.456150,5.664560", \ - "5.669452,5.649394,5.660908,5.662203,5.697674,5.784406,5.888446", \ - "7.821982,7.805735,7.785486,7.702972,7.535066,7.266512,6.962114", \ - "11.289880,11.264760,11.248040,11.129200,10.886280,10.444400,9.608370", \ - "15.816110,15.806360,15.759190,15.693390,15.572000,15.122530,14.024210"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("19.857660,19.897390,19.900540,19.900260,19.938530,20.003540,20.055760", \ - "19.770660,19.762080,19.768350,19.772990,19.795690,19.819060,19.883730", \ - "19.552360,19.594430,19.600240,19.666390,19.761340,19.800060,19.678540", \ - "19.563100,19.603280,19.603100,19.600430,19.742310,19.800370,19.895520", \ - "20.734770,20.661990,20.640480,20.602200,20.511840,20.351450,20.348860", \ - "23.666140,23.675230,23.678490,23.520820,23.398790,22.951810,22.529310", \ - "29.332260,29.205480,29.187560,28.957470,28.505960,27.727940,26.637800"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.612384,4.712362,4.750162,4.850780,5.083588,5.344971,5.667577", \ - "4.535182,4.600417,4.643448,4.732037,4.949181,5.229311,5.548084", \ - "4.434928,4.492225,4.532359,4.630065,4.833967,5.104984,5.417803", \ - "5.204221,5.207923,5.194443,5.210006,5.264801,5.408769,5.633904", \ - "7.378219,7.342413,7.342402,7.297601,7.172668,6.927963,6.686248", \ - "10.744320,10.819710,10.750950,10.679280,10.524960,10.117120,9.330674", \ - "15.135190,15.241300,15.211200,15.210810,15.168540,14.761740,13.747370"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("17.621780,17.589910,17.603630,17.621910,17.720850,17.814370,17.768220", \ - "17.496590,17.436860,17.467670,17.480680,17.585600,17.727960,17.790840", \ - "17.290860,17.343980,17.362060,17.423960,17.451790,17.448730,17.534020", \ - "17.370030,17.415840,17.421420,17.473540,17.520830,17.561660,17.629500", \ - "18.380010,18.451650,18.494970,18.483710,18.368220,18.278180,18.121930", \ - "21.573690,21.582420,21.522010,21.293440,21.194630,20.815270,20.126200", \ - "27.246090,27.225250,27.111900,26.888720,26.456360,25.638980,24.492420"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.429849,4.496001,4.582050,4.663157,4.906488,5.171336,5.500256", \ - "4.311424,4.315047,4.398807,4.538898,4.753336,5.028826,5.373074", \ - "4.182606,4.246632,4.304132,4.435259,4.623711,4.904442,5.217371", \ - "4.960472,4.951118,4.963408,4.962193,5.028401,5.208312,5.409630", \ - "7.049128,6.992434,6.970960,6.960092,6.844584,6.660359,6.399867", \ - "10.211680,10.194970,10.217950,10.182780,10.036930,9.674438,8.940649", \ - "14.357130,14.336210,14.378560,14.347890,14.364680,14.024300,13.111360"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("16.614260,16.623420,16.684300,16.657940,16.722640,16.595270,16.546030", \ - "16.472810,16.490370,16.528400,16.526140,16.625580,16.573690,16.705790", \ - "16.308200,16.319250,16.367440,16.458110,16.485950,16.525370,16.498940", \ - "16.386780,16.408580,16.408390,16.388970,16.478070,16.381130,16.379240", \ - "17.410210,17.458570,17.448300,17.351410,17.302380,17.147060,16.912190", \ - "20.418760,20.376500,20.287760,20.180120,19.916000,19.528570,18.914510", \ - "25.860300,25.808590,25.681540,25.474600,24.902660,24.308200,23.028560"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.607761,4.728454,4.771776,4.882240,5.022105,5.283175,5.542776", \ - "4.485022,4.538943,4.572454,4.736177,4.888400,5.122891,5.412281", \ - "4.393249,4.442838,4.479403,4.583235,4.742141,4.992571,5.261861", \ - "5.084041,5.091913,5.081898,5.081933,5.121249,5.290621,5.441384", \ - "7.202402,7.185826,7.166323,7.067287,6.922675,6.685709,6.440434", \ - "10.506270,10.478650,10.441530,10.373590,10.175050,9.729635,8.942552", \ - "14.795030,14.877860,14.855720,14.785740,14.603220,14.192490,13.138400"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("19.063120,19.073390,19.119390,19.113680,19.101270,19.242410,19.313630", \ - "18.937390,18.943020,18.992710,19.026290,19.016800,18.998160,19.067600", \ - "18.772580,18.784500,18.849710,18.834380,18.939210,19.027550,19.088950", \ - "18.801420,18.811170,18.855370,18.860620,18.787640,18.929940,18.928730", \ - "19.738820,19.795710,19.767140,19.728970,19.691700,19.652320,19.280270", \ - "22.562600,22.463230,22.466840,22.391970,22.297230,21.943730,21.364530", \ - "27.862610,27.818070,27.671370,27.470390,27.118720,26.501680,25.502740"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.882165,4.926433,4.973295,5.046936,5.216702,5.446586,5.713842", \ - "4.774470,4.733579,4.838177,4.941544,5.097936,5.327505,5.593219", \ - "4.667099,4.643038,4.740015,4.835618,4.952701,5.196676,5.463146", \ - "5.300777,5.298100,5.318837,5.327164,5.371634,5.486995,5.660862", \ - "7.556644,7.479935,7.445194,7.370288,7.238883,6.972427,6.701285", \ - "11.031380,10.999440,11.031440,10.893480,10.642020,10.157800,9.337169", \ - "15.727650,15.715010,15.667720,15.576350,15.390410,14.895720,13.773410"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("20.045750,20.055960,20.070780,20.091920,20.144700,20.269110,20.374510", \ - "19.918560,19.917240,19.935180,19.961430,20.028190,20.113080,20.237490", \ - "19.772170,19.802390,19.846130,19.873260,19.957050,20.081100,20.109470", \ - "19.830300,19.767590,19.816910,19.825150,19.964720,20.036150,19.984540", \ - "20.758650,20.877180,20.843350,20.840110,20.696870,20.544710,20.586110", \ - "23.694570,23.712430,23.669790,23.591210,23.446400,23.246460,22.693310", \ - "29.221000,29.207140,29.105830,28.904800,28.526580,27.777880,26.946450"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.607761,4.728454,4.771776,4.882240,5.022105,5.283175,5.542776", \ - "4.485022,4.538943,4.572454,4.736177,4.888400,5.122891,5.412281", \ - "4.393249,4.442838,4.479403,4.583235,4.742141,4.992571,5.261861", \ - "5.084041,5.091913,5.081898,5.081933,5.121249,5.290621,5.441384", \ - "7.202402,7.185826,7.166323,7.067287,6.922675,6.685709,6.440434", \ - "10.506270,10.478650,10.441530,10.373590,10.175050,9.729635,8.942552", \ - "14.795030,14.877860,14.855720,14.785740,14.603220,14.192490,13.138400"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("19.063120,19.073390,19.119390,19.113680,19.101270,19.242410,19.313630", \ - "18.937390,18.943020,18.992710,19.026290,19.016800,18.998160,19.067600", \ - "18.772580,18.784500,18.849710,18.834380,18.939210,19.027550,19.088950", \ - "18.801420,18.811170,18.855370,18.860620,18.787640,18.929940,18.928730", \ - "19.738820,19.795710,19.767140,19.728970,19.691700,19.652320,19.280270", \ - "22.562600,22.463230,22.466840,22.391970,22.297230,21.943730,21.364530", \ - "27.862610,27.818070,27.671370,27.470390,27.118720,26.501680,25.502740"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.852768,4.834536,4.908788,5.002291,5.149276,5.342804,5.584920", \ - "4.643288,4.688875,4.779153,4.806478,5.000360,5.195306,5.438228", \ - "4.596174,4.587688,4.651384,4.698150,4.870183,5.071243,5.302052", \ - "5.152073,5.156369,5.164484,5.177006,5.248294,5.331823,5.476797", \ - "7.326810,7.295626,7.243153,7.152584,6.981490,6.719092,6.470380", \ - "10.768890,10.732910,10.612770,10.517330,10.271410,9.771346,8.956437", \ - "15.164070,15.228180,15.170950,15.058990,14.840130,14.301720,13.173000"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("21.260260,21.271990,21.320120,21.317580,21.293670,21.482360,21.462900", \ - "21.137540,21.150490,21.201220,21.229900,21.321230,21.372980,21.475860", \ - "20.981330,21.003730,21.045920,21.048430,21.140370,21.286870,21.247520", \ - "20.998930,21.010050,21.057360,21.054980,21.078050,21.229330,21.336300", \ - "21.896810,21.900300,21.904550,21.800490,21.866230,21.700240,21.800230", \ - "24.531410,24.528570,24.443530,24.497120,24.433620,23.916710,23.457320", \ - "29.676330,29.641400,29.502960,29.319670,28.893510,28.569690,27.528520"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI222_X4 - Cell Description : Combinational cell (AOI222_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI222_X4) { - - drive_strength : 4; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 463.837560; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 318.568750; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 386.812125; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 321.104127; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 441.566750; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 386.812125; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 455.055500; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 389.357504; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 480.981500; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 321.104127; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 389.356254; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 323.645754; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 481.002875; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 444.082125; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 483.929625; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 483.950625; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 508.903500; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 386.812125; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 455.055500; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 389.357504; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 481.458000; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 455.055500; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 523.293875; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 457.607131; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 520.985750; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 389.356254; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 457.608381; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 391.907883; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 521.007375; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 483.294125; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 523.141625; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 523.162875; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 549.117000; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 321.104127; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 389.356253; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 323.645754; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 481.478000; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 389.357503; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 457.608379; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 391.907883; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 521.007250; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 323.645754; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 391.907883; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 326.192386; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 521.015875; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 483.314125; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 523.162875; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 523.170000; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 549.124000; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 484.628625; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 524.440000; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 524.445750; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 509.109750; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 524.439875; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 564.249875; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 564.257000; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 549.178625; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 524.445750; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 564.257000; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 564.250000; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 549.184250; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 509.542125; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 549.393375; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 549.399000; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 588.930625; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.632093; - fall_capacitance : 1.398329; - rise_capacitance : 1.632093; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.762744; - fall_capacitance : 1.428645; - rise_capacitance : 1.762744; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.625588; - fall_capacitance : 1.530948; - rise_capacitance : 1.625588; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.723543; - fall_capacitance : 1.538062; - rise_capacitance : 1.723543; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.623934; - fall_capacitance : 1.623934; - rise_capacitance : 1.584279; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.679620; - fall_capacitance : 1.619886; - rise_capacitance : 1.679620; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "!(!(!(((A1 & A2) | (B1 & B2)) | (C1 & C2))))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.025131,0.027809,0.030143,0.034276,0.041908,0.056789,0.086482", \ - "0.025830,0.028509,0.030843,0.034977,0.042608,0.057489,0.087182", \ - "0.028694,0.031372,0.033707,0.037839,0.045470,0.060351,0.090044", \ - "0.032530,0.035222,0.037564,0.041705,0.049339,0.064214,0.093901", \ - "0.035436,0.038173,0.040537,0.044695,0.052331,0.067196,0.096873", \ - "0.036848,0.039683,0.042109,0.046328,0.053985,0.068835,0.098492", \ - "0.036362,0.039332,0.041852,0.046181,0.053885,0.068726,0.098355"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030572,0.033616,0.036342,0.041518,0.051798,0.072402,0.113621", \ - "0.031237,0.034281,0.037006,0.042183,0.052463,0.073067,0.114290", \ - "0.034782,0.037826,0.040551,0.045728,0.056008,0.076613,0.117836", \ - "0.042493,0.045542,0.048265,0.053425,0.063682,0.084269,0.125482", \ - "0.052198,0.055307,0.058052,0.063198,0.073412,0.093963,0.135154", \ - "0.063644,0.066840,0.069637,0.074789,0.084957,0.105451,0.146603", \ - "0.076727,0.080030,0.082925,0.088120,0.098255,0.118679,0.159774"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002849,0.004143,0.005503,0.008326,0.014349,0.027005,0.052683", \ - "0.002849,0.004142,0.005504,0.008326,0.014349,0.027005,0.052685", \ - "0.002854,0.004147,0.005507,0.008328,0.014350,0.027005,0.052686", \ - "0.002970,0.004243,0.005586,0.008382,0.014374,0.027010,0.052685", \ - "0.003218,0.004449,0.005754,0.008491,0.014421,0.027022,0.052691", \ - "0.003625,0.004819,0.006074,0.008714,0.014527,0.027054,0.052696", \ - "0.004118,0.005309,0.006526,0.009065,0.014709,0.027107,0.052715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003092,0.004950,0.006998,0.011499,0.021001,0.040234,0.078777", \ - "0.003092,0.004950,0.006997,0.011500,0.020999,0.040234,0.078788", \ - "0.003089,0.004948,0.006996,0.011499,0.021002,0.040240,0.078789", \ - "0.003149,0.004996,0.007026,0.011511,0.021004,0.040239,0.078780", \ - "0.003379,0.005198,0.007169,0.011575,0.021025,0.040244,0.078776", \ - "0.003705,0.005504,0.007407,0.011694,0.021059,0.040259,0.078782", \ - "0.004147,0.005929,0.007782,0.011911,0.021139,0.040280,0.078788"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.025129,0.027808,0.030143,0.034275,0.041907,0.056788,0.086480", \ - "0.025841,0.028520,0.030854,0.034987,0.042618,0.057499,0.087192", \ - "0.028741,0.031421,0.033755,0.037887,0.045519,0.060400,0.090092", \ - "0.032459,0.035152,0.037495,0.041635,0.049269,0.064145,0.093833", \ - "0.034846,0.037582,0.039947,0.044107,0.051743,0.066608,0.096284", \ - "0.035289,0.038129,0.040556,0.044777,0.052431,0.067281,0.096936", \ - "0.033363,0.036338,0.038863,0.043197,0.050904,0.065739,0.095364"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034012,0.037138,0.039901,0.045079,0.055329,0.075904,0.117112", \ - "0.034611,0.037736,0.040500,0.045678,0.055928,0.076505,0.117713", \ - "0.038101,0.041224,0.043987,0.049168,0.059420,0.079997,0.121204", \ - "0.046267,0.049386,0.052142,0.057313,0.067555,0.088125,0.129328", \ - "0.057255,0.060419,0.063199,0.068362,0.078564,0.099094,0.140274", \ - "0.070311,0.073547,0.076381,0.081553,0.091722,0.112195,0.153337", \ - "0.085527,0.088860,0.091787,0.097006,0.107149,0.127554,0.168642"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002849,0.004142,0.005504,0.008326,0.014349,0.027005,0.052683", \ - "0.002850,0.004143,0.005504,0.008326,0.014349,0.027005,0.052683", \ - "0.002855,0.004147,0.005507,0.008328,0.014350,0.027005,0.052685", \ - "0.002971,0.004244,0.005587,0.008382,0.014374,0.027009,0.052685", \ - "0.003223,0.004453,0.005757,0.008492,0.014422,0.027022,0.052691", \ - "0.003638,0.004830,0.006084,0.008721,0.014530,0.027054,0.052696", \ - "0.004137,0.005326,0.006542,0.009078,0.014716,0.027108,0.052712"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003357,0.005199,0.007181,0.011595,0.021037,0.040254,0.078796", \ - "0.003355,0.005198,0.007180,0.011594,0.021036,0.040254,0.078783", \ - "0.003345,0.005189,0.007174,0.011590,0.021037,0.040255,0.078780", \ - "0.003343,0.005186,0.007172,0.011590,0.021036,0.040256,0.078780", \ - "0.003548,0.005369,0.007307,0.011655,0.021058,0.040264,0.078782", \ - "0.003851,0.005651,0.007538,0.011776,0.021097,0.040270,0.078798", \ - "0.004269,0.006051,0.007900,0.011993,0.021182,0.040289,0.078799"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.026313,0.029012,0.031358,0.035502,0.043138,0.058018,0.087706", \ - "0.027025,0.029724,0.032070,0.036214,0.043850,0.058731,0.088418", \ - "0.029935,0.032635,0.034981,0.039124,0.046761,0.061641,0.091329", \ - "0.034059,0.036773,0.039127,0.043281,0.050918,0.065791,0.095473", \ - "0.036975,0.039738,0.042118,0.046292,0.053934,0.068794,0.098463", \ - "0.038042,0.040910,0.043356,0.047597,0.055262,0.070108,0.099755", \ - "0.036800,0.039803,0.042348,0.046707,0.054426,0.069265,0.098884"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.037745,0.040889,0.043660,0.048834,0.059070,0.079631,0.120830", \ - "0.038367,0.041511,0.044282,0.049456,0.059692,0.080254,0.121452", \ - "0.041697,0.044840,0.047611,0.052786,0.063024,0.083587,0.124786", \ - "0.049737,0.052875,0.055643,0.060815,0.071048,0.091607,0.132807", \ - "0.061229,0.064402,0.067188,0.072348,0.082542,0.103061,0.144236", \ - "0.074736,0.077977,0.080814,0.085984,0.096134,0.116601,0.157738", \ - "0.090446,0.093779,0.096705,0.101919,0.112037,0.132444,0.173521"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002957,0.004234,0.005580,0.008377,0.014375,0.027014,0.052687", \ - "0.002957,0.004234,0.005580,0.008378,0.014375,0.027013,0.052684", \ - "0.002962,0.004238,0.005583,0.008380,0.014375,0.027013,0.052684", \ - "0.003084,0.004341,0.005668,0.008438,0.014401,0.027019,0.052686", \ - "0.003346,0.004564,0.005852,0.008559,0.014456,0.027033,0.052693", \ - "0.003768,0.004954,0.006194,0.008803,0.014573,0.027069,0.052697", \ - "0.004274,0.005458,0.006665,0.009177,0.014771,0.027129,0.052715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003436,0.005272,0.007235,0.011622,0.021045,0.040264,0.078786", \ - "0.003434,0.005271,0.007235,0.011621,0.021044,0.040257,0.078792", \ - "0.003429,0.005266,0.007231,0.011619,0.021044,0.040256,0.078799", \ - "0.003413,0.005252,0.007220,0.011615,0.021045,0.040260,0.078798", \ - "0.003594,0.005411,0.007341,0.011673,0.021060,0.040265,0.078798", \ - "0.003886,0.005682,0.007563,0.011789,0.021101,0.040273,0.078790", \ - "0.004291,0.006066,0.007911,0.011996,0.021183,0.040291,0.078795"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.025129,0.027808,0.030143,0.034275,0.041907,0.056788,0.086480", \ - "0.025841,0.028520,0.030854,0.034987,0.042618,0.057499,0.087192", \ - "0.028741,0.031421,0.033755,0.037887,0.045519,0.060400,0.090092", \ - "0.032459,0.035152,0.037495,0.041635,0.049269,0.064145,0.093833", \ - "0.034846,0.037582,0.039947,0.044107,0.051743,0.066608,0.096284", \ - "0.035289,0.038129,0.040556,0.044777,0.052431,0.067281,0.096936", \ - "0.033363,0.036338,0.038863,0.043197,0.050904,0.065739,0.095364"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034012,0.037138,0.039901,0.045079,0.055329,0.075904,0.117112", \ - "0.034611,0.037736,0.040500,0.045678,0.055928,0.076505,0.117713", \ - "0.038101,0.041224,0.043987,0.049168,0.059420,0.079997,0.121204", \ - "0.046267,0.049386,0.052142,0.057313,0.067555,0.088125,0.129328", \ - "0.057255,0.060419,0.063199,0.068362,0.078564,0.099094,0.140274", \ - "0.070311,0.073547,0.076381,0.081553,0.091722,0.112195,0.153337", \ - "0.085527,0.088860,0.091787,0.097006,0.107149,0.127554,0.168642"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002849,0.004142,0.005504,0.008326,0.014349,0.027005,0.052683", \ - "0.002850,0.004143,0.005504,0.008326,0.014349,0.027005,0.052683", \ - "0.002855,0.004147,0.005507,0.008328,0.014350,0.027005,0.052685", \ - "0.002971,0.004244,0.005587,0.008382,0.014374,0.027009,0.052685", \ - "0.003223,0.004453,0.005757,0.008492,0.014422,0.027022,0.052691", \ - "0.003638,0.004830,0.006084,0.008721,0.014530,0.027054,0.052696", \ - "0.004137,0.005326,0.006542,0.009078,0.014716,0.027108,0.052712"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003357,0.005199,0.007181,0.011595,0.021037,0.040254,0.078796", \ - "0.003355,0.005198,0.007180,0.011594,0.021036,0.040254,0.078783", \ - "0.003345,0.005189,0.007174,0.011590,0.021037,0.040255,0.078780", \ - "0.003343,0.005186,0.007172,0.011590,0.021036,0.040256,0.078780", \ - "0.003548,0.005369,0.007307,0.011655,0.021058,0.040264,0.078782", \ - "0.003851,0.005651,0.007538,0.011776,0.021097,0.040270,0.078798", \ - "0.004269,0.006051,0.007900,0.011993,0.021182,0.040289,0.078799"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.025143,0.027821,0.030155,0.034288,0.041920,0.056801,0.086493", \ - "0.025883,0.028561,0.030895,0.035028,0.042660,0.057540,0.087233", \ - "0.028785,0.031464,0.033799,0.037931,0.045563,0.060444,0.090136", \ - "0.032377,0.035071,0.037413,0.041553,0.049187,0.064064,0.093751", \ - "0.034344,0.037082,0.039448,0.043608,0.051244,0.066107,0.095784", \ - "0.033995,0.036838,0.039267,0.043490,0.051152,0.066002,0.095655", \ - "0.030900,0.033880,0.036408,0.040745,0.048452,0.063287,0.092909"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038875,0.042067,0.044874,0.050066,0.060299,0.080847,0.122036", \ - "0.039344,0.042536,0.045343,0.050535,0.060769,0.081316,0.122505", \ - "0.042578,0.045770,0.048576,0.053769,0.064004,0.084553,0.125743", \ - "0.050700,0.053887,0.056691,0.061881,0.072113,0.092660,0.133850", \ - "0.062517,0.065735,0.068558,0.073742,0.083944,0.104450,0.145615", \ - "0.076741,0.080019,0.082894,0.088092,0.098257,0.118713,0.159841", \ - "0.093576,0.096940,0.099902,0.105149,0.115299,0.135687,0.176757"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002848,0.004142,0.005503,0.008326,0.014349,0.027005,0.052682", \ - "0.002849,0.004143,0.005503,0.008326,0.014349,0.027005,0.052683", \ - "0.002855,0.004147,0.005507,0.008328,0.014350,0.027005,0.052684", \ - "0.002972,0.004244,0.005587,0.008382,0.014375,0.027009,0.052684", \ - "0.003229,0.004458,0.005761,0.008495,0.014423,0.027022,0.052690", \ - "0.003649,0.004841,0.006093,0.008728,0.014533,0.027054,0.052695", \ - "0.004155,0.005343,0.006558,0.009090,0.014724,0.027109,0.052712"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003591,0.005427,0.007365,0.011700,0.021081,0.040267,0.078805", \ - "0.003589,0.005426,0.007364,0.011699,0.021079,0.040267,0.078792", \ - "0.003583,0.005422,0.007360,0.011697,0.021080,0.040271,0.078794", \ - "0.003566,0.005406,0.007349,0.011691,0.021076,0.040272,0.078793", \ - "0.003730,0.005553,0.007465,0.011751,0.021099,0.040274,0.078790", \ - "0.004005,0.005808,0.007683,0.011870,0.021143,0.040283,0.078806", \ - "0.004399,0.006178,0.008028,0.012083,0.021236,0.040307,0.078809"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.026326,0.029025,0.031370,0.035514,0.043151,0.058031,0.087719", \ - "0.027066,0.029765,0.032111,0.036255,0.043891,0.058772,0.088458", \ - "0.029978,0.032678,0.035023,0.039167,0.046804,0.061684,0.091372", \ - "0.033980,0.036695,0.039050,0.043202,0.050841,0.065713,0.095396", \ - "0.036491,0.039255,0.041637,0.045812,0.053453,0.068313,0.097982", \ - "0.036789,0.039662,0.042110,0.046354,0.054023,0.068869,0.098516", \ - "0.034391,0.037400,0.039949,0.044314,0.052029,0.066872,0.096489"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043378,0.046592,0.049414,0.054605,0.064825,0.085352,0.126531", \ - "0.043883,0.047096,0.049918,0.055110,0.065329,0.085857,0.127036", \ - "0.046959,0.050172,0.052994,0.058186,0.068405,0.088936,0.130113", \ - "0.054821,0.058031,0.060851,0.066042,0.076264,0.096792,0.137971", \ - "0.067066,0.070297,0.073132,0.078317,0.088506,0.109002,0.150153", \ - "0.081722,0.085010,0.087894,0.093092,0.103256,0.123697,0.164816", \ - "0.099031,0.102399,0.105365,0.110611,0.120745,0.141131,0.182192"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002957,0.004234,0.005580,0.008378,0.014375,0.027013,0.052687", \ - "0.002957,0.004234,0.005580,0.008378,0.014374,0.027013,0.052686", \ - "0.002962,0.004238,0.005583,0.008380,0.014375,0.027013,0.052684", \ - "0.003085,0.004342,0.005669,0.008439,0.014401,0.027019,0.052686", \ - "0.003355,0.004571,0.005857,0.008562,0.014457,0.027034,0.052693", \ - "0.003783,0.004967,0.006207,0.008812,0.014577,0.027070,0.052698", \ - "0.004292,0.005479,0.006686,0.009193,0.014781,0.027131,0.052715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003692,0.005521,0.007441,0.011740,0.021096,0.040277,0.078791", \ - "0.003691,0.005521,0.007441,0.011740,0.021098,0.040280,0.078793", \ - "0.003688,0.005518,0.007439,0.011740,0.021096,0.040278,0.078799", \ - "0.003672,0.005503,0.007427,0.011734,0.021096,0.040279,0.078808", \ - "0.003798,0.005616,0.007519,0.011780,0.021108,0.040282,0.078791", \ - "0.004066,0.005861,0.007729,0.011898,0.021154,0.040296,0.078816", \ - "0.004445,0.006215,0.008059,0.012101,0.021245,0.040314,0.078818"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.026313,0.029012,0.031358,0.035502,0.043138,0.058018,0.087706", \ - "0.027025,0.029724,0.032070,0.036214,0.043850,0.058731,0.088418", \ - "0.029935,0.032635,0.034981,0.039124,0.046761,0.061641,0.091329", \ - "0.034059,0.036773,0.039127,0.043281,0.050918,0.065791,0.095473", \ - "0.036975,0.039738,0.042118,0.046292,0.053934,0.068794,0.098463", \ - "0.038042,0.040910,0.043356,0.047597,0.055262,0.070108,0.099755", \ - "0.036800,0.039803,0.042348,0.046707,0.054426,0.069265,0.098884"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.037745,0.040889,0.043660,0.048834,0.059070,0.079631,0.120830", \ - "0.038367,0.041511,0.044282,0.049456,0.059692,0.080254,0.121452", \ - "0.041697,0.044840,0.047611,0.052786,0.063024,0.083587,0.124786", \ - "0.049737,0.052875,0.055643,0.060815,0.071048,0.091607,0.132807", \ - "0.061229,0.064402,0.067188,0.072348,0.082542,0.103061,0.144236", \ - "0.074736,0.077977,0.080814,0.085984,0.096134,0.116601,0.157738", \ - "0.090446,0.093779,0.096705,0.101919,0.112037,0.132444,0.173521"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002957,0.004234,0.005580,0.008377,0.014375,0.027014,0.052687", \ - "0.002957,0.004234,0.005580,0.008378,0.014375,0.027013,0.052684", \ - "0.002962,0.004238,0.005583,0.008380,0.014375,0.027013,0.052684", \ - "0.003084,0.004341,0.005668,0.008438,0.014401,0.027019,0.052686", \ - "0.003346,0.004564,0.005852,0.008559,0.014456,0.027033,0.052693", \ - "0.003768,0.004954,0.006194,0.008803,0.014573,0.027069,0.052697", \ - "0.004274,0.005458,0.006665,0.009177,0.014771,0.027129,0.052715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003436,0.005272,0.007235,0.011622,0.021045,0.040264,0.078786", \ - "0.003434,0.005271,0.007235,0.011621,0.021044,0.040257,0.078792", \ - "0.003429,0.005266,0.007231,0.011619,0.021044,0.040256,0.078799", \ - "0.003413,0.005252,0.007220,0.011615,0.021045,0.040260,0.078798", \ - "0.003594,0.005411,0.007341,0.011673,0.021060,0.040265,0.078798", \ - "0.003886,0.005682,0.007563,0.011789,0.021101,0.040273,0.078790", \ - "0.004291,0.006066,0.007911,0.011996,0.021183,0.040291,0.078795"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.026326,0.029025,0.031370,0.035514,0.043151,0.058031,0.087719", \ - "0.027066,0.029765,0.032111,0.036255,0.043891,0.058772,0.088458", \ - "0.029978,0.032678,0.035023,0.039167,0.046804,0.061684,0.091372", \ - "0.033980,0.036695,0.039050,0.043202,0.050841,0.065713,0.095396", \ - "0.036491,0.039255,0.041637,0.045812,0.053453,0.068313,0.097982", \ - "0.036789,0.039662,0.042110,0.046354,0.054023,0.068869,0.098516", \ - "0.034391,0.037400,0.039949,0.044314,0.052029,0.066872,0.096489"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043378,0.046592,0.049414,0.054605,0.064825,0.085352,0.126531", \ - "0.043883,0.047096,0.049918,0.055110,0.065329,0.085857,0.127036", \ - "0.046959,0.050172,0.052994,0.058186,0.068405,0.088936,0.130113", \ - "0.054821,0.058031,0.060851,0.066042,0.076264,0.096792,0.137971", \ - "0.067066,0.070297,0.073132,0.078317,0.088506,0.109002,0.150153", \ - "0.081722,0.085010,0.087894,0.093092,0.103256,0.123697,0.164816", \ - "0.099031,0.102399,0.105365,0.110611,0.120745,0.141131,0.182192"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002957,0.004234,0.005580,0.008378,0.014375,0.027013,0.052687", \ - "0.002957,0.004234,0.005580,0.008378,0.014374,0.027013,0.052686", \ - "0.002962,0.004238,0.005583,0.008380,0.014375,0.027013,0.052684", \ - "0.003085,0.004342,0.005669,0.008439,0.014401,0.027019,0.052686", \ - "0.003355,0.004571,0.005857,0.008562,0.014457,0.027034,0.052693", \ - "0.003783,0.004967,0.006207,0.008812,0.014577,0.027070,0.052698", \ - "0.004292,0.005479,0.006686,0.009193,0.014781,0.027131,0.052715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003692,0.005521,0.007441,0.011740,0.021096,0.040277,0.078791", \ - "0.003691,0.005521,0.007441,0.011740,0.021098,0.040280,0.078793", \ - "0.003688,0.005518,0.007439,0.011740,0.021096,0.040278,0.078799", \ - "0.003672,0.005503,0.007427,0.011734,0.021096,0.040279,0.078808", \ - "0.003798,0.005616,0.007519,0.011780,0.021108,0.040282,0.078791", \ - "0.004066,0.005861,0.007729,0.011898,0.021154,0.040296,0.078816", \ - "0.004445,0.006215,0.008059,0.012101,0.021245,0.040314,0.078818"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.027517,0.030234,0.032592,0.036748,0.044391,0.059269,0.088952", \ - "0.028258,0.030975,0.033333,0.037489,0.045131,0.060010,0.089694", \ - "0.031176,0.033894,0.036252,0.040408,0.048050,0.062929,0.092613", \ - "0.035542,0.038278,0.040646,0.044811,0.052453,0.067325,0.097001", \ - "0.038556,0.041346,0.043742,0.047932,0.055580,0.070437,0.100103", \ - "0.039451,0.042349,0.044816,0.049079,0.056754,0.071599,0.101239", \ - "0.037694,0.040728,0.043298,0.047687,0.055424,0.070264,0.099875"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047851,0.051085,0.053924,0.059116,0.069323,0.089830,0.130994", \ - "0.048385,0.051620,0.054459,0.059651,0.069857,0.090365,0.131528", \ - "0.051349,0.054584,0.057422,0.062615,0.072820,0.093330,0.134492", \ - "0.058944,0.062178,0.065015,0.070208,0.080417,0.100927,0.142088", \ - "0.071469,0.074715,0.077561,0.082746,0.092920,0.113399,0.154547", \ - "0.086562,0.089862,0.092757,0.097958,0.108107,0.128536,0.169645", \ - "0.104281,0.107657,0.110632,0.115880,0.125994,0.146366,0.187412"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003059,0.004323,0.005654,0.008430,0.014401,0.027023,0.052690", \ - "0.003059,0.004323,0.005654,0.008430,0.014401,0.027022,0.052689", \ - "0.003063,0.004326,0.005657,0.008432,0.014402,0.027023,0.052688", \ - "0.003188,0.004432,0.005746,0.008491,0.014428,0.027028,0.052690", \ - "0.003464,0.004670,0.005944,0.008625,0.014489,0.027046,0.052695", \ - "0.003896,0.005078,0.006306,0.008888,0.014617,0.027085,0.052701", \ - "0.004415,0.005599,0.006799,0.009286,0.014834,0.027152,0.052721"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003795,0.005616,0.007521,0.011785,0.021117,0.040286,0.078792", \ - "0.003794,0.005616,0.007520,0.011785,0.021114,0.040286,0.078810", \ - "0.003794,0.005615,0.007520,0.011785,0.021113,0.040285,0.078813", \ - "0.003781,0.005605,0.007511,0.011779,0.021113,0.040279,0.078793", \ - "0.003871,0.005683,0.007574,0.011808,0.021121,0.040285,0.078797", \ - "0.004135,0.005924,0.007785,0.011929,0.021169,0.040293,0.078818", \ - "0.004502,0.006266,0.008106,0.012127,0.021253,0.040315,0.078812"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.026147,0.028825,0.031159,0.035292,0.042923,0.057805,0.087496", \ - "0.026762,0.029441,0.031775,0.035908,0.043540,0.058421,0.088113", \ - "0.028446,0.031126,0.033460,0.037592,0.045224,0.060106,0.089797", \ - "0.030790,0.033479,0.035820,0.039958,0.047593,0.062471,0.092158", \ - "0.032358,0.035080,0.037440,0.041596,0.049235,0.064108,0.093789", \ - "0.032018,0.034805,0.037204,0.041399,0.049053,0.063917,0.093589", \ - "0.029230,0.032115,0.034578,0.038841,0.046530,0.061385,0.091037"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033016,0.036072,0.038799,0.043969,0.054236,0.074830,0.116045", \ - "0.033696,0.036752,0.039479,0.044649,0.054916,0.075509,0.116725", \ - "0.037355,0.040412,0.043139,0.048309,0.058576,0.079171,0.120387", \ - "0.045518,0.048577,0.051302,0.056461,0.066712,0.087295,0.128509", \ - "0.056384,0.059494,0.062237,0.067381,0.077591,0.098135,0.139324", \ - "0.069411,0.072591,0.075375,0.080520,0.090684,0.111180,0.152337", \ - "0.084852,0.088117,0.090973,0.096142,0.106268,0.126701,0.167810"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002849,0.004143,0.005503,0.008326,0.014349,0.027004,0.052687", \ - "0.002850,0.004143,0.005503,0.008326,0.014349,0.027005,0.052683", \ - "0.002853,0.004145,0.005506,0.008327,0.014350,0.027004,0.052686", \ - "0.002931,0.004211,0.005560,0.008363,0.014366,0.027009,0.052687", \ - "0.003117,0.004369,0.005691,0.008452,0.014407,0.027020,0.052688", \ - "0.003413,0.004633,0.005916,0.008610,0.014485,0.027049,0.052698", \ - "0.003792,0.004991,0.006236,0.008845,0.014602,0.027084,0.052716"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003149,0.004998,0.007029,0.011514,0.021004,0.040234,0.078773", \ - "0.003148,0.004998,0.007030,0.011514,0.021003,0.040241,0.078785", \ - "0.003146,0.004996,0.007029,0.011514,0.021003,0.040236,0.078782", \ - "0.003176,0.005020,0.007045,0.011519,0.021009,0.040243,0.078777", \ - "0.003386,0.005205,0.007173,0.011578,0.021022,0.040244,0.078781", \ - "0.003657,0.005456,0.007366,0.011672,0.021051,0.040258,0.078779", \ - "0.004010,0.005791,0.007652,0.011830,0.021105,0.040265,0.078785"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.026145,0.028824,0.031158,0.035291,0.042922,0.057804,0.087495", \ - "0.026773,0.029451,0.031786,0.035919,0.043550,0.058432,0.088123", \ - "0.028508,0.031188,0.033522,0.037654,0.045286,0.060168,0.089859", \ - "0.030805,0.033494,0.035835,0.039973,0.047608,0.062486,0.092173", \ - "0.032013,0.034733,0.037092,0.041248,0.048887,0.063760,0.093439", \ - "0.030932,0.033720,0.036117,0.040311,0.047967,0.062831,0.092500", \ - "0.027005,0.029888,0.032350,0.036612,0.044295,0.059147,0.088799"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.037081,0.040224,0.042995,0.048169,0.058404,0.078966,0.120164", \ - "0.037697,0.040840,0.043611,0.048786,0.059021,0.079583,0.120778", \ - "0.041322,0.044465,0.047236,0.052410,0.062647,0.083209,0.124409", \ - "0.049775,0.052913,0.055680,0.060853,0.071087,0.091645,0.132845", \ - "0.061901,0.065071,0.067855,0.073014,0.083210,0.103732,0.144908", \ - "0.076419,0.079649,0.082478,0.087644,0.097796,0.118269,0.159414", \ - "0.093724,0.097031,0.099930,0.105127,0.115254,0.135665,0.176757"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002849,0.004143,0.005503,0.008326,0.014349,0.027005,0.052685", \ - "0.002849,0.004142,0.005503,0.008326,0.014349,0.027005,0.052684", \ - "0.002853,0.004146,0.005506,0.008327,0.014350,0.027005,0.052686", \ - "0.002931,0.004211,0.005559,0.008363,0.014366,0.027009,0.052687", \ - "0.003114,0.004365,0.005688,0.008449,0.014406,0.027019,0.052690", \ - "0.003409,0.004627,0.005911,0.008605,0.014482,0.027047,0.052697", \ - "0.003789,0.004986,0.006231,0.008840,0.014598,0.027081,0.052712"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003435,0.005269,0.007234,0.011621,0.021046,0.040258,0.078795", \ - "0.003433,0.005269,0.007233,0.011621,0.021046,0.040255,0.078786", \ - "0.003427,0.005264,0.007229,0.011617,0.021047,0.040262,0.078797", \ - "0.003413,0.005251,0.007219,0.011614,0.021041,0.040257,0.078791", \ - "0.003580,0.005399,0.007330,0.011668,0.021060,0.040261,0.078789", \ - "0.003841,0.005639,0.007527,0.011769,0.021091,0.040273,0.078806", \ - "0.004178,0.005956,0.007808,0.011931,0.021154,0.040283,0.078796"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.027329,0.030028,0.032373,0.036517,0.044154,0.059034,0.088720", \ - "0.027957,0.030656,0.033002,0.037146,0.044782,0.059663,0.089350", \ - "0.029703,0.032403,0.034748,0.038892,0.046528,0.061408,0.091097", \ - "0.032162,0.034871,0.037224,0.041374,0.049013,0.063889,0.093574", \ - "0.033733,0.036477,0.038849,0.043018,0.050661,0.065531,0.095208", \ - "0.033147,0.035959,0.038372,0.042581,0.050243,0.065104,0.094768", \ - "0.029772,0.032683,0.035163,0.039445,0.047136,0.061988,0.091634"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040795,0.043956,0.046737,0.051908,0.062130,0.082673,0.123861", \ - "0.041421,0.044582,0.047363,0.052534,0.062755,0.083299,0.124489", \ - "0.044914,0.048074,0.050855,0.056026,0.066248,0.086794,0.127982", \ - "0.053171,0.056328,0.059107,0.064278,0.074500,0.095047,0.136235", \ - "0.065685,0.068867,0.071658,0.076817,0.087002,0.107510,0.148677", \ - "0.080592,0.083832,0.086668,0.091835,0.101984,0.122434,0.163569", \ - "0.098275,0.101590,0.104496,0.109693,0.119802,0.140185,0.181263"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002956,0.004234,0.005580,0.008377,0.014375,0.027013,0.052688", \ - "0.002957,0.004234,0.005580,0.008378,0.014375,0.027013,0.052686", \ - "0.002959,0.004237,0.005582,0.008379,0.014375,0.027013,0.052685", \ - "0.003039,0.004302,0.005636,0.008416,0.014392,0.027018,0.052686", \ - "0.003222,0.004462,0.005769,0.008507,0.014435,0.027029,0.052690", \ - "0.003527,0.004735,0.006005,0.008673,0.014516,0.027058,0.052700", \ - "0.003915,0.005105,0.006339,0.008923,0.014642,0.027098,0.052716"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003517,0.005345,0.007291,0.011649,0.021054,0.040263,0.078801", \ - "0.003515,0.005344,0.007291,0.011649,0.021056,0.040260,0.078802", \ - "0.003511,0.005341,0.007289,0.011649,0.021057,0.040261,0.078797", \ - "0.003496,0.005327,0.007278,0.011645,0.021053,0.040267,0.078800", \ - "0.003634,0.005448,0.007370,0.011686,0.021065,0.040266,0.078800", \ - "0.003897,0.005689,0.007568,0.011790,0.021101,0.040270,0.078801", \ - "0.004230,0.006003,0.007849,0.011953,0.021162,0.040283,0.078792"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.026145,0.028824,0.031158,0.035291,0.042922,0.057804,0.087495", \ - "0.026773,0.029451,0.031786,0.035919,0.043550,0.058432,0.088123", \ - "0.028508,0.031188,0.033522,0.037654,0.045286,0.060168,0.089859", \ - "0.030805,0.033494,0.035835,0.039973,0.047608,0.062486,0.092173", \ - "0.032013,0.034733,0.037092,0.041248,0.048887,0.063760,0.093439", \ - "0.030932,0.033720,0.036117,0.040311,0.047967,0.062831,0.092500", \ - "0.027005,0.029888,0.032350,0.036612,0.044295,0.059147,0.088799"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.037081,0.040224,0.042995,0.048169,0.058404,0.078966,0.120164", \ - "0.037697,0.040840,0.043611,0.048786,0.059021,0.079583,0.120778", \ - "0.041322,0.044465,0.047236,0.052410,0.062647,0.083209,0.124409", \ - "0.049775,0.052913,0.055680,0.060853,0.071087,0.091645,0.132845", \ - "0.061901,0.065071,0.067855,0.073014,0.083210,0.103732,0.144908", \ - "0.076419,0.079649,0.082478,0.087644,0.097796,0.118269,0.159414", \ - "0.093724,0.097031,0.099930,0.105127,0.115254,0.135665,0.176757"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002849,0.004143,0.005503,0.008326,0.014349,0.027005,0.052685", \ - "0.002849,0.004142,0.005503,0.008326,0.014349,0.027005,0.052684", \ - "0.002853,0.004146,0.005506,0.008327,0.014350,0.027005,0.052686", \ - "0.002931,0.004211,0.005559,0.008363,0.014366,0.027009,0.052687", \ - "0.003114,0.004365,0.005688,0.008449,0.014406,0.027019,0.052690", \ - "0.003409,0.004627,0.005911,0.008605,0.014482,0.027047,0.052697", \ - "0.003789,0.004986,0.006231,0.008840,0.014598,0.027081,0.052712"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003435,0.005269,0.007234,0.011621,0.021046,0.040258,0.078795", \ - "0.003433,0.005269,0.007233,0.011621,0.021046,0.040255,0.078786", \ - "0.003427,0.005264,0.007229,0.011617,0.021047,0.040262,0.078797", \ - "0.003413,0.005251,0.007219,0.011614,0.021041,0.040257,0.078791", \ - "0.003580,0.005399,0.007330,0.011668,0.021060,0.040261,0.078789", \ - "0.003841,0.005639,0.007527,0.011769,0.021091,0.040273,0.078806", \ - "0.004178,0.005956,0.007808,0.011931,0.021154,0.040283,0.078796"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.026158,0.028837,0.031171,0.035304,0.042935,0.057817,0.087508", \ - "0.026814,0.029492,0.031827,0.035959,0.043591,0.058472,0.088164", \ - "0.028573,0.031252,0.033587,0.037719,0.045351,0.060232,0.089924", \ - "0.030793,0.033482,0.035823,0.039961,0.047596,0.062474,0.092161", \ - "0.031691,0.034411,0.036770,0.040925,0.048564,0.063437,0.093117", \ - "0.030009,0.032792,0.035189,0.039382,0.047037,0.061901,0.091571", \ - "0.025142,0.028026,0.030487,0.034749,0.042431,0.057288,0.086938"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042575,0.045788,0.048610,0.053801,0.064020,0.084547,0.125726", \ - "0.043077,0.046290,0.049111,0.054302,0.064521,0.085048,0.126226", \ - "0.046498,0.049711,0.052532,0.057723,0.067944,0.088472,0.129648", \ - "0.054823,0.058033,0.060853,0.066043,0.076265,0.096794,0.137972", \ - "0.067660,0.070890,0.073722,0.078907,0.089097,0.109590,0.150748", \ - "0.083246,0.086526,0.089403,0.094599,0.104755,0.125203,0.166328", \ - "0.101932,0.105281,0.108226,0.113458,0.123595,0.143993,0.185066"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002849,0.004142,0.005503,0.008326,0.014349,0.027005,0.052685", \ - "0.002849,0.004142,0.005503,0.008326,0.014349,0.027005,0.052683", \ - "0.002852,0.004145,0.005506,0.008328,0.014349,0.027005,0.052686", \ - "0.002930,0.004211,0.005559,0.008363,0.014366,0.027008,0.052687", \ - "0.003112,0.004364,0.005687,0.008449,0.014406,0.027019,0.052690", \ - "0.003408,0.004626,0.005909,0.008604,0.014480,0.027046,0.052698", \ - "0.003788,0.004987,0.006231,0.008839,0.014597,0.027079,0.052710"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003690,0.005518,0.007439,0.011740,0.021099,0.040274,0.078795", \ - "0.003690,0.005519,0.007439,0.011738,0.021099,0.040274,0.078800", \ - "0.003687,0.005516,0.007437,0.011738,0.021097,0.040275,0.078793", \ - "0.003670,0.005502,0.007426,0.011733,0.021094,0.040281,0.078795", \ - "0.003787,0.005605,0.007510,0.011775,0.021110,0.040277,0.078798", \ - "0.004030,0.005829,0.007701,0.011880,0.021147,0.040287,0.078806", \ - "0.004353,0.006128,0.007977,0.012047,0.021216,0.040300,0.078810"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.027342,0.030040,0.032386,0.036530,0.044167,0.059047,0.088732", \ - "0.027999,0.030697,0.033043,0.037187,0.044823,0.059704,0.089390", \ - "0.029767,0.032466,0.034812,0.038956,0.046593,0.061473,0.091161", \ - "0.032150,0.034861,0.037213,0.041364,0.049003,0.063880,0.093564", \ - "0.033420,0.036163,0.038535,0.042704,0.050347,0.065217,0.094893", \ - "0.032237,0.035049,0.037462,0.041671,0.049330,0.064192,0.093856", \ - "0.027934,0.030845,0.033325,0.037608,0.045305,0.060156,0.089799"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047043,0.050279,0.053117,0.058310,0.068515,0.089024,0.130187", \ - "0.047561,0.050796,0.053634,0.058827,0.069033,0.089542,0.130702", \ - "0.050849,0.054084,0.056923,0.062115,0.072321,0.092830,0.133992", \ - "0.058905,0.062139,0.064976,0.070168,0.080378,0.100888,0.142049", \ - "0.072005,0.075249,0.078094,0.083279,0.093460,0.113941,0.155087", \ - "0.087994,0.091288,0.094178,0.099377,0.109532,0.129969,0.171073", \ - "0.107057,0.110417,0.113375,0.118611,0.128730,0.149110,0.190170"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002957,0.004234,0.005580,0.008378,0.014375,0.027014,0.052688", \ - "0.002957,0.004234,0.005580,0.008377,0.014375,0.027013,0.052685", \ - "0.002959,0.004237,0.005582,0.008379,0.014375,0.027013,0.052685", \ - "0.003039,0.004303,0.005636,0.008416,0.014393,0.027017,0.052686", \ - "0.003223,0.004462,0.005770,0.008507,0.014435,0.027029,0.052692", \ - "0.003529,0.004735,0.006006,0.008673,0.014516,0.027058,0.052700", \ - "0.003917,0.005108,0.006341,0.008923,0.014642,0.027097,0.052715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003794,0.005616,0.007520,0.011785,0.021114,0.040286,0.078804", \ - "0.003794,0.005616,0.007520,0.011785,0.021117,0.040280,0.078793", \ - "0.003794,0.005615,0.007520,0.011784,0.021115,0.040286,0.078813", \ - "0.003783,0.005605,0.007511,0.011779,0.021114,0.040280,0.078797", \ - "0.003862,0.005675,0.007567,0.011807,0.021124,0.040288,0.078796", \ - "0.004110,0.005899,0.007763,0.011918,0.021163,0.040290,0.078811", \ - "0.004430,0.006196,0.008038,0.012084,0.021235,0.040306,0.078815"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.027329,0.030028,0.032373,0.036517,0.044154,0.059034,0.088720", \ - "0.027957,0.030656,0.033002,0.037146,0.044782,0.059663,0.089350", \ - "0.029703,0.032403,0.034748,0.038892,0.046528,0.061408,0.091097", \ - "0.032162,0.034871,0.037224,0.041374,0.049013,0.063889,0.093574", \ - "0.033733,0.036477,0.038849,0.043018,0.050661,0.065531,0.095208", \ - "0.033147,0.035959,0.038372,0.042581,0.050243,0.065104,0.094768", \ - "0.029772,0.032683,0.035163,0.039445,0.047136,0.061988,0.091634"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040795,0.043956,0.046737,0.051908,0.062130,0.082673,0.123861", \ - "0.041421,0.044582,0.047363,0.052534,0.062755,0.083299,0.124489", \ - "0.044914,0.048074,0.050855,0.056026,0.066248,0.086794,0.127982", \ - "0.053171,0.056328,0.059107,0.064278,0.074500,0.095047,0.136235", \ - "0.065685,0.068867,0.071658,0.076817,0.087002,0.107510,0.148677", \ - "0.080592,0.083832,0.086668,0.091835,0.101984,0.122434,0.163569", \ - "0.098275,0.101590,0.104496,0.109693,0.119802,0.140185,0.181263"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002956,0.004234,0.005580,0.008377,0.014375,0.027013,0.052688", \ - "0.002957,0.004234,0.005580,0.008378,0.014375,0.027013,0.052686", \ - "0.002959,0.004237,0.005582,0.008379,0.014375,0.027013,0.052685", \ - "0.003039,0.004302,0.005636,0.008416,0.014392,0.027018,0.052686", \ - "0.003222,0.004462,0.005769,0.008507,0.014435,0.027029,0.052690", \ - "0.003527,0.004735,0.006005,0.008673,0.014516,0.027058,0.052700", \ - "0.003915,0.005105,0.006339,0.008923,0.014642,0.027098,0.052716"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003517,0.005345,0.007291,0.011649,0.021054,0.040263,0.078801", \ - "0.003515,0.005344,0.007291,0.011649,0.021056,0.040260,0.078802", \ - "0.003511,0.005341,0.007289,0.011649,0.021057,0.040261,0.078797", \ - "0.003496,0.005327,0.007278,0.011645,0.021053,0.040267,0.078800", \ - "0.003634,0.005448,0.007370,0.011686,0.021065,0.040266,0.078800", \ - "0.003897,0.005689,0.007568,0.011790,0.021101,0.040270,0.078801", \ - "0.004230,0.006003,0.007849,0.011953,0.021162,0.040283,0.078792"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.027342,0.030040,0.032386,0.036530,0.044167,0.059047,0.088732", \ - "0.027999,0.030697,0.033043,0.037187,0.044823,0.059704,0.089390", \ - "0.029767,0.032466,0.034812,0.038956,0.046593,0.061473,0.091161", \ - "0.032150,0.034861,0.037213,0.041364,0.049003,0.063880,0.093564", \ - "0.033420,0.036163,0.038535,0.042704,0.050347,0.065217,0.094893", \ - "0.032237,0.035049,0.037462,0.041671,0.049330,0.064192,0.093856", \ - "0.027934,0.030845,0.033325,0.037608,0.045305,0.060156,0.089799"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047043,0.050279,0.053117,0.058310,0.068515,0.089024,0.130187", \ - "0.047561,0.050796,0.053634,0.058827,0.069033,0.089542,0.130702", \ - "0.050849,0.054084,0.056923,0.062115,0.072321,0.092830,0.133992", \ - "0.058905,0.062139,0.064976,0.070168,0.080378,0.100888,0.142049", \ - "0.072005,0.075249,0.078094,0.083279,0.093460,0.113941,0.155087", \ - "0.087994,0.091288,0.094178,0.099377,0.109532,0.129969,0.171073", \ - "0.107057,0.110417,0.113375,0.118611,0.128730,0.149110,0.190170"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002957,0.004234,0.005580,0.008378,0.014375,0.027014,0.052688", \ - "0.002957,0.004234,0.005580,0.008377,0.014375,0.027013,0.052685", \ - "0.002959,0.004237,0.005582,0.008379,0.014375,0.027013,0.052685", \ - "0.003039,0.004303,0.005636,0.008416,0.014393,0.027017,0.052686", \ - "0.003223,0.004462,0.005770,0.008507,0.014435,0.027029,0.052692", \ - "0.003529,0.004735,0.006006,0.008673,0.014516,0.027058,0.052700", \ - "0.003917,0.005108,0.006341,0.008923,0.014642,0.027097,0.052715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003794,0.005616,0.007520,0.011785,0.021114,0.040286,0.078804", \ - "0.003794,0.005616,0.007520,0.011785,0.021117,0.040280,0.078793", \ - "0.003794,0.005615,0.007520,0.011784,0.021115,0.040286,0.078813", \ - "0.003783,0.005605,0.007511,0.011779,0.021114,0.040280,0.078797", \ - "0.003862,0.005675,0.007567,0.011807,0.021124,0.040288,0.078796", \ - "0.004110,0.005899,0.007763,0.011918,0.021163,0.040290,0.078811", \ - "0.004430,0.006196,0.008038,0.012084,0.021235,0.040306,0.078815"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.028532,0.031250,0.033607,0.037764,0.045406,0.060284,0.089970", \ - "0.029190,0.031907,0.034265,0.038422,0.046064,0.060942,0.090625", \ - "0.030966,0.033684,0.036042,0.040198,0.047840,0.062718,0.092404", \ - "0.033498,0.036229,0.038593,0.042757,0.050400,0.065276,0.094955", \ - "0.035108,0.037873,0.040258,0.044439,0.052089,0.066958,0.096630", \ - "0.034395,0.037230,0.039659,0.043883,0.051552,0.066412,0.096070", \ - "0.030620,0.033558,0.036055,0.040358,0.048067,0.062915,0.092555"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051480,0.054737,0.057594,0.062790,0.072983,0.093473,0.134618", \ - "0.052011,0.055268,0.058125,0.063320,0.073513,0.094003,0.135151", \ - "0.055200,0.058457,0.061313,0.066509,0.076703,0.097193,0.138340", \ - "0.063006,0.066262,0.069118,0.074315,0.084509,0.105001,0.146149", \ - "0.076214,0.079471,0.082328,0.087516,0.097686,0.118155,0.159295", \ - "0.092620,0.095929,0.098833,0.104037,0.114173,0.134592,0.175687", \ - "0.112013,0.115387,0.118359,0.123602,0.133712,0.154077,0.195122"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003058,0.004323,0.005654,0.008430,0.014401,0.027023,0.052689", \ - "0.003058,0.004322,0.005654,0.008430,0.014401,0.027023,0.052690", \ - "0.003062,0.004324,0.005656,0.008431,0.014401,0.027022,0.052688", \ - "0.003138,0.004390,0.005711,0.008469,0.014419,0.027027,0.052687", \ - "0.003321,0.004551,0.005847,0.008562,0.014463,0.027040,0.052693", \ - "0.003632,0.004833,0.006091,0.008736,0.014549,0.027071,0.052702", \ - "0.004028,0.005216,0.006440,0.009001,0.014684,0.027113,0.052718"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003904,0.005716,0.007605,0.011831,0.021136,0.040292,0.078811", \ - "0.003903,0.005716,0.007605,0.011831,0.021134,0.040286,0.078815", \ - "0.003902,0.005715,0.007605,0.011833,0.021136,0.040288,0.078815", \ - "0.003896,0.005709,0.007600,0.011830,0.021133,0.040289,0.078814", \ - "0.003940,0.005742,0.007626,0.011841,0.021138,0.040289,0.078800", \ - "0.004192,0.005973,0.007829,0.011957,0.021182,0.040298,0.078804", \ - "0.004512,0.006271,0.008106,0.012126,0.021251,0.040313,0.078812"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030914,0.033613,0.035959,0.040104,0.047742,0.062621,0.092309", \ - "0.031565,0.034263,0.036610,0.040754,0.048392,0.063271,0.092960", \ - "0.034238,0.036937,0.039284,0.043429,0.051066,0.065945,0.095632", \ - "0.039336,0.042042,0.044392,0.048538,0.056175,0.071051,0.100736", \ - "0.043805,0.046537,0.048900,0.053058,0.060694,0.075561,0.105238", \ - "0.047018,0.049819,0.052220,0.056414,0.064051,0.078903,0.108563", \ - "0.048614,0.051520,0.053992,0.058259,0.065902,0.080750,0.110388"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038564,0.041642,0.044381,0.049557,0.059825,0.080419,0.121634", \ - "0.039300,0.042378,0.045117,0.050293,0.060560,0.081155,0.122374", \ - "0.042165,0.045243,0.047983,0.053158,0.063426,0.084019,0.125235", \ - "0.048287,0.051372,0.054111,0.059277,0.069530,0.090114,0.131326", \ - "0.057016,0.060160,0.062928,0.068089,0.078309,0.098856,0.140045", \ - "0.068080,0.071295,0.074114,0.079287,0.089473,0.109976,0.151135", \ - "0.081118,0.084423,0.087326,0.092539,0.102710,0.123160,0.164271"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002969,0.004244,0.005588,0.008383,0.014376,0.027014,0.052687", \ - "0.002969,0.004244,0.005588,0.008383,0.014377,0.027014,0.052685", \ - "0.002969,0.004245,0.005588,0.008383,0.014377,0.027014,0.052688", \ - "0.003031,0.004296,0.005630,0.008410,0.014387,0.027015,0.052689", \ - "0.003200,0.004436,0.005746,0.008487,0.014421,0.027024,0.052690", \ - "0.003510,0.004709,0.005974,0.008642,0.014492,0.027042,0.052694", \ - "0.003914,0.005095,0.006320,0.008897,0.014618,0.027078,0.052701"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003201,0.005051,0.007069,0.011535,0.021015,0.040249,0.078778", \ - "0.003200,0.005051,0.007069,0.011535,0.021017,0.040245,0.078780", \ - "0.003202,0.005051,0.007070,0.011537,0.021015,0.040251,0.078788", \ - "0.003242,0.005086,0.007093,0.011546,0.021021,0.040245,0.078792", \ - "0.003469,0.005292,0.007246,0.011621,0.021042,0.040254,0.078782", \ - "0.003751,0.005563,0.007465,0.011741,0.021089,0.040268,0.078795", \ - "0.004128,0.005926,0.007791,0.011937,0.021177,0.040291,0.078792"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030930,0.033628,0.035975,0.040120,0.047757,0.062636,0.092325", \ - "0.031630,0.034328,0.036675,0.040820,0.048458,0.063337,0.093025", \ - "0.034330,0.037029,0.039376,0.043521,0.051158,0.066038,0.095725", \ - "0.039308,0.042015,0.044365,0.048512,0.056148,0.071024,0.100711", \ - "0.043342,0.046076,0.048439,0.052598,0.060234,0.075100,0.104779", \ - "0.045634,0.048439,0.050843,0.055039,0.062684,0.077534,0.107193", \ - "0.045779,0.048692,0.051170,0.055444,0.063080,0.077931,0.107565"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045121,0.048285,0.051072,0.056258,0.066502,0.087065,0.128263", \ - "0.045701,0.048864,0.051651,0.056837,0.067082,0.087644,0.128846", \ - "0.048185,0.051349,0.054135,0.059322,0.069566,0.090128,0.131325", \ - "0.054084,0.057249,0.060036,0.065219,0.075457,0.096012,0.137207", \ - "0.063576,0.066788,0.069608,0.074791,0.085001,0.105521,0.146693", \ - "0.076015,0.079289,0.082163,0.087368,0.097561,0.118039,0.159179", \ - "0.091146,0.094498,0.097452,0.102703,0.112889,0.133329,0.174418"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002969,0.004244,0.005588,0.008383,0.014377,0.027014,0.052687", \ - "0.002969,0.004244,0.005588,0.008383,0.014377,0.027014,0.052685", \ - "0.002969,0.004244,0.005588,0.008384,0.014377,0.027014,0.052687", \ - "0.003032,0.004296,0.005630,0.008410,0.014388,0.027016,0.052687", \ - "0.003206,0.004442,0.005750,0.008489,0.014422,0.027025,0.052691", \ - "0.003530,0.004726,0.005989,0.008652,0.014497,0.027043,0.052692", \ - "0.003945,0.005126,0.006349,0.008918,0.014629,0.027080,0.052701"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003482,0.005324,0.007280,0.011653,0.021065,0.040273,0.078786", \ - "0.003483,0.005323,0.007280,0.011653,0.021066,0.040265,0.078788", \ - "0.003482,0.005324,0.007280,0.011652,0.021061,0.040264,0.078785", \ - "0.003500,0.005339,0.007291,0.011658,0.021067,0.040272,0.078798", \ - "0.003703,0.005526,0.007443,0.011738,0.021094,0.040278,0.078791", \ - "0.003968,0.005780,0.007663,0.011868,0.021150,0.040291,0.078804", \ - "0.004329,0.006122,0.007981,0.012069,0.021253,0.040323,0.078805"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032190,0.034909,0.037267,0.041424,0.049065,0.063942,0.093626", \ - "0.032891,0.035610,0.037968,0.042124,0.049766,0.064643,0.094327", \ - "0.035589,0.038309,0.040667,0.044823,0.052466,0.067342,0.097027", \ - "0.040781,0.043508,0.045869,0.050026,0.057667,0.072540,0.102221", \ - "0.045266,0.048025,0.050403,0.054576,0.062218,0.077081,0.106755", \ - "0.048061,0.050896,0.053320,0.057536,0.065194,0.080042,0.109693", \ - "0.048768,0.051715,0.054216,0.058518,0.066180,0.081017,0.110644"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.048958,0.052138,0.054935,0.060120,0.070351,0.090899,0.132092", \ - "0.049585,0.052765,0.055562,0.060747,0.070979,0.091526,0.132716", \ - "0.052077,0.055257,0.058054,0.063239,0.073471,0.094018,0.135212", \ - "0.057943,0.061124,0.063922,0.069103,0.079331,0.099874,0.141065", \ - "0.067804,0.071024,0.073848,0.079030,0.089231,0.109740,0.150904", \ - "0.080579,0.083858,0.086735,0.091938,0.102124,0.122592,0.163727", \ - "0.096134,0.099486,0.102440,0.107687,0.117866,0.138290,0.179375"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003070,0.004332,0.005662,0.008435,0.014403,0.027023,0.052690", \ - "0.003070,0.004332,0.005662,0.008435,0.014402,0.027023,0.052689", \ - "0.003071,0.004332,0.005662,0.008435,0.014403,0.027023,0.052688", \ - "0.003131,0.004381,0.005702,0.008461,0.014413,0.027025,0.052691", \ - "0.003321,0.004546,0.005838,0.008552,0.014454,0.027036,0.052694", \ - "0.003657,0.004847,0.006096,0.008731,0.014537,0.027058,0.052696", \ - "0.004087,0.005263,0.006476,0.009018,0.014682,0.027101,0.052706"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003563,0.005397,0.007338,0.011684,0.021074,0.040275,0.078804", \ - "0.003563,0.005396,0.007338,0.011683,0.021074,0.040276,0.078787", \ - "0.003563,0.005396,0.007338,0.011684,0.021074,0.040276,0.078798", \ - "0.003570,0.005403,0.007343,0.011686,0.021075,0.040271,0.078807", \ - "0.003745,0.005565,0.007473,0.011754,0.021099,0.040278,0.078800", \ - "0.004005,0.005811,0.007689,0.011880,0.021156,0.040292,0.078811", \ - "0.004349,0.006137,0.007993,0.012074,0.021254,0.040325,0.078806"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.029468,0.032167,0.034514,0.038660,0.046297,0.061176,0.090866", \ - "0.030086,0.032785,0.035132,0.039278,0.046916,0.061795,0.091483", \ - "0.032752,0.035449,0.037796,0.041942,0.049580,0.064459,0.094146", \ - "0.037550,0.040257,0.042609,0.046757,0.054394,0.069270,0.098954", \ - "0.041443,0.044181,0.046546,0.050706,0.058342,0.073207,0.102884", \ - "0.043860,0.046675,0.049085,0.053286,0.060938,0.075789,0.105444", \ - "0.044504,0.047431,0.049919,0.054204,0.061851,0.076702,0.106334"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042848,0.045963,0.048721,0.053895,0.064145,0.084721,0.125925", \ - "0.043535,0.046651,0.049408,0.054583,0.064832,0.085408,0.126612", \ - "0.046088,0.049205,0.051962,0.057136,0.067385,0.087962,0.129165", \ - "0.051324,0.054445,0.057203,0.062370,0.072608,0.093175,0.134380", \ - "0.059396,0.062563,0.065348,0.070517,0.080729,0.101265,0.142446", \ - "0.070354,0.073581,0.076410,0.081591,0.091779,0.112275,0.153431", \ - "0.083730,0.087037,0.089942,0.095159,0.105334,0.125787,0.166897"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002965,0.004242,0.005587,0.008382,0.014376,0.027013,0.052686", \ - "0.002965,0.004241,0.005587,0.008383,0.014377,0.027014,0.052689", \ - "0.002964,0.004240,0.005586,0.008382,0.014376,0.027013,0.052690", \ - "0.003033,0.004299,0.005634,0.008414,0.014389,0.027015,0.052689", \ - "0.003223,0.004456,0.005761,0.008497,0.014425,0.027025,0.052692", \ - "0.003563,0.004756,0.006015,0.008671,0.014505,0.027045,0.052695", \ - "0.003992,0.005172,0.006391,0.008952,0.014645,0.027085,0.052702"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003333,0.005174,0.007161,0.011582,0.021031,0.040256,0.078778", \ - "0.003333,0.005174,0.007161,0.011582,0.021029,0.040256,0.078792", \ - "0.003333,0.005175,0.007161,0.011582,0.021032,0.040253,0.078784", \ - "0.003360,0.005198,0.007177,0.011590,0.021035,0.040256,0.078782", \ - "0.003545,0.005370,0.007310,0.011658,0.021057,0.040258,0.078780", \ - "0.003783,0.005597,0.007498,0.011761,0.021098,0.040270,0.078789", \ - "0.004127,0.005926,0.007793,0.011942,0.021177,0.040296,0.078796"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.029485,0.032182,0.034530,0.038676,0.046314,0.061193,0.090879", \ - "0.030153,0.032851,0.035198,0.039344,0.046981,0.061860,0.091549", \ - "0.032843,0.035542,0.037889,0.042034,0.049672,0.064552,0.094238", \ - "0.037534,0.040241,0.042592,0.046740,0.054377,0.069253,0.098940", \ - "0.041005,0.043742,0.046108,0.050269,0.057906,0.072769,0.102447", \ - "0.042537,0.045356,0.047769,0.051973,0.059624,0.074474,0.104129", \ - "0.041776,0.044712,0.047205,0.051497,0.059152,0.073988,0.103617"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.049319,0.052512,0.055319,0.060510,0.070742,0.091287,0.132476", \ - "0.049853,0.053045,0.055852,0.061044,0.071276,0.091821,0.133010", \ - "0.052103,0.055295,0.058102,0.063293,0.073525,0.094071,0.135258", \ - "0.057195,0.060389,0.063197,0.068385,0.078611,0.099149,0.140337", \ - "0.065870,0.069103,0.071941,0.077134,0.087339,0.107848,0.149009", \ - "0.078006,0.081288,0.084171,0.089384,0.099575,0.120049,0.161187", \ - "0.093281,0.096634,0.099588,0.104840,0.115030,0.135463,0.176558"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002964,0.004242,0.005586,0.008383,0.014377,0.027014,0.052688", \ - "0.002964,0.004242,0.005587,0.008383,0.014377,0.027014,0.052688", \ - "0.002964,0.004241,0.005586,0.008382,0.014376,0.027014,0.052690", \ - "0.003033,0.004299,0.005635,0.008414,0.014389,0.027016,0.052687", \ - "0.003229,0.004460,0.005765,0.008499,0.014427,0.027025,0.052692", \ - "0.003579,0.004772,0.006029,0.008680,0.014510,0.027046,0.052694", \ - "0.004019,0.005199,0.006418,0.008971,0.014656,0.027088,0.052702"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003592,0.005429,0.007366,0.011700,0.021079,0.040268,0.078807", \ - "0.003593,0.005429,0.007366,0.011699,0.021082,0.040268,0.078793", \ - "0.003594,0.005429,0.007367,0.011700,0.021080,0.040274,0.078797", \ - "0.003606,0.005442,0.007376,0.011706,0.021084,0.040271,0.078788", \ - "0.003776,0.005601,0.007508,0.011777,0.021109,0.040280,0.078806", \ - "0.003994,0.005808,0.007691,0.011886,0.021161,0.040289,0.078811", \ - "0.004312,0.006111,0.007973,0.012065,0.021247,0.040317,0.078808"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030759,0.033478,0.035836,0.039992,0.047634,0.062513,0.092196", \ - "0.031427,0.034146,0.036504,0.040661,0.048303,0.063181,0.092865", \ - "0.034112,0.036831,0.039189,0.043346,0.050988,0.065866,0.095550", \ - "0.039068,0.041797,0.044159,0.048317,0.055958,0.070833,0.100514", \ - "0.043028,0.045790,0.048171,0.052346,0.059989,0.074851,0.104522", \ - "0.045121,0.047968,0.050400,0.054624,0.062281,0.077128,0.106777", \ - "0.044969,0.047934,0.050449,0.054768,0.062434,0.077285,0.106907"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.053787,0.057000,0.059822,0.065013,0.075231,0.095758,0.136937", \ - "0.054378,0.057591,0.060412,0.065603,0.075822,0.096348,0.137527", \ - "0.056636,0.059850,0.062671,0.067861,0.078080,0.098606,0.139783", \ - "0.061664,0.064879,0.067701,0.072890,0.083106,0.103627,0.144804", \ - "0.070607,0.073852,0.076699,0.081890,0.092082,0.112576,0.153728", \ - "0.082949,0.086241,0.089132,0.094344,0.104522,0.124986,0.166114", \ - "0.098553,0.101909,0.104867,0.110117,0.120300,0.140730,0.181810"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003067,0.004329,0.005660,0.008433,0.014402,0.027023,0.052689", \ - "0.003067,0.004329,0.005660,0.008434,0.014402,0.027023,0.052688", \ - "0.003066,0.004329,0.005659,0.008433,0.014402,0.027023,0.052689", \ - "0.003136,0.004387,0.005707,0.008464,0.014414,0.027025,0.052690", \ - "0.003345,0.004565,0.005855,0.008562,0.014459,0.027037,0.052693", \ - "0.003704,0.004891,0.006136,0.008758,0.014550,0.027061,0.052697", \ - "0.004156,0.005332,0.006541,0.009070,0.014710,0.027108,0.052706"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003690,0.005520,0.007440,0.011740,0.021096,0.040280,0.078791", \ - "0.003691,0.005520,0.007440,0.011739,0.021096,0.040280,0.078794", \ - "0.003691,0.005520,0.007440,0.011739,0.021098,0.040279,0.078795", \ - "0.003698,0.005526,0.007445,0.011741,0.021099,0.040281,0.078790", \ - "0.003841,0.005661,0.007558,0.011802,0.021119,0.040279,0.078794", \ - "0.004054,0.005860,0.007735,0.011911,0.021170,0.040301,0.078799", \ - "0.004352,0.006143,0.008002,0.012080,0.021250,0.040320,0.078813"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030742,0.033462,0.035820,0.039976,0.047619,0.062497,0.092181", \ - "0.031361,0.034080,0.036438,0.040595,0.048237,0.063115,0.092799", \ - "0.034020,0.036739,0.039097,0.043254,0.050896,0.065775,0.095457", \ - "0.039084,0.041811,0.044174,0.048332,0.055973,0.070847,0.100529", \ - "0.043451,0.046213,0.048593,0.052768,0.060410,0.075273,0.104943", \ - "0.046415,0.049258,0.051687,0.055908,0.063563,0.078410,0.108060", \ - "0.047646,0.050603,0.053112,0.057424,0.065103,0.079929,0.109552"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.046554,0.049688,0.052453,0.057623,0.067858,0.088419,0.129618", \ - "0.047288,0.050423,0.053187,0.058358,0.068593,0.089153,0.130350", \ - "0.049848,0.052982,0.055747,0.060917,0.071151,0.091713,0.132909", \ - "0.055077,0.058212,0.060977,0.066143,0.076372,0.096927,0.138125", \ - "0.063454,0.066630,0.069420,0.074585,0.084784,0.105308,0.146484", \ - "0.074653,0.077886,0.080718,0.085895,0.096076,0.116564,0.157710", \ - "0.088431,0.091738,0.094641,0.099852,0.110021,0.130462,0.171569"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003067,0.004329,0.005660,0.008433,0.014402,0.027022,0.052689", \ - "0.003066,0.004329,0.005660,0.008434,0.014402,0.027023,0.052688", \ - "0.003066,0.004329,0.005659,0.008433,0.014402,0.027022,0.052691", \ - "0.003136,0.004387,0.005707,0.008464,0.014414,0.027025,0.052690", \ - "0.003338,0.004560,0.005851,0.008560,0.014458,0.027036,0.052693", \ - "0.003689,0.004875,0.006121,0.008748,0.014545,0.027059,0.052697", \ - "0.004125,0.005303,0.006513,0.009047,0.014697,0.027105,0.052705"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003408,0.005243,0.007212,0.011606,0.021042,0.040257,0.078783", \ - "0.003408,0.005243,0.007212,0.011606,0.021039,0.040257,0.078798", \ - "0.003408,0.005244,0.007212,0.011606,0.021037,0.040257,0.078790", \ - "0.003423,0.005255,0.007220,0.011610,0.021039,0.040255,0.078791", \ - "0.003592,0.005412,0.007341,0.011673,0.021060,0.040266,0.078798", \ - "0.003818,0.005626,0.007521,0.011772,0.021099,0.040277,0.078792", \ - "0.004144,0.005938,0.007801,0.011942,0.021173,0.040294,0.078805"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030759,0.033478,0.035836,0.039992,0.047634,0.062513,0.092196", \ - "0.031427,0.034146,0.036504,0.040661,0.048303,0.063181,0.092865", \ - "0.034112,0.036831,0.039189,0.043346,0.050988,0.065866,0.095550", \ - "0.039068,0.041797,0.044159,0.048317,0.055958,0.070833,0.100514", \ - "0.043028,0.045790,0.048171,0.052346,0.059989,0.074851,0.104522", \ - "0.045121,0.047968,0.050400,0.054624,0.062281,0.077128,0.106777", \ - "0.044969,0.047934,0.050449,0.054768,0.062434,0.077285,0.106907"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.053787,0.057000,0.059822,0.065013,0.075231,0.095758,0.136937", \ - "0.054378,0.057591,0.060412,0.065603,0.075822,0.096348,0.137527", \ - "0.056636,0.059850,0.062671,0.067861,0.078080,0.098606,0.139783", \ - "0.061664,0.064879,0.067701,0.072890,0.083106,0.103627,0.144804", \ - "0.070607,0.073852,0.076699,0.081890,0.092082,0.112576,0.153728", \ - "0.082949,0.086241,0.089132,0.094344,0.104522,0.124986,0.166114", \ - "0.098553,0.101909,0.104867,0.110117,0.120300,0.140730,0.181810"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003067,0.004329,0.005660,0.008433,0.014402,0.027023,0.052689", \ - "0.003067,0.004329,0.005660,0.008434,0.014402,0.027023,0.052688", \ - "0.003066,0.004329,0.005659,0.008433,0.014402,0.027023,0.052689", \ - "0.003136,0.004387,0.005707,0.008464,0.014414,0.027025,0.052690", \ - "0.003345,0.004565,0.005855,0.008562,0.014459,0.027037,0.052693", \ - "0.003704,0.004891,0.006136,0.008758,0.014550,0.027061,0.052697", \ - "0.004156,0.005332,0.006541,0.009070,0.014710,0.027108,0.052706"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003690,0.005520,0.007440,0.011740,0.021096,0.040280,0.078791", \ - "0.003691,0.005520,0.007440,0.011739,0.021096,0.040280,0.078794", \ - "0.003691,0.005520,0.007440,0.011739,0.021098,0.040279,0.078795", \ - "0.003698,0.005526,0.007445,0.011741,0.021099,0.040281,0.078790", \ - "0.003841,0.005661,0.007558,0.011802,0.021119,0.040279,0.078794", \ - "0.004054,0.005860,0.007735,0.011911,0.021170,0.040301,0.078799", \ - "0.004352,0.006143,0.008002,0.012080,0.021250,0.040320,0.078813"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032030,0.034769,0.037139,0.041307,0.048955,0.063831,0.093511", \ - "0.032699,0.035437,0.037807,0.041976,0.049623,0.064500,0.094180", \ - "0.035380,0.038119,0.040489,0.044657,0.052305,0.067181,0.096863", \ - "0.040556,0.043303,0.045676,0.049846,0.057492,0.072364,0.102042", \ - "0.044966,0.047753,0.050148,0.054338,0.061987,0.076847,0.106515", \ - "0.047564,0.050438,0.052888,0.057132,0.064799,0.079643,0.109287", \ - "0.047968,0.050961,0.053497,0.057843,0.065530,0.080374,0.109991"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.058270,0.061506,0.064345,0.069538,0.079743,0.100250,0.141415", \ - "0.058905,0.062140,0.064979,0.070172,0.080378,0.100885,0.142050", \ - "0.061180,0.064414,0.067253,0.072446,0.082651,0.103158,0.144321", \ - "0.066134,0.069369,0.072208,0.077398,0.087601,0.108105,0.149269", \ - "0.075264,0.078522,0.081379,0.086568,0.096749,0.117223,0.158362", \ - "0.087820,0.091125,0.094028,0.099242,0.109410,0.129852,0.170969", \ - "0.103713,0.107077,0.110042,0.115295,0.125467,0.145877,0.186949"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003162,0.004414,0.005732,0.008484,0.014428,0.027032,0.052692", \ - "0.003162,0.004414,0.005732,0.008484,0.014428,0.027033,0.052691", \ - "0.003162,0.004413,0.005732,0.008484,0.014428,0.027033,0.052691", \ - "0.003227,0.004468,0.005776,0.008513,0.014440,0.027035,0.052693", \ - "0.003447,0.004659,0.005937,0.008622,0.014489,0.027049,0.052695", \ - "0.003817,0.004999,0.006234,0.008833,0.014589,0.027076,0.052699", \ - "0.004278,0.005454,0.006655,0.009162,0.014761,0.027128,0.052711"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003795,0.005617,0.007521,0.011784,0.021118,0.040279,0.078792", \ - "0.003796,0.005617,0.007521,0.011785,0.021118,0.040280,0.078804", \ - "0.003797,0.005617,0.007520,0.011785,0.021114,0.040285,0.078812", \ - "0.003798,0.005619,0.007523,0.011786,0.021118,0.040280,0.078793", \ - "0.003921,0.005725,0.007610,0.011829,0.021129,0.040285,0.078799", \ - "0.004126,0.005925,0.007793,0.011944,0.021182,0.040304,0.078811", \ - "0.004413,0.006193,0.008046,0.012106,0.021263,0.040325,0.078807"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.031918,0.034616,0.036963,0.041108,0.048745,0.063624,0.093311", \ - "0.032527,0.035224,0.037571,0.041716,0.049354,0.064232,0.093921", \ - "0.034161,0.036858,0.039205,0.043350,0.050987,0.065867,0.095555", \ - "0.037048,0.039752,0.042102,0.046250,0.053888,0.068766,0.098450", \ - "0.039810,0.042534,0.044894,0.049052,0.056691,0.071565,0.101247", \ - "0.041137,0.043904,0.046290,0.050471,0.058121,0.072986,0.102655", \ - "0.040327,0.043167,0.045597,0.049824,0.057489,0.072339,0.101995"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041029,0.044119,0.046862,0.052033,0.062289,0.082871,0.124083", \ - "0.041856,0.044947,0.047690,0.052861,0.063117,0.083700,0.124912", \ - "0.044961,0.048053,0.050796,0.055966,0.066222,0.086806,0.128018", \ - "0.051281,0.054375,0.057118,0.062282,0.072527,0.093103,0.134314", \ - "0.060868,0.064013,0.066781,0.071937,0.082148,0.102687,0.143871", \ - "0.073277,0.076484,0.079295,0.084463,0.094645,0.115145,0.156305", \ - "0.088407,0.091687,0.094562,0.099755,0.109922,0.130376,0.171495"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002969,0.004244,0.005588,0.008383,0.014377,0.027013,0.052689", \ - "0.002969,0.004244,0.005588,0.008383,0.014377,0.027013,0.052686", \ - "0.002969,0.004245,0.005589,0.008383,0.014377,0.027014,0.052687", \ - "0.003010,0.004280,0.005617,0.008403,0.014385,0.027015,0.052689", \ - "0.003126,0.004377,0.005698,0.008457,0.014410,0.027021,0.052691", \ - "0.003341,0.004566,0.005858,0.008568,0.014464,0.027039,0.052693", \ - "0.003645,0.004844,0.006101,0.008741,0.014548,0.027066,0.052703"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003258,0.005101,0.007105,0.011553,0.021020,0.040252,0.078785", \ - "0.003257,0.005101,0.007105,0.011553,0.021020,0.040253,0.078777", \ - "0.003257,0.005101,0.007105,0.011551,0.021020,0.040246,0.078784", \ - "0.003280,0.005121,0.007118,0.011559,0.021023,0.040246,0.078780", \ - "0.003484,0.005306,0.007255,0.011625,0.021043,0.040256,0.078793", \ - "0.003730,0.005539,0.007444,0.011727,0.021082,0.040271,0.078797", \ - "0.004036,0.005832,0.007701,0.011878,0.021145,0.040285,0.078808"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.031934,0.034631,0.036978,0.041123,0.048761,0.063639,0.093327", \ - "0.032592,0.035290,0.037636,0.041781,0.049419,0.064297,0.093985", \ - "0.034269,0.036967,0.039314,0.043459,0.051096,0.065976,0.095664", \ - "0.037093,0.039797,0.042147,0.046295,0.053933,0.068810,0.098495", \ - "0.039532,0.042256,0.044616,0.048774,0.056414,0.071287,0.100970", \ - "0.040138,0.042906,0.045292,0.049473,0.057123,0.071987,0.101657", \ - "0.038146,0.040988,0.043420,0.047647,0.055313,0.070170,0.099825"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.048220,0.051400,0.054197,0.059381,0.069613,0.090160,0.131354", \ - "0.048915,0.052095,0.054892,0.060076,0.070308,0.090855,0.132047", \ - "0.051712,0.054892,0.057689,0.062873,0.073105,0.093652,0.134844", \ - "0.057735,0.060916,0.063714,0.068896,0.079122,0.099664,0.140856", \ - "0.067996,0.071214,0.074036,0.079218,0.089415,0.109923,0.151087", \ - "0.081661,0.084934,0.087805,0.093006,0.103191,0.123665,0.164796", \ - "0.098617,0.101954,0.104890,0.110125,0.120301,0.140738,0.181833"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002969,0.004244,0.005588,0.008383,0.014377,0.027014,0.052689", \ - "0.002969,0.004244,0.005588,0.008383,0.014377,0.027014,0.052686", \ - "0.002969,0.004245,0.005589,0.008383,0.014377,0.027014,0.052686", \ - "0.003010,0.004279,0.005617,0.008403,0.014385,0.027015,0.052688", \ - "0.003127,0.004378,0.005699,0.008458,0.014411,0.027021,0.052691", \ - "0.003345,0.004569,0.005860,0.008569,0.014464,0.027039,0.052693", \ - "0.003654,0.004852,0.006106,0.008744,0.014549,0.027065,0.052703"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003561,0.005394,0.007336,0.011682,0.021073,0.040273,0.078796", \ - "0.003561,0.005395,0.007336,0.011681,0.021074,0.040275,0.078793", \ - "0.003560,0.005394,0.007336,0.011681,0.021074,0.040272,0.078798", \ - "0.003570,0.005402,0.007342,0.011684,0.021078,0.040275,0.078802", \ - "0.003738,0.005558,0.007468,0.011750,0.021099,0.040278,0.078799", \ - "0.003978,0.005786,0.007667,0.011868,0.021153,0.040288,0.078806", \ - "0.004274,0.006065,0.007925,0.012028,0.021227,0.040315,0.078802"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033194,0.035913,0.038271,0.042427,0.050069,0.064947,0.094630", \ - "0.033851,0.036572,0.038929,0.043086,0.050728,0.065604,0.095288", \ - "0.035531,0.038250,0.040608,0.044764,0.052406,0.067284,0.096969", \ - "0.038434,0.041161,0.043522,0.047681,0.055322,0.070199,0.099882", \ - "0.041133,0.043879,0.046253,0.050423,0.058069,0.072939,0.102616", \ - "0.042133,0.044926,0.047327,0.051524,0.059178,0.074042,0.103706", \ - "0.040595,0.043466,0.045916,0.050164,0.057836,0.072689,0.102337"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.052035,0.055233,0.058041,0.063225,0.073445,0.093978,0.135159", \ - "0.052746,0.055943,0.058752,0.063936,0.074156,0.094688,0.135868", \ - "0.055551,0.058749,0.061557,0.066741,0.076961,0.097495,0.138674", \ - "0.061540,0.064738,0.067547,0.072727,0.082944,0.103473,0.144655", \ - "0.072058,0.075285,0.078114,0.083293,0.093485,0.113979,0.155137", \ - "0.086002,0.089284,0.092163,0.097363,0.107538,0.127995,0.169123", \ - "0.103262,0.106605,0.109548,0.114783,0.124952,0.145376,0.186459"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003070,0.004332,0.005662,0.008435,0.014402,0.027022,0.052691", \ - "0.003071,0.004332,0.005662,0.008435,0.014402,0.027022,0.052690", \ - "0.003070,0.004332,0.005662,0.008435,0.014402,0.027023,0.052688", \ - "0.003109,0.004367,0.005691,0.008453,0.014411,0.027024,0.052687", \ - "0.003230,0.004470,0.005778,0.008513,0.014439,0.027031,0.052692", \ - "0.003458,0.004671,0.005949,0.008633,0.014497,0.027051,0.052695", \ - "0.003776,0.004966,0.006211,0.008823,0.014590,0.027081,0.052706"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003643,0.005471,0.007397,0.011716,0.021090,0.040275,0.078803", \ - "0.003643,0.005471,0.007397,0.011717,0.021088,0.040278,0.078804", \ - "0.003644,0.005471,0.007398,0.011715,0.021091,0.040271,0.078810", \ - "0.003647,0.005474,0.007400,0.011717,0.021089,0.040280,0.078797", \ - "0.003788,0.005603,0.007504,0.011768,0.021104,0.040283,0.078793", \ - "0.004031,0.005832,0.007706,0.011891,0.021159,0.040299,0.078814", \ - "0.004322,0.006106,0.007961,0.012049,0.021240,0.040314,0.078819"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030468,0.033167,0.035514,0.039660,0.047298,0.062178,0.091865", \ - "0.031044,0.033743,0.036091,0.040236,0.047874,0.062754,0.092442", \ - "0.032638,0.035337,0.037685,0.041831,0.049468,0.064347,0.094034", \ - "0.035335,0.038041,0.040392,0.044541,0.052180,0.067057,0.096741", \ - "0.037603,0.040330,0.042692,0.046852,0.054493,0.069364,0.099045", \ - "0.038152,0.040929,0.043319,0.047506,0.055155,0.070019,0.099688", \ - "0.036362,0.039219,0.041660,0.045898,0.053570,0.068424,0.098076"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045883,0.049017,0.051782,0.056952,0.067187,0.087747,0.128945", \ - "0.046683,0.049816,0.052581,0.057751,0.067985,0.088548,0.129743", \ - "0.049525,0.052658,0.055423,0.060593,0.070828,0.091388,0.132588", \ - "0.054900,0.058035,0.060801,0.065965,0.076193,0.096748,0.137944", \ - "0.063694,0.066869,0.069658,0.074822,0.085021,0.105547,0.146721", \ - "0.075860,0.079086,0.081913,0.087088,0.097269,0.117761,0.158914", \ - "0.091208,0.094495,0.097379,0.102578,0.112742,0.133193,0.174311"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002964,0.004242,0.005587,0.008382,0.014377,0.027013,0.052686", \ - "0.002965,0.004241,0.005586,0.008383,0.014377,0.027014,0.052686", \ - "0.002965,0.004241,0.005586,0.008383,0.014376,0.027013,0.052689", \ - "0.003012,0.004280,0.005619,0.008404,0.014386,0.027016,0.052689", \ - "0.003140,0.004390,0.005709,0.008465,0.014414,0.027022,0.052691", \ - "0.003378,0.004599,0.005886,0.008587,0.014473,0.027042,0.052693", \ - "0.003708,0.004902,0.006152,0.008778,0.014566,0.027070,0.052705"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003408,0.005243,0.007212,0.011606,0.021040,0.040259,0.078792", \ - "0.003409,0.005243,0.007212,0.011608,0.021037,0.040254,0.078793", \ - "0.003409,0.005243,0.007212,0.011607,0.021040,0.040259,0.078798", \ - "0.003422,0.005255,0.007220,0.011610,0.021043,0.040260,0.078783", \ - "0.003585,0.005406,0.007337,0.011669,0.021058,0.040266,0.078788", \ - "0.003788,0.005599,0.007498,0.011759,0.021097,0.040272,0.078803", \ - "0.004058,0.005857,0.007726,0.011895,0.021152,0.040285,0.078791"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030485,0.033183,0.035530,0.039676,0.047313,0.062193,0.091881", \ - "0.031110,0.033810,0.036156,0.040302,0.047940,0.062820,0.092508", \ - "0.032748,0.035446,0.037793,0.041939,0.049576,0.064455,0.094142", \ - "0.035384,0.038090,0.040441,0.044590,0.052228,0.067105,0.096789", \ - "0.037351,0.040079,0.042441,0.046601,0.054241,0.069113,0.098794", \ - "0.037233,0.040009,0.042400,0.046586,0.054235,0.069099,0.098767", \ - "0.034344,0.037201,0.039643,0.043881,0.051537,0.066390,0.096043"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.052972,0.056185,0.059007,0.064197,0.074416,0.094943,0.136122", \ - "0.053641,0.056854,0.059675,0.064866,0.075085,0.095613,0.136789", \ - "0.056249,0.059462,0.062284,0.067475,0.077693,0.098220,0.139395", \ - "0.061447,0.064661,0.067484,0.072672,0.082887,0.103411,0.144586", \ - "0.070766,0.074010,0.076856,0.082047,0.092240,0.112732,0.153883", \ - "0.083994,0.087282,0.090169,0.095379,0.105557,0.126021,0.167152", \ - "0.100968,0.104309,0.107251,0.112491,0.122669,0.143099,0.184189"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002965,0.004242,0.005587,0.008383,0.014377,0.027013,0.052686", \ - "0.002965,0.004241,0.005586,0.008382,0.014377,0.027014,0.052687", \ - "0.002965,0.004242,0.005587,0.008383,0.014377,0.027013,0.052690", \ - "0.003012,0.004280,0.005619,0.008404,0.014386,0.027016,0.052689", \ - "0.003141,0.004390,0.005710,0.008465,0.014414,0.027023,0.052692", \ - "0.003380,0.004600,0.005886,0.008587,0.014472,0.027041,0.052695", \ - "0.003711,0.004906,0.006155,0.008780,0.014566,0.027070,0.052704"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003691,0.005520,0.007440,0.011741,0.021096,0.040276,0.078793", \ - "0.003691,0.005520,0.007440,0.011740,0.021097,0.040280,0.078794", \ - "0.003690,0.005520,0.007439,0.011740,0.021098,0.040278,0.078794", \ - "0.003698,0.005526,0.007445,0.011742,0.021096,0.040279,0.078791", \ - "0.003837,0.005657,0.007554,0.011799,0.021116,0.040285,0.078808", \ - "0.004032,0.005841,0.007718,0.011900,0.021166,0.040291,0.078796", \ - "0.004288,0.006081,0.007942,0.012040,0.021234,0.040315,0.078803"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.031758,0.034477,0.036836,0.040992,0.048634,0.063511,0.093196", \ - "0.032385,0.035104,0.037463,0.041619,0.049262,0.064140,0.093823", \ - "0.034023,0.036742,0.039100,0.043257,0.050899,0.065777,0.095460", \ - "0.036759,0.039486,0.041848,0.046008,0.053650,0.068525,0.098207", \ - "0.039025,0.041774,0.044149,0.048321,0.055967,0.070837,0.100513", \ - "0.039338,0.042139,0.044545,0.048747,0.056405,0.071267,0.100930", \ - "0.036953,0.039838,0.042298,0.046556,0.054235,0.069083,0.098731"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.057406,0.060641,0.063479,0.068672,0.078875,0.099383,0.140548", \ - "0.058093,0.061328,0.064166,0.069358,0.079563,0.100071,0.141235", \ - "0.060712,0.063947,0.066785,0.071977,0.082183,0.102690,0.143852", \ - "0.065864,0.069099,0.071937,0.077128,0.087329,0.107833,0.148995", \ - "0.075351,0.078608,0.081464,0.086653,0.096835,0.117310,0.158451", \ - "0.088731,0.092032,0.094931,0.100143,0.110318,0.130764,0.171881", \ - "0.105919,0.109271,0.112224,0.117467,0.127635,0.148055,0.189135"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003067,0.004329,0.005660,0.008433,0.014402,0.027023,0.052690", \ - "0.003066,0.004329,0.005660,0.008433,0.014402,0.027023,0.052689", \ - "0.003067,0.004329,0.005660,0.008434,0.014402,0.027022,0.052691", \ - "0.003111,0.004367,0.005693,0.008455,0.014412,0.027025,0.052690", \ - "0.003245,0.004483,0.005789,0.008520,0.014442,0.027033,0.052692", \ - "0.003492,0.004702,0.005976,0.008652,0.014505,0.027054,0.052696", \ - "0.003831,0.005020,0.006259,0.008858,0.014607,0.027086,0.052708"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003794,0.005615,0.007519,0.011782,0.021112,0.040280,0.078799", \ - "0.003794,0.005614,0.007519,0.011782,0.021115,0.040278,0.078808", \ - "0.003793,0.005614,0.007519,0.011782,0.021115,0.040278,0.078813", \ - "0.003797,0.005617,0.007521,0.011785,0.021115,0.040281,0.078796", \ - "0.003916,0.005721,0.007607,0.011828,0.021131,0.040281,0.078805", \ - "0.004109,0.005909,0.007778,0.011935,0.021177,0.040302,0.078804", \ - "0.004357,0.006140,0.007995,0.012071,0.021246,0.040314,0.078802"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.031742,0.034462,0.036820,0.040977,0.048619,0.063496,0.093180", \ - "0.032318,0.035039,0.037396,0.041553,0.049195,0.064072,0.093758", \ - "0.033914,0.036633,0.038992,0.043149,0.050791,0.065668,0.095353", \ - "0.036710,0.039437,0.041799,0.045959,0.053601,0.068476,0.098157", \ - "0.039271,0.042021,0.044396,0.048568,0.056213,0.071084,0.100759", \ - "0.040251,0.043052,0.045458,0.049659,0.057316,0.072177,0.101841", \ - "0.038955,0.041838,0.044297,0.048554,0.056237,0.071081,0.100729"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.049569,0.052720,0.055494,0.060661,0.070881,0.091428,0.132614", \ - "0.050383,0.053535,0.056308,0.061475,0.071695,0.092240,0.133432", \ - "0.053232,0.056383,0.059157,0.064324,0.074544,0.095090,0.136281", \ - "0.058586,0.061738,0.064512,0.069675,0.079891,0.100432,0.141619", \ - "0.067609,0.070794,0.073589,0.078751,0.088937,0.109448,0.150617", \ - "0.079938,0.083172,0.086006,0.091180,0.101352,0.121832,0.162972", \ - "0.095544,0.098838,0.101726,0.106924,0.117079,0.137517,0.178625"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003067,0.004329,0.005660,0.008433,0.014402,0.027023,0.052690", \ - "0.003067,0.004329,0.005660,0.008433,0.014402,0.027023,0.052688", \ - "0.003067,0.004329,0.005660,0.008434,0.014402,0.027023,0.052689", \ - "0.003112,0.004367,0.005693,0.008455,0.014412,0.027025,0.052691", \ - "0.003244,0.004483,0.005788,0.008521,0.014442,0.027032,0.052693", \ - "0.003489,0.004699,0.005974,0.008650,0.014505,0.027054,0.052697", \ - "0.003825,0.005014,0.006254,0.008855,0.014606,0.027086,0.052708"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003488,0.005315,0.007266,0.011633,0.021047,0.040260,0.078793", \ - "0.003487,0.005315,0.007266,0.011634,0.021047,0.040255,0.078782", \ - "0.003489,0.005315,0.007266,0.011635,0.021047,0.040256,0.078781", \ - "0.003495,0.005321,0.007270,0.011636,0.021051,0.040261,0.078801", \ - "0.003641,0.005454,0.007374,0.011688,0.021064,0.040260,0.078789", \ - "0.003841,0.005646,0.007536,0.011780,0.021100,0.040276,0.078793", \ - "0.004103,0.005894,0.007757,0.011911,0.021160,0.040285,0.078800"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.031758,0.034477,0.036836,0.040992,0.048634,0.063511,0.093196", \ - "0.032385,0.035104,0.037463,0.041619,0.049262,0.064140,0.093823", \ - "0.034023,0.036742,0.039100,0.043257,0.050899,0.065777,0.095460", \ - "0.036759,0.039486,0.041848,0.046008,0.053650,0.068525,0.098207", \ - "0.039025,0.041774,0.044149,0.048321,0.055967,0.070837,0.100513", \ - "0.039338,0.042139,0.044545,0.048747,0.056405,0.071267,0.100930", \ - "0.036953,0.039838,0.042298,0.046556,0.054235,0.069083,0.098731"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.057406,0.060641,0.063479,0.068672,0.078875,0.099383,0.140548", \ - "0.058093,0.061328,0.064166,0.069358,0.079563,0.100071,0.141235", \ - "0.060712,0.063947,0.066785,0.071977,0.082183,0.102690,0.143852", \ - "0.065864,0.069099,0.071937,0.077128,0.087329,0.107833,0.148995", \ - "0.075351,0.078608,0.081464,0.086653,0.096835,0.117310,0.158451", \ - "0.088731,0.092032,0.094931,0.100143,0.110318,0.130764,0.171881", \ - "0.105919,0.109271,0.112224,0.117467,0.127635,0.148055,0.189135"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003067,0.004329,0.005660,0.008433,0.014402,0.027023,0.052690", \ - "0.003066,0.004329,0.005660,0.008433,0.014402,0.027023,0.052689", \ - "0.003067,0.004329,0.005660,0.008434,0.014402,0.027022,0.052691", \ - "0.003111,0.004367,0.005693,0.008455,0.014412,0.027025,0.052690", \ - "0.003245,0.004483,0.005789,0.008520,0.014442,0.027033,0.052692", \ - "0.003492,0.004702,0.005976,0.008652,0.014505,0.027054,0.052696", \ - "0.003831,0.005020,0.006259,0.008858,0.014607,0.027086,0.052708"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003794,0.005615,0.007519,0.011782,0.021112,0.040280,0.078799", \ - "0.003794,0.005614,0.007519,0.011782,0.021115,0.040278,0.078808", \ - "0.003793,0.005614,0.007519,0.011782,0.021115,0.040278,0.078813", \ - "0.003797,0.005617,0.007521,0.011785,0.021115,0.040281,0.078796", \ - "0.003916,0.005721,0.007607,0.011828,0.021131,0.040281,0.078805", \ - "0.004109,0.005909,0.007778,0.011935,0.021177,0.040302,0.078804", \ - "0.004357,0.006140,0.007995,0.012071,0.021246,0.040314,0.078802"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033031,0.035769,0.038139,0.042307,0.049955,0.064831,0.094511", \ - "0.033658,0.036396,0.038766,0.042935,0.050582,0.065458,0.095139", \ - "0.035296,0.038035,0.040405,0.044574,0.052222,0.067098,0.096777", \ - "0.038120,0.040865,0.043239,0.047410,0.055057,0.069933,0.099611", \ - "0.040650,0.043420,0.045809,0.049994,0.057645,0.072513,0.102187", \ - "0.041363,0.044187,0.046607,0.050824,0.058490,0.073351,0.103008", \ - "0.039435,0.042345,0.044823,0.049101,0.056790,0.071634,0.101276"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.061863,0.065119,0.067976,0.073172,0.083364,0.103855,0.145000", \ - "0.062564,0.065821,0.068678,0.073874,0.084067,0.104556,0.145702", \ - "0.065192,0.068448,0.071305,0.076501,0.086694,0.107182,0.148332", \ - "0.070293,0.073551,0.076408,0.081603,0.091795,0.112280,0.153428", \ - "0.079881,0.083150,0.086017,0.091206,0.101378,0.121844,0.162967", \ - "0.093419,0.096735,0.099649,0.104866,0.115035,0.135458,0.176559", \ - "0.110800,0.114166,0.117132,0.122382,0.132534,0.152946,0.194013"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003162,0.004413,0.005732,0.008484,0.014428,0.027033,0.052693", \ - "0.003162,0.004414,0.005732,0.008484,0.014428,0.027033,0.052692", \ - "0.003164,0.004414,0.005733,0.008485,0.014428,0.027033,0.052690", \ - "0.003205,0.004451,0.005763,0.008505,0.014437,0.027035,0.052690", \ - "0.003341,0.004569,0.005863,0.008575,0.014470,0.027044,0.052694", \ - "0.003591,0.004794,0.006059,0.008713,0.014537,0.027065,0.052699", \ - "0.003939,0.005125,0.006354,0.008932,0.014647,0.027101,0.052711"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003903,0.005716,0.007605,0.011833,0.021133,0.040291,0.078807", \ - "0.003903,0.005716,0.007605,0.011833,0.021137,0.040289,0.078810", \ - "0.003904,0.005717,0.007605,0.011833,0.021137,0.040290,0.078812", \ - "0.003905,0.005717,0.007606,0.011834,0.021135,0.040286,0.078801", \ - "0.003989,0.005788,0.007666,0.011862,0.021147,0.040292,0.078814", \ - "0.004193,0.005985,0.007846,0.011973,0.021196,0.040309,0.078806", \ - "0.004437,0.006212,0.008060,0.012113,0.021263,0.040320,0.078807"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.035079,0.037808,0.040172,0.044336,0.051983,0.066860,0.096543", \ - "0.035652,0.038380,0.040745,0.044909,0.052555,0.067431,0.097114", \ - "0.038209,0.040937,0.043302,0.047466,0.055112,0.069989,0.099673", \ - "0.043914,0.046639,0.049002,0.053162,0.060807,0.075684,0.105368", \ - "0.049753,0.052501,0.054874,0.059042,0.066684,0.081552,0.111229", \ - "0.054545,0.057349,0.059752,0.063948,0.071581,0.086434,0.116093", \ - "0.058104,0.061000,0.063464,0.067722,0.075322,0.090157,0.119795"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043548,0.046641,0.049388,0.054566,0.064831,0.085423,0.126641", \ - "0.044414,0.047507,0.050254,0.055432,0.065697,0.086290,0.127507", \ - "0.047339,0.050432,0.053179,0.058357,0.068621,0.089213,0.130431", \ - "0.052251,0.055347,0.058094,0.063267,0.073523,0.094109,0.135325", \ - "0.058580,0.061732,0.064507,0.069677,0.079904,0.100457,0.141649", \ - "0.067026,0.070249,0.073076,0.078261,0.088463,0.108975,0.150135", \ - "0.077770,0.081083,0.083995,0.089222,0.099415,0.119877,0.161000"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003108,0.004366,0.005693,0.008457,0.014414,0.027027,0.052690", \ - "0.003108,0.004366,0.005693,0.008457,0.014414,0.027027,0.052693", \ - "0.003106,0.004365,0.005692,0.008456,0.014414,0.027027,0.052693", \ - "0.003116,0.004372,0.005697,0.008459,0.014414,0.027026,0.052692", \ - "0.003259,0.004492,0.005795,0.008524,0.014441,0.027034,0.052693", \ - "0.003529,0.004726,0.005991,0.008655,0.014501,0.027047,0.052696", \ - "0.003896,0.005074,0.006298,0.008878,0.014607,0.027076,0.052702"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003243,0.005093,0.007101,0.011553,0.021023,0.040248,0.078781", \ - "0.003242,0.005093,0.007101,0.011552,0.021024,0.040248,0.078784", \ - "0.003245,0.005093,0.007101,0.011553,0.021024,0.040250,0.078792", \ - "0.003269,0.005114,0.007116,0.011560,0.021026,0.040254,0.078780", \ - "0.003475,0.005305,0.007259,0.011633,0.021049,0.040258,0.078781", \ - "0.003753,0.005574,0.007481,0.011758,0.021104,0.040279,0.078806", \ - "0.004134,0.005940,0.007811,0.011963,0.021201,0.040308,0.078814"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034079,0.036803,0.039165,0.043327,0.050973,0.065851,0.095534", \ - "0.034626,0.037348,0.039711,0.043872,0.051518,0.066396,0.096078", \ - "0.037176,0.039899,0.042261,0.046422,0.054068,0.068947,0.098630", \ - "0.042732,0.045454,0.047815,0.051973,0.059616,0.074493,0.104177", \ - "0.048066,0.050813,0.053185,0.057352,0.064993,0.079861,0.109537", \ - "0.052123,0.054931,0.057337,0.061535,0.069178,0.084029,0.113686", \ - "0.054716,0.057623,0.060095,0.064362,0.071975,0.086813,0.116449"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.049612,0.052776,0.055562,0.060749,0.070992,0.091554,0.132755", \ - "0.050410,0.053573,0.056360,0.061546,0.071790,0.092354,0.133554", \ - "0.052891,0.056054,0.058841,0.064027,0.074271,0.094833,0.136032", \ - "0.056746,0.059911,0.062698,0.067882,0.078121,0.098681,0.139876", \ - "0.062264,0.065469,0.068284,0.073468,0.083688,0.104217,0.145396", \ - "0.070528,0.073791,0.076655,0.081861,0.092060,0.112557,0.153711", \ - "0.081703,0.085045,0.087989,0.093240,0.103441,0.123898,0.165007"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003088,0.004349,0.005678,0.008447,0.014409,0.027025,0.052691", \ - "0.003087,0.004349,0.005678,0.008447,0.014409,0.027025,0.052693", \ - "0.003085,0.004347,0.005676,0.008446,0.014409,0.027025,0.052692", \ - "0.003103,0.004361,0.005687,0.008452,0.014410,0.027025,0.052693", \ - "0.003257,0.004491,0.005793,0.008522,0.014440,0.027033,0.052692", \ - "0.003547,0.004744,0.006005,0.008665,0.014505,0.027047,0.052696", \ - "0.003935,0.005113,0.006336,0.008906,0.014621,0.027079,0.052703"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003482,0.005323,0.007280,0.011651,0.021062,0.040272,0.078787", \ - "0.003482,0.005324,0.007280,0.011653,0.021063,0.040265,0.078787", \ - "0.003482,0.005323,0.007280,0.011652,0.021062,0.040268,0.078789", \ - "0.003494,0.005333,0.007287,0.011655,0.021062,0.040264,0.078791", \ - "0.003660,0.005489,0.007413,0.011724,0.021093,0.040274,0.078788", \ - "0.003901,0.005720,0.007614,0.011842,0.021145,0.040295,0.078802", \ - "0.004253,0.006059,0.007928,0.012044,0.021249,0.040326,0.078817"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.035455,0.038199,0.040573,0.044745,0.052394,0.067270,0.096951", \ - "0.036000,0.038744,0.041117,0.045290,0.052939,0.067815,0.097496", \ - "0.038546,0.041289,0.043663,0.047835,0.055484,0.070361,0.100040", \ - "0.044220,0.046961,0.049333,0.053503,0.061150,0.076026,0.105705", \ - "0.049990,0.052759,0.055145,0.059327,0.066973,0.081837,0.111511", \ - "0.054512,0.057348,0.059772,0.063989,0.071640,0.086490,0.116142", \ - "0.057615,0.060551,0.063044,0.067336,0.074970,0.089802,0.119431"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.053423,0.056603,0.059400,0.064585,0.074816,0.095363,0.136557", \ - "0.054270,0.057450,0.060247,0.065431,0.075662,0.096209,0.137403", \ - "0.056765,0.059945,0.062742,0.067926,0.078157,0.098706,0.139895", \ - "0.060605,0.063786,0.066583,0.071765,0.081995,0.102539,0.143731", \ - "0.066384,0.069599,0.072419,0.077602,0.087810,0.108325,0.149495", \ - "0.074789,0.078057,0.080924,0.086129,0.096322,0.116808,0.157955", \ - "0.086247,0.089588,0.092531,0.097777,0.107968,0.128418,0.169519"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003183,0.004433,0.005750,0.008497,0.014434,0.027035,0.052692", \ - "0.003184,0.004434,0.005750,0.008497,0.014434,0.027035,0.052693", \ - "0.003181,0.004432,0.005748,0.008496,0.014434,0.027034,0.052695", \ - "0.003195,0.004441,0.005756,0.008500,0.014435,0.027034,0.052693", \ - "0.003365,0.004586,0.005875,0.008580,0.014470,0.027044,0.052695", \ - "0.003665,0.004853,0.006103,0.008738,0.014542,0.027061,0.052699", \ - "0.004062,0.005238,0.006450,0.008996,0.014670,0.027098,0.052706"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003560,0.005394,0.007336,0.011682,0.021073,0.040272,0.078798", \ - "0.003561,0.005394,0.007336,0.011681,0.021076,0.040267,0.078792", \ - "0.003561,0.005394,0.007336,0.011681,0.021073,0.040272,0.078799", \ - "0.003566,0.005399,0.007339,0.011684,0.021075,0.040273,0.078799", \ - "0.003712,0.005534,0.007450,0.011743,0.021099,0.040281,0.078799", \ - "0.003936,0.005751,0.007639,0.011857,0.021150,0.040288,0.078798", \ - "0.004270,0.006071,0.007935,0.012044,0.021248,0.040326,0.078808"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032585,0.035323,0.037696,0.041868,0.049520,0.064398,0.094079", \ - "0.033158,0.035897,0.038269,0.042441,0.050093,0.064972,0.094654", \ - "0.035790,0.038527,0.040898,0.045069,0.052720,0.067599,0.097283", \ - "0.041420,0.044153,0.046520,0.050685,0.058332,0.073209,0.102892", \ - "0.046638,0.049395,0.051774,0.055950,0.063594,0.078459,0.108134", \ - "0.050581,0.053407,0.055825,0.060035,0.067685,0.082533,0.112189", \ - "0.053072,0.056004,0.058493,0.062779,0.070422,0.085244,0.114874"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.048095,0.051222,0.053986,0.059163,0.069410,0.089982,0.131192", \ - "0.048910,0.052037,0.054800,0.059977,0.070225,0.090798,0.132004", \ - "0.051712,0.054839,0.057602,0.062779,0.073026,0.093600,0.134805", \ - "0.056235,0.059364,0.062129,0.067302,0.077545,0.098112,0.139317", \ - "0.062102,0.065275,0.068064,0.073236,0.083452,0.103991,0.145175", \ - "0.070294,0.073527,0.076362,0.081551,0.091749,0.112252,0.153409", \ - "0.081205,0.084520,0.087436,0.092665,0.102851,0.123307,0.164425"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003140,0.004398,0.005722,0.008479,0.014427,0.027033,0.052696", \ - "0.003139,0.004396,0.005721,0.008479,0.014427,0.027032,0.052694", \ - "0.003127,0.004387,0.005712,0.008473,0.014424,0.027032,0.052694", \ - "0.003142,0.004396,0.005718,0.008475,0.014423,0.027030,0.052695", \ - "0.003304,0.004533,0.005830,0.008549,0.014454,0.027038,0.052697", \ - "0.003614,0.004806,0.006061,0.008705,0.014524,0.027054,0.052698", \ - "0.004024,0.005200,0.006414,0.008967,0.014652,0.027089,0.052706"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003364,0.005206,0.007186,0.011596,0.021039,0.040260,0.078786", \ - "0.003364,0.005206,0.007186,0.011596,0.021039,0.040257,0.078786", \ - "0.003365,0.005206,0.007186,0.011596,0.021039,0.040254,0.078786", \ - "0.003381,0.005220,0.007196,0.011600,0.021038,0.040258,0.078784", \ - "0.003548,0.005376,0.007317,0.011663,0.021060,0.040266,0.078781", \ - "0.003787,0.005607,0.007510,0.011775,0.021105,0.040275,0.078798", \ - "0.004144,0.005951,0.007822,0.011966,0.021197,0.040305,0.078799"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.031834,0.034566,0.036934,0.041102,0.048751,0.063630,0.093313", \ - "0.032387,0.035117,0.037486,0.041653,0.049303,0.064182,0.093866", \ - "0.035015,0.037745,0.040112,0.044278,0.051927,0.066806,0.096491", \ - "0.040433,0.043163,0.045528,0.049690,0.057334,0.072212,0.101895", \ - "0.045155,0.047912,0.050289,0.054463,0.062106,0.076971,0.106645", \ - "0.048377,0.051206,0.053626,0.057838,0.065488,0.080336,0.109990", \ - "0.049930,0.052871,0.055367,0.059663,0.067312,0.082150,0.111779"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.053764,0.056957,0.059764,0.064955,0.075188,0.095734,0.136924", \ - "0.054511,0.057703,0.060511,0.065702,0.075934,0.096480,0.137669", \ - "0.056886,0.060079,0.062886,0.068077,0.078310,0.098854,0.140041", \ - "0.060473,0.063667,0.066473,0.071663,0.081893,0.102435,0.143624", \ - "0.065604,0.068830,0.071662,0.076854,0.087065,0.107582,0.148749", \ - "0.073629,0.076905,0.079781,0.084993,0.095187,0.115675,0.156818", \ - "0.084975,0.088325,0.091279,0.096536,0.106728,0.127174,0.168278"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003113,0.004373,0.005700,0.008464,0.014419,0.027030,0.052694", \ - "0.003111,0.004371,0.005699,0.008463,0.014419,0.027029,0.052693", \ - "0.003100,0.004362,0.005690,0.008457,0.014416,0.027029,0.052694", \ - "0.003127,0.004382,0.005705,0.008465,0.014417,0.027029,0.052694", \ - "0.003300,0.004528,0.005825,0.008544,0.014451,0.027036,0.052695", \ - "0.003631,0.004820,0.006072,0.008713,0.014527,0.027054,0.052699", \ - "0.004059,0.005235,0.006448,0.008993,0.014665,0.027092,0.052705"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003593,0.005430,0.007367,0.011699,0.021083,0.040268,0.078799", \ - "0.003593,0.005429,0.007367,0.011701,0.021080,0.040273,0.078791", \ - "0.003593,0.005430,0.007367,0.011699,0.021079,0.040270,0.078801", \ - "0.003601,0.005436,0.007371,0.011703,0.021082,0.040269,0.078791", \ - "0.003740,0.005567,0.007480,0.011761,0.021103,0.040273,0.078797", \ - "0.003951,0.005769,0.007658,0.011869,0.021154,0.040297,0.078803", \ - "0.004289,0.006093,0.007961,0.012063,0.021253,0.040327,0.078817"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033258,0.036010,0.038389,0.042567,0.050220,0.065096,0.094776", \ - "0.033809,0.036560,0.038939,0.043117,0.050769,0.065646,0.095325", \ - "0.036415,0.039166,0.041543,0.045721,0.053373,0.068249,0.097931", \ - "0.042014,0.044761,0.047136,0.051309,0.058957,0.073832,0.103513", \ - "0.047209,0.049989,0.052380,0.056567,0.064215,0.079079,0.108748", \ - "0.050952,0.053807,0.056245,0.060475,0.068134,0.082979,0.112627", \ - "0.053068,0.056036,0.058551,0.062870,0.070540,0.085372,0.114993"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.058232,0.061445,0.064267,0.069457,0.079676,0.100202,0.141382", \ - "0.059034,0.062247,0.065069,0.070260,0.080479,0.101006,0.142184", \ - "0.061424,0.064637,0.067458,0.072649,0.082867,0.103395,0.144570", \ - "0.064966,0.068180,0.071002,0.076191,0.086408,0.106934,0.148107", \ - "0.070282,0.073522,0.076364,0.081556,0.091755,0.112256,0.153412", \ - "0.078367,0.081652,0.084536,0.089749,0.099933,0.120402,0.161539", \ - "0.089917,0.093270,0.096226,0.101479,0.111659,0.132102,0.173195"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003209,0.004457,0.005771,0.008514,0.014444,0.027039,0.052696", \ - "0.003207,0.004456,0.005770,0.008513,0.014444,0.027038,0.052697", \ - "0.003197,0.004447,0.005763,0.008508,0.014441,0.027038,0.052695", \ - "0.003218,0.004462,0.005773,0.008513,0.014442,0.027037,0.052695", \ - "0.003406,0.004623,0.005908,0.008603,0.014480,0.027047,0.052697", \ - "0.003743,0.004926,0.006168,0.008784,0.014564,0.027067,0.052699", \ - "0.004179,0.005352,0.006556,0.009079,0.014713,0.027110,0.052710"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003691,0.005520,0.007440,0.011739,0.021099,0.040280,0.078796", \ - "0.003691,0.005520,0.007440,0.011739,0.021098,0.040278,0.078799", \ - "0.003691,0.005520,0.007440,0.011740,0.021096,0.040281,0.078793", \ - "0.003694,0.005523,0.007443,0.011742,0.021096,0.040281,0.078805", \ - "0.003811,0.005633,0.007534,0.011790,0.021117,0.040276,0.078804", \ - "0.004009,0.005822,0.007703,0.011892,0.021164,0.040296,0.078807", \ - "0.004326,0.006121,0.007985,0.012075,0.021259,0.040325,0.078818"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034033,0.036791,0.039174,0.043356,0.051010,0.065886,0.095567", \ - "0.034606,0.037363,0.039745,0.043928,0.051582,0.066459,0.096136", \ - "0.037216,0.039971,0.042353,0.046534,0.054188,0.069065,0.098744", \ - "0.042991,0.045743,0.048120,0.052296,0.059946,0.074820,0.104501", \ - "0.048664,0.051445,0.053838,0.058026,0.065675,0.080539,0.110211", \ - "0.053104,0.055954,0.058389,0.062617,0.070264,0.085110,0.114760", \ - "0.056115,0.059073,0.061582,0.065892,0.073536,0.088372,0.117997"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051810,0.054953,0.057725,0.062898,0.073132,0.093690,0.134888", \ - "0.052670,0.055814,0.058585,0.063759,0.073993,0.094552,0.135747", \ - "0.055484,0.058628,0.061399,0.066572,0.076806,0.097365,0.138561", \ - "0.060001,0.063146,0.065917,0.071088,0.081318,0.101874,0.143071", \ - "0.066148,0.069329,0.072123,0.077291,0.087496,0.108023,0.149201", \ - "0.074513,0.077750,0.080588,0.085772,0.095959,0.116452,0.157605", \ - "0.085726,0.089041,0.091954,0.097176,0.107355,0.127800,0.168911"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003234,0.004480,0.005792,0.008528,0.014451,0.027042,0.052696", \ - "0.003234,0.004479,0.005791,0.008528,0.014451,0.027042,0.052698", \ - "0.003224,0.004471,0.005783,0.008523,0.014449,0.027041,0.052697", \ - "0.003232,0.004476,0.005786,0.008523,0.014447,0.027039,0.052696", \ - "0.003409,0.004626,0.005911,0.008606,0.014482,0.027049,0.052698", \ - "0.003724,0.004911,0.006154,0.008775,0.014560,0.027067,0.052700", \ - "0.004140,0.005315,0.006521,0.009052,0.014698,0.027107,0.052710"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003439,0.005274,0.007237,0.011623,0.021048,0.040257,0.078791", \ - "0.003439,0.005274,0.007237,0.011622,0.021048,0.040257,0.078797", \ - "0.003439,0.005274,0.007237,0.011623,0.021048,0.040256,0.078796", \ - "0.003447,0.005282,0.007242,0.011623,0.021045,0.040264,0.078800", \ - "0.003595,0.005418,0.007350,0.011680,0.021064,0.040265,0.078792", \ - "0.003821,0.005636,0.007533,0.011784,0.021109,0.040278,0.078794", \ - "0.004159,0.005959,0.007826,0.011966,0.021195,0.040301,0.078802"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033258,0.036010,0.038389,0.042567,0.050220,0.065096,0.094776", \ - "0.033809,0.036560,0.038939,0.043117,0.050769,0.065646,0.095325", \ - "0.036415,0.039166,0.041543,0.045721,0.053373,0.068249,0.097931", \ - "0.042014,0.044761,0.047136,0.051309,0.058957,0.073832,0.103513", \ - "0.047209,0.049989,0.052380,0.056567,0.064215,0.079079,0.108748", \ - "0.050952,0.053807,0.056245,0.060475,0.068134,0.082979,0.112627", \ - "0.053068,0.056036,0.058551,0.062870,0.070540,0.085372,0.114993"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.058232,0.061445,0.064267,0.069457,0.079676,0.100202,0.141382", \ - "0.059034,0.062247,0.065069,0.070260,0.080479,0.101006,0.142184", \ - "0.061424,0.064637,0.067458,0.072649,0.082867,0.103395,0.144570", \ - "0.064966,0.068180,0.071002,0.076191,0.086408,0.106934,0.148107", \ - "0.070282,0.073522,0.076364,0.081556,0.091755,0.112256,0.153412", \ - "0.078367,0.081652,0.084536,0.089749,0.099933,0.120402,0.161539", \ - "0.089917,0.093270,0.096226,0.101479,0.111659,0.132102,0.173195"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003209,0.004457,0.005771,0.008514,0.014444,0.027039,0.052696", \ - "0.003207,0.004456,0.005770,0.008513,0.014444,0.027038,0.052697", \ - "0.003197,0.004447,0.005763,0.008508,0.014441,0.027038,0.052695", \ - "0.003218,0.004462,0.005773,0.008513,0.014442,0.027037,0.052695", \ - "0.003406,0.004623,0.005908,0.008603,0.014480,0.027047,0.052697", \ - "0.003743,0.004926,0.006168,0.008784,0.014564,0.027067,0.052699", \ - "0.004179,0.005352,0.006556,0.009079,0.014713,0.027110,0.052710"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003691,0.005520,0.007440,0.011739,0.021099,0.040280,0.078796", \ - "0.003691,0.005520,0.007440,0.011739,0.021098,0.040278,0.078799", \ - "0.003691,0.005520,0.007440,0.011740,0.021096,0.040281,0.078793", \ - "0.003694,0.005523,0.007443,0.011742,0.021096,0.040281,0.078805", \ - "0.003811,0.005633,0.007534,0.011790,0.021117,0.040276,0.078804", \ - "0.004009,0.005822,0.007703,0.011892,0.021164,0.040296,0.078807", \ - "0.004326,0.006121,0.007985,0.012075,0.021259,0.040325,0.078818"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034667,0.037436,0.039827,0.044017,0.051674,0.066549,0.096228", \ - "0.035214,0.037985,0.040375,0.044564,0.052222,0.067096,0.096775", \ - "0.037806,0.040574,0.042963,0.047151,0.054809,0.069684,0.099361", \ - "0.043537,0.046301,0.048687,0.052871,0.060525,0.075398,0.105076", \ - "0.049167,0.051968,0.054373,0.058573,0.066228,0.081089,0.110757", \ - "0.053378,0.056258,0.058712,0.062960,0.070629,0.085473,0.115115", \ - "0.055995,0.058988,0.061523,0.065866,0.073558,0.088383,0.117998"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.062684,0.065919,0.068757,0.073950,0.084155,0.104663,0.145826", \ - "0.063532,0.066766,0.069604,0.074797,0.085001,0.105510,0.146671", \ - "0.065942,0.069177,0.072015,0.077207,0.087413,0.107921,0.149081", \ - "0.069443,0.072679,0.075517,0.080709,0.090913,0.111420,0.152582", \ - "0.074902,0.078156,0.081009,0.086199,0.096387,0.116871,0.158016", \ - "0.083043,0.086340,0.089236,0.094450,0.104620,0.125076,0.166200", \ - "0.094749,0.098108,0.101070,0.106323,0.116500,0.136920,0.178000"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003299,0.004538,0.005841,0.008563,0.014469,0.027048,0.052696", \ - "0.003299,0.004537,0.005841,0.008563,0.014469,0.027049,0.052697", \ - "0.003288,0.004529,0.005833,0.008558,0.014467,0.027048,0.052700", \ - "0.003301,0.004538,0.005840,0.008561,0.014466,0.027046,0.052697", \ - "0.003502,0.004711,0.005985,0.008659,0.014510,0.027058,0.052699", \ - "0.003844,0.005025,0.006257,0.008852,0.014600,0.027081,0.052704", \ - "0.004288,0.005460,0.006660,0.009163,0.014760,0.027129,0.052715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003794,0.005615,0.007519,0.011784,0.021116,0.040285,0.078795", \ - "0.003793,0.005615,0.007519,0.011783,0.021112,0.040286,0.078807", \ - "0.003793,0.005614,0.007520,0.011784,0.021116,0.040277,0.078807", \ - "0.003796,0.005616,0.007520,0.011783,0.021113,0.040279,0.078797", \ - "0.003894,0.005703,0.007591,0.011821,0.021128,0.040285,0.078813", \ - "0.004082,0.005886,0.007759,0.011925,0.021177,0.040295,0.078812", \ - "0.004377,0.006164,0.008020,0.012095,0.021263,0.040326,0.078808"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.036074,0.038802,0.041167,0.045331,0.052977,0.067855,0.097537", \ - "0.036613,0.039340,0.041705,0.045869,0.053516,0.068393,0.098075", \ - "0.038201,0.040928,0.043293,0.047457,0.055103,0.069981,0.099663", \ - "0.041355,0.044085,0.046450,0.050613,0.058259,0.073135,0.102818", \ - "0.044934,0.047677,0.050051,0.054222,0.061869,0.076741,0.106422", \ - "0.047565,0.050344,0.052737,0.056926,0.064577,0.079442,0.109111", \ - "0.048461,0.051302,0.053732,0.057959,0.065597,0.080457,0.110113"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.046047,0.049153,0.051905,0.057079,0.067333,0.087915,0.129125", \ - "0.047004,0.050110,0.052862,0.058036,0.068290,0.088870,0.130082", \ - "0.050189,0.053295,0.056046,0.061220,0.071475,0.092056,0.133265", \ - "0.055258,0.058366,0.061118,0.066288,0.076535,0.097112,0.138321", \ - "0.062122,0.065276,0.068052,0.073219,0.083437,0.103983,0.145174", \ - "0.071754,0.074970,0.077791,0.082970,0.093167,0.113677,0.154837", \ - "0.084697,0.087986,0.090872,0.096079,0.106264,0.126728,0.167856"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003108,0.004367,0.005693,0.008458,0.014414,0.027027,0.052692", \ - "0.003108,0.004366,0.005693,0.008457,0.014414,0.027027,0.052692", \ - "0.003107,0.004366,0.005692,0.008457,0.014414,0.027026,0.052694", \ - "0.003123,0.004378,0.005702,0.008464,0.014417,0.027028,0.052693", \ - "0.003208,0.004452,0.005764,0.008505,0.014436,0.027032,0.052693", \ - "0.003385,0.004607,0.005895,0.008596,0.014479,0.027045,0.052695", \ - "0.003655,0.004852,0.006109,0.008747,0.014552,0.027068,0.052705"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003301,0.005144,0.007138,0.011571,0.021031,0.040258,0.078797", \ - "0.003301,0.005144,0.007138,0.011571,0.021031,0.040259,0.078800", \ - "0.003300,0.005145,0.007139,0.011573,0.021032,0.040254,0.078791", \ - "0.003315,0.005156,0.007147,0.011576,0.021031,0.040253,0.078791", \ - "0.003500,0.005326,0.007275,0.011639,0.021055,0.040263,0.078784", \ - "0.003742,0.005558,0.007466,0.011747,0.021098,0.040278,0.078806", \ - "0.004047,0.005852,0.007726,0.011904,0.021169,0.040303,0.078800"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.035073,0.037798,0.040160,0.044321,0.051967,0.066844,0.096530", \ - "0.035584,0.038307,0.040670,0.044831,0.052477,0.067355,0.097040", \ - "0.037156,0.039879,0.042242,0.046403,0.054049,0.068926,0.098611", \ - "0.040207,0.042933,0.045297,0.049458,0.057102,0.071980,0.101662", \ - "0.043427,0.046169,0.048541,0.052711,0.060357,0.075230,0.104910", \ - "0.045384,0.048164,0.050557,0.054747,0.062401,0.077265,0.106935", \ - "0.045345,0.048192,0.050627,0.054858,0.062508,0.077362,0.107016"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.052736,0.055916,0.058713,0.063898,0.074130,0.094678,0.135871", \ - "0.053648,0.056828,0.059625,0.064809,0.075042,0.095590,0.136781", \ - "0.056458,0.059638,0.062435,0.067620,0.077852,0.098400,0.139589", \ - "0.060453,0.063634,0.066432,0.071614,0.081844,0.102390,0.143580", \ - "0.066384,0.069597,0.072418,0.077603,0.087810,0.108328,0.149502", \ - "0.075729,0.078991,0.081855,0.087057,0.097251,0.117741,0.158887", \ - "0.089000,0.092324,0.095248,0.100482,0.110672,0.131131,0.172240"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003088,0.004349,0.005678,0.008447,0.014409,0.027026,0.052689", \ - "0.003087,0.004349,0.005678,0.008447,0.014409,0.027026,0.052691", \ - "0.003087,0.004348,0.005678,0.008447,0.014409,0.027026,0.052692", \ - "0.003108,0.004364,0.005690,0.008455,0.014412,0.027026,0.052692", \ - "0.003199,0.004444,0.005757,0.008500,0.014433,0.027031,0.052693", \ - "0.003390,0.004612,0.005899,0.008598,0.014479,0.027045,0.052696", \ - "0.003681,0.004875,0.006129,0.008762,0.014559,0.027070,0.052704"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003562,0.005397,0.007338,0.011682,0.021077,0.040277,0.078792", \ - "0.003563,0.005396,0.007338,0.011683,0.021075,0.040273,0.078796", \ - "0.003563,0.005396,0.007337,0.011683,0.021076,0.040277,0.078802", \ - "0.003567,0.005401,0.007341,0.011686,0.021076,0.040277,0.078801", \ - "0.003711,0.005534,0.007449,0.011743,0.021099,0.040279,0.078801", \ - "0.003919,0.005733,0.007622,0.011847,0.021146,0.040293,0.078809", \ - "0.004197,0.005998,0.007867,0.012000,0.021222,0.040318,0.078817"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.036449,0.039194,0.041567,0.045740,0.053389,0.068265,0.097946", \ - "0.036959,0.039703,0.042077,0.046249,0.053899,0.068775,0.098456", \ - "0.038531,0.041275,0.043649,0.047821,0.055470,0.070346,0.100027", \ - "0.041626,0.044372,0.046746,0.050918,0.058567,0.073441,0.103120", \ - "0.045064,0.047828,0.050212,0.054394,0.062045,0.076916,0.106593", \ - "0.047385,0.050188,0.052596,0.056799,0.064458,0.079321,0.108985", \ - "0.047773,0.050645,0.053097,0.057346,0.065008,0.079859,0.109508"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.056526,0.059724,0.062532,0.067716,0.077936,0.098469,0.139648", \ - "0.057454,0.060652,0.063460,0.068644,0.078863,0.099396,0.140575", \ - "0.060278,0.063475,0.066284,0.071467,0.081687,0.102220,0.143399", \ - "0.064270,0.067468,0.070277,0.075460,0.085678,0.106208,0.147388", \ - "0.070407,0.073630,0.076457,0.081640,0.091837,0.112341,0.153503", \ - "0.079806,0.083077,0.085948,0.091149,0.101337,0.121815,0.162951", \ - "0.093204,0.096533,0.099463,0.104695,0.114880,0.135324,0.176428"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003184,0.004433,0.005750,0.008497,0.014435,0.027035,0.052693", \ - "0.003184,0.004433,0.005750,0.008497,0.014434,0.027035,0.052692", \ - "0.003184,0.004433,0.005750,0.008497,0.014434,0.027035,0.052694", \ - "0.003200,0.004446,0.005760,0.008504,0.014437,0.027035,0.052695", \ - "0.003297,0.004532,0.005833,0.008554,0.014460,0.027041,0.052696", \ - "0.003494,0.004706,0.005982,0.008658,0.014510,0.027057,0.052700", \ - "0.003789,0.004981,0.006224,0.008833,0.014596,0.027084,0.052707"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003643,0.005471,0.007398,0.011715,0.021087,0.040276,0.078804", \ - "0.003642,0.005471,0.007398,0.011716,0.021088,0.040272,0.078804", \ - "0.003643,0.005471,0.007397,0.011716,0.021088,0.040275,0.078798", \ - "0.003646,0.005473,0.007399,0.011717,0.021087,0.040275,0.078792", \ - "0.003767,0.005585,0.007490,0.011764,0.021104,0.040281,0.078796", \ - "0.003973,0.005780,0.007663,0.011869,0.021156,0.040298,0.078804", \ - "0.004238,0.006034,0.007897,0.012015,0.021232,0.040316,0.078807"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033582,0.036321,0.038693,0.042865,0.050516,0.065394,0.095077", \ - "0.034115,0.036853,0.039226,0.043398,0.051049,0.065927,0.095609", \ - "0.035701,0.038439,0.040811,0.044982,0.052633,0.067512,0.097195", \ - "0.038769,0.041509,0.043881,0.048050,0.055700,0.070577,0.100261", \ - "0.041874,0.044628,0.047008,0.051186,0.058836,0.073708,0.103387", \ - "0.043639,0.046435,0.048839,0.053038,0.060700,0.075564,0.105230", \ - "0.043412,0.046280,0.048729,0.052976,0.060639,0.075488,0.105139"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051169,0.054314,0.057085,0.062259,0.072492,0.093052,0.134248", \ - "0.052093,0.055238,0.058009,0.063183,0.073417,0.093976,0.135172", \ - "0.055204,0.058349,0.061120,0.066294,0.076528,0.097087,0.138283", \ - "0.059866,0.063011,0.065783,0.070954,0.081184,0.101740,0.142937", \ - "0.066156,0.069336,0.072130,0.077298,0.087505,0.108033,0.149209", \ - "0.075368,0.078601,0.081435,0.086618,0.096808,0.117306,0.158460", \ - "0.088358,0.091654,0.094549,0.099760,0.109937,0.130395,0.171513"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003140,0.004398,0.005721,0.008479,0.014427,0.027032,0.052695", \ - "0.003140,0.004396,0.005721,0.008479,0.014427,0.027032,0.052696", \ - "0.003133,0.004392,0.005717,0.008476,0.014425,0.027033,0.052693", \ - "0.003148,0.004403,0.005725,0.008481,0.014426,0.027032,0.052695", \ - "0.003245,0.004486,0.005794,0.008527,0.014447,0.027037,0.052697", \ - "0.003452,0.004667,0.005948,0.008634,0.014498,0.027052,0.052700", \ - "0.003759,0.004951,0.006197,0.008813,0.014584,0.027078,0.052709"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003441,0.005276,0.007238,0.011623,0.021048,0.040260,0.078798", \ - "0.003441,0.005275,0.007238,0.011623,0.021047,0.040258,0.078783", \ - "0.003441,0.005276,0.007238,0.011624,0.021049,0.040260,0.078790", \ - "0.003449,0.005284,0.007244,0.011624,0.021049,0.040259,0.078798", \ - "0.003595,0.005418,0.007349,0.011680,0.021064,0.040268,0.078794", \ - "0.003802,0.005617,0.007517,0.011777,0.021105,0.040282,0.078801", \ - "0.004083,0.005885,0.007756,0.011920,0.021173,0.040294,0.078799"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032835,0.035565,0.037933,0.042101,0.049750,0.064629,0.094312", \ - "0.033342,0.036074,0.038442,0.042610,0.050259,0.065137,0.094822", \ - "0.034916,0.037648,0.040015,0.044182,0.051832,0.066710,0.096394", \ - "0.037867,0.040599,0.042967,0.047133,0.054781,0.069658,0.099343", \ - "0.040603,0.043353,0.045730,0.049905,0.057554,0.072427,0.102105", \ - "0.041728,0.044522,0.046925,0.051124,0.058781,0.073644,0.103311", \ - "0.040599,0.043473,0.045925,0.050175,0.057843,0.072691,0.102341"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.057445,0.060658,0.063480,0.068671,0.078890,0.099419,0.140596", \ - "0.058325,0.061538,0.064360,0.069551,0.079771,0.100298,0.141474", \ - "0.061077,0.064290,0.067112,0.072303,0.082523,0.103048,0.144223", \ - "0.064803,0.068017,0.070839,0.076030,0.086247,0.106773,0.147946", \ - "0.070263,0.073502,0.076344,0.081536,0.091737,0.112237,0.153394", \ - "0.079245,0.082526,0.085407,0.090617,0.100806,0.121278,0.162416", \ - "0.092602,0.095939,0.098877,0.104118,0.114303,0.134744,0.175843"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003112,0.004373,0.005700,0.008464,0.014419,0.027030,0.052696", \ - "0.003111,0.004371,0.005699,0.008463,0.014419,0.027030,0.052694", \ - "0.003106,0.004367,0.005695,0.008461,0.014417,0.027030,0.052694", \ - "0.003124,0.004383,0.005708,0.008468,0.014420,0.027030,0.052694", \ - "0.003231,0.004473,0.005783,0.008519,0.014443,0.027035,0.052696", \ - "0.003452,0.004668,0.005948,0.008633,0.014497,0.027051,0.052700", \ - "0.003778,0.004970,0.006214,0.008824,0.014589,0.027079,0.052708"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003693,0.005521,0.007441,0.011741,0.021096,0.040277,0.078798", \ - "0.003693,0.005522,0.007442,0.011742,0.021100,0.040282,0.078796", \ - "0.003692,0.005522,0.007442,0.011741,0.021100,0.040277,0.078793", \ - "0.003696,0.005525,0.007444,0.011741,0.021100,0.040279,0.078806", \ - "0.003812,0.005632,0.007533,0.011789,0.021114,0.040281,0.078802", \ - "0.003993,0.005807,0.007690,0.011885,0.021161,0.040295,0.078798", \ - "0.004255,0.006053,0.007918,0.012031,0.021232,0.040315,0.078806"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034257,0.037009,0.039388,0.043566,0.051219,0.066096,0.095776", \ - "0.034765,0.037517,0.039895,0.044073,0.051726,0.066603,0.096282", \ - "0.036332,0.039083,0.041461,0.045639,0.053291,0.068168,0.097847", \ - "0.039340,0.042092,0.044470,0.048647,0.056298,0.071173,0.100854", \ - "0.042339,0.045111,0.047500,0.051687,0.059341,0.074211,0.103885", \ - "0.043876,0.046694,0.049110,0.053324,0.060987,0.075848,0.105509", \ - "0.043234,0.046131,0.048600,0.052867,0.060541,0.075392,0.105036"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.061883,0.065117,0.067956,0.073148,0.083354,0.103861,0.145026", \ - "0.062780,0.066014,0.068853,0.074046,0.084251,0.104759,0.145922", \ - "0.065544,0.068779,0.071618,0.076810,0.087016,0.107524,0.148685", \ - "0.069251,0.072486,0.075325,0.080517,0.090722,0.111229,0.152390", \ - "0.074849,0.078104,0.080958,0.086150,0.096336,0.116823,0.157967", \ - "0.083817,0.087112,0.090005,0.095217,0.105392,0.125851,0.166976", \ - "0.097218,0.100564,0.103512,0.108755,0.118932,0.139358,0.180446"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003208,0.004457,0.005771,0.008513,0.014444,0.027039,0.052694", \ - "0.003207,0.004456,0.005771,0.008513,0.014444,0.027039,0.052697", \ - "0.003203,0.004451,0.005767,0.008511,0.014442,0.027038,0.052695", \ - "0.003221,0.004466,0.005778,0.008517,0.014444,0.027038,0.052695", \ - "0.003328,0.004561,0.005859,0.008573,0.014470,0.027044,0.052699", \ - "0.003553,0.004760,0.006030,0.008693,0.014528,0.027063,0.052701", \ - "0.003884,0.005072,0.006305,0.008895,0.014627,0.027093,0.052712"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003796,0.005617,0.007520,0.011785,0.021113,0.040280,0.078807", \ - "0.003796,0.005617,0.007521,0.011784,0.021117,0.040278,0.078807", \ - "0.003797,0.005617,0.007521,0.011784,0.021114,0.040281,0.078810", \ - "0.003798,0.005618,0.007522,0.011786,0.021116,0.040280,0.078804", \ - "0.003894,0.005704,0.007594,0.011822,0.021130,0.040285,0.078813", \ - "0.004073,0.005876,0.007750,0.011919,0.021175,0.040296,0.078801", \ - "0.004316,0.006108,0.007967,0.012057,0.021244,0.040319,0.078814"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.035030,0.037788,0.040171,0.044352,0.052007,0.066883,0.096564", \ - "0.035563,0.038320,0.040702,0.044884,0.052539,0.067415,0.097096", \ - "0.037141,0.039898,0.042280,0.046461,0.054116,0.068992,0.098672", \ - "0.040256,0.043014,0.045396,0.049576,0.057229,0.072103,0.101782", \ - "0.043603,0.046378,0.048769,0.052959,0.060614,0.075485,0.105160", \ - "0.045758,0.048575,0.050992,0.055206,0.062872,0.077733,0.107396", \ - "0.045983,0.048876,0.051341,0.055605,0.063274,0.078122,0.107768"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.054862,0.058023,0.060804,0.065975,0.076194,0.096738,0.137928", \ - "0.055801,0.058962,0.061744,0.066914,0.077135,0.097678,0.138869", \ - "0.058922,0.062084,0.064865,0.070035,0.080255,0.100800,0.141991", \ - "0.063584,0.066746,0.069527,0.074697,0.084914,0.105455,0.146641", \ - "0.070097,0.073287,0.076086,0.081253,0.091449,0.111961,0.153130", \ - "0.079401,0.082642,0.085483,0.090665,0.100842,0.121326,0.162474", \ - "0.092532,0.095834,0.098732,0.103941,0.114109,0.134552,0.175666"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003234,0.004480,0.005791,0.008528,0.014451,0.027042,0.052694", \ - "0.003234,0.004480,0.005791,0.008528,0.014451,0.027042,0.052696", \ - "0.003228,0.004476,0.005788,0.008526,0.014450,0.027042,0.052697", \ - "0.003242,0.004484,0.005794,0.008529,0.014451,0.027041,0.052697", \ - "0.003340,0.004572,0.005869,0.008580,0.014474,0.027047,0.052697", \ - "0.003550,0.004759,0.006029,0.008693,0.014528,0.027064,0.052700", \ - "0.003861,0.005050,0.006286,0.008881,0.014621,0.027092,0.052712"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003520,0.005348,0.007293,0.011650,0.021058,0.040259,0.078783", \ - "0.003520,0.005347,0.007293,0.011650,0.021058,0.040267,0.078802", \ - "0.003518,0.005348,0.007294,0.011651,0.021059,0.040259,0.078784", \ - "0.003525,0.005352,0.007296,0.011652,0.021055,0.040266,0.078802", \ - "0.003649,0.005466,0.007386,0.011699,0.021070,0.040271,0.078803", \ - "0.003853,0.005663,0.007554,0.011795,0.021112,0.040275,0.078791", \ - "0.004123,0.005918,0.007784,0.011935,0.021180,0.040299,0.078802"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034257,0.037009,0.039388,0.043566,0.051219,0.066096,0.095776", \ - "0.034765,0.037517,0.039895,0.044073,0.051726,0.066603,0.096282", \ - "0.036332,0.039083,0.041461,0.045639,0.053291,0.068168,0.097847", \ - "0.039340,0.042092,0.044470,0.048647,0.056298,0.071173,0.100854", \ - "0.042339,0.045111,0.047500,0.051687,0.059341,0.074211,0.103885", \ - "0.043876,0.046694,0.049110,0.053324,0.060987,0.075848,0.105509", \ - "0.043234,0.046131,0.048600,0.052867,0.060541,0.075392,0.105036"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.061883,0.065117,0.067956,0.073148,0.083354,0.103861,0.145026", \ - "0.062780,0.066014,0.068853,0.074046,0.084251,0.104759,0.145922", \ - "0.065544,0.068779,0.071618,0.076810,0.087016,0.107524,0.148685", \ - "0.069251,0.072486,0.075325,0.080517,0.090722,0.111229,0.152390", \ - "0.074849,0.078104,0.080958,0.086150,0.096336,0.116823,0.157967", \ - "0.083817,0.087112,0.090005,0.095217,0.105392,0.125851,0.166976", \ - "0.097218,0.100564,0.103512,0.108755,0.118932,0.139358,0.180446"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003208,0.004457,0.005771,0.008513,0.014444,0.027039,0.052694", \ - "0.003207,0.004456,0.005771,0.008513,0.014444,0.027039,0.052697", \ - "0.003203,0.004451,0.005767,0.008511,0.014442,0.027038,0.052695", \ - "0.003221,0.004466,0.005778,0.008517,0.014444,0.027038,0.052695", \ - "0.003328,0.004561,0.005859,0.008573,0.014470,0.027044,0.052699", \ - "0.003553,0.004760,0.006030,0.008693,0.014528,0.027063,0.052701", \ - "0.003884,0.005072,0.006305,0.008895,0.014627,0.027093,0.052712"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003796,0.005617,0.007520,0.011785,0.021113,0.040280,0.078807", \ - "0.003796,0.005617,0.007521,0.011784,0.021117,0.040278,0.078807", \ - "0.003797,0.005617,0.007521,0.011784,0.021114,0.040281,0.078810", \ - "0.003798,0.005618,0.007522,0.011786,0.021116,0.040280,0.078804", \ - "0.003894,0.005704,0.007594,0.011822,0.021130,0.040285,0.078813", \ - "0.004073,0.005876,0.007750,0.011919,0.021175,0.040296,0.078801", \ - "0.004316,0.006108,0.007967,0.012057,0.021244,0.040319,0.078814"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.035665,0.038435,0.040825,0.045014,0.052672,0.067548,0.097225", \ - "0.036172,0.038942,0.041332,0.045521,0.053179,0.068055,0.097732", \ - "0.037733,0.040502,0.042892,0.047081,0.054739,0.069615,0.099290", \ - "0.040789,0.043558,0.045948,0.050136,0.057792,0.072666,0.102341", \ - "0.044020,0.046810,0.049212,0.053412,0.061072,0.075940,0.105614", \ - "0.045932,0.048770,0.051200,0.055428,0.063098,0.077957,0.107615", \ - "0.045727,0.048645,0.051130,0.055416,0.063101,0.077949,0.107591"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.066307,0.069564,0.072421,0.077616,0.087810,0.108299,0.149445", \ - "0.067221,0.070478,0.073335,0.078530,0.088723,0.109213,0.150359", \ - "0.069999,0.073256,0.076113,0.081308,0.091502,0.111991,0.153138", \ - "0.073688,0.076945,0.079802,0.084998,0.095190,0.115680,0.156827", \ - "0.079381,0.082650,0.085516,0.090710,0.100888,0.121356,0.162490", \ - "0.088351,0.091661,0.094569,0.099785,0.109962,0.130396,0.171502", \ - "0.101767,0.105126,0.108085,0.113333,0.123496,0.143904,0.184979"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003298,0.004537,0.005841,0.008563,0.014469,0.027048,0.052698", \ - "0.003297,0.004537,0.005841,0.008563,0.014469,0.027048,0.052697", \ - "0.003293,0.004533,0.005837,0.008561,0.014468,0.027047,0.052700", \ - "0.003308,0.004545,0.005847,0.008566,0.014470,0.027048,0.052700", \ - "0.003419,0.004644,0.005930,0.008625,0.014497,0.027056,0.052698", \ - "0.003644,0.004847,0.006108,0.008751,0.014558,0.027075,0.052703", \ - "0.003979,0.005165,0.006392,0.008962,0.014664,0.027108,0.052715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003904,0.005716,0.007605,0.011831,0.021138,0.040283,0.078803", \ - "0.003904,0.005716,0.007605,0.011831,0.021136,0.040287,0.078813", \ - "0.003904,0.005716,0.007605,0.011832,0.021138,0.040289,0.078815", \ - "0.003905,0.005717,0.007606,0.011832,0.021138,0.040291,0.078812", \ - "0.003976,0.005778,0.007657,0.011857,0.021143,0.040294,0.078795", \ - "0.004158,0.005953,0.007818,0.011958,0.021191,0.040306,0.078808", \ - "0.004392,0.006174,0.008026,0.012093,0.021258,0.040326,0.078809"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.292880,14.874130,15.294090,15.677020,15.856220,15.927440,15.950970", \ - "14.323330,14.868420,15.289540,15.678590,15.863310,15.930900,15.962260", \ - "14.646900,15.208720,15.643290,16.008240,16.190090,16.263980,16.289260", \ - "16.060830,16.664720,17.072950,17.415860,17.599440,17.653410,17.689470", \ - "19.115510,19.574550,19.916650,20.255430,20.342570,20.389530,20.409980", \ - "23.165770,23.556350,24.003960,24.440400,24.747880,24.800710,24.752850", \ - "28.155850,28.368580,28.884850,29.517660,30.205350,30.776770,30.828590"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("19.483370,20.285530,20.739800,21.031710,21.409850,20.870680,21.220370", \ - "19.446360,20.208860,20.601410,20.947350,21.355810,20.650360,19.267720", \ - "19.602150,20.444100,20.761800,21.138360,21.219240,21.280270,19.149650", \ - "21.209770,21.915290,22.309540,22.721420,22.994060,22.049730,22.073720", \ - "23.924990,24.724570,25.219150,25.491110,25.873330,25.608180,25.571700", \ - "28.035450,28.856510,29.184490,29.518320,29.641400,29.901410,29.961910", \ - "33.351840,34.354490,34.667730,35.294820,35.796720,35.723370,34.789700"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.301460,14.866640,15.278960,15.686020,15.854980,15.928290,15.950750", \ - "14.327870,14.890090,15.319730,15.695700,15.865270,15.937460,15.968500", \ - "14.664940,15.238160,15.645390,16.031670,16.219370,16.285930,16.311850", \ - "16.101720,16.609250,17.042930,17.388560,17.567470,17.635400,17.666410", \ - "18.922820,19.347290,19.734950,20.063870,20.172180,20.210880,20.233450", \ - "22.774270,23.087730,23.501730,23.994840,24.305320,24.366800,24.330560", \ - "27.442180,27.667600,28.091280,28.718690,29.370320,29.945990,30.056060"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("19.990690,20.851440,21.237350,21.530720,21.991960,22.111400,22.208350", \ - "19.852210,20.759910,21.208050,21.536400,21.751460,21.949460,20.560170", \ - "19.939990,20.782210,21.330160,21.530540,22.307280,22.126700,21.900860", \ - "21.359760,22.093430,22.579270,22.826260,23.233440,22.735910,22.296110", \ - "23.919410,24.892200,25.347310,25.824900,26.234970,25.991050,24.819060", \ - "27.887670,28.756600,29.032660,29.543070,29.570400,29.806880,29.392100", \ - "33.026520,33.794140,34.209480,34.874030,35.324550,34.848900,34.038760"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.537550,15.037720,15.489030,15.863820,16.043620,16.120370,16.139940", \ - "14.462870,15.039520,15.495100,15.871320,16.064760,16.129000,16.148190", \ - "14.811330,15.417280,15.848400,16.223830,16.414460,16.484340,16.503450", \ - "16.443990,16.952440,17.360100,17.716900,17.893540,17.950480,17.970140", \ - "19.491600,19.882430,20.231750,20.535940,20.634870,20.675290,20.685860", \ - "23.558640,23.867010,24.223480,24.683450,24.968630,24.998330,24.948850", \ - "28.564580,28.740670,29.112310,29.699990,30.321590,30.810950,30.871700"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.468970,22.356950,22.841060,23.200240,23.497630,23.601000,22.787540", \ - "21.420990,22.298410,22.783710,23.119830,23.700570,23.278430,23.034630", \ - "21.629280,22.368460,22.727890,23.418600,23.822490,23.218960,23.237650", \ - "22.413840,23.398860,23.880160,24.261580,24.769150,25.064950,24.286060", \ - "25.325620,26.200330,26.672290,27.166950,27.495170,27.272240,26.469760", \ - "29.224660,29.923360,30.342250,30.783640,31.263120,30.279940,30.845850", \ - "34.283640,35.128170,35.602840,35.978100,36.367980,36.499210,35.294420"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.301460,14.866640,15.278960,15.686020,15.854980,15.928290,15.950750", \ - "14.327870,14.890090,15.319730,15.695700,15.865270,15.937460,15.968500", \ - "14.664940,15.238160,15.645390,16.031670,16.219370,16.285930,16.311850", \ - "16.101720,16.609250,17.042930,17.388560,17.567470,17.635400,17.666410", \ - "18.922820,19.347290,19.734950,20.063870,20.172180,20.210880,20.233450", \ - "22.774270,23.087730,23.501730,23.994840,24.305320,24.366800,24.330560", \ - "27.442180,27.667600,28.091280,28.718690,29.370320,29.945990,30.056060"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("19.990690,20.851440,21.237350,21.530720,21.991960,22.111400,22.208350", \ - "19.852210,20.759910,21.208050,21.536400,21.751460,21.949460,20.560170", \ - "19.939990,20.782210,21.330160,21.530540,22.307280,22.126700,21.900860", \ - "21.359760,22.093430,22.579270,22.826260,23.233440,22.735910,22.296110", \ - "23.919410,24.892200,25.347310,25.824900,26.234970,25.991050,24.819060", \ - "27.887670,28.756600,29.032660,29.543070,29.570400,29.806880,29.392100", \ - "33.026520,33.794140,34.209480,34.874030,35.324550,34.848900,34.038760"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.338920,14.853340,15.308250,15.686810,15.866460,15.937330,15.959120", \ - "14.316700,14.870800,15.331940,15.706710,15.889150,15.961210,15.991600", \ - "14.694230,15.263320,15.682820,16.066890,16.238890,16.313260,16.333750", \ - "16.133150,16.585500,17.031020,17.370020,17.541420,17.612770,17.642520", \ - "18.794820,19.232780,19.590280,19.921500,20.037830,20.077750,20.094060", \ - "22.417180,22.782690,23.204820,23.667040,23.977570,24.033990,23.993370", \ - "26.792710,27.187530,27.555420,28.163160,28.767650,29.323210,29.452520"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.981590,21.802970,22.167200,22.551230,22.865870,22.611820,21.169260", \ - "20.919170,21.731180,22.179560,22.367470,23.027060,22.539880,21.690890", \ - "20.840550,21.781700,22.259960,22.507130,22.858550,22.499460,23.129230", \ - "21.800450,22.753960,23.226760,23.668280,23.710670,24.183990,22.889420", \ - "24.500020,25.393600,25.635950,25.983800,26.714150,26.861110,25.317410", \ - "28.148870,28.888580,29.293390,29.726010,30.150200,29.627040,30.088310", \ - "32.915840,33.685120,34.209210,34.651650,34.785800,35.175160,35.037300"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.465720,15.040400,15.502300,15.875200,16.060500,16.125560,16.148640", \ - "14.470370,15.067030,15.521670,15.900390,16.084800,16.156420,16.174550", \ - "14.830090,15.419970,15.855510,16.233380,16.436290,16.505700,16.526130", \ - "16.533630,16.929160,17.336480,17.693440,17.857220,17.931260,17.941430", \ - "19.430380,19.750360,20.082410,20.410030,20.504930,20.536390,20.546720", \ - "23.200360,23.570650,23.929010,24.358510,24.658820,24.674970,24.637480", \ - "27.938780,28.223690,28.575220,29.174450,29.740960,30.228330,30.296540"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.562400,23.474040,23.945200,24.337480,24.845780,24.554230,23.030000", \ - "22.512910,23.365760,23.826880,24.291650,24.936690,24.567400,23.777690", \ - "22.656980,23.523120,23.808790,24.319310,24.773780,24.864210,24.134960", \ - "23.341710,24.271410,24.574450,25.223920,25.583170,25.772220,25.062250", \ - "25.914560,26.767180,27.211820,27.707990,28.087980,28.152120,27.203320", \ - "29.498250,30.298640,30.731270,31.245440,31.261870,31.316780,31.553090", \ - "34.264140,34.967800,35.527510,35.779350,36.467230,36.279110,36.082960"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.537550,15.037720,15.489030,15.863820,16.043620,16.120370,16.139940", \ - "14.462870,15.039520,15.495100,15.871320,16.064760,16.129000,16.148190", \ - "14.811330,15.417280,15.848400,16.223830,16.414460,16.484340,16.503450", \ - "16.443990,16.952440,17.360100,17.716900,17.893540,17.950480,17.970140", \ - "19.491600,19.882430,20.231750,20.535940,20.634870,20.675290,20.685860", \ - "23.558640,23.867010,24.223480,24.683450,24.968630,24.998330,24.948850", \ - "28.564580,28.740670,29.112310,29.699990,30.321590,30.810950,30.871700"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.468970,22.356950,22.841060,23.200240,23.497630,23.601000,22.787540", \ - "21.420990,22.298410,22.783710,23.119830,23.700570,23.278430,23.034630", \ - "21.629280,22.368460,22.727890,23.418600,23.822490,23.218960,23.237650", \ - "22.413840,23.398860,23.880160,24.261580,24.769150,25.064950,24.286060", \ - "25.325620,26.200330,26.672290,27.166950,27.495170,27.272240,26.469760", \ - "29.224660,29.923360,30.342250,30.783640,31.263120,30.279940,30.845850", \ - "34.283640,35.128170,35.602840,35.978100,36.367980,36.499210,35.294420"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.465720,15.040400,15.502300,15.875200,16.060500,16.125560,16.148640", \ - "14.470370,15.067030,15.521670,15.900390,16.084800,16.156420,16.174550", \ - "14.830090,15.419970,15.855510,16.233380,16.436290,16.505700,16.526130", \ - "16.533630,16.929160,17.336480,17.693440,17.857220,17.931260,17.941430", \ - "19.430380,19.750360,20.082410,20.410030,20.504930,20.536390,20.546720", \ - "23.200360,23.570650,23.929010,24.358510,24.658820,24.674970,24.637480", \ - "27.938780,28.223690,28.575220,29.174450,29.740960,30.228330,30.296540"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.562400,23.474040,23.945200,24.337480,24.845780,24.554230,23.030000", \ - "22.512910,23.365760,23.826880,24.291650,24.936690,24.567400,23.777690", \ - "22.656980,23.523120,23.808790,24.319310,24.773780,24.864210,24.134960", \ - "23.341710,24.271410,24.574450,25.223920,25.583170,25.772220,25.062250", \ - "25.914560,26.767180,27.211820,27.707990,28.087980,28.152120,27.203320", \ - "29.498250,30.298640,30.731270,31.245440,31.261870,31.316780,31.553090", \ - "34.264140,34.967800,35.527510,35.779350,36.467230,36.279110,36.082960"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.699530,15.275460,15.708690,16.088060,16.282530,16.342020,16.370440", \ - "14.723870,15.313250,15.733280,16.114470,16.302160,16.383480,16.400490", \ - "15.240150,15.657090,16.096060,16.446510,16.662000,16.729370,16.745700", \ - "16.878580,17.251410,17.681880,18.012490,18.207170,18.263430,18.276810", \ - "19.920080,20.218000,20.550440,20.889400,20.988890,21.026850,21.031000", \ - "24.064070,24.334300,24.669770,25.055820,25.313220,25.319140,25.269700", \ - "29.142050,29.235910,29.572390,30.095130,30.649830,31.073070,31.094070"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.293920,25.181410,25.681340,26.218450,26.485140,26.408750,25.531780", \ - "24.339740,25.163560,25.503700,25.936490,26.705000,26.393070,25.894310", \ - "24.360100,25.269420,25.575640,26.073190,26.715390,26.415300,26.321230", \ - "25.017650,25.917170,26.256740,26.739280,27.221140,26.976750,27.291290", \ - "27.343680,28.172390,28.668300,29.152010,29.237220,29.813920,29.376560", \ - "30.935320,31.763720,32.059260,32.403890,32.656820,33.127840,33.165120", \ - "35.624800,36.360660,36.928880,37.271340,37.741120,36.861150,37.765550"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.284160,14.855710,15.300770,15.676730,15.854480,15.922550,15.949290", \ - "14.188610,14.843370,15.279950,15.651670,15.835820,15.908830,15.932230", \ - "14.344140,14.941930,15.399400,15.774620,15.959570,16.020730,16.054490", \ - "15.322200,15.933900,16.322480,16.709350,16.884020,16.943980,16.986140", \ - "17.749000,18.235880,18.660110,19.013870,19.132420,19.166800,19.193760", \ - "20.897170,21.304930,21.789090,22.338070,22.769820,22.893370,22.857500", \ - "24.588790,25.018000,25.546650,26.237940,27.028730,27.832130,28.021420"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.711090,21.547320,21.813500,22.278490,22.367530,22.005720,21.514400", \ - "20.663780,21.501430,21.802110,22.235720,22.140210,21.554110,21.967200", \ - "20.935200,21.653570,22.033870,22.304290,22.310570,22.218070,22.371670", \ - "22.368980,23.215220,23.498650,23.781300,24.003510,23.192700,23.111630", \ - "25.139050,26.104390,26.543120,26.977900,27.050940,27.013430,25.946160", \ - "29.247130,30.092630,30.465180,30.959890,31.057710,30.756130,29.470870", \ - "34.737900,35.562710,35.944940,36.455250,36.805290,36.713130,36.475290"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.298000,14.883150,15.301000,15.680780,15.859360,15.931770,15.956020", \ - "14.178760,14.855320,15.279680,15.648700,15.847900,15.914940,15.941200", \ - "14.359910,15.016130,15.443160,15.814050,15.993960,16.059020,16.083730", \ - "15.367630,15.941520,16.347950,16.713050,16.881690,16.956590,16.983850", \ - "17.546690,18.065940,18.477500,18.843580,18.965790,19.007200,19.024850", \ - "20.469570,20.895270,21.336300,21.886940,22.298510,22.444620,22.405120", \ - "23.858090,24.279240,24.740720,25.462860,26.200480,26.948210,27.189290"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.343710,22.280560,22.534220,23.250320,23.564890,23.224940,22.631170", \ - "21.373080,22.123020,22.535640,22.931610,23.374420,22.981780,23.286350", \ - "21.383010,22.373860,22.750670,23.344910,23.524460,23.527840,23.374700", \ - "22.570320,23.465000,23.887780,24.247810,24.776770,25.089770,24.343420", \ - "25.380670,26.294640,26.742470,27.010220,27.060640,26.734620,27.589530", \ - "29.147740,29.939420,30.458360,30.829840,30.867560,31.290270,31.296660", \ - "34.166550,35.102400,35.530110,35.962430,36.429650,36.383920,36.347880"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.427770,15.048760,15.493780,15.870400,16.045740,16.122930,16.145200", \ - "14.529270,15.014920,15.475660,15.847900,16.041910,16.105980,16.125330", \ - "14.585250,15.180240,15.632450,15.995110,16.188910,16.252630,16.277380", \ - "15.693520,16.162300,16.580570,16.948380,17.127390,17.186490,17.217760", \ - "17.965770,18.410040,18.822580,19.177510,19.300200,19.346240,19.356510", \ - "21.054170,21.447120,21.912340,22.415240,22.785580,22.895430,22.858610", \ - "24.782460,25.137580,25.589770,26.227170,26.929530,27.624640,27.795110"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.984030,23.726770,24.305780,24.768760,25.165430,25.523240,25.208460", \ - "22.821540,23.764690,24.225470,24.700540,25.198890,25.334610,24.789260", \ - "22.938900,23.900640,24.367490,24.728010,25.355460,25.385700,24.419580", \ - "24.007990,24.827250,25.351870,25.727240,26.330720,26.292480,25.526300", \ - "26.704050,27.600240,28.034020,28.544670,29.003920,28.664380,28.320620", \ - "30.518690,31.345890,31.781850,32.338380,32.279070,32.897930,30.907210", \ - "35.660010,36.423710,36.857460,37.294550,37.482480,36.884400,36.623780"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.298000,14.883150,15.301000,15.680780,15.859360,15.931770,15.956020", \ - "14.178760,14.855320,15.279680,15.648700,15.847900,15.914940,15.941200", \ - "14.359910,15.016130,15.443160,15.814050,15.993960,16.059020,16.083730", \ - "15.367630,15.941520,16.347950,16.713050,16.881690,16.956590,16.983850", \ - "17.546690,18.065940,18.477500,18.843580,18.965790,19.007200,19.024850", \ - "20.469570,20.895270,21.336300,21.886940,22.298510,22.444620,22.405120", \ - "23.858090,24.279240,24.740720,25.462860,26.200480,26.948210,27.189290"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.343710,22.280560,22.534220,23.250320,23.564890,23.224940,22.631170", \ - "21.373080,22.123020,22.535640,22.931610,23.374420,22.981780,23.286350", \ - "21.383010,22.373860,22.750670,23.344910,23.524460,23.527840,23.374700", \ - "22.570320,23.465000,23.887780,24.247810,24.776770,25.089770,24.343420", \ - "25.380670,26.294640,26.742470,27.010220,27.060640,26.734620,27.589530", \ - "29.147740,29.939420,30.458360,30.829840,30.867560,31.290270,31.296660", \ - "34.166550,35.102400,35.530110,35.962430,36.429650,36.383920,36.347880"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.289990,14.888030,15.305610,15.686640,15.871870,15.939790,15.963700", \ - "14.207050,14.878260,15.307940,15.685370,15.866370,15.936850,15.961470", \ - "14.470260,15.011450,15.472730,15.833890,16.019210,16.096150,16.115290", \ - "15.318680,15.928490,16.317780,16.702250,16.881570,16.947450,16.976160", \ - "17.351100,17.926170,18.346530,18.714520,18.846910,18.879440,18.904790", \ - "20.130870,20.555150,21.025710,21.576500,21.957150,22.103720,22.068680", \ - "23.379350,23.792480,24.257950,24.907690,25.591670,26.300940,26.540240"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.412120,23.349450,23.631660,24.137040,24.213660,24.869050,24.134190", \ - "22.362990,23.203950,23.589790,23.952510,24.623320,24.596170,24.157550", \ - "22.469680,23.423520,23.750000,24.377030,24.755170,24.623480,24.328470", \ - "23.482050,24.309240,24.631290,25.097290,25.672310,25.411910,25.062170", \ - "26.054130,26.875780,27.160060,27.581160,28.151800,27.990710,27.699020", \ - "29.533020,30.365730,30.779270,31.215460,31.592020,31.273890,30.952630", \ - "34.283120,34.880780,35.347910,35.818710,36.339240,35.861790,36.487940"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.424180,15.049510,15.501930,15.863690,16.066600,16.130500,16.148680", \ - "14.488280,15.043550,15.498390,15.873440,16.056070,16.128790,16.144130", \ - "14.631510,15.215520,15.652820,16.034130,16.219820,16.278950,16.307180", \ - "15.669230,16.164790,16.576660,16.946390,17.120020,17.187370,17.210190", \ - "17.869190,18.288390,18.700870,19.054570,19.180870,19.224330,19.239170", \ - "20.817760,21.159150,21.615240,22.109190,22.462900,22.570170,22.537980", \ - "24.268280,24.636010,25.028850,25.646260,26.336000,26.992610,27.172980"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.992020,24.939350,25.373870,26.057990,26.550580,26.221810,25.514540", \ - "24.062170,24.963270,25.462760,25.999650,26.482220,25.605310,26.242140", \ - "24.260340,25.154840,25.457280,25.797740,26.594360,26.433210,26.523790", \ - "25.032570,25.882150,26.207630,26.745370,27.387990,26.412620,27.304150", \ - "27.425720,28.231030,28.725170,29.247130,29.124690,29.305930,29.674200", \ - "30.958360,31.788840,32.198420,32.809460,32.826340,33.283040,32.328860", \ - "35.541000,36.360560,36.934550,37.157830,37.470830,38.086700,35.690970"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.427770,15.048760,15.493780,15.870400,16.045740,16.122930,16.145200", \ - "14.529270,15.014920,15.475660,15.847900,16.041910,16.105980,16.125330", \ - "14.585250,15.180240,15.632450,15.995110,16.188910,16.252630,16.277380", \ - "15.693520,16.162300,16.580570,16.948380,17.127390,17.186490,17.217760", \ - "17.965770,18.410040,18.822580,19.177510,19.300200,19.346240,19.356510", \ - "21.054170,21.447120,21.912340,22.415240,22.785580,22.895430,22.858610", \ - "24.782460,25.137580,25.589770,26.227170,26.929530,27.624640,27.795110"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.984030,23.726770,24.305780,24.768760,25.165430,25.523240,25.208460", \ - "22.821540,23.764690,24.225470,24.700540,25.198890,25.334610,24.789260", \ - "22.938900,23.900640,24.367490,24.728010,25.355460,25.385700,24.419580", \ - "24.007990,24.827250,25.351870,25.727240,26.330720,26.292480,25.526300", \ - "26.704050,27.600240,28.034020,28.544670,29.003920,28.664380,28.320620", \ - "30.518690,31.345890,31.781850,32.338380,32.279070,32.897930,30.907210", \ - "35.660010,36.423710,36.857460,37.294550,37.482480,36.884400,36.623780"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.424180,15.049510,15.501930,15.863690,16.066600,16.130500,16.148680", \ - "14.488280,15.043550,15.498390,15.873440,16.056070,16.128790,16.144130", \ - "14.631510,15.215520,15.652820,16.034130,16.219820,16.278950,16.307180", \ - "15.669230,16.164790,16.576660,16.946390,17.120020,17.187370,17.210190", \ - "17.869190,18.288390,18.700870,19.054570,19.180870,19.224330,19.239170", \ - "20.817760,21.159150,21.615240,22.109190,22.462900,22.570170,22.537980", \ - "24.268280,24.636010,25.028850,25.646260,26.336000,26.992610,27.172980"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.992020,24.939350,25.373870,26.057990,26.550580,26.221810,25.514540", \ - "24.062170,24.963270,25.462760,25.999650,26.482220,25.605310,26.242140", \ - "24.260340,25.154840,25.457280,25.797740,26.594360,26.433210,26.523790", \ - "25.032570,25.882150,26.207630,26.745370,27.387990,26.412620,27.304150", \ - "27.425720,28.231030,28.725170,29.247130,29.124690,29.305930,29.674200", \ - "30.958360,31.788840,32.198420,32.809460,32.826340,33.283040,32.328860", \ - "35.541000,36.360560,36.934550,37.157830,37.470830,38.086700,35.690970"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.762000,15.284620,15.720060,16.091230,16.278840,16.348600,16.375820", \ - "14.791240,15.292570,15.720260,16.099570,16.277790,16.346220,16.373370", \ - "15.004970,15.469100,15.878670,16.243560,16.441640,16.516530,16.531000", \ - "16.056880,16.443100,16.836630,17.191370,17.396470,17.459770,17.475420", \ - "18.303840,18.686390,19.018530,19.384780,19.539030,19.577880,19.590290", \ - "21.420450,21.744880,22.157380,22.627330,22.966280,23.052610,23.004180", \ - "25.132630,25.464130,25.808430,26.403930,27.055330,27.652680,27.798930"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.905330,26.656540,27.137590,27.663230,28.174420,28.190120,27.670130", \ - "25.893390,26.667530,27.093890,27.671030,28.171160,28.017300,28.387790", \ - "25.975380,26.784500,27.327660,27.764360,28.257100,27.736880,27.886840", \ - "26.512900,27.526380,27.977690,28.260440,28.716280,28.260050,29.098110", \ - "28.844220,29.720770,30.159390,30.692800,31.126880,30.888430,31.089470", \ - "32.394210,33.268580,33.771490,34.338200,34.745540,33.887230,34.792540", \ - "36.979080,37.865160,38.258870,38.870880,39.363380,39.533180,38.958840"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.197230,16.800850,17.283840,17.674420,17.887970,17.996710,18.063570", \ - "16.214270,16.795540,17.251300,17.647810,17.871040,17.978350,18.043100", \ - "16.297450,16.893940,17.340440,17.762550,17.979680,18.081060,18.159610", \ - "17.673580,18.181440,18.587690,19.003190,19.194170,19.307820,19.382980", \ - "20.364400,20.814550,21.144070,21.493870,21.640230,21.762490,21.816010", \ - "24.385720,24.715790,25.093090,25.445470,25.593440,25.601120,25.645710", \ - "29.361100,29.664220,30.077160,30.533650,30.859170,30.993320,30.952490"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.828970,22.688660,23.051600,23.469620,23.563640,23.965420,23.201720", \ - "21.782520,22.606100,23.083740,23.383570,23.652880,23.417690,22.545470", \ - "21.639980,22.506300,22.875680,23.282480,23.401560,23.346040,23.174180", \ - "22.223110,23.235870,23.603620,23.991410,23.966030,24.033320,22.377350", \ - "24.279150,25.156220,25.686290,26.048520,26.487690,25.577430,24.935580", \ - "27.311030,28.290700,28.628150,29.360270,29.975870,29.773000,28.947160", \ - "31.702370,32.561030,33.156070,33.896340,34.350220,34.845390,34.469110"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.226730,16.816380,17.254690,17.662230,17.903040,18.012690,18.071960", \ - "16.227990,16.821060,17.290710,17.694050,17.896650,18.014580,18.077670", \ - "16.397950,16.967330,17.408800,17.817820,18.033710,18.138140,18.207640", \ - "17.692550,18.185400,18.589320,18.970460,19.188420,19.307930,19.369170", \ - "20.239510,20.638440,21.021140,21.369930,21.517590,21.631060,21.693510", \ - "24.043740,24.405300,24.734920,25.122030,25.277090,25.269520,25.320810", \ - "28.773470,29.080290,29.440690,29.893450,30.221320,30.386050,30.343050"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.431550,23.462170,23.881090,24.443410,24.958140,24.920560,25.270480", \ - "22.394500,23.295570,23.836280,24.198970,24.820510,24.804430,23.864180", \ - "22.259470,23.175490,23.608460,24.117900,24.862250,24.751910,24.199880", \ - "22.739090,23.631370,24.146990,24.508470,25.125960,25.037650,25.095430", \ - "24.650150,25.580320,25.972600,26.600370,27.013490,26.397490,26.236940", \ - "27.590560,28.442230,29.002370,29.694210,29.898610,30.005790,29.153040", \ - "31.526120,32.545690,33.025200,33.582070,34.628440,35.055380,34.442240"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.487580,17.094780,17.505530,17.917030,18.151950,18.261610,18.311440", \ - "16.509250,17.101060,17.508480,17.923890,18.152220,18.256100,18.319340", \ - "16.671540,17.219300,17.638260,18.048010,18.266640,18.373630,18.442280", \ - "17.972050,18.488100,18.922620,19.302870,19.515270,19.613600,19.680200", \ - "20.752210,21.137480,21.489990,21.791600,21.985280,22.072410,22.134650", \ - "24.773490,25.036560,25.389720,25.741580,25.892880,25.884080,25.926440", \ - "29.800190,29.978300,30.266750,30.707450,31.059170,31.184170,31.124090"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.036970,24.999420,25.495160,25.798720,26.656060,26.551750,24.727910", \ - "23.967760,24.894520,25.421560,25.931900,25.999240,26.420850,25.966340", \ - "23.869910,24.732220,25.272250,25.550150,25.907460,26.200760,26.228150", \ - "24.219250,25.096470,25.571370,26.013430,26.662650,27.018820,27.067400", \ - "26.101340,26.918130,27.506340,28.050110,28.406130,28.309040,28.409210", \ - "28.903340,29.741170,30.304410,30.959590,31.538020,31.290890,29.414540", \ - "32.775090,33.793390,34.276160,34.852220,35.697980,35.611490,34.236170"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.058060,16.585740,17.062670,17.455200,17.698440,17.814310,17.880020", \ - "15.959730,16.559690,17.028290,17.432840,17.660430,17.770820,17.841700", \ - "16.134690,16.654810,17.137140,17.538070,17.752420,17.879360,17.945610", \ - "17.461860,17.953300,18.418860,18.803760,19.014880,19.132770,19.205380", \ - "20.179510,20.625090,21.011120,21.334760,21.476850,21.591890,21.654130", \ - "24.238740,24.534090,24.906480,25.280950,25.456060,25.454950,25.499460", \ - "29.209210,29.503030,29.836600,30.351350,30.707680,30.859500,30.834410"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.977210,22.818290,23.162100,23.463110,23.870790,23.818590,23.185100", \ - "21.886550,22.683360,23.121820,23.291400,23.665780,23.716300,23.102970", \ - "21.722880,22.537360,22.962600,23.176720,23.666740,23.143610,23.462640", \ - "22.367990,23.158790,23.505210,23.920410,24.269880,24.050530,22.581450", \ - "24.067290,24.975500,25.354760,25.788780,26.060610,25.888360,26.180900", \ - "26.875560,27.722570,28.154530,28.776590,28.806040,29.023840,28.256500", \ - "31.117340,32.012800,32.539270,32.838460,33.315960,33.718820,33.572790"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.961150,16.600230,17.061680,17.479220,17.697590,17.818750,17.893700", \ - "15.980780,16.592690,17.059680,17.473840,17.695800,17.804120,17.866460", \ - "16.177040,16.725180,17.178760,17.584520,17.809040,17.926580,17.991450", \ - "17.458600,17.955130,18.414970,18.803420,19.005340,19.128750,19.198920", \ - "20.134810,20.517900,20.852040,21.203760,21.355380,21.466540,21.527250", \ - "23.821980,24.195520,24.574450,24.947360,25.125340,25.128960,25.166140", \ - "28.497010,28.831060,29.238160,29.711740,30.078690,30.243150,30.234890"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.637070,23.590550,23.883430,24.305610,24.450610,25.022700,24.762780", \ - "22.674120,23.509230,23.868990,24.363270,24.839020,24.864710,24.812550", \ - "22.500200,23.378390,23.715910,24.120630,24.586570,24.581610,24.683590", \ - "22.881030,23.784330,24.074690,24.383840,25.049570,24.973080,25.167300", \ - "24.623530,25.550320,25.990870,26.337620,26.777230,26.723510,25.978520", \ - "27.316990,28.103120,28.572750,29.171940,29.309720,29.663560,28.739050", \ - "31.255540,31.908790,32.449080,33.142710,33.715950,33.171400,33.921520"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.262760,16.856900,17.286920,17.717460,17.948390,18.067060,18.125130", \ - "16.338110,16.858570,17.272860,17.704870,17.935900,18.048110,18.116560", \ - "16.449590,16.958380,17.398630,17.824990,18.054780,18.169940,18.232140", \ - "17.771930,18.299420,18.729410,19.107330,19.338180,19.444820,19.517050", \ - "20.651980,21.009250,21.365930,21.682400,21.838270,21.944160,22.010130", \ - "24.617450,24.921110,25.260640,25.603660,25.786890,25.790600,25.831920", \ - "29.516670,29.779180,30.126960,30.607560,30.978960,31.109650,31.066700"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.369230,25.309320,25.564380,26.089480,26.623210,26.673220,25.564990", \ - "24.393880,25.201830,25.494600,26.020250,26.529130,26.627470,26.398140", \ - "24.161610,24.974570,25.456990,25.859170,26.287860,26.272080,25.898640", \ - "24.423610,25.320290,25.814050,26.333620,26.780740,26.532390,26.071110", \ - "26.207050,26.997220,27.364660,27.850770,28.184320,28.020640,27.710660", \ - "28.662760,29.540070,30.021970,30.643270,31.007910,30.824450,30.242350", \ - "32.349960,33.311660,33.918390,34.322250,35.074440,35.314300,35.071720"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.296070,16.875520,17.290310,17.707360,17.944440,18.061330,18.118030", \ - "16.210430,16.806830,17.258960,17.678770,17.896330,18.017760,18.085210", \ - "16.315090,16.930630,17.361730,17.762020,18.004780,18.125610,18.181230", \ - "17.782980,18.327380,18.704630,19.122890,19.341240,19.445800,19.517890", \ - "20.765810,21.126790,21.463320,21.805860,21.965490,22.061580,22.128440", \ - "24.982990,25.235820,25.585380,25.940560,26.101950,26.099150,26.149740", \ - "30.187260,30.376410,30.768200,31.213830,31.570980,31.701260,31.658010"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.432460,24.299600,24.727970,25.244240,25.619040,24.469700,24.837470", \ - "23.367040,24.235950,24.697170,24.904290,25.407110,24.586420,25.404830", \ - "23.252120,24.113040,24.537320,24.772130,24.830050,25.547800,24.110660", \ - "23.787350,24.567520,24.976080,25.340940,25.732240,25.925470,25.045140", \ - "25.382800,26.425670,26.823480,27.291560,27.181220,27.158170,27.276550", \ - "28.226450,29.134640,29.472850,30.075990,30.100070,30.124510,29.647150", \ - "32.414120,33.236480,33.749880,34.069030,34.320620,34.598270,34.955770"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.262760,16.856900,17.286920,17.717460,17.948390,18.067060,18.125130", \ - "16.338110,16.858570,17.272860,17.704870,17.935900,18.048110,18.116560", \ - "16.449590,16.958380,17.398630,17.824990,18.054780,18.169940,18.232140", \ - "17.771930,18.299420,18.729410,19.107330,19.338180,19.444820,19.517050", \ - "20.651980,21.009250,21.365930,21.682400,21.838270,21.944160,22.010130", \ - "24.617450,24.921110,25.260640,25.603660,25.786890,25.790600,25.831920", \ - "29.516670,29.779180,30.126960,30.607560,30.978960,31.109650,31.066700"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.369230,25.309320,25.564380,26.089480,26.623210,26.673220,25.564990", \ - "24.393880,25.201830,25.494600,26.020250,26.529130,26.627470,26.398140", \ - "24.161610,24.974570,25.456990,25.859170,26.287860,26.272080,25.898640", \ - "24.423610,25.320290,25.814050,26.333620,26.780740,26.532390,26.071110", \ - "26.207050,26.997220,27.364660,27.850770,28.184320,28.020640,27.710660", \ - "28.662760,29.540070,30.021970,30.643270,31.007910,30.824450,30.242350", \ - "32.349960,33.311660,33.918390,34.322250,35.074440,35.314300,35.071720"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.671760,17.149890,17.578120,17.969950,18.222490,18.339590,18.393100", \ - "16.685560,17.126960,17.569240,17.958580,18.212860,18.327600,18.381540", \ - "16.774720,17.256600,17.682460,18.085780,18.321090,18.441400,18.500420", \ - "18.165190,18.651770,19.025300,19.426480,19.672700,19.786390,19.840690", \ - "21.171250,21.479440,21.805060,22.130080,22.301240,22.413540,22.468030", \ - "25.348210,25.606180,25.905760,26.237870,26.421600,26.416180,26.442960", \ - "30.459120,30.704190,31.011220,31.439960,31.796140,31.898060,31.836910"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.924330,26.938730,27.303870,27.856820,27.977120,28.493880,27.484720", \ - "26.014520,26.878280,27.336030,27.700450,27.821950,28.297140,27.570010", \ - "25.865270,26.777590,27.194280,27.750180,28.158370,28.063240,27.975230", \ - "25.977550,26.979680,27.448590,27.974990,28.482660,28.343030,27.291770", \ - "27.670290,28.467990,28.973070,29.334260,29.577060,29.952350,29.529630", \ - "30.184160,31.005030,31.568470,32.179650,32.655750,32.320010,31.851190", \ - "33.890640,34.609400,35.165740,35.841510,36.436650,35.702070,36.600840"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.222290,16.802800,17.270760,17.680440,17.895690,17.992330,18.056730", \ - "16.264920,16.791740,17.247110,17.645710,17.869780,17.974930,18.038850", \ - "16.276370,16.795110,17.254550,17.654590,17.868030,17.984070,18.041350", \ - "16.902260,17.521260,17.941590,18.339910,18.549320,18.665990,18.733490", \ - "19.000260,19.489980,19.873150,20.226940,20.381180,20.501950,20.565100", \ - "22.098900,22.498960,22.928120,23.344960,23.568960,23.623550,23.665250", \ - "25.959260,26.388700,26.819220,27.358740,27.779210,28.042180,28.054530"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.079930,23.852440,24.320860,24.725850,25.280830,24.918900,24.962660", \ - "23.040400,23.924380,24.270970,24.673130,25.159080,24.816680,25.483350", \ - "22.971500,23.868330,24.205250,24.787930,25.100750,24.672640,23.752600", \ - "23.576950,24.408700,24.825530,25.258260,25.293800,25.678580,24.182550", \ - "25.549480,26.497180,26.842060,27.282500,27.421250,28.006890,27.294500", \ - "28.542300,29.532360,30.011390,30.666200,30.880560,30.853400,30.117210", \ - "32.700590,33.721860,34.392940,34.973100,35.711520,35.729410,35.821380"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.222220,16.814080,17.288360,17.682030,17.902340,18.006570,18.076930", \ - "16.302500,16.816490,17.291760,17.680640,17.907250,18.002540,18.071740", \ - "16.354020,16.843260,17.311490,17.709620,17.924300,18.038970,18.110400", \ - "16.899130,17.539420,17.953670,18.363140,18.564110,18.681430,18.745640", \ - "18.918210,19.320930,19.750060,20.120300,20.266820,20.385000,20.457840", \ - "21.799010,22.170980,22.594010,23.040340,23.247350,23.294800,23.341270", \ - "25.294570,25.713960,26.164940,26.684340,27.121090,27.384120,27.410530"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.822270,24.763350,25.321010,25.908220,26.505180,26.189690,24.733100", \ - "23.769040,24.755640,25.138470,25.729130,26.289930,26.142100,25.360360", \ - "23.628280,24.652190,25.204140,25.541920,26.390240,26.084580,25.831200", \ - "24.108990,25.079540,25.474610,26.190070,26.616980,26.631850,24.986710", \ - "26.121990,27.056430,27.381970,27.997840,28.511490,28.327940,28.113800", \ - "28.958540,29.879250,30.365180,31.033170,31.378410,31.168660,31.708070", \ - "33.009080,33.870060,34.305560,35.104400,35.724360,35.218650,35.856800"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.502850,17.090750,17.529790,17.918090,18.145680,18.248390,18.322390", \ - "16.531290,17.086810,17.512820,17.920130,18.147100,18.258840,18.315350", \ - "16.514900,17.119230,17.545260,17.951050,18.174760,18.288400,18.339430", \ - "17.274390,17.804460,18.228600,18.606730,18.852770,18.955070,19.014980", \ - "19.309150,19.722890,20.080870,20.459470,20.635190,20.742880,20.808100", \ - "22.337230,22.679800,23.095280,23.485740,23.719640,23.758840,23.797400", \ - "26.176900,26.444040,26.826780,27.359530,27.775260,28.003740,28.007800"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.366980,26.285930,26.828920,27.456370,28.071860,27.766720,27.166300", \ - "25.211930,26.250750,26.793630,27.410210,27.943910,27.775930,27.775310", \ - "25.216780,26.150840,26.589810,27.208370,27.959810,27.402380,28.174420", \ - "25.557720,26.556600,26.904900,27.525220,28.064330,28.007810,26.820190", \ - "27.450130,28.372140,28.921700,29.537770,29.954780,29.873610,29.860170", \ - "30.268610,31.163650,31.737750,32.469320,32.945250,32.916130,33.133080", \ - "34.319380,35.179560,35.557630,36.464650,37.005480,37.148500,37.436930"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.986710,16.583340,17.059180,17.470920,17.698880,17.812750,17.874720", \ - "16.017110,16.564540,17.014000,17.431610,17.654150,17.761180,17.837620", \ - "16.023760,16.581850,17.014880,17.429690,17.662060,17.777640,17.839300", \ - "16.765970,17.279380,17.729720,18.116370,18.353440,18.471260,18.531610", \ - "18.802620,19.276160,19.689810,20.036410,20.182880,20.316490,20.391180", \ - "21.862470,22.300120,22.727480,23.138650,23.373960,23.430140,23.478030", \ - "25.688580,26.064510,26.522970,27.065720,27.531340,27.832910,27.865860"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.411170,24.128520,24.620380,25.066610,25.330070,24.999150,25.091390", \ - "23.310610,24.049730,24.515240,24.731400,24.858930,24.877840,24.096550", \ - "23.218130,24.124370,24.463050,24.879020,25.264880,24.912420,25.383970", \ - "23.646890,24.598190,24.866600,25.216380,25.709570,25.298880,24.998840", \ - "25.523080,26.346400,26.842290,27.158440,27.512440,27.330130,26.240830", \ - "28.331190,29.156640,29.513250,30.086010,30.451400,30.472090,29.940290", \ - "32.483920,33.305270,33.736800,34.377990,34.266130,34.675890,33.150860"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.020710,16.612190,17.075250,17.474240,17.707890,17.820740,17.884770", \ - "15.964380,16.578390,17.029950,17.465900,17.690330,17.805830,17.872710", \ - "15.968880,16.624510,17.080920,17.488970,17.716590,17.822500,17.893220", \ - "16.742930,17.289110,17.735920,18.149680,18.371210,18.477840,18.561860", \ - "18.698850,19.173760,19.586550,19.934590,20.087790,20.213660,20.280520", \ - "21.560000,21.954670,22.399140,22.807430,23.060230,23.109970,23.153030", \ - "25.055060,25.441590,25.886290,26.419510,26.890820,27.184500,27.221230"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.098650,25.144870,25.546080,26.031040,26.425360,26.547880,25.262690", \ - "24.119720,25.036470,25.509740,26.018430,26.350540,26.436920,25.534090", \ - "23.966040,24.994990,25.393130,25.903000,26.451470,26.063150,26.063970", \ - "24.392200,25.252220,25.638150,26.186900,26.223990,26.823090,26.130150", \ - "26.170970,26.973530,27.380550,27.848020,28.338550,28.027460,27.630340", \ - "28.780700,29.558670,30.073550,30.674240,30.883520,31.236330,30.325220", \ - "32.406620,33.273650,33.798880,34.399530,34.778270,35.365430,34.376240"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.343700,16.880300,17.286720,17.702890,17.942340,18.070730,18.131600", \ - "16.271270,16.874210,17.277240,17.699600,17.932350,18.049830,18.110850", \ - "16.292260,16.893340,17.321010,17.731270,17.959340,18.073350,18.133530", \ - "17.141560,17.594550,18.019620,18.427970,18.654670,18.764220,18.828640", \ - "19.137550,19.563630,19.939770,20.286910,20.458540,20.579430,20.640830", \ - "22.169180,22.496290,22.889770,23.313850,23.566640,23.611600,23.653150", \ - "25.903350,26.231050,26.623790,27.134430,27.588630,27.856020,27.877320"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.930020,26.689410,27.129340,27.636340,28.278050,28.466990,27.224970", \ - "25.874030,26.731410,27.080510,27.418820,28.013120,28.243960,27.658720", \ - "25.872750,26.672990,27.048360,27.554850,27.768420,27.451750,28.179090", \ - "26.129830,26.889980,27.386140,27.804200,28.359700,28.212580,27.233720", \ - "27.593460,28.477990,28.974200,29.481970,29.389140,29.924280,29.489810", \ - "30.249280,31.067770,31.451890,31.938140,32.583550,32.403820,32.000260", \ - "33.926130,34.738310,35.166590,35.759470,36.005980,36.700220,34.983930"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.335510,16.879360,17.294530,17.710700,17.933470,18.046710,18.119800", \ - "16.287900,16.811650,17.238840,17.663430,17.901930,18.006690,18.082020", \ - "16.298740,16.839790,17.238290,17.673050,17.897770,18.019040,18.085730", \ - "17.057610,17.568770,17.992340,18.403340,18.636240,18.742680,18.803070", \ - "19.242700,19.653360,20.005980,20.386520,20.569240,20.682830,20.739390", \ - "22.505850,22.830780,23.222360,23.649290,23.888540,23.932840,23.976150", \ - "26.492420,26.857890,27.274940,27.778520,28.232790,28.498900,28.510530"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.869630,25.725220,26.190230,26.424930,26.765380,26.741690,25.979940", \ - "24.794500,25.742930,26.159840,26.340420,26.454430,27.138700,26.030780", \ - "24.808050,25.644650,26.047920,26.331950,26.661390,26.036030,25.843320", \ - "25.169870,25.992060,26.480410,26.832170,27.281480,26.819780,27.392130", \ - "26.947130,27.823180,28.289310,28.536720,28.634500,29.130860,28.869830", \ - "29.695260,30.491260,31.017460,31.424800,31.704050,32.081880,30.668190", \ - "33.722680,34.467190,35.064920,35.669890,36.058320,35.944020,35.097730"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.343700,16.880300,17.286720,17.702890,17.942340,18.070730,18.131600", \ - "16.271270,16.874210,17.277240,17.699600,17.932350,18.049830,18.110850", \ - "16.292260,16.893340,17.321010,17.731270,17.959340,18.073350,18.133530", \ - "17.141560,17.594550,18.019620,18.427970,18.654670,18.764220,18.828640", \ - "19.137550,19.563630,19.939770,20.286910,20.458540,20.579430,20.640830", \ - "22.169180,22.496290,22.889770,23.313850,23.566640,23.611600,23.653150", \ - "25.903350,26.231050,26.623790,27.134430,27.588630,27.856020,27.877320"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.930020,26.689410,27.129340,27.636340,28.278050,28.466990,27.224970", \ - "25.874030,26.731410,27.080510,27.418820,28.013120,28.243960,27.658720", \ - "25.872750,26.672990,27.048360,27.554850,27.768420,27.451750,28.179090", \ - "26.129830,26.889980,27.386140,27.804200,28.359700,28.212580,27.233720", \ - "27.593460,28.477990,28.974200,29.481970,29.389140,29.924280,29.489810", \ - "30.249280,31.067770,31.451890,31.938140,32.583550,32.403820,32.000260", \ - "33.926130,34.738310,35.166590,35.759470,36.005980,36.700220,34.983930"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.694410,17.130120,17.539460,17.984410,18.225560,18.329540,18.392870", \ - "16.657030,17.138740,17.565970,17.953190,18.208080,18.324120,18.378310", \ - "16.647310,17.151640,17.555350,17.989190,18.234880,18.347040,18.399710", \ - "17.415000,17.893800,18.319810,18.716860,18.944860,19.060690,19.120340", \ - "19.554840,19.942480,20.279530,20.638270,20.837190,20.956320,21.016450", \ - "22.744640,23.043940,23.403780,23.822560,24.062870,24.102240,24.140840", \ - "26.662370,26.948770,27.316920,27.823780,28.238640,28.499120,28.496830"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("27.633630,28.490980,28.921360,29.489850,30.019260,30.077530,29.167800", \ - "27.586890,28.453660,28.894510,29.470970,29.958350,30.016910,29.990570", \ - "27.538040,28.385530,28.787480,29.414210,29.839450,29.979960,30.175120", \ - "27.742380,28.629360,29.052830,29.518290,30.136660,30.310080,29.195070", \ - "29.213350,30.096960,30.576160,30.994420,31.419160,31.508220,31.408960", \ - "31.580640,32.603640,33.159500,33.536550,34.317210,33.941750,34.126230", \ - "35.261250,36.107810,36.637810,37.278690,37.632860,37.787180,36.791600"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.926080,18.445130,18.926330,19.375180,19.681690,19.857840,19.970450", \ - "17.919150,18.393530,18.873730,19.336890,19.620410,19.800640,19.921860", \ - "17.882890,18.410730,18.872610,19.333940,19.617260,19.796580,19.911450", \ - "18.955170,19.472430,19.941210,20.357630,20.645140,20.821600,20.942300", \ - "21.622520,22.042710,22.392240,22.788240,23.035540,23.194990,23.318730", \ - "25.645860,25.978440,26.348970,26.732210,26.926830,26.977840,27.101370", \ - "30.920410,31.193460,31.565470,31.972750,32.295830,32.440580,32.448470"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.770210,24.676250,25.216580,25.573310,26.003240,26.179320,25.345650", \ - "23.693140,24.578400,24.998390,25.477360,25.901370,25.806820,25.265800", \ - "23.541930,24.481990,24.932490,25.263360,25.797000,25.997390,25.205260", \ - "23.820530,24.635850,25.166060,25.677180,25.974860,25.428480,26.174550", \ - "25.026210,26.080540,26.559680,27.159180,27.738630,27.067370,25.644370", \ - "27.706460,28.686630,29.251330,30.172060,30.883490,30.886110,30.647340", \ - "32.026900,32.969170,33.696160,34.601550,35.215820,36.003460,35.967000"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.787170,18.268780,18.742230,19.200470,19.497100,19.670030,19.795680", \ - "17.585750,18.187360,18.665300,19.123870,19.405880,19.592160,19.710320", \ - "17.694130,18.183340,18.629280,19.094410,19.392930,19.574300,19.699470", \ - "18.782800,19.285400,19.746320,20.187370,20.456950,20.635590,20.763810", \ - "21.421810,21.846910,22.222240,22.598620,22.827850,22.998520,23.131730", \ - "25.477090,25.784560,26.140180,26.497760,26.724240,26.781170,26.899360", \ - "30.650860,30.911580,31.292370,31.748160,32.074800,32.203760,32.231360"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.054240,25.028400,25.486570,26.030260,26.236790,26.339410,25.633900", \ - "23.991440,24.832400,25.410960,25.981630,26.153490,26.128770,26.677190", \ - "23.850700,24.826620,25.262640,25.781480,26.169370,26.400310,26.295690", \ - "24.101510,24.904770,25.401770,25.799400,26.549440,25.956330,25.963400", \ - "25.216270,26.274510,26.693040,27.343660,27.910950,27.805260,26.886770", \ - "27.735830,28.665970,29.292700,29.704390,30.679940,30.514020,29.273190", \ - "31.917290,32.841070,33.433830,34.275940,35.114340,35.664260,33.419260"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("18.120870,18.597860,19.062490,19.502920,19.789910,19.957130,20.083900", \ - "18.006810,18.519010,18.984660,19.425910,19.714330,19.891110,20.010660", \ - "17.927190,18.510150,18.935040,19.384650,19.697550,19.876830,19.993290", \ - "19.170280,19.609340,20.050570,20.498950,20.780600,20.964490,21.082930", \ - "21.938630,22.325950,22.665810,23.056160,23.302410,23.472520,23.578770", \ - "26.146980,26.448770,26.767830,27.130640,27.331010,27.382000,27.511420", \ - "31.571510,31.819550,32.106650,32.525580,32.860730,32.990170,32.998440"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.486130,26.537640,26.992520,27.628270,28.189850,27.988720,26.809120", \ - "25.525520,26.478840,26.879150,27.490250,28.071140,28.240030,26.884890", \ - "25.433400,26.349380,26.689870,27.357410,28.039680,27.926070,28.237250", \ - "25.464420,26.456950,26.946350,27.361390,27.994390,28.354430,27.359530", \ - "26.689070,27.733190,28.067750,28.801890,29.154400,29.040750,28.263410", \ - "29.032280,30.059510,30.528020,31.172720,31.742450,32.325880,31.986160", \ - "33.120580,34.026240,34.535300,35.310980,36.195180,36.580600,34.765650"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.532290,18.086930,18.569770,19.058590,19.396020,19.601740,19.745370", \ - "17.461330,18.008860,18.502610,18.987630,19.318840,19.526270,19.668720", \ - "17.414970,17.965380,18.466190,18.938890,19.272490,19.488770,19.635070", \ - "18.654240,19.128790,19.638030,20.090190,20.402180,20.612890,20.753600", \ - "21.389120,21.758970,22.172030,22.565170,22.840820,23.033680,23.161050", \ - "25.461930,25.830910,26.188140,26.582250,26.798720,26.883370,27.028390", \ - "30.720120,31.090800,31.420590,31.889250,32.248070,32.435710,32.472050"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.919380,24.772560,25.108850,25.531540,25.973170,25.829020,24.470240", \ - "23.868820,24.769350,25.213750,25.692560,25.947740,26.082960,24.989160", \ - "23.698980,24.575210,24.961370,25.368460,25.818920,25.445790,25.861440", \ - "24.014010,24.820360,25.194590,25.761630,26.051840,25.732230,24.542870", \ - "25.195980,26.154510,26.411360,27.009050,27.510520,27.247140,26.414070", \ - "27.564530,28.467650,28.928230,29.589290,30.001140,30.449890,29.066570", \ - "31.652360,32.609910,33.224060,33.695220,34.706350,34.978750,34.683080"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.411620,17.929440,18.408100,18.899670,19.224040,19.428130,19.571860", \ - "17.189530,17.829810,18.309890,18.787120,19.132330,19.330620,19.484090", \ - "17.235750,17.802590,18.271990,18.776160,19.092080,19.297180,19.448030", \ - "18.432790,19.024180,19.486350,19.937090,20.246340,20.436840,20.585940", \ - "21.205670,21.640170,22.019260,22.392350,22.652160,22.855420,22.982400", \ - "25.296660,25.640080,26.027590,26.402530,26.616970,26.706450,26.835360", \ - "30.586820,30.869460,31.226280,31.690430,32.049160,32.236370,32.274210"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.382740,25.227230,25.444970,26.032140,26.458420,26.498530,25.016260", \ - "24.270150,25.089640,25.411170,25.975490,26.435640,26.204810,24.944990", \ - "24.159900,24.893320,25.356930,25.797940,26.287190,26.302110,25.939640", \ - "24.227730,25.092990,25.516970,25.884510,26.488710,25.569760,25.313940", \ - "25.458500,26.247330,26.635520,27.062230,27.617800,26.991710,26.942310", \ - "27.632460,28.471280,28.982410,29.685530,30.238400,29.945220,28.977080", \ - "31.727090,32.598150,33.024220,33.789440,34.566320,34.554250,33.683690"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.749560,18.280450,18.698340,19.186010,19.534950,19.745050,19.884130", \ - "17.584250,18.187930,18.607010,19.098650,19.447240,19.653220,19.788400", \ - "17.613470,18.139880,18.562800,19.050670,19.399080,19.601920,19.744910", \ - "18.889080,19.380580,19.811760,20.277480,20.604760,20.794480,20.936610", \ - "21.817490,22.127890,22.517210,22.898940,23.173890,23.358670,23.492120", \ - "26.062270,26.328020,26.683610,27.051270,27.289640,27.370930,27.490740", \ - "31.590980,31.757130,32.090640,32.549720,32.906710,33.058840,33.097760"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.930700,26.802410,27.248860,27.687400,28.137820,28.229390,26.934300", \ - "25.966040,26.729120,27.233170,27.603990,28.115130,28.089540,27.687020", \ - "25.707270,26.586720,27.065750,27.459970,27.870950,27.802270,27.612660", \ - "25.889690,26.659260,27.075710,27.544060,27.863980,27.797000,27.353760", \ - "26.882490,27.780600,28.220940,28.705470,29.184770,29.091210,29.276730", \ - "28.929760,29.940790,30.505790,30.892260,31.720230,31.731070,30.862120", \ - "32.817430,33.848850,34.290920,34.961470,35.666210,35.703890,35.003330"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.942960,18.461040,18.876820,19.388810,19.718790,19.932010,20.073610", \ - "17.847550,18.347350,18.832700,19.312430,19.649470,19.855270,19.984850", \ - "17.768530,18.328040,18.746280,19.265850,19.582220,19.809010,19.932490", \ - "19.047200,19.511660,19.961110,20.438220,20.761630,20.964480,21.103770", \ - "21.952390,22.326330,22.668820,23.060110,23.342780,23.533320,23.660990", \ - "26.211240,26.513670,26.871190,27.210730,27.461740,27.542300,27.664690", \ - "31.650510,31.942110,32.307260,32.718460,33.072350,33.228980,33.262400"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.434400,26.348920,26.705030,27.127890,27.630530,27.670440,27.919130", \ - "25.432740,26.257890,26.691350,27.029080,27.727190,27.713190,27.716560", \ - "25.202880,26.111940,26.584840,27.072730,27.117110,27.468510,27.732480", \ - "25.335580,26.263400,26.708200,27.193150,27.679560,27.382160,26.916510", \ - "26.519130,27.585970,27.923920,28.357830,29.010550,28.585370,28.781650", \ - "28.912090,29.753730,30.247550,30.878950,31.232850,30.615580,31.368010", \ - "32.915110,33.871970,34.461150,34.947770,35.830580,35.662440,35.193090"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.749560,18.280450,18.698340,19.186010,19.534950,19.745050,19.884130", \ - "17.584250,18.187930,18.607010,19.098650,19.447240,19.653220,19.788400", \ - "17.613470,18.139880,18.562800,19.050670,19.399080,19.601920,19.744910", \ - "18.889080,19.380580,19.811760,20.277480,20.604760,20.794480,20.936610", \ - "21.817490,22.127890,22.517210,22.898940,23.173890,23.358670,23.492120", \ - "26.062270,26.328020,26.683610,27.051270,27.289640,27.370930,27.490740", \ - "31.590980,31.757130,32.090640,32.549720,32.906710,33.058840,33.097760"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.930700,26.802410,27.248860,27.687400,28.137820,28.229390,26.934300", \ - "25.966040,26.729120,27.233170,27.603990,28.115130,28.089540,27.687020", \ - "25.707270,26.586720,27.065750,27.459970,27.870950,27.802270,27.612660", \ - "25.889690,26.659260,27.075710,27.544060,27.863980,27.797000,27.353760", \ - "26.882490,27.780600,28.220940,28.705470,29.184770,29.091210,29.276730", \ - "28.929760,29.940790,30.505790,30.892260,31.720230,31.731070,30.862120", \ - "32.817430,33.848850,34.290920,34.961470,35.666210,35.703890,35.003330"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("18.167320,18.592030,19.043320,19.539670,19.872560,20.066650,20.211520", \ - "18.041040,18.539180,18.961390,19.446790,19.774480,19.983730,20.119100", \ - "18.024510,18.461400,18.898110,19.397050,19.719310,19.933950,20.070200", \ - "19.365970,19.737820,20.195560,20.646480,20.947420,21.157380,21.290570", \ - "22.374820,22.635260,23.025620,23.365350,23.664460,23.834560,23.967900", \ - "26.781750,27.021430,27.303270,27.681490,27.924220,27.988350,28.109750", \ - "32.534290,32.627070,32.934060,33.340180,33.696360,33.853680,33.864570"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("27.691970,28.547590,28.901560,29.239460,29.962110,29.903030,28.900680", \ - "27.569930,28.506540,28.840690,29.393430,29.874860,29.678090,29.129880", \ - "27.439110,28.285850,28.801830,29.137630,29.494660,29.771070,29.704670", \ - "27.496530,28.388500,28.775070,29.242280,29.425590,30.034100,29.879450", \ - "28.526550,29.429300,29.839870,30.217060,30.780400,30.695360,30.669350", \ - "30.609760,31.472270,31.965960,32.601940,32.736390,32.319420,32.304400", \ - "34.396220,35.188430,35.686350,36.422770,37.156840,37.141320,36.474720"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.943260,18.474200,18.932100,19.392820,19.677570,19.856890,19.981130", \ - "17.824360,18.387240,18.870180,19.315610,19.620400,19.802320,19.923500", \ - "17.851160,18.371250,18.822640,19.281420,19.577570,19.756320,19.874500", \ - "18.379320,18.873910,19.356960,19.818000,20.099760,20.265840,20.391600", \ - "20.226800,20.695780,21.085770,21.512690,21.758150,21.930330,22.059950", \ - "23.363690,23.766040,24.206900,24.629000,24.869750,24.971850,25.088910", \ - "27.499360,27.890600,28.304110,28.800080,29.221210,29.458190,29.514490"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.960180,25.944380,26.360880,26.962870,27.451390,27.492720,27.245120", \ - "24.941780,25.894340,26.363090,26.948080,27.473770,27.165040,27.684660", \ - "24.903830,25.822840,26.329700,26.635910,27.442530,26.456790,26.257000", \ - "25.026400,26.038090,26.504860,27.063840,27.418540,27.569040,27.376280", \ - "26.501710,27.521930,27.872350,28.624840,29.177850,28.698700,29.004140", \ - "29.063340,30.032310,30.510260,31.375720,31.768360,31.910060,32.147750", \ - "33.352040,34.194310,34.942800,35.728620,36.641750,36.574530,36.126340"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.707640,18.262230,18.759970,19.200930,19.476840,19.672280,19.792530", \ - "17.657750,18.197410,18.666270,19.124080,19.416520,19.581850,19.714680", \ - "17.674640,18.126230,18.630240,19.075220,19.363570,19.547850,19.660950", \ - "18.194560,18.694810,19.147020,19.613670,19.893450,20.070960,20.193100", \ - "20.024380,20.487240,20.888690,21.317260,21.540540,21.735300,21.865990", \ - "23.112030,23.521800,23.929160,24.364430,24.633200,24.737000,24.859460", \ - "27.188850,27.514130,27.971510,28.478680,28.900780,29.161470,29.233330"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.524160,26.416680,26.763510,27.370440,28.012960,27.764400,26.647320", \ - "25.414960,26.305620,26.840880,27.295400,27.880160,27.028430,27.129140", \ - "25.347910,26.246700,26.778880,27.300470,27.951950,27.654830,28.014870", \ - "25.314570,26.367870,26.892070,27.420630,27.731540,27.914470,27.049120", \ - "26.660910,27.716850,28.051200,28.635760,29.140580,29.254760,28.580980", \ - "29.172420,30.099130,30.599520,31.435320,31.946670,32.193390,31.150470", \ - "33.239640,34.210730,34.683870,35.409340,36.391270,36.177580,36.299340"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("18.071280,18.563510,19.060350,19.502190,19.786420,19.967090,20.081750", \ - "18.034460,18.502850,18.985410,19.427740,19.711720,19.891550,20.006210", \ - "17.948760,18.461370,18.904010,19.380390,19.665570,19.842330,19.952060", \ - "18.521500,19.048070,19.486340,19.921670,20.211080,20.381420,20.492930", \ - "20.450830,20.898030,21.251510,21.680550,21.918790,22.116220,22.231700", \ - "23.699850,24.050130,24.451240,24.853800,25.121070,25.216750,25.336940", \ - "27.833410,28.263100,28.626890,29.123410,29.531200,29.791120,29.837940"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("26.961570,27.918930,28.290600,29.049070,29.582020,29.407930,29.396730", \ - "26.982250,27.844340,28.366540,28.873420,29.331020,29.733840,29.557620", \ - "26.845780,27.857160,28.319110,28.936550,29.192110,29.320780,28.563620", \ - "26.841220,27.922400,28.456940,29.071550,29.148430,29.922910,29.444520", \ - "28.280390,29.200120,29.634810,30.237320,30.401030,30.715910,30.426260", \ - "30.455650,31.440350,32.016460,32.768480,33.244550,33.283290,32.697330", \ - "34.356900,35.439690,36.117980,36.863170,37.385150,37.339450,38.089980"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.530590,18.076460,18.571960,19.054370,19.387050,19.604560,19.742110", \ - "17.478510,18.026370,18.507830,18.984030,19.321770,19.534210,19.678810", \ - "17.380100,17.948520,18.426320,18.913140,19.246520,19.450870,19.597980", \ - "17.992360,18.516930,18.999500,19.475030,19.796080,20.013700,20.149170", \ - "19.906780,20.381150,20.793310,21.240940,21.506940,21.721400,21.869150", \ - "23.094910,23.480660,23.923540,24.378570,24.662550,24.796270,24.918240", \ - "27.167880,27.566260,28.006840,28.523510,29.007080,29.313350,29.401960"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.251960,26.176260,26.641180,27.145440,27.519150,27.536590,27.435540", \ - "25.198880,26.080670,26.512670,26.965840,27.445720,27.132810,27.631240", \ - "25.201010,26.027790,26.497550,26.887710,27.463240,27.434170,26.300190", \ - "25.339110,26.191400,26.702930,27.062790,27.352160,27.194560,26.964980", \ - "26.499950,27.566320,27.894740,28.375700,28.953960,28.599060,28.483990", \ - "28.917810,29.790890,30.317640,30.936770,31.235470,31.353170,30.963610", \ - "33.044490,33.969590,34.513740,34.995170,35.831060,35.968120,36.158950"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.349980,17.913770,18.392150,18.894020,19.216510,19.416890,19.572730", \ - "17.270870,17.793580,18.312040,18.793340,19.133000,19.344780,19.481380", \ - "17.208680,17.780520,18.245470,18.719780,19.055550,19.260680,19.416020", \ - "17.833230,18.361390,18.830850,19.305340,19.623250,19.834820,19.971660", \ - "19.663710,20.214080,20.637320,21.062020,21.323530,21.523110,21.687680", \ - "22.896430,23.275680,23.692220,24.148520,24.441070,24.574930,24.701910", \ - "26.922050,27.245890,27.663250,28.203960,28.701660,29.028350,29.135790"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.777540,26.723760,27.059930,27.496830,28.158700,27.768570,27.570320", \ - "25.741280,26.547450,26.920570,27.448000,27.914340,27.709830,27.323730", \ - "25.636360,26.611710,26.876770,27.377050,28.056250,27.693870,27.753790", \ - "25.760490,26.616000,27.062860,27.524930,27.848580,28.288910,27.421020", \ - "26.916640,27.814710,28.264990,28.638670,28.863810,28.886300,28.775540", \ - "29.199470,30.026500,30.515460,31.110260,31.618050,31.792600,31.632100", \ - "33.003960,33.985450,34.384440,34.979670,35.835490,35.972670,35.353120"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.747830,18.264430,18.690100,19.189040,19.528850,19.733810,19.877230", \ - "17.658710,18.190350,18.627590,19.124960,19.447860,19.654750,19.788010", \ - "17.584270,18.063260,18.531270,19.023770,19.374830,19.571730,19.707740", \ - "18.120650,18.664920,19.135300,19.637190,19.955300,20.152080,20.289490", \ - "20.207800,20.646600,21.031440,21.465280,21.734540,21.938610,22.069490", \ - "23.484310,23.829650,24.249020,24.657940,24.977340,25.093970,25.226290", \ - "27.708100,28.052310,28.398850,28.941710,29.414910,29.696750,29.794550"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("27.456680,28.381780,28.823810,29.361230,29.754190,29.409540,28.958150", \ - "27.413660,28.336560,28.665750,29.201550,29.230130,29.881460,29.445980", \ - "27.345160,28.271340,28.604290,28.976070,29.288850,29.815780,29.866320", \ - "27.432900,28.266100,28.750360,29.273920,29.439130,28.827770,29.513400", \ - "28.577170,29.445840,29.789360,30.284740,30.642790,29.849470,30.689920", \ - "30.597440,31.572130,31.982050,32.714700,32.899050,33.357170,33.485180", \ - "34.561150,35.403830,35.772690,36.317610,36.927760,36.959020,36.460640"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.937710,18.453970,18.877610,19.382930,19.713740,19.931070,20.067800", \ - "17.888690,18.383350,18.798670,19.313960,19.650260,19.846410,20.002080", \ - "17.820030,18.300440,18.754210,19.238380,19.566950,19.782900,19.913700", \ - "18.379420,18.849960,19.308240,19.806390,20.128110,20.341020,20.474500", \ - "20.443790,20.817790,21.228320,21.623450,21.910520,22.124210,22.261590", \ - "23.714460,24.044720,24.435340,24.875370,25.187140,25.312220,25.441080", \ - "28.010930,28.345140,28.746420,29.241700,29.692360,29.970270,30.052920"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("26.887200,27.724420,28.234420,28.740610,29.122450,29.188290,28.288250", \ - "26.787850,27.702500,28.187880,28.718720,29.223640,29.091800,26.927880", \ - "26.573830,27.587250,28.036210,28.625760,28.614640,28.825320,29.263730", \ - "26.880030,27.741380,28.127030,28.643980,29.102060,28.987500,29.380710", \ - "28.091480,29.032760,29.416380,29.754220,30.346400,30.080820,30.144300", \ - "30.381160,31.216070,31.801530,32.403750,32.845960,33.197110,33.097500", \ - "34.337130,35.211550,35.810250,36.398190,37.166650,36.974780,36.337530"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.747830,18.264430,18.690100,19.189040,19.528850,19.733810,19.877230", \ - "17.658710,18.190350,18.627590,19.124960,19.447860,19.654750,19.788010", \ - "17.584270,18.063260,18.531270,19.023770,19.374830,19.571730,19.707740", \ - "18.120650,18.664920,19.135300,19.637190,19.955300,20.152080,20.289490", \ - "20.207800,20.646600,21.031440,21.465280,21.734540,21.938610,22.069490", \ - "23.484310,23.829650,24.249020,24.657940,24.977340,25.093970,25.226290", \ - "27.708100,28.052310,28.398850,28.941710,29.414910,29.696750,29.794550"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("27.456680,28.381780,28.823810,29.361230,29.754190,29.409540,28.958150", \ - "27.413660,28.336560,28.665750,29.201550,29.230130,29.881460,29.445980", \ - "27.345160,28.271340,28.604290,28.976070,29.288850,29.815780,29.866320", \ - "27.432900,28.266100,28.750360,29.273920,29.439130,28.827770,29.513400", \ - "28.577170,29.445840,29.789360,30.284740,30.642790,29.849470,30.689920", \ - "30.597440,31.572130,31.982050,32.714700,32.899050,33.357170,33.485180", \ - "34.561150,35.403830,35.772690,36.317610,36.927760,36.959020,36.460640"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("18.133240,18.600740,19.037440,19.532920,19.868430,20.066780,20.204700", \ - "18.096950,18.483680,18.969250,19.434890,19.772900,19.990540,20.118590", \ - "18.004780,18.467230,18.880140,19.375630,19.706130,19.909870,20.042910", \ - "18.676080,19.078440,19.507320,19.972020,20.277730,20.486800,20.626160", \ - "20.585010,21.030720,21.441300,21.850690,22.142080,22.334420,22.477430", \ - "24.074990,24.392680,24.766050,25.174210,25.473220,25.604890,25.734190", \ - "28.489830,28.754390,29.135420,29.619850,30.062570,30.349140,30.416520"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("29.234230,30.088690,30.491170,30.842940,31.506480,31.079240,31.111920", \ - "29.183370,29.956270,30.431370,30.967330,31.529750,30.645720,31.588960", \ - "29.110070,29.951070,30.477680,30.956780,31.436850,31.814550,30.987860", \ - "29.143570,30.006650,30.483400,30.921800,31.551490,31.518820,31.637730", \ - "30.103440,30.941120,31.339120,31.901620,32.422220,32.196710,32.661040", \ - "32.239070,33.028350,33.493300,34.012900,34.546690,34.786730,34.092570", \ - "35.786280,36.762310,37.299550,37.954590,38.678180,38.177910,37.431100"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X1 - Cell Description : Combinational cell (BUF_X1) with drive strength X1 - *******************************************************************************************/ - - cell (BUF_X1) { - - drive_strength : 1; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 79.182250; - - leakage_power () { - when : "!A"; - value : 86.740375; - } - leakage_power () { - when : "A"; - value : 71.624125; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.983203; - fall_capacitance : 0.906021; - rise_capacitance : 0.983203; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.653700; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0116161,0.0138855,0.0162542,0.0204218,0.0280722,0.0429351,0.0725693", \ - "0.0125686,0.0148289,0.0171946,0.0213621,0.0290141,0.0438786,0.0735155", \ - "0.0167615,0.0189845,0.0213245,0.0254720,0.0331075,0.0479565,0.0775836", \ - "0.0224904,0.0249446,0.0274624,0.0317954,0.0395327,0.0543318,0.0838943", \ - "0.0293267,0.0320315,0.0347800,0.0394232,0.0474110,0.0622887,0.0918031", \ - "0.0372870,0.0402752,0.0433189,0.0484110,0.0569521,0.0721872,0.101729", \ - "0.0463404,0.0496175,0.0529865,0.0586015,0.0678922,0.0839151,0.113935"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0102951,0.0127699,0.0155206,0.0207689,0.0311077,0.0517116,0.0928737", \ - "0.0110677,0.0135359,0.0162799,0.0215232,0.0318621,0.0524705,0.0936335", \ - "0.0136743,0.0161476,0.0188518,0.0240096,0.0342680,0.0548333,0.0959803", \ - "0.0156537,0.0183316,0.0211263,0.0262506,0.0363891,0.0568259,0.0978827", \ - "0.0163842,0.0192986,0.0223838,0.0277126,0.0378310,0.0581408,0.0990524", \ - "0.0155420,0.0187136,0.0220824,0.0278866,0.0382028,0.0586441,0.0994629", \ - "0.0129747,0.0164264,0.0200647,0.0264019,0.0372194,0.0578886,0.0990584"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.00275570,0.00392653,0.00534829,0.00822243,0.0142499,0.0268737,0.0524978", \ - "0.00275714,0.00393087,0.00535120,0.00822349,0.0142506,0.0268737,0.0524936", \ - "0.00302839,0.00410748,0.00547566,0.00829398,0.0142745,0.0268769,0.0524937", \ - "0.00403885,0.00507019,0.00632569,0.00891671,0.0145742,0.0269204,0.0524957", \ - "0.00524202,0.00629590,0.00750857,0.00994296,0.0152328,0.0272222,0.0525535", \ - "0.00678486,0.00790309,0.00914389,0.0115415,0.0165548,0.0279134,0.0528029", \ - "0.00865307,0.00985626,0.0111758,0.0136261,0.0185483,0.0294734,0.0535493"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.00272546,0.00443336,0.00662734,0.0112506,0.0207992,0.0400156,0.0784817", \ - "0.00272484,0.00443486,0.00662842,0.0112515,0.0207995,0.0400149,0.0784683", \ - "0.00303290,0.00465388,0.00675412,0.0112851,0.0208000,0.0400165,0.0784660", \ - "0.00384040,0.00538677,0.00727621,0.0115378,0.0208944,0.0400323,0.0784686", \ - "0.00500733,0.00654849,0.00839719,0.0122712,0.0212391,0.0401834,0.0784934", \ - "0.00652600,0.00799456,0.00991657,0.0136228,0.0220929,0.0407652,0.0786804", \ - "0.00838193,0.00979075,0.0117046,0.0154987,0.0234297,0.0418564,0.0794519"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.608840,3.806740,3.962468,4.079237,4.122652,4.145448,4.152224", \ - "3.583226,3.791691,3.937696,4.057686,4.102970,4.126625,4.136021", \ - "3.985496,4.118200,4.243944,4.327348,4.362963,4.383401,4.391470", \ - "4.862725,4.919951,5.062601,5.162620,5.166813,5.154123,5.148256", \ - "6.468525,6.472169,6.498381,6.568517,6.624063,6.601407,6.563071", \ - "8.758503,8.765395,8.732864,8.768848,8.814757,8.828671,8.781404", \ - "11.720720,11.709060,11.669180,11.643380,11.770610,11.876320,11.872110"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("2.301506,2.404528,2.425359,2.452216,2.468617,2.436307,2.238267", \ - "2.306430,2.380932,2.398424,2.406002,2.456075,2.408413,2.171246", \ - "2.643936,2.672742,2.653573,2.667298,2.658684,2.447772,2.378886", \ - "3.703794,3.700496,3.595189,3.457857,3.451456,3.245789,3.272135", \ - "5.298408,5.381369,5.363843,5.307464,5.113298,4.947359,4.828131", \ - "7.547577,7.516808,7.597706,7.659613,7.610527,7.387775,7.143393", \ - "10.221960,10.068940,10.226300,10.412220,10.777620,10.821490,10.207400"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X2 - Cell Description : Combinational cell (BUF_X2) with drive strength X2 - *******************************************************************************************/ - - cell (BUF_X2) { - - drive_strength : 2; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 159.076375; - - leakage_power () { - when : "!A"; - value : 173.958750; - } - leakage_power () { - when : "A"; - value : 144.194000; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.811410; - fall_capacitance : 1.654134; - rise_capacitance : 1.811410; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0108374,0.0133306,0.0156374,0.0197331,0.0273314,0.0421751,0.0717938", \ - "0.0118024,0.0142857,0.0165893,0.0206849,0.0282846,0.0431314,0.0727509", \ - "0.0159300,0.0183907,0.0206730,0.0247501,0.0323308,0.0471588,0.0767691", \ - "0.0214335,0.0241394,0.0265953,0.0308479,0.0385206,0.0533001,0.0828451", \ - "0.0280655,0.0310509,0.0337364,0.0382935,0.0461959,0.0610379,0.0905441", \ - "0.0357796,0.0390855,0.0420654,0.0470747,0.0555236,0.0707269,0.100264", \ - "0.0445660,0.0481929,0.0514951,0.0570314,0.0662253,0.0822079,0.112257"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00964368,0.0124014,0.0151371,0.0203822,0.0307217,0.0513189,0.0924554", \ - "0.0104193,0.0131677,0.0158954,0.0211355,0.0314759,0.0520771,0.0932169", \ - "0.0129085,0.0156587,0.0183465,0.0234997,0.0337613,0.0543207,0.0954434", \ - "0.0147255,0.0176965,0.0204511,0.0255609,0.0357065,0.0561459,0.0971847", \ - "0.0153126,0.0185468,0.0215832,0.0268717,0.0370052,0.0573334,0.0982348", \ - "0.0143636,0.0178783,0.0211970,0.0269349,0.0372448,0.0577329,0.0985651", \ - "0.0117283,0.0155508,0.0191341,0.0254003,0.0361697,0.0568938,0.0981179"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00254478,0.00384669,0.00526707,0.00816287,0.0142450,0.0269123,0.0525308", \ - "0.00254667,0.00384992,0.00526987,0.00816438,0.0142453,0.0269125,0.0525344", \ - "0.00288746,0.00406645,0.00541396,0.00823978,0.0142686,0.0269151,0.0525337", \ - "0.00387088,0.00500241,0.00624244,0.00883902,0.0145589,0.0269571,0.0525357", \ - "0.00508880,0.00623535,0.00742723,0.00985047,0.0151821,0.0272496,0.0525965", \ - "0.00664264,0.00786432,0.00908272,0.0114598,0.0165049,0.0279512,0.0528561", \ - "0.00852801,0.00984036,0.0111369,0.0135590,0.0184950,0.0295277,0.0536397"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00253133,0.00445752,0.00667725,0.0113326,0.0208920,0.0401151,0.0785752", \ - "0.00253271,0.00445973,0.00667812,0.0113334,0.0208912,0.0401099,0.0785645", \ - "0.00286647,0.00468272,0.00680737,0.0113675,0.0208944,0.0401190,0.0785756", \ - "0.00368566,0.00539335,0.00729600,0.0116088,0.0209993,0.0401283,0.0785727", \ - "0.00488011,0.00656431,0.00839967,0.0123236,0.0213626,0.0402952,0.0786061", \ - "0.00642611,0.00802332,0.00992307,0.0136392,0.0222139,0.0409217,0.0787980", \ - "0.00830983,0.00983863,0.0117275,0.0155006,0.0235234,0.0420448,0.0796219"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("6.929595,7.330048,7.585422,7.787000,7.865093,7.908137,7.918191", \ - "6.870821,7.298926,7.557842,7.742810,7.829308,7.878799,7.892992", \ - "7.751459,8.009465,8.171241,8.314209,8.380789,8.418550,8.431452", \ - "9.464212,9.625651,9.846464,10.023940,10.016690,10.001290,9.989716", \ - "12.830970,12.743840,12.790560,12.896630,12.975670,12.970710,12.896270", \ - "17.414470,17.389280,17.293850,17.349210,17.457430,17.492370,17.428350", \ - "23.357850,23.324110,23.166880,23.136580,23.407050,23.659820,23.677360"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("4.529831,4.724519,4.735781,4.876018,4.884184,4.845167,4.863246", \ - "4.506423,4.686276,4.700331,4.827012,4.847383,4.548126,4.040510", \ - "5.229381,5.291937,5.252093,5.299552,5.218096,5.300499,4.809705", \ - "7.406843,7.393611,7.260202,7.075826,6.877902,6.709257,6.719739", \ - "10.660940,10.782570,10.761770,10.699330,10.080860,9.972390,9.730718", \ - "15.177850,15.067610,15.133420,15.494530,15.325350,14.784590,14.443540", \ - "20.721530,20.391740,20.460350,20.952620,21.516470,21.665810,20.836510"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X4 - Cell Description : Combinational cell (BUF_X4) with drive strength X4 - *******************************************************************************************/ - - cell (BUF_X4) { - - drive_strength : 4; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 318.158312; - - leakage_power () { - when : "!A"; - value : 347.925000; - } - leakage_power () { - when : "A"; - value : 288.391625; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.446291; - fall_capacitance : 3.129258; - rise_capacitance : 3.446291; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0103035,0.0129278,0.0152130,0.0192851,0.0268745,0.0417384,0.0713968", \ - "0.0112946,0.0139064,0.0161886,0.0202608,0.0278527,0.0427190,0.0723785", \ - "0.0153770,0.0179779,0.0202420,0.0242954,0.0318663,0.0467128,0.0763631", \ - "0.0207596,0.0236041,0.0260340,0.0302527,0.0379066,0.0527064,0.0822927", \ - "0.0272841,0.0304260,0.0330861,0.0376042,0.0454756,0.0603280,0.0898772", \ - "0.0348846,0.0383603,0.0413166,0.0462873,0.0546984,0.0699159,0.0995020", \ - "0.0435606,0.0473719,0.0506502,0.0561478,0.0652972,0.0812845,0.111406"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00914321,0.0120775,0.0148251,0.0200907,0.0304571,0.0510977,0.0923080", \ - "0.00992833,0.0128497,0.0155879,0.0208477,0.0312155,0.0518589,0.0930750", \ - "0.0123227,0.0152379,0.0179354,0.0231080,0.0333990,0.0540062,0.0952051", \ - "0.0140126,0.0171458,0.0198957,0.0250205,0.0351995,0.0556904,0.0968102", \ - "0.0144670,0.0178751,0.0208943,0.0261800,0.0363547,0.0567437,0.0977292", \ - "0.0133922,0.0170951,0.0203914,0.0261059,0.0364423,0.0570042,0.0979347", \ - "0.0106494,0.0146731,0.0182292,0.0244638,0.0352301,0.0560315,0.0973716"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00238862,0.00375769,0.00518457,0.00810303,0.0142271,0.0269360,0.0525947", \ - "0.00238936,0.00376173,0.00518745,0.00810446,0.0142274,0.0269358,0.0525963", \ - "0.00277602,0.00400680,0.00534524,0.00818372,0.0142500,0.0269376,0.0525925", \ - "0.00373970,0.00491620,0.00615191,0.00876212,0.0145337,0.0269808,0.0525954", \ - "0.00497095,0.00615754,0.00734053,0.00976227,0.0151366,0.0272684,0.0526622", \ - "0.00654286,0.00780509,0.00901080,0.0113762,0.0164552,0.0279801,0.0529261", \ - "0.00843875,0.00979613,0.0110781,0.0134844,0.0184363,0.0295653,0.0537343"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00235820,0.00441507,0.00665459,0.0113349,0.0209169,0.0401771,0.0786936", \ - "0.00235894,0.00441765,0.00665599,0.0113352,0.0209182,0.0401772,0.0786913", \ - "0.00270911,0.00464199,0.00678912,0.0113699,0.0209186,0.0401691,0.0787049", \ - "0.00354339,0.00533410,0.00725785,0.0116072,0.0210248,0.0401880,0.0787018", \ - "0.00476889,0.00650585,0.00834279,0.0123132,0.0214051,0.0403644,0.0787359", \ - "0.00634675,0.00797799,0.00986451,0.0136028,0.0222536,0.0410185,0.0789462", \ - "0.00826253,0.00981375,0.0116820,0.0154523,0.0235416,0.0421551,0.0797839"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.118000,13.976040,14.456510,14.770570,14.949940,15.015460,15.048910", \ - "13.107630,13.926050,14.402220,14.720550,14.905990,15.002010,15.036430", \ - "14.821540,15.364490,15.670290,15.919860,16.056740,16.115780,16.160490", \ - "18.543820,18.704080,19.034680,19.372140,19.376630,19.344430,19.329210", \ - "25.067860,24.998670,25.022190,25.172660,25.340550,25.347050,25.223960", \ - "34.448820,34.273380,34.046390,34.105200,34.348950,34.453410,34.326430", \ - "46.258260,46.174430,45.902380,45.701620,46.251590,46.804170,46.874310"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.457455,8.879182,9.023239,9.171421,9.061750,9.544874,8.729744", \ - "8.423179,8.821009,8.979248,8.955179,9.176894,9.196309,8.670608", \ - "10.047620,10.227110,10.150020,10.021160,9.985493,9.144377,9.615638", \ - "14.341880,14.436170,14.213070,13.534130,13.587820,12.782900,13.270200", \ - "21.059380,21.222160,21.193580,21.051860,20.186410,19.616030,19.432330", \ - "30.016980,29.773430,30.116370,30.488190,30.649220,29.228620,29.139480", \ - "41.198180,40.308650,40.441960,41.445080,42.835620,43.200250,41.741000"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X8 - Cell Description : Combinational cell (BUF_X8) with drive strength X8 - *******************************************************************************************/ - - cell (BUF_X8) { - - drive_strength : 8; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 636.353750; - - leakage_power () { - when : "!A"; - value : 695.901250; - } - leakage_power () { - when : "A"; - value : 576.806250; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.635351; - fall_capacitance : 6.033160; - rise_capacitance : 6.635351; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 484.009000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("0.0106783,0.0134152,0.0157348,0.0198588,0.0274978,0.0423902,0.0720716", \ - "0.0116942,0.0144211,0.0167386,0.0208637,0.0285052,0.0433993,0.0730833", \ - "0.0157820,0.0184881,0.0207855,0.0248934,0.0325175,0.0473954,0.0770694", \ - "0.0212154,0.0241512,0.0265969,0.0308555,0.0385680,0.0534060,0.0830192", \ - "0.0278043,0.0310400,0.0337047,0.0382448,0.0461684,0.0610686,0.0906480", \ - "0.0354673,0.0390431,0.0419986,0.0469788,0.0554262,0.0706906,0.100309", \ - "0.0442032,0.0481207,0.0513966,0.0568954,0.0660635,0.0820920,0.112241"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("0.00951158,0.0126258,0.0154393,0.0207680,0.0311882,0.0519009,0.0932456", \ - "0.0103016,0.0134036,0.0162084,0.0215321,0.0319539,0.0526715,0.0940184", \ - "0.0127145,0.0157838,0.0185497,0.0237969,0.0341486,0.0548313,0.0961674", \ - "0.0144819,0.0177159,0.0205169,0.0257264,0.0359795,0.0565507,0.0978106", \ - "0.0150056,0.0185113,0.0215433,0.0268990,0.0371578,0.0576314,0.0987588", \ - "0.0139921,0.0177995,0.0210979,0.0268408,0.0372688,0.0579189,0.0989897", \ - "0.0113047,0.0154403,0.0189943,0.0252370,0.0360701,0.0569674,0.0984435"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("0.00243875,0.00386331,0.00530672,0.00823523,0.0143439,0.0270360,0.0527093", \ - "0.00244014,0.00386716,0.00530973,0.00823678,0.0143442,0.0270364,0.0527080", \ - "0.00280121,0.00409309,0.00545762,0.00831433,0.0143687,0.0270391,0.0527092", \ - "0.00375046,0.00497160,0.00622944,0.00887548,0.0146546,0.0270844,0.0527101", \ - "0.00499328,0.00621191,0.00740318,0.00985493,0.0152588,0.0273789,0.0527752", \ - "0.00658238,0.00787040,0.00907604,0.0114583,0.0165688,0.0280943,0.0530401", \ - "0.00849441,0.00987788,0.0111546,0.0135636,0.0185376,0.0296795,0.0538471"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("0.00241039,0.00458226,0.00683130,0.0114977,0.0210845,0.0403981,0.0790727", \ - "0.00241051,0.00458477,0.00683332,0.0114987,0.0210840,0.0403978,0.0790581", \ - "0.00271174,0.00478650,0.00696212,0.0115379,0.0210877,0.0404007,0.0790536", \ - "0.00353817,0.00540875,0.00740303,0.0117850,0.0211995,0.0404255,0.0790663", \ - "0.00477784,0.00654742,0.00842893,0.0124781,0.0215839,0.0405951,0.0791012", \ - "0.00636791,0.00802448,0.00991961,0.0137277,0.0224397,0.0412313,0.0793004", \ - "0.00829433,0.00986883,0.0117364,0.0155362,0.0237138,0.0423751,0.0801253"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("26.067820,27.659320,28.558070,29.224990,29.567760,29.743930,29.810260", \ - "26.122370,27.678610,28.579770,29.267000,29.623220,29.813370,29.893040", \ - "29.888900,30.655140,31.241650,31.724300,31.979970,32.142050,32.199290", \ - "37.223910,37.458600,38.034200,38.694720,38.654890,38.619720,38.571990", \ - "50.456670,50.206730,50.131860,50.379600,50.675330,50.670900,50.381850", \ - "69.268560,68.880660,68.329710,68.353480,68.701930,68.837960,68.586420", \ - "92.855400,92.660800,91.998620,91.648410,92.553010,93.539890,93.668540"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("16.557320,17.659770,18.116910,18.253210,17.805980,18.087760,18.522020", \ - "16.757440,17.723200,17.956040,18.068300,18.717690,18.846110,15.934980", \ - "20.009870,20.375060,20.205790,20.332550,20.383320,20.597550,19.099140", \ - "28.813490,29.005970,28.481550,27.328030,27.158780,26.705020,25.937980", \ - "42.533240,42.587110,42.803340,42.290560,40.346650,39.392930,37.382650", \ - "60.318710,59.561180,60.054550,61.413210,61.445790,57.779860,58.254370", \ - "82.990480,80.997370,81.416930,83.205670,85.932420,87.462940,82.650460"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X16 - Cell Description : Combinational cell (BUF_X16) with drive strength X16 - *******************************************************************************************/ - - cell (BUF_X16) { - - drive_strength : 16; - - area : 6.650000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 1272.686250; - - leakage_power () { - when : "!A"; - value : 1391.737500; - } - leakage_power () { - when : "A"; - value : 1153.635000; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 12.399794; - fall_capacitance : 11.304038; - rise_capacitance : 12.399794; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 965.576000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("0.0111707,0.0139540,0.0162645,0.0203663,0.0279792,0.0428543,0.0725287", \ - "0.0121112,0.0148816,0.0171888,0.0212905,0.0289053,0.0437828,0.0734579", \ - "0.0161930,0.0189452,0.0212349,0.0253198,0.0329159,0.0477747,0.0774375", \ - "0.0217102,0.0247244,0.0271774,0.0314247,0.0391065,0.0539186,0.0835206", \ - "0.0283675,0.0316996,0.0343859,0.0389370,0.0468409,0.0617093,0.0912688", \ - "0.0360901,0.0397729,0.0427565,0.0477634,0.0562117,0.0714367,0.101023", \ - "0.0448813,0.0489128,0.0522212,0.0577523,0.0669385,0.0829326,0.113029"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("0.00998844,0.0131298,0.0159342,0.0212890,0.0318148,0.0527599,0.0945808", \ - "0.0107305,0.0138608,0.0166571,0.0220062,0.0325327,0.0534819,0.0953027", \ - "0.0132175,0.0163351,0.0190894,0.0243562,0.0348094,0.0557220,0.0975361", \ - "0.0150661,0.0183994,0.0212039,0.0264219,0.0367630,0.0575611,0.0992965", \ - "0.0156416,0.0192638,0.0223303,0.0277031,0.0380257,0.0587096,0.100311", \ - "0.0146604,0.0185933,0.0219353,0.0277248,0.0382078,0.0590425,0.100560", \ - "0.0119840,0.0162513,0.0198507,0.0261566,0.0370505,0.0581212,0.100009"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("0.00237436,0.00380175,0.00523034,0.00814622,0.0142586,0.0269670,0.0526556", \ - "0.00237740,0.00380589,0.00523366,0.00814783,0.0142590,0.0269663,0.0526562", \ - "0.00273942,0.00403050,0.00538278,0.00822435,0.0142818,0.0269693,0.0526542", \ - "0.00371702,0.00494548,0.00618486,0.00880055,0.0145648,0.0270114,0.0526580", \ - "0.00496000,0.00620345,0.00738911,0.00981195,0.0151769,0.0273006,0.0527196", \ - "0.00654020,0.00786409,0.00907199,0.0114405,0.0165016,0.0280009,0.0529785", \ - "0.00844095,0.00986494,0.0111483,0.0135558,0.0184926,0.0295749,0.0537628"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("0.00230669,0.00448863,0.00676150,0.0115043,0.0212354,0.0408077,0.0799590", \ - "0.00230881,0.00449137,0.00676332,0.0115048,0.0212354,0.0407998,0.0799579", \ - "0.00263591,0.00469742,0.00688518,0.0115400,0.0212397,0.0408052,0.0799444", \ - "0.00347797,0.00537218,0.00734509,0.0117723,0.0213398,0.0408186,0.0799562", \ - "0.00470515,0.00652762,0.00840570,0.0124542,0.0216886,0.0409757,0.0799914", \ - "0.00627191,0.00798135,0.00990361,0.0137161,0.0225174,0.0415775,0.0801755", \ - "0.00817100,0.00979077,0.0116933,0.0155254,0.0237700,0.0426859,0.0809354"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("52.101730,55.609460,57.491900,58.896380,59.557730,59.867000,59.946290", \ - "52.089420,55.724410,57.560080,58.953260,59.617370,59.947510,60.056860", \ - "59.191870,61.428570,62.816190,63.827910,64.266620,64.549430,64.624640", \ - "74.420600,75.161800,76.400010,77.790290,77.711880,77.559930,77.483610", \ - "100.794600,100.389900,100.499000,101.151700,101.814300,101.642100,101.081000", \ - "138.501600,137.519100,136.753400,137.000100,137.788700,137.978000,137.301400", \ - "185.265200,185.000100,183.921100,183.333600,185.294600,187.125400,187.217200"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("32.817900,34.891280,35.310880,35.885250,36.983240,36.311630,30.181340", \ - "32.938000,34.556000,35.045760,35.759040,36.823510,33.356610,37.233600", \ - "39.427820,40.339280,40.231660,40.120190,39.543630,37.944860,37.533200", \ - "57.416100,57.167030,56.009470,54.331470,52.569480,51.094210,53.038740", \ - "83.820350,84.180240,84.487720,82.656300,79.331660,75.549160,76.899880", \ - "119.015900,117.838300,118.889000,120.394300,119.500200,113.868700,106.291000", \ - "164.126700,159.877600,160.289100,164.843900,170.149300,169.560800,164.042400"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X32 - Cell Description : Combinational cell (BUF_X32) with drive strength X32 - *******************************************************************************************/ - - cell (BUF_X32) { - - drive_strength : 32; - - area : 13.034000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 2545.400000; - - leakage_power () { - when : "!A"; - value : 2783.587500; - } - leakage_power () { - when : "A"; - value : 2307.212500; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 26.945172; - fall_capacitance : 24.242679; - rise_capacitance : 26.945172; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 1904.300000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("0.0108003,0.0136715,0.0160298,0.0202025,0.0278956,0.0428496,0.0726374", \ - "0.0118176,0.0146793,0.0170368,0.0212110,0.0289070,0.0438633,0.0736533", \ - "0.0159152,0.0187479,0.0210855,0.0252457,0.0329274,0.0478666,0.0776460", \ - "0.0213826,0.0244420,0.0269215,0.0312277,0.0390011,0.0539016,0.0836184", \ - "0.0280300,0.0313808,0.0340696,0.0386406,0.0466107,0.0615720,0.0912504", \ - "0.0357714,0.0394586,0.0424291,0.0474229,0.0558888,0.0711859,0.100887", \ - "0.0446203,0.0486352,0.0519157,0.0574112,0.0665656,0.0825880,0.112775"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("0.00969818,0.0130883,0.0160689,0.0216920,0.0326606,0.0544506,0.0979410", \ - "0.0104901,0.0138674,0.0168399,0.0224591,0.0334310,0.0552243,0.0987195", \ - "0.0129049,0.0162351,0.0191675,0.0247153,0.0356282,0.0573962,0.100882", \ - "0.0146822,0.0181413,0.0210868,0.0265850,0.0374054,0.0590730,0.102496", \ - "0.0152088,0.0189269,0.0220715,0.0276755,0.0384651,0.0600178,0.103320", \ - "0.0141799,0.0181793,0.0215826,0.0275158,0.0384389,0.0601158,0.103315", \ - "0.0114405,0.0157502,0.0193916,0.0257997,0.0370320,0.0589444,0.102447"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("0.00246068,0.00392685,0.00538549,0.00833762,0.0144806,0.0272572,0.0531359", \ - "0.00246158,0.00393050,0.00538821,0.00833894,0.0144806,0.0272570,0.0531358", \ - "0.00281884,0.00414702,0.00552978,0.00841411,0.0145059,0.0272605,0.0531385", \ - "0.00377917,0.00502561,0.00629405,0.00897144,0.0147957,0.0273083,0.0531377", \ - "0.00503438,0.00626955,0.00746028,0.00993181,0.0153891,0.0276061,0.0532075", \ - "0.00664866,0.00794446,0.00913850,0.0115142,0.0166638,0.0282937,0.0534671", \ - "0.00859692,0.00997577,0.0112321,0.0136164,0.0185959,0.0298280,0.0542372"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("0.00245783,0.00481045,0.00719741,0.0121388,0.0222838,0.0427112,0.0836169", \ - "0.00245793,0.00481355,0.00719977,0.0121385,0.0222789,0.0427112,0.0836307", \ - "0.00273540,0.00499991,0.00731908,0.0121765,0.0222859,0.0427175,0.0836206", \ - "0.00353125,0.00555712,0.00770637,0.0123927,0.0223847,0.0427363,0.0836251", \ - "0.00474761,0.00663866,0.00864426,0.0130009,0.0226964,0.0428715,0.0836535", \ - "0.00631544,0.00806223,0.0100577,0.0141331,0.0234771,0.0434032,0.0838060", \ - "0.00821776,0.00984346,0.0118029,0.0158074,0.0246185,0.0444673,0.0844578"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("103.160100,110.014100,113.402900,116.085500,117.312000,117.740200,117.852400", \ - "104.711100,110.173200,113.584600,116.251200,117.581400,118.110900,118.316200", \ - "118.132700,121.643300,124.174600,126.135700,127.091100,127.507800,127.557500", \ - "147.493700,148.705200,150.827000,153.588600,153.354700,152.896800,152.504500", \ - "198.957500,198.426500,198.229000,199.153400,200.457800,199.734800,198.270600", \ - "272.918600,271.003400,269.641300,269.389100,270.556300,270.789600,268.931500", \ - "364.851200,364.753300,362.337200,360.975200,364.231100,367.101300,366.709400"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("64.448980,69.235950,70.015340,72.699390,73.384640,73.278090,56.894900", \ - "64.294950,69.048410,70.186530,72.246490,72.980970,72.939750,61.282390", \ - "77.156100,79.199560,79.742770,80.162290,80.629220,72.161720,74.597430", \ - "112.419100,111.835900,109.866600,106.835200,106.188200,98.572300,104.571000", \ - "164.219600,164.894200,164.209000,160.507300,153.727400,150.905700,150.735900", \ - "234.561400,230.917300,232.307500,236.252100,234.939000,224.790500,208.047200", \ - "319.662900,312.009400,312.464500,319.728100,329.261300,325.111900,318.617000"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKBUF_X1 - Cell Description : Combinational cell (CLKBUF_X1) with drive strength X1 - *******************************************************************************************/ - - cell (CLKBUF_X1) { - - drive_strength : 1; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 46.911481; - - leakage_power () { - when : "!A"; - value : 54.181375; - } - leakage_power () { - when : "A"; - value : 39.641587; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.782640; - fall_capacitance : 0.735428; - rise_capacitance : 0.782640; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.730000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0125066,0.0163929,0.0206886,0.0288603,0.0449409,0.0769860,0.141007", \ - "0.0134964,0.0173684,0.0216592,0.0298319,0.0459209,0.0779716,0.141994", \ - "0.0171837,0.0209627,0.0251896,0.0333076,0.0493699,0.0814188,0.145448", \ - "0.0213122,0.0252750,0.0295847,0.0376941,0.0536512,0.0856217,0.149615", \ - "0.0251226,0.0293415,0.0338598,0.0420705,0.0579623,0.0898342,0.153719", \ - "0.0282854,0.0328451,0.0376692,0.0462668,0.0623531,0.0941390,0.157915", \ - "0.0306149,0.0355488,0.0407244,0.0498450,0.0664421,0.0985833,0.162279"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0149350,0.0179674,0.0210899,0.0266211,0.0370276,0.0576073,0.0987788", \ - "0.0155581,0.0185875,0.0217081,0.0272391,0.0376463,0.0582267,0.0993992", \ - "0.0188214,0.0218067,0.0248974,0.0303970,0.0407757,0.0613363,0.102497", \ - "0.0235975,0.0268548,0.0301098,0.0356904,0.0460196,0.0664672,0.107555", \ - "0.0287195,0.0323369,0.0359035,0.0418086,0.0522017,0.0725606,0.113520", \ - "0.0341117,0.0381612,0.0421166,0.0486228,0.0594809,0.0799302,0.120792", \ - "0.0396407,0.0441545,0.0485507,0.0557401,0.0674454,0.0884028,0.129469"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00318535,0.00570202,0.00890743,0.0156114,0.0293744,0.0570456,0.112402", \ - "0.00318701,0.00570525,0.00891006,0.0156121,0.0293750,0.0570489,0.112400", \ - "0.00342487,0.00583651,0.00897655,0.0156283,0.0293761,0.0570471,0.112398", \ - "0.00431445,0.00659046,0.00951235,0.0158783,0.0294082,0.0570425,0.112406", \ - "0.00556012,0.00774363,0.0104956,0.0164422,0.0296687,0.0571103,0.112403", \ - "0.00718208,0.00934730,0.0120406,0.0177011,0.0303261,0.0573628,0.112449", \ - "0.00913041,0.0113342,0.0140145,0.0195524,0.0317674,0.0581505,0.112650"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00363714,0.00534711,0.00741811,0.0117303,0.0209473,0.0400751,0.0785927", \ - "0.00363845,0.00534755,0.00741909,0.0117309,0.0209508,0.0400779,0.0785781", \ - "0.00373689,0.00542128,0.00747744,0.0117607,0.0209587,0.0400743,0.0785766", \ - "0.00480551,0.00633856,0.00820616,0.0121741,0.0210806,0.0400897,0.0785777", \ - "0.00614179,0.00764961,0.00946927,0.0130901,0.0214727,0.0402090,0.0785869", \ - "0.00786059,0.00940385,0.0112525,0.0147936,0.0225519,0.0406376,0.0787062", \ - "0.00993668,0.0115439,0.0134385,0.0170830,0.0244442,0.0418494,0.0792145"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("3.011054,3.183323,3.241755,3.294222,3.325334,3.346025,3.352342", \ - "2.998019,3.173018,3.235077,3.282585,3.317495,3.339931,3.347806", \ - "3.224162,3.351265,3.387745,3.419518,3.447688,3.475552,3.487065", \ - "3.722765,3.871335,3.947214,3.937027,3.938891,3.949587,3.961732", \ - "4.757401,4.831255,4.887830,4.924079,4.932579,4.910007,4.901975", \ - "6.269549,6.301130,6.359741,6.412909,6.442238,6.425202,6.381289", \ - "8.268039,8.168245,8.221827,8.363703,8.501830,8.519384,8.439943"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("1.932608,2.036757,2.096177,2.110941,2.128213,2.116467,2.107325", \ - "1.887589,2.016171,2.036801,2.077591,1.963394,2.074009,1.810736", \ - "2.078755,2.148552,2.191819,2.148727,2.084072,2.109850,1.932239", \ - "2.760959,2.760449,2.722283,2.665070,2.521709,2.460414,2.475041", \ - "3.926060,3.872225,3.817066,3.752169,3.595393,3.378331,3.326990", \ - "5.557113,5.441328,5.413598,5.384770,5.203575,4.907615,4.760363", \ - "7.625154,7.524759,7.413350,7.391055,7.374869,7.144903,6.553701"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKBUF_X2 - Cell Description : Combinational cell (CLKBUF_X2) with drive strength X2 - *******************************************************************************************/ - - cell (CLKBUF_X2) { - - drive_strength : 2; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 95.497062; - - leakage_power () { - when : "!A"; - value : 109.776625; - } - leakage_power () { - when : "A"; - value : 81.217500; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.412621; - fall_capacitance : 1.320596; - rise_capacitance : 1.412621; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.460000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.0115697,0.0158806,0.0201345,0.0282884,0.0443705,0.0764192,0.140445", \ - "0.0125639,0.0168557,0.0211050,0.0292604,0.0453487,0.0774043,0.141434", \ - "0.0161635,0.0203658,0.0245508,0.0326510,0.0487160,0.0807704,0.144805", \ - "0.0201225,0.0245119,0.0287605,0.0368413,0.0528127,0.0847925,0.148794", \ - "0.0238745,0.0285563,0.0330011,0.0411615,0.0570639,0.0889547,0.152855", \ - "0.0270954,0.0321529,0.0368987,0.0454242,0.0615289,0.0933586,0.157156", \ - "0.0296269,0.0351004,0.0401895,0.0492201,0.0658212,0.0980516,0.161796"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.0131614,0.0164580,0.0194759,0.0249146,0.0352911,0.0558882,0.0970835", \ - "0.0137973,0.0170891,0.0201053,0.0255436,0.0359204,0.0565171,0.0977138", \ - "0.0170372,0.0202853,0.0232709,0.0286741,0.0390168,0.0595896,0.100772", \ - "0.0213069,0.0248788,0.0280250,0.0334908,0.0437847,0.0642491,0.105361", \ - "0.0258706,0.0298597,0.0333286,0.0391037,0.0494446,0.0698324,0.110827", \ - "0.0305387,0.0350254,0.0388854,0.0452659,0.0560432,0.0765714,0.117489", \ - "0.0352165,0.0402160,0.0445158,0.0515865,0.0631706,0.0842117,0.125421"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.00286660,0.00569624,0.00893926,0.0156950,0.0294845,0.0571600,0.112519", \ - "0.00286800,0.00569993,0.00894131,0.0156947,0.0294849,0.0571615,0.112522", \ - "0.00316128,0.00584190,0.00900669,0.0157094,0.0294850,0.0571578,0.112521", \ - "0.00402716,0.00655108,0.00949894,0.0159440,0.0295214,0.0571599,0.112519", \ - "0.00527486,0.00769396,0.0104428,0.0164691,0.0297743,0.0572305,0.112521", \ - "0.00689233,0.00927998,0.0119688,0.0176952,0.0304477,0.0574958,0.112577", \ - "0.00883349,0.0112643,0.0139283,0.0195066,0.0318932,0.0583271,0.112789"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.00323057,0.00513407,0.00723055,0.0116315,0.0209709,0.0401582,0.0786803", \ - "0.00323094,0.00513528,0.00723162,0.0116310,0.0209688,0.0401622,0.0786824", \ - "0.00344132,0.00525742,0.00731332,0.0116677,0.0209752,0.0401617,0.0786841", \ - "0.00449401,0.00616878,0.00801898,0.0120532,0.0210965,0.0401630,0.0786804", \ - "0.00585941,0.00750367,0.00929164,0.0129395,0.0214745,0.0403003,0.0786890", \ - "0.00760198,0.00927672,0.0110975,0.0146310,0.0225577,0.0407931,0.0788292", \ - "0.00969717,0.0114379,0.0133024,0.0169171,0.0244019,0.0420718,0.0794251"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("5.720473,6.080721,6.190796,6.281580,6.343193,6.373151,6.387719", \ - "5.720608,6.053856,6.164524,6.259458,6.322739,6.366317,6.382053", \ - "6.231206,6.428594,6.495483,6.558576,6.622419,6.674406,6.698998", \ - "7.250821,7.523431,7.677936,7.658038,7.674695,7.697432,7.721985", \ - "9.458800,9.579456,9.647008,9.741359,9.778493,9.743724,9.735670", \ - "12.680110,12.617480,12.735560,12.852460,12.941900,12.933320,12.858010", \ - "16.612470,16.533250,16.589520,16.881870,17.222670,17.303590,17.162590"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("3.664209,3.932656,4.034222,4.072085,4.018793,4.141161,3.346112", \ - "3.622028,3.889188,3.951609,4.029654,3.819481,3.952090,3.938691", \ - "4.015098,4.180171,4.263004,4.251304,3.987708,4.218670,4.008987", \ - "5.484151,5.455537,5.462050,5.289611,5.124615,4.914797,4.538474", \ - "7.941852,7.861798,7.773121,7.543922,7.343142,7.107110,6.373629", \ - "11.321290,11.103650,11.088810,11.047830,10.619140,10.276070,9.273848", \ - "15.435460,15.247270,15.132700,15.193140,15.109390,14.966690,14.107490"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKBUF_X3 - Cell Description : Combinational cell (CLKBUF_X3) with drive strength X3 - *******************************************************************************************/ - - cell (CLKBUF_X3) { - - drive_strength : 3; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 127.349500; - - leakage_power () { - when : "!A"; - value : 155.913750; - } - leakage_power () { - when : "A"; - value : 98.785250; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.421906; - fall_capacitance : 1.321099; - rise_capacitance : 1.421906; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 181.885000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("0.0132922,0.0179624,0.0223218,0.0305320,0.0466035,0.0786037,0.142538", \ - "0.0142771,0.0189362,0.0232908,0.0315008,0.0475739,0.0795801,0.143519", \ - "0.0181792,0.0227021,0.0269872,0.0351391,0.0511835,0.0831876,0.147125", \ - "0.0230345,0.0277144,0.0320493,0.0401685,0.0560722,0.0879746,0.151866", \ - "0.0276750,0.0326157,0.0371136,0.0453058,0.0611302,0.0928888,0.156657", \ - "0.0318748,0.0371786,0.0419423,0.0504691,0.0664186,0.0980459,0.161660", \ - "0.0354281,0.0411259,0.0462115,0.0552110,0.0716239,0.103490,0.166980"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("0.0154847,0.0191953,0.0224275,0.0281049,0.0386173,0.0592313,0.100435", \ - "0.0161280,0.0198352,0.0230657,0.0287427,0.0392552,0.0598689,0.101071", \ - "0.0193914,0.0230475,0.0262462,0.0318917,0.0423774,0.0629728,0.104162", \ - "0.0244029,0.0283476,0.0316692,0.0373743,0.0477959,0.0682676,0.109375", \ - "0.0296276,0.0339902,0.0375956,0.0436027,0.0540903,0.0744515,0.115401", \ - "0.0350597,0.0399018,0.0438817,0.0504477,0.0613802,0.0817891,0.122611", \ - "0.0405459,0.0458845,0.0502883,0.0574945,0.0692669,0.0901464,0.131099"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("0.00310655,0.00599309,0.00914229,0.0157512,0.0294417,0.0570588,0.112339", \ - "0.00310672,0.00599559,0.00914424,0.0157519,0.0294406,0.0570589,0.112337", \ - "0.00326331,0.00608617,0.00919867,0.0157689,0.0294427,0.0570601,0.112340", \ - "0.00431221,0.00687916,0.00976604,0.0160246,0.0294734,0.0570613,0.112337", \ - "0.00567990,0.00808943,0.0107871,0.0166456,0.0297534,0.0571184,0.112339", \ - "0.00744242,0.00980169,0.0123977,0.0179336,0.0303955,0.0573675,0.112384", \ - "0.00956610,0.0119427,0.0144867,0.0198607,0.0318459,0.0580949,0.112584"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("0.00380208,0.00576068,0.00785820,0.0121446,0.0212638,0.0403223,0.0788510", \ - "0.00380212,0.00576111,0.00785856,0.0121444,0.0212602,0.0403245,0.0788568", \ - "0.00385954,0.00581388,0.00790572,0.0121744,0.0212699,0.0403278,0.0788662", \ - "0.00507817,0.00677183,0.00865592,0.0126153,0.0214128,0.0403320,0.0788516", \ - "0.00657376,0.00820843,0.00999825,0.0136131,0.0218680,0.0404682,0.0788589", \ - "0.00849205,0.0101239,0.0118970,0.0154025,0.0230097,0.0409077,0.0789819", \ - "0.0108038,0.0124556,0.0142510,0.0178051,0.0250258,0.0421369,0.0794743"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("7.211302,7.667807,7.828419,7.969311,8.076746,8.132422,8.148719", \ - "7.206565,7.656628,7.822088,7.955450,8.058578,8.113036,8.141886", \ - "7.821943,8.035669,8.133439,8.211843,8.299660,8.368426,8.403566", \ - "9.301352,9.340105,9.385729,9.313747,9.300829,9.325251,9.343695", \ - "12.033040,11.638990,11.560780,11.498420,11.383250,11.286210,11.250040", \ - "15.869200,15.242490,15.015320,14.834960,14.668690,14.479340,14.321000", \ - "20.921800,20.012170,19.541790,19.334740,19.189440,18.980500,18.647960"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("5.702503,5.871772,6.027912,6.109545,6.040346,5.601151,5.038286", \ - "5.671929,5.761081,5.911067,6.038088,5.558024,5.757895,5.505764", \ - "5.980721,6.159845,6.155036,6.101683,6.003001,5.985516,5.863116", \ - "7.890676,7.604337,7.568932,7.368370,7.060866,7.086541,5.680132", \ - "10.902820,10.372440,10.213240,9.830796,9.006791,8.884602,7.406915", \ - "15.179700,14.394390,14.064030,13.512450,13.016650,12.023300,10.530550", \ - "20.333840,19.419160,18.842820,18.292290,18.029890,17.132330,15.503080"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATETST_X1 - Cell Description : Pos.edge clock gating cell with pre scan, drive strength X1 - *******************************************************************************************/ - - cell (CLKGATETST_X1) { - - drive_strength : 1; - - statetable ("CK E SE","IQ") { - table : "L L L : - : L ,\ - L L H : - : H ,\ - L H L : - : H ,\ - L H H : - : H ,\ - H - - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge_precontrol; - - area : 3.990000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 211.611135; - - leakage_power () { - when : "!CK & !E & !SE & !GCK"; - value : 225.373750; - } - leakage_power () { - when : "!CK & !E & SE & !GCK"; - value : 214.366000; - } - leakage_power () { - when : "!CK & E & !SE & !GCK"; - value : 204.389500; - } - leakage_power () { - when : "!CK & E & SE & !GCK"; - value : 220.572625; - } - leakage_power () { - when : "CK & !E & !SE & !GCK"; - value : 204.386625; - } - leakage_power () { - when : "CK & !E & !SE & GCK"; - value : 261.689125; - } - leakage_power () { - when : "CK & !E & SE & !GCK"; - value : 192.406375; - } - leakage_power () { - when : "CK & !E & SE & GCK"; - value : 212.956375; - } - leakage_power () { - when : "CK & E & !SE & !GCK"; - value : 182.431125; - } - leakage_power () { - when : "CK & E & !SE & GCK"; - value : 202.981125; - } - leakage_power () { - when : "CK & E & SE & !GCK"; - value : 198.615500; - } - leakage_power () { - when : "CK & E & SE & GCK"; - value : 219.165500; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 1.823470; - fall_capacitance : 1.738564; - rise_capacitance : 1.823470; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.039391,0.050051,0.146386"); - } - } - - internal_power () { - - when : "!E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.827634,4.834161,5.147904,6.019402,7.601322,10.053740,13.445520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.571972,2.553407,2.780431,3.610963,5.259378,7.769437,11.187460"); - } - - } - - internal_power () { - - when : "!E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.510574,9.502863,9.820391,10.691490,12.286090,14.875910,18.733370"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.515954,9.507379,9.824509,10.694900,12.288600,14.877930,18.734660"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.512430,9.504103,9.821541,10.692840,12.286680,14.876620,18.733140"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.883683; - fall_capacitance : 0.864697; - rise_capacitance : 0.883683; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.016729,-0.017401,-0.027408", \ - "-0.017257,-0.015833,-0.035207", \ - "0.101835,0.103831,0.084238"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.006369,-0.004520,-0.010332", \ - "-0.004069,-0.003201,-0.011457", \ - "0.068511,0.068516,0.055655"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.037632,0.038677,0.052183", \ - "0.047687,0.048403,0.061849", \ - "0.078184,0.078180,0.091046"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.046179,0.044806,0.064304", \ - "0.050747,0.049324,0.068702", \ - "0.044859,0.042864,0.062462"); - } - } - - internal_power () { - - when : "!CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.086891,8.047164,8.052062,8.421932,9.302756,10.789400,12.965090"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.389040,6.356025,6.441366,6.925072,7.986834,9.688298,12.066780"); - } - - } - - internal_power () { - - when : "!CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.638791,0.651723,0.652468,0.653152,0.652224,0.652664,0.651314"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.395740,-0.472464,-0.521992,-0.541389,-0.551464,-0.557724,-0.561366"); - } - - } - - internal_power () { - - when : "CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.291197,3.252261,3.260205,3.596439,4.412941,5.784073,7.768733"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.359921,1.328725,1.426795,1.900530,2.889502,4.421618,6.526914"); - } - - } - - internal_power () { - - when : "CK & !SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.141277,3.102715,3.111111,3.452573,4.275184,5.651603,7.640086"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.338734,1.307149,1.401537,1.868079,2.853447,4.385929,6.493012"); - } - - } - - internal_power () { - - when : "CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.639122,0.650945,0.652051,0.651686,0.651781,0.650643,0.650810"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.396507,-0.473135,-0.522999,-0.542441,-0.552635,-0.558807,-0.562461"); - } - - } - - internal_power () { - - when : "CK & SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.639077,0.650932,0.652040,0.652475,0.651782,0.652001,0.650811"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.396692,-0.473188,-0.523003,-0.543241,-0.552598,-0.560191,-0.562487"); - } - - } - } - - pin (SE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_test_pin : true; - capacitance : 0.787225; - fall_capacitance : 0.725515; - rise_capacitance : 0.787225; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.014899,-0.015257,-0.025544", \ - "-0.014809,-0.013068,-0.032715", \ - "0.109548,0.111575,0.092090"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.005770,-0.003612,-0.009415", \ - "-0.001611,-0.000733,-0.009263", \ - "0.063575,0.063250,0.050630"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.035496,0.036532,0.050008", \ - "0.048299,0.049017,0.062472", \ - "0.083120,0.083446,0.096071"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.044958,0.043274,0.063061", \ - "0.048299,0.046559,0.066210", \ - "0.037145,0.035119,0.054610"); - } - } - - internal_power () { - - when : "!CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.560734,7.533751,7.719503,8.309820,9.368480,11.043490,13.446250"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.021902,6.023051,6.130849,6.660045,7.804744,9.617821,12.143850"); - } - - } - - internal_power () { - - when : "!CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.157459,0.164009,0.163402,0.164132,0.163837,0.164938,0.164173"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.151951,-0.154025,-0.151837,-0.151809,-0.151838,-0.151970,-0.152127"); - } - - } - - internal_power () { - - when : "CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.765961,2.740094,2.927894,3.468118,4.442148,5.967776,8.129562"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.985754,0.989499,1.115529,1.639492,2.715771,4.360909,6.614452"); - } - - } - - internal_power () { - - when : "CK & !E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.616278,2.590208,2.778946,3.325469,4.305057,5.835008,7.999461"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.970753,0.975064,1.093219,1.614783,2.688521,4.336678,6.593642"); - } - - } - - internal_power () { - - when : "CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.156681,0.163129,0.162835,0.163549,0.163355,0.164231,0.163661"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.152352,-0.154295,-0.152717,-0.152834,-0.152936,-0.153077,-0.153236"); - } - - } - - internal_power () { - - when : "CK & E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.156427,0.162870,0.162608,0.163331,0.163138,0.164016,0.163445"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.152314,-0.154259,-0.152689,-0.153590,-0.152901,-0.154389,-0.153194"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.730000; - state_function : "(IQ & CK)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "!E & SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.013319,0.017307,0.021669,0.029879,0.045967,0.078011,0.142055", \ - "0.014255,0.018232,0.022590,0.030801,0.046889,0.078943,0.142990", \ - "0.018205,0.022081,0.026376,0.034540,0.050606,0.082670,0.146715", \ - "0.023520,0.027570,0.031952,0.040124,0.056089,0.088071,0.152091", \ - "0.029475,0.033769,0.038361,0.046661,0.062606,0.094489,0.158419", \ - "0.035854,0.040492,0.045375,0.054081,0.070271,0.102117,0.165924", \ - "0.042560,0.047574,0.052799,0.062026,0.078794,0.111061,0.174837"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.012411,0.015407,0.018523,0.024085,0.034539,0.055153,0.096359", \ - "0.013009,0.016000,0.019115,0.024676,0.035138,0.055762,0.096950", \ - "0.015736,0.018713,0.021806,0.027340,0.037769,0.058367,0.099547", \ - "0.018696,0.021891,0.025083,0.030678,0.041139,0.061659,0.102795", \ - "0.021146,0.024739,0.028248,0.034102,0.044641,0.065189,0.106258", \ - "0.022708,0.026771,0.030692,0.037116,0.048061,0.068902,0.110019", \ - "0.023221,0.027773,0.032144,0.039266,0.050923,0.072299,0.113978"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.003181,0.005714,0.008895,0.015540,0.029280,0.056962,0.112375", \ - "0.003180,0.005715,0.008896,0.015539,0.029281,0.056971,0.112374", \ - "0.003345,0.005818,0.008953,0.015556,0.029283,0.056984,0.112373", \ - "0.004191,0.006525,0.009474,0.015795,0.029309,0.056966,0.112364", \ - "0.005343,0.007599,0.010412,0.016377,0.029570,0.057021,0.112367", \ - "0.006847,0.009094,0.011874,0.017616,0.030252,0.057274,0.112404", \ - "0.008669,0.010963,0.013728,0.019409,0.031733,0.058108,0.112590"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.003125,0.004951,0.007131,0.011564,0.020837,0.040019,0.078556", \ - "0.003126,0.004953,0.007132,0.011560,0.020838,0.039980,0.078554", \ - "0.003386,0.005126,0.007244,0.011610,0.020847,0.039987,0.078541", \ - "0.004308,0.005855,0.007812,0.011985,0.021066,0.040013,0.078557", \ - "0.005643,0.007158,0.008987,0.012799,0.021476,0.040240,0.078552", \ - "0.007305,0.008869,0.010724,0.014351,0.022586,0.040941,0.078823", \ - "0.009283,0.010922,0.012836,0.016509,0.024255,0.042351,0.079718"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & !SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.013318,0.017307,0.021669,0.029879,0.045967,0.078011,0.142055", \ - "0.014255,0.018232,0.022590,0.030801,0.046889,0.078943,0.142990", \ - "0.018205,0.022081,0.026376,0.034540,0.050606,0.082670,0.146715", \ - "0.023520,0.027570,0.031952,0.040124,0.056089,0.088071,0.152091", \ - "0.029475,0.033769,0.038361,0.046661,0.062606,0.094489,0.158419", \ - "0.035854,0.040492,0.045375,0.054081,0.070271,0.102117,0.165924", \ - "0.042560,0.047574,0.052799,0.062026,0.078794,0.111061,0.174837"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.012411,0.015407,0.018523,0.024085,0.034539,0.055162,0.096359", \ - "0.013009,0.016000,0.019115,0.024676,0.035138,0.055762,0.096950", \ - "0.015736,0.018713,0.021806,0.027340,0.037769,0.058367,0.099547", \ - "0.018696,0.021891,0.025083,0.030678,0.041139,0.061659,0.102795", \ - "0.021146,0.024739,0.028248,0.034102,0.044641,0.065189,0.106258", \ - "0.022708,0.026771,0.030692,0.037116,0.048061,0.068902,0.110019", \ - "0.023221,0.027773,0.032144,0.039266,0.050923,0.072299,0.113978"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.003179,0.005714,0.008895,0.015540,0.029279,0.056962,0.112375", \ - "0.003180,0.005715,0.008896,0.015539,0.029281,0.056971,0.112374", \ - "0.003345,0.005818,0.008953,0.015556,0.029283,0.056984,0.112373", \ - "0.004191,0.006525,0.009474,0.015795,0.029309,0.056966,0.112364", \ - "0.005343,0.007599,0.010412,0.016377,0.029570,0.057021,0.112367", \ - "0.006847,0.009094,0.011874,0.017616,0.030252,0.057274,0.112404", \ - "0.008669,0.010963,0.013728,0.019409,0.031733,0.058108,0.112590"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.003126,0.004951,0.007131,0.011563,0.020839,0.040022,0.078556", \ - "0.003126,0.004953,0.007132,0.011560,0.020838,0.039979,0.078554", \ - "0.003386,0.005126,0.007244,0.011610,0.020847,0.039987,0.078541", \ - "0.004308,0.005855,0.007812,0.011985,0.021066,0.040002,0.078557", \ - "0.005643,0.007158,0.008987,0.012799,0.021476,0.040240,0.078552", \ - "0.007305,0.008869,0.010724,0.014351,0.022586,0.040941,0.078823", \ - "0.009283,0.010922,0.012836,0.016509,0.024255,0.042351,0.079718"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.013319,0.017307,0.021669,0.029879,0.045967,0.078011,0.142055", \ - "0.014255,0.018232,0.022590,0.030801,0.046889,0.078943,0.142984", \ - "0.018205,0.022080,0.026376,0.034540,0.050606,0.082668,0.146714", \ - "0.023522,0.027570,0.031952,0.040125,0.056089,0.088071,0.152091", \ - "0.029475,0.033769,0.038361,0.046661,0.062606,0.094489,0.158419", \ - "0.035854,0.040492,0.045375,0.054081,0.070271,0.102117,0.165924", \ - "0.042560,0.047574,0.052799,0.062026,0.078794,0.111061,0.174837"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.012411,0.015407,0.018523,0.024084,0.034539,0.055163,0.096357", \ - "0.013009,0.016000,0.019115,0.024676,0.035138,0.055765,0.096950", \ - "0.015736,0.018713,0.021806,0.027340,0.037769,0.058359,0.099547", \ - "0.018696,0.021891,0.025083,0.030678,0.041139,0.061659,0.102795", \ - "0.021146,0.024739,0.028248,0.034102,0.044641,0.065189,0.106258", \ - "0.022708,0.026771,0.030692,0.037116,0.048061,0.068902,0.110019", \ - "0.023221,0.027773,0.032144,0.039266,0.050923,0.072299,0.113978"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.003181,0.005713,0.008895,0.015540,0.029279,0.056961,0.112375", \ - "0.003180,0.005715,0.008896,0.015539,0.029281,0.056971,0.112388", \ - "0.003345,0.005817,0.008953,0.015556,0.029282,0.056976,0.112373", \ - "0.004193,0.006525,0.009474,0.015795,0.029309,0.056966,0.112362", \ - "0.005343,0.007599,0.010412,0.016377,0.029570,0.057021,0.112367", \ - "0.006847,0.009094,0.011874,0.017616,0.030252,0.057274,0.112404", \ - "0.008669,0.010963,0.013728,0.019409,0.031733,0.058108,0.112590"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.003126,0.004953,0.007133,0.011564,0.020843,0.039986,0.078554", \ - "0.003126,0.004953,0.007131,0.011560,0.020838,0.039980,0.078553", \ - "0.003386,0.005126,0.007244,0.011610,0.020847,0.039992,0.078531", \ - "0.004308,0.005855,0.007812,0.011985,0.021066,0.040002,0.078557", \ - "0.005643,0.007158,0.008987,0.012799,0.021476,0.040240,0.078552", \ - "0.007305,0.008869,0.010724,0.014351,0.022586,0.040941,0.078823", \ - "0.009283,0.010922,0.012836,0.016509,0.024255,0.042351,0.079718"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E & !SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.013392,0.017433,0.021796,0.029985,0.046058,0.078088,0.142124", \ - "0.014329,0.018357,0.022716,0.030909,0.046985,0.079019,0.143061", \ - "0.018293,0.022216,0.026512,0.034648,0.050704,0.082740,0.146787", \ - "0.023701,0.027793,0.032135,0.040190,0.056118,0.088084,0.152096", \ - "0.029779,0.034114,0.038609,0.046619,0.062402,0.094235,0.158159", \ - "0.036283,0.040925,0.045648,0.053725,0.069269,0.100892,0.164686", \ - "0.043091,0.048065,0.053026,0.061267,0.076571,0.107881,0.171487"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.003238,0.005773,0.008877,0.015481,0.029189,0.056852,0.112256", \ - "0.003235,0.005776,0.008881,0.015481,0.029188,0.056857,0.112270", \ - "0.003398,0.005873,0.008932,0.015493,0.029188,0.056860,0.112270", \ - "0.004283,0.006572,0.009361,0.015622,0.029202,0.056860,0.112254", \ - "0.005491,0.007626,0.010140,0.015927,0.029300,0.056879,0.112251", \ - "0.007027,0.009028,0.011307,0.016462,0.029385,0.056921,0.112251", \ - "0.008813,0.010697,0.012751,0.017277,0.029514,0.056945,0.112285"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("7.778904,9.794934,11.208060,11.579430,11.667410,11.718210,11.750180", \ - "7.675527,9.698886,11.168900,11.551900,11.638540,11.687060,11.720290", \ - "8.250218,10.298570,11.617020,12.036480,12.121310,12.171500,12.209580", \ - "9.576035,11.764030,13.257180,13.627480,13.687340,13.731280,13.767560", \ - "12.116190,14.341220,15.958820,16.564300,16.649260,16.652400,16.664620", \ - "15.814540,17.939370,19.798500,20.673770,20.915680,20.951760,20.919630", \ - "20.535630,22.531050,24.477560,25.900480,26.404160,26.628110,26.545120"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("6.752212,7.422561,7.623217,7.707126,7.736091,7.756909,7.764042", \ - "6.675429,7.383314,7.586578,7.670930,7.711440,7.729654,7.739978", \ - "7.221340,7.890153,8.061924,8.142394,8.180377,8.204461,8.217882", \ - "8.429501,9.298599,9.623232,9.662625,9.670640,9.677708,9.690999", \ - "10.926590,11.805710,12.236490,12.475970,12.509450,12.482300,12.479290", \ - "14.510480,15.480710,16.149670,16.616070,16.843260,16.849200,16.811040", \ - "19.072330,20.097940,21.041080,21.997550,22.652790,22.894840,22.798920"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("4.293094,4.943535,5.252257,5.281980,5.291178,4.588309,5.262959", \ - "4.222680,4.970971,5.119167,5.296188,5.146548,4.859660,5.189235", \ - "4.731739,5.420659,5.582236,5.663854,5.423987,5.458039,5.355005", \ - "6.630506,6.987554,7.086488,6.972764,6.908370,6.837387,6.374648", \ - "9.668644,10.052540,10.206600,10.166120,9.936897,9.640443,9.514553", \ - "13.485550,13.819240,14.092820,14.352770,14.535800,14.324940,14.148330", \ - "18.220190,18.524870,18.807430,19.507340,20.266340,20.429360,19.737470"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("6.710558,7.422291,7.622720,7.707127,7.734731,7.756829,7.763991", \ - "6.675456,7.383538,7.586330,7.670668,7.710495,7.730877,7.739863", \ - "7.221607,7.890174,8.061944,8.142399,8.180299,8.204378,8.217768", \ - "8.429022,9.298666,9.623807,9.662634,9.670411,9.678349,9.690952", \ - "10.926600,11.805740,12.236440,12.476580,12.509360,12.482290,12.480380", \ - "14.510520,15.480740,16.149700,16.616070,16.843200,16.849110,16.811050", \ - "19.072070,20.097980,21.041100,21.997550,22.652850,22.895250,22.798850"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("4.292103,4.959391,5.253761,5.281953,5.291248,4.594505,5.262938", \ - "4.222718,4.971233,5.117105,5.296680,5.146510,4.862107,5.189180", \ - "4.731224,5.420685,5.582288,5.664237,5.423949,5.460411,5.355032", \ - "6.630510,6.987488,7.086512,6.972771,6.909829,6.677897,6.374576", \ - "9.668641,10.052570,10.206660,10.166200,9.936859,9.640658,9.514554", \ - "13.485570,13.819740,14.092830,14.352810,14.536270,14.324930,14.148290", \ - "18.220230,18.524990,18.807470,19.507340,20.267400,20.429360,19.736180"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("6.748456,7.410467,7.619995,7.707063,7.735173,7.757670,7.763912", \ - "6.675539,7.383362,7.588969,7.670607,7.710432,7.730868,7.739572", \ - "7.221557,7.893689,8.062007,8.142359,8.179756,8.204412,8.217741", \ - "8.436879,9.299001,9.623550,9.661023,9.670425,9.678481,9.691032", \ - "10.926410,11.806090,12.236280,12.480350,12.509330,12.482290,12.480360", \ - "14.510390,15.480980,16.150180,16.616310,16.843120,16.849080,16.811060", \ - "19.072110,20.097660,21.041250,21.997630,22.652640,22.895240,22.798830"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("4.268832,5.028763,5.191612,5.282171,5.291362,4.817541,5.262599", \ - "4.223101,4.975770,5.206102,5.296647,5.150482,4.964187,5.188782", \ - "4.732005,5.419879,5.581703,5.664177,5.423921,5.459851,5.355052", \ - "6.630263,6.985691,7.086317,6.973029,6.908831,6.691066,6.372128", \ - "9.668875,10.052210,10.206560,10.165130,9.936828,9.640657,9.514552", \ - "13.484750,13.819540,14.092780,14.352610,14.535820,14.324920,14.147190", \ - "18.220150,18.524910,18.807460,19.507400,20.267170,20.429350,19.736200"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATETST_X2 - Cell Description : Pos.edge clock gating cell with pre scan, drive strength X2 - *******************************************************************************************/ - - cell (CLKGATETST_X2) { - - drive_strength : 2; - - statetable ("CK E SE","IQ") { - table : "L L L : - : L ,\ - L L H : - : H ,\ - L H L : - : H ,\ - L H H : - : H ,\ - H - - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge_precontrol; - - area : 4.256000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 283.679646; - - leakage_power () { - when : "!CK & !E & !SE & !GCK"; - value : 276.548750; - } - leakage_power () { - when : "!CK & !E & SE & !GCK"; - value : 300.069750; - } - leakage_power () { - when : "!CK & E & !SE & !GCK"; - value : 290.093250; - } - leakage_power () { - when : "!CK & E & SE & !GCK"; - value : 306.276375; - } - leakage_power () { - when : "CK & !E & !SE & !GCK"; - value : 256.841250; - } - leakage_power () { - when : "CK & !E & !SE & GCK"; - value : 348.392125; - } - leakage_power () { - when : "CK & !E & SE & !GCK"; - value : 244.868500; - } - leakage_power () { - when : "CK & !E & SE & GCK"; - value : 299.620750; - } - leakage_power () { - when : "CK & E & !SE & !GCK"; - value : 234.893250; - } - leakage_power () { - when : "CK & E & !SE & GCK"; - value : 289.645500; - } - leakage_power () { - when : "CK & E & SE & !GCK"; - value : 251.077625; - } - leakage_power () { - when : "CK & E & SE & GCK"; - value : 305.828625; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 2.841860; - fall_capacitance : 2.745147; - rise_capacitance : 2.841860; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.042748,0.053118,0.146386"); - } - } - - internal_power () { - - when : "!E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.052269,6.056183,6.360494,7.234377,8.812615,11.261920,14.657430"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.546197,1.528473,1.755828,2.569665,4.203753,6.712218,10.147100"); - } - - } - - internal_power () { - - when : "!E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.855390,10.841890,11.150770,12.021330,13.611200,16.299180,20.576690"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.860010,10.846500,11.155740,12.024400,13.615020,16.300990,20.578870"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.856310,10.843270,11.152260,12.021090,13.611940,16.299690,20.577330"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.879888; - fall_capacitance : 0.857782; - rise_capacitance : 0.879888; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.016095,-0.016154,-0.025512", \ - "-0.019705,-0.017676,-0.034884", \ - "0.100292,0.102282,0.083295"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.006369,-0.003602,-0.009089", \ - "-0.004070,-0.002896,-0.010524", \ - "0.069128,0.069446,0.056912"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.037632,0.038064,0.051562", \ - "0.047381,0.047788,0.060915", \ - "0.077566,0.077251,0.089789"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.048926,0.046952,0.066168", \ - "0.053195,0.051167,0.070572", \ - "0.046402,0.044413,0.063405"); - } - } - - internal_power () { - - when : "!CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.338666,8.299320,8.306429,8.683723,9.575528,11.076510,13.263740"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.341107,6.307176,6.397050,6.890701,7.956407,9.652950,12.025000"); - } - - } - - internal_power () { - - when : "!CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.638849,0.652643,0.652614,0.653693,0.652820,0.653766,0.652245"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.395035,-0.472381,-0.521235,-0.540515,-0.550654,-0.556881,-0.560300"); - } - - } - - internal_power () { - - when : "CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.165722,3.126462,3.137707,3.483399,4.317428,5.711761,7.716059"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.233576,1.201690,1.306104,1.794164,2.798355,4.340047,6.454501"); - } - - } - - internal_power () { - - when : "CK & !SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.012500,2.973230,2.985622,3.337960,4.178068,5.577883,7.586789"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.219147,1.186878,1.280124,1.762265,2.762016,4.305947,6.421344"); - } - - } - - internal_power () { - - when : "CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.639522,0.651561,0.652130,0.651854,0.652252,0.650925,0.651516"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.396184,-0.473053,-0.522458,-0.541903,-0.552094,-0.558295,-0.561729"); - } - - } - - internal_power () { - - when : "CK & SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.639525,0.651682,0.652160,0.653022,0.652299,0.652923,0.651599"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.396292,-0.473107,-0.522432,-0.542947,-0.551969,-0.560189,-0.561616"); - } - - } - } - - pin (SE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_test_pin : true; - capacitance : 0.821397; - fall_capacitance : 0.760699; - rise_capacitance : 0.821397; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.013960,-0.014316,-0.023648", \ - "-0.016951,-0.015219,-0.034272", \ - "0.108006,0.110026,0.090834"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.005466,-0.003001,-0.008170", \ - "-0.001612,-0.000428,-0.008017", \ - "0.064192,0.064489,0.051886"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.035190,0.035919,0.049386", \ - "0.047993,0.048403,0.061538", \ - "0.082503,0.082207,0.094815"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.047400,0.045726,0.064925", \ - "0.050441,0.048710,0.067768", \ - "0.038688,0.036668,0.055866"); - } - } - - internal_power () { - - when : "!CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.812369,7.786849,7.979744,8.571706,9.632189,11.314660,13.725710"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.969992,5.969697,6.086126,6.634729,7.788347,9.597906,12.123920"); - } - - } - - internal_power () { - - when : "!CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.157776,0.164745,0.163577,0.164601,0.164130,0.165754,0.164657"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.151355,-0.153900,-0.150978,-0.150984,-0.151034,-0.151133,-0.151279"); - } - - } - - internal_power () { - - when : "CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.639510,2.615151,2.810864,3.358987,4.346532,5.894371,8.076649"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.857697,0.860992,0.996634,1.542575,2.636802,4.293492,6.558094"); - } - - } - - internal_power () { - - when : "CK & !E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.486271,2.462183,2.658889,3.214778,4.207917,5.760199,7.946135"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.844528,0.847220,0.974869,1.518344,2.609908,4.270036,6.537982"); - } - - } - - internal_power () { - - when : "CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.156960,0.163512,0.162919,0.163827,0.163543,0.164741,0.163944"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.151925,-0.154178,-0.152215,-0.152352,-0.152442,-0.152569,-0.152728"); - } - - } - - internal_power () { - - when : "CK & E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.156759,0.163417,0.162727,0.163691,0.163388,0.164664,0.163816"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.151782,-0.154124,-0.152043,-0.153339,-0.152268,-0.154386,-0.152545"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.460000; - state_function : "(IQ & CK)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "!E & SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.012488,0.016936,0.021262,0.029452,0.045535,0.077594,0.141649", \ - "0.013431,0.017866,0.022188,0.030381,0.046474,0.078534,0.142586", \ - "0.017330,0.021652,0.025913,0.034057,0.050127,0.082195,0.146253", \ - "0.022414,0.026936,0.031276,0.039427,0.055395,0.087387,0.151417", \ - "0.028125,0.032925,0.037466,0.045735,0.061684,0.093583,0.157518", \ - "0.034204,0.039382,0.044224,0.052891,0.069090,0.100959,0.164774", \ - "0.040568,0.046175,0.051351,0.060534,0.077303,0.109623,0.173428"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.011717,0.015082,0.018170,0.023708,0.034156,0.054784,0.096003", \ - "0.012320,0.015679,0.018766,0.024302,0.034757,0.055381,0.096607", \ - "0.015016,0.018362,0.021431,0.026942,0.037363,0.057968,0.099177", \ - "0.017882,0.021467,0.024621,0.030188,0.040649,0.061197,0.102344", \ - "0.020261,0.024304,0.027767,0.033577,0.044116,0.064687,0.105782", \ - "0.021795,0.026361,0.030228,0.036591,0.047520,0.068406,0.109562", \ - "0.022328,0.027436,0.031748,0.038802,0.050409,0.071839,0.113595"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.002872,0.005719,0.008926,0.015611,0.029377,0.057069,0.112496", \ - "0.002875,0.005722,0.008928,0.015612,0.029373,0.057066,0.112482", \ - "0.003086,0.005832,0.008986,0.015628,0.029375,0.057072,0.112490", \ - "0.003924,0.006525,0.009490,0.015865,0.029402,0.057065,0.112485", \ - "0.005087,0.007606,0.010421,0.016430,0.029667,0.057125,0.112472", \ - "0.006603,0.009108,0.011883,0.017669,0.030368,0.057400,0.112523", \ - "0.008431,0.010980,0.013745,0.019456,0.031866,0.058265,0.112724"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.002907,0.004962,0.007142,0.011591,0.020907,0.040104,0.078656", \ - "0.002907,0.004960,0.007147,0.011589,0.020904,0.040102,0.078663", \ - "0.003203,0.005153,0.007269,0.011648,0.020916,0.040118,0.078658", \ - "0.004136,0.005854,0.007809,0.012021,0.021128,0.040101,0.078651", \ - "0.005478,0.007156,0.008976,0.012816,0.021564,0.040354,0.078685", \ - "0.007153,0.008868,0.010708,0.014345,0.022662,0.041071,0.078933", \ - "0.009132,0.010928,0.012823,0.016485,0.024295,0.042501,0.079872"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & !SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.012488,0.016935,0.021262,0.029453,0.045536,0.077594,0.141644", \ - "0.013431,0.017866,0.022188,0.030381,0.046474,0.078531,0.142581", \ - "0.017330,0.021652,0.025913,0.034057,0.050127,0.082195,0.146253", \ - "0.022414,0.026936,0.031276,0.039427,0.055395,0.087388,0.151417", \ - "0.028125,0.032925,0.037466,0.045735,0.061684,0.093583,0.157518", \ - "0.034204,0.039382,0.044224,0.052891,0.069090,0.100959,0.164774", \ - "0.040568,0.046175,0.051351,0.060534,0.077303,0.109623,0.173428"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.011717,0.015082,0.018170,0.023708,0.034158,0.054784,0.096004", \ - "0.012320,0.015679,0.018766,0.024302,0.034757,0.055381,0.096607", \ - "0.015016,0.018362,0.021431,0.026942,0.037363,0.057968,0.099177", \ - "0.017882,0.021467,0.024621,0.030188,0.040649,0.061197,0.102344", \ - "0.020261,0.024304,0.027767,0.033577,0.044116,0.064687,0.105782", \ - "0.021795,0.026361,0.030228,0.036591,0.047520,0.068406,0.109562", \ - "0.022328,0.027436,0.031748,0.038802,0.050409,0.071839,0.113595"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.002872,0.005720,0.008926,0.015612,0.029371,0.057069,0.112490", \ - "0.002875,0.005722,0.008928,0.015612,0.029374,0.057078,0.112473", \ - "0.003086,0.005832,0.008986,0.015628,0.029375,0.057072,0.112490", \ - "0.003924,0.006525,0.009490,0.015865,0.029402,0.057064,0.112485", \ - "0.005087,0.007606,0.010421,0.016430,0.029667,0.057125,0.112472", \ - "0.006603,0.009108,0.011883,0.017669,0.030368,0.057400,0.112523", \ - "0.008431,0.010980,0.013745,0.019456,0.031866,0.058265,0.112724"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.002907,0.004962,0.007147,0.011592,0.020924,0.040105,0.078651", \ - "0.002907,0.004960,0.007147,0.011589,0.020904,0.040102,0.078663", \ - "0.003203,0.005153,0.007269,0.011648,0.020916,0.040118,0.078658", \ - "0.004136,0.005854,0.007809,0.012021,0.021128,0.040101,0.078651", \ - "0.005478,0.007156,0.008976,0.012816,0.021564,0.040354,0.078685", \ - "0.007153,0.008868,0.010708,0.014345,0.022662,0.041071,0.078933", \ - "0.009132,0.010928,0.012823,0.016485,0.024295,0.042501,0.079872"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.012488,0.016936,0.021262,0.029452,0.045538,0.077595,0.141644", \ - "0.013431,0.017866,0.022187,0.030381,0.046472,0.078535,0.142587", \ - "0.017330,0.021652,0.025913,0.034056,0.050127,0.082194,0.146251", \ - "0.022414,0.026936,0.031276,0.039427,0.055395,0.087388,0.151417", \ - "0.028125,0.032925,0.037466,0.045735,0.061684,0.093583,0.157518", \ - "0.034204,0.039385,0.044224,0.052891,0.069090,0.100959,0.164774", \ - "0.040568,0.046175,0.051351,0.060534,0.077303,0.109623,0.173428"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.011718,0.015082,0.018170,0.023707,0.034157,0.054782,0.096004", \ - "0.012320,0.015680,0.018766,0.024302,0.034758,0.055381,0.096613", \ - "0.015016,0.018362,0.021431,0.026942,0.037363,0.057968,0.099177", \ - "0.017882,0.021467,0.024621,0.030188,0.040649,0.061194,0.102344", \ - "0.020261,0.024304,0.027767,0.033577,0.044116,0.064687,0.105782", \ - "0.021795,0.026361,0.030228,0.036591,0.047520,0.068406,0.109562", \ - "0.022328,0.027436,0.031748,0.038802,0.050409,0.071839,0.113595"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.002872,0.005719,0.008926,0.015611,0.029372,0.057072,0.112491", \ - "0.002874,0.005722,0.008927,0.015612,0.029376,0.057066,0.112491", \ - "0.003083,0.005832,0.008986,0.015628,0.029375,0.057070,0.112490", \ - "0.003924,0.006525,0.009490,0.015865,0.029402,0.057064,0.112485", \ - "0.005087,0.007606,0.010421,0.016430,0.029667,0.057125,0.112472", \ - "0.006602,0.009103,0.011883,0.017669,0.030368,0.057400,0.112523", \ - "0.008431,0.010980,0.013745,0.019456,0.031866,0.058265,0.112724"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.002907,0.004962,0.007145,0.011597,0.020926,0.040085,0.078637", \ - "0.002907,0.004961,0.007146,0.011589,0.020904,0.040096,0.078680", \ - "0.003202,0.005153,0.007269,0.011648,0.020916,0.040117,0.078653", \ - "0.004136,0.005854,0.007809,0.012021,0.021128,0.040102,0.078651", \ - "0.005478,0.007156,0.008976,0.012816,0.021565,0.040354,0.078685", \ - "0.007153,0.008868,0.010708,0.014345,0.022662,0.041071,0.078933", \ - "0.009132,0.010928,0.012823,0.016485,0.024295,0.042501,0.079872"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E & !SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.012535,0.017037,0.021402,0.029622,0.045718,0.077747,0.141789", \ - "0.013480,0.017965,0.022327,0.030551,0.046651,0.078688,0.142730", \ - "0.017394,0.021760,0.026058,0.034231,0.050313,0.082350,0.146395", \ - "0.022548,0.027116,0.031493,0.039614,0.055574,0.087539,0.151556", \ - "0.028351,0.033211,0.037788,0.045937,0.061773,0.093606,0.157536", \ - "0.034535,0.039783,0.044637,0.053006,0.068661,0.100280,0.164070", \ - "0.040994,0.046667,0.051831,0.060538,0.076048,0.107343,0.170929"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.002908,0.005795,0.008982,0.015623,0.029308,0.056963,0.112377", \ - "0.002910,0.005800,0.008984,0.015623,0.029309,0.056956,0.112377", \ - "0.003122,0.005910,0.009044,0.015641,0.029315,0.056962,0.112375", \ - "0.003995,0.006625,0.009526,0.015806,0.029328,0.056961,0.112371", \ - "0.005221,0.007741,0.010428,0.016217,0.029463,0.056979,0.112377", \ - "0.006798,0.009271,0.011815,0.017015,0.029612,0.057030,0.112383", \ - "0.008677,0.011143,0.013545,0.018191,0.029872,0.057066,0.112415"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("10.606230,13.389150,15.061160,15.709430,15.853480,15.931880,15.982630", \ - "10.595310,13.223200,14.993090,15.658520,15.807960,15.890440,15.934090", \ - "11.368340,13.919210,15.673300,16.315570,16.451710,16.541650,16.595770", \ - "13.152460,15.956100,17.957910,18.582420,18.678700,18.747340,18.801960", \ - "17.089480,19.693180,21.805790,22.860050,22.967940,22.958370,22.982840", \ - "22.711080,25.194380,27.410620,28.725410,29.053990,29.087820,29.020240", \ - "29.618160,31.850420,34.383490,36.267900,36.748810,36.964560,36.785030"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("9.875542,11.020390,11.271880,11.393780,11.449840,11.483690,11.495710", \ - "9.771367,10.929410,11.197130,11.328710,11.392470,11.432700,11.457120", \ - "10.601770,11.653480,11.875660,11.970910,12.030300,12.075790,12.112430", \ - "12.443530,13.730980,14.141260,14.182670,14.191860,14.203040,14.226370", \ - "16.075690,17.410100,17.936520,18.288560,18.320500,18.272420,18.268900", \ - "21.567610,22.844040,23.690620,24.328360,24.614210,24.613930,24.534080", \ - "28.343400,29.685270,30.789300,32.170850,33.057340,33.360020,33.158180"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("5.700687,6.607399,6.781845,6.973959,7.026857,5.934187,6.715600", \ - "5.545005,6.433067,6.757132,6.649558,6.600893,5.861273,5.442295", \ - "6.368518,7.197143,7.417256,7.150316,7.130201,5.909120,7.207442", \ - "9.022508,9.430313,9.503668,9.424108,9.465105,8.991867,8.465985", \ - "13.279870,13.920290,14.086790,13.986490,13.433060,13.541280,13.118210", \ - "19.125080,19.515550,19.751740,20.271540,20.091250,19.066540,18.902050", \ - "25.968280,26.338660,26.636370,27.593870,28.314140,28.398700,28.291460"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("9.871031,11.003080,11.267420,11.393620,11.451010,11.483650,11.495500", \ - "9.771156,10.929220,11.197070,11.328640,11.392220,11.436830,11.457160", \ - "10.601600,11.652790,11.875760,11.971020,12.030300,12.075370,12.112310", \ - "12.443420,13.730890,14.141660,14.182580,14.191780,14.202710,14.228220", \ - "16.075710,17.410020,17.937230,18.288520,18.320470,18.272400,18.268840", \ - "21.567540,22.843880,23.690620,24.328380,24.614360,24.613830,24.534080", \ - "28.343350,29.685230,30.789280,32.170820,33.057320,33.360000,33.159720"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("5.700971,6.622944,6.713702,6.973912,7.025852,5.934035,5.560327", \ - "5.545297,6.434318,6.757193,6.649540,6.600897,5.860282,5.442300", \ - "6.368763,7.197211,7.417845,7.150195,7.130116,5.909070,7.207481", \ - "9.022724,9.431111,9.503703,9.424045,9.465147,8.989271,8.465911", \ - "13.279790,13.920350,14.086800,13.985300,13.434160,13.541170,13.118210", \ - "19.125150,19.515890,19.751780,20.271960,20.091230,19.066140,18.902070", \ - "25.968330,26.338690,26.636400,27.595270,28.311760,28.398720,28.291440"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("9.870817,10.987460,11.259350,11.393760,11.450120,11.482870,11.495530", \ - "9.740006,10.927380,11.209040,11.328600,11.396950,11.432290,11.456810", \ - "10.610640,11.653180,11.876190,11.970720,12.030340,12.075680,12.111980", \ - "12.443590,13.730610,14.142030,14.182630,14.190260,14.202460,14.228220", \ - "16.076180,17.410390,17.938010,18.288570,18.320470,18.272440,18.268820", \ - "21.567390,22.815740,23.690710,24.328550,24.614200,24.613780,24.534080", \ - "28.343400,29.684180,30.789150,32.170880,33.057130,33.359990,33.159710"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("5.701464,6.609670,6.868030,6.982441,7.025830,6.996939,6.626544", \ - "5.614555,6.523085,6.746643,6.614571,6.622933,5.947896,6.507479", \ - "6.386044,7.195673,7.417652,7.151643,7.130312,5.909238,7.320962", \ - "9.022810,9.431117,9.503536,9.422538,9.465302,9.166838,8.465927", \ - "13.281200,13.919970,14.076570,13.986600,13.431840,13.541140,13.118230", \ - "19.126030,19.514480,19.751740,20.271970,20.091230,19.066520,18.901970", \ - "25.967770,26.338610,26.636400,27.594210,28.314090,28.398040,28.291390"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATETST_X4 - Cell Description : Pos.edge clock gating cell with pre scan, drive strength X4 - *******************************************************************************************/ - - cell (CLKGATETST_X4) { - - drive_strength : 4; - - statetable ("CK E SE","IQ") { - table : "L L L : - : L ,\ - L L H : - : H ,\ - L H L : - : H ,\ - L H H : - : H ,\ - H - - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge_precontrol; - - area : 5.320000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 466.382333; - - leakage_power () { - when : "!CK & !E & !SE & !GCK"; - value : 398.111250; - } - leakage_power () { - when : "!CK & !E & SE & !GCK"; - value : 373.543500; - } - leakage_power () { - when : "!CK & E & !SE & !GCK"; - value : 363.567000; - } - leakage_power () { - when : "!CK & E & SE & !GCK"; - value : 379.750000; - } - leakage_power () { - when : "CK & !E & !SE & !GCK"; - value : 512.564750; - } - leakage_power () { - when : "CK & !E & !SE & GCK"; - value : 555.302126; - } - leakage_power () { - when : "CK & !E & SE & !GCK"; - value : 500.683250; - } - leakage_power () { - when : "CK & !E & SE & GCK"; - value : 506.410625; - } - leakage_power () { - when : "CK & E & !SE & !GCK"; - value : 490.708000; - } - leakage_power () { - when : "CK & E & !SE & GCK"; - value : 496.435375; - } - leakage_power () { - when : "CK & E & SE & !GCK"; - value : 506.892375; - } - leakage_power () { - when : "CK & E & SE & GCK"; - value : 512.619750; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 4.513840; - fall_capacitance : 4.147691; - rise_capacitance : 4.513840; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.065639,0.071213,0.146386"); - } - } - - internal_power () { - - when : "!E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.474903,7.340734,7.583771,8.433535,9.990912,12.430790,15.816140"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.157010,0.135879,0.352509,1.164670,2.792265,5.293187,8.712692"); - } - - } - - internal_power () { - - when : "!E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("16.491540,16.338080,16.594720,17.449920,19.045610,21.635510,25.605480"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("16.497190,16.343860,16.600040,17.454310,19.048700,21.638860,25.608750"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("16.492290,16.338660,16.595750,17.449550,19.045410,21.636220,25.605410"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.939936; - fall_capacitance : 0.921563; - rise_capacitance : 0.939936; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.017061,-0.016265,-0.025997", \ - "-0.026010,-0.025281,-0.035208", \ - "0.073448,0.079978,0.047489"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.007244,-0.004783,-0.010561", \ - "-0.005270,-0.004404,-0.012965", \ - "0.061723,0.061701,0.046861"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.043737,0.044500,0.060574", \ - "0.053501,0.054547,0.070260", \ - "0.084972,0.084995,0.099841"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.073955,0.067485,0.099732", \ - "0.078896,0.072364,0.104838", \ - "0.073247,0.066718,0.099212"); - } - } - - internal_power () { - - when : "!CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("12.535590,12.498140,12.497340,12.848780,13.705880,15.165270,17.320520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.161590,10.128510,10.209980,10.670430,11.688510,13.342720,15.686210"); - } - - } - - internal_power () { - - when : "!CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.638995,0.653123,0.652644,0.653976,0.652806,0.654204,0.652258"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394764,-0.472541,-0.520420,-0.539803,-0.549893,-0.556124,-0.559575"); - } - - } - - internal_power () { - - when : "CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.639088,3.602475,3.604470,3.927593,4.721786,6.062218,8.016381"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.361792,1.329299,1.422945,1.878728,2.850291,4.365295,6.462072"); - } - - } - - internal_power () { - - when : "CK & !SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.492494,3.455959,3.458105,3.785884,4.584754,5.930825,7.888413"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.344622,1.312337,1.403465,1.849860,2.814420,4.332064,6.427960"); - } - - } - - internal_power () { - - when : "CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.640162,0.652832,0.652319,0.651645,0.652620,0.650628,0.652147"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394997,-0.473150,-0.520768,-0.540183,-0.550351,-0.556542,-0.560004"); - } - - } - - internal_power () { - - when : "CK & SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.640179,0.652921,0.652325,0.653756,0.652637,0.654244,0.652175"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.395167,-0.473187,-0.520730,-0.542248,-0.550290,-0.560132,-0.559976"); - } - - } - } - - pin (SE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_test_pin : true; - capacitance : 0.824100; - fall_capacitance : 0.764550; - rise_capacitance : 0.824100; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.014929,-0.014428,-0.023821", \ - "-0.026337,-0.025606,-0.035866", \ - "0.080545,0.087413,0.054713"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.006343,-0.004185,-0.009337", \ - "-0.003115,-0.002242,-0.010768", \ - "0.056478,0.056435,0.041521"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.041295,0.042355,0.058088", \ - "0.054419,0.055161,0.070883", \ - "0.090217,0.090262,0.105180"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.072429,0.066259,0.098489", \ - "0.076448,0.069907,0.102346", \ - "0.066150,0.059283,0.091988"); - } - } - - internal_power () { - - when : "!CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("12.012130,11.983850,12.157410,12.727840,13.765590,15.404820,17.782100"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.792797,9.793647,9.895458,10.395500,11.499840,13.272620,15.764490"); - } - - } - - internal_power () { - - when : "!CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.158113,0.165479,0.163703,0.165062,0.164464,0.166510,0.165124"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.150746,-0.153795,-0.150271,-0.150232,-0.150251,-0.150379,-0.150546"); - } - - } - - internal_power () { - - when : "CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.115317,3.088585,3.264238,3.794441,4.750044,6.248186,8.379306"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.990388,0.993109,1.110854,1.607342,2.661487,4.288364,6.530058"); - } - - } - - internal_power () { - - when : "CK & !E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.968864,2.942532,3.118304,3.653973,4.615374,6.116530,8.250616"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.975519,0.974297,1.085888,1.581547,2.632890,4.264966,6.507995"); - } - - } - - internal_power () { - - when : "CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.157638,0.165201,0.163270,0.164836,0.164221,0.166441,0.164984"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.150683,-0.154092,-0.150490,-0.150573,-0.150658,-0.150803,-0.150979"); - } - - } - - internal_power () { - - when : "CK & E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.157419,0.164984,0.163053,0.164651,0.164025,0.166275,0.164800"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.150598,-0.154045,-0.150400,-0.152584,-0.150559,-0.154315,-0.150880"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.920000; - state_function : "(IQ & CK)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "!E & SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.013495,0.018285,0.022650,0.030880,0.047000,0.079088,0.143193", \ - "0.014441,0.019218,0.023580,0.031810,0.047937,0.080025,0.144129", \ - "0.018589,0.023251,0.027552,0.035732,0.051836,0.083929,0.148036", \ - "0.024613,0.029452,0.033802,0.041957,0.057951,0.089973,0.154053", \ - "0.031814,0.036893,0.041363,0.049545,0.065481,0.097409,0.161410", \ - "0.040236,0.045638,0.050308,0.058677,0.074591,0.106403,0.170296", \ - "0.049819,0.055597,0.060513,0.069211,0.085345,0.117129,0.180924"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.013333,0.016927,0.020030,0.025587,0.036082,0.056799,0.098157", \ - "0.013755,0.017348,0.020452,0.026010,0.036505,0.057218,0.098580", \ - "0.014902,0.018503,0.021605,0.027161,0.037641,0.058338,0.099706", \ - "0.016511,0.020245,0.023428,0.029062,0.039586,0.060262,0.101580", \ - "0.017558,0.021683,0.025099,0.031003,0.041751,0.062498,0.103770", \ - "0.017269,0.021879,0.025626,0.031952,0.043180,0.064489,0.105920", \ - "0.015384,0.020496,0.024609,0.031472,0.043250,0.065342,0.107730"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.002925,0.005887,0.009057,0.015701,0.029440,0.057137,0.112590", \ - "0.002925,0.005891,0.009059,0.015702,0.029442,0.057134,0.112592", \ - "0.003045,0.005963,0.009100,0.015716,0.029445,0.057135,0.112591", \ - "0.003885,0.006591,0.009536,0.015904,0.029468,0.057134,0.112600", \ - "0.004920,0.007468,0.010240,0.016315,0.029678,0.057185,0.112605", \ - "0.006247,0.008687,0.011338,0.017084,0.029999,0.057361,0.112628", \ - "0.007834,0.010221,0.012790,0.018328,0.030774,0.057694,0.112762"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.002941,0.005057,0.007232,0.011682,0.021024,0.040287,0.078955", \ - "0.002943,0.005061,0.007231,0.011679,0.021035,0.040266,0.078957", \ - "0.003072,0.005160,0.007298,0.011714,0.021044,0.040260,0.078963", \ - "0.003575,0.005593,0.007687,0.011990,0.021159,0.040304,0.078935", \ - "0.004630,0.006570,0.008606,0.012768,0.021691,0.040494,0.078997", \ - "0.005941,0.007912,0.009931,0.014001,0.022857,0.041400,0.079209", \ - "0.007458,0.009507,0.011563,0.015587,0.024296,0.043107,0.080403"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & !SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.013495,0.018285,0.022650,0.030880,0.047000,0.079088,0.143195", \ - "0.014441,0.019218,0.023580,0.031811,0.047937,0.080030,0.144129", \ - "0.018589,0.023251,0.027552,0.035732,0.051836,0.083929,0.148036", \ - "0.024611,0.029452,0.033802,0.041957,0.057951,0.089973,0.154053", \ - "0.031814,0.036893,0.041363,0.049545,0.065481,0.097409,0.161410", \ - "0.040236,0.045638,0.050308,0.058677,0.074591,0.106403,0.170296", \ - "0.049819,0.055597,0.060513,0.069211,0.085345,0.117129,0.180924"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.013333,0.016927,0.020030,0.025587,0.036082,0.056799,0.098157", \ - "0.013755,0.017348,0.020452,0.026010,0.036505,0.057218,0.098580", \ - "0.014902,0.018503,0.021605,0.027161,0.037641,0.058338,0.099706", \ - "0.016511,0.020245,0.023428,0.029062,0.039586,0.060262,0.101580", \ - "0.017558,0.021683,0.025099,0.031003,0.041751,0.062498,0.103770", \ - "0.017269,0.021879,0.025626,0.031952,0.043180,0.064489,0.105920", \ - "0.015384,0.020496,0.024609,0.031472,0.043250,0.065342,0.107730"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.002925,0.005887,0.009057,0.015701,0.029441,0.057137,0.112592", \ - "0.002925,0.005891,0.009059,0.015702,0.029443,0.057133,0.112592", \ - "0.003045,0.005963,0.009100,0.015716,0.029445,0.057135,0.112591", \ - "0.003884,0.006591,0.009535,0.015904,0.029468,0.057134,0.112600", \ - "0.004920,0.007468,0.010240,0.016315,0.029678,0.057185,0.112605", \ - "0.006247,0.008687,0.011338,0.017084,0.029999,0.057361,0.112628", \ - "0.007834,0.010221,0.012790,0.018328,0.030774,0.057694,0.112762"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.002941,0.005055,0.007232,0.011684,0.021024,0.040287,0.078955", \ - "0.002943,0.005057,0.007231,0.011679,0.021035,0.040266,0.078957", \ - "0.003072,0.005160,0.007298,0.011714,0.021044,0.040260,0.078963", \ - "0.003575,0.005593,0.007687,0.011990,0.021159,0.040304,0.078935", \ - "0.004630,0.006570,0.008606,0.012768,0.021691,0.040494,0.078997", \ - "0.005941,0.007912,0.009931,0.014000,0.022857,0.041400,0.079209", \ - "0.007458,0.009507,0.011563,0.015587,0.024296,0.043107,0.080403"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.013494,0.018285,0.022650,0.030880,0.047000,0.079088,0.143192", \ - "0.014441,0.019219,0.023579,0.031810,0.047937,0.080026,0.144130", \ - "0.018589,0.023251,0.027551,0.035732,0.051835,0.083927,0.148036", \ - "0.024613,0.029452,0.033802,0.041956,0.057950,0.089973,0.154053", \ - "0.031814,0.036893,0.041363,0.049545,0.065481,0.097408,0.161410", \ - "0.040236,0.045638,0.050308,0.058677,0.074591,0.106404,0.170295", \ - "0.049819,0.055597,0.060513,0.069211,0.085345,0.117129,0.180924"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.013333,0.016927,0.020030,0.025587,0.036082,0.056799,0.098157", \ - "0.013756,0.017348,0.020451,0.026010,0.036502,0.057217,0.098591", \ - "0.014902,0.018503,0.021605,0.027161,0.037642,0.058341,0.099704", \ - "0.016511,0.020245,0.023428,0.029062,0.039586,0.060262,0.101580", \ - "0.017558,0.021683,0.025099,0.031003,0.041751,0.062498,0.103770", \ - "0.017269,0.021879,0.025626,0.031953,0.043181,0.064488,0.105920", \ - "0.015384,0.020496,0.024609,0.031472,0.043250,0.065342,0.107730"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.002925,0.005890,0.009058,0.015701,0.029443,0.057139,0.112592", \ - "0.002925,0.005889,0.009059,0.015704,0.029442,0.057133,0.112600", \ - "0.003045,0.005963,0.009101,0.015716,0.029446,0.057135,0.112590", \ - "0.003885,0.006591,0.009536,0.015903,0.029466,0.057134,0.112600", \ - "0.004920,0.007467,0.010240,0.016314,0.029678,0.057186,0.112605", \ - "0.006247,0.008687,0.011338,0.017084,0.030000,0.057361,0.112628", \ - "0.007834,0.010221,0.012789,0.018328,0.030775,0.057679,0.112762"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.002941,0.005057,0.007232,0.011679,0.021024,0.040288,0.078955", \ - "0.002942,0.005061,0.007236,0.011679,0.021036,0.040266,0.078950", \ - "0.003072,0.005159,0.007298,0.011715,0.021032,0.040268,0.078964", \ - "0.003575,0.005592,0.007687,0.011990,0.021158,0.040304,0.078935", \ - "0.004630,0.006570,0.008606,0.012768,0.021691,0.040495,0.078997", \ - "0.005941,0.007912,0.009931,0.014002,0.022859,0.041399,0.079209", \ - "0.007458,0.009507,0.011563,0.015587,0.024296,0.043107,0.080403"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E & !SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.013584,0.018369,0.022766,0.031071,0.047267,0.079350,0.143412", \ - "0.014528,0.019303,0.023697,0.032003,0.048202,0.080292,0.144357", \ - "0.018698,0.023349,0.027679,0.035931,0.052108,0.084197,0.148266", \ - "0.024824,0.029610,0.033975,0.042177,0.058221,0.090237,0.154273", \ - "0.032051,0.037017,0.041468,0.049647,0.065558,0.097447,0.161409", \ - "0.040362,0.045564,0.050155,0.058377,0.074077,0.105789,0.169635", \ - "0.049631,0.055101,0.059861,0.068219,0.083741,0.115194,0.178882"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.002925,0.005924,0.009142,0.015810,0.029482,0.057039,0.112456", \ - "0.002928,0.005923,0.009143,0.015811,0.029482,0.057039,0.112450", \ - "0.003032,0.005988,0.009180,0.015821,0.029483,0.057044,0.112459", \ - "0.003837,0.006583,0.009593,0.015970,0.029492,0.057036,0.112446", \ - "0.004742,0.007359,0.010205,0.016271,0.029586,0.057053,0.112447", \ - "0.005804,0.008345,0.011052,0.016698,0.029656,0.057098,0.112452", \ - "0.006978,0.009504,0.012096,0.017354,0.029791,0.057140,0.112491"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("18.102300,20.944170,23.831860,27.250280,28.012090,28.115410,28.188830", \ - "17.986550,20.815400,23.738160,27.146440,27.916500,28.053930,28.093700", \ - "19.421270,22.071960,24.857700,28.213380,28.959500,29.103840,29.152810", \ - "23.293840,26.016010,28.739020,31.853260,32.499800,32.565610,32.625950", \ - "30.208140,32.463070,35.095160,38.468870,39.046420,38.996850,38.974360", \ - "40.226100,42.208110,44.783570,47.820900,48.664880,48.520440,48.374230", \ - "52.718760,54.550580,57.168470,60.356490,61.056230,61.229030,60.909140"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("17.149400,18.252860,18.413000,18.451390,18.510270,18.603630,18.656600", \ - "16.956700,18.158240,18.316990,18.367060,18.444830,18.520750,18.591810", \ - "18.535940,19.439570,19.471510,19.468980,19.523580,19.635120,19.700360", \ - "22.195640,23.285000,23.337950,23.190540,23.156270,23.179440,23.235950", \ - "29.031580,29.798260,29.934730,30.083920,30.005900,29.894370,29.920850", \ - "39.197060,39.790400,40.052840,40.155370,40.237610,40.118700,39.994360", \ - "52.138760,52.797580,53.308710,53.740020,53.938670,54.093920,53.725000"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("10.570020,11.840290,12.197700,12.610540,12.346720,13.037400,11.933170", \ - "10.339580,11.685620,12.011320,12.272020,11.759650,11.674660,11.747080", \ - "10.785520,12.170620,12.382570,12.319900,12.978690,12.204050,12.599790", \ - "14.142160,15.314860,15.635210,15.433230,14.044110,13.852470,15.354070", \ - "19.811990,21.182080,22.090340,21.586090,21.886520,21.953520,19.122130", \ - "27.347400,29.130890,30.112690,31.413970,32.139590,31.088980,30.750600", \ - "36.874690,38.246610,39.358140,41.429110,44.161260,45.215670,43.603360"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("17.148530,18.252690,18.412990,18.451390,18.512510,18.603640,18.667360", \ - "16.963720,18.154850,18.316940,18.366960,18.436510,18.515890,18.593090", \ - "18.535930,19.439430,19.471400,19.468900,19.523560,19.635110,19.700240", \ - "22.054310,23.265940,23.364680,23.190520,23.156250,23.183700,23.235970", \ - "29.031700,29.798290,29.932780,30.083910,30.005850,29.898710,29.920850", \ - "39.197170,39.790420,40.053090,40.155390,40.237600,40.118690,39.994300", \ - "52.139370,52.797700,53.308810,53.740260,53.936510,54.093850,53.725000"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("10.570140,11.765930,12.197790,12.610460,12.347750,13.038010,11.933200", \ - "10.341130,11.582660,12.011410,12.272650,11.766650,11.675100,11.747040", \ - "10.786180,12.169950,12.382710,12.320060,12.978670,12.207740,12.606500", \ - "14.142340,15.314950,15.635280,15.433890,14.044120,13.852570,15.353990", \ - "19.812320,21.182130,22.090290,21.584930,21.886560,21.951230,19.125070", \ - "27.347200,29.131020,30.112640,31.400090,32.139630,31.089550,30.747120", \ - "36.874940,38.246740,39.358820,41.429500,44.161290,45.215640,43.599560"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("17.121360,18.275000,18.413210,18.451300,18.510670,18.605720,18.656470", \ - "16.962320,18.159730,18.308170,18.367000,18.442260,18.520270,18.591470", \ - "18.523670,19.414350,19.472400,19.468940,19.525220,19.635240,19.704490", \ - "22.191770,23.266730,23.337950,23.189800,23.156170,23.183690,23.236000", \ - "29.028750,29.798450,29.968030,30.105900,30.005710,29.895340,29.920780", \ - "39.218590,39.825570,40.079470,40.159780,40.238120,40.118640,39.993200", \ - "52.128690,52.797900,53.302670,53.699810,53.938000,54.094650,53.724990"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("10.577260,11.879840,12.197270,12.612500,12.335710,13.092750,11.933470", \ - "10.352440,11.685570,12.009460,12.252230,11.636440,11.712360,12.446580", \ - "10.769550,12.207390,12.400980,12.321590,12.981600,11.838990,12.331380", \ - "14.142290,15.314520,15.634770,15.434890,14.058590,13.852510,15.366580", \ - "19.817440,21.177070,22.085620,21.582360,21.886490,21.954320,19.125180", \ - "27.342700,29.130530,30.103490,30.800510,32.135360,31.096410,30.750730", \ - "36.876770,38.246260,39.365100,41.434580,44.161170,45.215330,43.603360"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATETST_X8 - Cell Description : Pos.edge clock gating cell with pre scan, drive strength X8 - *******************************************************************************************/ - - cell (CLKGATETST_X8) { - - drive_strength : 8; - - statetable ("CK E SE","IQ") { - table : "L L L : - : L ,\ - L L H : - : H ,\ - L H L : - : H ,\ - L H H : - : H ,\ - H - - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge_precontrol; - - area : 7.714000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 821.181063; - - leakage_power () { - when : "!CK & !E & !SE & !GCK"; - value : 640.810000; - } - leakage_power () { - when : "!CK & !E & SE & !GCK"; - value : 651.039750; - } - leakage_power () { - when : "!CK & E & !SE & !GCK"; - value : 641.063250; - } - leakage_power () { - when : "!CK & E & SE & !GCK"; - value : 657.247500; - } - leakage_power () { - when : "CK & !E & !SE & !GCK"; - value : 892.325000; - } - leakage_power () { - when : "CK & !E & !SE & GCK"; - value : 971.236250; - } - leakage_power () { - when : "CK & !E & SE & !GCK"; - value : 880.571000; - } - leakage_power () { - when : "CK & !E & SE & GCK"; - value : 922.089751; - } - leakage_power () { - when : "CK & E & !SE & !GCK"; - value : 870.597000; - } - leakage_power () { - when : "CK & E & !SE & GCK"; - value : 912.114500; - } - leakage_power () { - when : "CK & E & SE & !GCK"; - value : 886.780000; - } - leakage_power () { - when : "CK & E & SE & GCK"; - value : 928.298750; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 8.088617; - fall_capacitance : 7.482432; - rise_capacitance : 8.088617; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.104402,0.106482,0.168529"); - } - } - - internal_power () { - - when : "!E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.646550,10.391150,10.573930,11.402420,12.943980,15.373030,18.749970"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-2.532990,-2.555010,-2.341950,-1.550530,0.065730,2.563921,5.986012"); - } - - } - - internal_power () { - - when : "!E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("30.998760,30.702990,30.904340,31.737920,33.307260,35.933280,39.944740"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("31.004870,30.704410,30.909480,31.743770,33.312150,35.938400,39.949690"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("30.998710,30.702310,30.902820,31.736060,33.306720,35.932730,39.944020"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.910318; - fall_capacitance : 0.890547; - rise_capacitance : 0.910318; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.017948,-0.016659,-0.027316", \ - "-0.027082,-0.025842,-0.036421", \ - "0.034880,0.044973,0.006028"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.007479,-0.005014,-0.010434", \ - "-0.007677,-0.006204,-0.013811", \ - "0.053084,0.052718,0.035239"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.051978,0.053081,0.071452", \ - "0.062068,0.062841,0.081163", \ - "0.093611,0.093979,0.111462"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.113025,0.102728,0.141998", \ - "0.118060,0.107692,0.146581", \ - "0.111816,0.101724,0.140674"); - } - } - - internal_power () { - - when : "!CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("21.439000,21.403380,21.403950,21.750480,22.619510,24.094610,26.281090"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("20.954880,20.928630,21.010560,21.473750,22.473530,24.094160,26.405470"); - } - - } - - internal_power () { - - when : "!CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.638326,0.655582,0.653134,0.655639,0.653875,0.656894,0.653858"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.392601,-0.472180,-0.517683,-0.537011,-0.547145,-0.553354,-0.556784"); - } - - } - - internal_power () { - - when : "CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.582922,3.548954,3.548986,3.874864,4.671400,6.018851,7.976531"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.363344,1.328960,1.426454,1.883410,2.859886,4.374750,6.476391"); - } - - } - - internal_power () { - - when : "CK & !SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.428705,3.394227,3.394672,3.726079,4.528998,5.882385,7.844218"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.348096,1.314141,1.403542,1.860534,2.826100,4.346501,6.444401"); - } - - } - - internal_power () { - - when : "CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.640817,0.655665,0.652852,0.651694,0.653787,0.650622,0.653871"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.392711,-0.472764,-0.517782,-0.537194,-0.547338,-0.553551,-0.556992"); - } - - } - - internal_power () { - - when : "CK & SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.640900,0.656017,0.652930,0.655693,0.653935,0.657487,0.654102"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.392613,-0.472809,-0.517471,-0.540791,-0.546954,-0.560030,-0.556604"); - } - - } - } - - pin (SE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_test_pin : true; - capacitance : 0.814666; - fall_capacitance : 0.751457; - rise_capacitance : 0.814666; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.015819,-0.014825,-0.025149", \ - "-0.027429,-0.026496,-0.036786", \ - "0.042285,0.052098,0.013567"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.006578,-0.004113,-0.009522", \ - "-0.005522,-0.004345,-0.011610", \ - "0.047839,0.047451,0.030214"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.049842,0.050629,0.069276", \ - "0.062680,0.063763,0.081786", \ - "0.098857,0.099246,0.116488"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.111499,0.101502,0.140444", \ - "0.115613,0.105234,0.144089", \ - "0.104411,0.094599,0.133136"); - } - } - - internal_power () { - - when : "!CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("20.915450,20.890680,21.064530,21.628540,22.671640,24.308870,26.690910"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("20.584750,20.581140,20.693670,21.190720,22.287730,24.050190,26.528420"); - } - - } - - internal_power () { - - when : "!CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.158648,0.167784,0.164220,0.166604,0.165557,0.169183,0.166714"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.148443,-0.153411,-0.147452,-0.147482,-0.147482,-0.147615,-0.147778"); - } - - } - - internal_power () { - - when : "CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.057472,3.033722,3.209961,3.743755,4.700586,6.206252,8.335524"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.991709,0.992089,1.114295,1.613985,2.673925,4.301226,6.548237"); - } - - } - - internal_power () { - - when : "CK & !E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.904761,2.880966,3.056128,3.595040,4.559476,6.068034,8.206316"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.978357,0.978912,1.095165,1.597173,2.652385,4.284719,6.528134"); - } - - } - - internal_power () { - - when : "CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.158284,0.167844,0.163820,0.166529,0.165391,0.169345,0.166706"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.148399,-0.153766,-0.147492,-0.147591,-0.147672,-0.147816,-0.147994"); - } - - } - - internal_power () { - - when : "CK & E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.158140,0.167944,0.163661,0.166540,0.165337,0.169526,0.166729"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.148024,-0.153662,-0.147033,-0.151122,-0.147204,-0.154211,-0.147528"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 484.619000; - state_function : "(IQ & CK)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "!E & SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.013899,0.018826,0.023205,0.031453,0.047581,0.079681,0.143767", \ - "0.014847,0.019766,0.024143,0.032391,0.048526,0.080624,0.144717", \ - "0.018999,0.023803,0.028123,0.036325,0.052439,0.084534,0.148631", \ - "0.025057,0.030029,0.034398,0.042577,0.058583,0.090610,0.154673", \ - "0.032324,0.037542,0.042027,0.050241,0.066194,0.098117,0.162095", \ - "0.040844,0.046411,0.051095,0.059505,0.075445,0.107256,0.171123", \ - "0.050580,0.056542,0.061480,0.070222,0.086394,0.118169,0.181934"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.013904,0.017653,0.020803,0.026432,0.037021,0.057863,0.099430", \ - "0.014323,0.018070,0.021219,0.026850,0.037437,0.058276,0.099844", \ - "0.015426,0.019179,0.022323,0.027945,0.038524,0.059345,0.100895", \ - "0.016994,0.020854,0.024066,0.029758,0.040366,0.061164,0.102673", \ - "0.018102,0.022316,0.025734,0.031674,0.042491,0.063340,0.104792", \ - "0.017885,0.022571,0.026294,0.032630,0.043917,0.065299,0.106892", \ - "0.016057,0.021242,0.025318,0.032156,0.043971,0.066128,0.108630"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.002991,0.006039,0.009212,0.015851,0.029578,0.057276,0.112702", \ - "0.002990,0.006043,0.009214,0.015852,0.029588,0.057268,0.112712", \ - "0.003107,0.006114,0.009257,0.015866,0.029584,0.057267,0.112699", \ - "0.003940,0.006726,0.009693,0.016058,0.029603,0.057271,0.112710", \ - "0.004986,0.007615,0.010405,0.016484,0.029812,0.057318,0.112719", \ - "0.006346,0.008874,0.011532,0.017282,0.030157,0.057493,0.112748", \ - "0.007990,0.010477,0.013035,0.018566,0.030967,0.057825,0.112892"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.003085,0.005261,0.007456,0.011924,0.021320,0.040647,0.079479", \ - "0.003085,0.005265,0.007455,0.011923,0.021305,0.040645,0.079485", \ - "0.003207,0.005349,0.007508,0.011954,0.021306,0.040621,0.079452", \ - "0.003651,0.005736,0.007862,0.012211,0.021436,0.040604,0.079448", \ - "0.004663,0.006652,0.008724,0.012945,0.021922,0.040819,0.079478", \ - "0.005972,0.007958,0.009995,0.014133,0.023062,0.041678,0.079680", \ - "0.007476,0.009539,0.011594,0.015674,0.024475,0.043345,0.080811"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & !SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.013897,0.018826,0.023205,0.031452,0.047581,0.079681,0.143767", \ - "0.014847,0.019766,0.024143,0.032391,0.048526,0.080623,0.144717", \ - "0.018999,0.023803,0.028123,0.036325,0.052441,0.084534,0.148631", \ - "0.025057,0.030029,0.034398,0.042577,0.058583,0.090610,0.154673", \ - "0.032324,0.037542,0.042027,0.050241,0.066194,0.098117,0.162095", \ - "0.040844,0.046411,0.051095,0.059505,0.075445,0.107256,0.171123", \ - "0.050580,0.056542,0.061480,0.070222,0.086394,0.118169,0.181934"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.013904,0.017653,0.020803,0.026432,0.037021,0.057863,0.099430", \ - "0.014323,0.018070,0.021219,0.026850,0.037437,0.058276,0.099844", \ - "0.015426,0.019179,0.022323,0.027945,0.038524,0.059345,0.100895", \ - "0.016994,0.020854,0.024066,0.029758,0.040366,0.061164,0.102673", \ - "0.018102,0.022316,0.025734,0.031674,0.042491,0.063340,0.104792", \ - "0.017885,0.022571,0.026294,0.032630,0.043917,0.065299,0.106892", \ - "0.016057,0.021242,0.025318,0.032156,0.043971,0.066128,0.108630"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.002990,0.006039,0.009213,0.015852,0.029578,0.057276,0.112702", \ - "0.002990,0.006042,0.009214,0.015851,0.029589,0.057268,0.112713", \ - "0.003107,0.006113,0.009257,0.015866,0.029584,0.057267,0.112699", \ - "0.003940,0.006726,0.009691,0.016058,0.029603,0.057271,0.112710", \ - "0.004986,0.007615,0.010405,0.016484,0.029812,0.057318,0.112719", \ - "0.006346,0.008874,0.011532,0.017282,0.030157,0.057493,0.112748", \ - "0.007990,0.010477,0.013035,0.018566,0.030967,0.057825,0.112892"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.003085,0.005260,0.007456,0.011924,0.021320,0.040647,0.079479", \ - "0.003085,0.005265,0.007455,0.011923,0.021305,0.040645,0.079485", \ - "0.003207,0.005349,0.007508,0.011954,0.021305,0.040621,0.079452", \ - "0.003651,0.005736,0.007862,0.012211,0.021436,0.040604,0.079448", \ - "0.004663,0.006652,0.008724,0.012945,0.021922,0.040819,0.079478", \ - "0.005972,0.007958,0.009995,0.014133,0.023062,0.041678,0.079680", \ - "0.007476,0.009539,0.011594,0.015674,0.024475,0.043345,0.080811"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.013897,0.018826,0.023205,0.031452,0.047581,0.079678,0.143762", \ - "0.014847,0.019766,0.024143,0.032392,0.048530,0.080624,0.144716", \ - "0.018999,0.023803,0.028123,0.036325,0.052441,0.084533,0.148633", \ - "0.025058,0.030029,0.034397,0.042577,0.058583,0.090611,0.154673", \ - "0.032325,0.037542,0.042027,0.050241,0.066194,0.098116,0.162098", \ - "0.040844,0.046412,0.051095,0.059506,0.075446,0.107256,0.171123", \ - "0.050580,0.056542,0.061480,0.070222,0.086394,0.118169,0.181934"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.013904,0.017653,0.020802,0.026432,0.037024,0.057864,0.099429", \ - "0.014323,0.018070,0.021219,0.026850,0.037437,0.058276,0.099843", \ - "0.015426,0.019179,0.022323,0.027945,0.038524,0.059345,0.100892", \ - "0.016994,0.020853,0.024066,0.029758,0.040366,0.061166,0.102669", \ - "0.018102,0.022316,0.025734,0.031674,0.042491,0.063337,0.104792", \ - "0.017885,0.022571,0.026294,0.032629,0.043917,0.065299,0.106892", \ - "0.016057,0.021242,0.025318,0.032156,0.043971,0.066128,0.108629"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.002990,0.006039,0.009213,0.015852,0.029579,0.057282,0.112706", \ - "0.002990,0.006041,0.009214,0.015851,0.029580,0.057268,0.112706", \ - "0.003107,0.006115,0.009257,0.015866,0.029587,0.057267,0.112693", \ - "0.003940,0.006727,0.009691,0.016058,0.029603,0.057272,0.112710", \ - "0.004984,0.007615,0.010405,0.016483,0.029812,0.057312,0.112708", \ - "0.006346,0.008874,0.011532,0.017283,0.030156,0.057494,0.112749", \ - "0.007990,0.010477,0.013035,0.018566,0.030965,0.057824,0.112892"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.003085,0.005261,0.007456,0.011925,0.021311,0.040647,0.079478", \ - "0.003085,0.005265,0.007455,0.011923,0.021307,0.040645,0.079475", \ - "0.003207,0.005349,0.007510,0.011954,0.021298,0.040615,0.079474", \ - "0.003651,0.005736,0.007862,0.012211,0.021436,0.040605,0.079446", \ - "0.004663,0.006652,0.008724,0.012942,0.021922,0.040796,0.079478", \ - "0.005972,0.007958,0.009995,0.014134,0.023062,0.041679,0.079680", \ - "0.007476,0.009539,0.011593,0.015674,0.024475,0.043345,0.080818"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E & !SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.013957,0.018878,0.023271,0.031565,0.047804,0.079991,0.144060", \ - "0.014907,0.019819,0.024209,0.032505,0.048748,0.080939,0.145011", \ - "0.019072,0.023864,0.028195,0.036443,0.052664,0.084855,0.148932", \ - "0.025210,0.030136,0.034503,0.042717,0.058820,0.090933,0.154980", \ - "0.032534,0.037654,0.042108,0.050332,0.066359,0.098341,0.162296", \ - "0.041077,0.046451,0.051059,0.059398,0.075300,0.107098,0.170920", \ - "0.050714,0.056388,0.061189,0.069764,0.085671,0.117194,0.180839"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.002995,0.006055,0.009255,0.015955,0.029708,0.057297,0.112590", \ - "0.002998,0.006054,0.009257,0.015957,0.029708,0.057299,0.112593", \ - "0.003106,0.006120,0.009295,0.015968,0.029710,0.057296,0.112592", \ - "0.003920,0.006711,0.009715,0.016145,0.029725,0.057299,0.112591", \ - "0.004911,0.007531,0.010366,0.016531,0.029885,0.057319,0.112589", \ - "0.006111,0.008618,0.011333,0.017152,0.030059,0.057390,0.112611", \ - "0.007448,0.009939,0.012577,0.018134,0.030426,0.057437,0.112637"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("31.833270,35.461280,37.569490,45.115440,52.164940,53.093270,53.182960", \ - "31.815210,35.289670,37.342930,44.950740,51.975290,52.955490,53.079340", \ - "34.451270,37.582400,39.549600,46.863900,53.864480,54.840290,54.987670", \ - "41.567260,44.600860,46.459370,53.303550,60.098040,60.920810,61.012430", \ - "54.101300,56.100880,57.871110,65.295160,71.717140,72.312160,72.276320", \ - "72.640590,73.750480,75.554140,82.176370,88.874720,89.275020,88.931910", \ - "95.477930,96.597210,98.477810,104.799700,111.116700,111.892400,111.077100"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("30.972430,32.718310,32.900950,32.818590,32.816650,32.920990,32.945740", \ - "30.703650,32.559960,32.742610,32.704010,32.699310,32.793080,32.863230", \ - "33.527310,34.776790,34.820150,34.640250,34.596870,34.680900,34.832030", \ - "40.292070,41.814650,41.716030,41.239580,40.997750,40.936520,41.069990", \ - "52.907980,53.515800,53.578700,53.645980,53.144390,52.825640,52.822590", \ - "71.361840,71.621990,71.785980,71.530210,71.361090,70.962090,70.645970", \ - "95.204970,94.977800,95.610240,95.867400,95.611050,95.621300,94.814510"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("19.153700,20.720310,21.206730,22.744930,21.166290,23.839250,21.379480", \ - "18.874050,20.772690,21.216000,21.330600,22.648320,21.803530,21.013380", \ - "19.748940,21.363280,22.048320,21.757200,21.661240,22.792450,21.953170", \ - "25.643730,26.803010,27.130460,26.233050,27.401380,25.969830,25.079080", \ - "35.979000,37.802580,38.558870,38.739430,38.319780,33.684470,32.749840", \ - "49.357650,51.176350,52.315630,54.325710,55.602350,54.479260,53.160250", \ - "65.699670,67.201820,68.374760,71.203890,76.544040,77.103790,75.189210"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("30.813030,32.725310,32.901700,32.841670,32.816340,32.920610,32.945900", \ - "31.025090,32.544700,32.742570,32.666070,32.703980,32.791850,32.855160", \ - "33.527760,34.822660,34.820200,34.639910,34.591530,34.681630,34.831910", \ - "40.291820,41.814800,41.739100,41.239620,40.998160,40.936550,41.064740", \ - "52.908240,53.515930,53.579550,53.645990,53.144330,52.825560,52.822540", \ - "71.364620,71.622110,71.786040,71.530400,71.344070,70.962040,70.645850", \ - "95.205150,94.976990,95.611520,95.868310,95.609970,95.596240,94.810360"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("19.155290,20.734480,21.206960,22.743660,21.129880,23.849190,21.386310", \ - "18.874270,20.772880,21.215910,21.329460,22.648640,21.803770,21.013720", \ - "19.749140,21.364540,22.048550,21.757510,21.661520,22.792830,21.953490", \ - "25.644270,26.803200,27.130650,26.233120,27.401690,25.970100,25.075650", \ - "35.979260,37.802790,38.559770,38.737330,38.318420,33.684700,32.750170", \ - "49.357880,51.175380,52.315880,54.326000,55.602530,54.479430,53.160510", \ - "65.700460,67.200260,68.375020,71.204280,76.544260,77.096580,75.189470"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("30.809200,32.710390,32.901180,32.856190,32.805140,32.888420,32.942580", \ - "30.709030,32.569170,32.750480,32.688290,32.703780,32.791810,32.869990", \ - "33.530620,34.756160,34.817900,34.638360,34.592100,34.657680,34.828660", \ - "40.317100,41.807280,41.737650,41.239640,40.998170,40.997560,41.069880", \ - "52.602180,53.515950,53.594110,53.646230,53.144980,52.874670,52.816990", \ - "71.308720,71.638040,71.689670,71.512170,71.320160,70.972340,70.644970", \ - "95.205420,95.297560,95.618830,95.779130,95.583640,95.605800,94.814850"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("19.105230,20.719860,21.206190,22.582190,20.477180,23.784690,21.376660", \ - "18.576730,20.775620,21.082210,21.180730,22.649150,21.800580,21.003330", \ - "19.767570,21.421600,22.045170,21.758420,21.660130,22.799150,21.955410", \ - "25.604730,26.802840,27.129340,26.236620,27.400720,25.966090,25.790470", \ - "35.979160,37.799710,38.569630,38.739750,38.320120,35.910920,32.741580", \ - "49.357550,51.175280,52.314430,54.325750,55.600140,54.474650,53.152570", \ - "65.699370,67.200690,68.373470,71.204250,76.541610,77.099370,75.193870"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATE_X1 - Cell Description : Pos.edge clock gating cell with drive strength X1 - *******************************************************************************************/ - - cell (CLKGATE_X1) { - - drive_strength : 1; - - statetable ("CK E","IQ") { - table : "L L : - : L ,\ - L H : - : H ,\ - H - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 175.939000; - - leakage_power () { - when : "!CK & !E & !GCK"; - value : 145.053750; - } - leakage_power () { - when : "!CK & E & !GCK"; - value : 207.239250; - } - leakage_power () { - when : "CK & !E & !GCK"; - value : 145.445375; - } - leakage_power () { - when : "CK & !E & GCK"; - value : 186.284375; - } - leakage_power () { - when : "CK & E & !GCK"; - value : 179.141625; - } - leakage_power () { - when : "CK & E & GCK"; - value : 192.469625; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 1.852310; - fall_capacitance : 1.774883; - rise_capacitance : 1.852310; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.028708,0.043917,0.146386"); - } - } - - internal_power () { - - when : "!E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.650833,4.653741,4.987111,5.890093,7.521093,10.030570,13.467880"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.688233,1.668446,1.920485,2.792080,4.476885,7.034967,10.510310"); - } - - } - - internal_power () { - - when : "E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.289966,8.275333,8.624647,9.602021,11.362030,14.226630,18.438140"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.932224; - fall_capacitance : 0.883579; - rise_capacitance : 0.932224; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.007008,-0.002999,-0.008090", \ - "-0.003795,-0.006310,-0.016157", \ - "0.110165,0.108478,0.087065"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.003066,-0.000925,-0.004906", \ - "0.001107,0.004137,0.001551", \ - "0.083630,0.088652,0.090834"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.026339,0.022128,0.023281", \ - "0.035754,0.031507,0.031944", \ - "0.063064,0.058044,0.055866"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.033359,0.036225,0.057777", \ - "0.037284,0.039801,0.060603", \ - "0.036528,0.038217,0.059635"); - } - } - - internal_power () { - - when : "!CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.925389,4.903142,4.914742,5.287707,6.176374,7.611825,9.603692"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.658181,3.616442,3.648099,4.032637,4.940099,6.395600,8.409764"); - } - - } - - internal_power () { - - when : "CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.724842,0.701796,0.688563,0.684389,0.678738,0.678710,0.677286"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.615098,-0.620350,-0.621422,-0.623421,-0.623712,-0.625606,-0.624289"); - } - - } - - internal_power () { - - when : "CK & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.632536,0.643271,0.644154,0.644862,0.643994,0.644773,0.643970"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.396343,-0.471879,-0.521438,-0.540744,-0.550831,-0.556617,-0.559895"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.730000; - state_function : "(CK & IQ)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "E"; - sdf_cond : "(E == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.013550,0.017522,0.021877,0.030088,0.046177,0.078222,0.142264", \ - "0.014486,0.018445,0.022796,0.031009,0.047098,0.079150,0.143199", \ - "0.018433,0.022295,0.026588,0.034753,0.050824,0.082877,0.146934", \ - "0.023783,0.027813,0.032188,0.040363,0.056332,0.088313,0.152326", \ - "0.029764,0.034036,0.038611,0.046916,0.062868,0.094744,0.158664", \ - "0.036174,0.040784,0.045652,0.054355,0.070559,0.102400,0.166192", \ - "0.042913,0.047896,0.053103,0.062319,0.079113,0.111372,0.175142"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.012585,0.015567,0.018681,0.024250,0.034725,0.055360,0.096585", \ - "0.013187,0.016165,0.019278,0.024845,0.035322,0.055967,0.097184", \ - "0.015928,0.018890,0.021981,0.027522,0.037965,0.058578,0.099792", \ - "0.018915,0.022089,0.025280,0.030884,0.041369,0.061908,0.103053", \ - "0.021390,0.024964,0.028469,0.034331,0.044885,0.065455,0.106542", \ - "0.022983,0.027024,0.030945,0.037380,0.048341,0.069185,0.110325", \ - "0.023534,0.028066,0.032442,0.039580,0.051253,0.072627,0.114316"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.003267,0.005795,0.008978,0.015627,0.029358,0.057038,0.112444", \ - "0.003268,0.005799,0.008980,0.015627,0.029356,0.057050,0.112450", \ - "0.003424,0.005895,0.009035,0.015643,0.029358,0.057048,0.112453", \ - "0.004262,0.006596,0.009552,0.015885,0.029385,0.057047,0.112439", \ - "0.005406,0.007661,0.010475,0.016469,0.029658,0.057086,0.112430", \ - "0.006917,0.009147,0.011925,0.017702,0.030345,0.057346,0.112461", \ - "0.008728,0.011013,0.013774,0.019488,0.031841,0.058177,0.112656"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.003189,0.005017,0.007200,0.011628,0.020930,0.040086,0.078643", \ - "0.003189,0.005018,0.007199,0.011631,0.020910,0.040070,0.078620", \ - "0.003442,0.005183,0.007309,0.011688,0.020927,0.040068,0.078636", \ - "0.004352,0.005914,0.007874,0.012072,0.021136,0.040088,0.078627", \ - "0.005684,0.007210,0.009052,0.012878,0.021568,0.040326,0.078675", \ - "0.007364,0.008935,0.010802,0.014443,0.022656,0.040999,0.078895", \ - "0.009363,0.011012,0.012938,0.016614,0.024326,0.042399,0.079798"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E"; - sdf_cond : "(E == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.013548,0.017519,0.021872,0.030125,0.046426,0.078422,0.142430", \ - "0.014484,0.018443,0.022792,0.031046,0.047351,0.079353,0.143359", \ - "0.018432,0.022294,0.026584,0.034786,0.051076,0.083079,0.147092", \ - "0.023781,0.027811,0.032184,0.040398,0.056579,0.088507,0.152490", \ - "0.029765,0.034034,0.038607,0.046952,0.063112,0.094903,0.158795", \ - "0.036174,0.040780,0.045647,0.054394,0.070715,0.102275,0.166009", \ - "0.042912,0.047894,0.053096,0.062350,0.079019,0.110222,0.173740"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.003267,0.005793,0.008983,0.015802,0.029497,0.056933,0.112317", \ - "0.003269,0.005796,0.008984,0.015800,0.029500,0.056926,0.112314", \ - "0.003422,0.005892,0.009040,0.015813,0.029506,0.056931,0.112322", \ - "0.004262,0.006592,0.009556,0.016053,0.029526,0.056926,0.112317", \ - "0.005404,0.007654,0.010478,0.016653,0.029749,0.056954,0.112331", \ - "0.006915,0.009143,0.011930,0.017912,0.030213,0.057002,0.112329", \ - "0.008724,0.010997,0.013769,0.019700,0.030975,0.057019,0.112353"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("7.116156,8.190852,9.535365,10.903790,11.039860,11.081750,11.109330", \ - "6.988801,8.207753,9.554265,10.849800,11.006230,11.048270,11.073040", \ - "7.530969,8.700180,9.993552,11.350400,11.498120,11.539280,11.570020", \ - "8.935623,10.230330,11.587890,12.913830,13.021450,13.059580,13.088520", \ - "11.470660,12.797670,14.263560,15.797560,15.936240,15.928450,15.946780", \ - "15.124530,16.614360,18.252670,20.001610,20.275220,20.299690,20.272070", \ - "19.623440,21.217080,23.244840,25.321160,25.765250,25.973140,25.885370"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("6.611268,7.020327,7.115289,7.163527,7.189537,7.211630,7.213746", \ - "6.577140,6.982350,7.075447,7.123001,7.152658,7.176190,7.185450", \ - "7.188972,7.511120,7.584715,7.616832,7.647384,7.672388,7.685336", \ - "8.426643,9.008454,9.178746,9.183857,9.179614,9.194226,9.203531", \ - "11.019120,11.565860,11.848040,12.037940,12.070090,12.044450,12.041450", \ - "14.669760,15.347860,15.856990,16.272090,16.474890,16.484110,16.437660", \ - "19.244010,20.078160,20.824650,21.727830,22.361150,22.587980,22.484570"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("4.080142,4.401275,4.495315,4.387528,4.471932,4.586875,4.363712", \ - "4.007550,4.342953,4.458645,4.489695,4.460733,4.440526,4.372508", \ - "4.527401,4.805001,4.846845,4.831801,4.849101,4.756566,4.703774", \ - "6.216861,6.423276,6.420743,6.407645,6.188438,6.006739,6.332437", \ - "9.175033,9.392465,9.522801,9.342191,9.333961,9.051163,9.182870", \ - "13.026900,13.260460,13.521790,13.823050,13.796530,13.700200,13.340040", \ - "17.714230,18.034980,18.320810,19.031370,19.774470,19.719570,19.800140"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATE_X2 - Cell Description : Pos.edge clock gating cell with drive strength X2 - *******************************************************************************************/ - - cell (CLKGATE_X2) { - - drive_strength : 2; - - statetable ("CK E","IQ") { - table : "L L : - : L ,\ - L H : - : H ,\ - H - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 245.035583; - - leakage_power () { - when : "!CK & !E & !GCK"; - value : 196.228750; - } - leakage_power () { - when : "!CK & E & !GCK"; - value : 292.936750; - } - leakage_power () { - when : "CK & !E & !GCK"; - value : 197.893750; - } - leakage_power () { - when : "CK & !E & GCK"; - value : 272.689500; - } - leakage_power () { - when : "CK & E & !GCK"; - value : 231.590000; - } - leakage_power () { - when : "CK & E & GCK"; - value : 278.874750; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 2.571851; - fall_capacitance : 2.490238; - rise_capacitance : 2.571851; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.035423,0.048517,0.146386"); - } - } - - internal_power () { - - when : "!E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.485521,5.490082,5.815492,6.708651,8.324477,10.817740,14.241520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.379904,1.358498,1.602689,2.455705,4.134909,6.681432,10.141700"); - } - - } - - internal_power () { - - when : "E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.621240,10.607060,10.946580,11.905550,13.638560,16.569610,21.199560"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.902650; - fall_capacitance : 0.860735; - rise_capacitance : 0.902650; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.008195,-0.003582,-0.008359", \ - "-0.010220,-0.011225,-0.016760", \ - "0.103377,0.102902,0.082667"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.003664,-0.001834,-0.005521", \ - "-0.000112,0.002912,0.000930", \ - "0.080236,0.085244,0.088007"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.029086,0.024886,0.025146", \ - "0.038508,0.034271,0.033813", \ - "0.066458,0.061451,0.058693"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.039464,0.040822,0.061818", \ - "0.043710,0.044716,0.064653", \ - "0.043316,0.043793,0.064033"); - } - } - - internal_power () { - - when : "!CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.629177,5.607105,5.612643,5.958021,6.806286,8.189668,10.115390"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.114754,5.071842,5.097775,5.462013,6.344849,7.771163,9.748439"); - } - - } - - internal_power () { - - when : "CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.725718,0.702814,0.688715,0.685068,0.679042,0.678617,0.677994"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.614749,-0.619942,-0.620831,-0.623165,-0.623208,-0.625258,-0.623773"); - } - - } - - internal_power () { - - when : "CK & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633405,0.643954,0.644338,0.645441,0.644597,0.645631,0.644340"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.396412,-0.472254,-0.520880,-0.540075,-0.550070,-0.555784,-0.559149"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.307000; - state_function : "(CK & IQ)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "E"; - sdf_cond : "(E == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.013104,0.017552,0.021882,0.030073,0.046143,0.078156,0.142126", \ - "0.014038,0.018474,0.022800,0.030992,0.047069,0.079086,0.143057", \ - "0.017963,0.022290,0.026556,0.034701,0.050759,0.082781,0.146760", \ - "0.023185,0.027705,0.032049,0.040202,0.056156,0.088101,0.152057", \ - "0.029023,0.033816,0.038354,0.046626,0.062564,0.094415,0.158260", \ - "0.035241,0.040410,0.045241,0.053905,0.070090,0.101911,0.165636", \ - "0.041738,0.047334,0.052500,0.061671,0.078437,0.110688,0.174399"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.012252,0.015615,0.018718,0.024279,0.034747,0.055380,0.096566", \ - "0.012853,0.016210,0.019312,0.024875,0.035341,0.055964,0.097166", \ - "0.015594,0.018937,0.022016,0.027554,0.037991,0.058592,0.099781", \ - "0.018559,0.022138,0.025311,0.030909,0.041388,0.061919,0.103051", \ - "0.021031,0.025067,0.028543,0.034388,0.044944,0.065513,0.106580", \ - "0.022656,0.027219,0.031104,0.037506,0.048466,0.069332,0.110453", \ - "0.023280,0.028389,0.032725,0.039824,0.051480,0.072884,0.114583"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.003021,0.005850,0.009042,0.015705,0.029437,0.057096,0.112439", \ - "0.003019,0.005853,0.009043,0.015707,0.029437,0.057093,0.112442", \ - "0.003197,0.005952,0.009099,0.015721,0.029434,0.057091,0.112442", \ - "0.004042,0.006643,0.009605,0.015958,0.029465,0.057094,0.112442", \ - "0.005194,0.007704,0.010517,0.016532,0.029728,0.057135,0.112412", \ - "0.006701,0.009197,0.011965,0.017759,0.030427,0.057399,0.112459", \ - "0.008504,0.011064,0.013816,0.019532,0.031919,0.058251,0.112648"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.003026,0.005080,0.007268,0.011707,0.021014,0.040144,0.078682", \ - "0.003023,0.005081,0.007267,0.011713,0.021004,0.040176,0.078709", \ - "0.003295,0.005249,0.007383,0.011771,0.021010,0.040154,0.078689", \ - "0.004221,0.005962,0.007935,0.012144,0.021213,0.040173,0.078698", \ - "0.005556,0.007257,0.009101,0.012949,0.021648,0.040403,0.078719", \ - "0.007242,0.008991,0.010844,0.014496,0.022770,0.041116,0.078945", \ - "0.009238,0.011078,0.012992,0.016664,0.024439,0.042530,0.079885"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E"; - sdf_cond : "(E == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.013103,0.017550,0.021879,0.030092,0.046372,0.078378,0.142311", \ - "0.014039,0.018472,0.022797,0.031013,0.047298,0.079308,0.143244", \ - "0.017963,0.022289,0.026553,0.034719,0.050985,0.083003,0.146943", \ - "0.023190,0.027704,0.032046,0.040220,0.056381,0.088329,0.152238", \ - "0.029019,0.033815,0.038352,0.046642,0.062792,0.094613,0.158433", \ - "0.035236,0.040408,0.045237,0.053916,0.070318,0.101956,0.165610", \ - "0.041738,0.047334,0.052493,0.061676,0.078607,0.109947,0.173395"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.003021,0.005849,0.009042,0.015815,0.029624,0.056998,0.112310", \ - "0.003020,0.005851,0.009045,0.015815,0.029621,0.056992,0.112324", \ - "0.003196,0.005951,0.009099,0.015826,0.029627,0.056996,0.112318", \ - "0.004036,0.006640,0.009603,0.016063,0.029661,0.057000,0.112311", \ - "0.005195,0.007701,0.010516,0.016647,0.029907,0.057033,0.112322", \ - "0.006693,0.009193,0.011960,0.017908,0.030506,0.057109,0.112317", \ - "0.008508,0.011052,0.013810,0.019703,0.031517,0.057167,0.112349"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("10.148170,11.677880,12.978110,15.029590,15.336970,15.395130,15.444440", \ - "10.083740,11.635550,12.921050,14.982110,15.275410,15.351030,15.388950", \ - "10.940540,12.329570,13.534140,15.632850,15.924010,16.008490,16.063530", \ - "12.898750,14.476340,15.850520,17.852610,18.091260,18.146020,18.198490", \ - "16.678500,18.300160,19.789840,22.002290,22.269730,22.259970,22.277120", \ - "22.120260,23.761030,25.600090,28.083090,28.585560,28.580780,28.523320", \ - "29.006350,30.677930,32.648090,35.923400,36.447340,36.655230,36.468440"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("9.858153,10.485640,10.656660,10.744500,10.798410,10.842700,10.851970", \ - "9.769483,10.446090,10.577870,10.682060,10.745850,10.783420,10.795440", \ - "10.526080,11.163520,11.272200,11.340120,11.385570,11.446720,11.465030", \ - "12.420050,13.359100,13.557260,13.558210,13.557390,13.588230,13.608200", \ - "16.318020,17.064060,17.443710,17.664060,17.713630,17.657690,17.662290", \ - "21.727230,22.625840,23.278610,23.767470,24.039560,24.012140,23.938050", \ - "28.604760,29.619500,30.535550,31.692030,32.512910,32.799440,32.602670"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("5.990043,6.722912,6.827430,6.962439,6.632330,7.125732,6.856227", \ - "6.033536,6.643350,6.748030,6.833401,6.979084,7.004498,6.675226", \ - "6.735822,7.178455,7.469386,7.393441,7.525447,7.141928,7.408043", \ - "9.367458,9.564130,9.623330,9.665345,9.596640,9.495637,8.849262", \ - "13.599420,14.013680,14.180270,13.863250,13.503120,13.023240,13.007490", \ - "19.313490,19.532890,19.861970,20.175590,20.356480,19.894360,19.411280", \ - "26.221420,26.511090,27.196680,28.075740,28.625120,28.671200,28.805400"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATE_X4 - Cell Description : Pos.edge clock gating cell with drive strength X4 - *******************************************************************************************/ - - cell (CLKGATE_X4) { - - drive_strength : 4; - - statetable ("CK E","IQ") { - table : "L L : - : L ,\ - L H : - : H ,\ - H - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 409.309479; - - leakage_power () { - when : "!CK & !E & !GCK"; - value : 332.910000; - } - leakage_power () { - when : "!CK & E & !GCK"; - value : 482.705375; - } - leakage_power () { - when : "CK & !E & !GCK"; - value : 337.224125; - } - leakage_power () { - when : "CK & !E & GCK"; - value : 462.949625; - } - leakage_power () { - when : "CK & E & !GCK"; - value : 370.949125; - } - leakage_power () { - when : "CK & E & GCK"; - value : 469.118626; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 4.261834; - fall_capacitance : 4.175261; - rise_capacitance : 4.261834; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.036033,0.050664,0.146386"); - } - } - - internal_power () { - - when : "!E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.003621,7.018087,7.345498,8.240545,9.859802,12.352900,15.779190"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.301749,0.275559,0.525173,1.371143,3.047721,5.595847,9.062557"); - } - - } - - internal_power () { - - when : "E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("14.678330,14.662730,15.005760,15.998370,17.793110,20.963680,26.462960"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.900300; - fall_capacitance : 0.858257; - rise_capacitance : 0.900300; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.010335,-0.006652,-0.012091", \ - "-0.011138,-0.013068,-0.020816", \ - "0.099366,0.098565,0.077956"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.003339,-0.001509,-0.005191", \ - "0.001126,0.003847,0.001878", \ - "0.074991,0.079358,0.081411"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.032443,0.028564,0.029186", \ - "0.042180,0.038572,0.038797", \ - "0.071704,0.067337,0.065289"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.040074,0.041742,0.063682", \ - "0.044627,0.046559,0.066833", \ - "0.047328,0.048131,0.068744"); - } - } - - internal_power () { - - when : "!CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.818031,8.800686,8.799051,9.212764,10.198450,11.809940,14.086410"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.534003,7.492150,7.537469,7.970657,9.017781,10.705220,13.041930"); - } - - } - - internal_power () { - - when : "CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.725698,0.704008,0.689207,0.683816,0.681754,0.681371,0.678609"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613841,-0.620391,-0.619607,-0.622747,-0.621770,-0.625675,-0.622496"); - } - - } - - internal_power () { - - when : "CK & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633346,0.645236,0.644598,0.646262,0.645142,0.646980,0.645170"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.395075,-0.472006,-0.519331,-0.538470,-0.548466,-0.554210,-0.557721"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - state_function : "(CK & IQ)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "E"; - sdf_cond : "(E == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012630,0.017334,0.021655,0.029837,0.045897,0.077897,0.141827", \ - "0.013576,0.018266,0.022583,0.030767,0.046835,0.078836,0.142776", \ - "0.017488,0.022060,0.026318,0.034456,0.050506,0.082507,0.146453", \ - "0.022614,0.027389,0.031720,0.039865,0.055812,0.087747,0.151658", \ - "0.028361,0.033425,0.037949,0.046207,0.062139,0.093974,0.157786", \ - "0.034482,0.039943,0.044756,0.053399,0.069584,0.101395,0.165088", \ - "0.040886,0.046796,0.051943,0.061087,0.077846,0.110103,0.173787"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.011804,0.015365,0.018462,0.024019,0.034503,0.055143,0.096385", \ - "0.012411,0.015966,0.019061,0.024619,0.035096,0.055748,0.096989", \ - "0.015121,0.018664,0.021741,0.027276,0.037722,0.058365,0.099585", \ - "0.017999,0.021790,0.024953,0.030543,0.041033,0.061608,0.102776", \ - "0.020374,0.024651,0.028111,0.033942,0.044512,0.065108,0.106231", \ - "0.021892,0.026731,0.030596,0.036974,0.047939,0.068845,0.110025", \ - "0.022419,0.027834,0.032147,0.039213,0.050853,0.072303,0.114070"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002829,0.005816,0.009016,0.015689,0.029421,0.057063,0.112376", \ - "0.002830,0.005818,0.009017,0.015692,0.029422,0.057070,0.112389", \ - "0.003031,0.005924,0.009076,0.015705,0.029425,0.057070,0.112386", \ - "0.003872,0.006605,0.009572,0.015940,0.029445,0.057061,0.112394", \ - "0.005034,0.007667,0.010478,0.016506,0.029712,0.057108,0.112354", \ - "0.006540,0.009164,0.011925,0.017730,0.030427,0.057375,0.112399", \ - "0.008359,0.011034,0.013776,0.019497,0.031923,0.058244,0.112598"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002872,0.005047,0.007247,0.011710,0.021048,0.040256,0.078849", \ - "0.002874,0.005051,0.007248,0.011704,0.021037,0.040228,0.078855", \ - "0.003162,0.005230,0.007364,0.011771,0.021053,0.040210,0.078853", \ - "0.004095,0.005917,0.007903,0.012136,0.021242,0.040237,0.078837", \ - "0.005442,0.007220,0.009062,0.012931,0.021701,0.040488,0.078860", \ - "0.007130,0.008950,0.010807,0.014471,0.022779,0.041191,0.079117", \ - "0.009136,0.011041,0.012945,0.016616,0.024432,0.042635,0.080042"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E"; - sdf_cond : "(E == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012630,0.017333,0.021651,0.029845,0.046094,0.078129,0.142017", \ - "0.013576,0.018265,0.022579,0.030775,0.047030,0.079069,0.142962", \ - "0.017488,0.022059,0.026315,0.034461,0.050695,0.082742,0.146644", \ - "0.022618,0.027389,0.031717,0.039871,0.056001,0.087981,0.151850", \ - "0.028362,0.033426,0.037946,0.046208,0.062328,0.094194,0.157969", \ - "0.034478,0.039940,0.044752,0.053398,0.069795,0.101520,0.165142", \ - "0.040886,0.046794,0.051937,0.061080,0.078062,0.109577,0.172966"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002829,0.005814,0.009013,0.015758,0.029640,0.056982,0.112256", \ - "0.002827,0.005816,0.009014,0.015757,0.029640,0.056986,0.112254", \ - "0.003031,0.005921,0.009070,0.015769,0.029647,0.056987,0.112251", \ - "0.003873,0.006603,0.009566,0.016003,0.029676,0.056992,0.112257", \ - "0.005032,0.007664,0.010472,0.016572,0.029935,0.057036,0.112248", \ - "0.006544,0.009154,0.011918,0.017809,0.030605,0.057134,0.112267", \ - "0.008359,0.011029,0.013771,0.019581,0.031756,0.057245,0.112295"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.843110,17.820030,19.692260,23.976870,24.877280,25.019990,25.071280", \ - "15.870200,17.714560,19.662480,23.893760,24.791180,24.933500,25.016240", \ - "17.094020,18.868020,20.590300,24.799900,25.801080,25.949650,26.044560", \ - "20.284140,22.426300,24.366870,28.381850,29.254060,29.361090,29.444370", \ - "26.743290,28.660120,30.563300,35.053920,35.999790,36.011390,36.039430", \ - "36.116520,37.725050,40.041340,45.032860,46.294570,46.245540,46.105790", \ - "47.724550,49.225030,51.642060,57.756680,58.943320,59.165890,58.789060"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.700740,16.750200,17.018390,17.196500,17.296160,17.367280,17.403390", \ - "15.622870,16.651200,16.914310,17.097990,17.216920,17.289000,17.317870", \ - "16.832650,17.786710,17.987210,18.114700,18.227840,18.307260,18.351430", \ - "20.133880,21.393360,21.672560,21.679950,21.686260,21.742230,21.776710", \ - "26.576330,27.566540,28.049020,28.345730,28.405290,28.300110,28.303040", \ - "35.804940,36.754180,37.538920,38.287150,38.640430,38.572510,38.392370", \ - "47.266470,48.493440,49.497050,51.108250,52.279130,52.701020,52.307210"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.705793,10.898430,11.122650,11.609730,11.107480,8.953090,11.520380", \ - "9.599461,10.810980,10.891030,11.373330,11.257850,10.745560,11.313970", \ - "10.966840,11.890640,11.972090,11.771260,12.187890,12.090880,11.365910", \ - "15.263080,15.569820,15.640150,15.653290,15.017150,14.358540,13.038120", \ - "22.363470,22.495500,23.074420,22.666950,22.056870,21.542690,21.893300", \ - "31.685550,31.998080,32.484070,32.964580,32.707820,32.086040,31.753750", \ - "43.220600,43.449240,44.327360,45.347250,47.132610,46.909620,43.890760"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATE_X8 - Cell Description : Pos.edge clock gating cell with drive strength X8 - *******************************************************************************************/ - - cell (CLKGATE_X8) { - - drive_strength : 8; - - statetable ("CK E","IQ") { - table : "L L : - : L ,\ - L H : - : H ,\ - H - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge; - - area : 6.916000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 744.223833; - - leakage_power () { - when : "!CK & !E & !GCK"; - value : 607.432500; - } - leakage_power () { - when : "!CK & E & !GCK"; - value : 875.965125; - } - leakage_power () { - when : "CK & !E & !GCK"; - value : 616.868500; - } - leakage_power () { - when : "CK & !E & GCK"; - value : 847.790000; - } - leakage_power () { - when : "CK & E & !GCK"; - value : 661.626250; - } - leakage_power () { - when : "CK & E & GCK"; - value : 855.660625; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 7.716481; - fall_capacitance : 7.550853; - rise_capacitance : 7.716481; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.038475,0.053731,0.146386"); - } - } - - internal_power () { - - when : "!E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.831310,10.869750,11.167420,12.031530,13.595340,16.026800,19.399520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.420700,-1.449480,-1.229360,-0.438018,1.170612,3.674651,7.079175"); - } - - } - - internal_power () { - - when : "E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("23.268790,23.251840,23.571300,24.595440,26.474370,29.941770,36.850070"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 1.181988; - fall_capacitance : 1.120289; - rise_capacitance : 1.181988; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.009410,-0.006332,-0.012070", \ - "-0.012668,-0.011830,-0.020487", \ - "0.095664,0.094228,0.073559"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.002709,-0.000265,-0.003307", \ - "0.002057,0.005395,0.003448", \ - "0.071288,0.075641,0.077014"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.035190,0.031016,0.031672", \ - "0.044933,0.041030,0.041289", \ - "0.075407,0.071055,0.069687"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.040990,0.043274,0.065547", \ - "0.046157,0.048403,0.069326", \ - "0.051030,0.052468,0.073142"); - } - } - - internal_power () { - - when : "!CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("16.259260,16.240080,16.232730,16.870860,18.511430,21.110680,24.756740"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("12.101850,12.049790,12.124240,12.825300,14.524340,17.256550,21.025220"); - } - - } - - internal_power () { - - when : "CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.977112,0.946693,0.927176,0.923334,0.916505,0.917130,0.913554"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.827333,-0.833689,-0.831202,-0.836304,-0.833937,-0.840922,-0.835021"); - } - - } - - internal_power () { - - when : "CK & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.854672,0.869415,0.866742,0.869549,0.868152,0.871571,0.868931"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530305,-0.633191,-0.693842,-0.719865,-0.733644,-0.741701,-0.747385"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 484.619000; - state_function : "(CK & IQ)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "E"; - sdf_cond : "(E == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.012816,0.017712,0.022066,0.030284,0.046385,0.078446,0.142519", \ - "0.013781,0.018663,0.023016,0.031239,0.047342,0.079413,0.143483", \ - "0.017703,0.022461,0.026757,0.034935,0.051025,0.083093,0.147172", \ - "0.022855,0.027803,0.032167,0.040354,0.056347,0.088343,0.152399", \ - "0.028650,0.033881,0.038426,0.046724,0.062703,0.094604,0.158549", \ - "0.034832,0.040471,0.045294,0.053967,0.070198,0.102072,0.165894", \ - "0.041326,0.047414,0.052566,0.061722,0.078523,0.110840,0.174635"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.011939,0.015678,0.018834,0.024474,0.035056,0.055859,0.097366", \ - "0.012557,0.016291,0.019445,0.025087,0.035673,0.056468,0.097978", \ - "0.015270,0.018982,0.022117,0.027736,0.038299,0.059062,0.100563", \ - "0.018146,0.022087,0.025293,0.030968,0.041575,0.062290,0.103737", \ - "0.020515,0.024948,0.028429,0.034324,0.045005,0.065752,0.107140", \ - "0.022017,0.027023,0.030899,0.037307,0.048373,0.069424,0.110870", \ - "0.022509,0.028103,0.032419,0.039504,0.051212,0.072809,0.114814"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.002803,0.005890,0.009093,0.015765,0.029510,0.057207,0.112643", \ - "0.002804,0.005892,0.009093,0.015764,0.029507,0.057216,0.112659", \ - "0.002997,0.005993,0.009150,0.015780,0.029512,0.057217,0.112669", \ - "0.003826,0.006661,0.009643,0.016019,0.029543,0.057206,0.112652", \ - "0.004990,0.007707,0.010539,0.016584,0.029808,0.057263,0.112637", \ - "0.006504,0.009196,0.011972,0.017802,0.030520,0.057538,0.112677", \ - "0.008339,0.011069,0.013823,0.019565,0.032021,0.058395,0.112866"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.002880,0.005148,0.007380,0.011877,0.021246,0.040546,0.079404", \ - "0.002879,0.005150,0.007384,0.011883,0.021265,0.040552,0.079418", \ - "0.003159,0.005325,0.007503,0.011943,0.021282,0.040531,0.079412", \ - "0.004076,0.005974,0.008012,0.012304,0.021474,0.040581,0.079398", \ - "0.005425,0.007249,0.009120,0.013076,0.021910,0.040805,0.079450", \ - "0.007120,0.008982,0.010834,0.014571,0.022982,0.041498,0.079698", \ - "0.009132,0.011071,0.012973,0.016677,0.024612,0.042936,0.080580"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E"; - sdf_cond : "(E == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.012816,0.017710,0.022063,0.030283,0.046515,0.078704,0.142718", \ - "0.013782,0.018663,0.023013,0.031236,0.047475,0.079669,0.143684", \ - "0.017700,0.022459,0.026753,0.034932,0.051147,0.083349,0.147372", \ - "0.022858,0.027800,0.032165,0.040352,0.056461,0.088604,0.152595", \ - "0.028651,0.033880,0.038424,0.046717,0.062813,0.094858,0.158753", \ - "0.034831,0.040469,0.045289,0.053956,0.070314,0.102299,0.166031", \ - "0.041326,0.047412,0.052558,0.061711,0.078636,0.110647,0.174137"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.002802,0.005889,0.009089,0.015796,0.029761,0.057199,0.112520", \ - "0.002801,0.005893,0.009090,0.015796,0.029763,0.057202,0.112530", \ - "0.002998,0.005991,0.009147,0.015811,0.029764,0.057206,0.112529", \ - "0.003827,0.006655,0.009638,0.016043,0.029795,0.057223,0.112523", \ - "0.004992,0.007701,0.010535,0.016604,0.030067,0.057276,0.112524", \ - "0.006506,0.009193,0.011965,0.017818,0.030788,0.057455,0.112538", \ - "0.008340,0.011070,0.013818,0.019574,0.032149,0.057727,0.112566"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("27.957540,31.287720,34.049840,42.177720,45.719090,46.054300,46.180380", \ - "28.138210,31.200460,33.777050,42.045780,45.615680,45.962730,46.091610", \ - "30.330910,33.215830,35.607910,43.549020,47.314510,47.709500,47.884700", \ - "36.295430,39.404930,41.878610,49.473440,53.301210,53.597220,53.752280", \ - "47.936850,50.413360,52.901350,60.988190,65.047740,65.070040,65.118770", \ - "64.785170,66.737050,69.541400,77.950920,83.154300,83.102020,82.815220", \ - "85.797340,87.705360,90.045250,99.915700,105.819800,106.106200,105.306000"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("27.768600,30.246590,30.839770,31.202620,31.448790,31.541890,31.607870", \ - "27.862390,30.126300,30.707840,31.067510,31.348210,31.501870,31.515500", \ - "30.469520,32.118500,32.516200,32.834460,33.062520,33.232660,33.276370", \ - "36.028720,38.534490,39.067130,39.028660,39.030020,39.091220,39.166470", \ - "47.711960,49.341690,50.152650,50.754310,50.718520,50.521010,50.500660", \ - "64.394150,65.885880,67.109260,68.076300,68.593340,68.441470,68.041070", \ - "85.444220,86.726980,88.241050,90.763320,92.576510,93.156330,92.311460"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("17.659640,19.732170,20.276730,20.710290,18.972320,17.838370,20.304630", \ - "17.248070,19.404740,19.854990,20.068580,19.303950,17.346350,19.875300", \ - "19.476570,20.831340,21.221930,22.025210,21.083960,19.693790,19.727120", \ - "27.347060,27.742840,28.164900,27.702250,25.408450,26.221490,24.955510", \ - "39.667420,40.402500,40.485030,40.420850,39.142030,34.571420,35.735840", \ - "56.474190,57.098140,58.083520,58.791460,57.665200,53.580400,55.074010", \ - "77.012310,76.580850,78.392850,79.433880,79.678080,81.097600,75.731540"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFRS_X1 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active low set, and drive strength X1 - *******************************************************************************************/ - - cell (DFFRS_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - preset : "!SN"; - clear : "!RN"; - clear_preset_var1 : L; - clear_preset_var2 : L; - } - - area : 6.384000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 344.759575; - - leakage_power () { - when : "!CK & !D & !RN & !SN & !Q & !QN"; - value : 322.836250; - } - leakage_power () { - when : "!CK & !D & !RN & SN & !Q & QN"; - value : 353.029875; - } - leakage_power () { - when : "!CK & !D & RN & !SN & Q & !QN"; - value : 339.698750; - } - leakage_power () { - when : "!CK & !D & RN & SN & !Q & QN"; - value : 362.271912; - } - leakage_power () { - when : "!CK & !D & RN & SN & Q & !QN"; - value : 398.102875; - } - leakage_power () { - when : "!CK & D & !RN & !SN & !Q & !QN"; - value : 306.886625; - } - leakage_power () { - when : "!CK & D & !RN & SN & !Q & QN"; - value : 337.861750; - } - leakage_power () { - when : "!CK & D & RN & !SN & Q & !QN"; - value : 314.174250; - } - leakage_power () { - when : "!CK & D & RN & SN & !Q & QN"; - value : 350.643937; - } - leakage_power () { - when : "!CK & D & RN & SN & Q & !QN"; - value : 358.952500; - } - leakage_power () { - when : "CK & !D & !RN & !SN & !Q & !QN"; - value : 294.215875; - } - leakage_power () { - when : "CK & !D & !RN & SN & !Q & QN"; - value : 363.578875; - } - leakage_power () { - when : "CK & !D & RN & !SN & Q & !QN"; - value : 287.547750; - } - leakage_power () { - when : "CK & !D & RN & SN & !Q & QN"; - value : 374.678513; - } - leakage_power () { - when : "CK & !D & RN & SN & Q & !QN"; - value : 330.489750; - } - leakage_power () { - when : "CK & D & !RN & !SN & !Q & !QN"; - value : 338.776125; - } - leakage_power () { - when : "CK & D & !RN & SN & !Q & QN"; - value : 408.209125; - } - leakage_power () { - when : "CK & D & RN & !SN & Q & !QN"; - value : 295.499875; - } - leakage_power () { - when : "CK & D & RN & SN & !Q & QN"; - value : 419.306262; - } - leakage_power () { - when : "CK & D & RN & SN & Q & !QN"; - value : 338.430625; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.167750; - fall_capacitance : 1.111065; - rise_capacitance : 1.167750; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.003528,0.007966,0.004323", \ - "0.005072,0.006594,0.000926", \ - "0.112599,0.115966,0.103446"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002382,0.008011,0.006311", \ - "0.008835,0.014926,0.013512", \ - "0.087366,0.094839,0.095633"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.019864,0.013129,0.014761", \ - "0.029983,0.023075,0.023782", \ - "0.059471,0.052007,0.051247"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.023520,0.023621,0.037641", \ - "0.028421,0.026909,0.039274", \ - "0.034231,0.030872,0.043430"); - } - } - - internal_power () { - - when : "!CK & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.800468,4.774224,4.772839,5.121450,6.065825,7.653366,9.886978"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.549445,2.497319,2.503343,2.828270,3.727954,5.268670,7.472607"); - } - - } - - internal_power () { - - when : "!CK & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.102786,6.076550,6.074810,6.460139,7.483435,9.199209,11.626520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.037383,4.984928,5.009502,5.427842,6.517435,8.320797,10.854260"); - } - - } - - internal_power () { - - when : "!CK & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.800576,4.774408,4.773230,5.121624,6.065608,7.653626,9.887247"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.465623,2.413513,2.419515,2.744224,3.642502,5.182764,7.386797"); - } - - } - - internal_power () { - - when : "!CK & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.141703,6.114541,6.113044,6.497659,7.518633,9.232831,11.657510"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.170658,5.117969,5.142659,5.561106,6.646691,8.451841,10.980170"); - } - - } - - internal_power () { - - when : "!CK & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.042152,6.014960,6.013379,6.400167,7.422928,9.137974,11.563940"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.133690,5.081017,5.104281,5.518227,6.591732,8.384493,10.902680"); - } - - } - - internal_power () { - - when : "CK & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.976011,0.942704,0.926695,0.916541,0.913423,0.909649,0.910517"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.824782,-0.832152,-0.832990,-0.835046,-0.836303,-0.837192,-0.837458"); - } - - } - - internal_power () { - - when : "CK & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.977573,0.944963,0.926150,0.915689,0.914088,0.910137,0.911101"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.824907,-0.831692,-0.832536,-0.834570,-0.835793,-0.836656,-0.836903"); - } - - } - - internal_power () { - - when : "CK & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.853814,0.866737,0.866471,0.865895,0.866388,0.865219,0.866216"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.533280,-0.633327,-0.698001,-0.724148,-0.738105,-0.746379,-0.751678"); - } - - } - - internal_power () { - - when : "CK & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.975864,0.945193,0.927066,0.916822,0.913754,0.909883,0.910870"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.825835,-0.832807,-0.832895,-0.834810,-0.836310,-0.837011,-0.837354"); - } - - } - - internal_power () { - - when : "CK & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.854563,0.866739,0.866462,0.865823,0.866420,0.865215,0.866278"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.532677,-0.632434,-0.697491,-0.723933,-0.737403,-0.745940,-0.751101"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.445950; - fall_capacitance : 1.445950; - rise_capacitance : 1.381444; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.027778,-0.034309,-0.031939", \ - "-0.011662,-0.018770,-0.017105", \ - "0.068612,0.056419,0.057257"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.072649,0.078442,0.083841", \ - "0.082458,0.088004,0.093238", \ - "0.129984,0.135474,0.139821"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.082426,0.102495,0.179444"); - } - } - - internal_power () { - - when : "!CK & !D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.877602,0.890890,0.893010,0.895754,0.894780,0.896445,0.894355"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.638825,-0.644395,-0.644039,-0.644700,-0.643277,-0.645948,-0.642351"); - } - - } - - internal_power () { - - when : "!CK & D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.919189,0.925417,0.923710,0.922875,0.922647,0.921393,0.922414"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.538723,-0.554725,-0.571602,-0.580776,-0.584617,-0.587844,-0.589302"); - } - - } - - internal_power () { - - when : "CK & !D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.914777,0.913983,0.910156,0.911198,0.911426,0.909610,0.910019"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.631970,-0.635658,-0.635842,-0.637190,-0.637486,-0.640755,-0.641257"); - } - - } - - internal_power () { - - when : "CK & D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.914188,0.913564,0.909613,0.910656,0.910947,0.909074,0.909597"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.634100,-0.637932,-0.638558,-0.639145,-0.639581,-0.642777,-0.643260"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.223435; - fall_capacitance : 2.151561; - rise_capacitance : 2.223435; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.047924,-0.053924,-0.054005", \ - "-0.045988,-0.052002,-0.052051", \ - "-0.017788,-0.026578,-0.027205"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.115078,0.121349,0.121447", \ - "0.155400,0.161546,0.161883", \ - "0.296879,0.303030,0.303368"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.072659,0.086547,0.165410"); - } - } - - internal_power () { - - when : "!CK & !D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.526747,3.482327,3.670202,4.337574,5.587360,7.485637,10.050770"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.000549,-0.030437,0.095412,0.655403,1.840734,3.689903,6.230316"); - } - - } - - internal_power () { - - when : "!CK & D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.655647,1.625721,1.611231,1.607091,1.601291,1.598620,1.596161"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.271420,-1.276530,-1.280450,-1.283780,-1.281730,-1.284060,-1.281270"); - } - - } - - internal_power () { - - when : "CK & !D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.618095,1.599878,1.588940,1.584231,1.581278,1.579304,1.579560"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.275450,-1.280820,-1.279800,-1.281290,-1.280860,-1.279910,-1.279080"); - } - - } - - internal_power () { - - when : "CK & D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.618160,1.599842,1.589009,1.584327,1.581313,1.579453,1.579579"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.260310,-1.266810,-1.265950,-1.267700,-1.266930,-1.265750,-1.265230"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.979170; - fall_capacitance : 0.896842; - rise_capacitance : 0.979170; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.027182,0.040543,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.022909,0.033183,0.146386"); - } - } - - internal_power () { - - when : "!D & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.391300,7.399006,7.669736,8.481241,9.975555,12.318390,15.608690"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.291335,6.280067,6.490926,7.270208,8.878575,11.370160,14.790090"); - } - - } - - internal_power () { - - when : "!D & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.101865,5.109534,5.381138,6.187474,7.673102,10.006180,13.274290"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.802139,4.790150,4.990374,5.749384,7.312285,9.743566,13.096200"); - } - - } - - internal_power () { - - when : "!D & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.176324,8.186823,8.461683,9.291215,10.797820,13.152130,16.453060"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("23.302340,23.292560,23.502580,24.281170,25.886060,28.377280,31.789470"); - } - - } - - internal_power () { - - when : "!D & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.102041,5.109875,5.381138,6.187724,7.673137,10.005670,13.273610"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.822225,4.810556,5.011778,5.770381,7.332973,9.765505,13.113890"); - } - - } - - internal_power () { - - when : "!D & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.787673,9.788059,10.064810,10.889030,12.391970,14.738360,18.025730"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.399694,9.407060,9.684824,10.527950,12.063670,14.473800,17.859880"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("11.189810,11.171190,11.357010,12.105590,13.696830,16.202490,19.683950"); - } - - } - - internal_power () { - - when : "D & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.540309,9.547971,9.826145,10.687890,12.264100,14.737490,18.260510"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("16.442270,16.425990,16.615640,17.407860,19.054350,21.686450,25.362860"); - } - - } - - internal_power () { - - when : "D & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.824780,4.834640,5.101322,5.908605,7.381644,9.701179,12.964930"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.038981,5.030444,5.226637,5.971512,7.515378,9.943092,13.292230"); - } - - } - - internal_power () { - - when : "D & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.746759,9.744757,10.026910,10.887950,12.459530,14.925980,18.425280"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.831811,4.842454,5.108416,5.914532,7.388631,9.708088,12.971220"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.060222,5.048889,5.247116,5.992446,7.536691,9.966270,13.313810"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.653700; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0497002,0.0524212,0.0551870,0.0599269,0.0682176,0.0834902,0.113169", \ - "0.0504802,0.0531991,0.0559693,0.0607074,0.0689968,0.0842740,0.113949", \ - "0.0531693,0.0558874,0.0586559,0.0633949,0.0716822,0.0869620,0.116633", \ - "0.0556998,0.0584197,0.0611818,0.0659197,0.0742198,0.0894876,0.119164", \ - "0.0573269,0.0600503,0.0628157,0.0675575,0.0758459,0.0911228,0.120803", \ - "0.0575318,0.0602502,0.0630203,0.0677580,0.0760530,0.0913304,0.121006", \ - "0.0559961,0.0587170,0.0614872,0.0662245,0.0745155,0.0897952,0.119474"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0454009,0.0485192,0.0518978,0.0579425,0.0689093,0.0896705,0.130746", \ - "0.0461755,0.0492909,0.0526705,0.0587173,0.0696870,0.0904466,0.131523", \ - "0.0487163,0.0518352,0.0552144,0.0612617,0.0722312,0.0929895,0.134066", \ - "0.0509551,0.0540749,0.0574533,0.0635000,0.0744705,0.0952290,0.136308", \ - "0.0521094,0.0552236,0.0586027,0.0646476,0.0756092,0.0963692,0.137448", \ - "0.0517006,0.0548164,0.0581957,0.0642392,0.0752050,0.0959609,0.137023", \ - "0.0493772,0.0524973,0.0558759,0.0619206,0.0728777,0.0936221,0.134693"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.00386693,0.00509861,0.00654287,0.00938705,0.0152022,0.0272809,0.0525354", \ - "0.00386822,0.00509882,0.00654178,0.00938808,0.0152036,0.0272820,0.0525277", \ - "0.00386641,0.00510199,0.00654466,0.00938822,0.0152033,0.0272827,0.0525366", \ - "0.00386936,0.00509924,0.00654383,0.00938795,0.0152033,0.0272840,0.0525352", \ - "0.00387144,0.00510098,0.00654617,0.00938901,0.0152028,0.0272825,0.0525289", \ - "0.00387137,0.00510039,0.00654517,0.00938921,0.0152046,0.0272854,0.0525417", \ - "0.00387415,0.00510324,0.00654527,0.00939021,0.0152035,0.0272863,0.0525388"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.00420731,0.00616918,0.00851502,0.0129918,0.0218829,0.0403690,0.0785732", \ - "0.00420741,0.00616649,0.00851664,0.0129874,0.0218838,0.0403694,0.0785716", \ - "0.00421140,0.00616980,0.00851455,0.0129889,0.0218837,0.0403710,0.0785727", \ - "0.00421486,0.00617447,0.00851821,0.0129945,0.0218863,0.0403616,0.0785664", \ - "0.00421637,0.00617575,0.00852396,0.0129959,0.0218857,0.0403642,0.0785731", \ - "0.00422640,0.00618598,0.00852927,0.0130055,0.0218912,0.0403675,0.0785684", \ - "0.00424433,0.00620001,0.00854708,0.0130125,0.0218983,0.0403683,0.0785710"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.015260,0.017848,0.020499,0.025054,0.033061,0.048061,0.077679", \ - "0.016180,0.018766,0.021414,0.025970,0.033978,0.048979,0.078606", \ - "0.020138,0.022683,0.025304,0.029839,0.037836,0.052830,0.082451", \ - "0.026266,0.029026,0.031801,0.036491,0.044584,0.059538,0.089091", \ - "0.032626,0.035677,0.038723,0.043776,0.052267,0.067419,0.096892", \ - "0.039272,0.042635,0.045999,0.051532,0.060620,0.076231,0.105751", \ - "0.046011,0.049676,0.053380,0.059465,0.069334,0.085814,0.115808"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.018842,0.021900,0.025212,0.031118,0.041882,0.062556,0.103646", \ - "0.019454,0.022512,0.025825,0.031729,0.042491,0.063166,0.104262", \ - "0.022348,0.025390,0.028682,0.034555,0.045281,0.065916,0.107008", \ - "0.027165,0.030249,0.033553,0.039425,0.050089,0.070595,0.111569", \ - "0.031656,0.034941,0.038377,0.044369,0.055060,0.075504,0.116304", \ - "0.035673,0.039240,0.042916,0.049259,0.060244,0.080738,0.121429", \ - "0.038945,0.042812,0.046777,0.053572,0.065126,0.086046,0.126906"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.003334,0.004566,0.006016,0.008856,0.014656,0.026941,0.052449", \ - "0.003334,0.004567,0.006013,0.008856,0.014655,0.026948,0.052445", \ - "0.003380,0.004619,0.006063,0.008896,0.014674,0.026945,0.052443", \ - "0.004502,0.005631,0.006936,0.009541,0.015003,0.027028,0.052450", \ - "0.005851,0.007037,0.008321,0.010809,0.015969,0.027453,0.052505", \ - "0.007491,0.008787,0.010126,0.012592,0.017510,0.028348,0.052786", \ - "0.009450,0.010847,0.012301,0.014841,0.019701,0.030082,0.053613"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.003966,0.005905,0.008197,0.012584,0.021534,0.040262,0.078624", \ - "0.003966,0.005907,0.008198,0.012580,0.021524,0.040264,0.078623", \ - "0.003963,0.005914,0.008207,0.012594,0.021538,0.040288,0.078629", \ - "0.004629,0.006405,0.008620,0.012918,0.021691,0.040302,0.078650", \ - "0.005745,0.007379,0.009470,0.013581,0.022118,0.040496,0.078653", \ - "0.007253,0.008820,0.010852,0.014860,0.023054,0.040981,0.078830", \ - "0.009104,0.010640,0.012631,0.016653,0.024633,0.042193,0.079444"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.015525,0.018180,0.020910,0.025608,0.033891,0.049192,0.078892", \ - "0.016444,0.019098,0.021828,0.026525,0.034810,0.050111,0.079813", \ - "0.020411,0.023026,0.025728,0.030403,0.038675,0.053971,0.083669", \ - "0.026694,0.029527,0.032385,0.037219,0.045570,0.060816,0.090436", \ - "0.033250,0.036392,0.039540,0.044776,0.053583,0.069009,0.098492", \ - "0.040137,0.043606,0.047094,0.052857,0.062332,0.078162,0.107529", \ - "0.047169,0.050961,0.054812,0.061171,0.071497,0.088005,0.117207"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.003467,0.004749,0.006248,0.009187,0.015133,0.027246,0.052458", \ - "0.003465,0.004746,0.006249,0.009187,0.015134,0.027246,0.052467", \ - "0.003511,0.004799,0.006296,0.009223,0.015154,0.027252,0.052461", \ - "0.004663,0.005847,0.007204,0.009890,0.015478,0.027315,0.052468", \ - "0.006078,0.007344,0.008697,0.011290,0.016554,0.027696,0.052471", \ - "0.007825,0.009200,0.010633,0.013227,0.018231,0.028443,0.052603", \ - "0.009887,0.011380,0.012955,0.015671,0.020534,0.029655,0.052734"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.015261,0.017849,0.020499,0.025054,0.033061,0.048063,0.077680", \ - "0.016180,0.018766,0.021414,0.025970,0.033978,0.048981,0.078606", \ - "0.020138,0.022683,0.025304,0.029839,0.037837,0.052831,0.082451", \ - "0.026267,0.029027,0.031803,0.036491,0.044584,0.059539,0.089092", \ - "0.032625,0.035681,0.038723,0.043780,0.052267,0.067418,0.096890", \ - "0.039273,0.042634,0.045999,0.051532,0.060618,0.076232,0.105751", \ - "0.046011,0.049675,0.053380,0.059465,0.069333,0.085810,0.115809"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.018841,0.021900,0.025212,0.031118,0.041880,0.062556,0.103647", \ - "0.019454,0.022512,0.025824,0.031729,0.042490,0.063166,0.104263", \ - "0.022347,0.025390,0.028682,0.034554,0.045280,0.065915,0.107015", \ - "0.027166,0.030249,0.033551,0.039426,0.050088,0.070597,0.111568", \ - "0.031655,0.034942,0.038376,0.044369,0.055060,0.075507,0.116300", \ - "0.035670,0.039235,0.042916,0.049257,0.060242,0.080740,0.121426", \ - "0.038944,0.042810,0.046776,0.053572,0.065124,0.086044,0.126906"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.003335,0.004566,0.006013,0.008856,0.014653,0.026943,0.052445", \ - "0.003334,0.004567,0.006013,0.008856,0.014656,0.026948,0.052445", \ - "0.003380,0.004619,0.006063,0.008896,0.014673,0.026945,0.052443", \ - "0.004502,0.005629,0.006937,0.009541,0.015001,0.027028,0.052450", \ - "0.005836,0.007036,0.008324,0.010808,0.015966,0.027451,0.052505", \ - "0.007494,0.008787,0.010126,0.012587,0.017516,0.028347,0.052789", \ - "0.009450,0.010841,0.012301,0.014841,0.019696,0.030095,0.053606"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.003964,0.005905,0.008194,0.012583,0.021525,0.040270,0.078649", \ - "0.003966,0.005905,0.008197,0.012585,0.021523,0.040271,0.078616", \ - "0.003963,0.005912,0.008206,0.012594,0.021534,0.040285,0.078635", \ - "0.004626,0.006403,0.008620,0.012918,0.021691,0.040302,0.078650", \ - "0.005744,0.007378,0.009471,0.013579,0.022122,0.040505,0.078654", \ - "0.007254,0.008819,0.010853,0.014861,0.023057,0.040980,0.078832", \ - "0.009101,0.010637,0.012631,0.016652,0.024644,0.042185,0.079443"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.015527,0.018183,0.020913,0.025611,0.033894,0.049196,0.078896", \ - "0.016446,0.019100,0.021830,0.026527,0.034812,0.050113,0.079815", \ - "0.020415,0.023030,0.025730,0.030405,0.038679,0.053974,0.083672", \ - "0.026697,0.029531,0.032388,0.037223,0.045574,0.060820,0.090440", \ - "0.033252,0.036396,0.039543,0.044779,0.053585,0.069011,0.098495", \ - "0.040139,0.043604,0.047097,0.052858,0.062335,0.078165,0.107529", \ - "0.047174,0.050966,0.054810,0.061172,0.071497,0.088008,0.117214"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.003466,0.004746,0.006249,0.009186,0.015133,0.027247,0.052466", \ - "0.003465,0.004747,0.006249,0.009187,0.015134,0.027246,0.052467", \ - "0.003511,0.004800,0.006296,0.009224,0.015154,0.027252,0.052462", \ - "0.004663,0.005847,0.007204,0.009889,0.015478,0.027315,0.052468", \ - "0.006075,0.007343,0.008697,0.011288,0.016553,0.027696,0.052471", \ - "0.007823,0.009191,0.010634,0.013228,0.018230,0.028443,0.052603", \ - "0.009886,0.011380,0.012954,0.015669,0.020533,0.029655,0.052736"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.015298,0.017891,0.020544,0.025109,0.033125,0.048126,0.077787", \ - "0.016218,0.018808,0.021460,0.026025,0.034041,0.049043,0.078705", \ - "0.020176,0.022727,0.025350,0.029894,0.037900,0.052893,0.082554", \ - "0.026314,0.029081,0.031860,0.036554,0.044655,0.059613,0.089209", \ - "0.032685,0.035742,0.038793,0.043852,0.052351,0.067508,0.097025", \ - "0.039343,0.042708,0.046078,0.051616,0.060710,0.076338,0.105887", \ - "0.046093,0.049764,0.053470,0.059564,0.069434,0.085930,0.115949"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.089599,0.092667,0.095978,0.101881,0.112628,0.133264,0.174346", \ - "0.090083,0.093151,0.096463,0.102365,0.113111,0.133753,0.174829", \ - "0.090826,0.093898,0.097213,0.103114,0.113861,0.134501,0.175579", \ - "0.091974,0.095041,0.098358,0.104263,0.115001,0.135634,0.176716", \ - "0.093678,0.096747,0.100059,0.105961,0.116713,0.137345,0.178423", \ - "0.097712,0.100792,0.104104,0.110014,0.120757,0.141387,0.182429", \ - "0.101988,0.105167,0.108567,0.114511,0.125276,0.145908,0.186970"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.003344,0.004575,0.006030,0.008871,0.014668,0.026945,0.052618", \ - "0.003343,0.004579,0.006029,0.008870,0.014665,0.026948,0.052616", \ - "0.003390,0.004630,0.006076,0.008911,0.014685,0.026949,0.052622", \ - "0.004501,0.005643,0.006948,0.009556,0.015014,0.027033,0.052632", \ - "0.005859,0.007048,0.008336,0.010826,0.015981,0.027461,0.052683", \ - "0.007504,0.008798,0.010140,0.012605,0.017526,0.028354,0.052940", \ - "0.009466,0.010858,0.012314,0.014860,0.019731,0.030100,0.053703"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.004090,0.006018,0.008300,0.012675,0.021584,0.040270,0.078662", \ - "0.004094,0.006017,0.008301,0.012677,0.021570,0.040270,0.078646", \ - "0.004093,0.006018,0.008300,0.012674,0.021580,0.040271,0.078644", \ - "0.004093,0.006017,0.008303,0.012673,0.021579,0.040271,0.078643", \ - "0.004091,0.006019,0.008302,0.012678,0.021578,0.040267,0.078645", \ - "0.004342,0.006207,0.008468,0.012811,0.021663,0.040297,0.078631", \ - "0.004969,0.006730,0.008921,0.013165,0.021894,0.040463,0.078719"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.015552,0.018218,0.020947,0.025631,0.033862,0.049102,0.078821", \ - "0.016471,0.019136,0.021863,0.026548,0.034779,0.050022,0.079740", \ - "0.020442,0.023065,0.025766,0.030427,0.038647,0.053884,0.083600", \ - "0.026748,0.029586,0.032437,0.037251,0.045544,0.060741,0.090397", \ - "0.033327,0.036460,0.039595,0.044799,0.053530,0.068952,0.098527", \ - "0.040237,0.043682,0.047144,0.052854,0.062226,0.078155,0.107786", \ - "0.047276,0.051033,0.054840,0.061129,0.071337,0.088200,0.118286"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.003494,0.004765,0.006248,0.009150,0.015041,0.027213,0.052665", \ - "0.003492,0.004766,0.006251,0.009152,0.015042,0.027208,0.052666", \ - "0.003538,0.004818,0.006299,0.009187,0.015062,0.027215,0.052668", \ - "0.004695,0.005859,0.007192,0.009841,0.015383,0.027301,0.052682", \ - "0.006098,0.007325,0.008658,0.011206,0.016444,0.027781,0.052736", \ - "0.007800,0.009132,0.010542,0.013090,0.018103,0.028752,0.053018", \ - "0.009794,0.011261,0.012796,0.015466,0.020455,0.030581,0.053781"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.015298,0.017891,0.020544,0.025109,0.033125,0.048126,0.077787", \ - "0.016218,0.018808,0.021460,0.026025,0.034041,0.049043,0.078705", \ - "0.020176,0.022727,0.025350,0.029894,0.037899,0.052893,0.082554", \ - "0.026314,0.029081,0.031860,0.036554,0.044655,0.059613,0.089208", \ - "0.032685,0.035742,0.038793,0.043852,0.052351,0.067508,0.097024", \ - "0.039343,0.042708,0.046078,0.051616,0.060710,0.076338,0.105887", \ - "0.046094,0.049764,0.053470,0.059564,0.069435,0.085930,0.115949"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.089590,0.092654,0.095964,0.101867,0.112612,0.133248,0.174329", \ - "0.090069,0.093137,0.096449,0.102351,0.113099,0.133738,0.174815", \ - "0.090811,0.093884,0.097198,0.103098,0.113845,0.134481,0.175568", \ - "0.091958,0.095025,0.098345,0.104247,0.114987,0.135619,0.176701", \ - "0.093662,0.096730,0.100043,0.105944,0.116694,0.137330,0.178406", \ - "0.097693,0.100773,0.104087,0.109996,0.120741,0.141364,0.182407", \ - "0.101966,0.105149,0.108546,0.114494,0.125257,0.145891,0.186952"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.003344,0.004575,0.006030,0.008871,0.014668,0.026945,0.052618", \ - "0.003343,0.004579,0.006029,0.008870,0.014665,0.026947,0.052616", \ - "0.003390,0.004630,0.006076,0.008911,0.014682,0.026949,0.052622", \ - "0.004501,0.005643,0.006948,0.009556,0.015014,0.027033,0.052632", \ - "0.005859,0.007048,0.008336,0.010826,0.015981,0.027462,0.052682", \ - "0.007504,0.008798,0.010140,0.012605,0.017526,0.028354,0.052940", \ - "0.009466,0.010858,0.012314,0.014860,0.019731,0.030100,0.053703"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.004093,0.006018,0.008300,0.012677,0.021577,0.040271,0.078631", \ - "0.004094,0.006019,0.008302,0.012677,0.021583,0.040271,0.078639", \ - "0.004093,0.006016,0.008302,0.012675,0.021583,0.040273,0.078621", \ - "0.004093,0.006018,0.008301,0.012676,0.021580,0.040270,0.078643", \ - "0.004092,0.006019,0.008302,0.012678,0.021572,0.040267,0.078645", \ - "0.004342,0.006208,0.008466,0.012810,0.021663,0.040309,0.078620", \ - "0.004969,0.006729,0.008921,0.013165,0.021894,0.040463,0.078719"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.015552,0.018218,0.020947,0.025631,0.033862,0.049103,0.078821", \ - "0.016471,0.019136,0.021863,0.026548,0.034779,0.050022,0.079740", \ - "0.020442,0.023066,0.025766,0.030427,0.038647,0.053884,0.083600", \ - "0.026748,0.029586,0.032437,0.037251,0.045544,0.060741,0.090397", \ - "0.033327,0.036459,0.039595,0.044799,0.053530,0.068952,0.098527", \ - "0.040237,0.043681,0.047144,0.052854,0.062226,0.078155,0.107786", \ - "0.047276,0.051033,0.054844,0.061128,0.071337,0.088200,0.118286"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.003494,0.004768,0.006248,0.009150,0.015041,0.027209,0.052666", \ - "0.003492,0.004766,0.006251,0.009152,0.015042,0.027208,0.052666", \ - "0.003538,0.004818,0.006299,0.009187,0.015060,0.027215,0.052668", \ - "0.004695,0.005859,0.007192,0.009841,0.015383,0.027301,0.052682", \ - "0.006098,0.007325,0.008658,0.011206,0.016444,0.027781,0.052736", \ - "0.007800,0.009131,0.010542,0.013090,0.018103,0.028752,0.053018", \ - "0.009793,0.011257,0.012795,0.015465,0.020455,0.030582,0.053781"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.083745,0.086910,0.090296,0.096288,0.107061,0.127580,0.168517", \ - "0.084619,0.087783,0.091169,0.097160,0.107934,0.128454,0.169388", \ - "0.087733,0.090897,0.094282,0.100275,0.111050,0.131568,0.172505", \ - "0.093164,0.096328,0.099711,0.105707,0.116478,0.136994,0.177936", \ - "0.102980,0.106143,0.109526,0.115513,0.126284,0.146808,0.187744", \ - "0.117405,0.120580,0.123967,0.129965,0.140747,0.161254,0.202160", \ - "0.135456,0.138654,0.142051,0.148064,0.158848,0.179347,0.220217"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.004800,0.006619,0.008866,0.013162,0.021884,0.040370,0.078554", \ - "0.004801,0.006618,0.008864,0.013158,0.021884,0.040359,0.078558", \ - "0.004799,0.006619,0.008864,0.013162,0.021883,0.040367,0.078555", \ - "0.004800,0.006618,0.008867,0.013159,0.021884,0.040362,0.078553", \ - "0.004807,0.006622,0.008866,0.013161,0.021887,0.040365,0.078555", \ - "0.004895,0.006695,0.008937,0.013222,0.021920,0.040373,0.078557", \ - "0.005033,0.006816,0.009043,0.013312,0.021973,0.040398,0.078559"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.083707,0.086873,0.090258,0.096250,0.107022,0.127537,0.168472", \ - "0.084584,0.087748,0.091134,0.097126,0.107898,0.128413,0.169347", \ - "0.087686,0.090853,0.094238,0.100233,0.111006,0.131523,0.172458", \ - "0.093095,0.096259,0.099642,0.105637,0.116408,0.136921,0.177859", \ - "0.102877,0.106038,0.109423,0.115409,0.126180,0.146700,0.187634", \ - "0.117274,0.120445,0.123836,0.129831,0.140605,0.161108,0.202007", \ - "0.135312,0.138509,0.141910,0.147917,0.158694,0.179182,0.220042"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.004803,0.006620,0.008867,0.013162,0.021886,0.040370,0.078554", \ - "0.004803,0.006621,0.008866,0.013159,0.021886,0.040361,0.078558", \ - "0.004800,0.006623,0.008867,0.013161,0.021883,0.040362,0.078557", \ - "0.004802,0.006622,0.008867,0.013160,0.021886,0.040359,0.078556", \ - "0.004806,0.006626,0.008870,0.013163,0.021887,0.040368,0.078555", \ - "0.004897,0.006696,0.008936,0.013223,0.021920,0.040375,0.078557", \ - "0.005036,0.006817,0.009046,0.013318,0.021975,0.040398,0.078561"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.098328,0.101411,0.104741,0.110666,0.121435,0.142068,0.183102", \ - "0.099212,0.102290,0.105619,0.111546,0.122313,0.142942,0.183979", \ - "0.102644,0.105730,0.109055,0.114979,0.125744,0.146376,0.187410", \ - "0.107171,0.110253,0.113581,0.119507,0.130275,0.150904,0.191941", \ - "0.112417,0.115492,0.118823,0.124761,0.135536,0.156158,0.197194", \ - "0.118349,0.121415,0.124743,0.130685,0.141453,0.162079,0.203118", \ - "0.124899,0.127972,0.131314,0.137236,0.148016,0.168623,0.209658"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.004124,0.006053,0.008340,0.012717,0.021601,0.040257,0.078534", \ - "0.004120,0.006046,0.008336,0.012713,0.021600,0.040259,0.078532", \ - "0.004118,0.006043,0.008333,0.012711,0.021597,0.040257,0.078532", \ - "0.004114,0.006045,0.008333,0.012707,0.021599,0.040264,0.078527", \ - "0.004116,0.006045,0.008332,0.012705,0.021598,0.040258,0.078527", \ - "0.004108,0.006042,0.008335,0.012710,0.021597,0.040259,0.078527", \ - "0.004117,0.006046,0.008332,0.012700,0.021591,0.040239,0.078527"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.098387,0.101465,0.104792,0.110717,0.121484,0.142110,0.183135", \ - "0.099266,0.102340,0.105670,0.111596,0.122361,0.142985,0.184014", \ - "0.102696,0.105781,0.109108,0.115027,0.125792,0.146418,0.187444", \ - "0.107217,0.110301,0.113629,0.119554,0.130319,0.150944,0.191971", \ - "0.112463,0.115537,0.118868,0.124806,0.135576,0.156195,0.197225", \ - "0.118386,0.121457,0.124782,0.130724,0.141490,0.162111,0.203146", \ - "0.124938,0.128010,0.131350,0.137270,0.148049,0.168654,0.209684"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.004125,0.006054,0.008340,0.012716,0.021601,0.040262,0.078534", \ - "0.004119,0.006048,0.008338,0.012714,0.021600,0.040260,0.078532", \ - "0.004118,0.006044,0.008333,0.012712,0.021600,0.040258,0.078532", \ - "0.004115,0.006046,0.008334,0.012706,0.021599,0.040264,0.078527", \ - "0.004116,0.006045,0.008333,0.012704,0.021597,0.040253,0.078527", \ - "0.004106,0.006041,0.008335,0.012708,0.021597,0.040259,0.078527", \ - "0.004116,0.006046,0.008332,0.012700,0.021591,0.040240,0.078526"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("8.185319,8.084252,8.005499,7.928522,7.929010,7.917014,7.926415", \ - "8.174973,8.068236,8.012918,7.936288,7.916702,7.810783,7.703930", \ - "8.282166,8.173288,8.093009,8.054717,8.013715,7.907507,8.004995", \ - "8.661227,8.554014,8.492481,8.450838,8.334722,8.402822,8.349246", \ - "9.445694,9.341532,9.277953,9.209679,9.205124,9.193761,9.170321", \ - "10.689440,10.590540,10.531790,10.451960,10.387910,10.395510,10.356110", \ - "12.213840,12.153550,12.135480,12.091230,12.127790,12.020210,12.019990"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("8.003748,7.930178,7.942719,7.939629,8.012289,8.015856,7.981157", \ - "8.000739,7.934244,7.938670,7.945157,8.011118,7.999357,7.981202", \ - "8.092651,8.032106,8.047780,8.053166,8.105598,8.097952,8.105437", \ - "8.485186,8.410012,8.423405,8.421355,8.499531,8.457862,8.362322", \ - "9.266920,9.236668,9.230225,9.233740,9.265249,9.291135,9.280096", \ - "10.530180,10.496410,10.509220,10.509870,10.544350,10.499770,10.577210", \ - "12.047110,12.006830,12.059780,12.134050,12.292160,12.356360,12.312820"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("4.554890,4.796393,4.990036,5.178355,5.259173,5.272331,5.289057", \ - "4.537317,4.775177,4.965898,5.153200,5.235216,5.247593,5.262476", \ - "4.735832,4.931454,5.115095,5.293771,5.357360,5.365521,5.379853", \ - "5.485001,5.674295,5.842322,5.967657,5.985099,5.944431,5.928168", \ - "6.852885,6.943787,6.993932,7.114928,7.199724,7.113254,7.042241", \ - "8.840770,8.958735,8.945812,8.980255,9.027209,8.979628,8.871027", \ - "11.453810,11.556800,11.535750,11.486580,11.567550,11.560120,11.503200"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.307237,3.445180,3.558651,3.628100,3.630607,3.431222,3.438706", \ - "3.235791,3.398022,3.516190,3.575432,3.611412,3.412974,3.046295", \ - "3.442624,3.522611,3.645090,3.666714,3.622070,3.072790,3.234874", \ - "4.302351,4.339460,4.378536,4.314576,4.028758,3.761392,3.504508", \ - "5.901535,5.834872,5.755336,5.684574,5.525802,4.979738,4.681778", \ - "8.126803,8.006449,8.012950,7.900955,7.594600,7.310695,6.868615", \ - "10.903260,10.811540,10.707630,10.764320,10.527260,10.155720,9.555556"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("5.181259,5.158776,5.250483,5.353265,5.463931,5.516814,5.481333", \ - "5.184927,5.182088,5.159398,5.354523,5.447757,5.509253,5.505640", \ - "5.276713,5.278855,5.298619,5.393843,5.478429,5.573046,5.534089", \ - "5.919735,5.841817,5.782830,5.818165,5.834309,5.842547,5.788538", \ - "6.921416,6.786010,6.708019,6.678770,6.597720,6.578032,6.533689", \ - "8.342714,8.198745,8.081932,7.942380,7.789204,7.713245,7.403266", \ - "10.218390,10.039050,9.959611,9.681283,9.372726,9.153877,8.919733"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("4.580902,4.829487,5.020933,5.210073,5.293678,5.297523,5.311258", \ - "4.571643,4.809433,5.000205,5.186934,5.268282,5.279729,5.293272", \ - "4.770361,4.965951,5.149452,5.327841,5.396819,5.399164,5.412537", \ - "5.520231,5.696666,5.878013,6.001610,6.018862,5.977287,5.961068", \ - "6.906480,6.976505,7.003410,7.147729,7.228721,7.142936,7.075679", \ - "8.894994,8.992823,8.980129,9.011164,9.051812,9.011224,8.906194", \ - "11.490500,11.592600,11.570060,11.520870,11.572830,11.591180,11.538160"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.250260,3.405369,3.484940,3.607434,3.366764,3.491230,2.885805", \ - "3.206527,3.388498,3.521333,3.567537,3.342483,3.470606,3.487966", \ - "3.432366,3.487790,3.645508,3.647982,3.674911,3.133456,3.294859", \ - "4.292058,4.330057,4.369708,4.311722,4.268790,3.820194,3.496942", \ - "5.886434,5.824686,5.744862,5.609634,5.509873,5.038516,4.672815", \ - "8.120248,8.009988,8.003327,7.891757,7.513973,6.704720,6.849895", \ - "10.913750,10.792640,10.697120,10.753890,10.508050,10.147410,9.542397"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("5.317511,5.330844,5.386816,5.488558,5.598464,5.612397,5.587366", \ - "5.318350,5.318922,5.299397,5.487531,5.579913,5.636666,5.633920", \ - "5.410835,5.416062,5.434635,5.522554,5.597424,5.654310,5.654215", \ - "6.043414,5.973262,5.913945,5.951441,5.975408,6.026237,5.907905", \ - "7.049335,6.915720,6.844357,6.787502,6.727315,6.703078,6.658644", \ - "8.461702,8.326725,8.210583,8.071409,7.967526,7.837216,7.524364", \ - "10.364610,10.165740,10.088750,9.810169,9.501427,9.362062,9.043508"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("5.068474,5.389618,5.697865,6.072383,6.538950,8.305230,11.376750", \ - "5.052102,5.381404,5.672512,6.026935,6.522539,8.355398,11.364470", \ - "5.255784,5.547757,5.828310,6.186448,6.635457,8.451901,11.504790", \ - "6.085362,6.329579,6.606921,6.902240,7.335952,9.145845,12.078240", \ - "7.522263,7.692313,7.786689,8.120456,8.588523,10.344520,13.273530", \ - "9.722102,9.899887,9.965499,10.158020,10.545830,12.130310,15.307900", \ - "12.597700,12.815850,12.822940,12.939040,13.300850,14.587560,18.379510"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("8.699520,8.985560,9.091256,9.250123,9.249631,9.104554,9.260547", \ - "8.741595,8.878761,9.075048,9.148414,9.271769,9.091738,8.627888", \ - "8.724112,8.953504,9.069432,9.245857,9.203384,9.075714,9.082807", \ - "8.734747,8.952763,9.115885,9.235867,9.160723,9.140690,8.805828", \ - "8.799719,9.071823,9.203431,9.353843,9.373407,9.195050,9.362311", \ - "9.302691,9.492087,9.528293,9.714749,9.629269,9.427874,9.362152", \ - "10.229320,10.270180,10.465860,10.501800,10.370020,10.065780,9.595498"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("5.347115,5.288849,5.362516,5.615941,6.975251,9.339841,9.596394", \ - "5.336910,5.287057,5.273172,5.616993,6.934009,9.329939,9.646132", \ - "5.445331,5.403785,5.419110,5.663227,7.053718,9.356019,9.618995", \ - "6.092562,5.949284,5.927990,6.156503,7.480715,9.708546,10.001180", \ - "7.114889,6.982280,6.850593,7.013011,8.235945,10.487140,10.559190", \ - "8.580619,8.407436,8.248701,8.242367,9.230973,11.724180,11.911260", \ - "10.573010,10.314960,10.154580,9.992968,10.507360,13.327990,13.774990"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("5.068810,5.389247,5.699205,6.053632,6.537679,8.299545,11.375150", \ - "5.051912,5.381138,5.672162,6.026423,6.521254,8.319712,11.362810", \ - "5.255485,5.547398,5.828418,6.185855,6.637293,8.450937,11.481080", \ - "6.085206,6.328990,6.606284,6.901476,7.332307,9.259324,12.076480", \ - "7.521796,7.691584,7.785519,8.119490,8.587016,10.364500,13.239090", \ - "9.718653,9.898986,9.964496,10.156840,10.543560,12.127590,15.300880", \ - "12.597910,12.815130,12.821900,12.937810,13.299070,14.591310,18.376560"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("8.747020,8.972431,9.067543,9.253305,9.245603,9.063952,9.266638", \ - "8.742652,8.862874,9.073072,9.119610,9.267850,9.130019,8.632172", \ - "8.723931,8.956609,9.106365,9.237301,9.199823,9.017249,9.081740", \ - "8.733775,8.956179,9.055458,9.236825,9.149711,9.136671,8.810846", \ - "8.803360,9.075187,9.186305,9.193290,9.369584,9.219921,9.367415", \ - "9.303256,9.495680,9.622693,9.717762,9.632879,9.423195,9.367017", \ - "10.265980,10.376360,10.426070,10.504560,10.371610,10.062000,9.601088"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("5.344566,5.308712,5.362135,5.615623,6.975212,9.319040,9.648077", \ - "5.336705,5.286800,5.272532,5.616650,6.977397,9.325817,9.633528", \ - "5.445103,5.395590,5.418718,5.662731,7.038035,9.351512,9.619565", \ - "6.092217,5.948897,5.927549,6.156063,7.482057,9.700462,9.985098", \ - "7.114687,6.982116,6.850123,7.012536,8.235546,10.482110,10.549990", \ - "8.580096,8.406685,8.239601,8.241711,9.149089,11.720170,11.900320", \ - "10.572170,10.315960,10.103450,9.992239,10.614980,13.348690,13.766960"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("7.531085,7.546248,7.526359,7.590421,7.651179,7.583708,7.370486", \ - "7.510781,7.522293,7.520648,7.567777,7.536683,7.453118,7.478391", \ - "7.777019,7.755092,7.765261,7.799136,7.770091,7.683037,7.707384", \ - "8.656457,8.549847,8.504562,8.496717,8.475992,8.426682,8.304828", \ - "10.288870,10.135300,10.027070,9.961477,9.850148,9.799202,9.540752", \ - "12.757300,12.567610,12.371310,12.218700,12.068170,11.943200,11.700130", \ - "16.015320,15.717570,15.551440,15.325010,15.143680,14.865370,14.700160"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("6.588484,6.570910,6.656029,6.657206,6.619104,6.631013,6.495587", \ - "6.576784,6.573390,6.641283,6.638851,6.609091,6.593758,6.555244", \ - "6.702371,6.706613,6.750633,6.768021,6.740514,6.654894,6.681752", \ - "7.283678,7.204421,7.139398,7.132456,7.076523,7.065442,6.891157", \ - "8.306787,8.142777,8.048517,7.966359,7.859909,7.811245,7.550622", \ - "9.819054,9.630705,9.433040,9.281102,9.114487,9.009709,8.770422", \ - "11.770770,11.492000,11.329980,11.104220,10.892630,10.650000,10.485300"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("8.725840,8.735473,8.804738,8.906767,8.907691,8.849521,8.895105", \ - "8.703866,8.725030,8.804329,8.815100,8.883051,8.776804,8.779014", \ - "8.944852,8.950974,9.013740,9.011453,9.086519,9.033975,8.979782", \ - "9.831019,9.739024,9.776286,9.772787,9.723786,9.734856,9.581460", \ - "11.395040,11.275240,11.210520,11.117440,11.043720,11.020090,10.652180", \ - "13.628740,13.448290,13.360040,13.211400,13.064740,12.891730,12.679810", \ - "16.418270,16.164590,16.037530,15.811340,15.592510,15.354330,15.279120"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("8.750698,8.790474,8.860503,8.913477,8.918497,8.925161,8.918751", \ - "8.722038,8.727673,8.822896,8.816577,8.893821,8.807585,8.801939", \ - "8.951051,8.953534,9.008760,9.017757,9.097174,9.058573,9.002642", \ - "9.839122,9.744238,9.780406,9.811502,9.738998,9.751328,9.604365", \ - "11.396990,11.287830,11.219930,11.174500,11.054010,11.036330,10.674400", \ - "13.672970,13.488130,13.366340,13.231680,13.104000,12.907610,12.701750", \ - "16.422460,16.175770,16.042120,15.817970,15.602680,15.370000,15.300830"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0577977,0.0597074,0.0618874,0.0659477,0.0734990,0.0881848,0.117555", \ - "0.0585718,0.0604777,0.0626615,0.0667211,0.0742760,0.0889608,0.118335", \ - "0.0611154,0.0630201,0.0652036,0.0692644,0.0768202,0.0915054,0.120874", \ - "0.0633539,0.0652610,0.0674427,0.0715027,0.0790595,0.0937419,0.123118", \ - "0.0645051,0.0664070,0.0685899,0.0726453,0.0801975,0.0948821,0.124255", \ - "0.0640930,0.0659966,0.0681788,0.0722367,0.0797917,0.0944745,0.123843", \ - "0.0617660,0.0636702,0.0658523,0.0699119,0.0774621,0.0921391,0.121510"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0672314,0.0696625,0.0723858,0.0775586,0.0877136,0.108010,0.148785", \ - "0.0680116,0.0704422,0.0731674,0.0783382,0.0884933,0.108789,0.149564", \ - "0.0707010,0.0731271,0.0758532,0.0810277,0.0911787,0.111477,0.152250", \ - "0.0732336,0.0756625,0.0783812,0.0835518,0.0937149,0.114006,0.154781", \ - "0.0748547,0.0772918,0.0800131,0.0851876,0.0953431,0.115640,0.156413", \ - "0.0750662,0.0774948,0.0802186,0.0853901,0.0955499,0.115845,0.156624", \ - "0.0735324,0.0759623,0.0786866,0.0838568,0.0940112,0.114310,0.155087"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00372804,0.00484028,0.00615704,0.00886167,0.0146273,0.0269982,0.0524367", \ - "0.00372969,0.00483772,0.00615472,0.00885820,0.0146274,0.0270015,0.0524281", \ - "0.00372617,0.00483712,0.00615766,0.00885726,0.0146305,0.0270021,0.0524380", \ - "0.00372784,0.00484125,0.00615855,0.00885930,0.0146287,0.0269957,0.0524328", \ - "0.00372812,0.00484183,0.00615903,0.00885376,0.0146304,0.0269956,0.0524368", \ - "0.00373224,0.00484061,0.00616219,0.00886114,0.0146295,0.0269981,0.0524313", \ - "0.00373934,0.00484640,0.00616053,0.00886292,0.0146310,0.0269984,0.0524357"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00410046,0.00593098,0.00811354,0.0124301,0.0214762,0.0403312,0.0785071", \ - "0.00410187,0.00592958,0.00811080,0.0124318,0.0214761,0.0403335,0.0785065", \ - "0.00410236,0.00593208,0.00811596,0.0124317,0.0214766,0.0403335,0.0785096", \ - "0.00410161,0.00592941,0.00811335,0.0124338,0.0214742,0.0403321,0.0785163", \ - "0.00410141,0.00592994,0.00811512,0.0124317,0.0214753,0.0403317,0.0785115", \ - "0.00410289,0.00593170,0.00811639,0.0124319,0.0214754,0.0403282,0.0785123", \ - "0.00410186,0.00592965,0.00811061,0.0124334,0.0214747,0.0403300,0.0785042"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.032810,0.035259,0.038001,0.043198,0.053382,0.073702,0.114495", \ - "0.033727,0.036174,0.038915,0.044113,0.054297,0.074618,0.115408", \ - "0.037619,0.040068,0.042807,0.047999,0.058174,0.078488,0.119278", \ - "0.044902,0.047252,0.049910,0.055006,0.065090,0.085331,0.126076", \ - "0.053345,0.055602,0.058131,0.063051,0.072972,0.093085,0.133744", \ - "0.062591,0.064813,0.067236,0.071967,0.081682,0.101614,0.142145", \ - "0.072412,0.074645,0.076997,0.081537,0.091006,0.110707,0.151068"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004085,0.005917,0.008099,0.012425,0.021475,0.040334,0.078513", \ - "0.004085,0.005918,0.008104,0.012424,0.021475,0.040333,0.078510", \ - "0.004090,0.005921,0.008102,0.012430,0.021474,0.040330,0.078514", \ - "0.004140,0.005968,0.008142,0.012457,0.021487,0.040332,0.078509", \ - "0.004308,0.006107,0.008265,0.012541,0.021532,0.040345,0.078512", \ - "0.004556,0.006322,0.008453,0.012677,0.021604,0.040361,0.078513", \ - "0.004907,0.006641,0.008748,0.012879,0.021710,0.040394,0.078511"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.032812,0.035261,0.038002,0.043199,0.053385,0.073705,0.114495", \ - "0.033729,0.036177,0.038917,0.044115,0.054299,0.074620,0.115409", \ - "0.037621,0.040071,0.042809,0.048001,0.058177,0.078492,0.119282", \ - "0.044903,0.047255,0.049913,0.055009,0.065093,0.085334,0.126078", \ - "0.053347,0.055604,0.058134,0.063053,0.072974,0.093087,0.133747", \ - "0.062593,0.064816,0.067238,0.071968,0.081685,0.101614,0.142147", \ - "0.072416,0.074648,0.076997,0.081538,0.091009,0.110710,0.151068"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004085,0.005916,0.008101,0.012424,0.021475,0.040333,0.078510", \ - "0.004085,0.005919,0.008104,0.012424,0.021475,0.040333,0.078509", \ - "0.004090,0.005921,0.008106,0.012428,0.021476,0.040330,0.078514", \ - "0.004141,0.005968,0.008142,0.012457,0.021487,0.040333,0.078508", \ - "0.004308,0.006108,0.008265,0.012544,0.021532,0.040345,0.078511", \ - "0.004557,0.006323,0.008453,0.012677,0.021604,0.040361,0.078512", \ - "0.004906,0.006641,0.008750,0.012882,0.021710,0.040394,0.078521"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.032827,0.035273,0.038012,0.043208,0.053393,0.073709,0.114496", \ - "0.033744,0.036189,0.038927,0.044122,0.054306,0.074625,0.115412", \ - "0.037636,0.040083,0.042820,0.048010,0.058185,0.078496,0.119281", \ - "0.044919,0.047269,0.049927,0.055022,0.065106,0.085351,0.126092", \ - "0.053339,0.055591,0.058122,0.063045,0.072968,0.093085,0.133740", \ - "0.062527,0.064742,0.067164,0.071899,0.081616,0.101564,0.142099", \ - "0.072277,0.074500,0.076847,0.081386,0.090856,0.110579,0.150963"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004078,0.005909,0.008099,0.012419,0.021469,0.040330,0.078506", \ - "0.004077,0.005910,0.008098,0.012417,0.021469,0.040331,0.078509", \ - "0.004083,0.005914,0.008099,0.012418,0.021469,0.040329,0.078510", \ - "0.004131,0.005960,0.008135,0.012450,0.021481,0.040330,0.078504", \ - "0.004292,0.006093,0.008251,0.012526,0.021525,0.040344,0.078510", \ - "0.004529,0.006296,0.008431,0.012651,0.021591,0.040369,0.078506", \ - "0.004869,0.006605,0.008716,0.012848,0.021688,0.040414,0.078515"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.032827,0.035273,0.038012,0.043208,0.053393,0.073709,0.114496", \ - "0.033744,0.036189,0.038927,0.044122,0.054305,0.074625,0.115412", \ - "0.037636,0.040083,0.042820,0.048010,0.058185,0.078496,0.119281", \ - "0.044919,0.047269,0.049927,0.055022,0.065106,0.085351,0.126092", \ - "0.053339,0.055591,0.058122,0.063045,0.072968,0.093085,0.133740", \ - "0.062527,0.064742,0.067164,0.071899,0.081616,0.101561,0.142099", \ - "0.072277,0.074497,0.076847,0.081387,0.090856,0.110579,0.150963"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004078,0.005910,0.008099,0.012419,0.021469,0.040331,0.078506", \ - "0.004077,0.005910,0.008098,0.012417,0.021469,0.040330,0.078508", \ - "0.004083,0.005914,0.008096,0.012421,0.021469,0.040329,0.078507", \ - "0.004131,0.005960,0.008135,0.012450,0.021481,0.040330,0.078504", \ - "0.004292,0.006093,0.008251,0.012526,0.021525,0.040344,0.078510", \ - "0.004529,0.006296,0.008431,0.012651,0.021591,0.040368,0.078506", \ - "0.004869,0.006605,0.008716,0.012849,0.021688,0.040414,0.078515"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014178,0.016460,0.018879,0.023131,0.030842,0.045653,0.075114", \ - "0.015110,0.017391,0.019808,0.024061,0.031772,0.046585,0.076046", \ - "0.019225,0.021463,0.023852,0.028082,0.035778,0.050577,0.080034", \ - "0.025441,0.027874,0.030401,0.034776,0.042544,0.057291,0.086679", \ - "0.032499,0.035170,0.037906,0.042546,0.050560,0.065378,0.094697", \ - "0.040576,0.043502,0.046490,0.051486,0.059887,0.074885,0.104154", \ - "0.049600,0.052799,0.056067,0.061493,0.070459,0.085918,0.115267"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017468,0.020220,0.023264,0.028814,0.039258,0.059733,0.100603", \ - "0.017949,0.020702,0.023744,0.029294,0.039736,0.060215,0.101081", \ - "0.019479,0.022219,0.025242,0.030766,0.041182,0.061645,0.102508", \ - "0.021883,0.024660,0.027720,0.033268,0.043670,0.064068,0.104866", \ - "0.024199,0.027100,0.030284,0.035987,0.046482,0.066848,0.107551", \ - "0.025476,0.028581,0.031957,0.037960,0.048824,0.069531,0.110243", \ - "0.025340,0.028681,0.032278,0.038643,0.049954,0.071298,0.112675"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003278,0.004426,0.005826,0.008650,0.014549,0.026984,0.052432", \ - "0.003280,0.004426,0.005827,0.008652,0.014550,0.026984,0.052434", \ - "0.003380,0.004507,0.005900,0.008697,0.014565,0.026987,0.052434", \ - "0.004459,0.005464,0.006713,0.009293,0.014849,0.027044,0.052443", \ - "0.005705,0.006701,0.007881,0.010304,0.015542,0.027342,0.052496", \ - "0.007197,0.008236,0.009408,0.011721,0.016625,0.027843,0.052689", \ - "0.008986,0.010086,0.011294,0.013562,0.018252,0.028864,0.053058"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003921,0.005760,0.007949,0.012333,0.021453,0.040344,0.078566", \ - "0.003921,0.005756,0.007950,0.012331,0.021450,0.040345,0.078582", \ - "0.003951,0.005782,0.007972,0.012344,0.021461,0.040351,0.078573", \ - "0.004323,0.006125,0.008287,0.012587,0.021585,0.040358,0.078564", \ - "0.005165,0.006883,0.009012,0.013222,0.022000,0.040536,0.078586", \ - "0.006380,0.008015,0.010132,0.014325,0.023039,0.041274,0.078773", \ - "0.007858,0.009446,0.011531,0.015727,0.024421,0.042806,0.079761"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014166,0.016451,0.018870,0.023125,0.030836,0.045653,0.075273", \ - "0.015097,0.017381,0.019799,0.024054,0.031767,0.046585,0.076207", \ - "0.019214,0.021455,0.023845,0.028077,0.035775,0.050584,0.080206", \ - "0.025425,0.027867,0.030395,0.034771,0.042541,0.057300,0.086867", \ - "0.032488,0.035168,0.037907,0.042550,0.050568,0.065396,0.094869", \ - "0.040572,0.043507,0.046494,0.051498,0.059908,0.074914,0.104295", \ - "0.049599,0.052801,0.056076,0.061510,0.070489,0.085954,0.115352"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003283,0.004427,0.005835,0.008654,0.014551,0.027029,0.052724", \ - "0.003287,0.004429,0.005836,0.008655,0.014549,0.027031,0.052724", \ - "0.003386,0.004516,0.005901,0.008701,0.014568,0.027036,0.052717", \ - "0.004475,0.005472,0.006722,0.009295,0.014848,0.027092,0.052719", \ - "0.005716,0.006714,0.007890,0.010311,0.015543,0.027380,0.052766", \ - "0.007220,0.008256,0.009423,0.011733,0.016628,0.027874,0.052943", \ - "0.009004,0.010112,0.011308,0.013579,0.018260,0.028875,0.053242"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014166,0.016449,0.018867,0.023120,0.030830,0.045643,0.075104", \ - "0.015098,0.017379,0.019795,0.024048,0.031759,0.046574,0.076033", \ - "0.019211,0.021448,0.023837,0.028068,0.035766,0.050566,0.080019", \ - "0.025414,0.027850,0.030376,0.034751,0.042521,0.057270,0.086657", \ - "0.032460,0.035132,0.037865,0.042506,0.050521,0.065339,0.094660", \ - "0.040523,0.043451,0.046433,0.051433,0.059836,0.074833,0.104102", \ - "0.049534,0.052731,0.055998,0.061424,0.070393,0.085854,0.115204"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017459,0.020211,0.023255,0.028806,0.039251,0.059722,0.100599", \ - "0.017940,0.020688,0.023731,0.029282,0.039725,0.060201,0.101069", \ - "0.019457,0.022195,0.025218,0.030741,0.041156,0.061619,0.102482", \ - "0.021849,0.024626,0.027686,0.033234,0.043636,0.064034,0.104835", \ - "0.024157,0.027060,0.030243,0.035946,0.046440,0.066802,0.107514", \ - "0.025426,0.028533,0.031910,0.037912,0.048774,0.069487,0.110204", \ - "0.025290,0.028630,0.032226,0.038591,0.049904,0.071249,0.112627"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003277,0.004424,0.005825,0.008651,0.014549,0.026984,0.052427", \ - "0.003278,0.004425,0.005827,0.008652,0.014547,0.026986,0.052435", \ - "0.003379,0.004506,0.005900,0.008699,0.014566,0.026989,0.052435", \ - "0.004468,0.005468,0.006713,0.009290,0.014848,0.027045,0.052442", \ - "0.005695,0.006701,0.007880,0.010303,0.015544,0.027342,0.052497", \ - "0.007208,0.008242,0.009407,0.011720,0.016630,0.027846,0.052680", \ - "0.008980,0.010084,0.011290,0.013565,0.018258,0.028866,0.053060"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003920,0.005756,0.007949,0.012330,0.021459,0.040340,0.078553", \ - "0.003915,0.005756,0.007947,0.012330,0.021457,0.040366,0.078582", \ - "0.003950,0.005782,0.007973,0.012346,0.021453,0.040341,0.078558", \ - "0.004322,0.006122,0.008287,0.012586,0.021585,0.040357,0.078562", \ - "0.005162,0.006884,0.009012,0.013222,0.021994,0.040542,0.078607", \ - "0.006375,0.008014,0.010128,0.014322,0.023043,0.041275,0.078743", \ - "0.007853,0.009443,0.011529,0.015730,0.024416,0.042812,0.079765"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014152,0.016439,0.018859,0.023114,0.030825,0.045643,0.075263", \ - "0.015086,0.017370,0.019788,0.024042,0.031756,0.046577,0.076197", \ - "0.019198,0.021440,0.023830,0.028061,0.035759,0.050568,0.080190", \ - "0.025403,0.027842,0.030371,0.034748,0.042517,0.057274,0.086842", \ - "0.032452,0.035128,0.037866,0.042510,0.050525,0.065352,0.094822", \ - "0.040519,0.043452,0.046443,0.051445,0.059852,0.074854,0.104229", \ - "0.049538,0.052738,0.056007,0.061446,0.070420,0.085876,0.115265"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003283,0.004426,0.005832,0.008652,0.014551,0.027030,0.052724", \ - "0.003284,0.004430,0.005832,0.008653,0.014553,0.027032,0.052724", \ - "0.003387,0.004516,0.005901,0.008701,0.014569,0.027036,0.052717", \ - "0.004473,0.005474,0.006721,0.009295,0.014850,0.027093,0.052717", \ - "0.005705,0.006715,0.007891,0.010311,0.015544,0.027380,0.052766", \ - "0.007209,0.008253,0.009420,0.011733,0.016634,0.027879,0.052942", \ - "0.008995,0.010108,0.011308,0.013583,0.018266,0.028881,0.053242"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014179,0.016462,0.018879,0.023131,0.030841,0.045661,0.075119", \ - "0.015111,0.017392,0.019807,0.024061,0.031772,0.046589,0.076052", \ - "0.019226,0.021464,0.023853,0.028082,0.035778,0.050586,0.080040", \ - "0.025443,0.027874,0.030400,0.034777,0.042543,0.057294,0.086679", \ - "0.032502,0.035168,0.037903,0.042542,0.050556,0.065375,0.094700", \ - "0.040573,0.043495,0.046478,0.051473,0.059881,0.074874,0.104142", \ - "0.049598,0.052790,0.056058,0.061478,0.070443,0.085902,0.115254"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017292,0.020042,0.023085,0.028636,0.039076,0.059554,0.100441", \ - "0.017782,0.020534,0.023577,0.029126,0.039566,0.060044,0.100927", \ - "0.019370,0.022108,0.025130,0.030653,0.041065,0.061532,0.102415", \ - "0.021832,0.024608,0.027668,0.033213,0.043613,0.064008,0.104829", \ - "0.024152,0.027058,0.030241,0.035944,0.046432,0.066795,0.107507", \ - "0.025417,0.028528,0.031905,0.037908,0.048769,0.069482,0.110204", \ - "0.025275,0.028619,0.032218,0.038587,0.049897,0.071237,0.112636"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003278,0.004420,0.005825,0.008649,0.014549,0.026987,0.052428", \ - "0.003278,0.004422,0.005826,0.008651,0.014547,0.026987,0.052444", \ - "0.003378,0.004505,0.005898,0.008697,0.014566,0.026992,0.052443", \ - "0.004456,0.005462,0.006712,0.009288,0.014848,0.027046,0.052436", \ - "0.005705,0.006698,0.007879,0.010301,0.015540,0.027346,0.052494", \ - "0.007193,0.008232,0.009405,0.011717,0.016628,0.027851,0.052699", \ - "0.008977,0.010079,0.011282,0.013561,0.018245,0.028871,0.053068"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003895,0.005740,0.007934,0.012317,0.021442,0.040373,0.078590", \ - "0.003897,0.005738,0.007931,0.012313,0.021441,0.040365,0.078603", \ - "0.003932,0.005767,0.007958,0.012330,0.021442,0.040347,0.078596", \ - "0.004315,0.006119,0.008277,0.012577,0.021576,0.040376,0.078577", \ - "0.005171,0.006888,0.009014,0.013215,0.021984,0.040536,0.078623", \ - "0.006388,0.008021,0.010136,0.014324,0.023036,0.041280,0.078786", \ - "0.007864,0.009457,0.011535,0.015731,0.024418,0.042803,0.079787"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014170,0.016455,0.018873,0.023128,0.030839,0.045650,0.075106", \ - "0.015101,0.017385,0.019802,0.024057,0.031770,0.046584,0.076040", \ - "0.019218,0.021459,0.023849,0.028081,0.035779,0.050581,0.080033", \ - "0.025435,0.027875,0.030401,0.034777,0.042546,0.057295,0.086688", \ - "0.032498,0.035176,0.037911,0.042551,0.050565,0.065384,0.094728", \ - "0.040572,0.043504,0.046490,0.051491,0.059895,0.074890,0.104209", \ - "0.049601,0.052797,0.056067,0.061497,0.070465,0.085911,0.115360"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003282,0.004427,0.005835,0.008654,0.014551,0.026979,0.052682", \ - "0.003285,0.004429,0.005834,0.008654,0.014550,0.026979,0.052683", \ - "0.003385,0.004516,0.005901,0.008701,0.014571,0.026982,0.052689", \ - "0.004472,0.005468,0.006717,0.009293,0.014851,0.027036,0.052710", \ - "0.005711,0.006708,0.007885,0.010308,0.015538,0.027333,0.052780", \ - "0.007205,0.008246,0.009412,0.011729,0.016620,0.027825,0.052980", \ - "0.008993,0.010099,0.011293,0.013568,0.018244,0.028837,0.053300"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014179,0.016462,0.018879,0.023131,0.030841,0.045661,0.075119", \ - "0.015111,0.017392,0.019807,0.024061,0.031772,0.046589,0.076052", \ - "0.019226,0.021464,0.023853,0.028082,0.035778,0.050586,0.080040", \ - "0.025443,0.027874,0.030400,0.034777,0.042543,0.057294,0.086679", \ - "0.032502,0.035168,0.037903,0.042542,0.050556,0.065375,0.094700", \ - "0.040573,0.043495,0.046478,0.051473,0.059881,0.074874,0.104142", \ - "0.049598,0.052790,0.056058,0.061478,0.070443,0.085902,0.115254"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017292,0.020042,0.023085,0.028636,0.039076,0.059554,0.100441", \ - "0.017782,0.020534,0.023577,0.029126,0.039566,0.060044,0.100927", \ - "0.019370,0.022108,0.025130,0.030653,0.041065,0.061532,0.102415", \ - "0.021832,0.024608,0.027668,0.033213,0.043613,0.064008,0.104829", \ - "0.024152,0.027058,0.030241,0.035944,0.046432,0.066795,0.107507", \ - "0.025417,0.028528,0.031905,0.037908,0.048769,0.069482,0.110204", \ - "0.025275,0.028619,0.032218,0.038586,0.049897,0.071237,0.112636"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003278,0.004420,0.005825,0.008649,0.014549,0.026987,0.052428", \ - "0.003278,0.004422,0.005826,0.008651,0.014547,0.026987,0.052444", \ - "0.003378,0.004505,0.005898,0.008697,0.014566,0.026992,0.052443", \ - "0.004456,0.005462,0.006712,0.009288,0.014848,0.027046,0.052436", \ - "0.005705,0.006698,0.007879,0.010301,0.015540,0.027346,0.052494", \ - "0.007193,0.008232,0.009405,0.011717,0.016628,0.027851,0.052699", \ - "0.008977,0.010079,0.011282,0.013561,0.018245,0.028871,0.053068"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003895,0.005740,0.007934,0.012317,0.021442,0.040373,0.078590", \ - "0.003896,0.005738,0.007931,0.012313,0.021441,0.040365,0.078603", \ - "0.003932,0.005767,0.007958,0.012330,0.021442,0.040347,0.078596", \ - "0.004315,0.006119,0.008277,0.012577,0.021576,0.040376,0.078577", \ - "0.005171,0.006888,0.009014,0.013215,0.021984,0.040536,0.078623", \ - "0.006388,0.008021,0.010136,0.014324,0.023036,0.041280,0.078786", \ - "0.007864,0.009457,0.011535,0.015730,0.024418,0.042803,0.079787"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014170,0.016455,0.018874,0.023128,0.030839,0.045650,0.075106", \ - "0.015101,0.017385,0.019802,0.024057,0.031770,0.046584,0.076040", \ - "0.019218,0.021459,0.023849,0.028081,0.035779,0.050581,0.080032", \ - "0.025435,0.027875,0.030401,0.034777,0.042546,0.057295,0.086688", \ - "0.032498,0.035176,0.037911,0.042551,0.050565,0.065384,0.094728", \ - "0.040572,0.043504,0.046490,0.051491,0.059895,0.074890,0.104209", \ - "0.049601,0.052797,0.056067,0.061497,0.070465,0.085911,0.115360"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003282,0.004427,0.005834,0.008654,0.014551,0.026979,0.052682", \ - "0.003285,0.004429,0.005834,0.008654,0.014550,0.026979,0.052682", \ - "0.003385,0.004516,0.005901,0.008701,0.014571,0.026982,0.052688", \ - "0.004472,0.005468,0.006717,0.009293,0.014851,0.027036,0.052709", \ - "0.005711,0.006708,0.007885,0.010308,0.015538,0.027333,0.052779", \ - "0.007205,0.008246,0.009412,0.011729,0.016620,0.027825,0.052980", \ - "0.008993,0.010099,0.011293,0.013568,0.018244,0.028837,0.053300"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.003727,7.931257,7.943536,7.943918,7.982575,7.999093,7.982167", \ - "8.000708,7.943650,7.938383,7.936901,7.972420,8.023452,7.933295", \ - "8.092461,8.032398,8.051330,8.024240,8.092606,8.115584,8.125320", \ - "8.485180,8.415134,8.423579,8.430144,8.456717,8.474363,8.462288", \ - "9.266883,9.213103,9.234659,9.242448,9.274222,9.298065,9.261880", \ - "10.530140,10.497120,10.510280,10.518540,10.553150,10.532030,10.442940", \ - "12.047110,12.008940,12.060320,12.130000,12.317260,12.341740,12.314980"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.185183,8.086060,8.011843,7.941016,7.877635,7.823254,7.804442", \ - "8.175186,8.072628,8.019172,7.948386,7.940512,7.860539,7.926277", \ - "8.290531,8.169968,8.072723,8.066802,8.037388,7.957297,7.983359", \ - "8.666194,8.552535,8.498651,8.444697,8.414474,8.321380,8.386204", \ - "9.445718,9.344321,9.284244,9.214120,9.136350,9.110714,9.194662", \ - "10.689110,10.592710,10.464080,10.464050,10.411820,10.426170,10.272500", \ - "12.213830,12.155130,12.126260,12.112160,12.126300,12.069340,12.065330"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.177660,5.182831,5.215433,5.364994,5.390971,5.479149,5.482194", \ - "5.180137,5.173334,5.170299,5.324777,5.470843,5.506336,5.453757", \ - "5.276637,5.277848,5.304726,5.402671,5.502699,5.530212,5.559835", \ - "5.919734,5.846456,5.789796,5.831060,5.858376,5.859196,5.887529", \ - "6.921467,6.820467,6.718970,6.691753,6.622286,6.458900,6.475330", \ - "8.342775,8.203565,8.085123,7.956563,7.857061,7.654945,7.472247", \ - "10.248940,10.049090,9.929570,9.696944,9.397406,9.193728,9.019806"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.314017,5.326921,5.346931,5.500280,5.525626,5.644295,5.497138", \ - "5.313558,5.312599,5.321502,5.461687,5.602941,5.632548,5.575042", \ - "5.410808,5.413593,5.436979,5.534754,5.621390,5.661534,5.687192", \ - "6.043417,5.976727,5.920903,5.961606,5.988699,5.972199,6.006871", \ - "7.049317,6.930156,6.853273,6.808199,6.751899,6.582441,6.594415", \ - "8.461739,8.331595,8.213624,8.085487,7.990038,7.765132,7.598666", \ - "10.375820,10.175560,10.070800,9.825840,9.525989,9.314944,9.156801"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.347043,5.324693,5.362841,5.627628,6.890635,9.308349,9.596301", \ - "5.332347,5.311896,5.272514,5.513982,6.956412,9.162297,9.582235", \ - "5.445383,5.388512,5.419490,5.712059,6.988045,9.388687,9.643075", \ - "6.092531,5.994072,5.934984,6.169303,7.486744,9.651113,10.021600", \ - "7.114900,6.958153,6.858201,7.035091,8.267403,10.368610,10.683820", \ - "8.579133,8.412151,8.250649,8.256489,9.248438,11.582160,11.960740", \ - "10.573010,10.314190,10.123740,10.005030,10.532960,13.366480,13.875160"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.344502,5.312162,5.358856,5.627306,6.891304,9.329493,9.585207", \ - "5.332142,5.311636,5.272171,5.513632,6.956171,9.158355,9.571663", \ - "5.445155,5.396578,5.419067,5.675025,6.988683,9.386869,9.588456", \ - "6.092185,5.993678,5.934543,6.168859,7.482077,9.647035,10.012020", \ - "7.114697,6.957689,6.857734,7.034514,8.266570,10.363700,10.672860", \ - "8.578322,8.411489,8.251028,8.255833,9.246420,11.553010,11.949860", \ - "10.572170,10.313400,10.122630,10.004320,10.532180,13.369040,13.867140"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.334336,7.613057,7.834055,8.129249,8.538982,9.137813,9.640973", \ - "7.303409,7.574188,7.790199,8.098246,8.471118,9.087478,9.605454", \ - "7.772734,8.058357,8.242482,8.528903,8.886616,9.490755,9.978884", \ - "9.198984,9.498022,9.767303,10.027860,10.347680,10.910430,11.353520", \ - "11.860470,12.082090,12.230020,12.514100,12.985280,13.534600,13.957920", \ - "15.834680,15.992670,16.163360,16.438120,16.843650,17.462670,18.014220", \ - "20.889410,21.145100,21.279970,21.511680,22.095530,22.763560,23.589350"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.062098,4.365066,4.648844,4.962016,5.204150,5.263902,5.123557", \ - "3.989555,4.263570,4.484804,4.791316,5.146014,5.205325,5.249040", \ - "4.201230,4.511542,4.631905,4.929381,5.135667,5.118555,4.904956", \ - "5.436679,5.717295,5.862546,6.103240,6.191900,5.893084,5.977708", \ - "8.038270,8.292696,8.499650,8.672210,8.596840,8.633865,8.546287", \ - "11.306650,11.594810,11.955690,12.611740,12.886640,12.448100,12.586380", \ - "15.390010,15.546950,16.143010,17.128560,18.142540,18.123090,18.007400"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.531094,7.550937,7.600527,7.599378,7.580049,7.422467,7.447421", \ - "7.510344,7.518569,7.527446,7.588027,7.561459,7.540604,7.521041", \ - "7.772598,7.747139,7.771855,7.811792,7.794137,7.732578,7.568387", \ - "8.656459,8.553889,8.511875,8.516485,8.464363,8.284349,8.370305", \ - "10.286380,10.139800,10.056790,9.971710,9.875124,9.658232,9.616447", \ - "12.752450,12.554390,12.380340,12.233390,12.075760,11.802480,11.800240", \ - "16.010650,15.752890,15.560270,15.340100,15.144480,14.724030,14.664230"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.569062,5.824457,6.040585,6.355272,6.754862,7.352052,7.844276", \ - "5.559368,5.797580,6.038262,6.311286,6.731521,7.346753,7.831884", \ - "5.880971,6.081419,6.280770,6.530396,6.911365,7.509123,8.002580", \ - "6.649239,6.851410,7.107702,7.360500,7.694219,8.226440,8.684569", \ - "8.161084,8.246388,8.350421,8.611778,9.045716,9.609555,10.046620", \ - "10.518190,10.549990,10.618070,10.730920,11.016380,11.681030,12.179070", \ - "13.626780,13.717780,13.699280,13.694240,13.952580,14.444070,15.185930"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.072742,3.339016,3.612262,3.962827,4.218523,4.222551,4.146524", \ - "3.036404,3.325091,3.530036,3.778683,4.121029,4.188415,4.299316", \ - "3.115539,3.394111,3.546309,3.901863,4.229471,4.007801,4.259497", \ - "3.888087,4.048058,4.177735,4.427354,4.467048,4.178581,4.336839", \ - "5.405493,5.542304,5.661414,5.806150,5.702825,5.630486,5.157172", \ - "7.465361,7.508571,7.649334,8.070560,8.123266,7.751513,7.826378", \ - "10.015850,9.929840,10.222030,10.726380,11.116190,10.964420,10.760840"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.588490,6.574473,6.595324,6.650606,6.643819,6.606127,6.509775", \ - "6.576292,6.576739,6.597426,6.651721,6.633633,6.577161,6.270293", \ - "6.739557,6.711590,6.739869,6.780476,6.764571,6.704044,6.616858", \ - "7.283656,7.187981,7.146820,7.145790,7.101434,6.923349,6.990961", \ - "8.306832,8.147158,8.057192,7.979879,7.884707,7.670589,7.626230", \ - "9.814435,9.630984,9.441666,9.295622,9.140182,8.869570,8.870248", \ - "11.791060,11.498630,11.339020,11.119270,10.854760,10.616850,10.451770"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.927159,7.106217,7.265569,7.350459,7.381781,7.389919,7.387960", \ - "6.894031,7.096405,7.208685,7.318859,7.344551,7.349391,7.351613", \ - "7.386629,7.543016,7.636672,7.717540,7.736719,7.748339,7.751580", \ - "8.708346,8.922366,9.094256,9.162847,9.140693,9.093420,9.078261", \ - "11.281430,11.372560,11.447920,11.560910,11.696780,11.647840,11.596460", \ - "14.967560,15.066640,15.147830,15.268160,15.366400,15.438680,15.408440", \ - "19.754940,19.885570,19.945360,20.036410,20.312960,20.474730,20.614840"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.428637,3.575143,3.547328,3.721299,3.676151,3.680422,3.583160", \ - "3.347478,3.466557,3.549459,3.619794,3.614496,3.615486,3.700139", \ - "3.573492,3.639517,3.692011,3.676367,3.652993,3.516326,3.501439", \ - "4.768645,4.844482,4.826376,4.786576,4.666960,4.311491,4.639377", \ - "7.151189,7.294733,7.352935,7.245122,6.935361,6.794527,6.294109", \ - "10.255460,10.351490,10.543170,10.953660,10.970730,10.426400,9.825536", \ - "13.916560,14.027400,14.424760,15.133980,15.856600,15.809320,15.679990"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.725864,8.739012,8.822220,8.836120,8.844829,8.897990,8.611992", \ - "8.703383,8.728431,8.795473,8.823103,8.811549,8.836160,8.878156", \ - "8.944925,8.954399,9.015892,9.061404,9.015490,8.980864,8.744380", \ - "9.831070,9.738906,9.782981,9.793510,9.746025,9.710866,9.681275", \ - "11.390270,11.279380,11.213010,11.130870,11.068050,10.878890,10.755320", \ - "13.628670,13.491320,13.368100,13.225150,13.089280,12.941140,12.781010", \ - "16.418350,16.173740,16.046380,15.829520,15.618250,15.404910,15.321090"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.927088,7.106147,7.265493,7.350436,7.381744,7.389866,7.387887", \ - "6.893967,7.096339,7.208617,7.318810,7.344497,7.349332,7.351531", \ - "7.386580,7.542944,7.636597,7.717467,7.736650,7.748281,7.751514", \ - "8.708229,8.922291,9.094198,9.162794,9.140641,9.093352,9.078172", \ - "11.281410,11.372500,11.447860,11.560840,11.696720,11.647760,11.596400", \ - "14.967500,15.066570,15.147770,15.268090,15.366340,15.438600,15.408360", \ - "19.754870,19.885490,19.945270,20.036320,20.312860,20.474640,20.614760"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.428684,3.575200,3.547291,3.721367,3.676184,3.680442,3.583184", \ - "3.345569,3.466614,3.549604,3.619780,3.614534,3.615508,3.700209", \ - "3.573538,3.639571,3.692070,3.676411,3.653030,3.516319,3.501369", \ - "4.768710,4.844524,4.826421,4.786622,4.666977,4.311325,4.639405", \ - "7.151179,7.294751,7.352961,7.245146,6.935365,6.794494,6.294047", \ - "10.255250,10.351480,10.543150,10.953670,10.970700,10.426340,9.825449", \ - "13.916530,14.027670,14.424170,15.133920,15.856550,15.809270,15.679950"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.750687,8.741574,8.839109,8.842325,8.941710,8.914577,8.635229", \ - "8.721539,8.730939,8.823394,8.829509,8.913230,8.796856,8.901134", \ - "8.951112,8.957052,9.013027,9.030502,9.058539,8.997190,9.015016", \ - "9.857912,9.753469,9.799055,9.791960,9.756540,9.609029,9.704253", \ - "11.392200,11.282390,11.193350,11.137460,11.078400,10.894560,10.777580", \ - "13.672970,13.493760,13.372470,13.231630,13.099610,12.956950,12.803000", \ - "16.422490,16.172890,16.051010,15.838250,15.628410,15.420550,15.355120"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFRS_X2 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active low set, and drive strength X2 - *******************************************************************************************/ - - cell (DFFRS_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - preset : "!SN"; - clear : "!RN"; - clear_preset_var1 : L; - clear_preset_var2 : L; - } - - area : 6.916000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 498.034063; - - leakage_power () { - when : "!CK & !D & !RN & !SN & !Q & !QN"; - value : 432.475000; - } - leakage_power () { - when : "!CK & !D & !RN & SN & !Q & QN"; - value : 496.661375; - } - leakage_power () { - when : "!CK & !D & RN & !SN & Q & !QN"; - value : 483.390500; - } - leakage_power () { - when : "!CK & !D & RN & SN & !Q & QN"; - value : 555.283750; - } - leakage_power () { - when : "!CK & !D & RN & SN & Q & !QN"; - value : 558.705750; - } - leakage_power () { - when : "!CK & D & !RN & !SN & !Q & !QN"; - value : 416.504125; - } - leakage_power () { - when : "!CK & D & !RN & SN & !Q & QN"; - value : 481.488250; - } - leakage_power () { - when : "!CK & D & RN & !SN & Q & !QN"; - value : 457.853500; - } - leakage_power () { - when : "!CK & D & RN & SN & !Q & QN"; - value : 543.681875; - } - leakage_power () { - when : "!CK & D & RN & SN & Q & !QN"; - value : 519.539000; - } - leakage_power () { - when : "CK & !D & !RN & !SN & !Q & !QN"; - value : 435.609625; - } - leakage_power () { - when : "CK & !D & !RN & SN & !Q & QN"; - value : 507.212875; - } - leakage_power () { - when : "CK & !D & RN & !SN & Q & !QN"; - value : 431.191750; - } - leakage_power () { - when : "CK & !D & RN & SN & !Q & QN"; - value : 567.661500; - } - leakage_power () { - when : "CK & !D & RN & SN & Q & !QN"; - value : 491.017500; - } - leakage_power () { - when : "CK & D & !RN & !SN & !Q & !QN"; - value : 480.170000; - } - leakage_power () { - when : "CK & D & !RN & SN & !Q & QN"; - value : 551.843250; - } - leakage_power () { - when : "CK & D & RN & !SN & Q & !QN"; - value : 439.142625; - } - leakage_power () { - when : "CK & D & RN & SN & !Q & QN"; - value : 612.290625; - } - leakage_power () { - when : "CK & D & RN & SN & Q & !QN"; - value : 498.958375; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.172488; - fall_capacitance : 1.114304; - rise_capacitance : 1.172488; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.003356,0.008092,0.004399", \ - "0.004751,0.006274,0.000490", \ - "0.111921,0.115280,0.103120"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002395,0.008023,0.006322", \ - "0.008840,0.014612,0.013107", \ - "0.086915,0.094379,0.094639"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.020135,0.013424,0.015146", \ - "0.030294,0.023714,0.024601", \ - "0.059923,0.052467,0.052241"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.023624,0.023717,0.037792", \ - "0.028744,0.027231,0.039749", \ - "0.034911,0.031561,0.043759"); - } - } - - internal_power () { - - when : "!CK & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.872137,4.845307,4.842380,5.187322,6.127947,7.712085,9.942442"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.549712,2.497171,2.505152,2.827459,3.724291,5.260482,7.462870"); - } - - } - - internal_power () { - - when : "!CK & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.179590,6.153127,6.150097,6.535709,7.557726,9.275824,11.706910"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.895719,4.842371,4.868188,5.286843,6.375716,8.177746,10.713840"); - } - - } - - internal_power () { - - when : "!CK & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.872497,4.845894,4.843838,5.187888,6.128397,7.712532,9.942852"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.465994,2.413735,2.422094,2.743850,3.639409,5.174253,7.376633"); - } - - } - - internal_power () { - - when : "!CK & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.219199,6.192020,6.188692,6.573342,7.593050,9.310239,11.738280"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.028815,4.975193,5.001688,5.420548,6.505896,8.310574,10.839140"); - } - - } - - internal_power () { - - when : "!CK & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.120230,6.092898,6.089678,6.475155,7.497473,9.214572,11.644110"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.991375,4.938110,4.963553,5.378355,6.451284,8.243301,10.763340"); - } - - } - - internal_power () { - - when : "CK & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.975541,0.945430,0.926022,0.915542,0.913773,0.910976,0.910490"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.824215,-0.833310,-0.832173,-0.833963,-0.835469,-0.836303,-0.836430"); - } - - } - - internal_power () { - - when : "CK & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.977182,0.946263,0.922517,0.916081,0.914416,0.908951,0.911448"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.824365,-0.831944,-0.831788,-0.833548,-0.835031,-0.835914,-0.835955"); - } - - } - - internal_power () { - - when : "CK & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.855671,0.867823,0.866634,0.865859,0.866973,0.865299,0.867015"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.532425,-0.633156,-0.696707,-0.722967,-0.736760,-0.744313,-0.750346"); - } - - } - - internal_power () { - - when : "CK & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.976203,0.946366,0.926571,0.917053,0.914346,0.911405,0.911128"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.824680,-0.833435,-0.831402,-0.833369,-0.834654,-0.835735,-0.835803"); - } - - } - - internal_power () { - - when : "CK & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.854680,0.867955,0.866642,0.865793,0.867032,0.865273,0.867116"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531789,-0.632108,-0.695926,-0.722510,-0.736289,-0.743596,-0.749675"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.852838; - fall_capacitance : 1.809239; - rise_capacitance : 1.852838; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.026556,-0.033390,-0.031317", \ - "-0.021163,-0.028001,-0.026153", \ - "0.023237,0.013984,0.014868"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.073259,0.079055,0.084152", \ - "0.083071,0.088619,0.093862", \ - "0.130605,0.136098,0.140454"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.083037,0.103109,0.180068"); - } - } - - internal_power () { - - when : "!CK & !D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.306398,1.268461,1.248481,1.245082,1.238576,1.238372,1.235382"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.147680,-1.153720,-1.152820,-1.157700,-1.155450,-1.159850,-1.154480"); - } - - } - - internal_power () { - - when : "!CK & D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.348857,1.303199,1.279736,1.272105,1.266819,1.261379,1.263184"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.061090,-1.077400,-1.092900,-1.104470,-1.109570,-1.112060,-1.113100"); - } - - } - - internal_power () { - - when : "CK & !D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.345277,1.299414,1.272599,1.259982,1.260237,1.251371,1.252959"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.150340,-1.152350,-1.151680,-1.156540,-1.156410,-1.157580,-1.157470"); - } - - } - - internal_power () { - - when : "CK & D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.344732,1.298991,1.272088,1.259432,1.259742,1.250843,1.252530"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.152260,-1.154350,-1.153430,-1.158410,-1.158280,-1.159400,-1.159360"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.627134; - fall_capacitance : 2.519981; - rise_capacitance : 2.627134; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.048534,-0.054537,-0.054627", \ - "-0.046600,-0.052618,-0.052675", \ - "-0.015302,-0.024082,-0.024358"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.119046,0.125333,0.125487", \ - "0.159384,0.165546,0.165939", \ - "0.300919,0.307086,0.307164"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.075101,0.095135,0.176014"); - } - } - - internal_power () { - - when : "!CK & !D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.787013,3.728575,3.913930,4.588870,5.849084,7.753702,10.322510"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.358288,-0.387587,-0.254828,0.316445,1.521005,3.378878,5.929978"); - } - - } - - internal_power () { - - when : "!CK & D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.067139,2.023562,1.998989,1.988265,1.981319,1.980788,1.976468"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.622420,-1.633470,-1.633310,-1.637990,-1.635960,-1.639430,-1.635000"); - } - - } - - internal_power () { - - when : "CK & !D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.028691,1.994275,1.974995,1.966787,1.963177,1.957989,1.959422"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.627250,-1.633760,-1.632850,-1.634710,-1.634340,-1.633340,-1.632730"); - } - - } - - internal_power () { - - when : "CK & D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.028858,1.994240,1.975070,1.966852,1.963209,1.958049,1.959421"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.612610,-1.620680,-1.618280,-1.620980,-1.620210,-1.619720,-1.619240"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.957449; - fall_capacitance : 0.877622; - rise_capacitance : 0.957449; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.027487,0.040543,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.026877,0.033183,0.146386"); - } - } - - internal_power () { - - when : "!D & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.975245,6.987703,7.260316,8.077231,9.577344,11.926490,15.222070"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.582459,6.571508,6.786655,7.566959,9.177584,11.669690,15.089590"); - } - - } - - internal_power () { - - when : "!D & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.089627,5.102258,5.375740,6.186410,7.673991,10.012310,13.283730"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.675997,4.664295,4.866526,5.627110,7.194239,9.625147,12.980790"); - } - - } - - internal_power () { - - when : "!D & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.228972,8.244262,8.521460,9.353958,10.863020,13.222850,16.528280"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("31.976430,31.966540,32.181130,32.961220,34.572360,37.064370,40.480670"); - } - - } - - internal_power () { - - when : "!D & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.089760,5.102508,5.375607,6.186440,7.674001,10.012730,13.283860"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.697482,4.687488,4.889342,5.649893,7.214531,9.650209,12.998260"); - } - - } - - internal_power () { - - when : "!D & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.845144,9.849004,10.130230,10.962940,12.463310,14.813190,18.105070"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.984299,8.995747,9.277760,10.119180,11.665280,14.078720,17.468270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("11.581480,11.567260,11.760840,12.514360,14.103090,16.607330,20.092800"); - } - - } - - internal_power () { - - when : "D & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.383456,9.394278,9.677328,10.539840,12.119740,14.597880,18.123880"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("16.538010,16.523970,16.716820,17.504950,19.159760,21.786250,25.459730"); - } - - } - - internal_power () { - - when : "D & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.813854,4.828075,5.096304,5.907655,7.385126,9.710186,12.977170"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.914307,4.903219,5.105370,5.853243,7.398848,9.825726,13.178280"); - } - - } - - internal_power () { - - when : "D & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.592120,9.592300,9.880282,10.743430,12.318030,14.790090,18.292870"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.820667,4.836151,5.102777,5.915021,7.391098,9.716875,12.983730"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.932802,4.922850,5.126300,5.875006,7.419544,9.850264,13.200120"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0493887,0.0523424,0.0550401,0.0596999,0.0678961,0.0831139,0.112715", \ - "0.0501726,0.0531263,0.0558244,0.0604797,0.0686777,0.0838943,0.113501", \ - "0.0528524,0.0558069,0.0585085,0.0631657,0.0713651,0.0865783,0.116181", \ - "0.0553868,0.0583402,0.0610397,0.0656883,0.0738862,0.0891061,0.118714", \ - "0.0570042,0.0599629,0.0626572,0.0673147,0.0755131,0.0907304,0.120337", \ - "0.0572080,0.0601644,0.0628577,0.0675163,0.0757192,0.0909360,0.120539", \ - "0.0556832,0.0586365,0.0613386,0.0659975,0.0741876,0.0894066,0.119016"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0487939,0.0522443,0.0555897,0.0616151,0.0725827,0.0933261,0.134312", \ - "0.0495737,0.0530228,0.0563671,0.0623932,0.0733609,0.0941042,0.135095", \ - "0.0521142,0.0555628,0.0589095,0.0649347,0.0759039,0.0966481,0.137633", \ - "0.0543583,0.0578115,0.0611584,0.0671845,0.0781517,0.0988962,0.139885", \ - "0.0555772,0.0590254,0.0623688,0.0683935,0.0793564,0.100100,0.141084", \ - "0.0553339,0.0587826,0.0621262,0.0681489,0.0791085,0.0998478,0.140820", \ - "0.0532805,0.0567314,0.0600728,0.0660920,0.0770465,0.0977677,0.138740"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00384844,0.00521365,0.00664466,0.00947592,0.0152928,0.0274166,0.0526157", \ - "0.00384626,0.00521431,0.00664798,0.00947613,0.0152924,0.0274179,0.0526164", \ - "0.00384699,0.00521557,0.00664780,0.00947610,0.0152913,0.0274181,0.0526182", \ - "0.00384608,0.00521575,0.00664523,0.00947630,0.0152920,0.0274187,0.0526259", \ - "0.00384700,0.00521648,0.00664898,0.00947673,0.0152931,0.0274157,0.0526217", \ - "0.00384783,0.00521693,0.00664703,0.00947746,0.0152940,0.0274176,0.0526259", \ - "0.00385100,0.00521712,0.00664992,0.00947934,0.0152944,0.0274190,0.0526250"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00464267,0.00670302,0.00901605,0.0134740,0.0223474,0.0407284,0.0788029", \ - "0.00464378,0.00670187,0.00901096,0.0134746,0.0223486,0.0407282,0.0788100", \ - "0.00464414,0.00670453,0.00901755,0.0134717,0.0223473,0.0407284,0.0788102", \ - "0.00464767,0.00670593,0.00902149,0.0134764,0.0223518,0.0407284,0.0788185", \ - "0.00465807,0.00671388,0.00902580,0.0134755,0.0223512,0.0407346,0.0788061", \ - "0.00467988,0.00673123,0.00903795,0.0134903,0.0223584,0.0407360,0.0788202", \ - "0.00471704,0.00675708,0.00906136,0.0135071,0.0223704,0.0407354,0.0788144"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016400,0.019340,0.022002,0.026578,0.034612,0.049613,0.079180", \ - "0.017324,0.020261,0.022920,0.027498,0.035532,0.050536,0.080106", \ - "0.021368,0.024271,0.026904,0.031459,0.039481,0.054475,0.084041", \ - "0.028223,0.031300,0.034040,0.038685,0.046728,0.061666,0.091157", \ - "0.035750,0.039121,0.042100,0.047062,0.055437,0.070506,0.099901", \ - "0.044282,0.047944,0.051185,0.056525,0.065335,0.080654,0.110009", \ - "0.053742,0.057690,0.061223,0.067018,0.076418,0.092258,0.121687"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022176,0.025597,0.028910,0.034844,0.045646,0.066324,0.107347", \ - "0.022655,0.026075,0.029388,0.035321,0.046123,0.066798,0.107830", \ - "0.024004,0.027413,0.030715,0.036633,0.047417,0.068073,0.109099", \ - "0.026521,0.029956,0.033271,0.039202,0.049965,0.070559,0.111540", \ - "0.029647,0.033177,0.036554,0.042574,0.053397,0.073955,0.114814", \ - "0.032259,0.036004,0.039528,0.045784,0.056926,0.077706,0.118510", \ - "0.033668,0.037681,0.041415,0.047983,0.059575,0.080954,0.122214"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003678,0.005021,0.006426,0.009213,0.014950,0.027169,0.052571", \ - "0.003676,0.005020,0.006426,0.009216,0.014952,0.027168,0.052568", \ - "0.003698,0.005056,0.006460,0.009239,0.014964,0.027172,0.052568", \ - "0.004784,0.006003,0.007253,0.009791,0.015232,0.027233,0.052580", \ - "0.006136,0.007395,0.008621,0.011021,0.016133,0.027605,0.052624", \ - "0.007728,0.009059,0.010296,0.012601,0.017408,0.028279,0.052849", \ - "0.009637,0.011037,0.012329,0.014619,0.019206,0.029461,0.053255"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004451,0.006501,0.008766,0.013127,0.022018,0.040636,0.078886", \ - "0.004451,0.006502,0.008763,0.013131,0.022007,0.040640,0.078864", \ - "0.004440,0.006495,0.008759,0.013130,0.022010,0.040650,0.078892", \ - "0.004694,0.006708,0.008960,0.013299,0.022097,0.040663,0.078888", \ - "0.005379,0.007291,0.009505,0.013799,0.022462,0.040803,0.078891", \ - "0.006611,0.008394,0.010539,0.014831,0.023408,0.041398,0.079033", \ - "0.008175,0.009884,0.011947,0.016220,0.024832,0.042826,0.079826"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016626,0.019636,0.022365,0.027060,0.035330,0.050688,0.080379", \ - "0.017550,0.020557,0.023285,0.027980,0.036251,0.051610,0.081303", \ - "0.021597,0.024571,0.027271,0.031946,0.040205,0.055555,0.085242", \ - "0.028581,0.031729,0.034531,0.039289,0.047555,0.062837,0.092441", \ - "0.036278,0.039732,0.042781,0.047873,0.056499,0.071905,0.101351", \ - "0.045019,0.048756,0.052059,0.057522,0.066580,0.082192,0.111480", \ - "0.054725,0.058725,0.062297,0.068179,0.077776,0.093701,0.122741"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003807,0.005195,0.006644,0.009508,0.015415,0.027572,0.052599", \ - "0.003806,0.005196,0.006644,0.009509,0.015415,0.027568,0.052614", \ - "0.003829,0.005227,0.006673,0.009534,0.015426,0.027567,0.052601", \ - "0.004951,0.006199,0.007481,0.010086,0.015682,0.027619,0.052590", \ - "0.006373,0.007668,0.008922,0.011397,0.016655,0.027967,0.052596", \ - "0.008023,0.009357,0.010611,0.012995,0.017942,0.028528,0.052681", \ - "0.009941,0.011308,0.012604,0.014955,0.019622,0.029289,0.052749"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016401,0.019341,0.022003,0.026579,0.034612,0.049613,0.079180", \ - "0.017324,0.020261,0.022920,0.027498,0.035532,0.050536,0.080106", \ - "0.021368,0.024272,0.026905,0.031460,0.039481,0.054476,0.084041", \ - "0.028223,0.031303,0.034039,0.038686,0.046729,0.061668,0.091165", \ - "0.035750,0.039120,0.042101,0.047061,0.055439,0.070503,0.099902", \ - "0.044285,0.047945,0.051186,0.056523,0.065334,0.080654,0.110008", \ - "0.053741,0.057690,0.061223,0.067018,0.076417,0.092262,0.121690"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022173,0.025595,0.028907,0.034842,0.045644,0.066321,0.107347", \ - "0.022652,0.026073,0.029386,0.035319,0.046121,0.066799,0.107825", \ - "0.024002,0.027412,0.030712,0.036631,0.047415,0.068077,0.109096", \ - "0.026518,0.029953,0.033268,0.039198,0.049963,0.070555,0.111535", \ - "0.029644,0.033175,0.036551,0.042571,0.053395,0.073954,0.114820", \ - "0.032253,0.036000,0.039524,0.045783,0.056923,0.077706,0.118505", \ - "0.033663,0.037680,0.041413,0.047981,0.059572,0.080950,0.122215"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003678,0.005021,0.006425,0.009213,0.014950,0.027170,0.052572", \ - "0.003676,0.005020,0.006424,0.009216,0.014952,0.027168,0.052568", \ - "0.003698,0.005056,0.006460,0.009244,0.014966,0.027172,0.052568", \ - "0.004789,0.006001,0.007252,0.009791,0.015232,0.027237,0.052581", \ - "0.006138,0.007395,0.008623,0.011023,0.016136,0.027608,0.052623", \ - "0.007729,0.009060,0.010295,0.012604,0.017407,0.028281,0.052854", \ - "0.009637,0.011037,0.012329,0.014619,0.019209,0.029460,0.053252"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004451,0.006501,0.008765,0.013125,0.022018,0.040635,0.078881", \ - "0.004452,0.006502,0.008763,0.013132,0.022009,0.040637,0.078867", \ - "0.004442,0.006495,0.008759,0.013130,0.022009,0.040643,0.078878", \ - "0.004694,0.006708,0.008959,0.013296,0.022097,0.040663,0.078889", \ - "0.005379,0.007291,0.009506,0.013799,0.022460,0.040803,0.078881", \ - "0.006612,0.008391,0.010540,0.014831,0.023408,0.041396,0.079018", \ - "0.008174,0.009884,0.011946,0.016220,0.024834,0.042815,0.079829"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016628,0.019638,0.022368,0.027063,0.035334,0.050692,0.080382", \ - "0.017553,0.020560,0.023287,0.027982,0.036254,0.051612,0.081304", \ - "0.021600,0.024574,0.027275,0.031948,0.040208,0.055558,0.085246", \ - "0.028584,0.031732,0.034534,0.039291,0.047558,0.062840,0.092444", \ - "0.036279,0.039734,0.042785,0.047879,0.056503,0.071908,0.101356", \ - "0.045024,0.048761,0.052063,0.057526,0.066583,0.082199,0.111484", \ - "0.054726,0.058727,0.062296,0.068179,0.077779,0.093706,0.122747"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003807,0.005195,0.006642,0.009509,0.015415,0.027566,0.052599", \ - "0.003806,0.005196,0.006645,0.009508,0.015414,0.027567,0.052603", \ - "0.003829,0.005227,0.006674,0.009535,0.015426,0.027566,0.052599", \ - "0.004951,0.006199,0.007481,0.010086,0.015682,0.027619,0.052592", \ - "0.006373,0.007666,0.008922,0.011396,0.016653,0.027968,0.052596", \ - "0.008022,0.009358,0.010615,0.012993,0.017942,0.028529,0.052682", \ - "0.009944,0.011308,0.012602,0.014955,0.019620,0.029281,0.052749"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016505,0.019434,0.022085,0.026656,0.034687,0.049681,0.079303", \ - "0.017429,0.020354,0.023004,0.027575,0.035605,0.050601,0.080222", \ - "0.021464,0.024358,0.026984,0.031532,0.039551,0.054540,0.084159", \ - "0.028336,0.031400,0.034130,0.038761,0.046804,0.061734,0.091295", \ - "0.035867,0.039224,0.042188,0.047131,0.055502,0.070565,0.100022", \ - "0.044392,0.048033,0.051256,0.056567,0.065361,0.080671,0.110076", \ - "0.053834,0.057763,0.061267,0.067028,0.076398,0.092214,0.121656"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.093900,0.097297,0.100583,0.106473,0.117218,0.137813,0.178777", \ - "0.094395,0.097794,0.101077,0.106967,0.117715,0.138311,0.179275", \ - "0.095158,0.098554,0.101840,0.107733,0.118479,0.139067,0.180039", \ - "0.096342,0.099738,0.103021,0.108918,0.119658,0.140254,0.181218", \ - "0.098213,0.101613,0.104895,0.110782,0.121520,0.142117,0.183079", \ - "0.100648,0.104063,0.107377,0.113269,0.124018,0.144600,0.185561", \ - "0.102582,0.106002,0.109371,0.115315,0.126174,0.146810,0.187775"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003684,0.005010,0.006413,0.009207,0.014946,0.027153,0.052797", \ - "0.003682,0.005012,0.006414,0.009206,0.014945,0.027153,0.052797", \ - "0.003701,0.005044,0.006448,0.009232,0.014960,0.027158,0.052803", \ - "0.004774,0.005975,0.007226,0.009775,0.015224,0.027237,0.052817", \ - "0.006128,0.007367,0.008583,0.010990,0.016121,0.027621,0.052858", \ - "0.007699,0.009004,0.010232,0.012549,0.017370,0.028264,0.053046", \ - "0.009600,0.010963,0.012242,0.014530,0.019146,0.029411,0.053365"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004552,0.006568,0.008822,0.013178,0.022025,0.040628,0.078849", \ - "0.004550,0.006567,0.008818,0.013175,0.022022,0.040632,0.078836", \ - "0.004552,0.006567,0.008822,0.013177,0.022030,0.040632,0.078865", \ - "0.004551,0.006569,0.008823,0.013177,0.022024,0.040632,0.078844", \ - "0.004551,0.006568,0.008821,0.013178,0.022032,0.040627,0.078850", \ - "0.004622,0.006637,0.008891,0.013236,0.022071,0.040640,0.078840", \ - "0.004835,0.006844,0.009105,0.013460,0.022257,0.040750,0.078887"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016735,0.019732,0.022446,0.027119,0.035336,0.050579,0.080281", \ - "0.017659,0.020651,0.023366,0.028038,0.036256,0.051501,0.081205", \ - "0.021700,0.024661,0.027349,0.032001,0.040206,0.055446,0.085152", \ - "0.028693,0.031823,0.034609,0.039342,0.047558,0.062741,0.092386", \ - "0.036376,0.039800,0.042838,0.047910,0.056481,0.071816,0.101355", \ - "0.045070,0.048789,0.052091,0.057556,0.066593,0.082228,0.111747", \ - "0.054714,0.058726,0.062322,0.068252,0.077913,0.094110,0.123691"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003816,0.005180,0.006614,0.009458,0.015300,0.027455,0.052867", \ - "0.003815,0.005181,0.006614,0.009458,0.015300,0.027454,0.052867", \ - "0.003829,0.005211,0.006643,0.009482,0.015311,0.027464,0.052872", \ - "0.004921,0.006159,0.007432,0.010024,0.015569,0.027538,0.052890", \ - "0.006313,0.007602,0.008857,0.011321,0.016534,0.027957,0.052935", \ - "0.007931,0.009288,0.010568,0.012959,0.017889,0.028700,0.053150", \ - "0.009853,0.011291,0.012644,0.015047,0.019785,0.029945,0.053508"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016505,0.019434,0.022085,0.026656,0.034687,0.049681,0.079302", \ - "0.017429,0.020354,0.023004,0.027575,0.035605,0.050601,0.080222", \ - "0.021464,0.024358,0.026984,0.031533,0.039552,0.054540,0.084159", \ - "0.028336,0.031400,0.034130,0.038761,0.046804,0.061734,0.091294", \ - "0.035867,0.039224,0.042188,0.047131,0.055502,0.070565,0.100022", \ - "0.044392,0.048033,0.051256,0.056567,0.065361,0.080671,0.110075", \ - "0.053834,0.057763,0.061267,0.067028,0.076398,0.092214,0.121657"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.093885,0.097282,0.100568,0.106458,0.117202,0.137793,0.178762", \ - "0.094381,0.097779,0.101063,0.106952,0.117700,0.138296,0.179269", \ - "0.095143,0.098539,0.101825,0.107718,0.118464,0.139053,0.180023", \ - "0.096322,0.099719,0.103006,0.108898,0.119641,0.140236,0.181204", \ - "0.098197,0.101597,0.104879,0.110765,0.121505,0.142101,0.183064", \ - "0.100638,0.104047,0.107360,0.113251,0.124003,0.144583,0.185544", \ - "0.102562,0.105983,0.109351,0.115297,0.126154,0.146793,0.187755"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003684,0.005010,0.006413,0.009207,0.014946,0.027153,0.052797", \ - "0.003682,0.005012,0.006414,0.009206,0.014945,0.027153,0.052797", \ - "0.003700,0.005044,0.006448,0.009233,0.014960,0.027160,0.052803", \ - "0.004774,0.005975,0.007227,0.009775,0.015224,0.027237,0.052817", \ - "0.006128,0.007367,0.008583,0.010990,0.016122,0.027614,0.052857", \ - "0.007699,0.009004,0.010232,0.012549,0.017371,0.028264,0.053046", \ - "0.009600,0.010963,0.012243,0.014530,0.019143,0.029411,0.053365"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004552,0.006567,0.008822,0.013178,0.022023,0.040625,0.078838", \ - "0.004550,0.006567,0.008818,0.013175,0.022021,0.040631,0.078862", \ - "0.004553,0.006567,0.008822,0.013177,0.022029,0.040632,0.078856", \ - "0.004552,0.006569,0.008823,0.013176,0.022020,0.040632,0.078836", \ - "0.004551,0.006568,0.008821,0.013178,0.022025,0.040617,0.078858", \ - "0.004622,0.006637,0.008892,0.013238,0.022070,0.040635,0.078841", \ - "0.004835,0.006844,0.009106,0.013461,0.022251,0.040744,0.078887"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016735,0.019732,0.022446,0.027119,0.035336,0.050579,0.080281", \ - "0.017659,0.020651,0.023366,0.028038,0.036256,0.051501,0.081205", \ - "0.021700,0.024660,0.027349,0.032001,0.040206,0.055446,0.085152", \ - "0.028693,0.031823,0.034609,0.039342,0.047558,0.062741,0.092386", \ - "0.036375,0.039800,0.042838,0.047910,0.056481,0.071816,0.101355", \ - "0.045070,0.048789,0.052091,0.057556,0.066593,0.082228,0.111746", \ - "0.054714,0.058726,0.062322,0.068252,0.077913,0.094109,0.123691"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003816,0.005180,0.006614,0.009458,0.015300,0.027457,0.052867", \ - "0.003815,0.005181,0.006614,0.009458,0.015300,0.027454,0.052867", \ - "0.003829,0.005212,0.006647,0.009482,0.015311,0.027464,0.052872", \ - "0.004921,0.006159,0.007432,0.010024,0.015569,0.027537,0.052890", \ - "0.006315,0.007602,0.008857,0.011321,0.016534,0.027957,0.052935", \ - "0.007931,0.009288,0.010568,0.012959,0.017889,0.028700,0.053151", \ - "0.009853,0.011291,0.012644,0.015047,0.019785,0.029944,0.053508"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.093193,0.096807,0.100205,0.106209,0.116958,0.137391,0.178154", \ - "0.094080,0.097694,0.101091,0.107094,0.117843,0.138275,0.179042", \ - "0.097193,0.100810,0.104206,0.110213,0.120964,0.141394,0.182159", \ - "0.102676,0.106289,0.109687,0.115693,0.126439,0.146864,0.187620", \ - "0.112530,0.116142,0.119539,0.125533,0.136277,0.156700,0.197449", \ - "0.127362,0.130990,0.134389,0.140387,0.151126,0.171532,0.212239", \ - "0.146010,0.149663,0.153077,0.159091,0.169834,0.190220,0.230885"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006022,0.007770,0.009847,0.013975,0.022514,0.040793,0.078822", \ - "0.006024,0.007769,0.009848,0.013980,0.022512,0.040802,0.078817", \ - "0.006025,0.007768,0.009844,0.013975,0.022510,0.040800,0.078821", \ - "0.006020,0.007768,0.009846,0.013975,0.022512,0.040796,0.078817", \ - "0.006025,0.007771,0.009849,0.013978,0.022514,0.040796,0.078820", \ - "0.006118,0.007845,0.009911,0.014025,0.022543,0.040810,0.078821", \ - "0.006308,0.008018,0.010059,0.014145,0.022612,0.040834,0.078822"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.093168,0.096782,0.100180,0.106184,0.116933,0.137360,0.178121", \ - "0.094056,0.097670,0.101067,0.107070,0.117819,0.138248,0.179006", \ - "0.097160,0.100776,0.104176,0.110182,0.120930,0.141359,0.182126", \ - "0.102620,0.106233,0.109632,0.115635,0.126380,0.146803,0.187556", \ - "0.112444,0.116060,0.119452,0.125448,0.136188,0.156607,0.197346", \ - "0.127257,0.130879,0.134279,0.140276,0.151007,0.171409,0.212102", \ - "0.145890,0.149541,0.152958,0.158968,0.169702,0.190078,0.230731"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006024,0.007773,0.009850,0.013978,0.022514,0.040800,0.078821", \ - "0.006025,0.007772,0.009852,0.013980,0.022514,0.040793,0.078817", \ - "0.006028,0.007775,0.009847,0.013976,0.022514,0.040803,0.078821", \ - "0.006025,0.007771,0.009849,0.013977,0.022515,0.040797,0.078817", \ - "0.006030,0.007774,0.009852,0.013983,0.022513,0.040797,0.078821", \ - "0.006120,0.007852,0.009915,0.014027,0.022543,0.040810,0.078820", \ - "0.006309,0.008021,0.010062,0.014147,0.022615,0.040835,0.078829"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.102074,0.105486,0.108784,0.114697,0.125442,0.146043,0.186964", \ - "0.102953,0.106361,0.109660,0.115570,0.126317,0.146916,0.187841", \ - "0.106319,0.109729,0.113027,0.118939,0.129677,0.150281,0.191206", \ - "0.110718,0.114127,0.117424,0.123342,0.134091,0.154681,0.195615", \ - "0.115853,0.119258,0.122564,0.128479,0.139225,0.159811,0.200747", \ - "0.121666,0.125076,0.128379,0.134280,0.145051,0.165637,0.206556", \ - "0.128116,0.131526,0.134832,0.140722,0.151492,0.172065,0.212994"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004594,0.006606,0.008856,0.013207,0.022047,0.040590,0.078752", \ - "0.004586,0.006600,0.008853,0.013204,0.022048,0.040596,0.078749", \ - "0.004582,0.006596,0.008850,0.013204,0.022042,0.040589,0.078751", \ - "0.004581,0.006595,0.008847,0.013203,0.022041,0.040590,0.078752", \ - "0.004580,0.006594,0.008848,0.013201,0.022042,0.040590,0.078747", \ - "0.004575,0.006595,0.008853,0.013201,0.022041,0.040590,0.078750", \ - "0.004574,0.006590,0.008846,0.013200,0.022033,0.040574,0.078749"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.102134,0.105545,0.108840,0.114752,0.125494,0.146085,0.187003", \ - "0.103011,0.106419,0.109716,0.115624,0.126368,0.146962,0.187880", \ - "0.106382,0.109785,0.113075,0.118992,0.129727,0.150321,0.191240", \ - "0.110768,0.114187,0.117475,0.123391,0.134138,0.154724,0.195650", \ - "0.115907,0.119306,0.122611,0.128525,0.139269,0.159850,0.200779", \ - "0.121710,0.125119,0.128423,0.134322,0.145090,0.165673,0.206586", \ - "0.128155,0.131561,0.134871,0.140759,0.151528,0.172097,0.213024"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004595,0.006608,0.008856,0.013207,0.022047,0.040589,0.078752", \ - "0.004586,0.006600,0.008856,0.013204,0.022048,0.040588,0.078748", \ - "0.004582,0.006596,0.008850,0.013202,0.022042,0.040591,0.078751", \ - "0.004582,0.006596,0.008847,0.013204,0.022042,0.040590,0.078752", \ - "0.004580,0.006595,0.008848,0.013205,0.022043,0.040590,0.078747", \ - "0.004576,0.006595,0.008852,0.013202,0.022041,0.040590,0.078751", \ - "0.004575,0.006590,0.008844,0.013201,0.022033,0.040573,0.078752"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.464370,11.133770,11.051710,10.907240,10.799900,10.703630,10.571100", \ - "11.468820,11.157450,10.998220,10.925990,10.788830,10.609670,10.557710", \ - "11.602970,11.257590,11.129860,10.920770,10.905550,10.700820,10.821270", \ - "11.951800,11.617540,11.528320,11.409800,11.296300,11.083490,11.042480", \ - "12.777910,12.389990,12.320380,12.195060,12.059270,11.952830,11.751540", \ - "14.003960,13.671000,13.559990,13.309870,13.240430,13.125740,13.004070", \ - "15.508370,15.247960,15.114200,15.088960,14.967810,14.859380,14.639350"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.815510,11.505510,11.372370,11.273260,11.344620,11.205730,11.223060", \ - "11.815610,11.488540,11.367440,11.299560,11.351160,11.212580,11.048850", \ - "11.944750,11.588950,11.470350,11.364400,11.437280,11.365380,11.088820", \ - "12.310800,11.997660,11.863070,11.773920,11.844410,11.630830,11.723340", \ - "13.169040,12.813470,12.677860,12.556910,12.662110,12.615150,12.531320", \ - "14.448310,14.122180,13.999910,13.910480,13.943240,13.826350,13.800740", \ - "16.071630,15.749020,15.644530,15.629340,15.653840,15.537780,15.454260"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.127416,8.291618,8.474737,8.688394,8.750563,8.740707,8.724753", \ - "8.065799,8.258541,8.433640,8.643142,8.721678,8.702281,8.691309", \ - "8.449043,8.551841,8.700760,8.874873,8.936768,8.922760,8.910230", \ - "9.981556,10.034220,10.077240,10.093940,10.027090,9.895586,9.854298", \ - "12.447280,12.400080,12.240650,12.180710,12.117980,11.851610,11.700920", \ - "16.285970,16.070750,15.813840,15.498070,15.217450,14.928820,14.625580", \ - "21.074070,20.968440,20.657790,20.033470,19.574920,19.086710,18.732960"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.974772,7.147196,7.287522,7.228185,7.266020,6.730079,6.185691", \ - "6.983440,7.109457,6.997849,7.251088,7.263785,6.730122,7.170504", \ - "7.089725,7.107881,7.146228,7.344087,7.105704,6.382659,7.264816", \ - "7.891944,7.921852,7.926882,7.834486,7.835794,7.388929,7.669280", \ - "10.048680,9.869293,9.961975,9.745047,9.335192,9.029503,8.532975", \ - "13.269950,13.019310,12.802940,12.945500,12.702680,11.911500,10.603540", \ - "17.289870,16.842910,16.638380,16.681320,16.814840,16.219090,15.571220"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.186561,8.898368,8.891017,8.964368,9.201025,9.222280,8.876338", \ - "9.142323,8.915633,8.868812,8.914506,9.065412,9.162421,9.143422", \ - "9.348824,9.084026,9.016626,9.128215,9.293062,9.234625,9.177164", \ - "10.395790,10.094600,9.928112,9.825293,9.928469,9.852965,9.765092", \ - "12.248740,11.743890,11.558430,11.313400,11.048600,10.973430,10.813480", \ - "14.760930,14.179570,13.704210,13.306790,12.976600,12.618480,12.276980", \ - "17.918900,17.202600,16.782560,16.040250,15.418310,14.773710,14.240970"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.161664,8.324708,8.497294,8.717076,8.781052,8.768933,8.749188", \ - "8.132061,8.292864,8.467848,8.676117,8.753743,8.734309,8.721596", \ - "8.483675,8.586456,8.735076,8.918106,8.970859,8.956171,8.942513", \ - "10.016600,10.074520,10.123220,10.127550,10.061840,9.929896,9.873728", \ - "12.482570,12.452290,12.274970,12.227040,12.152570,11.886870,11.733690", \ - "16.319890,16.103820,15.837220,15.512150,15.257570,14.962430,14.655680", \ - "21.111680,21.005880,20.695180,20.070690,19.613670,19.119030,18.768060"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.965551,7.070237,7.249042,7.239289,7.272440,6.738756,6.869995", \ - "6.973736,7.079004,7.007033,7.243747,7.267422,6.735304,6.541029", \ - "7.080193,7.098650,7.274321,7.335517,7.156041,6.885577,7.256758", \ - "7.876739,7.905832,7.918211,7.839338,7.839196,7.375876,7.693961", \ - "10.038890,9.875102,9.954353,9.770678,9.337391,9.062721,9.079640", \ - "13.261960,13.066740,12.794610,12.973320,12.706130,11.334510,11.692020", \ - "17.247200,16.834320,16.714360,16.672850,16.818910,15.986140,15.567160"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.241854,9.047493,8.995733,9.041203,9.337131,9.351745,9.017431", \ - "9.272972,9.041969,9.006408,9.080147,9.196693,9.293677,9.263482", \ - "9.479592,9.214784,9.083908,9.259235,9.423546,9.378880,9.383865", \ - "10.526060,10.199870,10.057480,9.955558,10.055850,9.976313,9.869045", \ - "12.373180,11.871630,11.686870,11.442620,11.177480,10.851420,10.930700", \ - "14.887410,14.304840,13.899180,13.431970,13.144100,12.773320,12.471030", \ - "18.048110,17.316030,16.896830,16.186910,15.542270,14.967100,14.356400"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.551726,8.863851,9.136854,9.498637,10.029720,11.981830,15.380590", \ - "8.554634,8.812452,9.076412,9.482329,9.981537,11.999830,15.346800", \ - "8.949527,9.114834,9.361648,9.748946,10.247390,12.236220,15.562230", \ - "10.556760,10.663700,10.823810,11.007290,11.395600,13.489250,16.542740", \ - "13.093360,13.145160,13.077890,13.166920,13.599240,15.505170,18.461300", \ - "17.151920,17.090830,16.839510,16.673660,16.775580,18.411260,21.584780", \ - "22.251280,22.186950,21.981430,21.486860,21.369740,22.481210,26.064820"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.476710,12.538330,12.520320,12.793950,12.727500,11.486380,12.632360", \ - "12.535930,12.602550,12.584540,12.605640,12.765090,11.528180,12.524780", \ - "12.457720,12.519960,12.495840,12.775660,12.600420,12.655130,12.294830", \ - "12.475880,12.566800,12.511750,12.764650,12.745790,11.504830,11.292190", \ - "12.618300,12.720770,12.852590,12.899520,12.639010,12.695670,12.742040", \ - "13.055180,13.138380,13.195250,13.144600,13.167840,11.916270,12.997490", \ - "14.020830,14.034290,14.089480,14.236580,13.756860,13.214850,13.625050"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.141481,8.843482,8.864377,8.927897,10.480050,12.902480,12.840620", \ - "9.131471,8.846982,8.761738,8.935707,10.407120,12.891540,13.114510", \ - "9.338024,9.029588,9.007348,9.194879,10.663470,12.747970,13.154020", \ - "10.414010,9.954124,9.831479,9.926578,11.426700,13.513510,13.734050", \ - "12.308220,11.767380,11.581020,11.567190,12.622130,14.672860,14.769910", \ - "14.876590,14.303790,13.871630,13.592450,14.496780,16.657860,16.622670", \ - "18.285740,17.561730,17.084820,16.419880,16.895330,19.183230,19.259020"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.551492,8.863377,9.136465,9.498149,10.029740,11.984110,15.379220", \ - "8.554375,8.812116,9.076013,9.481786,9.982209,12.007800,15.346060", \ - "8.841689,9.114395,9.361136,9.748730,10.201390,12.248030,15.561300", \ - "10.556800,10.663100,10.794960,11.006450,11.392940,13.487280,16.540250", \ - "13.092630,13.144300,13.076790,13.164580,13.593540,15.382360,18.459220", \ - "17.150980,17.088080,16.838450,16.672310,16.771890,18.407770,21.579700", \ - "22.250120,22.189310,21.980110,21.484600,21.388940,22.477390,26.060230"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.481690,12.543690,12.519380,12.799340,12.750690,11.510450,12.639020", \ - "12.540800,12.607790,12.589950,12.606430,12.788020,11.554180,12.081350", \ - "12.471960,12.525490,12.501750,12.781190,12.624130,12.678930,12.302080", \ - "12.472630,12.594040,12.495430,12.771950,12.770160,11.532170,12.509960", \ - "12.631830,12.647520,12.868770,12.905640,12.695380,12.721510,12.749460", \ - "13.041320,13.139370,13.201950,13.152390,13.191900,11.945730,13.027180", \ - "14.027590,14.041050,14.090080,14.243860,14.050270,13.223270,13.633770"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.141471,8.843206,8.863975,8.927612,10.451780,12.898130,12.825260", \ - "9.131243,8.838736,8.761398,8.935400,10.409960,12.885750,13.104760", \ - "9.337741,9.024391,9.006953,9.194516,10.663300,12.736930,13.144350", \ - "10.413640,9.953714,9.831416,9.926148,11.427820,13.491190,13.713090", \ - "12.306110,11.766880,11.580490,11.566690,12.621730,14.670480,14.760700", \ - "14.876000,14.303180,13.871840,13.591810,14.547510,16.654000,16.688920", \ - "18.285040,17.579200,17.084030,16.419070,16.894630,19.399390,19.250540"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.484100,12.140870,12.000250,11.899000,11.694330,11.660150,11.341950", \ - "12.462470,12.066010,11.998880,11.897940,11.771090,11.464100,11.407860", \ - "12.849680,12.373800,12.315520,12.206670,12.039850,11.822530,11.494940", \ - "14.190420,13.631830,13.347380,13.154800,12.959650,12.494840,12.163140", \ - "16.559380,15.831560,15.460360,15.085020,14.747130,14.390540,13.769010", \ - "20.156520,19.292710,18.704060,18.247030,17.583800,16.909450,16.804170", \ - "24.742650,23.791350,23.223790,22.452280,21.697220,21.129870,20.608800"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.635660,11.279200,11.139520,11.039280,10.894380,10.803790,10.488940", \ - "11.619510,11.158450,11.181860,11.046100,10.834860,10.615340,10.299520", \ - "11.891490,11.381430,11.209700,11.250020,11.085120,10.858990,10.543460", \ - "12.872260,12.336790,12.053460,11.824820,11.669370,11.206860,10.881690", \ - "14.620690,13.849510,13.536840,13.162810,12.841460,12.472540,11.909910", \ - "17.192800,16.365730,15.785520,15.323120,14.717750,14.362550,13.945290", \ - "20.583320,19.594710,18.925430,18.298560,17.549770,16.709240,16.467330"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.635790,12.345890,12.344660,12.325260,12.372520,12.047500,12.183850", \ - "12.578230,12.312190,12.337510,12.318950,12.198360,12.223110,11.983270", \ - "12.918180,12.608660,12.625700,12.552400,12.478870,12.381790,12.287660", \ - "14.271880,13.865140,13.636730,13.519440,13.365130,13.308280,13.099960", \ - "16.529440,15.976610,15.634570,15.436670,15.053750,14.782400,14.468260", \ - "19.734350,19.100060,18.656030,18.144410,17.816570,17.332310,16.916240", \ - "23.727850,22.934260,22.459340,21.744060,21.266880,20.647160,20.270140"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.634810,12.426440,12.345240,12.337760,12.380140,12.060680,12.203990", \ - "12.629060,12.319510,12.378540,12.300360,12.184440,12.236890,12.003180", \ - "12.922010,12.608040,12.627450,12.572700,12.486120,12.159560,12.307980", \ - "14.281020,13.865050,13.637500,13.516930,13.372560,12.996200,13.120220", \ - "16.543060,15.977260,15.609960,15.440350,15.061110,14.795700,14.873190", \ - "19.731920,19.048790,18.689850,18.143430,17.754030,17.345470,16.923470", \ - "23.727190,22.941040,22.461460,21.748450,21.260090,20.593000,20.289790"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0620034,0.0641322,0.0662975,0.0703665,0.0779583,0.0926791,0.122146", \ - "0.0627821,0.0649094,0.0670743,0.0711447,0.0787358,0.0934574,0.122923", \ - "0.0653215,0.0674492,0.0696151,0.0736864,0.0812803,0.0960000,0.125463", \ - "0.0675662,0.0696985,0.0718641,0.0759355,0.0835252,0.0982466,0.127719", \ - "0.0687828,0.0709099,0.0730675,0.0771356,0.0847242,0.0994473,0.128912", \ - "0.0685383,0.0706598,0.0728230,0.0768910,0.0844799,0.0992013,0.128663", \ - "0.0664806,0.0686004,0.0707577,0.0748254,0.0824128,0.0971234,0.126588"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0681899,0.0710085,0.0737846,0.0790016,0.0892017,0.109552,0.150454", \ - "0.0689724,0.0717922,0.0745686,0.0797812,0.0899828,0.110332,0.151241", \ - "0.0716544,0.0744718,0.0772530,0.0824673,0.0926713,0.113017,0.153920", \ - "0.0741878,0.0770065,0.0797844,0.0849899,0.0951922,0.115545,0.156450", \ - "0.0758042,0.0786295,0.0814014,0.0866153,0.0968172,0.117167,0.158075", \ - "0.0760102,0.0788297,0.0816031,0.0868184,0.0970254,0.117373,0.158279", \ - "0.0744871,0.0773056,0.0800847,0.0853000,0.0954936,0.115847,0.156755"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00383691,0.00508921,0.00638008,0.00903919,0.0147458,0.0270705,0.0525829", \ - "0.00383309,0.00508978,0.00638244,0.00904017,0.0147442,0.0270708,0.0525791", \ - "0.00383489,0.00508938,0.00638486,0.00904286,0.0147450,0.0270718,0.0525782", \ - "0.00383810,0.00508889,0.00638529,0.00904308,0.0147446,0.0270685,0.0525919", \ - "0.00383918,0.00509109,0.00638146,0.00903908,0.0147475,0.0270747,0.0525850", \ - "0.00384218,0.00509662,0.00638443,0.00904696,0.0147481,0.0270734,0.0525817", \ - "0.00384807,0.00510211,0.00638921,0.00904962,0.0147493,0.0270688,0.0525980"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00396761,0.00601591,0.00824725,0.0125779,0.0215648,0.0403954,0.0786954", \ - "0.00396934,0.00601464,0.00824688,0.0125782,0.0215648,0.0403937,0.0786976", \ - "0.00396715,0.00601721,0.00824704,0.0125783,0.0215649,0.0403946,0.0786949", \ - "0.00396715,0.00601458,0.00824737,0.0125782,0.0215647,0.0403945,0.0786955", \ - "0.00397159,0.00601433,0.00824730,0.0125788,0.0215646,0.0403940,0.0787006", \ - "0.00396939,0.00601670,0.00824944,0.0125794,0.0215647,0.0403919,0.0786950", \ - "0.00396883,0.00601526,0.00824708,0.0125788,0.0215662,0.0403979,0.0786979"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.035525,0.038370,0.041159,0.046385,0.056600,0.076959,0.117875", \ - "0.036443,0.039287,0.042076,0.047303,0.057518,0.077878,0.118792", \ - "0.040418,0.043262,0.046050,0.051273,0.061482,0.081839,0.122751", \ - "0.048210,0.050958,0.053674,0.058809,0.068929,0.089215,0.130079", \ - "0.057849,0.060467,0.063030,0.067956,0.077876,0.098010,0.138775", \ - "0.068693,0.071244,0.073681,0.078397,0.088097,0.108040,0.148677", \ - "0.080786,0.083307,0.085641,0.090143,0.099586,0.119299,0.159765"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003979,0.006025,0.008255,0.012585,0.021573,0.040404,0.078696", \ - "0.003981,0.006026,0.008255,0.012586,0.021573,0.040403,0.078707", \ - "0.003984,0.006028,0.008257,0.012586,0.021575,0.040402,0.078698", \ - "0.004022,0.006058,0.008283,0.012607,0.021584,0.040405,0.078694", \ - "0.004191,0.006181,0.008395,0.012686,0.021625,0.040414,0.078695", \ - "0.004397,0.006346,0.008535,0.012793,0.021682,0.040428,0.078705", \ - "0.004664,0.006560,0.008732,0.012932,0.021754,0.040445,0.078694"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.035527,0.038372,0.041161,0.046388,0.056604,0.076964,0.117879", \ - "0.036446,0.039290,0.042079,0.047306,0.057521,0.077880,0.118799", \ - "0.040421,0.043265,0.046053,0.051276,0.061485,0.081841,0.122752", \ - "0.048212,0.050962,0.053676,0.058812,0.068932,0.089217,0.130083", \ - "0.057852,0.060471,0.063034,0.067962,0.077883,0.098017,0.138780", \ - "0.068697,0.071247,0.073684,0.078400,0.088102,0.108042,0.148684", \ - "0.080786,0.083309,0.085643,0.090143,0.099587,0.119300,0.159769"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003979,0.006026,0.008257,0.012587,0.021573,0.040404,0.078697", \ - "0.003981,0.006026,0.008255,0.012585,0.021574,0.040402,0.078700", \ - "0.003984,0.006028,0.008260,0.012586,0.021575,0.040401,0.078692", \ - "0.004022,0.006058,0.008283,0.012607,0.021584,0.040406,0.078693", \ - "0.004191,0.006180,0.008396,0.012686,0.021625,0.040412,0.078695", \ - "0.004397,0.006346,0.008536,0.012794,0.021682,0.040425,0.078699", \ - "0.004664,0.006559,0.008730,0.012932,0.021754,0.040441,0.078696"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.035564,0.038405,0.041193,0.046422,0.056638,0.076996,0.117908", \ - "0.036483,0.039322,0.042110,0.047339,0.057555,0.077917,0.118826", \ - "0.040453,0.043294,0.046080,0.051306,0.061516,0.081871,0.122778", \ - "0.048233,0.050981,0.053697,0.058837,0.068959,0.089244,0.130111", \ - "0.057837,0.060453,0.063020,0.067955,0.077882,0.098018,0.138785", \ - "0.068694,0.071245,0.073685,0.078407,0.088107,0.108053,0.148695", \ - "0.080898,0.083440,0.085779,0.090274,0.099697,0.119413,0.159873"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003968,0.006017,0.008249,0.012578,0.021565,0.040397,0.078693", \ - "0.003969,0.006018,0.008247,0.012581,0.021566,0.040397,0.078697", \ - "0.003972,0.006019,0.008248,0.012578,0.021566,0.040394,0.078699", \ - "0.004009,0.006048,0.008274,0.012600,0.021576,0.040399,0.078695", \ - "0.004176,0.006169,0.008382,0.012676,0.021615,0.040409,0.078696", \ - "0.004391,0.006340,0.008530,0.012781,0.021672,0.040427,0.078704", \ - "0.004685,0.006579,0.008745,0.012935,0.021753,0.040461,0.078695"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.035564,0.038405,0.041193,0.046422,0.056638,0.076996,0.117908", \ - "0.036483,0.039322,0.042110,0.047339,0.057555,0.077917,0.118826", \ - "0.040453,0.043293,0.046080,0.051306,0.061516,0.081871,0.122778", \ - "0.048233,0.050981,0.053697,0.058837,0.068959,0.089243,0.130111", \ - "0.057837,0.060453,0.063020,0.067955,0.077882,0.098018,0.138785", \ - "0.068694,0.071245,0.073685,0.078407,0.088107,0.108053,0.148695", \ - "0.080898,0.083440,0.085779,0.090273,0.099697,0.119411,0.159873"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003968,0.006017,0.008249,0.012578,0.021565,0.040397,0.078693", \ - "0.003969,0.006017,0.008247,0.012581,0.021566,0.040397,0.078696", \ - "0.003972,0.006019,0.008252,0.012578,0.021566,0.040394,0.078698", \ - "0.004009,0.006048,0.008274,0.012600,0.021576,0.040399,0.078696", \ - "0.004176,0.006169,0.008382,0.012676,0.021615,0.040409,0.078696", \ - "0.004391,0.006340,0.008530,0.012781,0.021672,0.040427,0.078700", \ - "0.004685,0.006579,0.008745,0.012934,0.021753,0.040459,0.078695"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014328,0.017063,0.019568,0.023934,0.031754,0.046649,0.076206", \ - "0.015257,0.017991,0.020495,0.024860,0.032680,0.047576,0.077137", \ - "0.019354,0.022038,0.024510,0.028851,0.036655,0.051538,0.081093", \ - "0.025695,0.028593,0.031195,0.035656,0.043513,0.058336,0.087809", \ - "0.032784,0.035958,0.038765,0.043501,0.051620,0.066517,0.095898", \ - "0.040842,0.044292,0.047350,0.052442,0.060953,0.076030,0.105349", \ - "0.049786,0.053516,0.056858,0.062376,0.071452,0.086988,0.116372"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018225,0.021470,0.024630,0.030334,0.040913,0.061489,0.102493", \ - "0.018710,0.021954,0.025113,0.030817,0.041396,0.061973,0.102967", \ - "0.020275,0.023500,0.026641,0.032319,0.042873,0.063428,0.104424", \ - "0.022915,0.026175,0.029341,0.035037,0.045577,0.066064,0.106987", \ - "0.025728,0.029113,0.032368,0.038189,0.048794,0.069244,0.110071", \ - "0.027690,0.031302,0.034719,0.040813,0.051774,0.072515,0.113318", \ - "0.028383,0.032251,0.035882,0.042303,0.053707,0.075071,0.116460"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003248,0.004530,0.005935,0.008749,0.014612,0.027025,0.052516", \ - "0.003246,0.004531,0.005935,0.008749,0.014613,0.027027,0.052521", \ - "0.003321,0.004601,0.005994,0.008794,0.014631,0.027029,0.052518", \ - "0.004496,0.005621,0.006854,0.009408,0.014923,0.027086,0.052533", \ - "0.005830,0.006961,0.008132,0.010522,0.015701,0.027411,0.052578", \ - "0.007442,0.008616,0.009766,0.012040,0.016874,0.027979,0.052792", \ - "0.009358,0.010582,0.011768,0.014005,0.018606,0.029080,0.053186"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003781,0.005857,0.008087,0.012470,0.021526,0.040387,0.078713", \ - "0.003780,0.005857,0.008090,0.012472,0.021532,0.040389,0.078695", \ - "0.003794,0.005868,0.008104,0.012486,0.021535,0.040390,0.078717", \ - "0.004193,0.006188,0.008399,0.012722,0.021663,0.040404,0.078707", \ - "0.005102,0.006941,0.009093,0.013327,0.022067,0.040595,0.078709", \ - "0.006450,0.008142,0.010236,0.014444,0.023103,0.041277,0.078882", \ - "0.008076,0.009690,0.011700,0.015883,0.024525,0.042802,0.079822"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014313,0.017054,0.019562,0.023929,0.031751,0.046656,0.076325", \ - "0.015244,0.017980,0.020489,0.024854,0.032677,0.047586,0.077253", \ - "0.019342,0.022032,0.024507,0.028847,0.036653,0.051550,0.081217", \ - "0.025684,0.028589,0.031190,0.035651,0.043512,0.058348,0.087944", \ - "0.032777,0.035955,0.038769,0.043508,0.051629,0.066535,0.096023", \ - "0.040837,0.044294,0.047358,0.052453,0.060972,0.076058,0.105456", \ - "0.049787,0.053521,0.056867,0.062394,0.071476,0.087019,0.116425"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003254,0.004537,0.005937,0.008751,0.014612,0.027059,0.052780", \ - "0.003253,0.004537,0.005939,0.008753,0.014614,0.027065,0.052777", \ - "0.003326,0.004607,0.005998,0.008797,0.014630,0.027068,0.052778", \ - "0.004504,0.005635,0.006863,0.009412,0.014922,0.027128,0.052784", \ - "0.005839,0.006975,0.008145,0.010528,0.015703,0.027448,0.052826", \ - "0.007452,0.008626,0.009778,0.012052,0.016875,0.028001,0.053001", \ - "0.009364,0.010597,0.011784,0.014015,0.018604,0.029073,0.053300"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014314,0.017050,0.019555,0.023922,0.031741,0.046639,0.076193", \ - "0.015245,0.017977,0.020482,0.024847,0.032667,0.047563,0.077124", \ - "0.019342,0.022024,0.024498,0.028837,0.036640,0.051526,0.081079", \ - "0.025672,0.028576,0.031174,0.035636,0.043493,0.058314,0.087788", \ - "0.032749,0.035923,0.038730,0.043469,0.051586,0.066483,0.095866", \ - "0.040791,0.044245,0.047306,0.052398,0.060911,0.075989,0.105306", \ - "0.049728,0.053459,0.056800,0.062321,0.071398,0.086940,0.116319"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018218,0.021464,0.024623,0.030327,0.040908,0.061482,0.102487", \ - "0.018702,0.021945,0.025105,0.030809,0.041390,0.061964,0.102972", \ - "0.020259,0.023483,0.026625,0.032302,0.042860,0.063412,0.104408", \ - "0.022889,0.026149,0.029315,0.035012,0.045547,0.066032,0.106963", \ - "0.025698,0.029080,0.032338,0.038159,0.048765,0.069211,0.110043", \ - "0.027660,0.031268,0.034689,0.040779,0.051740,0.072483,0.113287", \ - "0.028348,0.032216,0.035845,0.042267,0.053673,0.075037,0.116431"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003247,0.004530,0.005934,0.008746,0.014612,0.027025,0.052516", \ - "0.003245,0.004530,0.005934,0.008748,0.014611,0.027021,0.052521", \ - "0.003319,0.004600,0.005993,0.008792,0.014627,0.027031,0.052519", \ - "0.004494,0.005626,0.006855,0.009408,0.014923,0.027087,0.052533", \ - "0.005834,0.006962,0.008131,0.010523,0.015707,0.027411,0.052577", \ - "0.007433,0.008614,0.009767,0.012043,0.016883,0.027981,0.052798", \ - "0.009356,0.010580,0.011771,0.014007,0.018606,0.029081,0.053183"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003781,0.005856,0.008086,0.012470,0.021526,0.040383,0.078712", \ - "0.003777,0.005854,0.008090,0.012472,0.021526,0.040382,0.078693", \ - "0.003794,0.005869,0.008102,0.012484,0.021539,0.040379,0.078717", \ - "0.004191,0.006187,0.008398,0.012722,0.021652,0.040406,0.078706", \ - "0.005101,0.006940,0.009092,0.013326,0.022065,0.040569,0.078711", \ - "0.006447,0.008141,0.010234,0.014446,0.023116,0.041279,0.078879", \ - "0.008073,0.009688,0.011699,0.015882,0.024526,0.042803,0.079824"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014300,0.017040,0.019549,0.023915,0.031737,0.046645,0.076311", \ - "0.015232,0.017967,0.020476,0.024842,0.032665,0.047575,0.077242", \ - "0.019331,0.022015,0.024490,0.028832,0.036637,0.051533,0.081200", \ - "0.025662,0.028566,0.031166,0.035633,0.043490,0.058325,0.087919", \ - "0.032744,0.035921,0.038734,0.043472,0.051594,0.066498,0.095982", \ - "0.040791,0.044250,0.047316,0.052411,0.060926,0.076005,0.105395", \ - "0.049732,0.053466,0.056812,0.062339,0.071419,0.086951,0.116345"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003252,0.004535,0.005936,0.008751,0.014611,0.027064,0.052779", \ - "0.003254,0.004536,0.005938,0.008753,0.014612,0.027064,0.052777", \ - "0.003326,0.004606,0.005999,0.008796,0.014631,0.027068,0.052777", \ - "0.004504,0.005634,0.006860,0.009412,0.014924,0.027128,0.052784", \ - "0.005835,0.006975,0.008144,0.010532,0.015702,0.027450,0.052827", \ - "0.007447,0.008625,0.009780,0.012053,0.016878,0.028003,0.053002", \ - "0.009360,0.010597,0.011791,0.014018,0.018612,0.029075,0.053301"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014329,0.017064,0.019570,0.023935,0.031754,0.046648,0.076216", \ - "0.015259,0.017991,0.020495,0.024860,0.032680,0.047574,0.077143", \ - "0.019355,0.022039,0.024512,0.028850,0.036654,0.051537,0.081100", \ - "0.025698,0.028595,0.031195,0.035655,0.043512,0.058335,0.087814", \ - "0.032784,0.035956,0.038763,0.043498,0.051616,0.066508,0.095896", \ - "0.040836,0.044285,0.047344,0.052433,0.060945,0.076021,0.105337", \ - "0.049778,0.053505,0.056845,0.062363,0.071438,0.086973,0.116353"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018029,0.021276,0.024435,0.030139,0.040717,0.061288,0.102309", \ - "0.018521,0.021766,0.024926,0.030628,0.041207,0.061789,0.102809", \ - "0.020140,0.023366,0.026507,0.032183,0.042736,0.063289,0.104302", \ - "0.022849,0.026110,0.029274,0.034970,0.045500,0.065981,0.106932", \ - "0.025674,0.029059,0.032319,0.038138,0.048743,0.069185,0.110012", \ - "0.027628,0.031240,0.034665,0.040756,0.051713,0.072452,0.113266", \ - "0.028309,0.032183,0.035813,0.042237,0.053638,0.075000,0.116416"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003247,0.004530,0.005934,0.008751,0.014610,0.027022,0.052530", \ - "0.003245,0.004530,0.005933,0.008749,0.014611,0.027020,0.052535", \ - "0.003319,0.004599,0.005992,0.008793,0.014630,0.027027,0.052532", \ - "0.004493,0.005621,0.006853,0.009407,0.014920,0.027085,0.052546", \ - "0.005827,0.006957,0.008132,0.010520,0.015703,0.027410,0.052583", \ - "0.007438,0.008606,0.009757,0.012037,0.016874,0.027976,0.052804", \ - "0.009353,0.010571,0.011767,0.014001,0.018598,0.029072,0.053199"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003749,0.005833,0.008069,0.012455,0.021523,0.040413,0.078721", \ - "0.003748,0.005833,0.008070,0.012458,0.021515,0.040415,0.078717", \ - "0.003768,0.005849,0.008086,0.012467,0.021527,0.040424,0.078723", \ - "0.004180,0.006178,0.008386,0.012707,0.021644,0.040412,0.078753", \ - "0.005102,0.006942,0.009091,0.013323,0.022063,0.040585,0.078743", \ - "0.006455,0.008148,0.010233,0.014444,0.023103,0.041278,0.078887", \ - "0.008085,0.009698,0.011708,0.015883,0.024527,0.042798,0.079863"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014318,0.017057,0.019564,0.023931,0.031751,0.046644,0.076210", \ - "0.015248,0.017982,0.020490,0.024856,0.032678,0.047573,0.077138", \ - "0.019347,0.022033,0.024508,0.028850,0.036654,0.051538,0.081100", \ - "0.025690,0.028594,0.031190,0.035654,0.043512,0.058331,0.087827", \ - "0.032782,0.035956,0.038763,0.043501,0.051621,0.066514,0.095935", \ - "0.040836,0.044290,0.047352,0.052445,0.060956,0.076026,0.105408", \ - "0.049781,0.053513,0.056857,0.062379,0.071454,0.086978,0.116450"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003253,0.004536,0.005936,0.008750,0.014612,0.027013,0.052749", \ - "0.003253,0.004536,0.005937,0.008752,0.014612,0.027016,0.052748", \ - "0.003323,0.004604,0.005996,0.008795,0.014630,0.027013,0.052756", \ - "0.004499,0.005630,0.006857,0.009409,0.014920,0.027078,0.052782", \ - "0.005830,0.006967,0.008136,0.010526,0.015698,0.027405,0.052858", \ - "0.007446,0.008617,0.009772,0.012046,0.016867,0.027961,0.053078", \ - "0.009353,0.010586,0.011782,0.014005,0.018599,0.029049,0.053416"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014329,0.017064,0.019569,0.023935,0.031754,0.046649,0.076216", \ - "0.015259,0.017991,0.020495,0.024860,0.032679,0.047574,0.077143", \ - "0.019355,0.022039,0.024512,0.028850,0.036655,0.051538,0.081100", \ - "0.025698,0.028595,0.031195,0.035655,0.043512,0.058335,0.087814", \ - "0.032784,0.035956,0.038763,0.043498,0.051615,0.066508,0.095896", \ - "0.040836,0.044285,0.047344,0.052433,0.060945,0.076021,0.105337", \ - "0.049778,0.053505,0.056845,0.062363,0.071438,0.086973,0.116353"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018029,0.021276,0.024435,0.030139,0.040717,0.061289,0.102309", \ - "0.018521,0.021766,0.024926,0.030628,0.041207,0.061789,0.102809", \ - "0.020140,0.023366,0.026507,0.032183,0.042736,0.063289,0.104302", \ - "0.022849,0.026110,0.029274,0.034970,0.045500,0.065981,0.106932", \ - "0.025674,0.029059,0.032319,0.038138,0.048743,0.069185,0.110012", \ - "0.027628,0.031240,0.034665,0.040756,0.051713,0.072452,0.113266", \ - "0.028309,0.032183,0.035813,0.042237,0.053638,0.075000,0.116416"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003247,0.004530,0.005934,0.008751,0.014610,0.027022,0.052530", \ - "0.003245,0.004530,0.005933,0.008749,0.014611,0.027020,0.052535", \ - "0.003319,0.004599,0.005992,0.008793,0.014626,0.027027,0.052532", \ - "0.004493,0.005621,0.006853,0.009407,0.014920,0.027086,0.052546", \ - "0.005827,0.006957,0.008132,0.010520,0.015703,0.027410,0.052583", \ - "0.007438,0.008606,0.009757,0.012037,0.016874,0.027976,0.052804", \ - "0.009353,0.010571,0.011767,0.014001,0.018598,0.029072,0.053199"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003749,0.005833,0.008069,0.012455,0.021523,0.040412,0.078721", \ - "0.003748,0.005833,0.008070,0.012458,0.021515,0.040416,0.078717", \ - "0.003768,0.005849,0.008086,0.012467,0.021527,0.040424,0.078723", \ - "0.004180,0.006178,0.008386,0.012707,0.021644,0.040413,0.078753", \ - "0.005102,0.006942,0.009091,0.013323,0.022063,0.040585,0.078743", \ - "0.006455,0.008148,0.010233,0.014444,0.023104,0.041278,0.078887", \ - "0.008085,0.009698,0.011708,0.015883,0.024526,0.042798,0.079863"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014318,0.017057,0.019564,0.023931,0.031751,0.046644,0.076210", \ - "0.015248,0.017982,0.020490,0.024856,0.032678,0.047573,0.077138", \ - "0.019347,0.022033,0.024508,0.028850,0.036654,0.051537,0.081100", \ - "0.025690,0.028594,0.031190,0.035654,0.043512,0.058331,0.087827", \ - "0.032782,0.035956,0.038763,0.043501,0.051621,0.066514,0.095935", \ - "0.040836,0.044290,0.047352,0.052445,0.060956,0.076026,0.105408", \ - "0.049781,0.053513,0.056857,0.062379,0.071454,0.086977,0.116449"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003253,0.004536,0.005936,0.008750,0.014612,0.027013,0.052748", \ - "0.003253,0.004536,0.005937,0.008752,0.014612,0.027016,0.052748", \ - "0.003323,0.004604,0.005996,0.008795,0.014630,0.027018,0.052755", \ - "0.004499,0.005630,0.006857,0.009409,0.014920,0.027079,0.052783", \ - "0.005830,0.006967,0.008136,0.010526,0.015698,0.027405,0.052857", \ - "0.007446,0.008617,0.009772,0.012046,0.016867,0.027962,0.053078", \ - "0.009353,0.010586,0.011782,0.014005,0.018599,0.029049,0.053419"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.815510,11.505510,11.372370,11.273260,11.344620,11.205730,11.223060", \ - "11.815610,11.488540,11.367440,11.299560,11.351160,11.212580,11.048850", \ - "11.944750,11.588950,11.470350,11.364400,11.437280,11.365380,11.088820", \ - "12.310800,11.997660,11.863070,11.773920,11.844410,11.630830,11.723340", \ - "13.169040,12.813470,12.677860,12.556910,12.662110,12.615150,12.531320", \ - "14.448310,14.122180,13.999910,13.910480,13.943240,13.826350,13.800740", \ - "16.071630,15.749020,15.644530,15.629340,15.653840,15.537780,15.454260"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.464370,11.133770,11.051710,10.907240,10.799900,10.703630,10.571100", \ - "11.468820,11.157450,10.998220,10.925990,10.788830,10.609670,10.557710", \ - "11.602970,11.257590,11.129860,10.920770,10.905550,10.700820,10.821270", \ - "11.951800,11.617540,11.528320,11.409800,11.296300,11.083490,11.042480", \ - "12.777910,12.389990,12.320380,12.195060,12.059270,11.952830,11.751540", \ - "14.003960,13.671000,13.559990,13.309870,13.240430,13.125740,13.004070", \ - "15.508370,15.247960,15.114200,15.088960,14.967810,14.859380,14.639350"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.186561,8.898368,8.891017,8.964368,9.201025,9.222280,8.876338", \ - "9.142323,8.915633,8.868812,8.914506,9.065412,9.162421,9.143422", \ - "9.348824,9.084026,9.016626,9.128215,9.293062,9.234625,9.177164", \ - "10.395790,10.094600,9.928112,9.825293,9.928469,9.852965,9.765092", \ - "12.248740,11.743890,11.558430,11.313400,11.048600,10.973430,10.813480", \ - "14.760930,14.179570,13.704210,13.306790,12.976600,12.618480,12.276980", \ - "17.918900,17.202600,16.782560,16.040250,15.418310,14.773710,14.240970"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.241854,9.047493,8.995733,9.041203,9.337131,9.351745,9.017431", \ - "9.272972,9.041969,9.006408,9.080147,9.196693,9.293677,9.263482", \ - "9.479592,9.214784,9.083908,9.259235,9.423546,9.378880,9.383865", \ - "10.526060,10.199870,10.057480,9.955558,10.055850,9.976313,9.869045", \ - "12.373180,11.871630,11.686870,11.442620,11.177480,10.851420,10.930700", \ - "14.887410,14.304840,13.899180,13.431970,13.144100,12.773320,12.471030", \ - "18.048110,17.316030,16.896830,16.186910,15.542270,14.967100,14.356400"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.141481,8.843482,8.864377,8.927897,10.480050,12.902480,12.840620", \ - "9.131471,8.846982,8.761738,8.935707,10.407120,12.891540,13.114510", \ - "9.338024,9.029588,9.007348,9.194879,10.663470,12.747970,13.154020", \ - "10.414010,9.954124,9.831479,9.926578,11.426700,13.513510,13.734050", \ - "12.308220,11.767380,11.581020,11.567190,12.622130,14.672860,14.769910", \ - "14.876590,14.303790,13.871630,13.592450,14.496780,16.657860,16.622670", \ - "18.285740,17.561730,17.084820,16.419880,16.895330,19.183230,19.259020"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.141471,8.843206,8.863975,8.927612,10.451780,12.898130,12.825260", \ - "9.131243,8.838736,8.761398,8.935400,10.409960,12.885750,13.104760", \ - "9.337741,9.024391,9.006953,9.194516,10.663300,12.736930,13.144350", \ - "10.413640,9.953714,9.831416,9.926148,11.427820,13.491190,13.713090", \ - "12.306110,11.766880,11.580490,11.566690,12.621730,14.670480,14.760700", \ - "14.876000,14.303180,13.871840,13.591810,14.547510,16.654000,16.688920", \ - "18.285040,17.579200,17.084030,16.419070,16.894630,19.399390,19.250540"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("10.079240,10.309620,10.617820,10.928670,11.309420,11.885550,12.428620", \ - "10.060550,10.304140,10.539350,10.869910,11.255480,11.877720,12.378480", \ - "10.695730,10.877370,11.125990,11.409930,11.748080,12.364610,12.858090", \ - "12.899550,13.043100,13.228600,13.404480,13.621620,14.097050,14.539600", \ - "16.701770,16.691840,16.660030,16.735450,17.049660,17.445820,17.822800", \ - "22.192940,22.173750,22.046460,21.963050,22.099240,22.547440,22.906820", \ - "29.410230,29.333180,29.142300,28.904230,28.998030,29.374840,29.944220"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.780418,6.970107,7.500927,7.857042,8.318941,7.932348,7.288153", \ - "6.685830,6.898419,7.468340,7.812025,7.738411,7.924334,8.281139", \ - "6.973632,7.293273,7.712333,8.023052,8.113066,8.172108,8.414042", \ - "8.793288,8.964986,9.082145,9.517628,9.581422,8.945125,9.607956", \ - "12.425060,12.545050,12.620050,12.851090,12.499110,12.517140,12.478200", \ - "17.253980,17.391290,17.579580,18.096990,18.102990,17.127980,16.313110", \ - "23.245890,22.957740,23.486270,23.975930,24.910850,24.836910,23.403340"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.484100,12.140870,12.000250,11.899000,11.694330,11.660150,11.341950", \ - "12.462470,12.066010,11.998880,11.897940,11.771090,11.464100,11.407860", \ - "12.849680,12.373800,12.315520,12.206670,12.039850,11.822530,11.494940", \ - "14.190420,13.631830,13.347380,13.154800,12.959650,12.494840,12.163140", \ - "16.559380,15.831560,15.460360,15.085020,14.747130,14.390540,13.769010", \ - "20.156520,19.292710,18.704060,18.247030,17.583800,16.909450,16.804170", \ - "24.742650,23.791350,23.223790,22.452280,21.697220,21.129870,20.608800"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.450688,8.655615,8.967499,9.297765,9.675762,10.298430,10.794560", \ - "8.425228,8.677793,8.935282,9.255200,9.639540,10.231310,10.759750", \ - "8.884096,9.035733,9.287731,9.573351,9.930897,10.519150,11.021280", \ - "10.421840,10.483200,10.701560,10.880460,11.080460,11.578740,12.015530", \ - "13.006630,12.957100,12.809960,12.953850,13.271240,13.668650,14.020840", \ - "16.977540,16.802790,16.585590,16.381040,16.416710,16.841200,17.210270", \ - "22.229930,21.953790,21.625260,21.143150,20.949130,21.109980,21.642180"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.798746,6.137828,6.482512,6.827082,7.374177,6.948891,6.314996", \ - "5.679109,6.038039,6.497042,6.841651,7.288933,6.845132,6.660614", \ - "5.862975,6.218333,6.604564,6.918194,7.252108,7.322974,7.335813", \ - "7.103837,7.244440,7.359609,7.823048,7.958719,7.523663,7.918142", \ - "9.711976,9.731213,9.752623,9.795159,9.880841,9.747241,8.993020", \ - "13.206030,13.136150,13.119880,13.472470,12.962140,12.615390,12.578150", \ - "17.648280,17.113920,17.346320,17.492240,17.774560,17.537620,15.532940"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.635660,11.279200,11.139520,11.039280,10.894380,10.803790,10.488940", \ - "11.619510,11.158450,11.181860,11.046100,10.834860,10.615340,10.299520", \ - "11.891490,11.381430,11.209700,11.250020,11.085120,10.858990,10.543460", \ - "12.872260,12.336790,12.053460,11.824820,11.669370,11.206860,10.881690", \ - "14.620690,13.849510,13.536840,13.162810,12.841460,12.472540,11.909910", \ - "17.192800,16.365730,15.785520,15.323120,14.717750,14.362550,13.945290", \ - "20.583320,19.594710,18.925430,18.298560,17.549770,16.709240,16.467330"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.679117,9.845585,10.055000,10.240430,10.305300,10.331230,10.337940", \ - "9.678577,9.850675,10.026880,10.185990,10.255340,10.274770,10.296970", \ - "10.307510,10.410280,10.547710,10.700940,10.742270,10.742370,10.773070", \ - "12.454800,12.504120,12.621590,12.640300,12.555380,12.469130,12.441340", \ - "16.127480,16.047430,15.936770,15.876560,15.903410,15.743820,15.622690", \ - "21.396940,21.350670,21.130940,20.900150,20.747230,20.652830,20.469270", \ - "28.302460,28.141200,27.895960,27.509510,27.403880,27.230820,27.145390"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.992639,5.988277,6.261958,6.231301,5.991503,5.345212,6.030080", \ - "5.876022,6.057007,6.157204,6.209567,6.185698,5.288477,6.156472", \ - "6.145468,6.144467,6.129007,6.383827,6.275113,6.267832,6.422367", \ - "7.861394,7.729238,7.756618,7.802734,7.691512,7.001903,7.323966", \ - "11.320670,11.224240,11.094940,11.023220,10.507950,10.326240,9.711337", \ - "15.940760,15.726670,15.681410,16.016030,15.249000,14.841830,14.876270", \ - "21.436130,21.042830,21.331200,21.557080,22.334440,22.064110,20.066420"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.635790,12.345890,12.344660,12.325260,12.372520,12.047500,12.183850", \ - "12.578230,12.312190,12.337510,12.318950,12.198360,12.223110,11.983270", \ - "12.918180,12.608660,12.625700,12.552400,12.478870,12.381790,12.287660", \ - "14.271880,13.865140,13.636730,13.519440,13.365130,13.308280,13.099960", \ - "16.529440,15.976610,15.634570,15.436670,15.053750,14.782400,14.468260", \ - "19.734350,19.100060,18.656030,18.144410,17.816570,17.332310,16.916240", \ - "23.727850,22.934260,22.459340,21.744060,21.266880,20.647160,20.270140"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.678378,9.845569,10.077100,10.240380,10.305270,10.331150,10.337910", \ - "9.678510,9.850617,10.026600,10.185940,10.255300,10.274690,10.296930", \ - "10.307450,10.410220,10.547650,10.700870,10.747420,10.742290,10.773030", \ - "12.454770,12.503820,12.621540,12.640240,12.555320,12.469070,12.441290", \ - "16.127420,16.047360,15.936720,15.876500,15.903320,15.744190,15.622630", \ - "21.396880,21.350590,21.130870,20.900070,20.747300,20.652740,20.469160", \ - "28.302370,28.141130,27.895880,27.509420,27.403810,27.230730,27.145300"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.975495,5.988306,6.262479,6.231373,6.000892,5.355097,6.030148", \ - "5.876280,6.057088,6.157268,6.209637,6.185494,5.298335,6.157126", \ - "6.145490,6.144527,6.129061,6.383892,6.284195,6.277092,6.422414", \ - "7.861338,7.729281,7.756670,7.802785,7.700356,7.011518,7.322086", \ - "11.320670,11.224260,11.094970,11.022930,10.517200,10.335590,9.711323", \ - "15.941180,15.726680,15.681420,16.016040,15.258940,14.851610,14.876300", \ - "21.436100,21.042790,21.331200,21.557070,22.344410,22.064090,20.066320"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.634810,12.426440,12.345240,12.337760,12.380140,12.060680,12.203990", \ - "12.629060,12.319510,12.378540,12.300360,12.184440,12.236890,12.003180", \ - "12.922010,12.608040,12.627450,12.572700,12.486120,12.159560,12.307980", \ - "14.281020,13.865050,13.637500,13.516930,13.372560,12.996200,13.120220", \ - "16.543060,15.977260,15.609960,15.440350,15.061110,14.795700,14.873190", \ - "19.731920,19.048790,18.689850,18.143430,17.754030,17.345470,16.923470", \ - "23.727190,22.941040,22.461460,21.748450,21.260090,20.593000,20.289790"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFR_X1 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and drive strength X1 - *******************************************************************************************/ - - cell (DFFR_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - clear : "!RN"; - } - - area : 5.320000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 297.239000; - - leakage_power () { - when : "!CK & !D & !RN & !Q & QN"; - value : 243.858750; - } - leakage_power () { - when : "!CK & !D & RN & !Q & QN"; - value : 285.545250; - } - leakage_power () { - when : "!CK & !D & RN & Q & !QN"; - value : 318.546875; - } - leakage_power () { - when : "!CK & D & !RN & !Q & QN"; - value : 274.836500; - } - leakage_power () { - when : "!CK & D & RN & !Q & QN"; - value : 320.070500; - } - leakage_power () { - when : "!CK & D & RN & Q & !QN"; - value : 325.451000; - } - leakage_power () { - when : "CK & !D & !RN & !Q & QN"; - value : 255.227125; - } - leakage_power () { - when : "CK & !D & RN & !Q & QN"; - value : 298.764875; - } - leakage_power () { - when : "CK & !D & RN & Q & !QN"; - value : 296.698000; - } - leakage_power () { - when : "CK & D & !RN & !Q & QN"; - value : 299.857500; - } - leakage_power () { - when : "CK & D & RN & !Q & QN"; - value : 343.392750; - } - leakage_power () { - when : "CK & D & RN & Q & !QN"; - value : 304.618875; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.148473; - fall_capacitance : 1.087297; - rise_capacitance : 1.148473; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.003321,0.008035,0.003944", \ - "0.004756,0.006913,0.000066", \ - "0.111841,0.116124,0.104555"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.001831,0.007386,0.005531", \ - "0.008214,0.013629,0.012276", \ - "0.086416,0.094321,0.094584"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.020639,0.013709,0.015901", \ - "0.030592,0.023722,0.025014", \ - "0.060421,0.052524,0.052295"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.023588,0.023390,0.036973", \ - "0.028739,0.026591,0.038499", \ - "0.034990,0.030716,0.042322"); - } - } - - internal_power () { - - when : "!CK & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.942715,5.914971,5.921653,6.380732,7.504943,9.346409,11.917900"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.215638,4.161906,4.197341,4.659486,5.803044,7.663266,10.255930"); - } - - } - - internal_power () { - - when : "!CK & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.981355,5.951696,5.959215,6.415421,7.536676,9.376005,11.944640"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.349502,4.296672,4.331118,4.793479,5.932335,7.792506,10.379020"); - } - - } - - internal_power () { - - when : "!CK & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.881110,5.852845,5.859287,6.316136,7.440748,9.279209,11.849170"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.310075,4.257370,4.289609,4.746109,5.871096,7.718113,10.296220"); - } - - } - - internal_power () { - - when : "CK & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.977267,0.944430,0.925510,0.915213,0.911381,0.909016,0.909632"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.826382,-0.833099,-0.834124,-0.835882,-0.837064,-0.837899,-0.838430"); - } - - } - - internal_power () { - - when : "CK & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.975885,0.943591,0.925695,0.916552,0.913502,0.910613,0.911328"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.826436,-0.833301,-0.833776,-0.835596,-0.837026,-0.838033,-0.838129"); - } - - } - - internal_power () { - - when : "CK & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.854957,0.866695,0.866504,0.867458,0.866803,0.867806,0.866865"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.532686,-0.633241,-0.697795,-0.723738,-0.737798,-0.745671,-0.751357"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.789329; - fall_capacitance : 1.786506; - rise_capacitance : 1.789329; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.031440,-0.038293,-0.039709", \ - "-0.029744,-0.036925,-0.037074", \ - "0.003968,-0.005361,-0.006010"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.098290,0.104186,0.111191", \ - "0.138543,0.144622,0.151586", \ - "0.280407,0.285868,0.292928"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.075406,0.096975,0.177573"); - } - } - - internal_power () { - - when : "!CK & !D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.896691,0.874470,0.862181,0.859699,0.856865,0.856877,0.855034"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.796366,-0.800926,-0.801615,-0.804280,-0.802995,-0.805031,-0.801526"); - } - - } - - internal_power () { - - when : "!CK & D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.938543,0.909235,0.892775,0.888769,0.884881,0.884501,0.882788"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.642019,-0.658124,-0.674617,-0.685878,-0.688888,-0.694473,-0.693398"); - } - - } - - internal_power () { - - when : "CK & !D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.935047,0.901102,0.884721,0.878346,0.876594,0.871712,0.871508"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.797766,-0.802085,-0.802760,-0.804771,-0.804453,-0.804795,-0.804448"); - } - - } - - internal_power () { - - when : "CK & D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.934531,0.900665,0.884958,0.877798,0.876084,0.871095,0.871079"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.799640,-0.804209,-0.804648,-0.806568,-0.806175,-0.806513,-0.806248"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.990988; - fall_capacitance : 0.911178; - rise_capacitance : 0.990988; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.026266,0.039623,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.034507,0.034410,0.146386"); - } - } - - internal_power () { - - when : "!D & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.178409,5.173124,5.434959,6.244462,7.732567,10.074570,13.363400"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.109017,4.092905,4.291368,5.045842,6.609363,9.048109,12.413400"); - } - - } - - internal_power () { - - when : "!D & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.178445,5.173596,5.435353,6.244524,7.732728,10.074530,13.363510"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.131140,4.113166,4.310548,5.066395,6.629198,9.068874,12.430890"); - } - - } - - internal_power () { - - when : "!D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.810004,9.796552,10.064390,10.876650,12.361070,14.694820,17.980500"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.796336,8.790962,9.063467,9.949207,11.552510,14.070100,17.643500"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("25.419160,25.402720,25.603420,26.393530,28.097060,30.861270,34.780630"); - } - - } - - internal_power () { - - when : "D & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.003991,8.988739,9.264605,10.146600,11.743620,14.247550,17.792540"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.900910,4.895055,5.149945,5.964676,7.444108,9.775197,13.060070"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.371515,4.356579,4.553805,5.297256,6.840683,9.275263,12.637510"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0509404,0.0530657,0.0553922,0.0596114,0.0673182,0.0821154,0.111633", \ - "0.0517092,0.0538353,0.0561615,0.0603809,0.0680867,0.0828852,0.112401", \ - "0.0544851,0.0566108,0.0589394,0.0631592,0.0708650,0.0856624,0.115176", \ - "0.0571802,0.0593016,0.0616299,0.0658484,0.0735557,0.0883502,0.117867", \ - "0.0589100,0.0610362,0.0633615,0.0675796,0.0752833,0.0900868,0.119604", \ - "0.0591912,0.0613175,0.0636384,0.0678585,0.0755652,0.0903587,0.119874", \ - "0.0577420,0.0598661,0.0621912,0.0664078,0.0741050,0.0889042,0.118419"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0554520,0.0579023,0.0605456,0.0655416,0.0755060,0.0956859,0.136522", \ - "0.0562176,0.0586674,0.0613122,0.0663070,0.0762712,0.0964518,0.137289", \ - "0.0588136,0.0612625,0.0639065,0.0689002,0.0788646,0.0990440,0.139878", \ - "0.0612592,0.0637082,0.0663517,0.0713445,0.0813061,0.101483,0.142320", \ - "0.0627941,0.0652401,0.0678828,0.0728713,0.0828252,0.102993,0.143824", \ - "0.0631626,0.0655968,0.0682258,0.0731972,0.0831264,0.103276,0.144094", \ - "0.0620107,0.0644236,0.0670337,0.0719673,0.0818576,0.101968,0.142762"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00372336,0.00485122,0.00619648,0.00890847,0.0146384,0.0269660,0.0524861", \ - "0.00372460,0.00485246,0.00619134,0.00890855,0.0146347,0.0269645,0.0524920", \ - "0.00372421,0.00485290,0.00619061,0.00890778,0.0146370,0.0269628,0.0524916", \ - "0.00372223,0.00485044,0.00619189,0.00890683,0.0146358,0.0269626,0.0524881", \ - "0.00372157,0.00485277,0.00619483,0.00890461,0.0146349,0.0269689,0.0524898", \ - "0.00372244,0.00485263,0.00619118,0.00890639,0.0146356,0.0269711,0.0524850", \ - "0.00372471,0.00485524,0.00619414,0.00890786,0.0146380,0.0269640,0.0524942"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00437883,0.00616827,0.00834188,0.0125748,0.0214856,0.0402998,0.0786446", \ - "0.00437975,0.00616880,0.00834637,0.0125738,0.0214850,0.0403038,0.0786419", \ - "0.00437964,0.00617059,0.00834306,0.0125754,0.0214861,0.0403041,0.0786470", \ - "0.00438082,0.00617414,0.00834473,0.0125776,0.0214852,0.0403012,0.0786355", \ - "0.00438711,0.00617360,0.00834500,0.0125773,0.0214888,0.0403041,0.0786431", \ - "0.00439961,0.00618690,0.00836229,0.0125867,0.0214926,0.0403029,0.0786432", \ - "0.00442754,0.00621402,0.00837993,0.0126038,0.0214990,0.0403040,0.0786381"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.014635,0.017121,0.019689,0.024140,0.032074,0.047111,0.076795", \ - "0.015562,0.018044,0.020611,0.025063,0.032999,0.048038,0.077724", \ - "0.019670,0.022109,0.024646,0.029075,0.036996,0.052025,0.081704", \ - "0.026099,0.028755,0.031431,0.036002,0.043993,0.058955,0.088557", \ - "0.033360,0.036272,0.039175,0.044036,0.052316,0.067344,0.096819", \ - "0.041689,0.044843,0.047988,0.053194,0.061845,0.076955,0.106276", \ - "0.050987,0.054388,0.057795,0.063391,0.072523,0.087816,0.116896"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003328,0.004507,0.005936,0.008786,0.014682,0.027077,0.052493", \ - "0.003328,0.004509,0.005939,0.008788,0.014680,0.027077,0.052494", \ - "0.003395,0.004578,0.005997,0.008830,0.014698,0.027084,0.052496", \ - "0.004520,0.005568,0.006833,0.009433,0.014979,0.027123,0.052480", \ - "0.005787,0.006845,0.008057,0.010520,0.015752,0.027381,0.052495", \ - "0.007270,0.008360,0.009560,0.011906,0.016775,0.027713,0.052550", \ - "0.008991,0.010122,0.011340,0.013621,0.018176,0.028229,0.052592"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.014634,0.017120,0.019690,0.024143,0.032076,0.047113,0.076798", \ - "0.015563,0.018045,0.020611,0.025064,0.032999,0.048038,0.077723", \ - "0.019673,0.022111,0.024647,0.029076,0.036997,0.052024,0.081705", \ - "0.026101,0.028755,0.031433,0.036002,0.043992,0.058955,0.088557", \ - "0.033362,0.036274,0.039175,0.044036,0.052315,0.067345,0.096820", \ - "0.041687,0.044844,0.047994,0.053197,0.061847,0.076957,0.106279", \ - "0.050986,0.054388,0.057797,0.063392,0.072526,0.087819,0.116904"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003326,0.004507,0.005937,0.008786,0.014679,0.027078,0.052493", \ - "0.003329,0.004509,0.005939,0.008787,0.014679,0.027078,0.052502", \ - "0.003395,0.004578,0.005997,0.008832,0.014697,0.027084,0.052499", \ - "0.004519,0.005568,0.006835,0.009433,0.014979,0.027123,0.052480", \ - "0.005787,0.006846,0.008062,0.010520,0.015747,0.027381,0.052495", \ - "0.007265,0.008361,0.009558,0.011905,0.016775,0.027717,0.052551", \ - "0.008984,0.010121,0.011338,0.013620,0.018177,0.028229,0.052592"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.014688,0.017162,0.019715,0.024136,0.032007,0.046938,0.076600", \ - "0.015614,0.018086,0.020637,0.025058,0.032930,0.047862,0.077525", \ - "0.019727,0.022152,0.024674,0.029072,0.036930,0.051854,0.081513", \ - "0.026172,0.028803,0.031465,0.036000,0.043929,0.058803,0.088401", \ - "0.033429,0.036315,0.039207,0.044032,0.052251,0.067239,0.096755", \ - "0.041734,0.044884,0.048034,0.053232,0.061875,0.077076,0.106540", \ - "0.050999,0.054431,0.057876,0.063515,0.072741,0.088444,0.117973"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003333,0.004490,0.005902,0.008721,0.014565,0.026964,0.052685", \ - "0.003333,0.004491,0.005903,0.008723,0.014566,0.026968,0.052686", \ - "0.003396,0.004558,0.005960,0.008766,0.014585,0.026970,0.052692", \ - "0.004493,0.005525,0.006782,0.009355,0.014868,0.027042,0.052706", \ - "0.005720,0.006789,0.008001,0.010433,0.015642,0.027363,0.052744", \ - "0.007190,0.008323,0.009531,0.011877,0.016782,0.027912,0.052903", \ - "0.008928,0.010147,0.011414,0.013734,0.018448,0.028972,0.053198"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.014690,0.017161,0.019715,0.024137,0.032007,0.046937,0.076601", \ - "0.015614,0.018086,0.020637,0.025058,0.032930,0.047862,0.077525", \ - "0.019727,0.022152,0.024674,0.029072,0.036930,0.051854,0.081513", \ - "0.026172,0.028803,0.031465,0.036000,0.043929,0.058803,0.088400", \ - "0.033429,0.036315,0.039207,0.044032,0.052251,0.067239,0.096755", \ - "0.041734,0.044884,0.048034,0.053232,0.061875,0.077076,0.106540", \ - "0.050999,0.054431,0.057876,0.063515,0.072741,0.088444,0.117972"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003332,0.004490,0.005902,0.008721,0.014565,0.026963,0.052686", \ - "0.003333,0.004491,0.005903,0.008723,0.014566,0.026968,0.052686", \ - "0.003396,0.004558,0.005960,0.008766,0.014584,0.026970,0.052692", \ - "0.004493,0.005525,0.006782,0.009355,0.014868,0.027042,0.052707", \ - "0.005720,0.006789,0.008001,0.010433,0.015642,0.027363,0.052744", \ - "0.007190,0.008323,0.009531,0.011877,0.016782,0.027913,0.052903", \ - "0.008928,0.010147,0.011414,0.013734,0.018448,0.028972,0.053197"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.424767,6.415799,6.423560,6.410403,6.439654,6.422441,6.330773", \ - "6.436318,6.398350,6.419696,6.408478,6.463343,6.383385,6.403005", \ - "6.524899,6.508241,6.518748,6.503270,6.522676,6.504517,6.504451", \ - "6.894930,6.884208,6.896461,6.888242,6.892153,6.879757,6.873164", \ - "7.685020,7.675268,7.683217,7.677364,7.710658,7.695300,7.610029", \ - "8.890624,8.896217,8.911627,8.919092,8.972183,8.950464,8.864757", \ - "10.275710,10.299100,10.366780,10.442690,10.605640,10.608520,10.492830"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("7.375044,7.217053,7.047565,6.912222,6.798717,6.669575,6.641414", \ - "7.368626,7.204884,7.066492,6.883575,6.799603,6.741051,6.641829", \ - "7.481438,7.246955,7.159395,7.030773,6.920721,6.842839,6.763195", \ - "7.848366,7.697094,7.547636,7.388336,7.227251,7.225666,7.106544", \ - "8.702467,8.521839,8.359845,8.188423,8.112162,8.045500,7.946942", \ - "10.078180,9.882462,9.692033,9.544605,9.441427,9.270534,9.184091", \ - "11.728810,11.604530,11.456750,11.355130,11.258430,11.110120,11.092100"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.599912,5.364528,5.290068,5.227435,5.083151,5.050645,4.907909", \ - "5.591239,5.369399,5.288506,5.225878,5.079005,4.953233,4.808934", \ - "5.722010,5.442238,5.354802,5.302324,5.156868,5.040079,4.886885", \ - "6.283833,5.979857,5.804546,5.686238,5.517837,5.377043,5.308415", \ - "7.207544,6.821196,6.601201,6.409497,6.159068,5.880730,5.706084", \ - "8.442521,8.005002,7.749292,7.440241,7.142066,7.002849,6.670006", \ - "10.052010,9.610514,9.250993,8.838103,8.445188,8.086970,7.865139"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.716975,5.481085,5.407174,5.344338,5.199753,5.165872,5.022022", \ - "5.708659,5.491304,5.402750,5.264169,5.192915,5.066179,4.921109", \ - "5.833580,5.555087,5.467730,5.392016,5.270121,5.147644,5.006250", \ - "6.395103,6.089848,5.916024,5.798100,5.629151,5.488894,5.366092", \ - "7.318229,6.928103,6.712231,6.521044,6.270492,5.990829,5.912247", \ - "8.552868,8.138458,7.858909,7.549989,7.251848,6.921965,6.874080", \ - "10.160450,9.715822,9.360973,8.948111,8.554323,8.195941,7.973790"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("9.013411,9.007846,9.058407,9.045592,9.121144,8.983690,9.036591", \ - "9.011755,8.998526,9.030527,9.047100,9.112852,9.071115,8.934352", \ - "9.141916,9.058504,9.131975,9.147861,9.159293,9.019814,9.074184", \ - "9.705939,9.608089,9.555170,9.514421,9.542442,9.473412,9.324717", \ - "10.725270,10.517390,10.467350,10.378040,10.230350,10.123810,9.949275", \ - "12.330510,12.045510,11.946730,11.753150,11.576490,11.482760,11.072720", \ - "14.477880,14.205450,14.008490,13.725130,13.503740,13.167820,12.883840"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("9.022930,8.999105,9.052547,9.057544,9.116666,9.025371,9.024808", \ - "9.015007,8.938869,9.024670,9.041617,9.108809,9.063627,8.922774", \ - "9.133365,9.079826,9.129879,9.145166,9.155327,9.012406,9.062531", \ - "9.704447,9.606335,9.550594,9.514413,9.537485,9.465928,9.313294", \ - "10.720690,10.515810,10.462520,10.375200,10.226390,10.116650,9.938091", \ - "12.325720,12.044180,11.945980,11.750530,11.600180,11.475570,11.061870", \ - "14.472530,14.199700,14.006450,13.722510,13.499640,13.160990,12.964980"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0342737,0.0372943,0.0404510,0.0458719,0.0551965,0.0715713,0.101621", \ - "0.0350359,0.0380557,0.0412156,0.0466372,0.0559613,0.0723364,0.102385", \ - "0.0376332,0.0406515,0.0438064,0.0492278,0.0585533,0.0749311,0.104981", \ - "0.0400729,0.0430924,0.0462440,0.0516606,0.0609820,0.0773588,0.107415", \ - "0.0416011,0.0446076,0.0477541,0.0531613,0.0624791,0.0788542,0.108906", \ - "0.0418611,0.0448601,0.0480040,0.0534058,0.0627226,0.0791062,0.109150", \ - "0.0404480,0.0434700,0.0466240,0.0520380,0.0613641,0.0777566,0.107805"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0388095,0.0417303,0.0449685,0.0508238,0.0616190,0.0822530,0.123141", \ - "0.0395787,0.0425001,0.0457383,0.0515935,0.0623876,0.0830216,0.123899", \ - "0.0423539,0.0452755,0.0485153,0.0543719,0.0651667,0.0858006,0.126683", \ - "0.0450433,0.0479687,0.0512050,0.0570607,0.0678611,0.0884891,0.129373", \ - "0.0467777,0.0497007,0.0529377,0.0587934,0.0695908,0.0902239,0.131108", \ - "0.0470650,0.0499820,0.0532151,0.0590718,0.0698633,0.0904990,0.131366", \ - "0.0456211,0.0485381,0.0517715,0.0576219,0.0684048,0.0890380,0.129916"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00608098,0.00744690,0.00899589,0.0119835,0.0178562,0.0294001,0.0534256", \ - "0.00608425,0.00745131,0.00899824,0.0119854,0.0178572,0.0293992,0.0534304", \ - "0.00609355,0.00745118,0.00900300,0.0119896,0.0178634,0.0294025,0.0534292", \ - "0.00609401,0.00746752,0.00901955,0.0120047,0.0178766,0.0294086,0.0534417", \ - "0.00615772,0.00752868,0.00907675,0.0120567,0.0179123,0.0294312,0.0534437", \ - "0.00635931,0.00771380,0.00925253,0.0122040,0.0180276,0.0295022,0.0534597", \ - "0.00678740,0.00809799,0.00959480,0.0124713,0.0182179,0.0296257,0.0535272"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00459781,0.00649922,0.00877860,0.0132147,0.0221567,0.0406369,0.0786582", \ - "0.00459705,0.00649916,0.00877992,0.0132181,0.0221568,0.0406428,0.0786391", \ - "0.00459388,0.00649971,0.00878045,0.0132174,0.0221591,0.0406382,0.0786517", \ - "0.00459393,0.00650036,0.00877947,0.0132167,0.0221584,0.0406394,0.0786534", \ - "0.00459691,0.00650419,0.00878156,0.0132201,0.0221559,0.0406393,0.0786593", \ - "0.00459556,0.00649997,0.00878105,0.0132197,0.0221612,0.0406455,0.0786487", \ - "0.00459923,0.00650200,0.00878290,0.0132223,0.0221651,0.0406479,0.0786531"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.055601,0.058710,0.062200,0.068646,0.080237,0.101498,0.142451", \ - "0.056519,0.059628,0.063117,0.069563,0.081154,0.102418,0.143371", \ - "0.060474,0.063583,0.067069,0.073505,0.085084,0.106340,0.147289", \ - "0.067325,0.070356,0.073762,0.080103,0.091606,0.112808,0.153723", \ - "0.075478,0.078431,0.081737,0.087926,0.099291,0.120391,0.161242", \ - "0.084853,0.087753,0.090964,0.096992,0.108175,0.129149,0.169919", \ - "0.095436,0.098303,0.101442,0.107298,0.118274,0.139087,0.179739"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.007338,0.009135,0.011466,0.016045,0.024791,0.042442,0.079580", \ - "0.007338,0.009139,0.011463,0.016046,0.024790,0.042446,0.079578", \ - "0.007337,0.009138,0.011463,0.016049,0.024790,0.042442,0.079578", \ - "0.007343,0.009142,0.011469,0.016049,0.024791,0.042443,0.079575", \ - "0.007354,0.009161,0.011485,0.016068,0.024803,0.042450,0.079575", \ - "0.007376,0.009176,0.011499,0.016085,0.024807,0.042455,0.079582", \ - "0.007406,0.009198,0.011526,0.016109,0.024836,0.042465,0.079569"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.055592,0.058700,0.062189,0.068632,0.080215,0.101457,0.142370", \ - "0.056509,0.059617,0.063105,0.069549,0.081130,0.102375,0.143283", \ - "0.060469,0.063575,0.067058,0.073490,0.085062,0.106297,0.147209", \ - "0.067322,0.070350,0.073755,0.080092,0.091588,0.112768,0.153645", \ - "0.075476,0.078428,0.081732,0.087920,0.099273,0.120357,0.161173", \ - "0.084853,0.087750,0.090963,0.096990,0.108161,0.129115,0.169852", \ - "0.095438,0.098302,0.101440,0.107294,0.118265,0.139058,0.179681"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.007333,0.009132,0.011459,0.016035,0.024769,0.042403,0.079512", \ - "0.007333,0.009134,0.011456,0.016036,0.024769,0.042404,0.079510", \ - "0.007336,0.009133,0.011459,0.016040,0.024770,0.042400,0.079512", \ - "0.007339,0.009139,0.011463,0.016039,0.024771,0.042402,0.079513", \ - "0.007352,0.009157,0.011479,0.016059,0.024784,0.042408,0.079512", \ - "0.007373,0.009167,0.011496,0.016077,0.024786,0.042417,0.079520", \ - "0.007403,0.009194,0.011520,0.016101,0.024818,0.042427,0.079508"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.105360,0.108364,0.111646,0.117496,0.128097,0.148438,0.189075", \ - "0.106274,0.109277,0.112560,0.118410,0.129008,0.149347,0.189988", \ - "0.109440,0.112448,0.115728,0.121581,0.132182,0.152517,0.193169", \ - "0.114782,0.117785,0.121069,0.126919,0.137517,0.157848,0.198489", \ - "0.124224,0.127226,0.130506,0.136350,0.146948,0.167272,0.207900", \ - "0.138796,0.141806,0.145080,0.150923,0.161515,0.181825,0.222428", \ - "0.157328,0.160352,0.163632,0.169487,0.180065,0.200376,0.240947"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005542,0.007262,0.009428,0.013634,0.022288,0.040703,0.078671", \ - "0.005539,0.007264,0.009428,0.013632,0.022287,0.040697,0.078679", \ - "0.005542,0.007268,0.009426,0.013637,0.022289,0.040692,0.078668", \ - "0.005539,0.007264,0.009424,0.013633,0.022287,0.040690,0.078673", \ - "0.005543,0.007267,0.009428,0.013633,0.022282,0.040699,0.078669", \ - "0.005576,0.007293,0.009451,0.013654,0.022294,0.040699,0.078671", \ - "0.005669,0.007368,0.009519,0.013710,0.022319,0.040721,0.078673"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.105321,0.108329,0.111610,0.117461,0.128061,0.148400,0.189055", \ - "0.106238,0.109241,0.112524,0.118375,0.128975,0.149320,0.189968", \ - "0.109404,0.112413,0.115694,0.121548,0.132153,0.152491,0.193149", \ - "0.114749,0.117755,0.121036,0.126888,0.137488,0.157822,0.198470", \ - "0.124193,0.127192,0.130475,0.136320,0.146918,0.167246,0.207882", \ - "0.138765,0.141775,0.145048,0.150893,0.161487,0.181801,0.222409", \ - "0.157296,0.160320,0.163601,0.169456,0.180036,0.200351,0.240927"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005536,0.007263,0.009423,0.013630,0.022287,0.040703,0.078672", \ - "0.005535,0.007263,0.009426,0.013631,0.022285,0.040696,0.078671", \ - "0.005540,0.007266,0.009424,0.013635,0.022288,0.040692,0.078668", \ - "0.005536,0.007262,0.009422,0.013632,0.022286,0.040690,0.078673", \ - "0.005541,0.007266,0.009426,0.013631,0.022281,0.040695,0.078669", \ - "0.005575,0.007291,0.009450,0.013653,0.022293,0.040698,0.078671", \ - "0.005668,0.007367,0.009518,0.013709,0.022318,0.040720,0.078674"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.375041,7.220533,7.081881,6.922896,6.818883,6.709866,6.518360", \ - "7.368482,7.194057,7.055884,6.894444,6.819725,6.737539,6.516542", \ - "7.480191,7.256877,7.165491,6.995342,6.922137,6.837254,6.703677", \ - "7.863550,7.700585,7.548404,7.399058,7.267714,7.138223,7.124853", \ - "8.702472,8.527516,8.369091,8.199514,8.132150,8.017382,7.824060", \ - "10.078210,9.886148,9.738303,9.555650,9.424714,9.331102,9.215886", \ - "11.728830,11.527550,11.456290,11.366010,11.278740,11.144980,10.939210"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.420442,6.412828,6.422155,6.419313,6.443559,6.425131,6.372136", \ - "6.430980,6.404372,6.422473,6.401956,6.462708,6.422455,6.426305", \ - "6.524972,6.509933,6.520214,6.505731,6.520284,6.544002,6.421911", \ - "6.903392,6.884226,6.893476,6.881909,6.889140,6.913343,6.801360", \ - "7.684970,7.675646,7.680321,7.676180,7.711821,7.687522,7.629568", \ - "8.890505,8.897337,8.913537,8.908966,8.958837,8.906004,8.943540", \ - "10.275600,10.302910,10.367870,10.449710,10.599220,10.617710,10.572810"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.599835,5.367558,5.295231,5.237337,5.103511,4.973629,4.986580", \ - "5.591207,5.380406,5.221283,5.160065,5.099203,4.993122,4.971149", \ - "5.723399,5.445524,5.360249,5.288685,5.177174,5.069987,4.966775", \ - "6.281385,5.945541,5.810040,5.620030,5.538055,5.410637,5.196745", \ - "7.207568,6.825008,6.607232,6.358284,6.179773,5.922203,5.796851", \ - "8.442552,8.013701,7.756043,7.451829,7.163787,6.991096,6.780596", \ - "10.052010,9.609792,9.224181,8.850140,8.488317,8.129063,7.900963"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.716966,5.484101,5.412373,5.277979,5.238330,5.017061,4.916976", \ - "5.708632,5.494167,5.335831,5.274687,5.250448,5.105950,5.001093", \ - "5.831065,5.558362,5.473132,5.421350,5.290374,5.181560,5.077117", \ - "6.394769,6.056964,5.922041,5.732049,5.649324,5.520815,5.251626", \ - "7.318252,6.934444,6.718392,6.469616,6.291154,6.032195,5.959963", \ - "8.552853,8.151272,7.865631,7.561536,7.273209,7.006645,6.880313", \ - "10.160470,9.676245,9.335703,8.960226,8.589066,8.238239,8.007584"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("9.009579,9.003655,9.053629,9.068075,9.044342,9.024172,8.733195", \ - "9.007952,8.982959,9.041477,9.043990,9.036284,8.919311,9.013676", \ - "9.141932,9.091101,9.137279,9.158028,9.179126,9.060660,8.768834", \ - "9.702066,9.611559,9.552978,9.525238,9.562071,9.321061,9.404146", \ - "10.725330,10.558520,10.395660,10.388780,10.251130,10.164260,10.029690", \ - "12.330490,12.084950,11.948740,11.764660,11.593640,11.434790,11.154750", \ - "14.477880,14.223660,14.015960,13.737210,13.458440,13.209260,12.965100"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("9.018633,9.002438,9.010649,9.048110,9.039918,9.016472,8.721744", \ - "9.015028,8.941131,9.036253,9.039838,9.032013,8.912078,9.001802", \ - "9.133382,9.090737,9.135145,9.155221,9.174914,9.053234,8.757725", \ - "9.700584,9.609863,9.550988,9.522514,9.461010,9.313836,9.392650", \ - "10.720740,10.523780,10.393850,10.385910,10.247000,10.157310,10.018490", \ - "12.325710,12.083020,11.946910,11.762000,11.584290,11.434970,11.143880", \ - "14.472540,14.217700,14.013890,13.734570,13.455380,13.202550,12.954560"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFR_X2 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and drive strength X2 - *******************************************************************************************/ - - cell (DFFR_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - clear : "!RN"; - } - - area : 5.852000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 441.526990; - - leakage_power () { - when : "!CK & !D & !RN & !Q & QN"; - value : 355.082500; - } - leakage_power () { - when : "!CK & !D & RN & !Q & QN"; - value : 431.377375; - } - leakage_power () { - when : "!CK & !D & RN & Q & !QN"; - value : 495.026000; - } - leakage_power () { - when : "!CK & D & !RN & !Q & QN"; - value : 386.090250; - } - leakage_power () { - when : "!CK & D & RN & !Q & QN"; - value : 465.950125; - } - leakage_power () { - when : "!CK & D & RN & Q & !QN"; - value : 501.840000; - } - leakage_power () { - when : "CK & !D & !RN & !Q & QN"; - value : 366.397125; - } - leakage_power () { - when : "CK & !D & RN & !Q & QN"; - value : 444.512000; - } - leakage_power () { - when : "CK & !D & RN & Q & !QN"; - value : 471.979500; - } - leakage_power () { - when : "CK & D & !RN & !Q & QN"; - value : 411.027500; - } - leakage_power () { - when : "CK & D & RN & !Q & QN"; - value : 489.141125; - } - leakage_power () { - when : "CK & D & RN & Q & !QN"; - value : 479.900375; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.143398; - fall_capacitance : 1.082923; - rise_capacitance : 1.143398; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002863,0.007604,0.003008", \ - "0.005021,0.006921,-0.000503", \ - "0.112315,0.116177,0.104774"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.001634,0.007285,0.005487", \ - "0.007621,0.013168,0.011981", \ - "0.085937,0.093962,0.093617"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.020972,0.014107,0.016416", \ - "0.031024,0.024298,0.025396", \ - "0.060909,0.052891,0.053020"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.023464,0.023171,0.036793", \ - "0.028482,0.026591,0.038336", \ - "0.034524,0.030672,0.042111"); - } - } - - internal_power () { - - when : "!CK & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.901096,5.872303,5.878499,6.340056,7.468498,9.315893,11.891430"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.122125,4.068027,4.104948,4.569668,5.719114,7.583187,10.181360"); - } - - } - - internal_power () { - - when : "!CK & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.937630,5.909414,5.915051,6.374698,7.499940,9.345353,11.918550"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.256811,4.202071,4.239598,4.705139,5.848682,7.713491,10.305020"); - } - - } - - internal_power () { - - when : "!CK & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.838805,5.810092,5.815877,6.274874,7.404705,9.247364,11.822720"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.220991,4.167329,4.202310,4.658862,5.788402,7.639154,10.222350"); - } - - } - - internal_power () { - - when : "CK & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.977159,0.944901,0.925828,0.914897,0.911531,0.910032,0.909901"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.826048,-0.832743,-0.833270,-0.835040,-0.836119,-0.837149,-0.837528"); - } - - } - - internal_power () { - - when : "CK & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.977908,0.944993,0.926126,0.916515,0.913919,0.910214,0.911818"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.825785,-0.833159,-0.832608,-0.834374,-0.835722,-0.836550,-0.836865"); - } - - } - - internal_power () { - - when : "CK & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.854931,0.867966,0.866574,0.868207,0.867245,0.869118,0.867599"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.532222,-0.633047,-0.696231,-0.722344,-0.735924,-0.744442,-0.750078"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.483364; - fall_capacitance : 2.480093; - rise_capacitance : 2.483364; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.033272,-0.041971,-0.045614", \ - "-0.037713,-0.045233,-0.047059", \ - "-0.016545,-0.025330,-0.026256"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.091574,0.097443,0.106218", \ - "0.131801,0.137852,0.145970", \ - "0.273570,0.279004,0.287867"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.079374,0.096055,0.176014"); - } - } - - internal_power () { - - when : "!CK & !D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.669840,1.613390,1.589253,1.581747,1.569516,1.565697,1.562912"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.449530,-1.455400,-1.455920,-1.460360,-1.459270,-1.462890,-1.458240"); - } - - } - - internal_power () { - - when : "!CK & D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.711316,1.648364,1.619754,1.610783,1.597393,1.593527,1.589886"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.295870,-1.313480,-1.328890,-1.341670,-1.344840,-1.351580,-1.348620"); - } - - } - - internal_power () { - - when : "CK & !D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.707980,1.643691,1.608468,1.597453,1.591466,1.580415,1.579518"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.452340,-1.458830,-1.458630,-1.459300,-1.461770,-1.461230,-1.461110"); - } - - } - - internal_power () { - - when : "CK & D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.707473,1.643270,1.607951,1.596905,1.590954,1.579863,1.579081"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.454190,-1.460780,-1.460630,-1.461120,-1.463600,-1.463050,-1.462690"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.980231; - fall_capacitance : 0.895868; - rise_capacitance : 0.980231; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.026571,0.039317,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.050073,0.048824,0.146386"); - } - } - - internal_power () { - - when : "!D & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.145181,5.140487,5.405290,6.216159,7.709984,10.056590,13.352600"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.973138,3.956158,4.157381,4.913143,6.480717,8.922621,12.292130"); - } - - } - - internal_power () { - - when : "!D & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.145065,5.140668,5.403619,6.216119,7.710220,10.056530,13.352710"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.993367,3.975786,4.175981,4.937093,6.500239,8.944568,12.309510"); - } - - } - - internal_power () { - - when : "!D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.658142,9.643886,9.910239,10.720850,12.213490,14.551680,17.843170"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.667567,8.663282,8.937489,9.828451,11.437920,13.962790,17.545450"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("34.383320,34.318490,34.310130,35.278510,37.632520,41.515090,46.965480"); - } - - } - - internal_power () { - - when : "D & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.878365,8.862248,9.141151,10.028350,11.632730,14.140520,17.695210"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.865545,4.864632,5.120649,5.936797,7.420499,9.755148,13.048050"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.239061,4.222899,4.423312,5.168504,6.714999,9.155446,12.521920"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0583385,0.0602548,0.0622965,0.0662412,0.0737085,0.0883528,0.117787", \ - "0.0591079,0.0610199,0.0630642,0.0670102,0.0744765,0.0891211,0.118557", \ - "0.0618765,0.0637894,0.0658332,0.0697771,0.0772460,0.0918881,0.121321", \ - "0.0645470,0.0664640,0.0685098,0.0724517,0.0799241,0.0945652,0.123991", \ - "0.0662620,0.0681805,0.0702234,0.0741571,0.0816269,0.0962723,0.125713", \ - "0.0665344,0.0684441,0.0704889,0.0744310,0.0818999,0.0965428,0.125983", \ - "0.0650978,0.0670055,0.0690484,0.0729871,0.0804481,0.0950982,0.124537"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0704728,0.0728329,0.0748993,0.0790542,0.0882136,0.107817,0.148272", \ - "0.0712412,0.0735979,0.0756656,0.0798236,0.0889829,0.108584,0.149043", \ - "0.0738166,0.0761721,0.0782389,0.0823974,0.0915573,0.111157,0.151612", \ - "0.0761703,0.0785251,0.0805920,0.0847456,0.0939054,0.113506,0.153962", \ - "0.0776146,0.0799659,0.0820308,0.0861854,0.0953383,0.114932,0.155382", \ - "0.0780560,0.0804054,0.0824628,0.0866003,0.0957419,0.115318,0.155757", \ - "0.0771345,0.0794749,0.0815236,0.0856411,0.0947399,0.114288,0.154695"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00396822,0.00516179,0.00637943,0.00896860,0.0146403,0.0269910,0.0525200", \ - "0.00396865,0.00515945,0.00638262,0.00897070,0.0146386,0.0269930,0.0525278", \ - "0.00396906,0.00516238,0.00637974,0.00896731,0.0146402,0.0269888,0.0525176", \ - "0.00396953,0.00515924,0.00638234,0.00896720,0.0146402,0.0269922,0.0525175", \ - "0.00397132,0.00516127,0.00637846,0.00896128,0.0146411,0.0269954,0.0525229", \ - "0.00397401,0.00516033,0.00638378,0.00896666,0.0146386,0.0269954,0.0525112", \ - "0.00397285,0.00515923,0.00638067,0.00896690,0.0146400,0.0269892,0.0525228"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00467627,0.00661435,0.00874155,0.0128087,0.0215981,0.0403616,0.0785996", \ - "0.00467621,0.00661547,0.00874142,0.0128091,0.0215981,0.0403614,0.0786054", \ - "0.00467598,0.00661532,0.00874302,0.0128110,0.0215977,0.0403602,0.0786083", \ - "0.00467717,0.00661833,0.00874172,0.0128106,0.0215967,0.0403596,0.0786002", \ - "0.00467985,0.00661753,0.00874550,0.0128128,0.0215999,0.0403626,0.0786120", \ - "0.00469362,0.00663035,0.00875628,0.0128207,0.0216025,0.0403639,0.0786032", \ - "0.00472175,0.00665655,0.00878412,0.0128412,0.0216151,0.0403685,0.0786011"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013129,0.015816,0.018268,0.022555,0.030318,0.045260,0.074953", \ - "0.014060,0.016742,0.019194,0.023481,0.031247,0.046190,0.075885", \ - "0.018169,0.020797,0.023221,0.027482,0.035229,0.050158,0.079845", \ - "0.024152,0.027049,0.029626,0.034045,0.041870,0.056734,0.086346", \ - "0.031036,0.034221,0.037017,0.041703,0.049765,0.064685,0.094200", \ - "0.038951,0.042424,0.045475,0.050519,0.058947,0.073973,0.103362", \ - "0.047811,0.051573,0.054896,0.060349,0.069287,0.084630,0.113864"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002955,0.004251,0.005661,0.008508,0.014469,0.027037,0.052572", \ - "0.002955,0.004251,0.005663,0.008508,0.014471,0.027035,0.052575", \ - "0.003098,0.004356,0.005744,0.008559,0.014490,0.027038,0.052571", \ - "0.004229,0.005369,0.006602,0.009178,0.014779,0.027079,0.052570", \ - "0.005477,0.006633,0.007799,0.010198,0.015452,0.027354,0.052599", \ - "0.007012,0.008196,0.009336,0.011603,0.016480,0.027745,0.052695", \ - "0.008809,0.010030,0.011188,0.013386,0.017972,0.028479,0.052793"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013129,0.015816,0.018269,0.022556,0.030321,0.045262,0.074955", \ - "0.014060,0.016743,0.019195,0.023481,0.031247,0.046191,0.075885", \ - "0.018170,0.020798,0.023221,0.027483,0.035229,0.050160,0.079846", \ - "0.024153,0.027050,0.029627,0.034046,0.041870,0.056735,0.086347", \ - "0.031036,0.034222,0.037017,0.041705,0.049765,0.064687,0.094203", \ - "0.038953,0.042427,0.045476,0.050518,0.058947,0.073974,0.103363", \ - "0.047811,0.051574,0.054898,0.060348,0.069290,0.084630,0.113865"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002955,0.004251,0.005662,0.008507,0.014470,0.027036,0.052572", \ - "0.002955,0.004252,0.005663,0.008510,0.014471,0.027035,0.052575", \ - "0.003098,0.004356,0.005744,0.008559,0.014490,0.027039,0.052572", \ - "0.004229,0.005370,0.006603,0.009178,0.014779,0.027079,0.052570", \ - "0.005477,0.006633,0.007799,0.010197,0.015452,0.027355,0.052599", \ - "0.007010,0.008195,0.009335,0.011604,0.016482,0.027747,0.052695", \ - "0.008799,0.010032,0.011183,0.013384,0.017972,0.028477,0.052793"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013170,0.015846,0.018290,0.022560,0.030289,0.045154,0.074766", \ - "0.014101,0.016772,0.019216,0.023486,0.031216,0.046082,0.075696", \ - "0.018215,0.020835,0.023245,0.027491,0.035203,0.050056,0.079664", \ - "0.024218,0.027095,0.029659,0.034057,0.041846,0.056638,0.086182", \ - "0.031100,0.034259,0.037042,0.041710,0.049733,0.064593,0.094058", \ - "0.038990,0.042441,0.045488,0.050530,0.058953,0.073982,0.103383", \ - "0.047800,0.051560,0.054899,0.060386,0.069386,0.084884,0.114356"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002958,0.004239,0.005641,0.008473,0.014402,0.026912,0.052593", \ - "0.002958,0.004243,0.005643,0.008472,0.014404,0.026907,0.052593", \ - "0.003093,0.004341,0.005723,0.008524,0.014423,0.026917,0.052598", \ - "0.004207,0.005336,0.006563,0.009130,0.014709,0.026966,0.052609", \ - "0.005434,0.006580,0.007751,0.010144,0.015386,0.027266,0.052650", \ - "0.006930,0.008135,0.009292,0.011582,0.016477,0.027749,0.052813", \ - "0.008698,0.009986,0.011195,0.013446,0.018112,0.028790,0.053132"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013170,0.015846,0.018290,0.022560,0.030289,0.045154,0.074766", \ - "0.014101,0.016772,0.019216,0.023486,0.031216,0.046082,0.075696", \ - "0.018215,0.020835,0.023245,0.027491,0.035203,0.050056,0.079664", \ - "0.024218,0.027095,0.029659,0.034057,0.041846,0.056638,0.086181", \ - "0.031100,0.034259,0.037042,0.041711,0.049733,0.064593,0.094058", \ - "0.038990,0.042441,0.045488,0.050530,0.058953,0.073982,0.103383", \ - "0.047800,0.051560,0.054899,0.060386,0.069386,0.084884,0.114356"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002957,0.004239,0.005641,0.008472,0.014402,0.026912,0.052593", \ - "0.002958,0.004243,0.005643,0.008472,0.014404,0.026907,0.052593", \ - "0.003093,0.004341,0.005723,0.008524,0.014423,0.026917,0.052598", \ - "0.004207,0.005336,0.006563,0.009130,0.014709,0.026966,0.052609", \ - "0.005434,0.006580,0.007751,0.010145,0.015386,0.027266,0.052650", \ - "0.006930,0.008135,0.009292,0.011582,0.016477,0.027748,0.052813", \ - "0.008698,0.009986,0.011195,0.013446,0.018112,0.028790,0.053132"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("10.811810,10.292250,10.085380,9.970372,9.973017,9.830573,9.493597", \ - "10.813370,10.297700,10.075440,9.963355,10.001640,9.818007,9.746164", \ - "10.899830,10.396950,10.197640,10.094450,10.070780,9.943124,9.814714", \ - "11.332770,10.778430,10.544180,10.495360,10.446300,10.303040,10.205180", \ - "12.122220,11.542180,11.337430,11.235590,11.268110,11.008400,10.997090", \ - "13.356260,12.803970,12.573790,12.492450,12.513270,12.303530,12.315320", \ - "14.762760,14.298400,14.128640,14.090880,14.142720,14.096470,13.684620"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("14.152700,13.465480,12.905180,12.011220,11.287110,10.846940,10.505950", \ - "14.099790,13.416610,12.813280,11.937690,11.293220,10.779420,10.511260", \ - "14.200350,13.619610,13.011450,12.110620,11.298450,10.926290,10.657280", \ - "14.636740,13.978070,13.342390,12.452330,11.690740,11.159210,10.907500", \ - "15.445030,14.831820,14.207870,13.307140,12.514310,12.113790,11.842600", \ - "16.834480,16.138780,15.574490,14.717760,13.864670,13.269880,13.016170", \ - "18.702750,18.029080,17.464440,16.520070,15.787730,15.213190,14.745080"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.081730,11.310040,10.850380,10.337510,9.979243,9.455115,9.008374", \ - "12.062170,11.284850,10.840330,10.332390,9.823626,9.520197,8.846161", \ - "12.333780,11.492430,11.043190,10.474730,10.128410,9.451352,9.157391", \ - "13.526170,12.545460,11.851280,11.229070,10.805750,10.093020,9.783529", \ - "15.331580,14.273910,13.519370,12.654690,12.180900,11.596240,11.190190", \ - "17.984150,16.773590,15.828590,14.946230,14.197340,13.325740,12.929180", \ - "21.246520,19.904490,18.808000,17.763140,16.888130,15.981030,15.142420"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.180570,11.420690,10.963340,10.452640,10.095690,9.423393,9.130047", \ - "12.173970,11.402190,10.952310,10.445090,9.938111,9.636524,8.965970", \ - "12.445840,11.648460,11.126150,10.586400,10.241310,9.744612,9.275267", \ - "13.635380,12.654770,11.960830,11.339460,10.917430,10.207040,9.900203", \ - "15.491620,14.377860,13.627550,12.765140,12.291660,11.708160,11.292950", \ - "18.091080,16.881470,15.937240,15.055260,14.307180,13.437770,13.044010", \ - "21.325490,19.973690,18.913470,17.868430,16.996060,16.082650,15.149970"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("13.638070,13.228010,13.110050,12.964880,12.904010,12.583300,12.241890", \ - "13.633610,13.221150,13.102370,12.942670,12.927020,12.692270,12.008600", \ - "13.845930,13.379550,13.343460,13.194350,13.120730,12.839810,12.383340", \ - "15.100670,14.472460,14.222840,14.052340,13.868490,13.609990,13.200670", \ - "17.017090,16.241140,15.859430,15.619470,15.290200,14.849410,14.623740", \ - "19.968810,19.141450,18.633780,18.185810,17.691010,17.075760,16.376570", \ - "23.921410,22.951270,22.320720,21.645510,21.052480,20.332050,19.508940"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("13.636760,13.227720,13.109820,12.946980,12.901790,12.693180,12.234510", \ - "13.632820,13.162860,13.102040,12.942230,12.924590,12.408600,12.007260", \ - "13.845570,13.379640,13.332530,13.240310,13.091710,12.834090,12.415400", \ - "15.099800,14.472500,14.217630,14.045270,13.852400,13.604290,13.275790", \ - "17.016420,16.240810,15.859760,15.599910,15.287700,14.843910,14.614010", \ - "19.962650,19.141020,18.598970,18.106540,17.669920,17.079040,16.367340", \ - "23.915570,22.946900,22.322820,21.644800,21.074660,20.327100,19.500140"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0454208,0.0494258,0.0531142,0.0593943,0.0699968,0.0879963,0.119227", \ - "0.0461894,0.0501875,0.0538794,0.0601629,0.0707651,0.0887643,0.119996", \ - "0.0487578,0.0527594,0.0564476,0.0627334,0.0733353,0.0913368,0.122571", \ - "0.0511083,0.0551102,0.0587971,0.0650774,0.0756788,0.0936839,0.124919", \ - "0.0525304,0.0565328,0.0602182,0.0664992,0.0770993,0.0951034,0.126342", \ - "0.0528643,0.0568760,0.0605651,0.0668425,0.0774444,0.0954494,0.126677", \ - "0.0517404,0.0557632,0.0594573,0.0657480,0.0763507,0.0943822,0.125626"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0456437,0.0493538,0.0529300,0.0593989,0.0709774,0.0920868,0.133008", \ - "0.0464117,0.0501220,0.0536976,0.0601675,0.0717456,0.0928545,0.133773", \ - "0.0491786,0.0528911,0.0564670,0.0629352,0.0745143,0.0956227,0.136541", \ - "0.0518514,0.0555667,0.0591425,0.0656099,0.0771937,0.0982993,0.139212", \ - "0.0535666,0.0572803,0.0608577,0.0673220,0.0789014,0.100008,0.140935", \ - "0.0538426,0.0575492,0.0611231,0.0675864,0.0791697,0.100276,0.141201", \ - "0.0524103,0.0561112,0.0596828,0.0661453,0.0777180,0.0988275,0.139747"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00899025,0.0106813,0.0123629,0.0154734,0.0214515,0.0326136,0.0554263", \ - "0.00899425,0.0106818,0.0123666,0.0154745,0.0214523,0.0326118,0.0554256", \ - "0.00899515,0.0106890,0.0123706,0.0154790,0.0214561,0.0326151,0.0554277", \ - "0.00899653,0.0106932,0.0123739,0.0154834,0.0214611,0.0326194,0.0554210", \ - "0.00903027,0.0107229,0.0124011,0.0155106,0.0214849,0.0326348,0.0554320", \ - "0.00915952,0.0108445,0.0125237,0.0156211,0.0215691,0.0326934,0.0554359", \ - "0.00938325,0.0110597,0.0127417,0.0158247,0.0217469,0.0328295,0.0555191"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00577902,0.00783399,0.0102121,0.0148559,0.0236406,0.0414333,0.0789614", \ - "0.00577953,0.00783459,0.0102140,0.0148550,0.0236421,0.0414385,0.0789614", \ - "0.00578156,0.00783408,0.0102117,0.0148552,0.0236389,0.0414346,0.0789613", \ - "0.00578141,0.00783565,0.0102141,0.0148579,0.0236411,0.0414364,0.0789620", \ - "0.00578282,0.00783671,0.0102196,0.0148562,0.0236435,0.0414380,0.0789615", \ - "0.00577856,0.00783100,0.0102147,0.0148604,0.0236443,0.0414381,0.0789661", \ - "0.00576897,0.00782470,0.0102119,0.0148574,0.0236450,0.0414411,0.0789627"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.074922,0.078952,0.082864,0.089927,0.102638,0.125263,0.167123", \ - "0.075840,0.079870,0.083782,0.090842,0.103554,0.126179,0.168039", \ - "0.079745,0.083767,0.087670,0.094715,0.107410,0.130027,0.171883", \ - "0.086159,0.090073,0.093879,0.100816,0.113426,0.135986,0.177809", \ - "0.093764,0.097592,0.101293,0.108082,0.120552,0.143018,0.184782", \ - "0.102558,0.106347,0.109964,0.116589,0.128874,0.151218,0.192895", \ - "0.112601,0.116378,0.119933,0.126406,0.138469,0.160627,0.202190"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.010927,0.012608,0.014739,0.019266,0.028310,0.045747,0.081659", \ - "0.010923,0.012607,0.014740,0.019268,0.028310,0.045739,0.081656", \ - "0.010927,0.012606,0.014737,0.019267,0.028310,0.045743,0.081659", \ - "0.010926,0.012610,0.014742,0.019270,0.028314,0.045747,0.081660", \ - "0.010938,0.012619,0.014754,0.019280,0.028324,0.045748,0.081659", \ - "0.010933,0.012618,0.014748,0.019269,0.028330,0.045769,0.081669", \ - "0.010943,0.012622,0.014758,0.019291,0.028342,0.045757,0.081662"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.074914,0.078942,0.082853,0.089912,0.102620,0.125229,0.167055", \ - "0.075831,0.079859,0.083770,0.090828,0.103533,0.126144,0.167971", \ - "0.079738,0.083758,0.087659,0.094702,0.107392,0.129993,0.171816", \ - "0.086154,0.090068,0.093872,0.100806,0.113411,0.135957,0.177748", \ - "0.093762,0.097589,0.101289,0.108076,0.120541,0.142994,0.184727", \ - "0.102558,0.106345,0.109960,0.116583,0.128863,0.151195,0.192841", \ - "0.112606,0.116378,0.119935,0.126404,0.138464,0.160607,0.202139"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.010918,0.012601,0.014731,0.019257,0.028293,0.045708,0.081589", \ - "0.010917,0.012600,0.014732,0.019257,0.028293,0.045704,0.081593", \ - "0.010921,0.012600,0.014734,0.019258,0.028294,0.045708,0.081596", \ - "0.010920,0.012604,0.014735,0.019261,0.028298,0.045713,0.081597", \ - "0.010937,0.012613,0.014745,0.019271,0.028309,0.045716,0.081598", \ - "0.010926,0.012610,0.014742,0.019260,0.028315,0.045737,0.081609", \ - "0.010937,0.012617,0.014751,0.019284,0.028327,0.045726,0.081604"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.113119,0.116812,0.120318,0.126606,0.137812,0.158516,0.199171", \ - "0.114030,0.117723,0.121229,0.127514,0.138723,0.159428,0.200083", \ - "0.117206,0.120896,0.124408,0.130691,0.141900,0.162612,0.203266", \ - "0.122567,0.126251,0.129759,0.136044,0.147247,0.167947,0.208596", \ - "0.132004,0.135689,0.139189,0.145474,0.156673,0.177369,0.218000", \ - "0.146537,0.150225,0.153730,0.160006,0.171199,0.191898,0.232494", \ - "0.165059,0.168755,0.172264,0.178537,0.189722,0.210431,0.251000"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.006344,0.008185,0.010413,0.014812,0.023414,0.041356,0.078958", \ - "0.006346,0.008185,0.010415,0.014810,0.023416,0.041354,0.078964", \ - "0.006347,0.008185,0.010414,0.014810,0.023414,0.041357,0.078958", \ - "0.006343,0.008186,0.010408,0.014808,0.023412,0.041353,0.078957", \ - "0.006346,0.008187,0.010413,0.014810,0.023417,0.041353,0.078959", \ - "0.006378,0.008211,0.010435,0.014825,0.023424,0.041361,0.078960", \ - "0.006446,0.008267,0.010478,0.014851,0.023449,0.041385,0.078965"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.113085,0.116763,0.120278,0.126566,0.137775,0.158487,0.199147", \ - "0.113989,0.117680,0.121190,0.127475,0.138687,0.159400,0.200057", \ - "0.117167,0.120858,0.124370,0.130650,0.141865,0.162581,0.203243", \ - "0.122529,0.126214,0.129723,0.136008,0.147213,0.167918,0.208573", \ - "0.131969,0.135654,0.139154,0.145440,0.156641,0.177341,0.217979", \ - "0.146502,0.150190,0.153696,0.159973,0.171167,0.191870,0.232472", \ - "0.165023,0.168719,0.172229,0.178503,0.189690,0.210402,0.250977"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.006340,0.008187,0.010412,0.014806,0.023413,0.041347,0.078958", \ - "0.006344,0.008183,0.010413,0.014808,0.023416,0.041353,0.078962", \ - "0.006345,0.008183,0.010412,0.014807,0.023414,0.041357,0.078958", \ - "0.006341,0.008181,0.010407,0.014808,0.023410,0.041353,0.078958", \ - "0.006343,0.008185,0.010411,0.014808,0.023416,0.041353,0.078958", \ - "0.006375,0.008209,0.010432,0.014824,0.023422,0.041360,0.078959", \ - "0.006443,0.008265,0.010476,0.014849,0.023448,0.041384,0.078967"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("14.152070,13.485210,12.866980,12.013300,11.308360,10.813050,10.485700", \ - "14.099670,13.487300,12.813570,11.979450,11.314470,10.819940,10.494420", \ - "14.200340,13.623560,12.878600,12.126230,11.311400,10.764780,10.552530", \ - "14.636110,13.953150,13.349780,12.486110,11.712460,11.232490,10.925260", \ - "15.445030,14.802970,14.189760,13.334150,12.492940,11.965230,11.577010", \ - "16.833840,16.142950,15.584780,14.613220,13.889400,13.309430,13.034360", \ - "18.702080,18.033220,17.468180,16.610730,15.809400,15.253930,14.800500"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("10.811810,10.293280,10.069370,10.046260,9.975205,9.819936,9.575552", \ - "10.813270,10.299120,10.101680,10.039140,10.008950,9.840911,9.803553", \ - "10.899810,10.395850,10.201440,10.092530,10.090930,9.982664,9.893808", \ - "11.329970,10.780250,10.576050,10.481330,10.450540,10.342690,10.253810", \ - "12.122230,11.539100,11.343160,11.244730,11.202200,11.025110,11.013060", \ - "13.353420,12.821070,12.594170,12.510620,12.436300,12.329120,12.305490", \ - "14.762650,14.259790,14.103950,14.081640,14.133910,14.080920,13.755730"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("12.081750,11.316950,10.859380,10.348380,9.819630,9.532394,9.088049", \ - "12.061000,11.288170,10.846200,10.343160,9.844667,9.181744,8.926815", \ - "12.333780,11.541840,11.033110,10.485960,10.148680,9.492595,9.237143", \ - "13.525000,12.549100,11.857420,11.240770,10.826370,10.134450,9.863551", \ - "15.346580,14.216950,13.526170,12.667170,12.201590,11.636030,11.201010", \ - "17.982940,16.777680,15.836040,14.957830,14.218560,13.367350,12.934010", \ - "21.246530,19.930220,18.816340,17.776100,16.910110,15.949690,15.166730"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("12.179410,11.427250,10.968710,10.463480,9.936392,9.464459,9.210863", \ - "12.172810,11.408270,10.958180,10.456000,9.959103,9.298794,9.046464", \ - "12.445840,11.648140,11.134500,10.597600,10.090660,9.607916,9.354871", \ - "13.634220,12.658370,11.966950,11.351150,10.938010,10.248390,9.980045", \ - "15.491630,14.325600,13.634340,12.777210,12.132140,11.748140,11.303530", \ - "18.089870,16.885870,15.948130,15.067300,14.328380,13.479220,13.048820", \ - "21.325470,20.010500,18.921440,17.881180,17.017860,16.110310,15.231070"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.636630,13.230700,13.114980,13.073590,12.924160,12.624080,12.316710", \ - "13.632190,13.223640,13.107870,13.045450,12.946600,12.455800,12.496250", \ - "13.844370,13.466840,13.300250,13.204750,12.993580,12.879690,12.458190", \ - "15.099240,14.475180,14.228360,13.946180,13.863310,13.515890,13.276470", \ - "17.015600,16.247270,15.862590,15.622710,15.310520,14.890050,14.702960", \ - "19.968800,19.140190,18.627920,18.119110,17.707990,17.265380,16.459310", \ - "23.921400,22.990670,22.387130,21.658470,21.056490,20.373360,19.591450"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.635370,13.231250,13.114770,12.976140,12.921890,12.618920,12.303980", \ - "13.631410,13.192930,13.107510,13.037130,12.944130,12.450340,12.052200", \ - "13.844020,13.461800,13.291750,13.204210,12.990500,12.873970,12.479560", \ - "15.098370,14.474930,14.223120,14.046200,13.847540,13.486180,13.344780", \ - "17.014940,16.244660,15.862220,15.614420,15.307960,14.884560,14.693210", \ - "19.962650,19.125470,18.632500,18.118310,17.684690,17.297890,16.450060", \ - "23.915560,22.986320,22.384020,21.657700,21.059410,20.368380,19.582690"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFS_X1 - Cell Description : Pos.edge D-Flip-Flop with active low set, and drive strength X1 - *******************************************************************************************/ - - cell (DFFS_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - preset : "!SN"; - } - - area : 5.320000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 292.601156; - - leakage_power () { - when : "!CK & !D & !SN & Q & !QN"; - value : 261.282500; - } - leakage_power () { - when : "!CK & !D & SN & !Q & QN"; - value : 300.139125; - } - leakage_power () { - when : "!CK & !D & SN & Q & !QN"; - value : 318.505125; - } - leakage_power () { - when : "!CK & D & !SN & Q & !QN"; - value : 268.097750; - } - leakage_power () { - when : "!CK & D & SN & !Q & QN"; - value : 320.986125; - } - leakage_power () { - when : "!CK & D & SN & Q & !QN"; - value : 311.716000; - } - leakage_power () { - when : "CK & !D & !SN & Q & !QN"; - value : 240.428375; - } - leakage_power () { - when : "CK & !D & SN & !Q & QN"; - value : 312.459625; - } - leakage_power () { - when : "CK & !D & SN & Q & !QN"; - value : 282.103000; - } - leakage_power () { - when : "CK & D & !SN & Q & !QN"; - value : 248.379000; - } - leakage_power () { - when : "CK & D & SN & !Q & QN"; - value : 357.087375; - } - leakage_power () { - when : "CK & D & SN & Q & !QN"; - value : 290.029875; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.187938; - fall_capacitance : 1.125125; - rise_capacitance : 1.187938; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.003187,0.008138,0.004898", \ - "0.006610,0.009132,0.001279", \ - "0.114835,0.119637,0.108891"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002559,0.009033,0.008873", \ - "0.008823,0.015496,0.015098", \ - "0.086788,0.095601,0.096471"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.020047,0.012524,0.013209", \ - "0.030264,0.022467,0.022578", \ - "0.060048,0.051242,0.050406"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.022178,0.020985,0.033428", \ - "0.026883,0.024370,0.035196", \ - "0.031994,0.027200,0.037983"); - } - } - - internal_power () { - - when : "!CK & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.336350,4.307708,4.310310,4.671544,5.637345,7.245424,9.497722"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.354828,2.301348,2.312679,2.661468,3.594989,5.165776,7.395181"); - } - - } - - internal_power () { - - when : "!CK & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.070427,6.041680,6.049599,6.483830,7.565929,9.353858,11.855200"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.879998,3.826835,3.855124,4.296554,5.406819,7.231457,9.779767"); - } - - } - - internal_power () { - - when : "!CK & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.970034,5.941579,5.949254,6.384307,7.470392,9.259410,11.760890"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.839530,3.785777,3.813904,4.249536,5.348206,7.162884,9.703258"); - } - - } - - internal_power () { - - when : "CK & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.852160,0.866148,0.866227,0.866040,0.866571,0.865342,0.866517"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.533541,-0.633429,-0.698775,-0.725048,-0.738554,-0.747161,-0.752130"); - } - - } - - internal_power () { - - when : "CK & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.976930,0.945311,0.926229,0.918438,0.913890,0.913270,0.911684"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.825645,-0.832391,-0.832751,-0.836166,-0.835722,-0.839494,-0.836883"); - } - - } - - internal_power () { - - when : "CK & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.854167,0.866348,0.866222,0.866046,0.866611,0.865344,0.866594"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.532458,-0.632310,-0.698234,-0.724708,-0.738199,-0.746557,-0.751387"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.362761; - fall_capacitance : 1.360155; - rise_capacitance : 1.362761; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.028693,-0.036148,-0.037222", \ - "-0.028518,-0.035694,-0.037074", \ - "-0.034260,-0.041555,-0.042389"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.083638,0.090394,0.091922", \ - "0.124139,0.130775,0.132241", \ - "0.265489,0.272139,0.273632"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.093414,0.113843,0.198468"); - } - } - - internal_power () { - - when : "!CK & !D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.371128,2.355229,2.606054,3.339951,4.637277,6.533262,9.063362"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.700000,0.641179,0.698489,1.210859,2.377343,4.177201,6.647350"); - } - - } - - internal_power () { - - when : "!CK & D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.939006,0.903008,0.886018,0.877799,0.873954,0.873383,0.871773"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.796101,-0.800386,-0.800231,-0.804986,-0.803561,-0.806937,-0.804426"); - } - - } - - internal_power () { - - when : "CK & !D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.900717,0.875330,0.863169,0.858262,0.856614,0.853984,0.854495"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.795690,-0.801708,-0.801552,-0.802533,-0.802785,-0.802446,-0.801732"); - } - - } - - internal_power () { - - when : "CK & D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.900726,0.875218,0.863191,0.858318,0.856596,0.854036,0.854443"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.795892,-0.801742,-0.801761,-0.802580,-0.802897,-0.802541,-0.801833"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.986321; - fall_capacitance : 0.905790; - rise_capacitance : 0.986321; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.026266,0.041157,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.030234,0.034103,0.146386"); - } - } - - internal_power () { - - when : "!D & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.987938,8.973919,9.207241,10.055170,11.556600,13.895750,17.188180"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("26.819460,26.802270,26.996160,27.708940,29.242500,31.721730,35.210610"); - } - - } - - internal_power () { - - when : "!D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.401029,6.388698,6.623777,7.429941,8.897638,11.209470,14.481550"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.210372,3.191331,3.372236,4.074747,5.574897,7.982026,11.327330"); - } - - } - - internal_power () { - - when : "!D & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.711890,10.688470,10.926510,11.755070,13.249720,15.569790,18.865020"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.114624,6.102671,6.327771,7.145454,8.616655,10.921650,14.190710"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.463052,3.445571,3.621854,4.319761,5.804053,8.204058,11.555070"); - } - - } - - internal_power () { - - when : "D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.344160,10.320990,10.563390,11.443350,13.027340,15.504930,19.020890"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.121012,6.109297,6.336168,7.152389,8.622839,10.930520,14.197660"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.482517,3.465042,3.639835,4.340712,5.822626,8.225917,11.575880"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.653700; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0499473,0.0520084,0.0542846,0.0584269,0.0660543,0.0808564,0.110460", \ - "0.0507068,0.0527705,0.0550470,0.0591859,0.0668157,0.0816134,0.111217", \ - "0.0536604,0.0557231,0.0579995,0.0621393,0.0697724,0.0845698,0.114170", \ - "0.0568726,0.0589340,0.0612100,0.0653523,0.0729804,0.0877778,0.117383", \ - "0.0590213,0.0610872,0.0633607,0.0674998,0.0751235,0.0899267,0.119530", \ - "0.0596730,0.0617345,0.0640082,0.0681461,0.0757781,0.0905736,0.120178", \ - "0.0585357,0.0605940,0.0628660,0.0670067,0.0746386,0.0894320,0.119040"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0487684,0.0508361,0.0531645,0.0578571,0.0676748,0.0879447,0.128928", \ - "0.0495253,0.0515912,0.0539200,0.0586130,0.0684306,0.0887003,0.129681", \ - "0.0522622,0.0543286,0.0566591,0.0613500,0.0711677,0.0914358,0.132418", \ - "0.0552390,0.0573053,0.0596349,0.0643239,0.0741368,0.0944035,0.135385", \ - "0.0572333,0.0592982,0.0616275,0.0663104,0.0761099,0.0963663,0.137337", \ - "0.0579913,0.0600446,0.0623622,0.0670243,0.0768004,0.0970297,0.137982", \ - "0.0570907,0.0591188,0.0614173,0.0660398,0.0757678,0.0959407,0.136863"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.00357709,0.00468846,0.00600581,0.00871701,0.0145150,0.0269766,0.0526149", \ - "0.00357804,0.00468715,0.00600729,0.00871681,0.0145149,0.0269769,0.0526169", \ - "0.00358087,0.00468660,0.00600610,0.00871737,0.0145144,0.0269786,0.0526194", \ - "0.00357751,0.00468805,0.00600562,0.00871643,0.0145151,0.0269766,0.0526173", \ - "0.00357810,0.00468923,0.00600739,0.00871452,0.0145144,0.0269854,0.0526155", \ - "0.00357914,0.00468897,0.00600878,0.00871525,0.0145156,0.0269799,0.0526123", \ - "0.00357868,0.00469036,0.00600927,0.00871993,0.0145158,0.0269816,0.0526312"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.00372838,0.00537883,0.00727918,0.0115151,0.0208515,0.0400256,0.0784835", \ - "0.00372790,0.00537926,0.00728276,0.0115154,0.0208515,0.0400286,0.0784827", \ - "0.00372914,0.00537936,0.00728106,0.0115146,0.0208506,0.0400261,0.0784877", \ - "0.00372978,0.00538235,0.00728473,0.0115152,0.0208504,0.0400272,0.0784835", \ - "0.00374214,0.00539178,0.00729404,0.0115182,0.0208521,0.0400274,0.0784856", \ - "0.00377373,0.00542291,0.00731691,0.0115324,0.0208539,0.0400279,0.0784790", \ - "0.00383000,0.00548209,0.00736143,0.0115524,0.0208600,0.0400261,0.0784859"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.109399,0.110955,0.112862,0.116882,0.125435,0.144415,0.184381", \ - "0.110308,0.111864,0.113772,0.117790,0.126343,0.145322,0.185297", \ - "0.113388,0.114943,0.116854,0.120871,0.129424,0.148411,0.188376", \ - "0.118685,0.120237,0.122148,0.126163,0.134714,0.153695,0.193656", \ - "0.128120,0.129674,0.131582,0.135600,0.144149,0.163118,0.203076", \ - "0.142979,0.144530,0.146450,0.150472,0.159010,0.177936,0.217828", \ - "0.162481,0.164021,0.165906,0.169879,0.178306,0.197076,0.236825"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.006612,0.008120,0.009921,0.013408,0.021701,0.040336,0.078573", \ - "0.006619,0.008118,0.009918,0.013408,0.021701,0.040329,0.078565", \ - "0.006615,0.008119,0.009920,0.013409,0.021701,0.040330,0.078575", \ - "0.006613,0.008121,0.009918,0.013408,0.021700,0.040333,0.078561", \ - "0.006612,0.008120,0.009920,0.013408,0.021701,0.040332,0.078565", \ - "0.006641,0.008143,0.009938,0.013428,0.021708,0.040335,0.078563", \ - "0.006884,0.008354,0.010142,0.013605,0.021782,0.040356,0.078571"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.109424,0.110982,0.112888,0.116908,0.125460,0.144436,0.184399", \ - "0.110331,0.111888,0.113796,0.117812,0.126368,0.145346,0.185314", \ - "0.113422,0.114977,0.116890,0.120910,0.129462,0.148445,0.188415", \ - "0.118737,0.120293,0.122197,0.126215,0.134772,0.153750,0.193702", \ - "0.128096,0.129652,0.131561,0.135588,0.144135,0.163109,0.203063", \ - "0.142921,0.144471,0.146382,0.150420,0.158980,0.177869,0.217770", \ - "0.162326,0.163850,0.165734,0.169717,0.178176,0.196897,0.236733"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.006621,0.008125,0.009923,0.013410,0.021703,0.040339,0.078578", \ - "0.006620,0.008125,0.009923,0.013409,0.021702,0.040332,0.078560", \ - "0.006619,0.008124,0.009923,0.013412,0.021703,0.040333,0.078568", \ - "0.006621,0.008124,0.009923,0.013410,0.021702,0.040333,0.078564", \ - "0.006616,0.008125,0.009922,0.013409,0.021703,0.040333,0.078576", \ - "0.006649,0.008144,0.009941,0.013430,0.021710,0.040336,0.078565", \ - "0.006888,0.008352,0.010145,0.013607,0.021784,0.040364,0.078575"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.085124,0.087194,0.089530,0.094212,0.104005,0.124264,0.165244", \ - "0.086011,0.088080,0.090415,0.095098,0.104892,0.125152,0.166136", \ - "0.089577,0.091645,0.093975,0.098657,0.108453,0.128716,0.169698", \ - "0.094468,0.096530,0.098863,0.103544,0.113341,0.133602,0.174586", \ - "0.100371,0.102430,0.104763,0.109443,0.119239,0.139502,0.180484", \ - "0.107326,0.109390,0.111717,0.116398,0.126190,0.146449,0.187433", \ - "0.115354,0.117415,0.119738,0.124413,0.134212,0.154466,0.195434"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.003782,0.005441,0.007331,0.011538,0.020860,0.040032,0.078480", \ - "0.003775,0.005431,0.007324,0.011535,0.020861,0.040031,0.078483", \ - "0.003768,0.005424,0.007319,0.011531,0.020860,0.040028,0.078492", \ - "0.003767,0.005422,0.007318,0.011531,0.020858,0.040030,0.078486", \ - "0.003765,0.005422,0.007318,0.011530,0.020859,0.040027,0.078483", \ - "0.003762,0.005417,0.007308,0.011527,0.020856,0.040032,0.078481", \ - "0.003758,0.005407,0.007296,0.011519,0.020854,0.040026,0.078486"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.085164,0.087233,0.089569,0.094249,0.104039,0.124295,0.165266", \ - "0.086049,0.088116,0.090451,0.095133,0.104926,0.125179,0.166158", \ - "0.089616,0.091682,0.094011,0.098691,0.108485,0.128743,0.169720", \ - "0.094504,0.096565,0.098898,0.103578,0.113373,0.133629,0.174612", \ - "0.100404,0.102462,0.104794,0.109475,0.119270,0.139527,0.180505", \ - "0.107356,0.109418,0.111747,0.116426,0.126217,0.146473,0.187451", \ - "0.115379,0.117440,0.119763,0.124437,0.134233,0.154486,0.195454"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.003783,0.005442,0.007332,0.011540,0.020861,0.040027,0.078484", \ - "0.003775,0.005431,0.007322,0.011535,0.020861,0.040030,0.078480", \ - "0.003767,0.005424,0.007320,0.011533,0.020860,0.040028,0.078493", \ - "0.003766,0.005423,0.007317,0.011531,0.020859,0.040032,0.078485", \ - "0.003768,0.005423,0.007315,0.011533,0.020860,0.040027,0.078483", \ - "0.003763,0.005418,0.007308,0.011528,0.020856,0.040029,0.078481", \ - "0.003759,0.005408,0.007296,0.011520,0.020854,0.040028,0.078479"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("5.875113,5.858419,5.874833,5.850100,5.898723,5.836126,5.901799", \ - "5.868708,5.856708,5.860815,5.850951,5.888480,5.893668,5.872725", \ - "5.958024,5.942409,5.952609,5.936434,5.994160,5.989191,5.950132", \ - "6.317864,6.304759,6.308755,6.296221,6.337549,6.336653,6.318583", \ - "7.060428,7.056477,7.061693,7.048021,7.108473,7.037091,6.996801", \ - "8.247870,8.255474,8.273104,8.265104,8.311814,8.233373,8.313126", \ - "9.617722,9.664284,9.733703,9.802155,9.958059,9.988883,10.000400"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("6.627857,6.536721,6.402012,6.289798,6.209073,6.175504,5.968491", \ - "6.648028,6.521058,6.378099,6.288200,6.205186,6.114657,6.111322", \ - "6.702878,6.612584,6.481150,6.368491,6.290787,6.200551,6.100942", \ - "7.117585,6.989238,6.833305,6.741879,6.676055,6.607840,6.457304", \ - "7.914621,7.816550,7.663452,7.528938,7.387460,7.386549,7.164211", \ - "9.268841,9.158013,8.994372,8.852476,8.724588,8.703973,8.500539", \ - "10.889110,10.796580,10.724990,10.619300,10.619340,10.539040,10.315050"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("10.678580,10.327100,9.949751,9.471622,8.710710,8.005211,7.375887", \ - "10.671040,10.227440,9.959549,9.479847,8.697644,7.951287,7.450084", \ - "10.727010,10.413330,10.076510,9.563642,8.825993,8.121974,7.583260", \ - "11.164150,10.780630,10.456160,9.930745,9.204059,8.496142,7.931420", \ - "11.908100,11.520600,11.232530,10.711790,9.929542,9.259270,8.652032", \ - "13.249900,12.878990,12.525750,12.001850,11.242920,10.452250,9.905910", \ - "15.256910,14.788530,14.506200,13.942850,13.169120,12.305890,11.621750"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("9.927669,9.569285,9.214479,8.713507,7.986361,7.307099,6.777136", \ - "9.927227,9.539171,9.190599,8.699988,7.956677,7.244450,6.715463", \ - "9.853722,9.563641,9.204994,8.722793,7.956566,7.272923,6.713333", \ - "9.929312,9.536613,9.189911,8.689294,7.961657,7.284194,6.648177", \ - "9.980698,9.644503,9.343388,8.826420,8.043560,7.371782,6.765790", \ - "10.357000,9.990628,9.730481,9.169810,8.408404,7.626860,7.077878", \ - "11.070280,10.726780,10.439200,9.906640,9.058498,8.212338,7.465526"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("7.788475,7.646643,7.473474,7.379708,7.289573,7.156614,7.076047", \ - "7.786177,7.622810,7.458293,7.373666,7.240080,7.247226,7.180613", \ - "7.885129,7.755246,7.566347,7.474375,7.415605,7.328524,7.259840", \ - "8.236803,8.072327,7.951763,7.824291,7.708718,7.677335,7.600813", \ - "8.854967,8.689772,8.540399,8.437980,8.365717,8.261672,8.116315", \ - "9.663092,9.516976,9.397697,9.220443,9.171789,9.073545,8.987187", \ - "10.662970,10.495340,10.331810,10.260160,10.213110,10.186080,10.133750"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("7.794213,7.658353,7.486500,7.390215,7.281282,7.253507,7.104507", \ - "7.791656,7.630516,7.465083,7.384013,7.261154,7.233435,7.205284", \ - "7.903215,7.775362,7.582815,7.499855,7.429924,7.345123,7.284559", \ - "8.257768,8.082380,7.978419,7.834627,7.722755,7.694006,7.482079", \ - "8.860668,8.689806,8.531219,8.448380,8.379632,8.279561,8.140411", \ - "9.672093,9.524287,9.405368,9.289898,9.215503,9.140590,9.025805", \ - "10.668600,10.504470,10.339230,10.269740,10.242510,10.204290,10.020690"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0350742,0.0381127,0.0412861,0.0467387,0.0560681,0.0721913,0.102109", \ - "0.0358302,0.0388689,0.0420416,0.0474941,0.0568241,0.0729477,0.102862", \ - "0.0385647,0.0416032,0.0447785,0.0502303,0.0595604,0.0756822,0.105598", \ - "0.0415416,0.0445781,0.0477476,0.0531907,0.0625139,0.0786379,0.108554", \ - "0.0435274,0.0465562,0.0497161,0.0551490,0.0644643,0.0805833,0.110501", \ - "0.0441946,0.0472245,0.0503812,0.0558106,0.0651319,0.0812559,0.111154", \ - "0.0430650,0.0461234,0.0493067,0.0547556,0.0640962,0.0802189,0.110109"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0387117,0.0416299,0.0448635,0.0507197,0.0615059,0.0821154,0.122976", \ - "0.0394730,0.0423912,0.0456248,0.0514797,0.0622666,0.0828765,0.123734", \ - "0.0424267,0.0453443,0.0485776,0.0544332,0.0652227,0.0858326,0.126689", \ - "0.0456382,0.0485547,0.0517886,0.0576457,0.0684310,0.0890397,0.129897", \ - "0.0477879,0.0507050,0.0539376,0.0597924,0.0705766,0.0911856,0.132049", \ - "0.0484407,0.0513540,0.0545839,0.0604366,0.0712264,0.0918344,0.132690", \ - "0.0473032,0.0502126,0.0534417,0.0592937,0.0700833,0.0906860,0.131552"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00610503,0.00747281,0.00902699,0.0120206,0.0177106,0.0290510,0.0533441", \ - "0.00609924,0.00746863,0.00902434,0.0120216,0.0177107,0.0290522,0.0533408", \ - "0.00610008,0.00747226,0.00903072,0.0120262,0.0177144,0.0290481,0.0533482", \ - "0.00611412,0.00749598,0.00905146,0.0120442,0.0177279,0.0290575,0.0533531", \ - "0.00618887,0.00756748,0.00912209,0.0121066,0.0177692,0.0290854,0.0533579", \ - "0.00644332,0.00780519,0.00933580,0.0122824,0.0179066,0.0291593,0.0533607", \ - "0.00696679,0.00827651,0.00974801,0.0126060,0.0181370,0.0292955,0.0534313"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00453767,0.00644377,0.00872546,0.0131680,0.0220952,0.0405702,0.0785885", \ - "0.00454068,0.00644448,0.00872534,0.0131710,0.0220953,0.0405685,0.0785842", \ - "0.00454090,0.00644646,0.00872658,0.0131687,0.0220945,0.0405744,0.0785820", \ - "0.00453922,0.00644824,0.00873064,0.0131720,0.0220964,0.0405701,0.0785842", \ - "0.00454061,0.00644931,0.00872962,0.0131692,0.0220961,0.0405805,0.0785854", \ - "0.00453780,0.00644498,0.00872878,0.0131757,0.0221016,0.0405748,0.0785778", \ - "0.00453638,0.00644554,0.00873094,0.0131732,0.0221044,0.0405855,0.0786001"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087026,0.091390,0.096087,0.103993,0.116621,0.136531,0.169897", \ - "0.087933,0.092299,0.096995,0.104900,0.117529,0.137441,0.170808", \ - "0.091018,0.095380,0.100077,0.107982,0.120609,0.140525,0.173890", \ - "0.096313,0.100673,0.105371,0.113273,0.125899,0.145809,0.179170", \ - "0.105750,0.110110,0.114805,0.122702,0.135307,0.155190,0.188528", \ - "0.120633,0.124959,0.129624,0.137457,0.149991,0.169785,0.203033", \ - "0.139525,0.143931,0.148681,0.156623,0.169212,0.188970,0.222126"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.018795,0.020434,0.022192,0.025085,0.029793,0.039286,0.060498", \ - "0.018797,0.020432,0.022194,0.025085,0.029793,0.039287,0.060493", \ - "0.018801,0.020430,0.022191,0.025086,0.029793,0.039287,0.060500", \ - "0.018797,0.020431,0.022193,0.025085,0.029794,0.039291,0.060488", \ - "0.018797,0.020433,0.022194,0.025093,0.029812,0.039309,0.060506", \ - "0.019208,0.020785,0.022508,0.025359,0.030038,0.039480,0.060606", \ - "0.020809,0.022313,0.023936,0.026590,0.030936,0.040004,0.060878"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087048,0.091412,0.096108,0.104018,0.116647,0.136558,0.169932", \ - "0.087952,0.092319,0.097017,0.104922,0.117554,0.137467,0.170843", \ - "0.091045,0.095409,0.100109,0.108019,0.120648,0.140568,0.173942", \ - "0.096356,0.100724,0.105418,0.113323,0.125958,0.145872,0.179242", \ - "0.105726,0.110086,0.114780,0.122687,0.135292,0.155179,0.188536", \ - "0.120571,0.124896,0.129552,0.137403,0.149960,0.169720,0.202985", \ - "0.139362,0.143756,0.148503,0.156456,0.169080,0.188790,0.222039"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.018808,0.020444,0.022203,0.025096,0.029807,0.039309,0.060537", \ - "0.018806,0.020442,0.022203,0.025097,0.029808,0.039307,0.060525", \ - "0.018808,0.020440,0.022202,0.025095,0.029807,0.039308,0.060523", \ - "0.018806,0.020443,0.022201,0.025096,0.029807,0.039309,0.060526", \ - "0.018809,0.020442,0.022204,0.025104,0.029826,0.039328,0.060542", \ - "0.019220,0.020797,0.022518,0.025374,0.030053,0.039499,0.060635", \ - "0.020827,0.022332,0.023950,0.026607,0.030953,0.040029,0.060888"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.071346,0.074404,0.077595,0.083101,0.092583,0.108860,0.138852", \ - "0.072270,0.075323,0.078510,0.084000,0.093461,0.109729,0.139716", \ - "0.075850,0.078905,0.082085,0.087567,0.097015,0.113273,0.143259", \ - "0.080745,0.083793,0.086978,0.092458,0.101900,0.118155,0.148137", \ - "0.086654,0.089696,0.092880,0.098359,0.107799,0.124052,0.154032", \ - "0.093612,0.096665,0.099845,0.105316,0.114729,0.130957,0.160930", \ - "0.101616,0.104667,0.107847,0.113308,0.122673,0.138841,0.168781"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006411,0.007772,0.009331,0.012374,0.018096,0.029300,0.053437", \ - "0.006412,0.007760,0.009308,0.012337,0.018065,0.029288,0.053436", \ - "0.006407,0.007756,0.009299,0.012317,0.018043,0.029276,0.053440", \ - "0.006407,0.007755,0.009297,0.012310,0.018035,0.029270,0.053427", \ - "0.006412,0.007756,0.009295,0.012306,0.018033,0.029273,0.053427", \ - "0.006405,0.007756,0.009290,0.012286,0.017988,0.029246,0.053422", \ - "0.006411,0.007754,0.009283,0.012242,0.017896,0.029177,0.053386"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.071381,0.074439,0.077632,0.083138,0.092620,0.108896,0.138880", \ - "0.072301,0.075354,0.078544,0.084035,0.093496,0.109761,0.139742", \ - "0.075882,0.078937,0.082119,0.087601,0.097049,0.113306,0.143288", \ - "0.080777,0.083825,0.087011,0.092490,0.101933,0.118188,0.148166", \ - "0.086679,0.089729,0.092910,0.098389,0.107829,0.124082,0.154060", \ - "0.093638,0.096690,0.099871,0.105344,0.114758,0.130984,0.160955", \ - "0.101639,0.104690,0.107870,0.113331,0.122696,0.138864,0.168804"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006416,0.007777,0.009337,0.012379,0.018102,0.029306,0.053443", \ - "0.006409,0.007767,0.009314,0.012342,0.018070,0.029292,0.053432", \ - "0.006406,0.007763,0.009304,0.012322,0.018048,0.029280,0.053442", \ - "0.006411,0.007760,0.009301,0.012315,0.018042,0.029279,0.053432", \ - "0.006410,0.007760,0.009300,0.012311,0.018038,0.029276,0.053432", \ - "0.006409,0.007759,0.009297,0.012291,0.017992,0.029249,0.053425", \ - "0.006409,0.007757,0.009286,0.012246,0.017899,0.029180,0.053388"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.627817,6.512843,6.383838,6.244271,6.144676,6.168224,6.069056", \ - "6.646013,6.508384,6.379983,6.270271,6.145338,6.164266,6.122336", \ - "6.702863,6.595391,6.490881,6.341742,6.245638,6.250170,6.150698", \ - "7.117584,6.950560,6.866177,6.732213,6.629862,6.549097,6.556924", \ - "7.912508,7.816938,7.629624,7.542419,7.413394,7.344511,7.185520", \ - "9.268829,9.131086,9.002879,8.866384,8.750354,8.694442,8.496577", \ - "10.889130,10.810790,10.656550,10.633280,10.574780,10.533500,10.397910"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.875110,5.854251,5.870713,5.852435,5.906332,5.867276,5.800045", \ - "5.861812,5.858848,5.863644,5.844047,5.899007,5.882335,5.887654", \ - "5.945218,5.944612,5.952461,5.935133,5.991874,6.014707,5.969705", \ - "6.310996,6.306972,6.308364,6.295067,6.319956,6.280113,6.334460", \ - "7.060427,7.048822,7.065371,7.068824,7.119353,7.066881,7.016595", \ - "8.247694,8.253980,8.273094,8.262108,8.323980,8.328888,8.329393", \ - "9.617714,9.659676,9.717100,9.776755,9.979285,9.999244,10.017300"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("10.678590,10.309180,9.955918,9.460084,8.732942,8.029679,7.406837", \ - "10.663850,10.277750,9.910005,9.444598,8.714838,7.993199,7.407655", \ - "10.727000,10.411030,10.124160,9.583920,8.859569,8.107657,7.543041", \ - "11.172890,10.779120,10.453650,9.955005,9.236189,8.513832,7.924553", \ - "11.863110,11.523180,11.243740,10.673880,9.949334,9.220689,8.621618", \ - "13.249890,12.854360,12.571140,12.017470,11.256030,10.434610,9.902213", \ - "15.256950,14.795060,14.510580,13.963760,13.155730,12.346440,11.653430"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("9.920285,9.557519,9.230263,8.707083,8.002882,7.301736,6.795284", \ - "9.919991,9.548387,9.202895,8.730209,7.975301,7.269643,6.724439", \ - "9.853706,9.532699,9.209567,8.708391,7.986237,7.282992,6.643103", \ - "9.922097,9.540511,9.201947,8.720432,7.988927,7.268936,6.695453", \ - "9.973243,9.628973,9.242625,8.838939,8.067264,7.353988,6.761065", \ - "10.357000,9.997009,9.745150,9.211599,8.423478,7.632709,7.041812", \ - "11.160910,10.722530,10.456340,9.887149,9.070955,8.267657,7.487795"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.782307,7.650835,7.541041,7.393561,7.306144,7.221230,7.093532", \ - "7.780243,7.656977,7.507989,7.387302,7.265312,7.215852,7.073367", \ - "7.879091,7.732880,7.617336,7.488084,7.396054,7.336384,7.243855", \ - "8.230840,8.106375,7.966966,7.838046,7.733683,7.671671,7.584528", \ - "8.853166,8.687419,8.546181,8.410614,8.294293,8.210048,8.216386", \ - "9.663118,9.524123,9.397361,9.241988,9.189061,9.122532,8.977863", \ - "10.657030,10.495560,10.379520,10.273630,10.219610,10.093250,9.985644"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.790334,7.693159,7.554876,7.404113,7.306427,7.280656,7.122056", \ - "7.785625,7.639318,7.532183,7.405185,7.279811,7.241092,7.117689", \ - "7.903233,7.728187,7.636700,7.498570,7.412517,7.355576,7.280341", \ - "8.257570,8.117045,7.923422,7.848348,7.747740,7.674834,7.583212", \ - "8.854843,8.679314,8.539401,8.416782,8.331706,8.312070,8.268997", \ - "9.672118,9.528796,9.405084,9.256598,9.143496,9.140914,9.056177", \ - "10.662660,10.504120,10.381810,10.283290,10.232830,10.110350,10.000130"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFS_X2 - Cell Description : Pos.edge D-Flip-Flop with active low set, and drive strength X2 - *******************************************************************************************/ - - cell (DFFS_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - preset : "!SN"; - } - - area : 5.586000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 428.676531; - - leakage_power () { - when : "!CK & !D & !SN & Q & !QN"; - value : 402.905000; - } - leakage_power () { - when : "!CK & !D & SN & !Q & QN"; - value : 425.807750; - } - leakage_power () { - when : "!CK & !D & SN & Q & !QN"; - value : 460.548875; - } - leakage_power () { - when : "!CK & D & !SN & Q & !QN"; - value : 409.655250; - } - leakage_power () { - when : "!CK & D & SN & !Q & QN"; - value : 446.726000; - } - leakage_power () { - when : "!CK & D & SN & Q & !QN"; - value : 453.669750; - } - leakage_power () { - when : "CK & !D & !SN & Q & !QN"; - value : 381.274625; - } - leakage_power () { - when : "CK & !D & SN & !Q & QN"; - value : 437.925875; - } - leakage_power () { - when : "CK & !D & SN & Q & !QN"; - value : 422.950375; - } - leakage_power () { - when : "CK & D & !SN & Q & !QN"; - value : 389.225250; - } - leakage_power () { - when : "CK & D & SN & !Q & QN"; - value : 482.553625; - } - leakage_power () { - when : "CK & D & SN & Q & !QN"; - value : 430.876000; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.185720; - fall_capacitance : 1.124518; - rise_capacitance : 1.185720; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002747,0.007749,0.004786", \ - "0.006610,0.009204,0.001573", \ - "0.114940,0.119324,0.109468"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002712,0.009244,0.009036", \ - "0.009165,0.015592,0.015580", \ - "0.086044,0.094430,0.095687"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.020432,0.012842,0.013616", \ - "0.030614,0.023066,0.022956", \ - "0.060795,0.052417,0.051194"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.022024,0.021009,0.032798", \ - "0.026892,0.024307,0.034553", \ - "0.031897,0.027522,0.037415"); - } - } - - internal_power () { - - when : "!CK & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.451799,4.424969,4.424796,4.782908,5.742584,7.346576,9.594266"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.356132,2.302159,2.313117,2.657608,3.584977,5.148277,7.373823"); - } - - } - - internal_power () { - - when : "!CK & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.202948,6.176164,6.182787,6.614486,7.690548,9.470837,11.971430"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.840313,3.786372,3.815784,4.253187,5.358240,7.180281,9.726720"); - } - - } - - internal_power () { - - when : "!CK & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.096281,6.068765,6.073833,6.507390,7.589766,9.376343,11.877320"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.805142,3.750918,3.778828,4.211076,5.300791,7.112603,9.651060"); - } - - } - - internal_power () { - - when : "CK & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.852480,0.867394,0.866476,0.866033,0.867121,0.865346,0.867329"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.532478,-0.633289,-0.697354,-0.723617,-0.737124,-0.745749,-0.750719"); - } - - } - - internal_power () { - - when : "CK & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.977115,0.946409,0.926330,0.919053,0.914250,0.914377,0.912300"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.825253,-0.832831,-0.832112,-0.836227,-0.835057,-0.840043,-0.836219"); - } - - } - - internal_power () { - - when : "CK & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.854597,0.867605,0.866469,0.866038,0.867162,0.865312,0.867406"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531362,-0.632180,-0.696809,-0.723267,-0.736779,-0.745168,-0.749992"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.341605; - fall_capacitance : 1.339126; - rise_capacitance : 1.341605; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.025030,-0.033083,-0.034736", \ - "-0.025454,-0.033232,-0.034578", \ - "-0.035192,-0.042491,-0.043655"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.065018,0.072312,0.073585", \ - "0.105444,0.112620,0.113831", \ - "0.247152,0.254042,0.255284"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.144081,0.163527,0.253981"); - } - } - - internal_power () { - - when : "!CK & !D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.326993,2.311082,2.560270,3.299893,4.599843,6.501484,9.029476"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.700714,0.640928,0.699431,1.219279,2.390346,4.192710,6.666080"); - } - - } - - internal_power () { - - when : "!CK & D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.939857,0.905039,0.880741,0.882177,0.877404,0.877238,0.872301"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.791658,-0.802638,-0.801259,-0.801161,-0.804293,-0.806774,-0.804543"); - } - - } - - internal_power () { - - when : "CK & !D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.901302,0.876607,0.863416,0.858224,0.857237,0.853992,0.855315"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.794316,-0.801532,-0.800208,-0.801060,-0.801404,-0.801076,-0.800340"); - } - - } - - internal_power () { - - when : "CK & D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.901311,0.876496,0.863438,0.858282,0.857218,0.854044,0.855266"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.794579,-0.801457,-0.800280,-0.801164,-0.801648,-0.801147,-0.800409"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.985445; - fall_capacitance : 0.907312; - rise_capacitance : 0.985445; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.027487,0.041770,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.044579,0.044530,0.146386"); - } - } - - internal_power () { - - when : "!D & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.206103,9.194932,9.425117,10.265690,11.765670,14.095830,17.381560"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("30.099830,30.090770,30.308300,31.017250,32.567440,35.110260,38.751810"); - } - - } - - internal_power () { - - when : "!D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.526351,6.514778,6.743458,7.548071,9.013894,11.315370,14.580150"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.213527,3.194710,3.372309,4.068954,5.561699,7.958750,11.300430"); - } - - } - - internal_power () { - - when : "!D & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.946540,10.926570,11.155160,11.990070,13.474550,15.791170,19.075130"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.241474,6.232115,6.453074,7.264390,8.736323,11.031730,14.293350"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.464056,3.447022,3.621643,4.314533,5.790794,8.183147,11.530320"); - } - - } - - internal_power () { - - when : "D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.285180,10.261320,10.502670,11.378330,12.960060,15.427930,18.944440"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.250360,6.239042,6.460265,7.277382,8.738556,11.037070,14.300110"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.486084,3.466992,3.642870,4.338360,5.808060,8.207386,11.551790"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0584798,0.0602454,0.0622108,0.0660515,0.0734317,0.0880769,0.117580", \ - "0.0592378,0.0610054,0.0629699,0.0668116,0.0741924,0.0888337,0.118336", \ - "0.0622035,0.0639709,0.0659360,0.0697777,0.0771572,0.0917996,0.121302", \ - "0.0654892,0.0672555,0.0692206,0.0730590,0.0804405,0.0950828,0.124588", \ - "0.0677152,0.0694790,0.0714438,0.0752790,0.0826576,0.0973027,0.126812", \ - "0.0684587,0.0702192,0.0721826,0.0760239,0.0834033,0.0980484,0.127552", \ - "0.0674378,0.0691957,0.0711569,0.0750025,0.0823820,0.0970144,0.126520"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0634449,0.0651812,0.0671152,0.0713004,0.0806227,0.100530,0.141320", \ - "0.0642012,0.0659381,0.0678750,0.0720572,0.0813802,0.101282,0.142075", \ - "0.0669340,0.0686734,0.0706061,0.0747879,0.0841151,0.104020,0.144811", \ - "0.0699032,0.0716447,0.0735828,0.0777597,0.0870833,0.106985,0.147780", \ - "0.0719149,0.0736527,0.0755861,0.0797649,0.0890816,0.108980,0.149770", \ - "0.0728592,0.0745928,0.0765240,0.0806940,0.0899984,0.109878,0.150654", \ - "0.0723528,0.0740754,0.0760018,0.0801550,0.0894337,0.109261,0.150007"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00380809,0.00496875,0.00616284,0.00875397,0.0145117,0.0269944,0.0526056", \ - "0.00380818,0.00496854,0.00616778,0.00875499,0.0145097,0.0270005,0.0526057", \ - "0.00381042,0.00496811,0.00616291,0.00875264,0.0145096,0.0269944,0.0526075", \ - "0.00381332,0.00496727,0.00616784,0.00875301,0.0145103,0.0270022,0.0526067", \ - "0.00381066,0.00496822,0.00616266,0.00875065,0.0145118,0.0270025,0.0526110", \ - "0.00381440,0.00496752,0.00616471,0.00875357,0.0145115,0.0270069,0.0526079", \ - "0.00381076,0.00496733,0.00616604,0.00875692,0.0145105,0.0269977,0.0526088"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00434010,0.00621332,0.00797908,0.0119380,0.0210859,0.0401855,0.0786601", \ - "0.00434074,0.00621264,0.00797792,0.0119373,0.0210835,0.0401809,0.0786587", \ - "0.00433774,0.00621377,0.00797870,0.0119391,0.0210837,0.0401882,0.0786565", \ - "0.00433804,0.00621501,0.00797952,0.0119393,0.0210887,0.0401824,0.0786597", \ - "0.00434241,0.00621798,0.00798430,0.0119392,0.0210898,0.0401897,0.0786633", \ - "0.00436589,0.00624008,0.00800395,0.0119526,0.0210889,0.0401881,0.0786648", \ - "0.00441784,0.00628361,0.00804921,0.0119760,0.0210999,0.0401858,0.0786580"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.162391,0.163593,0.164832,0.167962,0.175376,0.193032,0.231827", \ - "0.163301,0.164503,0.165747,0.168874,0.176290,0.193945,0.232734", \ - "0.166535,0.167736,0.168976,0.172106,0.179515,0.197177,0.235968", \ - "0.171698,0.172899,0.174133,0.177264,0.184677,0.202336,0.241134", \ - "0.180441,0.181639,0.182876,0.186006,0.193415,0.211075,0.249864", \ - "0.194331,0.195528,0.196760,0.199897,0.207313,0.224973,0.263766", \ - "0.214265,0.215453,0.216703,0.219860,0.227284,0.244916,0.283657"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.009550,0.011003,0.012684,0.016091,0.023493,0.041569,0.079148", \ - "0.009550,0.011006,0.012676,0.016091,0.023492,0.041575,0.079148", \ - "0.009551,0.011004,0.012678,0.016088,0.023494,0.041570,0.079147", \ - "0.009548,0.011005,0.012682,0.016089,0.023490,0.041583,0.079149", \ - "0.009549,0.011005,0.012680,0.016086,0.023493,0.041573,0.079150", \ - "0.009549,0.011006,0.012679,0.016090,0.023493,0.041573,0.079142", \ - "0.009570,0.011018,0.012688,0.016096,0.023504,0.041583,0.079144"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.162423,0.163623,0.164860,0.167992,0.175402,0.193056,0.231850", \ - "0.163327,0.164531,0.165776,0.168895,0.176306,0.193974,0.232748", \ - "0.166564,0.167766,0.169000,0.172130,0.179542,0.197195,0.235980", \ - "0.171766,0.172970,0.174209,0.177333,0.184743,0.202401,0.241193", \ - "0.180487,0.181710,0.182952,0.186073,0.193464,0.211123,0.249911", \ - "0.194259,0.195450,0.196691,0.199831,0.207304,0.224929,0.263726", \ - "0.214040,0.215215,0.216463,0.219618,0.227067,0.244788,0.283624"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.009553,0.011009,0.012686,0.016095,0.023495,0.041582,0.079149", \ - "0.009553,0.011008,0.012683,0.016094,0.023495,0.041576,0.079145", \ - "0.009554,0.011007,0.012684,0.016092,0.023495,0.041582,0.079151", \ - "0.009553,0.011011,0.012687,0.016096,0.023493,0.041585,0.079153", \ - "0.009554,0.011007,0.012683,0.016090,0.023496,0.041572,0.079143", \ - "0.009552,0.011009,0.012683,0.016094,0.023495,0.041578,0.079149", \ - "0.009573,0.011022,0.012693,0.016103,0.023502,0.041588,0.079145"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.100523,0.102269,0.104223,0.108413,0.117736,0.137628,0.178424", \ - "0.101407,0.103149,0.105099,0.109292,0.118618,0.138514,0.179322", \ - "0.104959,0.106703,0.108653,0.112845,0.122170,0.142070,0.182865", \ - "0.109827,0.111569,0.113517,0.117709,0.127035,0.146932,0.187730", \ - "0.115705,0.117444,0.119391,0.123581,0.132908,0.152808,0.193611", \ - "0.122625,0.124368,0.126314,0.130504,0.139831,0.159728,0.200527", \ - "0.130623,0.132363,0.134305,0.138487,0.147795,0.167694,0.208494"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004387,0.006267,0.008040,0.011985,0.021112,0.040198,0.078668", \ - "0.004377,0.006256,0.008028,0.011972,0.021106,0.040192,0.078669", \ - "0.004372,0.006248,0.008020,0.011968,0.021104,0.040194,0.078666", \ - "0.004370,0.006246,0.008019,0.011967,0.021103,0.040201,0.078661", \ - "0.004366,0.006245,0.008017,0.011966,0.021104,0.040191,0.078670", \ - "0.004367,0.006243,0.008014,0.011967,0.021102,0.040192,0.078662", \ - "0.004356,0.006229,0.007994,0.011948,0.021092,0.040188,0.078663"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.100578,0.102322,0.104274,0.108463,0.117783,0.137670,0.178462", \ - "0.101460,0.103201,0.105151,0.109342,0.118665,0.138558,0.179344", \ - "0.105013,0.106754,0.108703,0.112893,0.122215,0.142111,0.182898", \ - "0.109878,0.111618,0.113565,0.117755,0.127078,0.146970,0.187766", \ - "0.115751,0.117489,0.119435,0.123624,0.132948,0.152844,0.193641", \ - "0.122667,0.124409,0.126355,0.130542,0.139869,0.159760,0.200555", \ - "0.130663,0.132400,0.134341,0.138521,0.147827,0.167723,0.208511"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004389,0.006269,0.008042,0.011986,0.021108,0.040198,0.078657", \ - "0.004379,0.006258,0.008030,0.011981,0.021110,0.040196,0.078666", \ - "0.004371,0.006250,0.008022,0.011969,0.021104,0.040194,0.078665", \ - "0.004370,0.006248,0.008022,0.011968,0.021103,0.040199,0.078662", \ - "0.004368,0.006247,0.008018,0.011968,0.021105,0.040191,0.078671", \ - "0.004369,0.006245,0.008016,0.011968,0.021102,0.040191,0.078661", \ - "0.004356,0.006230,0.007996,0.011948,0.021093,0.040188,0.078659"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("10.391360,9.842729,9.608862,9.566785,9.560627,9.302995,9.188662", \ - "10.341950,9.804994,9.630831,9.556625,9.514639,9.444014,9.204125", \ - "10.436420,9.889155,9.689046,9.685882,9.588368,9.378604,9.268899", \ - "10.796240,10.287630,10.072040,10.044560,9.923036,9.906836,9.616288", \ - "11.587670,11.016670,10.785830,10.772410,10.723630,10.601150,10.341480", \ - "12.754720,12.256090,12.012230,12.030080,11.953290,11.823860,11.568100", \ - "14.249540,13.694840,13.552230,13.596010,13.553600,13.527950,13.440750"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("13.418390,12.865680,12.284210,11.601360,10.870030,10.500840,10.077940", \ - "13.328630,12.857670,12.280570,11.548590,10.884510,10.571990,9.988267", \ - "13.464660,12.944300,12.375180,11.621940,10.994130,10.470070,10.310170", \ - "13.849560,13.290640,12.729480,11.943750,11.374380,10.848900,10.477770", \ - "14.639470,14.032850,13.550480,12.736800,12.133470,11.658570,11.480100", \ - "15.997440,15.494450,14.920910,14.148540,13.502470,13.009100,12.447800", \ - "17.869420,17.355880,16.753070,16.100140,15.388190,14.869880,14.490620"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("27.798460,26.560270,25.673910,24.516630,22.853390,20.262910,17.783440", \ - "27.789300,26.550960,25.632230,24.531100,22.920630,20.101220,17.839160", \ - "27.920130,26.642360,25.702780,24.679220,22.967740,20.201500,17.965900", \ - "28.323230,26.948290,26.029130,24.994480,23.439850,20.736100,18.343320", \ - "29.068300,27.747270,26.771920,25.777970,24.058060,21.503100,18.808530", \ - "30.193590,28.983540,28.028040,26.856400,25.265830,22.647340,20.204970", \ - "32.403080,31.126640,30.073210,29.147660,27.402800,24.569870,21.980500"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("27.176280,25.693970,24.960910,23.825680,22.161720,19.571970,17.125270", \ - "27.079200,25.876400,24.857640,23.792730,22.134320,19.411500,17.147980", \ - "27.067060,25.785580,24.804460,23.859070,22.146190,19.432410,17.024100", \ - "27.146810,25.705960,24.838150,23.810020,22.208510,19.463630,17.121610", \ - "27.139710,25.896140,24.923670,23.890860,22.173610,19.631050,16.904370", \ - "27.373930,25.967280,25.233160,24.099550,22.427230,19.849620,17.367920", \ - "28.147110,27.020690,26.074520,25.014370,23.377400,20.529720,18.077720"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("14.667250,14.147170,13.508030,12.800370,12.211860,11.738990,11.110960", \ - "14.635350,14.112820,13.463040,12.756720,12.153640,11.705170,11.451960", \ - "14.763360,14.216340,13.551070,12.945640,12.233500,11.807840,11.396160", \ - "15.054510,14.559720,13.916960,13.284410,12.619530,12.175200,11.577400", \ - "15.694300,15.158700,14.630440,13.872210,13.207460,12.804450,12.326580", \ - "16.502660,15.912660,15.469930,14.692200,14.033340,13.477760,13.140700", \ - "17.534780,16.988490,16.434700,15.655750,15.104410,14.646350,14.363130"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("14.665070,14.166180,13.512410,12.897570,12.198950,11.761910,11.364030", \ - "14.647620,14.131490,13.473540,12.795690,12.190240,11.704390,11.334780", \ - "14.741230,14.234720,13.572350,12.967970,12.247380,11.838100,11.419250", \ - "15.101690,14.577620,13.940640,13.306540,12.632670,12.170180,11.582990", \ - "15.699360,15.184460,14.559200,13.891820,13.242170,12.839820,12.547430", \ - "16.504860,16.024360,15.488600,14.726960,14.045570,13.594760,13.192030", \ - "17.524190,17.004210,16.452330,15.719430,15.117920,14.663800,14.110030"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0469940,0.0510750,0.0548520,0.0612624,0.0719068,0.0895156,0.120494", \ - "0.0477481,0.0518320,0.0556118,0.0620194,0.0726620,0.0902715,0.121250", \ - "0.0504795,0.0545663,0.0583418,0.0647498,0.0753984,0.0930079,0.123986", \ - "0.0534523,0.0575356,0.0613161,0.0677174,0.0783618,0.0959703,0.126951", \ - "0.0554479,0.0595350,0.0633094,0.0697114,0.0803499,0.0979574,0.128935", \ - "0.0563379,0.0604265,0.0642014,0.0705990,0.0812362,0.0988429,0.129806", \ - "0.0557133,0.0598093,0.0635882,0.0699928,0.0806437,0.0982457,0.129217"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0463691,0.0501041,0.0536959,0.0601887,0.0717751,0.0928436,0.133739", \ - "0.0471281,0.0508625,0.0544555,0.0609487,0.0725357,0.0936031,0.134490", \ - "0.0500932,0.0538284,0.0574217,0.0639152,0.0755011,0.0965679,0.137464", \ - "0.0533795,0.0571130,0.0607053,0.0671968,0.0787837,0.0998502,0.140745", \ - "0.0556052,0.0593379,0.0629292,0.0694225,0.0810062,0.102075,0.142970", \ - "0.0563496,0.0600761,0.0636678,0.0701612,0.0817456,0.102816,0.143709", \ - "0.0553332,0.0590545,0.0626416,0.0691381,0.0807226,0.101779,0.142675"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00919947,0.0109531,0.0126757,0.0157815,0.0213250,0.0321058,0.0551781", \ - "0.00920143,0.0109549,0.0126752,0.0157816,0.0213242,0.0321098,0.0551785", \ - "0.00920432,0.0109590,0.0126787,0.0157845,0.0213258,0.0321089,0.0551876", \ - "0.00920821,0.0109627,0.0126830,0.0157899,0.0213314,0.0321124,0.0551780", \ - "0.00924151,0.0109924,0.0127138,0.0158204,0.0213578,0.0321285,0.0551968", \ - "0.00938031,0.0111281,0.0128418,0.0159332,0.0214448,0.0321821,0.0552032", \ - "0.00964898,0.0113888,0.0130935,0.0161670,0.0216420,0.0323199,0.0552815"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00579514,0.00785911,0.0102485,0.0148837,0.0236073,0.0413753,0.0789077", \ - "0.00579678,0.00786034,0.0102476,0.0148821,0.0236073,0.0413746,0.0789081", \ - "0.00579679,0.00786311,0.0102479,0.0148827,0.0236069,0.0413765,0.0789081", \ - "0.00579928,0.00786211,0.0102493,0.0148843,0.0236103,0.0413722,0.0789067", \ - "0.00580346,0.00786823,0.0102490,0.0148834,0.0236118,0.0413711,0.0789197", \ - "0.00579468,0.00786031,0.0102483,0.0148852,0.0236128,0.0413739,0.0789076", \ - "0.00578767,0.00785575,0.0102437,0.0148869,0.0236145,0.0413756,0.0789126"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.134564,0.139920,0.145248,0.154378,0.169186,0.191944,0.228858", \ - "0.135473,0.140830,0.146162,0.155290,0.170100,0.192860,0.229772", \ - "0.138707,0.144064,0.149392,0.158519,0.173325,0.196090,0.233006", \ - "0.143873,0.149225,0.154549,0.163681,0.178486,0.201250,0.238167", \ - "0.152612,0.157968,0.163293,0.172421,0.187226,0.209987,0.246902", \ - "0.166503,0.171855,0.177175,0.186305,0.201094,0.223818,0.260697", \ - "0.186498,0.191796,0.197073,0.206131,0.220828,0.243462,0.280232"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.032248,0.033577,0.035111,0.037971,0.042470,0.050842,0.070816", \ - "0.032247,0.033577,0.035111,0.037971,0.042471,0.050843,0.070825", \ - "0.032246,0.033577,0.035110,0.037971,0.042471,0.050840,0.070824", \ - "0.032245,0.033577,0.035111,0.037972,0.042469,0.050844,0.070825", \ - "0.032248,0.033577,0.035109,0.037972,0.042470,0.050844,0.070812", \ - "0.032247,0.033576,0.035111,0.037980,0.042497,0.050884,0.070855", \ - "0.032618,0.033919,0.035434,0.038281,0.042795,0.051155,0.071033"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.134589,0.139944,0.145272,0.154404,0.169213,0.191968,0.228889", \ - "0.135494,0.140852,0.146175,0.155309,0.170116,0.192892,0.229802", \ - "0.138732,0.144088,0.149412,0.158542,0.173352,0.196109,0.233032", \ - "0.143932,0.149293,0.154620,0.163746,0.178554,0.201320,0.238240", \ - "0.152653,0.158032,0.163364,0.172486,0.187275,0.210038,0.246959", \ - "0.166427,0.171773,0.177101,0.186237,0.201084,0.223777,0.260663", \ - "0.186271,0.191553,0.196829,0.205884,0.220610,0.243337,0.280198"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.032260,0.033592,0.035127,0.037987,0.042487,0.050865,0.070847", \ - "0.032258,0.033593,0.035126,0.037987,0.042487,0.050862,0.070855", \ - "0.032254,0.033592,0.035124,0.037987,0.042488,0.050861,0.070852", \ - "0.032258,0.033591,0.035123,0.037986,0.042485,0.050861,0.070843", \ - "0.032262,0.033590,0.035123,0.037986,0.042486,0.050863,0.070838", \ - "0.032261,0.033589,0.035124,0.037992,0.042512,0.050903,0.070890", \ - "0.032638,0.033941,0.035454,0.038299,0.042814,0.051175,0.071060"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.084022,0.088116,0.091911,0.098372,0.109155,0.126892,0.157951", \ - "0.084941,0.089029,0.092814,0.099259,0.110023,0.127752,0.158817", \ - "0.088508,0.092600,0.096383,0.102817,0.113567,0.131290,0.162348", \ - "0.093379,0.097471,0.101252,0.107683,0.118428,0.136147,0.167207", \ - "0.099259,0.103348,0.107128,0.113556,0.124300,0.142020,0.173077", \ - "0.106187,0.110280,0.114059,0.120485,0.131225,0.148935,0.179991", \ - "0.114182,0.118269,0.122048,0.128454,0.139106,0.156758,0.187770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009353,0.011129,0.012890,0.016090,0.021674,0.032356,0.055305", \ - "0.009342,0.011109,0.012855,0.016046,0.021639,0.032340,0.055298", \ - "0.009344,0.011100,0.012841,0.016020,0.021618,0.032328,0.055298", \ - "0.009341,0.011099,0.012835,0.016012,0.021608,0.032326,0.055299", \ - "0.009337,0.011100,0.012834,0.016007,0.021604,0.032320,0.055295", \ - "0.009341,0.011096,0.012830,0.015999,0.021597,0.032315,0.055287", \ - "0.009338,0.011092,0.012810,0.015924,0.021469,0.032225,0.055236"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.084069,0.088164,0.091960,0.098421,0.109204,0.126942,0.158001", \ - "0.084985,0.089076,0.092863,0.099309,0.110075,0.127802,0.158856", \ - "0.088555,0.092646,0.096429,0.102864,0.113615,0.131338,0.162391", \ - "0.093425,0.097515,0.101296,0.107728,0.118474,0.136192,0.167249", \ - "0.099300,0.103388,0.107169,0.113598,0.124343,0.142062,0.173115", \ - "0.106224,0.110317,0.114097,0.120523,0.131265,0.148973,0.180025", \ - "0.114214,0.118302,0.122081,0.128489,0.139141,0.156793,0.187803"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009362,0.011137,0.012899,0.016099,0.021684,0.032364,0.055309", \ - "0.009353,0.011118,0.012864,0.016055,0.021647,0.032347,0.055305", \ - "0.009349,0.011108,0.012849,0.016029,0.021626,0.032336,0.055303", \ - "0.009347,0.011108,0.012843,0.016021,0.021618,0.032333,0.055305", \ - "0.009345,0.011107,0.012842,0.016016,0.021613,0.032327,0.055300", \ - "0.009352,0.011103,0.012837,0.016007,0.021604,0.032321,0.055290", \ - "0.009344,0.011099,0.012817,0.015931,0.021477,0.032231,0.055240"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.418160,12.870280,12.295850,11.605060,10.893540,10.478600,10.101030", \ - "13.328620,12.845040,12.290650,11.574340,10.895160,10.399210,10.013780", \ - "13.462880,12.862010,12.376900,11.649730,11.045290,10.600170,10.341720", \ - "13.849570,13.296490,12.741580,12.025120,11.377420,10.919570,10.560440", \ - "14.639460,14.046220,13.565400,12.763060,12.147840,11.717060,11.197820", \ - "15.997450,15.499130,14.926930,14.189900,13.477530,13.090430,12.426030", \ - "17.869420,17.366170,16.713470,16.076970,15.391290,14.951530,14.580550"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("10.391370,9.845368,9.611318,9.614695,9.567458,9.458135,9.177507", \ - "10.341970,9.837442,9.607417,9.605876,9.554049,9.457782,9.170783", \ - "10.436540,9.940470,9.691603,9.693202,9.645487,9.458722,9.280632", \ - "10.796290,10.293890,10.044910,10.047080,9.950920,9.716448,9.610575", \ - "11.581990,10.996700,10.815430,10.794040,10.736030,10.521270,10.500380", \ - "12.754910,12.257830,12.011510,12.027070,11.943900,11.828230,11.609330", \ - "14.249510,13.697350,13.545130,13.600960,13.564990,13.547700,13.168230"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("27.798460,26.555770,25.460290,24.546190,22.889550,20.365660,17.841810", \ - "27.789340,26.543140,25.545550,24.559380,22.880540,20.279490,17.731300", \ - "27.923590,26.653480,25.774450,24.716180,23.002790,20.333220,17.858670", \ - "28.323250,27.085980,25.948000,25.030630,23.373940,20.782990,18.360060", \ - "29.068320,27.758450,26.738550,25.759260,24.107210,21.358420,18.950520", \ - "30.193590,28.997050,28.048060,26.928220,25.353050,22.748490,19.816050", \ - "32.396670,31.137750,30.093100,29.069700,27.462900,24.673460,22.101070"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("27.176260,25.895300,24.981020,23.851570,22.196480,19.673350,17.186650", \ - "27.079200,25.899020,24.933560,23.815950,22.168360,19.471740,17.124110", \ - "27.067060,25.796820,24.840100,23.860590,22.186820,19.459840,17.080450", \ - "27.146800,25.740350,24.864230,23.803420,22.159030,19.556500,17.054580", \ - "27.133270,25.923220,24.903770,23.926860,22.229970,19.576200,17.078650", \ - "27.373940,26.180860,25.253160,24.124490,22.462480,19.759010,17.271730", \ - "28.147130,27.037470,26.094510,25.029980,23.326740,20.646840,18.006130"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("14.667200,14.150960,13.525160,12.874720,12.135260,11.797210,11.166000", \ - "14.635330,14.116590,13.478640,12.792440,12.185980,11.714670,11.470730", \ - "14.763360,14.220080,13.565280,12.911220,12.275490,11.844500,11.556220", \ - "15.054510,14.563460,13.927150,13.268730,12.582790,12.158860,11.676100", \ - "15.689130,15.062030,14.566820,13.855040,13.205330,12.620530,12.486760", \ - "16.502630,15.930730,15.479460,14.736170,14.048470,13.474270,13.283010", \ - "17.534780,16.993350,16.439650,15.665190,14.992670,14.672510,14.398100"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("14.665040,14.170140,13.541240,12.874610,12.240790,11.815580,11.520090", \ - "14.647630,14.135330,13.486180,12.842230,12.208170,11.623350,11.494500", \ - "14.741250,14.238510,13.583870,12.865720,12.289470,11.806120,11.579390", \ - "15.101660,14.581410,13.945200,13.289310,12.652170,12.206130,11.943040", \ - "15.694150,15.081860,14.574610,13.874050,13.250260,12.707610,12.509680", \ - "16.504900,15.922440,15.493080,14.729000,14.059210,13.640040,13.306150", \ - "17.524160,17.009090,16.457670,15.687790,15.053220,14.693890,14.422230"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFF_X1 - Cell Description : Pos.edge D-Flip-Flop with drive strength X1 - *******************************************************************************************/ - - cell (DFF_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - } - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 276.847875; - - leakage_power () { - when : "!CK & !D & !Q & QN"; - value : 257.838750; - } - leakage_power () { - when : "!CK & !D & Q & !QN"; - value : 277.136250; - } - leakage_power () { - when : "!CK & D & !Q & QN"; - value : 292.331625; - } - leakage_power () { - when : "!CK & D & Q & !QN"; - value : 284.010375; - } - leakage_power () { - when : "CK & !D & !Q & QN"; - value : 271.058375; - } - leakage_power () { - when : "CK & !D & Q & !QN"; - value : 254.397250; - } - leakage_power () { - when : "CK & D & !Q & QN"; - value : 315.687500; - } - leakage_power () { - when : "CK & D & Q & !QN"; - value : 262.322875; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.157568; - fall_capacitance : 1.095515; - rise_capacitance : 1.157568; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002635,0.007257,0.003375", \ - "0.005996,0.008494,0.000063", \ - "0.114330,0.118658,0.107856"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002228,0.008355,0.007298", \ - "0.008193,0.014188,0.013444", \ - "0.086705,0.095490,0.095375"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.020255,0.012804,0.014718", \ - "0.030245,0.023119,0.023810", \ - "0.060128,0.051351,0.051501"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.022423,0.021842,0.034543", \ - "0.027496,0.025007,0.035999", \ - "0.032498,0.028180,0.039018"); - } - } - - internal_power () { - - when : "!CK & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.790088,5.761199,5.769557,6.235768,7.365303,9.217821,11.796930"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.202353,4.148938,4.186478,4.651601,5.797866,7.667072,10.266740"); - } - - } - - internal_power () { - - when : "!CK & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.690719,5.662203,5.670980,6.136304,7.270381,9.120256,11.703250"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.158314,4.105460,4.140775,4.599254,5.732357,7.589440,10.181250"); - } - - } - - internal_power () { - - when : "CK & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.976300,0.944355,0.925949,0.917559,0.913495,0.913483,0.910197"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.826746,-0.833088,-0.833864,-0.837101,-0.836842,-0.840178,-0.837934"); - } - - } - - internal_power () { - - when : "CK & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.853276,0.865781,0.866166,0.867060,0.866344,0.867268,0.866170"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.532230,-0.633092,-0.698401,-0.724639,-0.738466,-0.746624,-0.751651"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.966728; - fall_capacitance : 0.885369; - rise_capacitance : 0.966728; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.025656,0.039623,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.029624,0.033183,0.146386"); - } - } - - internal_power () { - - when : "!D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.863017,5.852367,6.102219,6.919497,8.407969,10.750330,14.049200"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.204292,3.186298,3.376615,4.105709,5.641077,8.072203,11.439710"); - } - - } - - internal_power () { - - when : "!D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.983441,9.963482,10.209810,11.032630,12.516260,14.844170,18.138150"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.981211,9.959881,10.219560,11.120870,12.735950,15.256550,18.818350"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.567168,5.557090,5.798531,6.625264,8.117789,10.449230,13.745040"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.475159,3.458663,3.649265,4.372291,5.888734,8.316763,11.688910"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.730000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0485678,0.0506100,0.0528656,0.0569847,0.0645937,0.0793976,0.109037", \ - "0.0493303,0.0513696,0.0536273,0.0577439,0.0653563,0.0801587,0.109808", \ - "0.0522344,0.0542754,0.0565328,0.0606509,0.0682616,0.0830673,0.112707", \ - "0.0552179,0.0572546,0.0595140,0.0636328,0.0712425,0.0860460,0.115696", \ - "0.0571495,0.0591893,0.0614414,0.0655616,0.0731705,0.0879824,0.117616", \ - "0.0575794,0.0596164,0.0618731,0.0659882,0.0735987,0.0884030,0.118045", \ - "0.0562356,0.0582691,0.0605184,0.0646350,0.0722450,0.0870458,0.116688"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0473731,0.0494237,0.0517365,0.0564190,0.0662521,0.0865599,0.127602", \ - "0.0481295,0.0501830,0.0524946,0.0571759,0.0670087,0.0873164,0.128361", \ - "0.0508276,0.0528795,0.0551916,0.0598728,0.0697045,0.0900121,0.131058", \ - "0.0536340,0.0556844,0.0579971,0.0626745,0.0725013,0.0928062,0.133851", \ - "0.0555254,0.0575771,0.0598885,0.0645608,0.0743747,0.0946691,0.135705", \ - "0.0562269,0.0582644,0.0605658,0.0652169,0.0750089,0.0952762,0.136294", \ - "0.0553359,0.0573511,0.0596348,0.0642504,0.0739872,0.0942126,0.135204"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00350257,0.00459819,0.00591180,0.00863064,0.0144581,0.0269657,0.0526348", \ - "0.00350658,0.00459672,0.00591208,0.00863190,0.0144585,0.0269637,0.0526476", \ - "0.00350608,0.00459866,0.00591258,0.00863233,0.0144565,0.0269621,0.0526428", \ - "0.00350615,0.00459979,0.00591253,0.00863055,0.0144580,0.0269628,0.0526332", \ - "0.00350782,0.00459985,0.00591305,0.00863318,0.0144543,0.0269683,0.0526386", \ - "0.00350623,0.00460052,0.00591607,0.00863190,0.0144590,0.0269682,0.0526291", \ - "0.00350615,0.00459802,0.00591374,0.00863144,0.0144583,0.0269694,0.0526439"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00366629,0.00530696,0.00720296,0.0114525,0.0208267,0.0400330,0.0785446", \ - "0.00366759,0.00530716,0.00719933,0.0114520,0.0208254,0.0400372,0.0785450", \ - "0.00366729,0.00530627,0.00720132,0.0114537,0.0208256,0.0400331,0.0785478", \ - "0.00367130,0.00531012,0.00720269,0.0114548,0.0208270,0.0400373,0.0785543", \ - "0.00368215,0.00531980,0.00721301,0.0114562,0.0208268,0.0400382,0.0785553", \ - "0.00371284,0.00535308,0.00723392,0.0114671,0.0208286,0.0400367,0.0785452", \ - "0.00376600,0.00540467,0.00727838,0.0114868,0.0208343,0.0400396,0.0785494"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("5.787689,5.763255,5.774989,5.760809,5.826906,5.838372,5.691420", \ - "5.793037,5.760231,5.769497,5.783108,5.789146,5.784441,5.816871", \ - "5.876466,5.867052,5.865666,5.877278,5.932118,5.930389,5.749405", \ - "6.240077,6.217606,6.230442,6.247185,6.280046,6.244854,6.262242", \ - "7.018569,6.999468,7.007322,7.009700,7.051086,6.988614,6.984743", \ - "8.216146,8.197796,8.227700,8.254753,8.302525,8.278411,8.152292", \ - "9.587556,9.596094,9.653870,9.766706,9.929390,9.973503,9.844572"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("6.511278,6.343277,6.221835,6.126121,6.062195,5.994648,5.837042", \ - "6.513131,6.345214,6.234308,6.120769,6.058787,5.908893,5.831775", \ - "6.612224,6.451588,6.314785,6.221162,6.108850,6.047692,5.991895", \ - "6.997793,6.822434,6.696697,6.601551,6.523833,6.479028,6.374209", \ - "7.845381,7.658604,7.516218,7.404336,7.326045,7.236141,7.174975", \ - "9.193111,9.039931,8.905127,8.748041,8.646033,8.606341,8.409324", \ - "10.823490,10.671050,10.544570,10.511190,10.503440,10.440750,10.357710"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0339168,0.0369613,0.0401343,0.0455787,0.0548858,0.0709691,0.100851", \ - "0.0346771,0.0377194,0.0408921,0.0463362,0.0556429,0.0717266,0.101610", \ - "0.0373713,0.0404155,0.0435876,0.0490304,0.0583376,0.0744231,0.104308", \ - "0.0401723,0.0432159,0.0463840,0.0518191,0.0611217,0.0772064,0.107090", \ - "0.0420596,0.0450906,0.0482517,0.0536780,0.0629708,0.0790482,0.108937", \ - "0.0426711,0.0457013,0.0488607,0.0542839,0.0635845,0.0796696,0.109538", \ - "0.0415614,0.0446255,0.0478096,0.0532506,0.0625619,0.0786535,0.108517"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0375640,0.0404856,0.0437183,0.0495711,0.0603517,0.0809643,0.121840", \ - "0.0383263,0.0412473,0.0444806,0.0503309,0.0611146,0.0817228,0.122607", \ - "0.0412312,0.0441531,0.0473860,0.0532380,0.0640190,0.0846299,0.125510", \ - "0.0442142,0.0471337,0.0503660,0.0562188,0.0669993,0.0876087,0.128488", \ - "0.0461460,0.0490670,0.0522941,0.0581475,0.0689313,0.0895393,0.130417", \ - "0.0465752,0.0494930,0.0527228,0.0585708,0.0693517,0.0899632,0.130838", \ - "0.0452318,0.0481468,0.0513680,0.0572151,0.0679949,0.0885991,0.129485"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00602680,0.00739628,0.00894862,0.0119411,0.0176169,0.0289535,0.0532634", \ - "0.00603139,0.00739550,0.00894956,0.0119407,0.0176165,0.0289529,0.0532633", \ - "0.00602337,0.00739849,0.00895237,0.0119460,0.0176202,0.0289521,0.0532633", \ - "0.00604066,0.00741909,0.00897429,0.0119648,0.0176348,0.0289660,0.0532670", \ - "0.00612636,0.00749263,0.00904382,0.0120242,0.0176780,0.0289890,0.0532764", \ - "0.00636113,0.00771706,0.00924692,0.0121912,0.0178047,0.0290615,0.0532829", \ - "0.00682783,0.00813992,0.00961998,0.0124860,0.0180158,0.0291854,0.0533550"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00446829,0.00637530,0.00865591,0.0131019,0.0220329,0.0405282,0.0785594", \ - "0.00446803,0.00637537,0.00865361,0.0131029,0.0220321,0.0405356,0.0785607", \ - "0.00446859,0.00637533,0.00865543,0.0131033,0.0220312,0.0405321,0.0785637", \ - "0.00446917,0.00637696,0.00865814,0.0131018,0.0220334,0.0405279,0.0785605", \ - "0.00447095,0.00637873,0.00865971,0.0131054,0.0220306,0.0405396,0.0785628", \ - "0.00446919,0.00637672,0.00865952,0.0131061,0.0220362,0.0405346,0.0785575", \ - "0.00446960,0.00637622,0.00865884,0.0131053,0.0220401,0.0405394,0.0785743"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.511283,6.344605,6.235933,6.059305,6.010387,5.994154,5.874034", \ - "6.513128,6.327823,6.188793,6.109502,6.007962,5.943343,5.870436", \ - "6.610546,6.456722,6.327375,6.220961,6.099492,6.084086,5.982859", \ - "6.997816,6.838659,6.719915,6.566866,6.533854,6.481382,6.408561", \ - "7.845377,7.661857,7.539113,7.420641,7.353487,7.184927,7.087225", \ - "9.193109,9.042199,8.914231,8.778871,8.629702,8.517979,8.406262", \ - "10.823480,10.695120,10.574950,10.539310,10.488210,10.406200,10.221180"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.787634,5.769763,5.775817,5.776431,5.819458,5.819908,5.779992", \ - "5.793012,5.745894,5.773949,5.750925,5.821960,5.806166,5.656657", \ - "5.896741,5.868983,5.870098,5.884168,5.900451,5.923691,5.843285", \ - "6.239876,6.225111,6.230701,6.240729,6.257619,6.293318,6.215746", \ - "7.043619,7.007404,7.012637,6.998527,7.049320,7.002125,7.002547", \ - "8.216189,8.199002,8.227163,8.242591,8.264683,8.297421,8.220654", \ - "9.578432,9.599047,9.653711,9.723191,9.957493,9.943595,9.906141"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFF_X2 - Cell Description : Pos.edge D-Flip-Flop with drive strength X2 - *******************************************************************************************/ - - cell (DFF_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - } - - area : 5.054000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 410.319547; - - leakage_power () { - when : "!CK & !D & !Q & QN"; - value : 383.388750; - } - leakage_power () { - when : "!CK & !D & Q & !QN"; - value : 419.180000; - } - leakage_power () { - when : "!CK & D & !Q & QN"; - value : 417.930375; - } - leakage_power () { - when : "!CK & D & Q & !QN"; - value : 425.964125; - } - leakage_power () { - when : "CK & !D & !Q & QN"; - value : 396.524625; - } - leakage_power () { - when : "CK & !D & Q & !QN"; - value : 395.244625; - } - leakage_power () { - when : "CK & D & !Q & QN"; - value : 441.153625; - } - leakage_power () { - when : "CK & D & Q & !QN"; - value : 403.170250; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.147782; - fall_capacitance : 1.081871; - rise_capacitance : 1.147782; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002087,0.006664,0.002966", \ - "0.005958,0.008218,-0.000412", \ - "0.113994,0.118084,0.107613"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002329,0.008053,0.007243", \ - "0.008040,0.014226,0.013294", \ - "0.085600,0.093877,0.094445"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.020892,0.013811,0.015350", \ - "0.031340,0.023729,0.024717", \ - "0.061271,0.052995,0.052430"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.022706,0.022136,0.034579", \ - "0.027549,0.025290,0.036244", \ - "0.032725,0.028636,0.039110"); - } - } - - internal_power () { - - when : "!CK & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.879427,5.852702,5.858589,6.319185,7.443527,9.287848,11.860850"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.316645,4.263159,4.299623,4.759099,5.898295,7.759588,10.352580"); - } - - } - - internal_power () { - - when : "!CK & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.779759,5.753105,5.759821,6.219623,7.347441,9.189081,11.766170"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.273911,4.219928,4.255102,4.708316,5.833828,7.683326,10.268110"); - } - - } - - internal_power () { - - when : "CK & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.975634,0.945518,0.926279,0.918624,0.913902,0.914635,0.910987"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.825717,-0.832965,-0.832709,-0.836596,-0.835583,-0.840360,-0.836681"); - } - - } - - internal_power () { - - when : "CK & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.853413,0.867298,0.866529,0.867975,0.867025,0.868796,0.867107"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531134,-0.632418,-0.696688,-0.722920,-0.736843,-0.744913,-0.749953"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.946346; - fall_capacitance : 0.865433; - rise_capacitance : 0.946346; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.026266,0.039930,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.043969,0.043917,0.146386"); - } - } - - internal_power () { - - when : "!D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.861237,5.851412,6.099621,6.917304,8.404972,10.745200,14.043050"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.223107,3.204027,3.397611,4.125216,5.659281,8.089917,11.455670"); - } - - } - - internal_power () { - - when : "!D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.136630,10.116140,10.366780,11.189080,12.664920,15.001190,18.289500"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.090180,10.068580,10.328930,11.227690,12.840680,15.357030,18.913770"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.568663,5.559368,5.798611,6.627060,8.109391,10.442460,13.736270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.498293,3.481215,3.671708,4.394599,5.910861,8.338099,11.708390"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0572103,0.0589682,0.0609369,0.0647815,0.0721670,0.0868225,0.116354", \ - "0.0579701,0.0597306,0.0616995,0.0655414,0.0729273,0.0875861,0.117111", \ - "0.0608703,0.0626320,0.0645993,0.0684426,0.0758271,0.0904858,0.120010", \ - "0.0638563,0.0656163,0.0675832,0.0714275,0.0788134,0.0934667,0.122995", \ - "0.0658071,0.0675628,0.0695343,0.0733713,0.0807559,0.0954149,0.124942", \ - "0.0662680,0.0680257,0.0699886,0.0738316,0.0812153,0.0958707,0.125401", \ - "0.0649798,0.0667328,0.0686958,0.0725492,0.0799256,0.0945800,0.124109"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0620580,0.0637711,0.0657002,0.0698802,0.0792013,0.0990903,0.139843", \ - "0.0628134,0.0645269,0.0664570,0.0706370,0.0799603,0.0998511,0.140608", \ - "0.0654867,0.0672023,0.0691307,0.0733105,0.0826337,0.102523,0.143279", \ - "0.0682106,0.0699256,0.0718540,0.0760336,0.0853562,0.105243,0.145994", \ - "0.0700329,0.0717452,0.0736726,0.0778474,0.0871654,0.107047,0.147795", \ - "0.0708277,0.0725369,0.0744606,0.0786289,0.0879314,0.107797,0.148525", \ - "0.0702181,0.0719128,0.0738329,0.0779826,0.0872545,0.107081,0.147788"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00381347,0.00495771,0.00615658,0.00875788,0.0145235,0.0270262,0.0526568", \ - "0.00381179,0.00495887,0.00615626,0.00875485,0.0145219,0.0270279,0.0526588", \ - "0.00381356,0.00495735,0.00615555,0.00875318,0.0145217,0.0270274,0.0526504", \ - "0.00381401,0.00495975,0.00615733,0.00875479,0.0145238,0.0270240,0.0526577", \ - "0.00381476,0.00496060,0.00615568,0.00874947,0.0145239,0.0270248,0.0526575", \ - "0.00381337,0.00496097,0.00615626,0.00875384,0.0145220,0.0270255,0.0526559", \ - "0.00381258,0.00495801,0.00615589,0.00875377,0.0145234,0.0270283,0.0526638"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00435292,0.00620720,0.00796552,0.0119260,0.0210782,0.0401633,0.0786166", \ - "0.00435411,0.00620943,0.00796429,0.0119272,0.0210792,0.0401636,0.0786139", \ - "0.00435741,0.00620858,0.00796722,0.0119290,0.0210768,0.0401645,0.0786158", \ - "0.00435514,0.00621175,0.00796752,0.0119278,0.0210794,0.0401752,0.0786040", \ - "0.00435988,0.00621237,0.00797006,0.0119276,0.0210804,0.0401667,0.0786139", \ - "0.00438617,0.00623779,0.00799158,0.0119458,0.0210884,0.0401667,0.0786124", \ - "0.00443266,0.00628095,0.00803339,0.0119715,0.0210961,0.0401701,0.0786110"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("10.323350,9.789869,9.563007,9.565401,9.528240,9.285163,9.097248", \ - "10.313030,9.785968,9.576259,9.585802,9.512591,9.311587,9.113734", \ - "10.420360,9.882016,9.664375,9.682800,9.584017,9.440164,9.189561", \ - "10.777590,10.245880,10.019570,10.042570,9.980775,9.726191,9.480893", \ - "11.600430,11.016590,10.817330,10.816770,10.731400,10.644010,10.436140", \ - "12.770780,12.237860,12.019200,12.051610,11.911210,11.876550,11.668030", \ - "14.214220,13.708880,13.554910,13.634040,13.598650,13.531730,13.398980"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("13.240890,12.708380,12.162230,11.369660,10.726970,10.372890,10.146540", \ - "13.275110,12.701300,12.153090,11.339090,10.767360,10.374800,10.030090", \ - "13.356470,12.794200,12.250690,11.479470,10.792620,10.468550,10.142780", \ - "13.722500,13.133570,12.629720,11.847470,11.152800,10.846370,10.493260", \ - "14.528090,13.994390,13.441300,12.640150,12.026770,11.582550,11.131230", \ - "16.001940,15.375360,14.778030,14.052740,13.417450,12.998590,12.535400", \ - "17.786290,17.265410,16.617720,16.002770,15.343840,14.884790,14.445480"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0457904,0.0498730,0.0536463,0.0600451,0.0706716,0.0882584,0.119228", \ - "0.0465472,0.0506296,0.0544031,0.0608012,0.0714326,0.0890193,0.119989", \ - "0.0492180,0.0533031,0.0570755,0.0634736,0.0741056,0.0916920,0.122663", \ - "0.0519420,0.0560247,0.0597967,0.0661921,0.0768219,0.0944081,0.125382", \ - "0.0537506,0.0578340,0.0616039,0.0679970,0.0786210,0.0962050,0.127178", \ - "0.0544872,0.0585735,0.0623460,0.0687390,0.0793642,0.0969443,0.127902", \ - "0.0537582,0.0578534,0.0616320,0.0680304,0.0786669,0.0962614,0.127237"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0452259,0.0489566,0.0525478,0.0590399,0.0706252,0.0916995,0.132614", \ - "0.0459861,0.0497190,0.0533099,0.0598008,0.0713853,0.0924601,0.133373", \ - "0.0488866,0.0526196,0.0562093,0.0627010,0.0742854,0.0953603,0.136277", \ - "0.0518698,0.0556031,0.0591938,0.0656851,0.0772715,0.0983439,0.139257", \ - "0.0538209,0.0575499,0.0611430,0.0676341,0.0792158,0.100294,0.141208", \ - "0.0542831,0.0580108,0.0615973,0.0680882,0.0796710,0.100746,0.141668", \ - "0.0529977,0.0567182,0.0603055,0.0668041,0.0783789,0.0994513,0.140371"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00917341,0.0109244,0.0126365,0.0157384,0.0212718,0.0320665,0.0551879", \ - "0.00917514,0.0109260,0.0126377,0.0157387,0.0212744,0.0320666,0.0551894", \ - "0.00917837,0.0109275,0.0126410,0.0157422,0.0212747,0.0320682,0.0551881", \ - "0.00918228,0.0109315,0.0126472,0.0157479,0.0212800,0.0320714,0.0551910", \ - "0.00921734,0.0109655,0.0126789,0.0157790,0.0213081,0.0320843,0.0552038", \ - "0.00936505,0.0111032,0.0128104,0.0158947,0.0213960,0.0321444,0.0552055", \ - "0.00961368,0.0113483,0.0130498,0.0161215,0.0215887,0.0322783,0.0552807"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00578256,0.00784214,0.0102266,0.0148680,0.0235950,0.0413786,0.0789458", \ - "0.00578464,0.00784085,0.0102268,0.0148661,0.0235941,0.0413764,0.0789429", \ - "0.00578481,0.00784374,0.0102274,0.0148679,0.0235943,0.0413825,0.0789451", \ - "0.00578300,0.00784464,0.0102294,0.0148669,0.0235967,0.0413784,0.0789462", \ - "0.00578883,0.00784462,0.0102285,0.0148646,0.0235980,0.0413810,0.0789551", \ - "0.00578209,0.00784135,0.0102260,0.0148686,0.0236006,0.0413828,0.0789433", \ - "0.00577120,0.00783528,0.0102214,0.0148653,0.0236016,0.0413827,0.0789501"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.240900,12.719300,12.174250,11.374400,10.734460,10.415490,9.793468", \ - "13.273390,12.704760,12.161150,11.363390,10.790100,10.401670,9.908945", \ - "13.356480,12.779790,12.259230,11.505090,10.836250,10.470710,9.999755", \ - "13.722500,13.149970,12.637830,11.833820,11.166010,10.826100,10.558860", \ - "14.528080,13.998290,13.456150,12.650230,12.042590,11.663760,11.292180", \ - "16.001940,15.387960,14.855780,14.085920,13.373050,12.861030,12.695040", \ - "17.786300,17.273660,16.625310,15.981680,15.228840,14.838890,14.552080"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("10.323110,9.787050,9.562095,9.587123,9.446935,9.429301,9.122306", \ - "10.359720,9.790975,9.578701,9.583329,9.452343,9.391174,9.214880", \ - "10.420180,9.886865,9.651929,9.615563,9.623249,9.462174,9.261631", \ - "10.777520,10.252240,10.014430,9.991341,9.938143,9.733761,9.574120", \ - "11.600350,11.021530,10.821430,10.753760,10.770310,10.655300,10.593970", \ - "12.770740,12.241920,12.020940,11.988810,11.932320,11.863140,11.750820", \ - "14.211300,13.722420,13.540130,13.567470,13.621380,13.420810,13.146690"); - } - } - } - - } - - - /****************************************************************************************** - Module : DLH_X1 - Cell Description : High enable Latch with drive strength X1 - *******************************************************************************************/ - - cell (DLH_X1) { - - drive_strength : 1; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "G"; - } - - area : 2.660000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 144.200146; - - leakage_power () { - when : "!D & !G & !Q"; - value : 139.308750; - } - leakage_power () { - when : "!D & !G & Q"; - value : 128.686250; - } - leakage_power () { - when : "!D & G & !Q"; - value : 139.978250; - } - leakage_power () { - when : "D & !G & !Q"; - value : 173.036250; - } - leakage_power () { - when : "D & !G & Q"; - value : 134.838875; - } - leakage_power () { - when : "D & G & Q"; - value : 149.352500; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.937419; - fall_capacitance : 0.899525; - rise_capacitance : 0.937419; - - timing () { - - related_pin : "G"; - timing_type : hold_falling; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002402,0.014058,0.036361", \ - "0.012424,0.024411,0.048280", \ - "0.123753,0.136369,0.160574"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002777,0.013537,0.031960", \ - "0.007987,0.017513,0.033327", \ - "0.075619,0.083087,0.091473"); - } - } - - timing () { - - related_pin : "G"; - timing_type : setup_falling; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.030001,0.025193,0.034469", \ - "0.040038,0.033043,0.032567", \ - "0.071087,0.063620,0.055238"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.015961,0.004047,-0.017120", \ - "0.021068,0.009081,-0.014784", \ - "0.022952,0.010336,-0.013865"); - } - } - - internal_power () { - - when : "!G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.725305,0.702490,0.688970,0.683798,0.680896,0.679671,0.677698"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.616105,-0.620196,-0.621093,-0.622457,-0.623424,-0.624261,-0.624299"); - } - - } - - internal_power () { - - when : "!G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633218,0.643498,0.644307,0.644935,0.644203,0.644802,0.643856"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.396191,-0.471916,-0.521155,-0.540689,-0.550678,-0.556273,-0.559980"); - } - - } - } - - pin (G) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 1.000215; - fall_capacitance : 0.922955; - rise_capacitance : 1.000215; - - timing () { - - related_pin : "G"; - timing_type : min_pulse_width; - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.022298,0.033183,0.146386"); - } - } - - internal_power () { - - when : "!D & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.941488,3.933630,4.225651,5.089170,6.655830,9.094522,12.482760"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.032786,3.015184,3.252025,4.080579,5.727691,8.252585,11.703270"); - } - - } - - internal_power () { - - when : "D & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.992239,3.983534,4.283531,5.147472,6.722441,9.175529,12.572830"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.817634,2.800995,3.037989,3.871024,5.525107,8.048993,11.499680"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "IQ"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0270568,0.0300994,0.0331994,0.0384787,0.0474742,0.0633367,0.0932409", \ - "0.0279694,0.0310087,0.0341130,0.0393936,0.0483898,0.0642515,0.0941549", \ - "0.0306262,0.0336597,0.0367584,0.0420361,0.0510301,0.0668940,0.0967989", \ - "0.0362050,0.0392537,0.0423523,0.0476101,0.0565891,0.0724331,0.102315", \ - "0.0442847,0.0474628,0.0506993,0.0561331,0.0653054,0.0812943,0.111182", \ - "0.0548581,0.0581926,0.0615650,0.0672024,0.0766286,0.0928977,0.122918", \ - "0.0675454,0.0710730,0.0746390,0.0805562,0.0903445,0.107031,0.137281"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0201944,0.0231600,0.0263875,0.0321813,0.0428725,0.0635242,0.104637", \ - "0.0206860,0.0236512,0.0268793,0.0326717,0.0433610,0.0640151,0.105123", \ - "0.0222722,0.0252300,0.0284449,0.0342209,0.0448881,0.0655331,0.106636", \ - "0.0248513,0.0278368,0.0310731,0.0368594,0.0475047,0.0680741,0.109132", \ - "0.0270772,0.0301687,0.0334798,0.0393473,0.0499932,0.0704844,0.111438", \ - "0.0278379,0.0311401,0.0346164,0.0407056,0.0515287,0.0720288,0.112838", \ - "0.0267310,0.0302806,0.0339726,0.0403794,0.0515378,0.0722651,0.113150"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00538005,0.00670741,0.00820873,0.0111013,0.0167724,0.0284120,0.0531098", \ - "0.00537798,0.00670657,0.00820879,0.0111012,0.0167752,0.0284121,0.0531055", \ - "0.00537935,0.00670436,0.00821641,0.0111065,0.0167746,0.0284140,0.0531032", \ - "0.00574488,0.00702562,0.00846608,0.0112858,0.0168861,0.0284609,0.0531203", \ - "0.00653814,0.00784264,0.00929723,0.0120384,0.0174899,0.0288002,0.0531991", \ - "0.00758216,0.00889807,0.0103304,0.0130088,0.0183496,0.0294469,0.0534781", \ - "0.00894512,0.0102801,0.0117276,0.0143555,0.0195736,0.0304195,0.0539717"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00391646,0.00581535,0.00807348,0.0124700,0.0214984,0.0403031,0.0786922", \ - "0.00391512,0.00581368,0.00807419,0.0124761,0.0214992,0.0403046,0.0786802", \ - "0.00392258,0.00582311,0.00808578,0.0124796,0.0215013,0.0403099,0.0786617", \ - "0.00423225,0.00608436,0.00832077,0.0126750,0.0216071,0.0403482,0.0786704", \ - "0.00498715,0.00671650,0.00889075,0.0131414,0.0218912,0.0404544,0.0786994", \ - "0.00614022,0.00775919,0.00986886,0.0140273,0.0225435,0.0407980,0.0788078", \ - "0.00753886,0.00910473,0.0111483,0.0152495,0.0235740,0.0415666,0.0792367"); - } - } - - timing () { - - related_pin : "G"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0292971,0.0323423,0.0354537,0.0407400,0.0497406,0.0656034,0.0955066", \ - "0.0300437,0.0330874,0.0362007,0.0414876,0.0504873,0.0663502,0.0962540", \ - "0.0323352,0.0353802,0.0384928,0.0437765,0.0527751,0.0686396,0.0985414", \ - "0.0342700,0.0373134,0.0404166,0.0456978,0.0547013,0.0705594,0.100464", \ - "0.0353833,0.0384235,0.0415211,0.0467937,0.0557892,0.0716529,0.101554", \ - "0.0352167,0.0382603,0.0413604,0.0466352,0.0556361,0.0715106,0.101400", \ - "0.0333657,0.0364487,0.0395785,0.0448718,0.0538911,0.0697679,0.0996553"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0309459,0.0339070,0.0371278,0.0428997,0.0535671,0.0741990,0.115304", \ - "0.0317156,0.0346767,0.0378952,0.0436664,0.0543346,0.0749690,0.116079", \ - "0.0343341,0.0372947,0.0405129,0.0462867,0.0569537,0.0775865,0.118695", \ - "0.0365373,0.0394956,0.0427148,0.0484841,0.0591517,0.0797867,0.120890", \ - "0.0376292,0.0405848,0.0438024,0.0495748,0.0602399,0.0808675,0.121982", \ - "0.0371787,0.0401309,0.0433423,0.0491086,0.0597744,0.0804124,0.121514", \ - "0.0349701,0.0379200,0.0411293,0.0468968,0.0575636,0.0781977,0.119309"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00533850,0.00666252,0.00817306,0.0110736,0.0167564,0.0284015,0.0531012", \ - "0.00533385,0.00666603,0.00817551,0.0110752,0.0167571,0.0284076,0.0531087", \ - "0.00533244,0.00666174,0.00816961,0.0110746,0.0167548,0.0284018,0.0531043", \ - "0.00534805,0.00667955,0.00819014,0.0110962,0.0167675,0.0284075,0.0530988", \ - "0.00544551,0.00677583,0.00827750,0.0111628,0.0168179,0.0284285,0.0531112", \ - "0.00570307,0.00700771,0.00848922,0.0113335,0.0169483,0.0285182,0.0531305", \ - "0.00619219,0.00744829,0.00886881,0.0116285,0.0171531,0.0286321,0.0531925"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00392290,0.00582156,0.00807320,0.0124780,0.0214948,0.0403038,0.0786669", \ - "0.00392352,0.00582067,0.00807220,0.0124741,0.0214950,0.0403040,0.0786604", \ - "0.00392318,0.00582313,0.00807380,0.0124784,0.0214955,0.0403078,0.0786640", \ - "0.00391790,0.00582082,0.00807369,0.0124787,0.0214965,0.0403031,0.0786576", \ - "0.00391695,0.00581916,0.00806943,0.0124731,0.0214901,0.0402961,0.0786607", \ - "0.00391074,0.00581369,0.00806698,0.0124729,0.0214968,0.0403046,0.0786428", \ - "0.00390225,0.00580834,0.00806356,0.0124744,0.0214999,0.0403092,0.0786826"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.661303,5.841254,5.911125,6.015148,6.125052,6.152473,6.140448", \ - "5.612225,5.850383,5.884113,5.989214,6.104886,6.124841,6.110297", \ - "5.676299,5.860049,5.886499,5.981490,6.097142,6.128383,6.105942", \ - "6.135745,6.258815,6.290736,6.352480,6.431563,6.427831,6.383120", \ - "7.016896,7.172518,7.189827,7.234168,7.264761,7.222265,7.153748", \ - "8.538842,8.727263,8.694121,8.610462,8.597923,8.573228,8.448128", \ - "10.741800,10.850110,10.786650,10.632300,10.507250,10.425790,10.305580"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.611598,3.837664,3.964425,4.148058,4.186290,3.970697,4.105018", \ - "3.520910,3.809616,3.949521,4.150860,4.054578,3.964852,3.448022", \ - "3.627821,3.850965,3.978747,4.173379,4.004924,3.935864,3.790875", \ - "4.079995,4.364112,4.466965,4.482905,4.524203,3.942696,4.166080", \ - "5.336743,5.461384,5.621551,5.558434,5.472406,5.253322,5.087156", \ - "7.101726,7.075130,7.302931,7.244231,6.873286,6.355462,6.166897", \ - "9.230110,9.275943,9.294238,9.422968,9.201459,8.724444,8.479227"); - } - } - - internal_power () { - - related_pin : "G"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("7.348560,7.507530,7.618568,7.704169,7.833750,7.860328,7.838590", \ - "7.269939,7.533944,7.596464,7.695853,7.807011,7.841599,7.826891", \ - "7.592235,7.753137,7.802942,7.922196,8.038739,8.072451,8.062083", \ - "8.388737,8.614344,8.697531,8.792436,8.897707,8.936232,8.915700", \ - "10.180190,10.422160,10.472680,10.553150,10.640030,10.656370,10.639570", \ - "12.607500,12.849420,13.021340,13.183690,13.349510,13.351970,13.303900", \ - "15.352370,15.739680,15.942190,16.234090,16.686150,17.005480,16.991870"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.617116,6.848376,7.105940,7.190239,7.172975,7.082192,6.957602", \ - "6.603644,6.837550,7.079606,7.132914,7.116548,7.031693,6.947187", \ - "6.847735,7.032650,7.243873,7.301874,7.384469,7.390901,7.323953", \ - "7.621085,7.907946,8.167142,8.146264,8.095194,8.171727,8.124261", \ - "9.363662,9.575567,9.776732,9.902112,9.788064,9.698283,9.721307", \ - "11.444270,11.893880,12.238840,12.364110,12.437080,12.426040,12.043530", \ - "13.864670,14.339080,14.813430,15.248150,15.677150,15.857090,15.715930"); - } - } - } - - } - - - /****************************************************************************************** - Module : DLH_X2 - Cell Description : High enable Latch with drive strength X2 - *******************************************************************************************/ - - cell (DLH_X2) { - - drive_strength : 2; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "G"; - } - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 204.471521; - - leakage_power () { - when : "!D & !G & !Q"; - value : 209.502500; - } - leakage_power () { - when : "!D & !G & Q"; - value : 171.733750; - } - leakage_power () { - when : "!D & G & !Q"; - value : 210.032125; - } - leakage_power () { - when : "D & !G & !Q"; - value : 254.264125; - } - leakage_power () { - when : "D & !G & Q"; - value : 179.581875; - } - leakage_power () { - when : "D & G & Q"; - value : 201.714750; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.186143; - fall_capacitance : 1.143054; - rise_capacitance : 1.186143; - - timing () { - - related_pin : "G"; - timing_type : hold_falling; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002413,0.014677,0.037603", \ - "0.009364,0.021647,0.045788", \ - "0.117890,0.130793,0.155234"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002793,0.013244,0.031055", \ - "0.008327,0.017853,0.033368", \ - "0.072534,0.080299,0.088646"); - } - } - - timing () { - - related_pin : "G"; - timing_type : setup_falling; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.032443,0.027338,0.037577", \ - "0.042486,0.035500,0.035682", \ - "0.074172,0.066408,0.058065"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.019013,0.006192,-0.015877", \ - "0.024128,0.011846,-0.012292", \ - "0.028814,0.015913,-0.008525"); - } - } - - internal_power () { - - when : "!G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.975091,0.944900,0.926727,0.921226,0.915200,0.916086,0.911372"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.828903,-0.834779,-0.834950,-0.836356,-0.838131,-0.838660,-0.838912"); - } - - } - - internal_power () { - - when : "!G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.853659,0.865598,0.866020,0.866698,0.866244,0.866787,0.866219"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.533838,-0.633262,-0.698574,-0.724859,-0.738529,-0.746784,-0.752206"); - } - - } - } - - pin (G) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.999757; - fall_capacitance : 0.923185; - rise_capacitance : 0.999757; - - timing () { - - related_pin : "G"; - timing_type : min_pulse_width; - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.025045,0.033183,0.146386"); - } - } - - internal_power () { - - when : "!D & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.833375,3.826645,4.116951,4.990861,6.559547,9.004844,12.392610"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.086637,3.068363,3.302926,4.124484,5.766455,8.292925,11.752680"); - } - - } - - internal_power () { - - when : "D & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.897188,3.888286,4.187933,5.060378,6.641942,9.103089,12.506240"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.791176,2.773216,3.009933,3.838221,5.492041,8.019468,11.475150"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.697000; - function : "IQ"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0297107,0.0331537,0.0362895,0.0416392,0.0507707,0.0668008,0.0967221", \ - "0.0306278,0.0340716,0.0372077,0.0425572,0.0516893,0.0677196,0.0976414", \ - "0.0332442,0.0366857,0.0398197,0.0451650,0.0542964,0.0703273,0.100254", \ - "0.0388831,0.0423062,0.0454150,0.0507305,0.0598257,0.0758289,0.105731", \ - "0.0471585,0.0507105,0.0539388,0.0593990,0.0686572,0.0847591,0.114648", \ - "0.0579689,0.0616568,0.0650060,0.0706451,0.0801240,0.0965039,0.126524", \ - "0.0710041,0.0748582,0.0783676,0.0842557,0.0940527,0.110807,0.141050"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0222150,0.0255684,0.0288395,0.0347259,0.0454991,0.0661351,0.107117", \ - "0.0226884,0.0260423,0.0293131,0.0351993,0.0459716,0.0666169,0.107601", \ - "0.0242538,0.0275946,0.0308571,0.0367302,0.0474858,0.0681151,0.109084", \ - "0.0270418,0.0304029,0.0336692,0.0395440,0.0502660,0.0708261,0.111742", \ - "0.0297811,0.0332275,0.0365404,0.0424628,0.0531806,0.0736504,0.114448", \ - "0.0312700,0.0349176,0.0383514,0.0444412,0.0553059,0.0757519,0.116399", \ - "0.0309995,0.0348887,0.0385054,0.0448613,0.0560232,0.0766678,0.117318"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.00621989,0.00765740,0.00912014,0.0119528,0.0175731,0.0290744,0.0534570", \ - "0.00621940,0.00765952,0.00912136,0.0119531,0.0175744,0.0290717,0.0534590", \ - "0.00622085,0.00766233,0.00912509,0.0119574,0.0175749,0.0290798,0.0534579", \ - "0.00649745,0.00787645,0.00930772,0.0120871,0.0176630,0.0291201,0.0534705", \ - "0.00739217,0.00878929,0.0101976,0.0128779,0.0182607,0.0294440,0.0535595", \ - "0.00850912,0.00989841,0.0112898,0.0139029,0.0191764,0.0301640,0.0538699", \ - "0.00999357,0.0113782,0.0127578,0.0153113,0.0204462,0.0311711,0.0544147"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.00433901,0.00638042,0.00865193,0.0130458,0.0219699,0.0406057,0.0787910", \ - "0.00434052,0.00638171,0.00864933,0.0130461,0.0219673,0.0406008,0.0788039", \ - "0.00434460,0.00638617,0.00865591,0.0130516,0.0219798,0.0405991,0.0788121", \ - "0.00464808,0.00662376,0.00886690,0.0132291,0.0220685,0.0406238,0.0788143", \ - "0.00544192,0.00725090,0.00941994,0.0136993,0.0223759,0.0407817,0.0788488", \ - "0.00674044,0.00837548,0.0104230,0.0145815,0.0230382,0.0410910,0.0789400", \ - "0.00833790,0.00986680,0.0117965,0.0158496,0.0241184,0.0418675,0.0793213"); - } - } - - timing () { - - related_pin : "G"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0320640,0.0355143,0.0386516,0.0440078,0.0531446,0.0691728,0.0990931", \ - "0.0328101,0.0362591,0.0393996,0.0447562,0.0538906,0.0699206,0.0998439", \ - "0.0351353,0.0385831,0.0417205,0.0470741,0.0562105,0.0722407,0.102160", \ - "0.0371020,0.0405454,0.0436842,0.0490351,0.0581653,0.0741931,0.104115", \ - "0.0382413,0.0416850,0.0448155,0.0501560,0.0592769,0.0753007,0.105218", \ - "0.0381215,0.0415667,0.0446946,0.0500329,0.0591583,0.0751896,0.105092", \ - "0.0363465,0.0398059,0.0429520,0.0482944,0.0574229,0.0734435,0.103345"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0323232,0.0356724,0.0389380,0.0448077,0.0555641,0.0761868,0.117167", \ - "0.0330876,0.0364387,0.0397043,0.0455754,0.0563305,0.0769559,0.117933", \ - "0.0357401,0.0390899,0.0423552,0.0482277,0.0589843,0.0796061,0.120580", \ - "0.0379866,0.0413307,0.0445936,0.0504664,0.0612249,0.0818459,0.122823", \ - "0.0390959,0.0424426,0.0457076,0.0515762,0.0623337,0.0829568,0.123941", \ - "0.0386567,0.0419983,0.0452600,0.0511245,0.0618840,0.0825086,0.123476", \ - "0.0364639,0.0398012,0.0430606,0.0489283,0.0596837,0.0803059,0.121292"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.00620730,0.00764549,0.00910858,0.0119381,0.0175632,0.0290679,0.0534592", \ - "0.00620566,0.00764186,0.00910746,0.0119400,0.0175641,0.0290716,0.0534578", \ - "0.00620690,0.00764082,0.00910509,0.0119380,0.0175627,0.0290698,0.0534604", \ - "0.00622397,0.00765771,0.00912412,0.0119574,0.0175739,0.0290735,0.0534640", \ - "0.00631503,0.00774483,0.00919775,0.0120174,0.0176218,0.0290904,0.0534697", \ - "0.00655384,0.00796860,0.00940499,0.0121897,0.0177475,0.0291813,0.0534839", \ - "0.00706239,0.00839822,0.00978138,0.0124870,0.0179631,0.0293066,0.0535583"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.00434363,0.00638404,0.00864792,0.0130473,0.0219715,0.0405998,0.0787940", \ - "0.00434400,0.00638398,0.00864640,0.0130443,0.0219712,0.0406035,0.0787914", \ - "0.00434158,0.00638357,0.00864473,0.0130464,0.0219690,0.0405952,0.0787920", \ - "0.00433635,0.00637934,0.00864754,0.0130448,0.0219709,0.0405970,0.0787885", \ - "0.00433630,0.00637627,0.00864388,0.0130447,0.0219581,0.0405979,0.0788109", \ - "0.00432296,0.00636839,0.00863371,0.0130396,0.0219700,0.0405957,0.0787579", \ - "0.00431193,0.00636389,0.00863136,0.0130377,0.0219686,0.0406024,0.0787972"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("9.949228,9.950752,9.789230,9.567631,9.564119,9.518274,9.434179", \ - "9.985244,9.919020,9.758824,9.557187,9.515626,9.488729,9.408351", \ - "9.950556,9.935649,9.753677,9.543972,9.516582,9.478950,9.386054", \ - "10.642480,10.588650,10.351480,10.062410,9.956329,9.868731,9.757065", \ - "12.225990,12.025460,11.812180,11.436520,11.169140,10.941090,10.763830", \ - "14.489010,14.408030,14.082940,13.495800,13.094000,12.821590,12.491410", \ - "17.946340,17.750980,17.359000,16.585060,15.907020,15.426740,15.024460"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("6.628083,6.808306,7.002100,6.958506,6.995446,6.723270,5.907551", \ - "6.656768,6.706281,6.721796,6.970554,6.435815,6.753386,6.280819", \ - "6.718692,6.850504,6.771817,7.010104,6.715319,6.442004,6.932344", \ - "7.583124,7.583597,7.500557,7.518771,6.947008,6.614868,7.036431", \ - "9.559038,9.244797,9.419892,9.153890,8.535290,7.386263,7.903808", \ - "12.475740,12.185680,12.021020,11.591360,11.194850,10.254940,9.817551", \ - "16.270810,15.633170,15.225830,15.116050,14.458700,13.330080,12.947800"); - } - } - - internal_power () { - - related_pin : "G"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("11.263150,11.201380,11.000710,10.831900,10.811330,10.795710,10.718520", \ - "11.245170,11.177570,11.005460,10.829920,10.788970,10.771480,10.697770", \ - "11.364180,11.445990,11.268680,11.061050,11.042560,11.013320,10.934330", \ - "12.311310,12.270850,12.130780,11.923630,11.899500,11.860250,11.783850", \ - "14.221670,14.139480,13.907890,13.707740,13.634780,13.587100,13.492410", \ - "16.767870,16.837790,16.669990,16.418960,16.401100,16.308530,16.183660", \ - "19.976790,20.047720,19.875560,19.770900,19.919080,20.063240,19.917710"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("9.717257,9.815227,9.988166,9.908766,9.734659,9.560282,9.756576", \ - "9.593590,9.717681,9.891636,9.808586,9.950291,9.500773,9.597937", \ - "9.934787,10.026550,10.194490,10.211130,10.039320,10.063890,9.887127", \ - "10.707780,10.837260,10.736800,10.980100,10.805190,10.944730,10.556980", \ - "12.437970,12.552180,12.475280,12.691930,12.688570,12.586340,12.596990", \ - "14.602040,14.813670,15.100410,15.209730,15.061960,14.894250,14.475520", \ - "16.881640,17.408660,17.816290,18.121170,18.561050,18.270620,17.868110"); - } - } - } - - } - - - /****************************************************************************************** - Module : DLL_X1 - Cell Description : Low enable Latch with drive strength X1 - *******************************************************************************************/ - - cell (DLL_X1) { - - drive_strength : 1; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "!GN"; - } - - area : 2.660000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 144.198354; - - leakage_power () { - when : "!D & !GN & !Q"; - value : 139.981250; - } - leakage_power () { - when : "!D & GN & !Q"; - value : 139.306875; - } - leakage_power () { - when : "!D & GN & Q"; - value : 128.670625; - } - leakage_power () { - when : "D & !GN & Q"; - value : 149.360375; - } - leakage_power () { - when : "D & GN & !Q"; - value : 173.037125; - } - leakage_power () { - when : "D & GN & Q"; - value : 134.833875; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.906704; - fall_capacitance : 0.862783; - rise_capacitance : 0.906704; - - timing () { - - related_pin : "GN"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.001844,0.001573,-0.006947", \ - "0.003551,0.003522,-0.014174", \ - "0.112954,0.114065,0.082050"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.000011,0.003979,-0.000541", \ - "0.006684,0.011266,0.007221", \ - "0.083024,0.088973,0.086133"); - } - } - - timing () { - - related_pin : "GN"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.024202,0.018757,0.022970", \ - "0.034225,0.029049,0.032567", \ - "0.063681,0.057734,0.060578"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.024812,0.028870,0.073627", \ - "0.029941,0.029971,0.060603", \ - "0.033751,0.032641,0.064661"); - } - } - - internal_power () { - - when : "GN & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.725118,0.701742,0.688976,0.683764,0.680805,0.679463,0.677519"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.615068,-0.620143,-0.621486,-0.622769,-0.623586,-0.624101,-0.624485"); - } - - } - - internal_power () { - - when : "GN & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.632735,0.643159,0.644170,0.644840,0.644128,0.644545,0.643714"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.396696,-0.472305,-0.521784,-0.540978,-0.550948,-0.556730,-0.560184"); - } - - } - } - - pin (GN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 1.000000; - fall_capacitance : 0.922271; - rise_capacitance : 1.000000; - - timing () { - - related_pin : "GN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.024435,0.038397,0.146386"); - } - } - - internal_power () { - - when : "!D & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.365753,4.360202,4.675262,5.554780,7.154251,9.629262,13.042900"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.302561,2.284416,2.529731,3.382577,5.051320,7.580961,11.032460"); - } - - } - - internal_power () { - - when : "D & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.163299,4.158545,4.475961,5.362270,6.965886,9.446901,12.867850"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.369907,2.354722,2.596602,3.442690,5.109583,7.643751,11.099680"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "IQ"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0266706,0.0295188,0.0324961,0.0376176,0.0464202,0.0620648,0.0917509", \ - "0.0275791,0.0304275,0.0334049,0.0385270,0.0473286,0.0629728,0.0926596", \ - "0.0302413,0.0330900,0.0360599,0.0411758,0.0499768,0.0656237,0.0953071", \ - "0.0357941,0.0386625,0.0416342,0.0467414,0.0555275,0.0711559,0.100818", \ - "0.0438472,0.0468380,0.0499349,0.0552116,0.0641963,0.0799723,0.109635", \ - "0.0543683,0.0575039,0.0607417,0.0662147,0.0754508,0.0914914,0.121274", \ - "0.0669736,0.0702967,0.0737181,0.0794666,0.0890628,0.105520,0.135513"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0200571,0.0228935,0.0260290,0.0317149,0.0422993,0.0628330,0.103740", \ - "0.0205503,0.0233878,0.0265237,0.0322085,0.0427919,0.0633263,0.104232", \ - "0.0221194,0.0249476,0.0280693,0.0337355,0.0442991,0.0648214,0.105732", \ - "0.0246202,0.0274724,0.0306159,0.0362911,0.0468268,0.0672969,0.108138", \ - "0.0267236,0.0296710,0.0328896,0.0386392,0.0491729,0.0695483,0.110297", \ - "0.0273614,0.0304968,0.0338754,0.0398414,0.0505406,0.0709239,0.111546", \ - "0.0261364,0.0295021,0.0330828,0.0393595,0.0503749,0.0709849,0.111689"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00544930,0.00671297,0.00819456,0.0110556,0.0166926,0.0283282,0.0529790", \ - "0.00544586,0.00671652,0.00819893,0.0110585,0.0166923,0.0283243,0.0529773", \ - "0.00544899,0.00672189,0.00819923,0.0110631,0.0166972,0.0283269,0.0529878", \ - "0.00584905,0.00706753,0.00847478,0.0112597,0.0168145,0.0283788,0.0529950", \ - "0.00664458,0.00788631,0.00929090,0.0120069,0.0174223,0.0287067,0.0530678", \ - "0.00769073,0.00894549,0.0103323,0.0129799,0.0182754,0.0293436,0.0533503", \ - "0.00907051,0.0103474,0.0117395,0.0143282,0.0195005,0.0303040,0.0538281"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00415777,0.00602720,0.00824900,0.0126347,0.0216711,0.0404284,0.0786266", \ - "0.00415898,0.00602690,0.00825158,0.0126357,0.0216702,0.0404321,0.0786035", \ - "0.00417068,0.00603932,0.00826410,0.0126492,0.0216669,0.0404301,0.0786031", \ - "0.00447838,0.00630797,0.00850989,0.0128409,0.0217749,0.0404637,0.0786064", \ - "0.00522306,0.00693859,0.00908351,0.0133043,0.0220601,0.0405683,0.0786337", \ - "0.00636789,0.00798004,0.0100651,0.0141813,0.0227000,0.0409186,0.0787495", \ - "0.00776109,0.00931288,0.0113405,0.0154007,0.0237216,0.0417008,0.0791853"); - } - } - - timing () { - - related_pin : "GN"; - timing_type : falling_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0362175,0.0390716,0.0420431,0.0471607,0.0559608,0.0716047,0.101286", \ - "0.0371866,0.0400412,0.0430120,0.0481292,0.0569290,0.0725731,0.102254", \ - "0.0412616,0.0441171,0.0470882,0.0522054,0.0610068,0.0766504,0.106329", \ - "0.0469597,0.0498077,0.0527815,0.0578985,0.0667005,0.0823438,0.112024", \ - "0.0538949,0.0567380,0.0597131,0.0648293,0.0736249,0.0892706,0.118959", \ - "0.0620796,0.0649011,0.0678642,0.0729714,0.0817773,0.0974276,0.127112", \ - "0.0713929,0.0741954,0.0771489,0.0822517,0.0910523,0.106712,0.136406"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0261366,0.0289652,0.0320969,0.0377705,0.0483430,0.0688665,0.109765", \ - "0.0271105,0.0299394,0.0330711,0.0387440,0.0493165,0.0698417,0.110737", \ - "0.0311625,0.0339928,0.0371218,0.0427906,0.0533594,0.0738798,0.114775", \ - "0.0373234,0.0401430,0.0432626,0.0489130,0.0594560,0.0799512,0.120830", \ - "0.0448146,0.0476275,0.0507352,0.0563646,0.0668730,0.0873298,0.128181", \ - "0.0536031,0.0564268,0.0595325,0.0651472,0.0756186,0.0960143,0.136788", \ - "0.0633805,0.0662598,0.0693895,0.0750055,0.0854393,0.105767,0.146489"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00544440,0.00670601,0.00818248,0.0110543,0.0166907,0.0283224,0.0529779", \ - "0.00544508,0.00670456,0.00818240,0.0110543,0.0166936,0.0283218,0.0529759", \ - "0.00543776,0.00670789,0.00818228,0.0110533,0.0166904,0.0283213,0.0529817", \ - "0.00541691,0.00669367,0.00817981,0.0110444,0.0166896,0.0283198,0.0529888", \ - "0.00539458,0.00666315,0.00815619,0.0110330,0.0166723,0.0283192,0.0529779", \ - "0.00532007,0.00659995,0.00810373,0.0110026,0.0166696,0.0283173,0.0529697", \ - "0.00525032,0.00654579,0.00806457,0.0109794,0.0166563,0.0283178,0.0529996"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00421445,0.00607042,0.00828081,0.0126520,0.0216721,0.0404241,0.0785986", \ - "0.00421436,0.00606862,0.00828052,0.0126525,0.0216717,0.0404224,0.0785994", \ - "0.00422367,0.00607474,0.00828579,0.0126584,0.0216743,0.0404213,0.0785952", \ - "0.00428496,0.00612163,0.00833050,0.0126947,0.0216880,0.0404242,0.0785961", \ - "0.00442935,0.00622792,0.00841232,0.0127521,0.0217085,0.0404396,0.0786063", \ - "0.00473304,0.00644975,0.00858711,0.0128773,0.0217912,0.0404568,0.0785727", \ - "0.00523848,0.00684035,0.00889875,0.0130825,0.0219082,0.0405067,0.0785906"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.735847,5.843464,5.870099,5.967775,6.071084,6.086391,6.074599", \ - "5.710327,5.819032,5.875589,5.945233,6.043042,6.062556,6.047787", \ - "5.644608,5.827781,5.861157,5.938337,6.039594,6.048086,6.033594", \ - "6.182443,6.263345,6.275705,6.308056,6.372760,6.353228,6.323929", \ - "7.100433,7.175847,7.184524,7.194031,7.225233,7.162983,7.089078", \ - "8.609859,8.694601,8.645467,8.572242,8.547474,8.509445,8.395365", \ - "10.788840,10.810470,10.752420,10.591790,10.478680,10.376110,10.270170"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.737528,4.029492,4.214435,4.334123,4.233796,4.341449,4.087166", \ - "3.673810,3.955086,4.114973,4.176133,4.224002,4.332347,3.798017", \ - "3.788341,3.994949,4.149520,4.362524,4.357392,4.148164,4.137218", \ - "4.266084,4.532786,4.654745,4.676949,4.676312,4.319562,4.532363", \ - "5.487030,5.679809,5.812154,5.768345,5.609071,5.101734,5.386916", \ - "7.221079,7.303841,7.431952,7.334720,7.147651,6.726966,6.673291", \ - "9.352538,9.361413,9.365231,9.540827,9.204826,9.021045,8.062743"); - } - } - - internal_power () { - - related_pin : "GN"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.794878,9.008450,9.051381,9.111457,9.219572,9.234861,9.219560", \ - "8.781885,8.955795,9.038570,9.114939,9.205413,9.222716,9.202064", \ - "9.169489,9.301076,9.356037,9.424096,9.519532,9.539644,9.518733", \ - "10.013550,10.174200,10.223150,10.292140,10.390040,10.417360,10.403730", \ - "11.469000,11.704880,11.778320,11.882280,11.999230,12.019730,12.000500", \ - "13.696930,13.962470,14.082380,14.212610,14.388400,14.491310,14.481430", \ - "16.568040,16.843880,17.045980,17.291770,17.604150,17.792670,17.874910"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.049015,8.341979,8.546585,8.679591,8.579626,8.591621,8.485335", \ - "8.032379,8.327737,8.529088,8.627301,8.648646,8.502707,8.395766", \ - "8.370028,8.658210,8.872023,8.954229,8.991501,8.931401,8.579977", \ - "9.348055,9.662611,9.844143,9.894229,9.919601,9.861277,9.505403", \ - "10.972600,11.274980,11.535860,11.592830,11.600360,11.547110,11.179510", \ - "13.341100,13.779640,14.071840,14.180880,14.167970,14.001270,14.141640", \ - "16.420860,16.858290,17.290200,17.499650,17.745210,17.690400,17.315750"); - } - } - } - - } - - - /****************************************************************************************** - Module : DLL_X2 - Cell Description : Low enable Latch with drive strength X2 - *******************************************************************************************/ - - cell (DLL_X2) { - - drive_strength : 2; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "!GN"; - } - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 204.469521; - - leakage_power () { - when : "!D & !GN & !Q"; - value : 210.036250; - } - leakage_power () { - when : "!D & GN & !Q"; - value : 209.500875; - } - leakage_power () { - when : "!D & GN & Q"; - value : 171.714625; - } - leakage_power () { - when : "D & !GN & Q"; - value : 201.725125; - } - leakage_power () { - when : "D & GN & !Q"; - value : 254.265000; - } - leakage_power () { - when : "D & GN & Q"; - value : 179.575250; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.159037; - fall_capacitance : 1.107915; - rise_capacitance : 1.159037; - - timing () { - - related_pin : "GN"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.001830,0.001584,-0.008156", \ - "0.001103,0.000758,-0.015374", \ - "0.108325,0.109418,0.080794"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.000306,0.004296,0.000402", \ - "0.007329,0.011909,0.007874", \ - "0.079939,0.085565,0.082993"); - } - } - - timing () { - - related_pin : "GN"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.026339,0.020902,0.024835", \ - "0.036672,0.031199,0.034747", \ - "0.066767,0.061142,0.063719"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.027560,0.030709,0.076735", \ - "0.032389,0.032735,0.064964", \ - "0.038379,0.037288,0.065917"); - } - } - - internal_power () { - - when : "GN & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.977002,0.943625,0.926411,0.921056,0.914911,0.913060,0.911174"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.830615,-0.835456,-0.835338,-0.836924,-0.837942,-0.838994,-0.839121"); - } - - } - - internal_power () { - - when : "GN & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.853802,0.864761,0.865812,0.866588,0.866126,0.866543,0.866053"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.534343,-0.633886,-0.699094,-0.725158,-0.738896,-0.746929,-0.752529"); - } - - } - } - - pin (GN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.995201; - fall_capacitance : 0.919458; - rise_capacitance : 0.995201; - - timing () { - - related_pin : "GN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.026266,0.039930,0.146386"); - } - } - - internal_power () { - - when : "!D & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.575803,4.574475,4.889097,5.767621,7.367868,9.841655,13.254410"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.174656,2.160549,2.405932,3.252322,4.918013,7.443881,10.887650"); - } - - } - - internal_power () { - - when : "D & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.298794,4.297959,4.616223,5.505478,7.111752,9.592970,13.015590"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.263894,2.251119,2.495038,3.333281,4.994811,7.523002,10.978200"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQ"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0288224,0.0322119,0.0353011,0.0405820,0.0496090,0.0655145,0.0953534", \ - "0.0297330,0.0331246,0.0362154,0.0414949,0.0505224,0.0664266,0.0962591", \ - "0.0323664,0.0357555,0.0388438,0.0441207,0.0531480,0.0690523,0.0988896", \ - "0.0380157,0.0413880,0.0444576,0.0496979,0.0586924,0.0745710,0.104384", \ - "0.0462438,0.0497496,0.0529347,0.0583288,0.0674853,0.0834712,0.113264", \ - "0.0570013,0.0606391,0.0639499,0.0695196,0.0789007,0.0951541,0.125069", \ - "0.0699460,0.0737527,0.0772233,0.0830459,0.0927478,0.109378,0.139502"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0216358,0.0249537,0.0281944,0.0340351,0.0447572,0.0653528,0.106298", \ - "0.0221124,0.0254305,0.0286713,0.0345106,0.0452321,0.0658332,0.106769", \ - "0.0236516,0.0269549,0.0301861,0.0360127,0.0467171,0.0673020,0.108232", \ - "0.0263645,0.0296864,0.0329246,0.0387505,0.0494246,0.0699420,0.110816", \ - "0.0289864,0.0323973,0.0356811,0.0415583,0.0522205,0.0726462,0.113398", \ - "0.0303432,0.0339475,0.0373553,0.0434026,0.0542069,0.0746111,0.115216", \ - "0.0299343,0.0337832,0.0373705,0.0436800,0.0547801,0.0753842,0.116000"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00608600,0.00750163,0.00894590,0.0117626,0.0173648,0.0288934,0.0533449", \ - "0.00608251,0.00750105,0.00894645,0.0117598,0.0173661,0.0288930,0.0533552", \ - "0.00608106,0.00750218,0.00894657,0.0117615,0.0173676,0.0288929,0.0533524", \ - "0.00639821,0.00775003,0.00915284,0.0119082,0.0174640,0.0289390,0.0533569", \ - "0.00729307,0.00865890,0.0100498,0.0127082,0.0180708,0.0292634,0.0534406", \ - "0.00842373,0.00978024,0.0111500,0.0137331,0.0189862,0.0299618,0.0537501", \ - "0.00992215,0.0112746,0.0126334,0.0151542,0.0202550,0.0309766,0.0542745"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00426587,0.00629680,0.00855599,0.0129436,0.0218805,0.0405393,0.0786965", \ - "0.00426520,0.00629477,0.00855654,0.0129452,0.0218810,0.0405512,0.0787042", \ - "0.00427341,0.00630323,0.00856293,0.0129533,0.0218842,0.0405422,0.0787000", \ - "0.00458714,0.00655326,0.00878471,0.0131357,0.0219876,0.0405787,0.0786955", \ - "0.00540469,0.00719554,0.00934831,0.0136093,0.0222995,0.0406954,0.0787693", \ - "0.00671392,0.00833072,0.0103583,0.0145007,0.0229518,0.0410335,0.0788592", \ - "0.00832335,0.00983204,0.0117398,0.0157717,0.0240304,0.0418233,0.0792471"); - } - } - - timing () { - - related_pin : "GN"; - timing_type : falling_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0382542,0.0416448,0.0447322,0.0500108,0.0590348,0.0749400,0.104771", \ - "0.0392328,0.0426236,0.0457120,0.0509895,0.0600140,0.0759171,0.105751", \ - "0.0433184,0.0467095,0.0497977,0.0550735,0.0641011,0.0800064,0.109837", \ - "0.0490556,0.0524452,0.0555375,0.0608150,0.0698449,0.0857484,0.115582", \ - "0.0560431,0.0594303,0.0625150,0.0677938,0.0768156,0.0927226,0.122561", \ - "0.0642693,0.0676346,0.0707109,0.0759805,0.0850055,0.100916,0.130757", \ - "0.0736477,0.0769807,0.0800419,0.0853025,0.0943234,0.110233,0.140089"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0278575,0.0311643,0.0343976,0.0402231,0.0509313,0.0715156,0.112438", \ - "0.0288409,0.0321471,0.0353801,0.0412054,0.0519142,0.0724989,0.113421", \ - "0.0329003,0.0362038,0.0394362,0.0452583,0.0559633,0.0765447,0.117467", \ - "0.0391322,0.0424299,0.0456502,0.0514532,0.0621329,0.0826894,0.123592", \ - "0.0467528,0.0500361,0.0532418,0.0590229,0.0696668,0.0901856,0.131057", \ - "0.0557698,0.0590527,0.0622465,0.0680031,0.0786038,0.0990559,0.139855", \ - "0.0658525,0.0691778,0.0723733,0.0781163,0.0886692,0.109041,0.149767"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00607497,0.00748916,0.00893546,0.0117527,0.0173633,0.0288875,0.0533520", \ - "0.00607778,0.00748672,0.00893457,0.0117532,0.0173633,0.0288891,0.0533462", \ - "0.00607294,0.00748800,0.00893423,0.0117528,0.0173595,0.0288887,0.0533433", \ - "0.00606175,0.00747997,0.00892902,0.0117483,0.0173580,0.0288894,0.0533424", \ - "0.00603656,0.00745207,0.00890882,0.0117302,0.0173433,0.0288852,0.0533479", \ - "0.00597410,0.00739384,0.00886415,0.0117028,0.0173370,0.0288801,0.0533472", \ - "0.00590162,0.00732548,0.00880954,0.0116708,0.0173197,0.0288755,0.0533657"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00431529,0.00633124,0.00857746,0.0129630,0.0218897,0.0405339,0.0786998", \ - "0.00431576,0.00632909,0.00857526,0.0129602,0.0218879,0.0405308,0.0787085", \ - "0.00432017,0.00633409,0.00857618,0.0129621,0.0218904,0.0405329,0.0787107", \ - "0.00438535,0.00637875,0.00861346,0.0129920,0.0219038,0.0405362,0.0787099", \ - "0.00452700,0.00646715,0.00868979,0.0130515,0.0219232,0.0405473,0.0787046", \ - "0.00485265,0.00668156,0.00885327,0.0131685,0.0220097,0.0405526,0.0786729", \ - "0.00538779,0.00706839,0.00914867,0.0133771,0.0221315,0.0406176,0.0786832"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("9.730792,9.638218,9.422148,9.237661,9.204302,9.148238,9.088821", \ - "9.688697,9.617731,9.388346,9.195834,9.179638,9.112332,9.043311", \ - "9.697398,9.589349,9.388920,9.183258,9.162692,9.097459,9.042316", \ - "10.423370,10.225860,10.031780,9.734577,9.605810,9.499015,9.410916", \ - "11.961860,11.747330,11.464760,11.090530,10.830620,10.613740,10.408130", \ - "14.256510,14.124780,13.771940,13.144410,12.761260,12.491560,12.176600", \ - "17.749420,17.472240,17.077960,16.309330,15.584580,15.095080,14.719410"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.524968,6.537706,6.898173,6.825008,6.935430,6.409983,6.153744", \ - "6.474884,6.518558,6.864431,6.811558,6.401652,6.008231,6.516340", \ - "6.651610,6.631291,6.927733,6.861791,6.646991,5.657684,5.559214", \ - "7.452251,7.393636,7.584842,7.555436,6.889090,7.152156,6.996194", \ - "9.601511,9.262206,9.338048,9.083476,8.478005,7.972137,8.236400", \ - "12.425100,12.108870,11.906020,11.746360,10.867020,10.624450,10.199880", \ - "16.231780,15.533900,15.197500,14.798370,14.365940,13.718150,11.753050"); - } - } - - internal_power () { - - related_pin : "GN"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("12.489640,12.508180,12.300730,12.112700,12.069120,12.037980,11.946960", \ - "12.590250,12.500790,12.295560,12.097580,12.058630,12.019920,11.954450", \ - "12.885680,12.820180,12.622060,12.420480,12.386830,12.346280,12.266930", \ - "13.680580,13.640410,13.502890,13.297700,13.266900,13.215990,13.147490", \ - "15.194120,15.252170,15.065840,14.889560,14.853170,14.826170,14.756120", \ - "17.471410,17.517350,17.333190,17.214590,17.262160,17.296280,17.231220", \ - "20.341280,20.430330,20.337340,20.321570,20.481540,20.591020,20.623470"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("11.158350,11.215900,11.415750,11.354580,11.318870,10.906020,11.245370", \ - "11.144680,11.113960,11.412990,11.190540,11.393650,11.263390,11.304120", \ - "11.367780,11.576060,11.617650,11.560050,11.598470,11.427710,11.468070", \ - "12.509300,12.535590,12.608860,12.507750,12.682520,12.566970,12.597190", \ - "14.290730,14.377150,14.581710,14.536170,14.372630,14.083960,13.601650", \ - "16.947750,17.030640,17.161380,17.121080,17.142240,16.814310,16.279090", \ - "20.502290,20.517050,20.655660,20.716960,20.662350,20.747220,20.132400"); - } - } - } - - } - - - /****************************************************************************************** - Module : FA_X1 - Cell Description : Combinational cell (FA_X1) with drive strength X1 - *******************************************************************************************/ - - cell (FA_X1) { - - drive_strength : 1; - - area : 4.256000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 248.528703; - - leakage_power () { - when : "!A & !B & !CI"; - value : 168.077500; - } - leakage_power () { - when : "!A & !B & CI"; - value : 210.028250; - } - leakage_power () { - when : "!A & B & !CI"; - value : 242.994000; - } - leakage_power () { - when : "!A & B & CI"; - value : 247.233000; - } - leakage_power () { - when : "A & !B & !CI"; - value : 227.726250; - } - leakage_power () { - when : "A & !B & CI"; - value : 277.633500; - } - leakage_power () { - when : "A & B & !CI"; - value : 309.569750; - } - leakage_power () { - when : "A & B & CI"; - value : 304.967375; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.787538; - fall_capacitance : 3.654026; - rise_capacitance : 3.787538; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.496156; - fall_capacitance : 3.444368; - rise_capacitance : 3.496156; - } - - pin (CI) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.773485; - fall_capacitance : 2.709544; - rise_capacitance : 2.773485; - } - - pin (CO) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.119600; - function : "((A & B) | (CI & (A | B)))"; - - timing () { - - related_pin : "A"; - when : "!B & CI"; - sdf_cond : "(B == 1'b0) && (CI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.034127,0.037341,0.040672,0.046340,0.055994,0.072780,0.103173", \ - "0.034538,0.037746,0.041071,0.046733,0.056376,0.073148,0.103531", \ - "0.037390,0.040597,0.043915,0.049567,0.059196,0.075949,0.106307", \ - "0.045022,0.048190,0.051471,0.057077,0.066665,0.083391,0.113729", \ - "0.056285,0.059604,0.063015,0.068754,0.078435,0.095178,0.125464", \ - "0.069434,0.072965,0.076592,0.082614,0.092602,0.109673,0.140139", \ - "0.085047,0.088774,0.092627,0.098980,0.109357,0.126793,0.157453"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.022995,0.026071,0.029466,0.035556,0.046583,0.067488,0.108443", \ - "0.023722,0.026798,0.030193,0.036283,0.047311,0.068218,0.109175", \ - "0.025457,0.028533,0.031923,0.038004,0.049022,0.069924,0.110883", \ - "0.027953,0.031078,0.034516,0.040676,0.051720,0.072580,0.113485", \ - "0.030146,0.033293,0.036773,0.043017,0.054178,0.075151,0.115979", \ - "0.030537,0.033904,0.037512,0.043974,0.055443,0.076591,0.117497", \ - "0.028461,0.032105,0.035956,0.042709,0.054636,0.076266,0.117527"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.006809,0.008208,0.009770,0.012748,0.018583,0.030063,0.053745", \ - "0.006787,0.008187,0.009746,0.012722,0.018554,0.030039,0.053730", \ - "0.006777,0.008176,0.009736,0.012710,0.018534,0.030008,0.053692", \ - "0.006823,0.008236,0.009802,0.012774,0.018584,0.030034,0.053692", \ - "0.008148,0.009476,0.010918,0.013675,0.019187,0.030341,0.053786", \ - "0.009586,0.010954,0.012411,0.015052,0.020359,0.031246,0.054184", \ - "0.011194,0.012617,0.014112,0.016716,0.021794,0.032273,0.054821"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.004818,0.006756,0.009078,0.013515,0.022416,0.040882,0.078493", \ - "0.004814,0.006753,0.009076,0.013512,0.022414,0.040882,0.078493", \ - "0.004789,0.006738,0.009067,0.013508,0.022413,0.040881,0.078492", \ - "0.005001,0.007010,0.009374,0.013806,0.022576,0.040921,0.078496", \ - "0.005629,0.007523,0.009889,0.014349,0.023099,0.041275,0.078543", \ - "0.006882,0.008627,0.010892,0.015358,0.024028,0.041883,0.078905", \ - "0.008416,0.010137,0.012308,0.016722,0.025394,0.043114,0.079683"); - } - } - - timing () { - - related_pin : "A"; - when : "B & !CI"; - sdf_cond : "(B == 1'b1) && (CI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.031780,0.035073,0.038551,0.044502,0.054598,0.071983,0.102882", \ - "0.032611,0.035900,0.039377,0.045326,0.055421,0.072806,0.103706", \ - "0.035313,0.038586,0.042049,0.047979,0.058064,0.075446,0.106346", \ - "0.040906,0.044108,0.047501,0.053360,0.063394,0.080752,0.111644", \ - "0.048447,0.051683,0.055090,0.061026,0.071329,0.088980,0.119967", \ - "0.058108,0.061500,0.065037,0.071057,0.081464,0.099535,0.131072", \ - "0.069392,0.072988,0.076742,0.083083,0.093787,0.112380,0.144445"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.026391,0.029434,0.032790,0.038824,0.049807,0.070686,0.111720", \ - "0.026992,0.030030,0.033381,0.039407,0.050378,0.071249,0.112285", \ - "0.029611,0.032645,0.035988,0.041992,0.052924,0.073753,0.114768", \ - "0.035093,0.038118,0.041436,0.047381,0.058206,0.078896,0.119789", \ - "0.040151,0.043316,0.046713,0.052735,0.063569,0.084176,0.124873", \ - "0.044081,0.047487,0.051084,0.057341,0.068277,0.088751,0.129331", \ - "0.046752,0.050436,0.054297,0.060942,0.072258,0.092789,0.133132"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.006566,0.008198,0.009977,0.013199,0.019278,0.030874,0.054243", \ - "0.006555,0.008188,0.009968,0.013192,0.019274,0.030872,0.054241", \ - "0.006509,0.008137,0.009917,0.013153,0.019250,0.030859,0.054235", \ - "0.006627,0.008188,0.009942,0.013179,0.019280,0.030882,0.054246", \ - "0.007349,0.008812,0.010537,0.013876,0.020093,0.031431,0.054436", \ - "0.008492,0.009934,0.011550,0.014671,0.020911,0.032505,0.055210", \ - "0.010024,0.011519,0.013159,0.016170,0.022237,0.033848,0.056255"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.004894,0.006811,0.009123,0.013562,0.022472,0.040974,0.078667", \ - "0.004877,0.006793,0.009103,0.013537,0.022447,0.040962,0.078667", \ - "0.004857,0.006772,0.009076,0.013499,0.022393,0.040916,0.078640", \ - "0.005168,0.007003,0.009264,0.013629,0.022452,0.040914,0.078615", \ - "0.006215,0.007872,0.010001,0.014220,0.022867,0.041106,0.078641", \ - "0.007602,0.009179,0.011207,0.015230,0.023463,0.041416,0.078849", \ - "0.009319,0.010858,0.012837,0.016782,0.024633,0.041988,0.079125"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & CI"; - sdf_cond : "(A == 1'b0) && (CI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.034852,0.038064,0.041390,0.047054,0.056705,0.073484,0.103870", \ - "0.035691,0.038898,0.042221,0.047879,0.057519,0.074282,0.104651", \ - "0.038325,0.041532,0.044852,0.050505,0.060141,0.076897,0.107257", \ - "0.044012,0.047185,0.050472,0.056091,0.065696,0.082436,0.112785", \ - "0.052653,0.055949,0.059352,0.065104,0.074833,0.091634,0.121967", \ - "0.063764,0.067203,0.070746,0.076700,0.086685,0.103809,0.134318", \ - "0.077264,0.080866,0.084579,0.090779,0.101090,0.118610,0.149407"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.025134,0.028145,0.031465,0.037434,0.048318,0.069156,0.110151", \ - "0.025773,0.028784,0.032104,0.038073,0.048958,0.069795,0.110788", \ - "0.027544,0.030553,0.033869,0.039829,0.050703,0.071534,0.112524", \ - "0.030570,0.033595,0.036921,0.042883,0.053724,0.074491,0.115423", \ - "0.033567,0.036680,0.040071,0.046112,0.056987,0.077703,0.118510", \ - "0.035322,0.038638,0.042184,0.048439,0.059499,0.080213,0.120889", \ - "0.035243,0.038817,0.042592,0.049180,0.060613,0.081568,0.122216"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.006803,0.008196,0.009759,0.012738,0.018575,0.030052,0.053738", \ - "0.006788,0.008179,0.009741,0.012717,0.018549,0.030024,0.053715", \ - "0.006779,0.008176,0.009736,0.012713,0.018542,0.030011,0.053699", \ - "0.006855,0.008253,0.009806,0.012774,0.018588,0.030034,0.053703", \ - "0.007711,0.009087,0.010605,0.013456,0.019086,0.030297,0.053781", \ - "0.008681,0.010092,0.011614,0.014437,0.020000,0.031043,0.054110", \ - "0.009957,0.011397,0.012930,0.015724,0.021188,0.032045,0.054693"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.004718,0.006638,0.008934,0.013341,0.022268,0.040874,0.078555", \ - "0.004719,0.006639,0.008933,0.013342,0.022267,0.040874,0.078555", \ - "0.004718,0.006640,0.008936,0.013345,0.022270,0.040875,0.078556", \ - "0.004941,0.006833,0.009118,0.013494,0.022354,0.040894,0.078553", \ - "0.005600,0.007401,0.009641,0.013958,0.022685,0.041046,0.078581", \ - "0.006721,0.008429,0.010599,0.014837,0.023343,0.041368,0.078707", \ - "0.008133,0.009801,0.011920,0.016116,0.024454,0.042117,0.079022"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !CI"; - sdf_cond : "(A == 1'b1) && (CI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.034410,0.037575,0.040862,0.046475,0.056085,0.072879,0.103310", \ - "0.035355,0.038521,0.041806,0.047420,0.057030,0.073824,0.104259", \ - "0.038274,0.041436,0.044720,0.050332,0.059940,0.076735,0.107171", \ - "0.043821,0.046947,0.050201,0.055778,0.065357,0.082136,0.112561", \ - "0.052056,0.055314,0.058695,0.064425,0.074157,0.091029,0.121450", \ - "0.062904,0.066309,0.069833,0.075773,0.085787,0.103022,0.133652", \ - "0.076238,0.079816,0.083516,0.089719,0.100089,0.117764,0.148716"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.026107,0.029149,0.032504,0.038537,0.049517,0.070397,0.111426", \ - "0.026513,0.029550,0.032899,0.038919,0.049884,0.070751,0.111780", \ - "0.028136,0.031170,0.034511,0.040516,0.051455,0.072293,0.113303", \ - "0.031196,0.034240,0.037585,0.043582,0.054476,0.075246,0.116196", \ - "0.034350,0.037467,0.040862,0.046920,0.057828,0.078529,0.119353", \ - "0.036302,0.039602,0.043135,0.049380,0.060434,0.081109,0.121810", \ - "0.036440,0.039986,0.043731,0.050251,0.061633,0.082522,0.123196"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.006531,0.007937,0.009508,0.012521,0.018433,0.030046,0.053729", \ - "0.006535,0.007936,0.009509,0.012522,0.018434,0.030047,0.053730", \ - "0.006537,0.007936,0.009511,0.012524,0.018435,0.030048,0.053730", \ - "0.006637,0.008031,0.009598,0.012597,0.018490,0.030075,0.053738", \ - "0.007520,0.008909,0.010437,0.013335,0.019049,0.030376,0.053829", \ - "0.008517,0.009931,0.011473,0.014348,0.020012,0.031186,0.054192", \ - "0.009824,0.011266,0.012830,0.015682,0.021267,0.032254,0.054819"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.004892,0.006808,0.009120,0.013558,0.022469,0.040972,0.078663", \ - "0.004871,0.006786,0.009093,0.013527,0.022440,0.040955,0.078658", \ - "0.004862,0.006776,0.009079,0.013506,0.022410,0.040924,0.078639", \ - "0.005052,0.006937,0.009227,0.013621,0.022467,0.040932,0.078633", \ - "0.005648,0.007449,0.009696,0.014035,0.022754,0.041066,0.078661", \ - "0.006720,0.008412,0.010581,0.014836,0.023347,0.041364,0.078792", \ - "0.008091,0.009730,0.011833,0.016032,0.024372,0.042074,0.079111"); - } - } - - timing () { - - related_pin : "CI"; - when : "!A & B"; - sdf_cond : "(A == 1'b0) && (B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.028451,0.031845,0.035449,0.041551,0.051744,0.069179,0.100086", \ - "0.029071,0.032462,0.036067,0.042168,0.052362,0.069796,0.100703", \ - "0.032285,0.035672,0.039271,0.045364,0.055554,0.072989,0.103897", \ - "0.040040,0.043356,0.046896,0.052932,0.063074,0.080482,0.111371", \ - "0.050197,0.053756,0.057509,0.063791,0.074134,0.091635,0.122510", \ - "0.061939,0.065746,0.069771,0.076449,0.087229,0.105169,0.136323", \ - "0.075455,0.079524,0.083856,0.091045,0.102428,0.120977,0.152525"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.023464,0.026474,0.029795,0.035765,0.046651,0.067489,0.108482", \ - "0.024199,0.027210,0.030531,0.036500,0.047386,0.068224,0.109218", \ - "0.026952,0.029962,0.033279,0.039238,0.050108,0.070936,0.111925", \ - "0.032168,0.035175,0.038476,0.044392,0.055159,0.075849,0.116717", \ - "0.036488,0.039661,0.043058,0.049060,0.059853,0.080467,0.121118", \ - "0.039262,0.042703,0.046332,0.052643,0.063670,0.084257,0.124777", \ - "0.040080,0.043823,0.047744,0.054509,0.066067,0.086955,0.127499"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.006770,0.008526,0.010366,0.013539,0.019484,0.030971,0.054271", \ - "0.006770,0.008525,0.010365,0.013540,0.019485,0.030971,0.054272", \ - "0.006769,0.008524,0.010366,0.013543,0.019488,0.030971,0.054271", \ - "0.007029,0.008724,0.010546,0.013705,0.019610,0.031042,0.054298", \ - "0.008626,0.010311,0.012043,0.014968,0.020489,0.031515,0.054468", \ - "0.010389,0.012152,0.013933,0.016789,0.022029,0.032692,0.055042", \ - "0.012556,0.014415,0.016280,0.019166,0.024150,0.034283,0.055992"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.004717,0.006637,0.008933,0.013339,0.022268,0.040873,0.078554", \ - "0.004717,0.006637,0.008932,0.013338,0.022267,0.040872,0.078554", \ - "0.004715,0.006636,0.008933,0.013342,0.022269,0.040873,0.078553", \ - "0.005131,0.006954,0.009205,0.013543,0.022368,0.040893,0.078551", \ - "0.006245,0.007893,0.010002,0.014182,0.022821,0.041092,0.078564", \ - "0.007783,0.009365,0.011392,0.015423,0.023678,0.041526,0.078760", \ - "0.009681,0.011239,0.013241,0.017253,0.025219,0.042552,0.079287"); - } - } - - timing () { - - related_pin : "CI"; - when : "A & !B"; - sdf_cond : "(A == 1'b1) && (B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.030608,0.033774,0.037060,0.042676,0.052286,0.069080,0.099511", \ - "0.031250,0.034413,0.037699,0.043315,0.052925,0.069719,0.100151", \ - "0.034396,0.037558,0.040841,0.046450,0.056057,0.072851,0.103286", \ - "0.042065,0.045173,0.048408,0.053965,0.063525,0.080290,0.110704", \ - "0.052535,0.055829,0.059225,0.064943,0.074641,0.091461,0.121825", \ - "0.064482,0.068010,0.071630,0.077655,0.087706,0.104872,0.135431", \ - "0.078200,0.081956,0.085851,0.092310,0.102868,0.120553,0.151381"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.021731,0.024812,0.028207,0.034298,0.045321,0.066217,0.107162", \ - "0.022457,0.025537,0.028932,0.035022,0.046045,0.066941,0.107889", \ - "0.025267,0.028342,0.031726,0.037795,0.048793,0.069671,0.110607", \ - "0.030253,0.033331,0.036700,0.042743,0.053648,0.074378,0.115176", \ - "0.034261,0.037530,0.041023,0.047181,0.058146,0.078822,0.119397", \ - "0.036746,0.040302,0.044050,0.050546,0.061830,0.082527,0.122971", \ - "0.037312,0.041191,0.045243,0.052205,0.064096,0.085177,0.125677"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.006533,0.007933,0.009506,0.012519,0.018432,0.030043,0.053727", \ - "0.006531,0.007932,0.009505,0.012518,0.018431,0.030043,0.053727", \ - "0.006526,0.007933,0.009507,0.012521,0.018433,0.030044,0.053727", \ - "0.006630,0.008034,0.009610,0.012616,0.018506,0.030085,0.053740", \ - "0.008058,0.009383,0.010834,0.013617,0.019216,0.030447,0.053848", \ - "0.009606,0.010977,0.012439,0.015120,0.020485,0.031409,0.054287", \ - "0.011464,0.012905,0.014443,0.017131,0.022290,0.032734,0.055029"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.004923,0.006815,0.009119,0.013542,0.022429,0.040882,0.078493", \ - "0.004923,0.006815,0.009120,0.013541,0.022428,0.040882,0.078491", \ - "0.004922,0.006816,0.009125,0.013550,0.022437,0.040883,0.078489", \ - "0.005503,0.007264,0.009513,0.013852,0.022595,0.040921,0.078490", \ - "0.006736,0.008356,0.010459,0.014629,0.023152,0.041181,0.078514", \ - "0.008360,0.009962,0.012010,0.016063,0.024195,0.041719,0.078744", \ - "0.010317,0.011944,0.013984,0.018054,0.025967,0.042906,0.079342"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & CI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("7.607682,7.423719,7.232203,7.058884,6.921935,6.801616,6.712348", \ - "7.597559,7.396657,7.216603,7.044552,6.893921,6.732938,6.546926", \ - "7.607286,7.397336,7.217173,7.007899,6.828468,6.763775,6.613363", \ - "7.888334,7.656948,7.464013,7.232020,7.112951,6.878211,6.860683", \ - "8.729568,8.464992,8.246524,7.951293,7.708819,7.499376,7.310930", \ - "9.771585,9.520047,9.287363,8.965854,8.662688,8.378726,8.201271", \ - "11.157600,10.897040,10.608950,10.195890,9.881433,9.601798,9.222611"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.522061,3.451507,3.389866,3.373332,3.382613,3.376552,3.337648", \ - "3.498323,3.422805,3.371863,3.357681,3.347636,3.366555,3.329821", \ - "3.475473,3.402943,3.360406,3.327203,3.322975,3.322789,3.300930", \ - "3.709810,3.616983,3.545931,3.492834,3.464329,3.449103,3.432418", \ - "4.398380,4.243314,4.114641,4.012397,3.932460,3.839626,3.794193", \ - "5.576921,5.373897,5.209244,5.062517,4.877204,4.698462,4.486561", \ - "6.922321,6.689892,6.475377,6.314216,6.157801,5.944982,5.683834"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("7.578591,7.391542,7.241302,7.050634,6.951353,6.751387,6.739237", \ - "7.533129,7.363774,7.206226,7.046008,6.898242,6.722252,6.620869", \ - "7.503580,7.321855,7.157697,6.975370,6.839398,6.765903,6.632081", \ - "7.620516,7.448956,7.295508,7.090055,6.953866,6.869360,6.626818", \ - "8.178936,7.980421,7.798635,7.531130,7.349421,7.175215,7.051489", \ - "8.866444,8.672252,8.478064,8.280448,8.072429,7.849877,7.657907", \ - "10.037090,9.796586,9.574487,9.267945,9.047827,8.887565,8.738443"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.894349,3.865415,3.820602,3.803454,3.824014,3.835858,3.763918", \ - "3.891598,3.840014,3.806720,3.777528,3.782486,3.843691,3.841427", \ - "3.861884,3.819979,3.795652,3.763865,3.782344,3.766591,3.779608", \ - "4.206192,4.147049,4.097486,4.036359,3.993023,3.992251,3.976388", \ - "5.006177,4.876551,4.782055,4.680143,4.578635,4.516267,4.435395", \ - "6.357766,6.146545,5.981754,5.808456,5.603682,5.443699,5.232455", \ - "8.071094,7.815945,7.597328,7.348451,7.101224,6.803148,6.415830"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & CI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("7.756232,7.543153,7.371729,7.160329,7.014121,6.905668,6.772559", \ - "7.715833,7.510604,7.344008,7.156037,7.013944,6.836664,6.670005", \ - "7.695067,7.493413,7.322393,7.096394,6.975251,6.826822,6.693697", \ - "7.877106,7.658067,7.467958,7.243441,7.115092,6.992490,6.768222", \ - "8.456413,8.231608,8.030142,7.757096,7.543013,7.398974,7.240161", \ - "9.222752,8.982285,8.791838,8.536547,8.314399,8.007056,7.858316", \ - "10.355700,10.109600,9.874296,9.530057,9.272594,9.047595,8.809429"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("4.002400,3.915583,3.872570,3.837330,3.826129,3.804239,3.745818", \ - "3.941767,3.868738,3.826152,3.786970,3.767522,3.738844,3.785884", \ - "3.920894,3.842561,3.785837,3.747496,3.747429,3.689291,3.707831", \ - "4.096734,4.010244,3.934347,3.887086,3.829841,3.772921,3.818635", \ - "4.717401,4.563166,4.442318,4.354820,4.275864,4.171180,4.149801", \ - "5.828007,5.625808,5.490350,5.321206,5.136310,4.934226,4.820234", \ - "7.242066,7.002493,6.807176,6.619328,6.407584,6.118189,5.883866"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("7.982245,7.798150,7.641520,7.484017,7.319096,7.237427,7.124792", \ - "7.966960,7.771791,7.611383,7.407211,7.239876,7.182340,7.003081", \ - "7.945094,7.737479,7.595504,7.392142,7.268605,7.044669,7.030231", \ - "8.075300,7.870929,7.713073,7.510680,7.347106,7.227871,7.173370", \ - "8.610440,8.388301,8.201737,7.942842,7.759231,7.580722,7.435363", \ - "9.364874,9.154286,8.960516,8.722044,8.466669,8.233613,8.101061", \ - "10.495160,10.247310,10.002630,9.688462,9.398959,9.167750,8.873211"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.857277,3.817960,3.778603,3.761211,3.772392,3.796036,3.790420", \ - "3.800051,3.755226,3.731425,3.697324,3.735417,3.732218,3.763698", \ - "3.781356,3.741658,3.696435,3.678010,3.685843,3.695774,3.714236", \ - "3.995694,3.924608,3.881300,3.842274,3.822170,3.814820,3.839452", \ - "4.644443,4.531705,4.429498,4.340583,4.286727,4.254717,4.131378", \ - "5.781260,5.607248,5.476371,5.330710,5.192005,5.027039,4.922120", \ - "7.189721,6.979941,6.820619,6.634226,6.467740,6.256097,5.938687"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "!A & B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("7.108348,6.912711,6.755165,6.564162,6.368302,6.292244,6.228971", \ - "7.067331,6.894584,6.738390,6.557976,6.416177,6.284109,6.098942", \ - "7.121789,6.938234,6.743396,6.576448,6.438096,6.294012,6.127334", \ - "7.429830,7.242183,7.067756,6.847501,6.700279,6.495366,6.269834", \ - "8.296603,8.050390,7.821682,7.564094,7.280963,7.044136,6.891711", \ - "9.384278,9.146545,8.911690,8.556587,8.224409,7.935762,7.600417", \ - "10.957220,10.652320,10.399280,9.959530,9.563209,9.247108,8.920620"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("4.465092,4.398892,4.348301,4.315729,4.302707,4.263279,4.247422", \ - "4.481131,4.399536,4.343714,4.316356,4.293333,4.273912,4.238008", \ - "4.515833,4.438891,4.380025,4.356237,4.336744,4.287728,4.293985", \ - "4.886790,4.788093,4.704605,4.641894,4.578521,4.477517,4.427592", \ - "5.619440,5.454961,5.332944,5.231779,5.108724,5.028592,4.898259", \ - "6.856387,6.621677,6.455788,6.292581,6.116393,5.900229,5.679591", \ - "8.483436,8.197012,7.967320,7.750679,7.549875,7.326673,7.037191"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("7.228344,7.037720,6.892769,6.734890,6.589689,6.460864,6.296614", \ - "7.221514,7.026757,6.881532,6.690291,6.552527,6.449057,6.302248", \ - "7.263671,7.063798,6.894648,6.706770,6.574430,6.452532,6.341314", \ - "7.542550,7.340117,7.174984,6.960848,6.786837,6.701946,6.527745", \ - "8.357605,8.109382,7.880082,7.618108,7.362471,7.099649,7.003773", \ - "9.338552,9.098563,8.864528,8.590225,8.272292,7.996367,7.817858", \ - "10.758040,10.492580,10.230440,9.812866,9.465433,9.177028,8.755732"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("4.022602,3.951479,3.887766,3.862328,3.855983,3.832516,3.737345", \ - "4.026967,3.947750,3.890657,3.872728,3.866676,3.848987,3.843323", \ - "4.070146,3.993986,3.936618,3.908118,3.877505,3.857417,3.841035", \ - "4.515923,4.401118,4.295858,4.224742,4.138805,4.093929,4.007502", \ - "5.368227,5.176461,5.036314,4.899834,4.778589,4.691021,4.518728", \ - "6.782954,6.512958,6.314694,6.122750,5.897483,5.686343,5.464418", \ - "8.497335,8.198560,7.942675,7.687870,7.442076,7.175004,6.756704"); - } - } - } - - pin (S) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "(CI ^ (A ^ B))"; - - timing () { - - related_pin : "A"; - when : "!B & !CI"; - sdf_cond : "(B == 1'b0) && (CI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.044234,0.047648,0.051143,0.057013,0.066943,0.084082,0.114924", \ - "0.044997,0.048412,0.051907,0.057779,0.067709,0.084848,0.115689", \ - "0.047112,0.050526,0.054020,0.059889,0.069818,0.086958,0.117800", \ - "0.050585,0.053981,0.057459,0.063311,0.073221,0.090352,0.121186", \ - "0.057096,0.060527,0.064062,0.069981,0.079946,0.097105,0.127944", \ - "0.067322,0.070861,0.074494,0.080560,0.090712,0.108120,0.139137", \ - "0.080999,0.084677,0.088446,0.094720,0.105129,0.122863,0.154185"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.022537,0.025514,0.028774,0.034624,0.045344,0.065968,0.106902", \ - "0.023274,0.026252,0.029511,0.035360,0.046079,0.066702,0.107638", \ - "0.025170,0.028145,0.031399,0.037238,0.047946,0.068562,0.109493", \ - "0.027890,0.030876,0.034133,0.039964,0.050625,0.071172,0.112047", \ - "0.029968,0.033055,0.036382,0.042276,0.052932,0.073391,0.114150", \ - "0.030143,0.033448,0.036943,0.043052,0.053849,0.074286,0.114925", \ - "0.027953,0.031523,0.035246,0.041696,0.052815,0.073426,0.114088"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.007853,0.009261,0.010790,0.013687,0.019452,0.030899,0.054507", \ - "0.007857,0.009263,0.010791,0.013689,0.019453,0.030897,0.054506", \ - "0.007853,0.009261,0.010790,0.013687,0.019453,0.030897,0.054506", \ - "0.007852,0.009267,0.010803,0.013706,0.019471,0.030911,0.054512", \ - "0.008481,0.009867,0.011368,0.014190,0.019816,0.031115,0.054599", \ - "0.009260,0.010663,0.012174,0.014973,0.020556,0.031746,0.054926", \ - "0.010412,0.011818,0.013330,0.016094,0.021584,0.032651,0.055548"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004268,0.006153,0.008413,0.012780,0.021694,0.040318,0.078361", \ - "0.004273,0.006155,0.008415,0.012781,0.021694,0.040317,0.078357", \ - "0.004272,0.006155,0.008415,0.012783,0.021699,0.040320,0.078357", \ - "0.004499,0.006345,0.008587,0.012917,0.021764,0.040345,0.078368", \ - "0.005235,0.006960,0.009135,0.013363,0.022047,0.040471,0.078408", \ - "0.006434,0.008047,0.010139,0.014247,0.022669,0.040802,0.078561", \ - "0.007910,0.009463,0.011494,0.015538,0.023708,0.041533,0.078962"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & CI"; - sdf_cond : "(B == 1'b0) && (CI == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.046720,0.049441,0.052252,0.057172,0.065906,0.081645,0.111393", \ - "0.047488,0.050209,0.053021,0.057939,0.066674,0.082414,0.112163", \ - "0.049151,0.051869,0.054681,0.059600,0.068333,0.084072,0.113819", \ - "0.051735,0.054429,0.057224,0.062127,0.070847,0.086576,0.116314", \ - "0.054251,0.056879,0.059622,0.064477,0.073148,0.088840,0.118560", \ - "0.055410,0.057922,0.060576,0.065341,0.073949,0.089597,0.119245", \ - "0.054326,0.056715,0.059251,0.063874,0.072366,0.087925,0.117535"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.056916,0.059519,0.062318,0.067512,0.077595,0.097691,0.138244", \ - "0.057405,0.060007,0.062806,0.067998,0.078077,0.098169,0.138720", \ - "0.060257,0.062856,0.065652,0.070843,0.080919,0.101010,0.141560", \ - "0.067761,0.070354,0.073147,0.078335,0.088405,0.108489,0.149036", \ - "0.079911,0.082445,0.085180,0.090289,0.100262,0.120249,0.160718", \ - "0.094627,0.097118,0.099775,0.104737,0.114539,0.134368,0.174726", \ - "0.111891,0.114358,0.116952,0.121782,0.131418,0.151073,0.191281"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005631,0.006934,0.008411,0.011277,0.016966,0.028542,0.052959", \ - "0.005631,0.006934,0.008410,0.011279,0.016966,0.028544,0.052961", \ - "0.005632,0.006935,0.008412,0.011280,0.016967,0.028545,0.052961", \ - "0.005670,0.006968,0.008443,0.011300,0.016981,0.028551,0.052963", \ - "0.005747,0.007040,0.008500,0.011340,0.017000,0.028568,0.052971", \ - "0.005928,0.007211,0.008654,0.011460,0.017086,0.028597,0.052947", \ - "0.006139,0.007414,0.008819,0.011566,0.017143,0.028635,0.052976"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004928,0.006659,0.008839,0.013058,0.021759,0.040218,0.078214", \ - "0.004956,0.006671,0.008848,0.013062,0.021761,0.040218,0.078215", \ - "0.004968,0.006673,0.008849,0.013063,0.021760,0.040218,0.078217", \ - "0.004978,0.006684,0.008857,0.013068,0.021764,0.040220,0.078216", \ - "0.005079,0.006768,0.008932,0.013126,0.021796,0.040230,0.078219", \ - "0.005286,0.006956,0.009095,0.013242,0.021851,0.040253,0.078227", \ - "0.005550,0.007199,0.009318,0.013412,0.021944,0.040276,0.078225"); - } - } - - timing () { - - related_pin : "A"; - when : "B & !CI"; - sdf_cond : "(B == 1'b1) && (CI == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.047742,0.050622,0.053690,0.059038,0.068338,0.084696,0.114839", \ - "0.048379,0.051298,0.054419,0.059828,0.069163,0.085540,0.115688", \ - "0.050945,0.053880,0.057025,0.062465,0.071819,0.088205,0.118354", \ - "0.056271,0.059177,0.062308,0.067740,0.077087,0.093464,0.123605", \ - "0.062012,0.064767,0.067803,0.073150,0.082431,0.098764,0.128874", \ - "0.067092,0.069705,0.072615,0.077836,0.087017,0.103273,0.133307", \ - "0.071156,0.073660,0.076443,0.081506,0.090547,0.106716,0.136688"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.057482,0.060067,0.062843,0.067926,0.077870,0.097929,0.138517", \ - "0.058323,0.060907,0.063684,0.068768,0.078712,0.098772,0.139360", \ - "0.060962,0.063545,0.066321,0.071405,0.081352,0.101414,0.142004", \ - "0.066289,0.068860,0.071632,0.076712,0.086653,0.106710,0.147300", \ - "0.074433,0.076976,0.079711,0.084731,0.094590,0.114565,0.155093", \ - "0.084881,0.087392,0.090085,0.095034,0.104797,0.124671,0.165136", \ - "0.097525,0.100020,0.102658,0.107508,0.117135,0.136871,0.177209"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005808,0.007430,0.009137,0.012161,0.017921,0.029383,0.053352", \ - "0.005875,0.007550,0.009284,0.012288,0.017997,0.029419,0.053366", \ - "0.005900,0.007597,0.009351,0.012350,0.018032,0.029437,0.053372", \ - "0.005926,0.007629,0.009385,0.012378,0.018050,0.029446,0.053375", \ - "0.006010,0.007708,0.009455,0.012431,0.018086,0.029470,0.053383", \ - "0.006185,0.007878,0.009607,0.012548,0.018154,0.029486,0.053371", \ - "0.006490,0.008173,0.009865,0.012738,0.018277,0.029563,0.053385"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004686,0.006420,0.008587,0.012825,0.021627,0.040228,0.078273", \ - "0.004683,0.006419,0.008586,0.012824,0.021627,0.040228,0.078273", \ - "0.004680,0.006416,0.008584,0.012824,0.021626,0.040229,0.078272", \ - "0.004689,0.006423,0.008588,0.012827,0.021628,0.040229,0.078274", \ - "0.004814,0.006531,0.008681,0.012895,0.021663,0.040243,0.078276", \ - "0.004935,0.006637,0.008778,0.012963,0.021695,0.040257,0.078291", \ - "0.005127,0.006813,0.008942,0.013093,0.021772,0.040285,0.078289"); - } - } - - timing () { - - related_pin : "A"; - when : "B & CI"; - sdf_cond : "(B == 1'b1) && (CI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.032050,0.035070,0.038153,0.043412,0.052452,0.068415,0.098306", \ - "0.033080,0.036099,0.039184,0.044443,0.053483,0.069447,0.099337", \ - "0.036154,0.039174,0.042255,0.047512,0.056551,0.072516,0.102408", \ - "0.041263,0.044260,0.047320,0.052550,0.061565,0.077515,0.107397", \ - "0.048554,0.051698,0.054895,0.060282,0.069468,0.085536,0.115414", \ - "0.058375,0.061677,0.065023,0.070617,0.080065,0.096446,0.126492", \ - "0.070544,0.074024,0.077555,0.083421,0.093215,0.109998,0.140305"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.030069,0.033259,0.036743,0.042986,0.054179,0.075087,0.116066", \ - "0.030470,0.033660,0.037143,0.043387,0.054579,0.075487,0.116463", \ - "0.031068,0.034258,0.037741,0.043982,0.055170,0.076073,0.117052", \ - "0.032164,0.035365,0.038852,0.045094,0.056273,0.077153,0.118104", \ - "0.033798,0.037041,0.040560,0.046852,0.058070,0.078947,0.119840", \ - "0.035026,0.038398,0.042005,0.048434,0.059825,0.080787,0.121635", \ - "0.034926,0.038497,0.042255,0.048892,0.060587,0.081910,0.122943"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005768,0.007034,0.008481,0.011344,0.017048,0.028632,0.053029", \ - "0.005773,0.007036,0.008482,0.011345,0.017050,0.028633,0.053030", \ - "0.005777,0.007042,0.008488,0.011352,0.017054,0.028635,0.053031", \ - "0.005952,0.007187,0.008607,0.011442,0.017116,0.028668,0.053039", \ - "0.006819,0.008067,0.009453,0.012184,0.017695,0.028978,0.053125", \ - "0.007865,0.009132,0.010515,0.013191,0.018609,0.029701,0.053449", \ - "0.009216,0.010507,0.011895,0.014519,0.019837,0.030710,0.054000"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005227,0.007114,0.009466,0.013946,0.022733,0.040951,0.078712", \ - "0.005227,0.007116,0.009466,0.013945,0.022731,0.040948,0.078720", \ - "0.005229,0.007117,0.009468,0.013948,0.022734,0.040949,0.078711", \ - "0.005362,0.007230,0.009571,0.014039,0.022797,0.040974,0.078711", \ - "0.005765,0.007587,0.009905,0.014363,0.023068,0.041137,0.078766", \ - "0.006627,0.008352,0.010611,0.015061,0.023704,0.041546,0.078963", \ - "0.007884,0.009511,0.011668,0.016086,0.024766,0.042506,0.079479"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !CI"; - sdf_cond : "(A == 1'b0) && (CI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.042326,0.045740,0.049233,0.055103,0.065031,0.082170,0.113011", \ - "0.042879,0.046293,0.049788,0.055659,0.065588,0.082727,0.113567", \ - "0.045194,0.048604,0.052100,0.057969,0.067896,0.085036,0.115877", \ - "0.050879,0.054271,0.057740,0.063580,0.073482,0.090612,0.121445", \ - "0.060881,0.064319,0.067854,0.073771,0.083714,0.100846,0.131663", \ - "0.074227,0.077780,0.081419,0.087486,0.097616,0.114992,0.145987", \ - "0.090958,0.094639,0.098411,0.104670,0.115008,0.132607,0.163819"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020992,0.023917,0.027115,0.032863,0.043466,0.064001,0.104889", \ - "0.021831,0.024757,0.027954,0.033703,0.044305,0.064840,0.105727", \ - "0.023739,0.026658,0.029847,0.035580,0.046168,0.066694,0.107576", \ - "0.026235,0.029180,0.032388,0.038132,0.048692,0.069153,0.109982", \ - "0.027677,0.030740,0.034041,0.039880,0.050454,0.070843,0.111561", \ - "0.026749,0.030037,0.033522,0.039614,0.050364,0.070751,0.111355", \ - "0.022963,0.026508,0.030224,0.036679,0.047775,0.068350,0.109014"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.007855,0.009259,0.010786,0.013685,0.019451,0.030896,0.054503", \ - "0.007854,0.009262,0.010789,0.013687,0.019452,0.030896,0.054503", \ - "0.007853,0.009261,0.010790,0.013688,0.019453,0.030896,0.054502", \ - "0.007861,0.009276,0.010816,0.013720,0.019481,0.030917,0.054511", \ - "0.008602,0.009981,0.011474,0.014263,0.019846,0.031125,0.054597", \ - "0.009470,0.010865,0.012352,0.015104,0.020620,0.031788,0.054935", \ - "0.010616,0.012005,0.013492,0.016187,0.021560,0.032540,0.055519"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003984,0.005876,0.008115,0.012469,0.021428,0.040148,0.078260", \ - "0.003989,0.005880,0.008117,0.012471,0.021430,0.040154,0.078270", \ - "0.003995,0.005886,0.008123,0.012478,0.021432,0.040153,0.078254", \ - "0.004297,0.006146,0.008367,0.012676,0.021536,0.040173,0.078261", \ - "0.005098,0.006830,0.008988,0.013178,0.021846,0.040323,0.078303", \ - "0.006308,0.007943,0.010040,0.014121,0.022510,0.040647,0.078455", \ - "0.007754,0.009344,0.011396,0.015439,0.023560,0.041389,0.078849"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & CI"; - sdf_cond : "(A == 1'b0) && (CI == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.050807,0.053608,0.056479,0.061435,0.070193,0.085967,0.115748", \ - "0.051498,0.054300,0.057171,0.062128,0.070886,0.086659,0.116439", \ - "0.053168,0.055968,0.058837,0.063790,0.072546,0.088321,0.118101", \ - "0.055620,0.058396,0.061247,0.066191,0.074945,0.090715,0.120493", \ - "0.058928,0.061601,0.064381,0.069253,0.077938,0.093663,0.123423", \ - "0.061256,0.063806,0.066490,0.071272,0.079891,0.095556,0.125248", \ - "0.061989,0.064423,0.066997,0.071658,0.080168,0.095757,0.125405"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.057486,0.060087,0.062886,0.068083,0.078171,0.098272,0.138830", \ - "0.058294,0.060895,0.063694,0.068892,0.078982,0.099085,0.139644", \ - "0.060895,0.063493,0.066291,0.071490,0.081580,0.101684,0.142245", \ - "0.066439,0.069028,0.071816,0.077010,0.087096,0.107199,0.147761", \ - "0.075732,0.078296,0.081060,0.086208,0.096232,0.116268,0.156776", \ - "0.087933,0.090481,0.093202,0.098275,0.108186,0.128097,0.168527", \ - "0.102675,0.105209,0.107889,0.112870,0.122672,0.142463,0.182755"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005920,0.007202,0.008654,0.011491,0.017145,0.028680,0.053048", \ - "0.005919,0.007201,0.008654,0.011491,0.017144,0.028680,0.053049", \ - "0.005923,0.007203,0.008658,0.011494,0.017146,0.028680,0.053049", \ - "0.005953,0.007233,0.008681,0.011508,0.017155,0.028686,0.053052", \ - "0.005831,0.007113,0.008572,0.011411,0.017081,0.028651,0.053044", \ - "0.005852,0.007142,0.008604,0.011439,0.017094,0.028631,0.053025", \ - "0.005997,0.007278,0.008714,0.011509,0.017131,0.028655,0.053017"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004901,0.006649,0.008835,0.013052,0.021758,0.040219,0.078219", \ - "0.004897,0.006645,0.008832,0.013051,0.021756,0.040219,0.078217", \ - "0.004895,0.006643,0.008831,0.013050,0.021757,0.040218,0.078219", \ - "0.004900,0.006650,0.008836,0.013054,0.021759,0.040220,0.078218", \ - "0.004978,0.006719,0.008898,0.013100,0.021785,0.040228,0.078218", \ - "0.005149,0.006868,0.009025,0.013189,0.021812,0.040224,0.078224", \ - "0.005384,0.007079,0.009218,0.013341,0.021903,0.040248,0.078193"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !CI"; - sdf_cond : "(A == 1'b1) && (CI == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.047350,0.050215,0.053264,0.058598,0.067890,0.084247,0.114392", \ - "0.047716,0.050582,0.053631,0.058962,0.068254,0.084610,0.114757", \ - "0.049237,0.052096,0.055138,0.060464,0.069753,0.086107,0.116251", \ - "0.052187,0.055017,0.058039,0.063350,0.072627,0.088974,0.119115", \ - "0.055744,0.058512,0.061491,0.066752,0.075968,0.092265,0.122377", \ - "0.058411,0.061090,0.064012,0.069234,0.078425,0.094684,0.124688", \ - "0.059476,0.062047,0.064879,0.069985,0.079103,0.095331,0.125338"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.060839,0.063456,0.066282,0.071418,0.081412,0.101517,0.142130", \ - "0.061849,0.064468,0.067295,0.072433,0.082427,0.102534,0.143148", \ - "0.064756,0.067374,0.070200,0.075338,0.085330,0.105437,0.146051", \ - "0.069995,0.072595,0.075407,0.080532,0.090517,0.110619,0.151236", \ - "0.078769,0.081352,0.084137,0.089220,0.099150,0.119198,0.159772", \ - "0.090909,0.093463,0.096191,0.101163,0.110946,0.130858,0.171360", \ - "0.105543,0.108070,0.110745,0.115608,0.125255,0.145031,0.185418"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005782,0.007389,0.009094,0.012128,0.017905,0.029377,0.053356", \ - "0.005772,0.007380,0.009084,0.012122,0.017899,0.029374,0.053355", \ - "0.005762,0.007366,0.009068,0.012107,0.017891,0.029369,0.053353", \ - "0.005762,0.007356,0.009053,0.012095,0.017884,0.029366,0.053352", \ - "0.005821,0.007409,0.009091,0.012099,0.017860,0.029351,0.053348", \ - "0.005996,0.007597,0.009275,0.012263,0.017978,0.029362,0.053258", \ - "0.006218,0.007818,0.009478,0.012420,0.018093,0.029460,0.053303"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004733,0.006489,0.008693,0.012992,0.021806,0.040358,0.078356", \ - "0.004731,0.006487,0.008692,0.012989,0.021805,0.040357,0.078354", \ - "0.004731,0.006487,0.008693,0.012990,0.021806,0.040358,0.078356", \ - "0.004745,0.006498,0.008703,0.012996,0.021808,0.040359,0.078357", \ - "0.004790,0.006528,0.008723,0.013004,0.021807,0.040358,0.078357", \ - "0.004858,0.006573,0.008744,0.012991,0.021765,0.040335,0.078360", \ - "0.004992,0.006685,0.008835,0.013047,0.021786,0.040328,0.078355"); - } - } - - timing () { - - related_pin : "B"; - when : "A & CI"; - sdf_cond : "(A == 1'b1) && (CI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.029818,0.032773,0.035815,0.041026,0.050008,0.065905,0.095745", \ - "0.030816,0.033772,0.036814,0.042027,0.051009,0.066907,0.096748", \ - "0.033752,0.036705,0.039746,0.044955,0.053935,0.069834,0.099676", \ - "0.038789,0.041735,0.044762,0.049946,0.058906,0.074792,0.104622", \ - "0.045428,0.048533,0.051704,0.057078,0.066258,0.082320,0.112161", \ - "0.053986,0.057258,0.060595,0.066202,0.075682,0.092090,0.122135", \ - "0.064009,0.067486,0.071037,0.076960,0.086862,0.103783,0.134154"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.029707,0.032914,0.036417,0.042701,0.053968,0.074972,0.116040", \ - "0.030219,0.033427,0.036930,0.043215,0.054482,0.075487,0.116553", \ - "0.032046,0.035254,0.038757,0.045039,0.056304,0.077306,0.118370", \ - "0.035769,0.038998,0.042511,0.048797,0.060048,0.081011,0.122028", \ - "0.040487,0.043739,0.047253,0.053557,0.064860,0.085895,0.126846", \ - "0.045141,0.048537,0.052128,0.058505,0.069822,0.090817,0.131831", \ - "0.049066,0.052676,0.056445,0.063021,0.074517,0.095601,0.136575"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005389,0.006682,0.008168,0.011085,0.016840,0.028477,0.052933", \ - "0.005392,0.006683,0.008169,0.011088,0.016841,0.028477,0.052933", \ - "0.005391,0.006683,0.008171,0.011089,0.016842,0.028477,0.052933", \ - "0.005681,0.006925,0.008365,0.011225,0.016929,0.028521,0.052943", \ - "0.006571,0.007846,0.009274,0.012054,0.017609,0.028906,0.053045", \ - "0.007753,0.009044,0.010458,0.013178,0.018628,0.029704,0.053419", \ - "0.009279,0.010592,0.012029,0.014708,0.020059,0.030893,0.054051"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005302,0.007193,0.009556,0.014065,0.022886,0.041105,0.078836", \ - "0.005303,0.007197,0.009559,0.014069,0.022888,0.041109,0.078848", \ - "0.005316,0.007207,0.009569,0.014079,0.022895,0.041109,0.078839", \ - "0.005523,0.007390,0.009733,0.014219,0.022996,0.041159,0.078853", \ - "0.006025,0.007786,0.010079,0.014577,0.023400,0.041460,0.078946", \ - "0.007103,0.008694,0.010834,0.015177,0.023828,0.041842,0.079277", \ - "0.008513,0.010017,0.012026,0.016216,0.024672,0.042432,0.079704"); - } - } - - timing () { - - related_pin : "CI"; - when : "!A & !B"; - sdf_cond : "(A == 1'b0) && (B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.039687,0.043112,0.046620,0.052510,0.062469,0.079655,0.110564", \ - "0.039726,0.043145,0.046646,0.052528,0.062476,0.079647,0.110539", \ - "0.042078,0.045487,0.048982,0.054850,0.064781,0.081926,0.112781", \ - "0.049544,0.052949,0.056426,0.062269,0.072169,0.089300,0.120135", \ - "0.061957,0.065376,0.068870,0.074728,0.084598,0.101685,0.132475", \ - "0.076957,0.080526,0.084168,0.090190,0.100196,0.117470,0.148407", \ - "0.095021,0.098752,0.102575,0.108848,0.119071,0.136457,0.167536"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019488,0.022490,0.025773,0.031647,0.042362,0.062924,0.103776", \ - "0.020292,0.023294,0.026578,0.032452,0.043169,0.063732,0.104587", \ - "0.021986,0.024982,0.028255,0.034113,0.044818,0.065379,0.106236", \ - "0.023638,0.026679,0.030015,0.035969,0.046762,0.067291,0.108100", \ - "0.024220,0.027313,0.030698,0.036772,0.047657,0.068294,0.109075", \ - "0.022085,0.025418,0.028962,0.035257,0.046424,0.067248,0.108129", \ - "0.016727,0.020332,0.024116,0.030720,0.042290,0.063464,0.104737"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.007900,0.009311,0.010844,0.013747,0.019523,0.030988,0.054609", \ - "0.007871,0.009284,0.010814,0.013716,0.019490,0.030955,0.054584", \ - "0.007858,0.009261,0.010790,0.013691,0.019457,0.030907,0.054527", \ - "0.007832,0.009253,0.010793,0.013704,0.019473,0.030913,0.054514", \ - "0.008711,0.010037,0.011493,0.014243,0.019821,0.031120,0.054600", \ - "0.010037,0.011342,0.012730,0.015321,0.020691,0.031847,0.054962", \ - "0.011501,0.012827,0.014219,0.016690,0.021734,0.032534,0.055606"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004144,0.006038,0.008293,0.012649,0.021538,0.040150,0.078219", \ - "0.004141,0.006038,0.008294,0.012650,0.021541,0.040147,0.078214", \ - "0.004116,0.006028,0.008291,0.012654,0.021543,0.040153,0.078209", \ - "0.004320,0.006316,0.008634,0.013018,0.021771,0.040195,0.078230", \ - "0.005134,0.006934,0.009238,0.013599,0.022263,0.040571,0.078289", \ - "0.006448,0.008119,0.010290,0.014621,0.023173,0.041184,0.078699", \ - "0.008004,0.009639,0.011735,0.015981,0.024414,0.042260,0.079545"); - } - } - - timing () { - - related_pin : "CI"; - when : "!A & B"; - sdf_cond : "(A == 1'b0) && (B == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.048982,0.051777,0.054645,0.059599,0.068354,0.084128,0.113908", \ - "0.049738,0.052535,0.055402,0.060356,0.069111,0.084885,0.114666", \ - "0.052395,0.055191,0.058057,0.063010,0.071765,0.087538,0.117318", \ - "0.056999,0.059742,0.062572,0.067501,0.076240,0.092000,0.121769", \ - "0.061611,0.064134,0.066829,0.071650,0.080352,0.096118,0.125890", \ - "0.065014,0.067356,0.069887,0.074544,0.083129,0.098843,0.128645", \ - "0.066906,0.069134,0.071507,0.075966,0.084369,0.099956,0.129691"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.054148,0.056725,0.059480,0.064538,0.074455,0.094482,0.135046", \ - "0.054753,0.057328,0.060082,0.065139,0.075056,0.095083,0.135646", \ - "0.057895,0.060466,0.063216,0.068270,0.078184,0.098210,0.138772", \ - "0.065226,0.067783,0.070521,0.075564,0.085460,0.105467,0.146014", \ - "0.076330,0.078842,0.081519,0.086479,0.096280,0.116190,0.156666", \ - "0.089748,0.092223,0.094824,0.099639,0.109273,0.129025,0.169414", \ - "0.105186,0.107668,0.110233,0.114956,0.124404,0.143962,0.184158"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005929,0.007208,0.008664,0.011498,0.017150,0.028684,0.053051", \ - "0.005927,0.007208,0.008664,0.011497,0.017149,0.028683,0.053052", \ - "0.005929,0.007212,0.008665,0.011498,0.017150,0.028684,0.053051", \ - "0.005961,0.007244,0.008691,0.011515,0.017160,0.028689,0.053053", \ - "0.005766,0.007076,0.008579,0.011476,0.017194,0.028742,0.053079", \ - "0.005734,0.007068,0.008578,0.011475,0.017200,0.028804,0.053221", \ - "0.005973,0.007298,0.008770,0.011598,0.017260,0.028834,0.053248"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004745,0.006471,0.008630,0.012856,0.021643,0.040234,0.078275", \ - "0.004747,0.006472,0.008632,0.012856,0.021644,0.040235,0.078275", \ - "0.004751,0.006475,0.008634,0.012858,0.021645,0.040235,0.078275", \ - "0.004786,0.006505,0.008658,0.012874,0.021653,0.040238,0.078277", \ - "0.004930,0.006626,0.008761,0.012946,0.021689,0.040251,0.078280", \ - "0.005074,0.006771,0.008898,0.013041,0.021732,0.040295,0.078315", \ - "0.005426,0.007101,0.009208,0.013275,0.021861,0.040315,0.078324"); - } - } - - timing () { - - related_pin : "CI"; - when : "A & !B"; - sdf_cond : "(A == 1'b1) && (B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.045312,0.048024,0.050831,0.055745,0.064475,0.080213,0.109959", \ - "0.046032,0.048743,0.051550,0.056464,0.065194,0.080931,0.110678", \ - "0.048534,0.051237,0.054039,0.058950,0.067679,0.083415,0.113161", \ - "0.052798,0.055431,0.058191,0.063074,0.071784,0.087504,0.117235", \ - "0.057453,0.059889,0.062511,0.067268,0.075876,0.091552,0.121266", \ - "0.061136,0.063431,0.065926,0.070525,0.079044,0.094615,0.124199", \ - "0.063139,0.065351,0.067717,0.072139,0.080494,0.095969,0.125530"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.056978,0.059594,0.062417,0.067552,0.077545,0.097650,0.138263", \ - "0.057610,0.060225,0.063047,0.068182,0.078174,0.098279,0.138893", \ - "0.060721,0.063334,0.066154,0.071286,0.081277,0.101381,0.141994", \ - "0.068067,0.070670,0.073476,0.078600,0.088583,0.108678,0.149286", \ - "0.079314,0.081853,0.084595,0.089628,0.099512,0.119520,0.160055", \ - "0.092417,0.094907,0.097546,0.102415,0.112178,0.132127,0.172637", \ - "0.107664,0.110139,0.112716,0.117451,0.126991,0.146741,0.187175"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005643,0.006945,0.008419,0.011286,0.016971,0.028547,0.052961", \ - "0.005643,0.006946,0.008421,0.011286,0.016971,0.028547,0.052962", \ - "0.005653,0.006956,0.008430,0.011292,0.016975,0.028548,0.052963", \ - "0.005715,0.007012,0.008474,0.011322,0.016996,0.028559,0.052966", \ - "0.005571,0.006875,0.008360,0.011232,0.016944,0.028563,0.052976", \ - "0.005742,0.007056,0.008523,0.011364,0.017020,0.028540,0.052932", \ - "0.006103,0.007407,0.008827,0.011582,0.017161,0.028651,0.052976"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004737,0.006490,0.008696,0.012993,0.021806,0.040359,0.078357", \ - "0.004738,0.006491,0.008696,0.012994,0.021806,0.040359,0.078356", \ - "0.004737,0.006492,0.008698,0.012994,0.021808,0.040359,0.078357", \ - "0.004753,0.006504,0.008707,0.012998,0.021809,0.040360,0.078356", \ - "0.004857,0.006584,0.008774,0.013046,0.021835,0.040370,0.078359", \ - "0.004906,0.006638,0.008827,0.013099,0.021919,0.040507,0.078426", \ - "0.005122,0.006831,0.008994,0.013200,0.021952,0.040525,0.078564"); - } - } - - timing () { - - related_pin : "CI"; - when : "A & B"; - sdf_cond : "(A == 1'b1) && (B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.027724,0.030841,0.034109,0.039700,0.049205,0.065695,0.095925", \ - "0.028656,0.031767,0.035034,0.040622,0.050127,0.066617,0.096846", \ - "0.031365,0.034455,0.037702,0.043272,0.052766,0.069254,0.099486", \ - "0.036081,0.039140,0.042359,0.047895,0.057374,0.073866,0.104096", \ - "0.041952,0.045024,0.048210,0.053774,0.063540,0.080490,0.110893", \ - "0.049214,0.052478,0.055846,0.061558,0.071466,0.088811,0.119797", \ - "0.057207,0.060696,0.064310,0.070389,0.080661,0.098647,0.130320"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.029484,0.032688,0.036186,0.042461,0.053715,0.074704,0.115757", \ - "0.029975,0.033174,0.036667,0.042934,0.054175,0.075157,0.116206", \ - "0.032308,0.035499,0.038984,0.045228,0.056427,0.077354,0.118370", \ - "0.037881,0.041042,0.044485,0.050665,0.061763,0.082572,0.123472", \ - "0.044186,0.047375,0.050806,0.056962,0.068040,0.088793,0.129515", \ - "0.050154,0.053545,0.057095,0.063325,0.074336,0.094958,0.135644", \ - "0.055717,0.059338,0.063104,0.069595,0.080780,0.101415,0.141897"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005446,0.007004,0.008704,0.011816,0.017703,0.029267,0.053312", \ - "0.005445,0.006998,0.008698,0.011813,0.017701,0.029266,0.053312", \ - "0.005410,0.006955,0.008655,0.011782,0.017685,0.029259,0.053310", \ - "0.005680,0.007186,0.008856,0.011933,0.017795,0.029324,0.053334", \ - "0.006434,0.007763,0.009353,0.012563,0.018681,0.030069,0.053583", \ - "0.007769,0.009110,0.010600,0.013549,0.019596,0.031121,0.054458", \ - "0.009458,0.010849,0.012381,0.015232,0.021087,0.032720,0.055614"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005280,0.007171,0.009533,0.014036,0.022851,0.041073,0.078807", \ - "0.005256,0.007149,0.009508,0.014010,0.022824,0.041054,0.078807", \ - "0.005231,0.007120,0.009472,0.013957,0.022753,0.040984,0.078768", \ - "0.005365,0.007209,0.009537,0.014009,0.022779,0.040972,0.078724", \ - "0.006273,0.007864,0.010044,0.014427,0.023165,0.041175,0.078752", \ - "0.007611,0.009050,0.011016,0.015134,0.023577,0.041522,0.079009", \ - "0.009264,0.010621,0.012469,0.016372,0.024469,0.042011,0.079357"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.923228,8.907387,8.839121,8.692587,8.663204,8.676167,8.627845", \ - "8.888045,8.852955,8.784226,8.631753,8.592341,8.607279,8.556499", \ - "8.828649,8.821546,8.737277,8.581160,8.552834,8.557878,8.504190", \ - "8.930649,8.929010,8.829135,8.661479,8.629512,8.620750,8.562836", \ - "9.413267,9.471586,9.453978,9.283448,9.163086,9.107077,9.010164", \ - "10.271620,10.264870,10.230240,10.116000,10.127830,10.136780,9.997862", \ - "11.923810,11.902230,11.832610,11.614230,11.449010,11.538020,11.536150"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.027193,2.077945,2.113316,2.222840,2.236733,2.219117,1.975533", \ - "1.954829,2.032729,2.063508,2.083686,2.172189,2.220004,1.959139", \ - "1.965550,2.009423,2.096476,2.074908,2.152625,2.171554,2.198488", \ - "2.410058,2.347389,2.361660,2.376436,2.333233,2.145128,1.870518", \ - "3.625956,3.551252,3.432048,3.278277,2.962846,2.922626,2.762311", \ - "5.340374,5.152248,5.079336,4.870086,4.590968,3.947258,3.769553", \ - "7.317489,7.122923,7.069251,6.960385,6.614363,6.118781,5.368191"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & CI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.522061,3.442108,3.391957,3.374009,3.357618,3.380508,3.325817", \ - "3.498323,3.421730,3.372948,3.351504,3.339412,3.357503,3.328109", \ - "3.475473,3.402164,3.350009,3.327209,3.321212,3.339229,3.295160", \ - "3.709810,3.616100,3.541712,3.491770,3.466189,3.441238,3.426223", \ - "4.398380,4.232833,4.113063,4.008324,3.933238,3.895018,3.786495", \ - "5.576921,5.376286,5.209544,5.060235,4.872956,4.659023,4.470651", \ - "6.922321,6.688442,6.480175,6.313083,6.156575,5.960936,5.605566"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.607682,7.420487,7.228871,7.054986,6.890128,6.803715,6.707046", \ - "7.597559,7.379353,7.213356,7.038888,6.882914,6.757690,6.692391", \ - "7.607286,7.396803,7.208601,7.041942,6.822078,6.743825,6.606168", \ - "7.888334,7.655071,7.455473,7.280495,7.101888,6.865391,6.852080", \ - "8.729568,8.463512,8.245262,7.946645,7.734681,7.479055,7.301500", \ - "9.771585,9.516230,9.295936,8.959341,8.667127,8.355077,8.189167", \ - "11.157600,10.894760,10.601520,10.191680,9.870285,9.568896,9.218687"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.894349,3.864395,3.817657,3.801485,3.825139,3.836693,3.756495", \ - "3.891598,3.838982,3.795363,3.782800,3.780039,3.844955,3.756125", \ - "3.861884,3.833376,3.794298,3.761286,3.764913,3.768543,3.751697", \ - "4.206192,4.145460,4.101210,4.044665,4.024548,3.981513,3.968627", \ - "5.006177,4.874668,4.786216,4.678390,4.576423,4.495882,4.424715", \ - "6.357766,6.146194,5.985036,5.805925,5.602771,5.392310,5.252969", \ - "8.071094,7.812640,7.595089,7.346129,7.104075,6.782330,6.498675"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.578591,7.390792,7.232933,7.053237,6.930398,6.741041,6.728691", \ - "7.533129,7.356741,7.209770,7.040216,6.916111,6.847167,6.638674", \ - "7.503580,7.320009,7.181372,6.970629,6.827770,6.752600,6.619986", \ - "7.620516,7.442267,7.294371,7.084066,6.942255,6.854754,6.749397", \ - "8.178936,7.986168,7.795601,7.580992,7.336816,7.239724,7.011262", \ - "8.866444,8.670302,8.477990,8.272593,8.060670,7.805820,7.686623", \ - "10.037090,9.807563,9.575858,9.298634,9.040907,8.833786,8.579625"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & CI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.232240,8.287000,8.322923,8.436168,8.611967,8.688278,8.692027", \ - "8.197167,8.274854,8.297380,8.416782,8.585165,8.660373,8.663362", \ - "8.180274,8.249119,8.265235,8.397241,8.554947,8.639396,8.639815", \ - "8.383990,8.455636,8.471451,8.552679,8.693139,8.756701,8.748385", \ - "8.857229,8.983574,9.030351,9.205037,9.292667,9.308561,9.271247", \ - "9.999570,10.051910,10.036130,10.067950,10.252400,10.432970,10.340550", \ - "11.856870,11.880470,11.850250,11.749430,11.771940,11.882010,11.990360"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.836644,2.710272,2.664966,2.643907,2.506449,2.467727,2.151851", \ - "2.721070,2.556953,2.546488,2.528427,2.419576,2.374029,2.250145", \ - "2.558421,2.503231,2.430155,2.427659,2.309576,2.083493,2.041972", \ - "2.800146,2.651801,2.599178,2.572639,2.442597,2.188978,2.248625", \ - "3.852588,3.649055,3.483080,3.319213,3.125258,2.730471,2.663991", \ - "5.466103,5.257806,5.104534,4.886156,4.501188,4.137188,3.977110", \ - "7.529023,7.300409,7.121438,6.897374,6.549150,5.885580,5.577853"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.386241,8.375256,8.328570,8.171311,8.151501,8.168637,8.129656", \ - "8.324934,8.305695,8.240660,8.081945,8.061369,8.071655,8.027948", \ - "8.280288,8.263936,8.190890,8.055826,8.007674,8.016673,7.970918", \ - "8.486900,8.452887,8.378003,8.230948,8.167244,8.157836,8.103524", \ - "9.221023,9.248162,9.128111,8.918102,8.794561,8.734492,8.631327", \ - "10.034700,10.073980,9.991468,9.843830,9.816202,9.711372,9.558503", \ - "11.575810,11.573150,11.432910,11.192110,10.994980,11.017340,10.918330"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.595661,1.623618,1.718662,1.747253,1.702306,1.775026,1.690856", \ - "1.575132,1.634851,1.661138,1.719869,1.765330,1.613493,1.464494", \ - "1.540342,1.614990,1.666638,1.711293,1.727201,1.490066,1.275941", \ - "2.122991,2.013768,1.973167,1.999453,1.909460,1.844750,1.678245", \ - "3.307129,3.241248,3.153282,2.908884,2.577379,2.462229,2.128689", \ - "4.848176,4.715946,4.651238,4.497729,4.050207,3.482773,3.306646", \ - "6.662862,6.476470,6.378013,6.254286,6.038241,5.478417,4.925653"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & CI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.002400,3.915264,3.871355,3.835580,3.825490,3.796093,3.723653", \ - "3.941767,3.867252,3.824911,3.788504,3.766911,3.725479,3.746437", \ - "3.920894,3.840890,3.786040,3.755281,3.742243,3.681821,3.617391", \ - "4.096734,4.008511,3.933014,3.887941,3.855720,3.820392,3.812517", \ - "4.717401,4.562239,4.446054,4.359325,4.270100,4.161675,4.171480", \ - "5.828007,5.639831,5.472833,5.320265,5.135497,4.917359,4.796606", \ - "7.242066,7.000636,6.805186,6.612709,6.408689,6.109921,5.843983"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.756232,7.541284,7.376868,7.154475,7.035207,6.864590,6.768566", \ - "7.715833,7.508456,7.340767,7.150397,7.003218,6.792452,6.797151", \ - "7.695067,7.491902,7.319117,7.096940,6.965615,6.812089,6.659743", \ - "7.877106,7.660313,7.463719,7.240395,7.067107,6.981317,6.919712", \ - "8.456413,8.230460,8.026752,7.757228,7.531571,7.296810,7.231699", \ - "9.222752,8.973462,8.788720,8.562263,8.299125,8.090420,7.872447", \ - "10.355700,10.107420,9.884187,9.525900,9.251174,9.040579,8.912322"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.857277,3.819328,3.778599,3.761118,3.768296,3.800208,3.782642", \ - "3.800051,3.759877,3.730125,3.703185,3.728558,3.727286,3.760056", \ - "3.781356,3.740241,3.708641,3.676047,3.690071,3.689180,3.709513", \ - "3.995694,3.940398,3.878725,3.840147,3.821320,3.871608,3.830804", \ - "4.644443,4.531015,4.415367,4.338037,4.287443,4.243137,4.109332", \ - "5.781260,5.606524,5.474843,5.338367,5.179570,5.031264,4.909021", \ - "7.189721,6.978768,6.818783,6.632459,6.464327,6.235591,5.872711"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.982245,7.790630,7.635375,7.478488,7.311765,7.205542,7.082674", \ - "7.966960,7.762935,7.614142,7.413470,7.276140,7.212855,6.962600", \ - "7.945094,7.742781,7.588162,7.389153,7.266436,7.188313,6.990121", \ - "8.075300,7.869076,7.709814,7.504886,7.363917,7.241678,7.166799", \ - "8.610440,8.386379,8.201267,7.937618,7.685236,7.535883,7.427591", \ - "9.364874,9.152281,8.959451,8.711111,8.453739,8.173073,8.099178", \ - "10.495160,10.260140,9.998871,9.682820,9.389656,9.266155,8.959916"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & CI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.709226,7.763896,7.830115,7.966022,8.135315,8.209666,8.204185", \ - "7.663912,7.739168,7.800826,7.927878,8.109454,8.187346,8.183473", \ - "7.621421,7.723044,7.739576,7.889957,8.061943,8.137136,8.132053", \ - "7.893062,7.957041,7.968582,8.061516,8.202822,8.254352,8.240519", \ - "8.279379,8.383015,8.465951,8.631942,8.794467,8.792240,8.737244", \ - "9.391910,9.471645,9.457939,9.460805,9.648539,9.868364,9.762128", \ - "11.170420,11.184400,11.118140,11.044180,11.065360,11.179610,11.315500"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.766006,2.652755,2.599812,2.593303,2.457411,2.469416,2.487224", \ - "2.570566,2.476842,2.465201,2.408443,2.323592,2.173820,2.183093", \ - "2.511582,2.380148,2.343325,2.308616,2.250297,2.071397,2.151363", \ - "2.781624,2.692950,2.611223,2.553301,2.468194,2.255635,2.200448", \ - "3.925856,3.709861,3.527598,3.342029,3.101418,2.815009,2.727031", \ - "5.657292,5.387785,5.167550,4.918508,4.586281,4.216293,3.931720", \ - "7.845406,7.514235,7.265280,6.981011,6.650804,6.000598,5.372340"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "!A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.573585,7.552265,7.489219,7.331155,7.303990,7.321292,7.277186", \ - "7.491018,7.474913,7.408185,7.251690,7.229716,7.241015,7.195431", \ - "7.483217,7.485918,7.399363,7.251745,7.211678,7.217695,7.169243", \ - "7.865484,7.837375,7.750029,7.574745,7.534655,7.527527,7.465811", \ - "8.909925,8.903432,8.772006,8.552153,8.411349,8.311157,8.196083", \ - "10.268850,10.168600,10.079720,9.828028,9.700677,9.537473,9.336301", \ - "12.129550,12.106100,11.928960,11.592990,11.264760,11.157570,10.946980"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.120330,2.241027,2.328814,2.345493,2.435995,2.391796,2.244473", \ - "2.111563,2.215458,2.269966,2.404618,2.431054,2.468115,2.313537", \ - "2.096667,2.211990,2.249977,2.348955,2.362349,2.285948,2.332560", \ - "2.540434,2.521216,2.495050,2.579606,2.635639,2.642549,2.568101", \ - "3.628856,3.541932,3.568688,3.488835,3.237224,3.265305,3.136773", \ - "5.050251,4.921152,4.903908,4.830558,4.753807,4.261408,4.034409", \ - "6.640419,6.497916,6.421019,6.390824,6.454836,6.166302,5.553189"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "!A & B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.465092,4.397200,4.349253,4.311854,4.301634,4.249054,4.240787", \ - "4.481131,4.405798,4.355597,4.315949,4.292417,4.264326,4.235455", \ - "4.515833,4.445463,4.386956,4.354469,4.340879,4.279438,4.294445", \ - "4.886790,4.787576,4.703559,4.639517,4.578519,4.533356,4.420661", \ - "5.619440,5.459891,5.331086,5.230578,5.136732,5.020604,4.887572", \ - "6.856387,6.638923,6.454087,6.290417,6.113699,5.893984,5.785264", \ - "8.483436,8.195805,7.967303,7.743502,7.547752,7.319098,6.996930"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.108348,6.907971,6.755866,6.571252,6.443682,6.267944,6.189295", \ - "7.067331,6.897830,6.733592,6.555696,6.429304,6.256473,6.092881", \ - "7.121789,6.936381,6.765511,6.585346,6.449139,6.284468,6.121274", \ - "7.429830,7.241077,7.064364,6.841513,6.684536,6.481093,6.259680", \ - "8.296603,8.048666,7.812556,7.550229,7.268998,7.029135,6.885507", \ - "9.384278,9.147721,8.904127,8.552119,8.205639,7.910939,7.592250", \ - "10.957220,10.650000,10.392460,9.967779,9.551071,9.226134,8.922589"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.022602,3.943537,3.886554,3.871499,3.856200,3.827830,3.869070", \ - "4.026967,3.947934,3.892062,3.870948,3.865894,3.883489,3.814413", \ - "4.070146,3.993604,3.934505,3.908309,3.875278,3.850497,3.835471", \ - "4.515923,4.391217,4.294458,4.223669,4.170574,4.087768,4.001498", \ - "5.368227,5.175344,5.035074,4.901106,4.776883,4.685185,4.504201", \ - "6.782954,6.524765,6.312838,6.121341,5.887865,5.656012,5.363536", \ - "8.497335,8.202294,7.936920,7.684685,7.438445,7.165620,6.798213"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.228344,7.035553,6.912608,6.724934,6.578641,6.450059,6.295108", \ - "7.221514,7.052689,6.871146,6.722324,6.540755,6.439367,6.273295", \ - "7.263671,7.062221,6.894104,6.752026,6.602277,6.507613,6.337048", \ - "7.542550,7.339941,7.171701,6.955980,6.773831,6.696140,6.487510", \ - "8.357605,8.108232,7.874550,7.613660,7.348513,7.207171,7.032608", \ - "9.338552,9.096959,8.861761,8.587072,8.256057,7.967206,7.805165", \ - "10.758040,10.490840,10.232180,9.805381,9.467605,9.145387,8.818216"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "A & B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.262840,6.427488,6.497762,6.698484,6.945784,7.088421,7.109689", \ - "6.221347,6.379106,6.472017,6.654232,6.897589,7.051824,7.069536", \ - "6.118683,6.275389,6.395798,6.551731,6.811200,6.971436,6.984616", \ - "6.375726,6.478701,6.553947,6.717013,6.939846,7.084061,7.093831", \ - "6.825338,6.909471,6.968336,7.161668,7.443925,7.589225,7.573450", \ - "8.002941,8.076389,8.044008,8.086001,8.306005,8.612467,8.591693", \ - "9.775872,9.807266,9.780070,9.668245,9.756991,10.003660,10.176890"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.742525,3.644739,3.614201,3.571101,3.500723,3.263437,3.241013", \ - "3.712473,3.599179,3.515984,3.482867,3.431182,3.265295,3.364608", \ - "3.656768,3.513738,3.474860,3.423308,3.362831,3.092873,3.129823", \ - "4.077765,3.948126,3.928506,3.810565,3.675732,3.542513,3.313746", \ - "5.384774,5.136277,4.960119,4.733917,4.612076,4.184678,3.955601", \ - "7.299133,7.007722,6.761634,6.480619,6.053334,5.644257,5.368955", \ - "9.804209,9.402557,9.148347,8.840407,8.399470,7.672811,7.356235"); - } - } - } - - } - - - /****************************************************************************************** - Module : FILLCELL_X1 - Cell Description : Physical cell (FILLCELL_X1) - *******************************************************************************************/ - - cell (FILLCELL_X1) { - - drive_strength : 1; - - area : 0.266000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X2 - Cell Description : Physical cell (FILLCELL_X2) - *******************************************************************************************/ - - cell (FILLCELL_X2) { - - drive_strength : 2; - - area : 0.266000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X4 - Cell Description : Physical cell (FILLCELL_X4) - *******************************************************************************************/ - - cell (FILLCELL_X4) { - - drive_strength : 4; - - area : 1.064000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X8 - Cell Description : Physical cell (FILLCELL_X8) - *******************************************************************************************/ - - cell (FILLCELL_X8) { - - drive_strength : 8; - - area : 2.128000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X16 - Cell Description : Physical cell (FILLCELL_X16) - *******************************************************************************************/ - - cell (FILLCELL_X16) { - - drive_strength : 16; - - area : 4.256000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X32 - Cell Description : Physical cell (FILLCELL_X32) - *******************************************************************************************/ - - cell (FILLCELL_X32) { - - drive_strength : 32; - - area : 8.512000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : HA_X1 - Cell Description : Combinational cell (HA_X1) with drive strength X1 - *******************************************************************************************/ - - cell (HA_X1) { - - drive_strength : 1; - - area : 2.660000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 211.093031; - - leakage_power () { - when : "!A & !B"; - value : 158.810000; - } - leakage_power () { - when : "!A & B"; - value : 246.473250; - } - leakage_power () { - when : "A & !B"; - value : 158.325750; - } - leakage_power () { - when : "A & B"; - value : 280.763125; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.166514; - fall_capacitance : 3.110002; - rise_capacitance : 3.166514; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.470175; - fall_capacitance : 3.399197; - rise_capacitance : 3.470175; - } - - pin (CO) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "(A & B)"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0122276,0.0145493,0.0169667,0.0211966,0.0288966,0.0437635,0.0733715", \ - "0.0131592,0.0154756,0.0178902,0.0221204,0.0298218,0.0446919,0.0743006", \ - "0.0171032,0.0193744,0.0217598,0.0259701,0.0336559,0.0485122,0.0781112", \ - "0.0222625,0.0247850,0.0273617,0.0317725,0.0395770,0.0543825,0.0839088", \ - "0.0277953,0.0306008,0.0334367,0.0382031,0.0463175,0.0612311,0.0907011", \ - "0.0335298,0.0366334,0.0397874,0.0450364,0.0537603,0.0691046,0.0986185", \ - "0.0393500,0.0427416,0.0462233,0.0520119,0.0615166,0.0776776,0.107739"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0150812,0.0179068,0.0209864,0.0265700,0.0370600,0.0576567,0.0987693", \ - "0.0156842,0.0185088,0.0215865,0.0271675,0.0376573,0.0582541,0.0993687", \ - "0.0186314,0.0214180,0.0244552,0.0299809,0.0404132,0.0609717,0.102069", \ - "0.0225000,0.0253417,0.0284060,0.0339340,0.0443295,0.0647523,0.105744", \ - "0.0261450,0.0291779,0.0323961,0.0380412,0.0484142,0.0687772,0.109633", \ - "0.0292361,0.0325282,0.0359868,0.0419938,0.0526386,0.0731216,0.113905", \ - "0.0315797,0.0351519,0.0388768,0.0453426,0.0564802,0.0773748,0.118482"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00281978,0.00400394,0.00543225,0.00829753,0.0142785,0.0268386,0.0524234", \ - "0.00282069,0.00400651,0.00543436,0.00829854,0.0142788,0.0268385,0.0524238", \ - "0.00306626,0.00416841,0.00555279,0.00836891,0.0143045,0.0268422,0.0524227", \ - "0.00419401,0.00524017,0.00649520,0.00907096,0.0146536,0.0269007,0.0524246", \ - "0.00557561,0.00664661,0.00786373,0.0102808,0.0154658,0.0272670,0.0524948", \ - "0.00728510,0.00843317,0.00969357,0.0120779,0.0169931,0.0281142,0.0527998", \ - "0.00929797,0.0105336,0.0118787,0.0143308,0.0191639,0.0298217,0.0537068"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00349144,0.00536542,0.00757162,0.0119808,0.0211689,0.0401922,0.0785994", \ - "0.00349230,0.00536556,0.00757258,0.0119798,0.0211660,0.0401949,0.0785998", \ - "0.00356214,0.00541928,0.00761953,0.0120130,0.0211813,0.0401951,0.0785902", \ - "0.00429268,0.00596967,0.00807112,0.0123600,0.0213576,0.0402124,0.0785912", \ - "0.00546288,0.00699622,0.00897237,0.0129930,0.0217294,0.0404470,0.0786251", \ - "0.00702833,0.00846993,0.0104091,0.0142905,0.0226888,0.0409999,0.0788688", \ - "0.00894973,0.0103332,0.0122202,0.0160918,0.0241721,0.0422942,0.0796178"); - } - } - - timing () { - - related_pin : "B"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0136783,0.0160330,0.0184777,0.0227482,0.0304858,0.0453733,0.0749903", \ - "0.0146034,0.0169526,0.0193961,0.0236660,0.0314046,0.0462936,0.0759105", \ - "0.0187187,0.0210251,0.0234373,0.0276851,0.0354087,0.0502865,0.0798977", \ - "0.0248197,0.0273485,0.0299149,0.0343119,0.0421062,0.0569269,0.0864720", \ - "0.0317706,0.0345488,0.0373361,0.0420105,0.0500313,0.0649127,0.0943951", \ - "0.0397232,0.0427693,0.0458162,0.0508632,0.0592872,0.0743240,0.103752", \ - "0.0486236,0.0519441,0.0552810,0.0607767,0.0697772,0.0852636,0.114762"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0163647,0.0191900,0.0222700,0.0278537,0.0383456,0.0589397,0.100053", \ - "0.0168793,0.0197046,0.0227830,0.0283658,0.0388575,0.0594550,0.100567", \ - "0.0185623,0.0213703,0.0244283,0.0299803,0.0404429,0.0610233,0.102133", \ - "0.0210318,0.0238886,0.0269869,0.0325637,0.0430095,0.0635050,0.104556", \ - "0.0232628,0.0262676,0.0295009,0.0352435,0.0457838,0.0662552,0.107202", \ - "0.0244027,0.0276316,0.0310679,0.0371196,0.0480351,0.0688684,0.109820", \ - "0.0241264,0.0276077,0.0312711,0.0376967,0.0490624,0.0705309,0.112159"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00301135,0.00416546,0.00557375,0.00841200,0.0143520,0.0268753,0.0524414", \ - "0.00301184,0.00416796,0.00557593,0.00841279,0.0143524,0.0268753,0.0524433", \ - "0.00313268,0.00426132,0.00565066,0.00845954,0.0143711,0.0268782,0.0524426", \ - "0.00422945,0.00523671,0.00647958,0.00905769,0.0146520,0.0269287,0.0524450", \ - "0.00545021,0.00646610,0.00764523,0.0100579,0.0153104,0.0272216,0.0525023", \ - "0.00694696,0.00800430,0.00916937,0.0114740,0.0163773,0.0276944,0.0527051", \ - "0.00872912,0.00984827,0.0110487,0.0133143,0.0179946,0.0286863,0.0530620"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00349260,0.00536515,0.00757268,0.0119797,0.0211661,0.0401964,0.0786013", \ - "0.00349182,0.00536582,0.00757228,0.0119814,0.0211665,0.0401927,0.0785945", \ - "0.00354347,0.00540096,0.00760323,0.0120003,0.0211749,0.0401947,0.0786012", \ - "0.00395804,0.00576806,0.00793627,0.0122579,0.0213019,0.0402079,0.0785946", \ - "0.00485497,0.00655722,0.00868871,0.0129051,0.0217232,0.0403908,0.0786216", \ - "0.00609941,0.00771409,0.00981852,0.0140155,0.0227765,0.0411232,0.0788293", \ - "0.00759214,0.00915603,0.0112293,0.0154241,0.0241407,0.0426550,0.0798026"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.952184,4.031311,4.062868,4.100858,4.050419,4.066993,3.975599", \ - "3.905798,3.987234,4.023556,4.032198,4.015041,4.093196,4.007318", \ - "4.204682,4.220423,4.217654,4.192781,4.143121,4.136927,4.126930", \ - "5.172806,5.150119,5.036865,4.892300,4.751009,4.581607,4.413941", \ - "6.863529,6.721845,6.531187,6.322652,5.949848,5.714994,5.346305", \ - "9.519400,9.212884,8.899449,8.514890,8.064613,7.443410,7.044275", \ - "13.006830,12.665020,12.260830,11.666870,10.970570,10.228580,9.336114"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.389010,1.440150,1.457065,1.477673,1.444425,1.425351,1.383170", \ - "1.371843,1.426067,1.453087,1.461501,1.427180,1.366775,1.378148", \ - "1.608514,1.609404,1.601455,1.563336,1.542800,1.499890,1.539419", \ - "2.606954,2.429980,2.286268,2.148535,1.972605,1.838194,1.748701", \ - "4.362614,4.109855,3.877092,3.493226,3.126924,2.838810,2.483555", \ - "6.650183,6.355986,6.113334,5.716151,5.102378,4.438931,4.007551", \ - "9.473754,9.130650,8.821643,8.543088,8.044281,7.004057,6.108536"); - } - } - - internal_power () { - - related_pin : "B"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.919869,4.942107,4.951812,4.964156,4.966384,4.984299,4.892624", \ - "4.846850,4.898218,4.882077,4.929058,4.902588,4.824189,4.914803", \ - "5.182757,5.154139,5.120991,5.097233,5.088349,5.002662,5.049755", \ - "6.238649,6.115401,6.010762,5.861290,5.642019,5.565030,5.383170", \ - "7.826724,7.714901,7.611807,7.350320,7.028882,6.721875,6.348478", \ - "10.440300,10.244980,9.965112,9.643856,9.120996,8.538628,8.014279", \ - "13.949900,13.667070,13.292630,12.756860,12.172690,11.360950,10.398860"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.232488,1.283183,1.289102,1.319764,1.258468,1.200009,1.243236", \ - "1.144251,1.196612,1.230175,1.234568,1.217859,1.247168,1.255481", \ - "1.217441,1.235287,1.235621,1.252036,1.240611,1.222152,1.240305", \ - "1.992724,1.875699,1.743595,1.619037,1.563377,1.503472,1.438370", \ - "3.465692,3.315585,3.135110,2.815114,2.447360,2.180970,2.126644", \ - "5.384284,5.179706,4.988672,4.765001,4.241179,3.683098,3.304092", \ - "7.646951,7.358882,7.244278,7.035551,6.797906,6.017209,5.178904"); - } - } - } - - pin (S) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.253300; - function : "(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.024569,0.025184,0.026276,0.028320,0.032074,0.038944,0.051747", \ - "0.025000,0.025621,0.026719,0.028774,0.032543,0.039430,0.052244", \ - "0.028797,0.029419,0.030526,0.032593,0.036380,0.043280,0.056096", \ - "0.036151,0.036805,0.037964,0.040143,0.044036,0.051025,0.063868", \ - "0.045745,0.046460,0.047717,0.050017,0.054087,0.061258,0.074249", \ - "0.057696,0.058478,0.059856,0.062349,0.066711,0.074204,0.087450", \ - "0.072055,0.072920,0.074434,0.077176,0.081939,0.089969,0.103773"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.021849,0.022964,0.025009,0.029027,0.036994,0.053027,0.085481", \ - "0.022602,0.023714,0.025760,0.029790,0.037791,0.053862,0.086329", \ - "0.024178,0.025306,0.027389,0.031511,0.039690,0.055994,0.088640", \ - "0.025089,0.026231,0.028319,0.032411,0.040483,0.056950,0.089769", \ - "0.024095,0.025290,0.027448,0.031629,0.039852,0.056198,0.088785", \ - "0.020646,0.021915,0.024187,0.028489,0.036749,0.053142,0.085888", \ - "0.014580,0.015892,0.018257,0.022739,0.031138,0.047497,0.080294"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.006533,0.006924,0.007614,0.008938,0.011453,0.016351,0.026315", \ - "0.006542,0.006929,0.007621,0.008941,0.011454,0.016351,0.026315", \ - "0.006599,0.006982,0.007661,0.008969,0.011469,0.016357,0.026317", \ - "0.007110,0.007491,0.008151,0.009417,0.011862,0.016594,0.026418", \ - "0.007720,0.008081,0.008723,0.009936,0.012266,0.016954,0.026737", \ - "0.008906,0.009275,0.009928,0.011130,0.013363,0.017784,0.027135", \ - "0.010527,0.010927,0.011619,0.012877,0.015124,0.019402,0.028385"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.008366,0.009377,0.011277,0.015086,0.022722,0.037986,0.068452", \ - "0.008363,0.009379,0.011278,0.015087,0.022720,0.037984,0.068453", \ - "0.008446,0.009442,0.011318,0.015105,0.022726,0.037984,0.068453", \ - "0.007739,0.008714,0.010547,0.014397,0.022288,0.037982,0.068441", \ - "0.008162,0.009036,0.010722,0.014253,0.021650,0.036932,0.068003", \ - "0.009119,0.009959,0.011549,0.014859,0.021952,0.036900,0.067223", \ - "0.010236,0.011079,0.012657,0.015848,0.022604,0.037257,0.067413"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.005810,0.006503,0.007777,0.010294,0.015284,0.025212,0.045031", \ - "0.006497,0.007188,0.008466,0.010996,0.016007,0.025959,0.045794", \ - "0.008142,0.009089,0.010733,0.013684,0.018741,0.028582,0.048351", \ - "0.008392,0.009682,0.011915,0.015892,0.022736,0.034131,0.053674", \ - "0.006866,0.008518,0.011367,0.016431,0.025049,0.039272,0.062399", \ - "0.003332,0.005338,0.008789,0.014935,0.025402,0.042536,0.070099", \ - "-0.002367,-0.000017,0.004010,0.011200,0.023494,0.043633,0.075710"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.008552,0.009739,0.011912,0.016170,0.024530,0.041077,0.074032", \ - "0.009158,0.010319,0.012477,0.016746,0.025155,0.041768,0.074781", \ - "0.012870,0.014096,0.016219,0.020155,0.028280,0.044680,0.077569", \ - "0.018126,0.019626,0.022280,0.027192,0.035932,0.051703,0.083933", \ - "0.024890,0.026704,0.029861,0.035613,0.045897,0.063833,0.095361", \ - "0.032994,0.035168,0.038932,0.045683,0.057458,0.077874,0.112747", \ - "0.042476,0.045013,0.049408,0.057267,0.070775,0.093608,0.132603"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.003419,0.003998,0.005076,0.007233,0.011545,0.020163,0.037397", \ - "0.003413,0.003996,0.005075,0.007232,0.011543,0.020162,0.037396", \ - "0.005449,0.005932,0.006807,0.008385,0.011829,0.020162,0.037398", \ - "0.009192,0.009767,0.010765,0.012620,0.016069,0.022391,0.037459", \ - "0.014404,0.015132,0.016388,0.018610,0.022476,0.029415,0.042053", \ - "0.021029,0.021922,0.023472,0.026211,0.030809,0.038471,0.052090", \ - "0.029180,0.030211,0.032059,0.035349,0.040846,0.049660,0.064310"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.006517,0.007572,0.009510,0.013338,0.020943,0.036134,0.066507", \ - "0.006467,0.007538,0.009498,0.013341,0.020948,0.036135,0.066507", \ - "0.007805,0.008543,0.010050,0.013395,0.020938,0.036139,0.066508", \ - "0.010743,0.011685,0.013418,0.016725,0.022665,0.036215,0.066515", \ - "0.015104,0.016077,0.017850,0.021329,0.028043,0.040118,0.066806", \ - "0.020948,0.022016,0.023924,0.027554,0.034502,0.047763,0.071873", \ - "0.028284,0.029455,0.031547,0.035475,0.042736,0.056481,0.082100"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.026968,0.027586,0.028689,0.030742,0.034503,0.041373,0.054165", \ - "0.027729,0.028354,0.029466,0.031533,0.035311,0.042204,0.055012", \ - "0.030390,0.031015,0.032129,0.034204,0.038001,0.044915,0.057746", \ - "0.035647,0.036307,0.037468,0.039642,0.043556,0.050568,0.063445", \ - "0.043683,0.044393,0.045647,0.047952,0.052054,0.059289,0.072318", \ - "0.054132,0.054903,0.056260,0.058738,0.063115,0.070718,0.084148", \ - "0.066609,0.067452,0.068931,0.071625,0.076360,0.084495,0.098626"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.020488,0.021658,0.023797,0.028004,0.036288,0.052733,0.085580", \ - "0.021211,0.022379,0.024516,0.028719,0.037013,0.053471,0.086325", \ - "0.023670,0.024830,0.026955,0.031145,0.039450,0.055965,0.088885", \ - "0.025745,0.026895,0.028987,0.033092,0.041284,0.057808,0.090776", \ - "0.026223,0.027423,0.029573,0.033713,0.041846,0.058107,0.090888", \ - "0.024710,0.025970,0.028214,0.032452,0.040590,0.056795,0.089365", \ - "0.021150,0.022443,0.024760,0.029150,0.037380,0.053509,0.086004"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.006500,0.006883,0.007575,0.008893,0.011415,0.016324,0.026300", \ - "0.006488,0.006872,0.007564,0.008881,0.011404,0.016315,0.026296", \ - "0.006543,0.006921,0.007605,0.008916,0.011424,0.016324,0.026300", \ - "0.006927,0.007312,0.007992,0.009276,0.011743,0.016529,0.026384", \ - "0.007315,0.007694,0.008371,0.009646,0.012068,0.016829,0.026618", \ - "0.008266,0.008664,0.009356,0.010645,0.013051,0.017699,0.027146", \ - "0.009608,0.010033,0.010760,0.012103,0.014568,0.019247,0.028588"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.006821,0.007795,0.009641,0.013384,0.020951,0.036149,0.066528", \ - "0.006823,0.007793,0.009641,0.013387,0.020951,0.036147,0.066540", \ - "0.006892,0.007845,0.009672,0.013397,0.020955,0.036142,0.066531", \ - "0.007043,0.007926,0.009638,0.013255,0.020866,0.036149,0.066537", \ - "0.007815,0.008636,0.010229,0.013622,0.020855,0.035849,0.066429", \ - "0.008804,0.009603,0.011122,0.014317,0.021280,0.036077,0.066178", \ - "0.009855,0.010655,0.012170,0.015271,0.021919,0.036467,0.066459"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.006836,0.007526,0.008796,0.011309,0.016292,0.026217,0.046033", \ - "0.007376,0.008083,0.009380,0.011931,0.016958,0.026920,0.046764", \ - "0.008351,0.009200,0.010693,0.013482,0.018649,0.028640,0.048512", \ - "0.008143,0.009314,0.011328,0.014905,0.021060,0.031872,0.051881", \ - "0.005797,0.007328,0.009968,0.014625,0.022464,0.035270,0.056975", \ - "0.001016,0.002906,0.006165,0.011925,0.021627,0.037255,0.062110", \ - "-0.006441,-0.004192,-0.000319,0.006532,0.018102,0.036753,0.065903"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.011025,0.012172,0.014292,0.018487,0.026800,0.043328,0.076291", \ - "0.011620,0.012766,0.014888,0.019095,0.027431,0.043986,0.076972", \ - "0.015655,0.016703,0.018650,0.022633,0.030720,0.047048,0.079867", \ - "0.022087,0.023441,0.025868,0.030422,0.038644,0.054283,0.086415", \ - "0.030480,0.032050,0.034850,0.040110,0.049779,0.066956,0.098117", \ - "0.041002,0.042825,0.046052,0.052025,0.062858,0.082275,0.116074", \ - "0.053697,0.055802,0.059507,0.066303,0.078413,0.099748,0.137300"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.003418,0.003998,0.005077,0.007232,0.011544,0.020163,0.037398", \ - "0.003420,0.003997,0.005076,0.007232,0.011544,0.020162,0.037398", \ - "0.004573,0.005042,0.005949,0.007796,0.011711,0.020162,0.037397", \ - "0.007536,0.008004,0.008840,0.010476,0.013870,0.021229,0.037489", \ - "0.011691,0.012262,0.013263,0.015105,0.018464,0.025086,0.039589", \ - "0.016773,0.017481,0.018707,0.020940,0.024823,0.031602,0.044950", \ - "0.022775,0.023615,0.025086,0.027779,0.032390,0.040034,0.053349"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.008223,0.009268,0.011206,0.015055,0.022709,0.037963,0.068419", \ - "0.008201,0.009253,0.011197,0.015052,0.022708,0.037964,0.068419", \ - "0.008864,0.009726,0.011404,0.014987,0.022697,0.037963,0.068418", \ - "0.011771,0.012763,0.014534,0.017785,0.023954,0.037957,0.068419", \ - "0.015313,0.016406,0.018374,0.022120,0.029022,0.041307,0.068585", \ - "0.020025,0.021224,0.023352,0.027364,0.034878,0.048560,0.073129", \ - "0.025942,0.027279,0.029626,0.033951,0.041881,0.056557,0.082748"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("7.425046,7.464284,7.514624,7.534688,7.532401,7.493650,7.404338", \ - "7.386444,7.406613,7.457486,7.480063,7.497119,7.463729,7.362548", \ - "7.500297,7.542397,7.600960,7.628442,7.672008,7.649547,7.553634", \ - "8.054194,8.092809,8.140036,8.179952,8.252059,8.240339,8.135462", \ - "8.748141,8.806926,8.860009,9.001858,9.168836,9.271700,9.187728", \ - "10.217600,10.285820,10.348100,10.421520,10.563000,10.660880,10.773410", \ - "12.379490,12.441970,12.504560,12.561660,12.688260,12.786270,12.852220"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("4.553462,4.562849,4.567517,4.529019,4.444231,4.330912,4.020926", \ - "4.516406,4.543126,4.548194,4.515841,4.404434,4.212256,4.052297", \ - "4.372808,4.393831,4.414408,4.365030,4.389168,4.343265,4.077723", \ - "4.699578,4.711256,4.727906,4.743483,4.749878,4.651302,4.515667", \ - "5.936295,5.982428,5.964712,5.862772,5.745391,5.776351,5.562758", \ - "7.474425,7.487144,7.574457,7.652264,7.658369,7.440625,7.454191", \ - "9.175796,9.249703,9.339497,9.533086,9.814262,9.980922,9.657694"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("1.389010,1.412949,1.418138,1.459818,1.459994,1.448803,1.401511", \ - "1.371843,1.379340,1.425026,1.445054,1.432401,1.433043,1.466022", \ - "1.608514,1.606835,1.614374,1.605691,1.562568,1.522777,1.540915", \ - "2.606954,2.555545,2.459986,2.329960,2.183442,2.039237,1.932355", \ - "4.362614,4.247575,4.152704,3.927596,3.622163,3.201096,2.874523", \ - "6.650183,6.564191,6.379408,6.168138,5.784346,5.324889,4.618154", \ - "9.473754,9.335393,9.195073,8.932887,8.589862,8.223173,7.269322"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("3.952185,3.985278,4.037785,4.057042,4.098411,4.088601,4.111196", \ - "3.905799,3.947156,3.966027,4.006758,4.048904,4.040443,4.063417", \ - "4.204682,4.207134,4.232359,4.215015,4.162277,4.201532,4.067767", \ - "5.172807,5.212369,5.193969,5.067068,4.898385,4.784494,4.621209", \ - "6.863530,6.849019,6.747542,6.565642,6.402705,6.051592,5.708084", \ - "9.519401,9.446766,9.281690,8.990669,8.615876,8.165124,7.639171", \ - "13.006830,12.907820,12.723440,12.378360,11.817010,11.173820,10.335670"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("7.879930,7.909563,7.967521,8.008841,8.023910,7.990394,7.909466", \ - "7.774542,7.800291,7.857027,7.882823,7.936677,7.899490,7.829483", \ - "7.700826,7.719881,7.775575,7.833088,7.876133,7.875222,7.800920", \ - "7.863401,7.937431,7.990791,8.081897,8.152986,8.171047,8.105487", \ - "8.295996,8.383573,8.462346,8.616773,8.803569,8.991107,8.942459", \ - "9.553716,9.577078,9.673941,9.796553,9.948936,10.137930,10.308530", \ - "11.283550,11.345280,11.468780,11.551110,11.749730,11.973530,12.129120"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("3.716723,3.735145,3.724098,3.764320,3.795109,3.709191,3.768205", \ - "3.692651,3.682172,3.703475,3.755643,3.782444,3.680695,3.675985", \ - "3.723714,3.693711,3.717301,3.755252,3.800236,3.759846,3.778784", \ - "4.142491,4.166969,4.169881,4.183656,4.213743,4.229413,4.205055", \ - "5.589781,5.572753,5.517982,5.363824,5.203115,5.077951,5.168304", \ - "7.373993,7.313927,7.323792,7.266520,7.181976,6.794637,6.737233", \ - "9.457763,9.451715,9.519423,9.548225,9.564974,9.399207,8.986910"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("1.232488,1.248493,1.283825,1.280719,1.316504,1.265055,1.273331", \ - "1.144252,1.168701,1.188255,1.238783,1.254401,1.224952,1.240146", \ - "1.217441,1.205843,1.238156,1.235792,1.251711,1.211217,1.209464", \ - "1.992724,1.971947,1.892869,1.764423,1.670982,1.548403,1.494608", \ - "3.465692,3.428840,3.330802,3.195061,2.921001,2.552408,2.280627", \ - "5.384284,5.272547,5.207690,5.056458,4.803898,4.446163,3.804548", \ - "7.646952,7.521276,7.397438,7.283047,7.106216,6.940802,6.286558"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("4.919870,4.924448,4.929840,4.938381,4.921910,4.970216,4.957750", \ - "4.846851,4.872760,4.892921,4.897766,4.919991,4.879883,4.959771", \ - "5.182759,5.176403,5.155734,5.141714,5.099641,5.010844,4.993657", \ - "6.238651,6.234912,6.175437,6.023554,5.897802,5.707030,5.601072", \ - "7.826726,7.848545,7.750783,7.625055,7.438596,7.108983,6.765733", \ - "10.440300,10.418210,10.289620,10.009890,9.704010,9.294230,8.741481", \ - "13.949900,13.877550,13.710020,13.417270,12.878490,12.342270,11.595260"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X1 - Cell Description : Combinational cell (INV_X1) with drive strength X1 - *******************************************************************************************/ - - cell (INV_X1) { - - drive_strength : 1; - - area : 0.532000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 53.006750; - - leakage_power () { - when : "!A"; - value : 38.145875; - } - leakage_power () { - when : "A"; - value : 67.867625; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.719569; - fall_capacitance : 1.597804; - rise_capacitance : 1.719569; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.730000; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00254744,0.00413060,0.00602473,0.00976397,0.0172032,0.0320578,0.0617505", \ - "0.00313770,0.00491541,0.00678770,0.0105225,0.0179640,0.0328209,0.0625186", \ - "0.00324927,0.00606628,0.00887160,0.0134676,0.0209320,0.0356755,0.0653043", \ - "0.00208514,0.00591035,0.00971453,0.0159335,0.0259526,0.0416485,0.0709414", \ - "-0.000658933,0.00413024,0.00893205,0.0167830,0.0293221,0.0492571,0.0803644", \ - "-0.00511197,0.000535105,0.00628403,0.0157511,0.0308637,0.0546741,0.0922645", \ - "-0.0113739,-0.00498865,0.00163132,0.0126428,0.0303052,0.0580642,0.101667"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00321318,0.00543179,0.00808223,0.0132932,0.0236303,0.0442437,0.0854335", \ - "0.00436608,0.00642840,0.00901154,0.0141969,0.0245377,0.0451652,0.0863666", \ - "0.00678181,0.00990950,0.0131113,0.0183171,0.0283602,0.0487791,0.0898524", \ - "0.0102931,0.0143381,0.0184444,0.0254229,0.0367678,0.0565906,0.0971339", \ - "0.0147951,0.0198683,0.0249280,0.0333390,0.0473375,0.0698751,0.109478", \ - "0.0204359,0.0264678,0.0325473,0.0425219,0.0587815,0.0855386,0.128010", \ - "0.0274300,0.0342653,0.0413235,0.0529428,0.0715988,0.101920,0.151239"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00116410,0.00245914,0.00406575,0.00727708,0.0137034,0.0265540,0.0522532", \ - "0.00172983,0.00261565,0.00406538,0.00727719,0.0137025,0.0265560,0.0522544", \ - "0.00371086,0.00495812,0.00629500,0.00855481,0.0138135,0.0265523,0.0522565", \ - "0.00689194,0.00857516,0.0102440,0.0131110,0.0180513,0.0275601,0.0522564", \ - "0.0113574,0.0135368,0.0156611,0.0191158,0.0248805,0.0347361,0.0539659", \ - "0.0173517,0.0199238,0.0225266,0.0267327,0.0333986,0.0445809,0.0632964", \ - "0.0251172,0.0279390,0.0309707,0.0359490,0.0437527,0.0561754,0.0773145"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00180128,0.00373966,0.00614507,0.0109571,0.0205816,0.0398302,0.0783312", \ - "0.00218751,0.00374122,0.00614543,0.0109557,0.0205745,0.0398311,0.0783364", \ - "0.00404444,0.00587287,0.00767425,0.0113359,0.0205774,0.0398329,0.0783395", \ - "0.00685777,0.00892275,0.0112784,0.0154389,0.0224605,0.0398361,0.0783447", \ - "0.0110062,0.0133206,0.0158921,0.0206404,0.0290260,0.0432249,0.0783361", \ - "0.0167209,0.0191854,0.0220224,0.0271405,0.0364837,0.0524870,0.0817849", \ - "0.0242811,0.0267057,0.0297555,0.0353110,0.0452408,0.0631770,0.0924635"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("-0.000157,-0.000248,-0.000358,-0.000577,-0.001015,-0.001888,-0.003636", \ - "-0.000307,-0.000394,-0.000504,-0.000723,-0.001160,-0.002034,-0.003782", \ - "0.379083,0.117465,-0.001032,-0.001248,-0.001680,-0.002551,-0.004297", \ - "1.845930,1.616880,1.301868,0.822504,0.400668,0.139974,-0.005289", \ - "3.953345,3.832953,3.581697,3.001645,2.054724,1.211321,0.648320", \ - "6.820202,6.804865,6.659920,6.204935,5.046147,3.402694,2.085665", \ - "10.606610,10.620760,10.526810,10.244770,9.254154,7.066653,4.606712"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("2.367242,2.446414,2.477958,2.488099,2.439160,2.455747,2.327383", \ - "2.418822,2.438790,2.466533,2.454105,2.474402,2.436309,2.443639", \ - "2.972017,3.065912,2.947366,2.839817,2.679719,2.542028,2.516421", \ - "4.613603,4.427079,4.308124,4.044025,3.681370,3.195152,2.700748", \ - "7.393461,7.120253,6.783982,6.293568,5.768544,4.968498,4.053126", \ - "11.405100,11.044050,10.660840,9.888417,8.829810,7.788607,6.335566", \ - "16.764220,16.319730,15.877010,15.110960,13.753940,11.871740,9.843462"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X2 - Cell Description : Combinational cell (INV_X2) with drive strength X2 - *******************************************************************************************/ - - cell (INV_X2) { - - drive_strength : 2; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 106.014250; - - leakage_power () { - when : "!A"; - value : 76.292000; - } - leakage_power () { - when : "A"; - value : 135.736500; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.277374; - fall_capacitance : 3.045464; - rise_capacitance : 3.277374; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.460000; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.00246337,0.00424317,0.00613756,0.00987804,0.0173198,0.0321782,0.0618795", \ - "0.00301463,0.00502506,0.00689866,0.0106342,0.0180783,0.0329400,0.0626444", \ - "0.00304246,0.00622158,0.00900937,0.0135868,0.0210446,0.0357915,0.0654291", \ - "0.00178940,0.00610726,0.00988542,0.0160826,0.0260827,0.0417637,0.0710671", \ - "-0.00103264,0.00436082,0.00913447,0.0169603,0.0294735,0.0493885,0.0804871", \ - "-0.00555764,0.000799041,0.00651412,0.0159512,0.0310314,0.0548230,0.0924012", \ - "-0.0118734,-0.00470030,0.00188825,0.0128636,0.0304953,0.0582269,0.101817"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.00307079,0.00556442,0.00821666,0.0134311,0.0237756,0.0444052,0.0856249", \ - "0.00420474,0.00655624,0.00914388,0.0143330,0.0246828,0.0453247,0.0865560", \ - "0.00654425,0.0100674,0.0132531,0.0184482,0.0285028,0.0489367,0.0900424", \ - "0.00997096,0.0145344,0.0186191,0.0255778,0.0369074,0.0567437,0.0973215", \ - "0.0143842,0.0201051,0.0251348,0.0335206,0.0475001,0.0700268,0.109664", \ - "0.0199544,0.0267441,0.0327887,0.0427280,0.0589644,0.0857076,0.128184", \ - "0.0268970,0.0345741,0.0415964,0.0531762,0.0718012,0.102107,0.151425"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.00105938,0.00250865,0.00411500,0.00732892,0.0137554,0.0266160,0.0523302", \ - "0.00164216,0.00265256,0.00411532,0.00732885,0.0137563,0.0266163,0.0523326", \ - "0.00359638,0.00499811,0.00632992,0.00858617,0.0138629,0.0266135,0.0523286", \ - "0.00673626,0.00863478,0.0102904,0.0131509,0.0180842,0.0276112,0.0523284", \ - "0.0111599,0.0136096,0.0157180,0.0191633,0.0249236,0.0347744,0.0540280", \ - "0.0171205,0.0200107,0.0226012,0.0267861,0.0334484,0.0446255,0.0633474", \ - "0.0248984,0.0280309,0.0310533,0.0360187,0.0438101,0.0562302,0.0773660"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.00164146,0.00381429,0.00622166,0.0110368,0.0206757,0.0399498,0.0784960", \ - "0.00209625,0.00381545,0.00622023,0.0110380,0.0206755,0.0399496,0.0785043", \ - "0.00388706,0.00593410,0.00772265,0.0114074,0.0206756,0.0399531,0.0785053", \ - "0.00668901,0.00899976,0.0113468,0.0155016,0.0225379,0.0399537,0.0784978", \ - "0.0108268,0.0134083,0.0159715,0.0207170,0.0290973,0.0433153,0.0784961", \ - "0.0165413,0.0192909,0.0221163,0.0272258,0.0365652,0.0525733,0.0819270", \ - "0.0241293,0.0268175,0.0298574,0.0354019,0.0453237,0.0632670,0.0925902"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("-0.000303,-0.000505,-0.000726,-0.001165,-0.002040,-0.003790,-0.007288", \ - "-0.000602,-0.000798,-0.001018,-0.001456,-0.002332,-0.004081,-0.007580", \ - "1.041417,0.452785,0.083825,-0.002506,-0.003371,-0.005115,-0.008610", \ - "3.917263,3.456656,2.817668,1.861185,1.022719,0.506827,0.152394", \ - "8.115845,7.904287,7.415266,6.211028,4.323113,2.641286,1.518632", \ - "13.807260,13.780370,13.550430,12.618770,10.297470,7.017688,4.391077", \ - "21.333950,21.436110,21.320490,20.753500,18.689080,14.346600,9.423119"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("4.610374,4.830991,4.856681,4.851161,4.805515,5.019837,4.644310", \ - "4.767331,4.806039,4.765851,4.782664,4.905372,4.397251,4.743553", \ - "5.824721,6.015449,5.824313,5.466232,5.349966,4.824533,4.825636", \ - "9.218150,8.774134,8.469849,8.119677,7.158259,6.230734,5.918718", \ - "14.755870,14.202920,13.559490,12.478610,11.328980,9.718319,7.946215", \ - "22.837530,21.962970,21.348320,19.937020,17.855510,15.135390,12.114910", \ - "33.601560,32.390860,31.698130,30.224770,27.329560,23.518590,19.384080"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X4 - Cell Description : Combinational cell (INV_X4) with drive strength X4 - *******************************************************************************************/ - - cell (INV_X4) { - - drive_strength : 4; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 212.033187; - - leakage_power () { - when : "!A"; - value : 152.586250; - } - leakage_power () { - when : "A"; - value : 271.480125; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.297243; - fall_capacitance : 5.839328; - rise_capacitance : 6.297243; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.920000; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.00251088,0.00440588,0.00630539,0.0100516,0.0175007,0.0323708,0.0620953", \ - "0.00303667,0.00517907,0.00705792,0.0107981,0.0182486,0.0331226,0.0628488", \ - "0.00302272,0.00640000,0.00918221,0.0137518,0.0212121,0.0359714,0.0656308", \ - "0.00171847,0.00630420,0.0100786,0.0162685,0.0262615,0.0419437,0.0712693", \ - "-0.00114812,0.00457219,0.00934111,0.0171641,0.0296738,0.0495827,0.0806918", \ - "-0.00571049,0.00102401,0.00673520,0.0161668,0.0312473,0.0550388,0.0926200", \ - "-0.0120587,-0.00446482,0.00212057,0.0130918,0.0307221,0.0584614,0.102058"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.00307338,0.00572007,0.00837905,0.0136021,0.0239589,0.0446068,0.0858656", \ - "0.00418056,0.00670599,0.00929826,0.0144945,0.0248541,0.0455155,0.0867855", \ - "0.00648992,0.0102214,0.0134060,0.0186073,0.0286709,0.0491249,0.0902696", \ - "0.00987301,0.0147146,0.0187907,0.0257442,0.0370773,0.0569330,0.0975479", \ - "0.0142387,0.0203049,0.0253275,0.0337044,0.0476824,0.0702171,0.109891", \ - "0.0197676,0.0269595,0.0329977,0.0429310,0.0591617,0.0859109,0.128410", \ - "0.0266825,0.0348028,0.0418187,0.0533934,0.0720159,0.102325,0.151665"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.000983696,0.00250621,0.00411331,0.00732922,0.0137619,0.0266299,0.0523645", \ - "0.00157099,0.00264683,0.00411581,0.00732927,0.0137614,0.0266321,0.0523682", \ - "0.00352102,0.00499608,0.00631986,0.00857547,0.0138659,0.0266295,0.0523637", \ - "0.00663772,0.00864440,0.0102954,0.0131463,0.0180753,0.0276220,0.0523664", \ - "0.0110410,0.0136210,0.0157330,0.0191650,0.0249258,0.0347746,0.0540554", \ - "0.0169846,0.0200255,0.0226156,0.0267993,0.0334583,0.0446296,0.0633578", \ - "0.0247493,0.0280492,0.0310680,0.0360269,0.0438208,0.0562414,0.0773787"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.00152504,0.00380587,0.00621345,0.0110329,0.0206798,0.0399695,0.0785367", \ - "0.00202429,0.00381570,0.00621488,0.0110335,0.0206759,0.0399588,0.0785439", \ - "0.00377171,0.00591994,0.00771259,0.0114005,0.0206797,0.0399672,0.0785467", \ - "0.00658529,0.00900025,0.0113382,0.0154918,0.0225325,0.0399716,0.0785483", \ - "0.0107268,0.0134294,0.0159794,0.0207131,0.0290915,0.0433295,0.0785383", \ - "0.0164505,0.0193216,0.0221378,0.0272351,0.0365649,0.0525769,0.0819591", \ - "0.0240621,0.0268554,0.0298883,0.0354235,0.0453363,0.0632752,0.0926092"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("-0.000599,-0.001028,-0.001470,-0.002348,-0.004101,-0.007605,-0.014610", \ - "-0.001198,-0.001612,-0.002052,-0.002930,-0.004683,-0.008187,-0.015192", \ - "2.229507,0.940722,0.187361,-0.005029,-0.006762,-0.010254,-0.017251", \ - "7.981804,6.974100,5.690881,3.753792,2.065387,1.026777,0.312929", \ - "16.309760,15.827050,14.848370,12.511090,8.679936,5.305606,3.054393", \ - "27.701240,27.617710,27.147410,25.234880,20.644240,14.062780,8.800947", \ - "42.795220,42.952410,42.786100,41.444220,37.454570,28.715220,18.872250"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("8.999654,9.400836,9.502701,9.704154,9.671417,9.301078,9.226306", \ - "9.397267,9.434989,9.428941,9.463936,9.380546,9.299685,9.393943", \ - "11.612270,11.937960,11.472470,11.060500,10.190040,10.366000,9.208594", \ - "18.303100,17.355720,16.774160,15.983660,14.239710,12.734590,11.720970", \ - "29.515850,28.204490,26.937160,25.116230,22.579580,19.160380,15.353700", \ - "45.668090,43.894310,42.564790,39.726590,35.289270,31.120370,24.171500", \ - "67.288490,65.007050,63.398220,60.261070,54.524010,47.875760,38.454900"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X8 - Cell Description : Combinational cell (INV_X8) with drive strength X8 - *******************************************************************************************/ - - cell (INV_X8) { - - drive_strength : 8; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 424.098125; - - leakage_power () { - when : "!A"; - value : 305.187500; - } - leakage_power () { - when : "A"; - value : 543.008750; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 11.751864; - fall_capacitance : 10.986974; - rise_capacitance : 11.751864; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 485.229000; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("0.00301066,0.00504019,0.00695740,0.0107137,0.0181667,0.0330343,0.0627455", \ - "0.00358003,0.00576513,0.00767357,0.0114226,0.0188751,0.0337442,0.0634598", \ - "0.00369259,0.00710465,0.00984724,0.0143659,0.0218130,0.0365668,0.0662146", \ - "0.00249438,0.00711612,0.0108402,0.0169747,0.0269005,0.0425347,0.0718483", \ - "-0.000282198,0.00547840,0.0101851,0.0179426,0.0303821,0.0502183,0.0812694", \ - "-0.00477100,0.00200990,0.00764930,0.0170041,0.0320098,0.0557242,0.0932256", \ - "-0.0110674,-0.00340991,0.00309583,0.0139794,0.0315332,0.0591912,0.102703"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("0.00360081,0.00639617,0.00907666,0.0143223,0.0247054,0.0453954,0.0867259", \ - "0.00466379,0.00733493,0.00995195,0.0151653,0.0255473,0.0462464,0.0875849", \ - "0.00711474,0.0108847,0.0140419,0.0192454,0.0293355,0.0498275,0.0910443", \ - "0.0106322,0.0155047,0.0195268,0.0264301,0.0377322,0.0576261,0.0983164", \ - "0.0151258,0.0212198,0.0261738,0.0344796,0.0483995,0.0709091,0.110652", \ - "0.0207618,0.0279929,0.0339491,0.0437982,0.0599541,0.0866573,0.129169", \ - "0.0277638,0.0359465,0.0428728,0.0543532,0.0728878,0.103132,0.152460"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("0.00107737,0.00259210,0.00418286,0.00739139,0.0138196,0.0266863,0.0524149", \ - "0.00158891,0.00271754,0.00420684,0.00739266,0.0138218,0.0266856,0.0524127", \ - "0.00359992,0.00505867,0.00634949,0.00859273,0.0139234,0.0266865,0.0524163", \ - "0.00678872,0.00876772,0.0103814,0.0131890,0.0180785,0.0276508,0.0524169", \ - "0.0112498,0.0138039,0.0158675,0.0192564,0.0249658,0.0347731,0.0540845", \ - "0.0172220,0.0202575,0.0227977,0.0269278,0.0335392,0.0446540,0.0633490", \ - "0.0249860,0.0283144,0.0312891,0.0361960,0.0439260,0.0562972,0.0773763"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("0.00162817,0.00391376,0.00631264,0.0111350,0.0207913,0.0401122,0.0787686", \ - "0.00201292,0.00396194,0.00632046,0.0111352,0.0207964,0.0401226,0.0787598", \ - "0.00384915,0.00597825,0.00776273,0.0115006,0.0207941,0.0401174,0.0787585", \ - "0.00675987,0.00913093,0.0114228,0.0155504,0.0226189,0.0401249,0.0787622", \ - "0.0109605,0.0136330,0.0161296,0.0208053,0.0291597,0.0434396,0.0787633", \ - "0.0167026,0.0195765,0.0223446,0.0273795,0.0366583,0.0526593,0.0821394", \ - "0.0242987,0.0271446,0.0301376,0.0356129,0.0454597,0.0633744,0.0927396"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("-0.001320,-0.002211,-0.003097,-0.004857,-0.008367,-0.015379,-0.029399", \ - "-0.002506,-0.003373,-0.004254,-0.006012,-0.009522,-0.016534,-0.030555", \ - "4.878389,2.060496,0.486979,-0.010206,-0.013675,-0.020662,-0.034668", \ - "16.465150,14.243010,11.615530,7.644354,4.210483,2.100917,0.669351", \ - "33.357970,32.168320,30.089480,25.257230,17.505680,10.690310,6.171060", \ - "56.001580,55.955590,54.784260,50.885020,41.567800,28.258880,17.699340", \ - "86.267880,86.239900,85.930980,83.374720,75.178330,57.666130,37.872400"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("17.558910,18.656670,18.900680,19.412700,19.043180,19.122830,15.123180", \ - "18.410090,18.635110,18.641810,18.922710,18.681320,18.855990,17.884390", \ - "23.430070,23.670960,22.833840,21.730210,20.450390,20.007530,16.932880", \ - "37.247910,35.074180,33.814680,31.871630,29.063480,24.128710,21.539920", \ - "59.843190,56.990340,54.275330,50.156900,45.436060,39.369440,30.273970", \ - "91.620050,88.593720,85.475000,79.299410,71.046170,61.137270,50.133190", \ - "135.194700,130.395100,127.131500,120.553000,108.971900,95.520650,76.602390"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X16 - Cell Description : Combinational cell (INV_X16) with drive strength X16 - *******************************************************************************************/ - - cell (INV_X16) { - - drive_strength : 16; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 848.131250; - - leakage_power () { - when : "!A"; - value : 610.343750; - } - leakage_power () { - when : "A"; - value : 1085.918750; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 25.411004; - fall_capacitance : 23.620702; - rise_capacitance : 25.411004; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 969.238000; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("0.00245296,0.00443181,0.00633234,0.0100788,0.0175274,0.0323961,0.0621166", \ - "0.00295596,0.00520453,0.00708526,0.0108264,0.0182774,0.0331499,0.0628736", \ - "0.00288736,0.00642798,0.00921264,0.0137829,0.0212448,0.0360054,0.0656640", \ - "0.00151650,0.00632328,0.0101035,0.0163017,0.0262980,0.0419834,0.0713118", \ - "-0.00142589,0.00456779,0.00934579,0.0171841,0.0297094,0.0496286,0.0807426", \ - "-0.00606657,0.000981919,0.00670531,0.0161578,0.0312636,0.0550830,0.0926776", \ - "-0.0125014,-0.00455935,0.00204133,0.0130366,0.0307042,0.0584869,0.102119"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("0.00300678,0.00579162,0.00847498,0.0137442,0.0241924,0.0450251,0.0866505", \ - "0.00410318,0.00677397,0.00939338,0.0146384,0.0250918,0.0459378,0.0875775", \ - "0.00638143,0.0103043,0.0135090,0.0187475,0.0289123,0.0495566,0.0910724", \ - "0.00973860,0.0148252,0.0189237,0.0259174,0.0373168,0.0573629,0.0983642", \ - "0.0140942,0.0204589,0.0255035,0.0339231,0.0479794,0.0706446,0.110703", \ - "0.0196326,0.0271707,0.0332326,0.0432085,0.0595225,0.0864224,0.129204", \ - "0.0265848,0.0350844,0.0421284,0.0537499,0.0724545,0.102926,0.152550"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("0.000930087,0.00250925,0.00411676,0.00733449,0.0137734,0.0266488,0.0524064", \ - "0.00152521,0.00264770,0.00411948,0.00733486,0.0137733,0.0266507,0.0524039", \ - "0.00345841,0.00499729,0.00631907,0.00857644,0.0138753,0.0266479,0.0524075", \ - "0.00656016,0.00864898,0.0102983,0.0131459,0.0180745,0.0276378,0.0524048", \ - "0.0109372,0.0136309,0.0157419,0.0191708,0.0249244,0.0347745,0.0540866", \ - "0.0168674,0.0200381,0.0226287,0.0268101,0.0334637,0.0446220,0.0633637", \ - "0.0246183,0.0280573,0.0310840,0.0360494,0.0438355,0.0562469,0.0773671"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("0.00145482,0.00384467,0.00627664,0.0111491,0.0208958,0.0403927,0.0793833", \ - "0.00198527,0.00385338,0.00627872,0.0111493,0.0208979,0.0403930,0.0793706", \ - "0.00369594,0.00594596,0.00775219,0.0115016,0.0208932,0.0403937,0.0793737", \ - "0.00649800,0.00902015,0.0113765,0.0155640,0.0226994,0.0403945,0.0793799", \ - "0.0106225,0.0134447,0.0160097,0.0207755,0.0292234,0.0436598,0.0793784", \ - "0.0163489,0.0193303,0.0221630,0.0272884,0.0366844,0.0528326,0.0826716", \ - "0.0239645,0.0268561,0.0299048,0.0354650,0.0454387,0.0635187,0.0931565"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("-0.002339,-0.004127,-0.005900,-0.009426,-0.016460,-0.030517,-0.058625", \ - "-0.004740,-0.006465,-0.008229,-0.011752,-0.018787,-0.032845,-0.060954", \ - "9.118084,3.774048,0.791443,-0.020151,-0.027107,-0.041116,-0.069196", \ - "31.834790,27.746690,22.737650,15.063880,8.390324,4.346078,1.578564", \ - "64.832740,63.140960,59.246300,49.958270,34.799540,21.476450,12.644230", \ - "111.079100,110.417000,108.168100,100.890500,82.551130,56.445930,35.710390", \ - "171.279400,170.558800,170.008900,165.610900,149.432700,114.934900,75.940430"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("35.985860,37.681750,38.166030,38.029590,38.393110,39.290110,39.486110", \ - "37.572730,37.584310,37.914770,37.642930,37.743690,39.529260,30.281720", \ - "46.765530,47.686280,45.899810,44.021920,40.499880,41.452600,38.335190", \ - "73.521680,69.438580,67.116900,63.789350,58.545970,49.583900,42.278030", \ - "118.512400,112.590000,107.410400,99.456530,91.121540,75.438230,57.621520", \ - "181.685400,175.430200,169.750900,157.856400,142.473600,123.160700,100.472500", \ - "268.722900,259.135000,252.332200,240.124200,217.997200,190.006500,150.024700"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X32 - Cell Description : Combinational cell (INV_X32) with drive strength X32 - *******************************************************************************************/ - - cell (INV_X32) { - - drive_strength : 32; - - area : 8.778000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 1696.286250; - - leakage_power () { - when : "!A"; - value : 1220.697500; - } - leakage_power () { - when : "A"; - value : 2171.875000; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 49.420322; - fall_capacitance : 45.803319; - rise_capacitance : 49.420322; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 1923.830000; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("0.00262558,0.00466584,0.00658186,0.0103482,0.0178304,0.0327627,0.0626069", \ - "0.00314259,0.00542522,0.00732628,0.0110887,0.0185738,0.0335087,0.0633563", \ - "0.00309820,0.00668744,0.00947886,0.0140557,0.0215476,0.0363773,0.0661668", \ - "0.00172377,0.00659182,0.0103934,0.0166173,0.0266380,0.0423698,0.0718432", \ - "-0.00124837,0.00481981,0.00962514,0.0175101,0.0300948,0.0500768,0.0812928", \ - "-0.00595254,0.00118227,0.00694247,0.0164590,0.0316619,0.0555891,0.0932994", \ - "-0.0124889,-0.00444384,0.00220197,0.0132762,0.0310721,0.0590231,0.102835"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("0.00322509,0.00612891,0.00888133,0.0142782,0.0249769,0.0463069,0.0889279", \ - "0.00431562,0.00709282,0.00978815,0.0151674,0.0258752,0.0472195,0.0898544", \ - "0.00665234,0.0106605,0.0139158,0.0192616,0.0296970,0.0508603,0.0933895", \ - "0.0101022,0.0152714,0.0194187,0.0265131,0.0380887,0.0586630,0.100708", \ - "0.0145690,0.0210267,0.0261203,0.0346412,0.0489015,0.0719211,0.113036", \ - "0.0202413,0.0278864,0.0340035,0.0440849,0.0606109,0.0879165,0.131484", \ - "0.0273469,0.0359747,0.0430826,0.0548198,0.0737447,0.104647,0.155036"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("0.000949671,0.00253479,0.00415235,0.00739425,0.0138824,0.0268635,0.0528279", \ - "0.00152411,0.00266494,0.00415928,0.00739461,0.0138832,0.0268664,0.0528275", \ - "0.00348178,0.00501083,0.00632626,0.00860476,0.0139781,0.0268662,0.0528270", \ - "0.00659602,0.00868722,0.0103297,0.0131655,0.0181128,0.0278159,0.0528304", \ - "0.0109908,0.0136919,0.0157919,0.0192152,0.0249601,0.0348628,0.0544530", \ - "0.0169182,0.0201087,0.0227006,0.0268754,0.0335279,0.0447005,0.0635978", \ - "0.0246533,0.0281317,0.0311641,0.0361312,0.0439183,0.0563397,0.0775185"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("0.00150221,0.00395474,0.00645477,0.0114645,0.0214878,0.0415346,0.0816358", \ - "0.00199185,0.00397174,0.00645425,0.0114633,0.0214879,0.0415376,0.0816401", \ - "0.00373325,0.00602130,0.00786239,0.0117749,0.0214878,0.0415364,0.0816481", \ - "0.00655752,0.00910264,0.0114926,0.0157629,0.0231703,0.0415394,0.0816507", \ - "0.0106884,0.0135376,0.0161270,0.0209695,0.0295909,0.0445720,0.0816476", \ - "0.0164000,0.0194252,0.0222814,0.0274705,0.0370386,0.0535385,0.0846081", \ - "0.0239759,0.0269400,0.0300200,0.0356461,0.0457671,0.0642060,0.0947099"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("-0.004844,-0.008516,-0.012128,-0.019308,-0.033635,-0.062265,-0.119518", \ - "-0.009628,-0.013184,-0.016778,-0.023955,-0.038285,-0.066919,-0.124171", \ - "18.549400,7.800198,1.902319,-0.040751,-0.054929,-0.083470,-0.140672", \ - "63.559180,55.383250,45.578940,30.525700,17.701430,10.125870,5.072768", \ - "128.189000,125.258700,117.978500,99.647470,70.190220,44.582610,27.993850", \ - "218.890600,218.280100,214.541300,200.254800,165.086000,114.104500,74.424100", \ - "337.875200,337.472600,336.491100,327.311600,297.353800,230.232000,154.635400"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("70.254710,74.603180,75.083280,76.793860,73.325430,72.326690,69.455460", \ - "73.741960,74.307740,74.442040,75.792150,76.110930,71.500400,73.545340", \ - "92.762300,94.519470,90.338580,85.942370,82.320100,76.602680,77.252750", \ - "146.258000,137.641600,132.767200,126.252500,115.081200,102.144800,96.741890", \ - "234.624900,223.145100,212.429700,197.812800,178.895900,155.589500,130.789000", \ - "360.887600,347.823800,334.988000,312.544000,283.514500,246.765600,194.804500", \ - "532.078700,511.899300,498.469400,476.316500,431.906100,374.361900,311.619600"); - } - } - } - - } - - - /****************************************************************************************** - Module : LOGIC0_X1 - Cell Description : Physical cell (LOGIC0_X1) - *******************************************************************************************/ - - cell (LOGIC0_X1) { - - drive_strength : 1; - - area : 0.532000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - function : "0"; - } - - } - - - /****************************************************************************************** - Module : LOGIC1_X1 - Cell Description : Physical cell (LOGIC1_X1) - *******************************************************************************************/ - - cell (LOGIC1_X1) { - - drive_strength : 1; - - area : 0.532000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - function : "1"; - } - - } - - - /****************************************************************************************** - Module : MUX2_X1 - Cell Description : Combinational cell (MUX2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (MUX2_X1) { - - drive_strength : 1; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 123.330125; - - leakage_power () { - when : "!A & !B & !S"; - value : 97.903750; - } - leakage_power () { - when : "!A & !B & S"; - value : 112.530375; - } - leakage_power () { - when : "!A & B & !S"; - value : 131.587875; - } - leakage_power () { - when : "!A & B & S"; - value : 128.812000; - } - leakage_power () { - when : "A & !B & !S"; - value : 114.196750; - } - leakage_power () { - when : "A & !B & S"; - value : 146.222250; - } - leakage_power () { - when : "A & B & !S"; - value : 120.376875; - } - leakage_power () { - when : "A & B & S"; - value : 135.011125; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.969148; - fall_capacitance : 0.937033; - rise_capacitance : 0.969148; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.968150; - fall_capacitance : 0.930543; - rise_capacitance : 0.968150; - } - - pin (S) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.921035; - fall_capacitance : 1.841146; - rise_capacitance : 1.921035; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.501100; - function : "((S & B) | (A & !S))"; - - timing () { - - related_pin : "A"; - when : "!B & !S"; - sdf_cond : "(B == 1'b0) && (S == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.026258,0.029174,0.032169,0.037295,0.046134,0.061870,0.091696", \ - "0.027185,0.030101,0.033096,0.038222,0.047062,0.062798,0.092624", \ - "0.029896,0.032809,0.035796,0.040917,0.049754,0.065495,0.095324", \ - "0.035495,0.038421,0.041412,0.046519,0.055339,0.071065,0.100877", \ - "0.043509,0.046564,0.049678,0.054955,0.063978,0.079864,0.109679", \ - "0.053972,0.057178,0.060435,0.065906,0.075181,0.091330,0.121286", \ - "0.066504,0.069893,0.073339,0.079088,0.088723,0.105299,0.135487"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.019982,0.022886,0.026054,0.031758,0.042340,0.062934,0.103986", \ - "0.020476,0.023380,0.026548,0.032251,0.042833,0.063428,0.104481", \ - "0.022034,0.024929,0.028086,0.033773,0.044337,0.064919,0.105965", \ - "0.024536,0.027457,0.030633,0.036330,0.046868,0.067385,0.108378", \ - "0.026638,0.029656,0.032908,0.038677,0.049214,0.069651,0.110544", \ - "0.027255,0.030472,0.033885,0.039875,0.050575,0.071020,0.111795", \ - "0.025998,0.029452,0.033072,0.039382,0.050389,0.071067,0.111920"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.005209,0.006474,0.007936,0.010821,0.016544,0.028262,0.052998", \ - "0.005209,0.006473,0.007936,0.010820,0.016544,0.028262,0.052999", \ - "0.005205,0.006475,0.007941,0.010826,0.016547,0.028263,0.052998", \ - "0.005589,0.006812,0.008213,0.011013,0.016662,0.028315,0.053011", \ - "0.006359,0.007602,0.008998,0.011743,0.017267,0.028658,0.053093", \ - "0.007386,0.008633,0.010008,0.012687,0.018105,0.029283,0.053384", \ - "0.008715,0.009990,0.011367,0.013999,0.019315,0.030245,0.053859"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.003917,0.005794,0.008018,0.012382,0.021414,0.040272,0.078572", \ - "0.003918,0.005794,0.008016,0.012382,0.021414,0.040270,0.078564", \ - "0.003928,0.005804,0.008028,0.012391,0.021421,0.040266,0.078561", \ - "0.004228,0.006061,0.008267,0.012586,0.021526,0.040292,0.078569", \ - "0.004968,0.006683,0.008829,0.013037,0.021801,0.040437,0.078601", \ - "0.006101,0.007703,0.009783,0.013893,0.022430,0.040754,0.078742", \ - "0.007472,0.009006,0.011032,0.015085,0.023420,0.041536,0.079138"); - } - } - - timing () { - - related_pin : "A"; - when : "B & !S"; - sdf_cond : "(B == 1'b1) && (S == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.026259,0.029176,0.032170,0.037296,0.046135,0.061872,0.091697", \ - "0.027185,0.030103,0.033097,0.038224,0.047063,0.062800,0.092626", \ - "0.029898,0.032810,0.035798,0.040918,0.049755,0.065494,0.095323", \ - "0.035498,0.038422,0.041413,0.046520,0.055341,0.071066,0.100879", \ - "0.043510,0.046566,0.049680,0.054957,0.063979,0.079865,0.109680", \ - "0.053974,0.057180,0.060437,0.065908,0.075183,0.091331,0.121288", \ - "0.066500,0.069896,0.073342,0.079090,0.088725,0.105301,0.135489"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.019982,0.022886,0.026054,0.031758,0.042340,0.062934,0.103987", \ - "0.020476,0.023379,0.026547,0.032251,0.042833,0.063427,0.104483", \ - "0.022033,0.024929,0.028085,0.033772,0.044336,0.064918,0.105964", \ - "0.024536,0.027456,0.030633,0.036329,0.046868,0.067385,0.108377", \ - "0.026637,0.029655,0.032907,0.038680,0.049210,0.069648,0.110541", \ - "0.027253,0.030470,0.033883,0.039873,0.050574,0.071018,0.111793", \ - "0.025991,0.029445,0.033067,0.039380,0.050388,0.071065,0.111917"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.005209,0.006473,0.007936,0.010821,0.016543,0.028262,0.052998", \ - "0.005208,0.006474,0.007936,0.010820,0.016544,0.028262,0.052999", \ - "0.005205,0.006475,0.007941,0.010825,0.016547,0.028263,0.052998", \ - "0.005589,0.006813,0.008211,0.011013,0.016660,0.028315,0.053011", \ - "0.006359,0.007602,0.008997,0.011744,0.017267,0.028658,0.053093", \ - "0.007385,0.008633,0.010008,0.012687,0.018105,0.029283,0.053384", \ - "0.008724,0.009990,0.011366,0.013999,0.019315,0.030245,0.053859"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.003917,0.005795,0.008018,0.012381,0.021413,0.040270,0.078557", \ - "0.003918,0.005794,0.008016,0.012382,0.021414,0.040273,0.078566", \ - "0.003928,0.005804,0.008028,0.012391,0.021421,0.040266,0.078561", \ - "0.004228,0.006061,0.008266,0.012586,0.021527,0.040294,0.078570", \ - "0.004968,0.006681,0.008828,0.013036,0.021801,0.040438,0.078601", \ - "0.006102,0.007702,0.009783,0.013893,0.022430,0.040752,0.078742", \ - "0.007474,0.009006,0.011034,0.015084,0.023419,0.041535,0.079137"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & S"; - sdf_cond : "(A == 1'b0) && (S == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.026534,0.029446,0.032437,0.037564,0.046405,0.062147,0.091977", \ - "0.027442,0.030355,0.033346,0.038473,0.047315,0.063057,0.092887", \ - "0.030092,0.032998,0.035985,0.041105,0.049945,0.065687,0.095521", \ - "0.035626,0.038547,0.041534,0.046641,0.055465,0.071196,0.101013", \ - "0.043605,0.046658,0.049767,0.055042,0.064066,0.079955,0.109771", \ - "0.054047,0.057246,0.060498,0.065965,0.075238,0.091389,0.121349", \ - "0.066560,0.069945,0.073384,0.079124,0.088757,0.105327,0.135512"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.019630,0.022536,0.025704,0.031406,0.041982,0.062574,0.103625", \ - "0.020161,0.023066,0.026235,0.031936,0.042512,0.063103,0.104159", \ - "0.021842,0.024740,0.027896,0.033580,0.044137,0.064715,0.105762", \ - "0.024451,0.027372,0.030548,0.036240,0.046771,0.067280,0.108273", \ - "0.026590,0.029612,0.032865,0.038633,0.049158,0.069586,0.110473", \ - "0.027215,0.030436,0.033852,0.039843,0.050531,0.070967,0.111739", \ - "0.025954,0.029414,0.033039,0.039353,0.050348,0.071019,0.111861"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.005206,0.006472,0.007937,0.010826,0.016553,0.028272,0.053004", \ - "0.005205,0.006472,0.007936,0.010827,0.016553,0.028272,0.053003", \ - "0.005206,0.006475,0.007942,0.010831,0.016557,0.028274,0.053005", \ - "0.005587,0.006808,0.008211,0.011017,0.016671,0.028325,0.053016", \ - "0.006355,0.007596,0.008992,0.011744,0.017272,0.028667,0.053097", \ - "0.007370,0.008616,0.009994,0.012681,0.018105,0.029288,0.053388", \ - "0.008703,0.009963,0.011347,0.013985,0.019308,0.030241,0.053860"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.003908,0.005787,0.008008,0.012368,0.021405,0.040261,0.078560", \ - "0.003909,0.005787,0.008007,0.012369,0.021403,0.040269,0.078562", \ - "0.003917,0.005797,0.008019,0.012379,0.021408,0.040263,0.078560", \ - "0.004227,0.006059,0.008263,0.012578,0.021514,0.040291,0.078566", \ - "0.004983,0.006691,0.008833,0.013032,0.021791,0.040425,0.078598", \ - "0.006119,0.007718,0.009796,0.013894,0.022419,0.040748,0.078738", \ - "0.007492,0.009024,0.011048,0.015090,0.023412,0.041520,0.079134"); - } - } - - timing () { - - related_pin : "B"; - when : "A & S"; - sdf_cond : "(A == 1'b1) && (S == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.026535,0.029448,0.032439,0.037565,0.046407,0.062148,0.091978", \ - "0.027443,0.030357,0.033347,0.038474,0.047316,0.063058,0.092888", \ - "0.030094,0.033000,0.035986,0.041107,0.049946,0.065689,0.095522", \ - "0.035628,0.038547,0.041536,0.046642,0.055465,0.071196,0.101013", \ - "0.043608,0.046660,0.049770,0.055044,0.064067,0.079957,0.109773", \ - "0.054050,0.057249,0.060500,0.065967,0.075240,0.091391,0.121351", \ - "0.066563,0.069948,0.073386,0.079126,0.088760,0.105330,0.135515"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.019630,0.022535,0.025703,0.031405,0.041982,0.062573,0.103623", \ - "0.020160,0.023065,0.026234,0.031935,0.042511,0.063102,0.104157", \ - "0.021841,0.024739,0.027895,0.033579,0.044136,0.064714,0.105763", \ - "0.024450,0.027371,0.030547,0.036239,0.046770,0.067279,0.108272", \ - "0.026588,0.029610,0.032863,0.038632,0.049156,0.069584,0.110472", \ - "0.027213,0.030434,0.033850,0.039841,0.050532,0.070964,0.111731", \ - "0.025952,0.029411,0.033037,0.039351,0.050345,0.071016,0.111859"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.005205,0.006471,0.007936,0.010826,0.016553,0.028272,0.053004", \ - "0.005205,0.006470,0.007937,0.010827,0.016553,0.028273,0.053003", \ - "0.005207,0.006475,0.007942,0.010831,0.016557,0.028274,0.053005", \ - "0.005587,0.006806,0.008210,0.011018,0.016670,0.028325,0.053016", \ - "0.006355,0.007596,0.008993,0.011743,0.017273,0.028667,0.053097", \ - "0.007370,0.008620,0.009994,0.012680,0.018105,0.029288,0.053388", \ - "0.008703,0.009963,0.011346,0.013984,0.019307,0.030242,0.053860"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.003909,0.005787,0.008007,0.012368,0.021404,0.040265,0.078566", \ - "0.003909,0.005787,0.008009,0.012370,0.021403,0.040268,0.078561", \ - "0.003919,0.005797,0.008020,0.012379,0.021408,0.040261,0.078560", \ - "0.004227,0.006059,0.008263,0.012578,0.021514,0.040291,0.078566", \ - "0.004983,0.006691,0.008834,0.013033,0.021791,0.040430,0.078598", \ - "0.006120,0.007718,0.009795,0.013894,0.022421,0.040747,0.078738", \ - "0.007492,0.009024,0.011048,0.015090,0.023412,0.041525,0.079133"); - } - } - - timing () { - - related_pin : "S"; - when : "!A & B"; - sdf_cond : "(A == 1'b0) && (B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.021113,0.024047,0.027055,0.032198,0.041044,0.056784,0.086617", \ - "0.021664,0.024594,0.027600,0.032742,0.041588,0.057329,0.087163", \ - "0.025125,0.028038,0.031027,0.036151,0.044989,0.060730,0.090565", \ - "0.032402,0.035365,0.038386,0.043509,0.052329,0.068046,0.097838", \ - "0.041282,0.044438,0.047629,0.052972,0.062026,0.077958,0.107736", \ - "0.051929,0.055287,0.058700,0.064357,0.073749,0.089923,0.119904", \ - "0.064146,0.067716,0.071389,0.077482,0.087445,0.104223,0.134514"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.016125,0.019045,0.022233,0.027964,0.038567,0.059176,0.100239", \ - "0.016823,0.019742,0.022929,0.028658,0.039261,0.059871,0.100934", \ - "0.019731,0.022611,0.025757,0.031429,0.041976,0.062546,0.103588", \ - "0.023486,0.026421,0.029584,0.035250,0.045736,0.066146,0.107060", \ - "0.026072,0.029225,0.032552,0.038350,0.048820,0.069146,0.109885", \ - "0.026960,0.030400,0.033983,0.040175,0.050913,0.071295,0.111927", \ - "0.025862,0.029597,0.033475,0.040139,0.051421,0.072074,0.112955"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.005120,0.006392,0.007866,0.010770,0.016512,0.028248,0.052995", \ - "0.005107,0.006386,0.007860,0.010765,0.016509,0.028247,0.052995", \ - "0.005073,0.006370,0.007858,0.010769,0.016513,0.028249,0.052997", \ - "0.005880,0.007078,0.008436,0.011166,0.016745,0.028352,0.053019", \ - "0.007124,0.008328,0.009648,0.012237,0.017606,0.028877,0.053137", \ - "0.008650,0.009898,0.011230,0.013710,0.018780,0.029663,0.053606", \ - "0.010582,0.011875,0.013270,0.015768,0.020666,0.031097,0.054327"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.003886,0.005766,0.007988,0.012351,0.021397,0.040261,0.078559", \ - "0.003887,0.005767,0.007988,0.012351,0.021397,0.040264,0.078558", \ - "0.003909,0.005791,0.008015,0.012379,0.021410,0.040270,0.078569", \ - "0.004754,0.006407,0.008517,0.012761,0.021601,0.040295,0.078574", \ - "0.006100,0.007589,0.009549,0.013504,0.022041,0.040548,0.078592", \ - "0.007863,0.009259,0.011144,0.014947,0.023036,0.041114,0.078874", \ - "0.009937,0.011296,0.013129,0.016910,0.024609,0.042254,0.079641"); - } - } - - timing () { - - related_pin : "S"; - when : "A & !B"; - sdf_cond : "(A == 1'b1) && (B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.028344,0.031258,0.034247,0.039369,0.048207,0.063948,0.093778", \ - "0.029058,0.031970,0.034959,0.040081,0.048919,0.064661,0.094494", \ - "0.030598,0.033510,0.036498,0.041623,0.050463,0.066205,0.096037", \ - "0.031821,0.034676,0.037633,0.042742,0.051588,0.067342,0.097185", \ - "0.032161,0.035019,0.037979,0.043083,0.051911,0.067637,0.097490", \ - "0.031301,0.034157,0.037125,0.042244,0.051083,0.066847,0.096689", \ - "0.029080,0.031956,0.034929,0.040050,0.048909,0.064742,0.094810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.025345,0.028245,0.031405,0.037095,0.047659,0.068240,0.109291", \ - "0.026317,0.029215,0.032376,0.038066,0.048630,0.069210,0.110260", \ - "0.030280,0.033178,0.036338,0.042026,0.052588,0.073168,0.114215", \ - "0.035974,0.038859,0.042022,0.047722,0.058294,0.078879,0.119927", \ - "0.043196,0.046075,0.049217,0.054882,0.065425,0.086015,0.127082", \ - "0.051866,0.054746,0.057882,0.063535,0.074060,0.094612,0.135652", \ - "0.061853,0.064756,0.067901,0.073547,0.084065,0.104653,0.145685"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.005207,0.006471,0.007938,0.010829,0.016555,0.028273,0.053004", \ - "0.005206,0.006471,0.007938,0.010829,0.016555,0.028273,0.053005", \ - "0.005207,0.006470,0.007938,0.010828,0.016554,0.028273,0.053003", \ - "0.004978,0.006275,0.007787,0.010745,0.016523,0.028264,0.053005", \ - "0.005032,0.006331,0.007836,0.010762,0.016508,0.028236,0.053039", \ - "0.005177,0.006471,0.007965,0.010878,0.016608,0.028315,0.052991", \ - "0.005442,0.006708,0.008173,0.011046,0.016748,0.028542,0.053281"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.003929,0.005803,0.008026,0.012389,0.021421,0.040267,0.078561", \ - "0.003929,0.005803,0.008025,0.012388,0.021418,0.040270,0.078559", \ - "0.003930,0.005804,0.008028,0.012391,0.021418,0.040274,0.078562", \ - "0.003898,0.005786,0.008028,0.012406,0.021431,0.040271,0.078558", \ - "0.003944,0.005808,0.008029,0.012394,0.021432,0.040317,0.078592", \ - "0.004116,0.005931,0.008128,0.012469,0.021482,0.040304,0.078625", \ - "0.004407,0.006149,0.008300,0.012597,0.021615,0.040430,0.078618"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !S"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("5.070446,5.102134,5.130983,5.201901,5.290738,5.316302,5.307311", \ - "5.043262,5.076486,5.091680,5.165756,5.264309,5.293280,5.280783", \ - "5.043356,5.073840,5.075211,5.153480,5.259639,5.282574,5.270842", \ - "5.499334,5.493924,5.458036,5.498659,5.554550,5.549510,5.523107", \ - "6.329610,6.337732,6.297986,6.304403,6.339798,6.292516,6.230473", \ - "7.813722,7.764515,7.694677,7.595785,7.576749,7.558245,7.455020", \ - "9.814299,9.779442,9.661508,9.487907,9.389254,9.292615,9.213919"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("3.429026,3.567980,3.565208,3.597226,3.489381,3.576193,3.618239", \ - "3.419148,3.531548,3.530092,3.558781,3.458903,3.522908,3.303326", \ - "3.491006,3.565109,3.558740,3.590446,3.587659,3.518105,3.339187", \ - "3.966236,3.990743,4.012401,3.952893,3.847756,3.821184,3.657600", \ - "5.090298,5.068496,5.009086,4.967200,4.720196,4.598027,4.345698", \ - "6.666075,6.596671,6.599924,6.457141,6.198564,5.908407,5.612740", \ - "8.709824,8.551345,8.509334,8.442894,8.226335,7.948507,7.723962"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !S"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("5.074793,5.106359,5.113770,5.197837,5.289630,5.315659,5.306026", \ - "5.049544,5.075605,5.091426,5.165896,5.263928,5.292406,5.279402", \ - "5.043339,5.073652,5.074816,5.160642,5.258969,5.281608,5.269199", \ - "5.479958,5.493560,5.480205,5.498166,5.553823,5.548548,5.521759", \ - "6.331119,6.324212,6.282606,6.299183,6.339070,6.291420,6.228433", \ - "7.813351,7.764223,7.694140,7.594337,7.575634,7.556018,7.453240", \ - "9.840567,9.778382,9.660782,9.485910,9.382166,9.289425,9.210716"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("3.433192,3.566556,3.613213,3.601305,3.608130,3.556280,3.619758", \ - "3.393596,3.531738,3.529412,3.541673,3.554498,3.533855,3.384566", \ - "3.491075,3.565256,3.558559,3.591233,3.587463,3.518715,3.338246", \ - "3.974979,3.979339,4.014494,3.954698,3.832834,3.809146,3.659295", \ - "5.090286,5.039869,5.007396,4.966727,4.795789,4.510974,4.341401", \ - "6.669675,6.608570,6.603782,6.464063,6.199349,5.915761,5.633261", \ - "8.710999,8.552374,8.523013,8.468903,8.317918,7.949907,7.726073"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & S"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("5.410236,5.452177,5.494447,5.609373,5.738694,5.773911,5.767694", \ - "5.383740,5.452698,5.460824,5.573723,5.706364,5.746570,5.739342", \ - "5.364994,5.436260,5.470520,5.570409,5.700768,5.736279,5.728357", \ - "5.803729,5.844162,5.854239,5.907107,5.989826,6.006209,5.980178", \ - "6.671470,6.663452,6.660588,6.693177,6.770627,6.738569,6.689319", \ - "8.119381,8.127808,8.041431,7.968072,8.000740,8.000113,7.904951", \ - "10.148160,10.094500,10.017290,9.847421,9.793406,9.726316,9.656018"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("3.099590,3.121107,3.156585,3.093724,3.039624,3.084920,2.975397", \ - "3.044932,3.067277,3.130078,3.080686,3.032295,3.072731,2.935508", \ - "3.102283,3.110790,3.169486,3.120369,3.074239,2.930553,2.856553", \ - "3.613379,3.577458,3.541862,3.506643,3.384500,3.094544,3.198243", \ - "4.758563,4.665274,4.575026,4.445647,4.264543,4.161556,3.697407", \ - "6.365075,6.254000,6.164437,6.031559,5.749696,5.280796,5.265637", \ - "8.403806,8.202030,8.134897,8.044487,7.923356,7.511065,7.256424"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & S"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("5.386459,5.458614,5.487698,5.608006,5.738361,5.773263,5.766383", \ - "5.370189,5.452390,5.462671,5.572366,5.705968,5.744673,5.738035", \ - "5.365851,5.435811,5.469617,5.570883,5.700566,5.735184,5.727039", \ - "5.803211,5.834624,5.847987,5.900778,5.988386,6.002518,5.978528", \ - "6.640606,6.667174,6.648922,6.697439,6.768468,6.737485,6.687723", \ - "8.117959,8.112461,8.040914,7.967373,7.999553,7.999402,7.903204", \ - "10.145560,10.095680,10.015840,9.846527,9.792521,9.729683,9.654131"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("3.069069,3.142277,3.129423,3.093508,3.070355,3.072979,2.912632", \ - "3.045260,3.079666,3.131122,3.107759,3.028110,3.101283,2.939323", \ - "3.085727,3.150642,3.161131,3.108974,3.075840,3.095970,3.119265", \ - "3.612959,3.577155,3.529599,3.507185,3.385284,3.097458,3.199571", \ - "4.758962,4.663541,4.605236,4.476187,4.243454,4.163282,3.698622", \ - "6.360478,6.251971,6.155331,6.025710,5.719479,5.261090,5.265749", \ - "8.404069,8.202403,8.132937,8.044154,7.917398,7.486371,7.257937"); - } - } - - internal_power () { - - related_pin : "S"; - when : "!A & B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("6.704859,6.729255,6.765919,6.849258,6.961555,6.994436,6.987021", \ - "6.652880,6.687906,6.700675,6.813140,6.921680,6.953595,6.946058", \ - "7.067382,7.124006,7.133931,7.222757,7.329871,7.361634,7.358087", \ - "8.730582,8.724593,8.653219,8.667760,8.705703,8.690268,8.653527", \ - "11.290710,11.279510,11.205510,11.196640,11.248960,11.161520,11.068810", \ - "15.181710,15.175560,15.074850,14.970890,14.933380,14.936000,14.771100", \ - "20.087190,20.174040,20.129170,20.024300,19.998930,19.962780,19.894170"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("3.045373,3.097251,3.125746,3.182511,3.102790,3.142254,2.995337", \ - "3.052528,3.121914,3.112775,3.130545,3.064032,3.128256,3.142297", \ - "3.472206,3.495469,3.544381,3.464114,3.503591,3.406128,3.201831", \ - "5.213429,5.126601,5.070692,4.915665,4.773654,4.777383,4.402846", \ - "8.199088,8.098964,8.050458,7.870234,7.600572,7.346019,7.039302", \ - "12.047300,11.946490,11.963090,11.975960,11.811980,11.352210,10.853570", \ - "16.477740,16.427950,16.437050,16.741160,17.110460,16.863390,16.374240"); - } - } - - internal_power () { - - related_pin : "S"; - when : "A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("4.499012,4.560384,4.595064,4.700081,4.829852,4.868280,4.860185", \ - "4.466325,4.526210,4.560523,4.677428,4.802432,4.843306,4.840293", \ - "4.639609,4.696479,4.744710,4.843940,4.974371,5.011377,5.006174", \ - "5.381284,5.423928,5.451501,5.594747,5.732399,5.781267,5.782786", \ - "7.023864,7.068768,7.108908,7.207643,7.290583,7.344455,7.350787", \ - "8.957480,9.111871,9.263388,9.496863,9.735379,9.760949,9.734200", \ - "11.142770,11.376040,11.542130,11.963970,12.546910,13.016230,13.034750"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("6.715189,6.814084,6.851977,6.836288,6.757318,6.813787,6.784004", \ - "6.664676,6.799009,6.816382,6.834153,6.827866,6.838011,6.417801", \ - "7.032219,7.092214,7.162960,7.160902,7.098610,7.138141,7.141614", \ - "7.847895,7.915590,7.971891,7.978022,7.947417,7.928632,7.786024", \ - "9.200111,9.331252,9.430217,9.563171,9.481056,9.508180,9.273949", \ - "11.267660,11.453800,11.591990,11.673050,11.826080,11.830110,11.779760", \ - "13.824290,14.120960,14.299460,14.605590,14.815910,14.976450,15.013990"); - } - } - } - - } - - - /****************************************************************************************** - Module : MUX2_X2 - Cell Description : Combinational cell (MUX2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (MUX2_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 236.620125; - - leakage_power () { - when : "!A & !B & !S"; - value : 242.906250; - } - leakage_power () { - when : "!A & !B & S"; - value : 191.846375; - } - leakage_power () { - when : "!A & B & !S"; - value : 311.149625; - } - leakage_power () { - when : "!A & B & S"; - value : 246.019625; - } - leakage_power () { - when : "A & !B & !S"; - value : 190.802625; - } - leakage_power () { - when : "A & !B & S"; - value : 194.386753; - } - leakage_power () { - when : "A & B & !S"; - value : 230.012875; - } - leakage_power () { - when : "A & B & S"; - value : 285.836875; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.610035; - fall_capacitance : 1.610035; - rise_capacitance : 1.605414; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.788509; - fall_capacitance : 1.516498; - rise_capacitance : 1.788509; - } - - pin (S) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.609144; - fall_capacitance : 2.558914; - rise_capacitance : 2.609144; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "((S & B) | (A & !S))"; - - timing () { - - related_pin : "A"; - when : "!B & !S"; - sdf_cond : "(B == 1'b0) && (S == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.021309,0.024360,0.027176,0.032081,0.040641,0.056112,0.085796", \ - "0.022214,0.025264,0.028080,0.032985,0.041545,0.057017,0.086702", \ - "0.025441,0.028475,0.031279,0.036177,0.044737,0.060211,0.089899", \ - "0.031074,0.034202,0.037067,0.042021,0.050622,0.066106,0.095767", \ - "0.037875,0.041230,0.044278,0.049500,0.058457,0.074222,0.103901", \ - "0.046053,0.049669,0.052955,0.058524,0.067952,0.084200,0.114107", \ - "0.055169,0.059057,0.062608,0.068600,0.078636,0.095692,0.126205"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.021094,0.024402,0.027595,0.033340,0.043964,0.064576,0.105620", \ - "0.021683,0.024991,0.028184,0.033929,0.044552,0.065165,0.106206", \ - "0.024404,0.027708,0.030894,0.036623,0.047226,0.067825,0.108856", \ - "0.029567,0.032850,0.036012,0.041699,0.052195,0.072655,0.113582", \ - "0.034152,0.037589,0.040817,0.046514,0.056949,0.077313,0.118064", \ - "0.037864,0.041551,0.044958,0.050855,0.061329,0.081525,0.122154", \ - "0.040548,0.044515,0.048150,0.054388,0.065106,0.085326,0.125790"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003873,0.005354,0.006908,0.009921,0.015773,0.027694,0.052650", \ - "0.003873,0.005354,0.006908,0.009921,0.015773,0.027694,0.052650", \ - "0.003882,0.005369,0.006923,0.009933,0.015781,0.027696,0.052650", \ - "0.004577,0.005993,0.007462,0.010328,0.016012,0.027789,0.052667", \ - "0.005663,0.007104,0.008558,0.011377,0.016914,0.028298,0.052767", \ - "0.007041,0.008536,0.010010,0.012793,0.018223,0.029242,0.053160", \ - "0.008671,0.010244,0.011784,0.014607,0.020041,0.030883,0.054045"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003931,0.006010,0.008239,0.012597,0.021594,0.040379,0.078600", \ - "0.003930,0.006010,0.008239,0.012598,0.021591,0.040378,0.078602", \ - "0.003928,0.006009,0.008240,0.012601,0.021593,0.040385,0.078608", \ - "0.004381,0.006310,0.008498,0.012794,0.021681,0.040401,0.078612", \ - "0.005405,0.007101,0.009122,0.013223,0.021963,0.040564,0.078643", \ - "0.006724,0.008296,0.010208,0.014083,0.022435,0.040790,0.078820", \ - "0.008317,0.009805,0.011644,0.015410,0.023355,0.041315,0.079102"); - } - } - - timing () { - - related_pin : "A"; - when : "B & !S"; - sdf_cond : "(B == 1'b1) && (S == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024964,0.028143,0.031058,0.036103,0.044859,0.060531,0.090310", \ - "0.025810,0.028987,0.031902,0.036948,0.045704,0.061375,0.091155", \ - "0.028603,0.031771,0.034678,0.039718,0.048473,0.064146,0.093927", \ - "0.033539,0.036750,0.039688,0.044744,0.053506,0.069179,0.098941", \ - "0.040131,0.043502,0.046571,0.051830,0.060865,0.076779,0.106565", \ - "0.048633,0.052222,0.055483,0.061026,0.070452,0.086787,0.116820", \ - "0.058430,0.062259,0.065760,0.071681,0.081658,0.098767,0.129428"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019625,0.022933,0.026133,0.031894,0.042544,0.063185,0.104240", \ - "0.020186,0.023494,0.026694,0.032455,0.043105,0.063747,0.104803", \ - "0.022911,0.026211,0.029398,0.035136,0.045758,0.066381,0.107431", \ - "0.027729,0.031011,0.034169,0.039860,0.050372,0.070847,0.111779", \ - "0.031669,0.035127,0.038368,0.044074,0.054511,0.074880,0.115643", \ - "0.034516,0.038241,0.041679,0.047617,0.058110,0.078310,0.118932", \ - "0.036149,0.040170,0.043835,0.050136,0.060915,0.081149,0.121613"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004572,0.006034,0.007552,0.010518,0.016331,0.028137,0.052910", \ - "0.004571,0.006034,0.007553,0.010518,0.016330,0.028137,0.052909", \ - "0.004576,0.006041,0.007562,0.010527,0.016336,0.028140,0.052910", \ - "0.005069,0.006487,0.007940,0.010795,0.016496,0.028210,0.052924", \ - "0.005951,0.007384,0.008835,0.011660,0.017248,0.028657,0.053025", \ - "0.007205,0.008664,0.010121,0.012905,0.018402,0.029533,0.053426", \ - "0.008787,0.010294,0.011797,0.014607,0.020113,0.031138,0.054354"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003897,0.005989,0.008227,0.012601,0.021609,0.040396,0.078623", \ - "0.003896,0.005988,0.008226,0.012601,0.021608,0.040402,0.078621", \ - "0.003880,0.005979,0.008222,0.012600,0.021609,0.040403,0.078607", \ - "0.004427,0.006334,0.008512,0.012819,0.021708,0.040415,0.078610", \ - "0.005536,0.007214,0.009215,0.013285,0.022005,0.040608,0.078650", \ - "0.006961,0.008516,0.010411,0.014250,0.022539,0.040855,0.078854", \ - "0.008667,0.010135,0.011961,0.015699,0.023556,0.041443,0.079169"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & S"; - sdf_cond : "(A == 1'b0) && (S == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017999,0.020983,0.023702,0.028414,0.036678,0.051874,0.081474", \ - "0.018761,0.021742,0.024461,0.029173,0.037437,0.052633,0.082233", \ - "0.022623,0.025580,0.028279,0.032974,0.041231,0.056425,0.086026", \ - "0.029878,0.032940,0.035688,0.040423,0.048686,0.063847,0.093400", \ - "0.038470,0.041784,0.044716,0.049653,0.058130,0.073437,0.102950", \ - "0.048766,0.052338,0.055492,0.060727,0.069496,0.084941,0.114507", \ - "0.060764,0.064603,0.068023,0.073643,0.082880,0.098699,0.128301"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016595,0.019812,0.022921,0.028548,0.039061,0.059613,0.100617", \ - "0.017166,0.020382,0.023490,0.029116,0.039630,0.060184,0.101184", \ - "0.018802,0.021997,0.025086,0.030685,0.041172,0.061712,0.102710", \ - "0.021056,0.024304,0.027430,0.033053,0.043530,0.063993,0.104932", \ - "0.022645,0.026055,0.029305,0.035084,0.045654,0.066100,0.106936", \ - "0.022574,0.026241,0.029687,0.035757,0.046661,0.067438,0.108302", \ - "0.020489,0.024443,0.028114,0.034555,0.045867,0.067169,0.108691"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003909,0.005265,0.006712,0.009581,0.015350,0.027403,0.052597", \ - "0.003909,0.005265,0.006713,0.009582,0.015350,0.027403,0.052598", \ - "0.003916,0.005286,0.006736,0.009601,0.015362,0.027407,0.052598", \ - "0.004799,0.006026,0.007343,0.010028,0.015591,0.027484,0.052609", \ - "0.005998,0.007220,0.008453,0.010976,0.016305,0.027883,0.052685", \ - "0.007412,0.008664,0.009869,0.012247,0.017268,0.028408,0.052984", \ - "0.009118,0.010426,0.011657,0.013963,0.018736,0.029330,0.053336"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003432,0.005542,0.007757,0.012148,0.021264,0.040189,0.078475", \ - "0.003433,0.005542,0.007758,0.012149,0.021263,0.040186,0.078490", \ - "0.003471,0.005569,0.007782,0.012166,0.021272,0.040194,0.078487", \ - "0.003886,0.005923,0.008109,0.012429,0.021405,0.040213,0.078477", \ - "0.004806,0.006706,0.008849,0.013067,0.021838,0.040412,0.078507", \ - "0.006072,0.007868,0.009969,0.014146,0.022837,0.041140,0.078745", \ - "0.007574,0.009316,0.011377,0.015528,0.024104,0.042534,0.079749"); - } - } - - timing () { - - related_pin : "B"; - when : "A & S"; - sdf_cond : "(A == 1'b1) && (S == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.025153,0.028519,0.031536,0.036695,0.045601,0.061430,0.091307", \ - "0.025788,0.029154,0.032170,0.037330,0.046235,0.062065,0.091942", \ - "0.029262,0.032624,0.035634,0.040788,0.049690,0.065520,0.095398", \ - "0.037270,0.040588,0.043557,0.048656,0.057507,0.073304,0.103157", \ - "0.047623,0.051171,0.054300,0.059542,0.068512,0.084398,0.114204", \ - "0.060043,0.063826,0.067163,0.072640,0.081797,0.097806,0.127747", \ - "0.074816,0.078845,0.082420,0.088235,0.097729,0.113984,0.143939"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017527,0.020891,0.024134,0.029944,0.040607,0.061193,0.102178", \ - "0.018148,0.021511,0.024754,0.030563,0.041227,0.061815,0.102800", \ - "0.019841,0.023181,0.026403,0.032185,0.042824,0.063398,0.104372", \ - "0.022141,0.025514,0.028759,0.034560,0.045185,0.065683,0.106590", \ - "0.023583,0.027105,0.030448,0.036383,0.047090,0.067561,0.108347", \ - "0.023004,0.026777,0.030305,0.036515,0.047529,0.068258,0.109047", \ - "0.019961,0.024027,0.027787,0.034351,0.045772,0.066926,0.108255"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005346,0.006745,0.008180,0.011043,0.016768,0.028451,0.053079", \ - "0.005345,0.006745,0.008179,0.011042,0.016767,0.028452,0.053080", \ - "0.005340,0.006743,0.008179,0.011045,0.016769,0.028453,0.053080", \ - "0.005677,0.006998,0.008374,0.011188,0.016865,0.028501,0.053093", \ - "0.006932,0.008213,0.009474,0.012050,0.017499,0.028850,0.053176", \ - "0.008278,0.009588,0.010812,0.013199,0.018328,0.029435,0.053528", \ - "0.009887,0.011245,0.012488,0.014759,0.019575,0.030212,0.053921"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003902,0.005949,0.008174,0.012528,0.021491,0.040251,0.078488", \ - "0.003902,0.005949,0.008174,0.012528,0.021492,0.040244,0.078478", \ - "0.003924,0.005968,0.008194,0.012545,0.021502,0.040249,0.078496", \ - "0.004362,0.006327,0.008526,0.012823,0.021650,0.040279,0.078496", \ - "0.005322,0.007137,0.009279,0.013480,0.022110,0.040494,0.078511", \ - "0.006610,0.008359,0.010442,0.014591,0.023094,0.041179,0.078757", \ - "0.008097,0.009843,0.011892,0.016019,0.024377,0.042449,0.079701"); - } - } - - timing () { - - related_pin : "S"; - when : "!A & B"; - sdf_cond : "(A == 1'b0) && (B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017127,0.020308,0.023224,0.028262,0.037003,0.052665,0.082452", \ - "0.017852,0.021028,0.023940,0.028977,0.037717,0.053380,0.083167", \ - "0.021534,0.024660,0.027540,0.032549,0.041274,0.056933,0.086720", \ - "0.028094,0.031317,0.034245,0.039301,0.048056,0.063712,0.093456", \ - "0.035730,0.039203,0.042325,0.047588,0.056556,0.072441,0.102228", \ - "0.044558,0.048333,0.051746,0.057430,0.066854,0.083063,0.113047", \ - "0.054406,0.058486,0.062232,0.068471,0.078645,0.095656,0.126143"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015598,0.018815,0.021923,0.027547,0.038059,0.058609,0.099610", \ - "0.016304,0.019518,0.022626,0.028249,0.038759,0.059310,0.100310", \ - "0.019150,0.022326,0.025398,0.030972,0.041433,0.061949,0.102938", \ - "0.022479,0.025717,0.028812,0.034391,0.044815,0.065195,0.106071", \ - "0.024726,0.028205,0.031464,0.037178,0.047596,0.067915,0.108643", \ - "0.025567,0.029361,0.032876,0.038991,0.049700,0.070145,0.110797", \ - "0.024754,0.028883,0.032690,0.039288,0.050566,0.071367,0.112373"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004308,0.005808,0.007363,0.010376,0.016238,0.028096,0.052901", \ - "0.004295,0.005799,0.007356,0.010371,0.016235,0.028094,0.052899", \ - "0.004265,0.005797,0.007365,0.010382,0.016243,0.028098,0.052900", \ - "0.005306,0.006664,0.008090,0.010922,0.016570,0.028237,0.052929", \ - "0.006719,0.008068,0.009400,0.012018,0.017435,0.028840,0.053095", \ - "0.008579,0.009990,0.011342,0.013841,0.018892,0.029749,0.053645", \ - "0.010871,0.012372,0.013826,0.016378,0.021250,0.031599,0.054597"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003431,0.005542,0.007757,0.012148,0.021261,0.040186,0.078486", \ - "0.003431,0.005542,0.007757,0.012149,0.021264,0.040192,0.078484", \ - "0.003477,0.005580,0.007794,0.012176,0.021277,0.040194,0.078482", \ - "0.004273,0.006148,0.008267,0.012548,0.021466,0.040220,0.078478", \ - "0.005574,0.007276,0.009262,0.013265,0.021903,0.040483,0.078512", \ - "0.007337,0.008934,0.010867,0.014729,0.022964,0.041111,0.078811", \ - "0.009527,0.011046,0.012920,0.016773,0.024634,0.042451,0.079680"); - } - } - - timing () { - - related_pin : "S"; - when : "A & !B"; - sdf_cond : "(A == 1'b1) && (B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.036086,0.039452,0.042464,0.047616,0.056515,0.072342,0.102220", \ - "0.036859,0.040225,0.043237,0.048390,0.057289,0.073116,0.102994", \ - "0.039164,0.042528,0.045540,0.050694,0.059596,0.075423,0.105302", \ - "0.041025,0.044398,0.047419,0.052581,0.061488,0.077322,0.107204", \ - "0.042231,0.045519,0.048496,0.053620,0.062489,0.078272,0.108171", \ - "0.041859,0.045156,0.048140,0.053282,0.062184,0.077997,0.107813", \ - "0.039966,0.043282,0.046281,0.051439,0.060365,0.076208,0.106049"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.031072,0.034379,0.037569,0.043305,0.053914,0.074515,0.115553", \ - "0.032027,0.035334,0.038524,0.044260,0.054869,0.075468,0.116506", \ - "0.036168,0.039474,0.042663,0.048399,0.059010,0.079610,0.120647", \ - "0.042321,0.045635,0.048829,0.054572,0.065189,0.085793,0.126827", \ - "0.049915,0.053220,0.056409,0.062139,0.072727,0.093339,0.134390", \ - "0.059093,0.062412,0.065613,0.071358,0.081955,0.102497,0.143462", \ - "0.069710,0.073042,0.076251,0.082001,0.092605,0.113195,0.154140"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005347,0.006747,0.008183,0.011048,0.016772,0.028455,0.053081", \ - "0.005346,0.006747,0.008184,0.011049,0.016772,0.028455,0.053080", \ - "0.005348,0.006750,0.008184,0.011049,0.016771,0.028455,0.053081", \ - "0.005240,0.006681,0.008132,0.011013,0.016748,0.028443,0.053079", \ - "0.005100,0.006533,0.008010,0.010916,0.016658,0.028389,0.053095", \ - "0.005192,0.006629,0.008103,0.011007,0.016745,0.028401,0.052980", \ - "0.005373,0.006798,0.008259,0.011140,0.016853,0.028479,0.053017"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003948,0.006024,0.008252,0.012608,0.021597,0.040387,0.078600", \ - "0.003948,0.006024,0.008252,0.012608,0.021597,0.040384,0.078611", \ - "0.003948,0.006025,0.008253,0.012609,0.021599,0.040381,0.078600", \ - "0.003966,0.006037,0.008263,0.012616,0.021602,0.040379,0.078601", \ - "0.003933,0.006019,0.008247,0.012594,0.021581,0.040407,0.078611", \ - "0.004031,0.006105,0.008333,0.012674,0.021615,0.040313,0.078565", \ - "0.004181,0.006225,0.008445,0.012775,0.021724,0.040429,0.078502"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !S"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.876278,10.216210,10.546360,10.986510,11.339460,11.446610,11.453870", \ - "9.787447,10.167400,10.446920,10.904790,11.237340,11.358230,11.361450", \ - "9.739098,10.042440,10.324220,10.761530,11.121520,11.228740,11.236910", \ - "10.511470,10.823830,11.007210,11.327690,11.590020,11.646410,11.621960", \ - "12.213060,12.378780,12.432070,12.757490,13.069940,13.058410,12.957840", \ - "15.148330,15.312780,15.246560,15.345340,15.571580,15.696730,15.495170", \ - "19.042610,19.199130,19.146840,19.044520,19.261910,19.383450,19.305500"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.106350,7.184611,7.271800,7.180770,7.104932,6.890487,6.682805", \ - "7.053764,7.097085,7.223681,7.127485,7.183454,6.797823,6.996367", \ - "7.229592,7.307356,7.347356,7.213269,7.296612,7.184208,6.363075", \ - "8.697927,8.670826,8.561772,8.471343,8.181668,8.032782,7.773595", \ - "11.652520,11.331820,11.197440,10.886720,10.296270,9.543355,9.748006", \ - "15.785570,15.280230,15.051770,14.545640,14.061330,12.752180,12.175120", \ - "21.016700,20.307060,20.036560,19.525680,18.810740,18.164670,16.639970"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !S"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.993633,10.106030,10.204530,10.497310,10.775600,10.869540,10.856650", \ - "9.886722,10.017280,10.155200,10.396240,10.691370,10.780280,10.765840", \ - "9.787540,9.926890,10.023940,10.278560,10.554080,10.650330,10.642730", \ - "10.557160,10.620200,10.601720,10.768560,10.975190,11.012610,10.972540", \ - "12.085760,12.074170,12.049920,12.120390,12.336650,12.301680,12.189430", \ - "14.914770,14.867600,14.735100,14.615260,14.715280,14.783310,14.581850", \ - "18.668880,18.689370,18.515720,18.254210,18.257540,18.298610,18.209490"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.817042,6.962995,7.053420,7.053285,7.039512,6.923491,7.008597", \ - "6.778508,6.902751,6.986125,7.012672,6.932029,7.010689,6.666959", \ - "6.898518,7.050920,7.054914,7.074433,6.853903,6.992103,6.704024", \ - "8.509529,8.396648,8.365931,8.277644,8.079064,7.565296,6.973850", \ - "11.458560,11.099090,10.976570,10.692670,10.082900,9.247991,8.712891", \ - "15.599470,15.055400,14.799740,14.421920,13.772510,12.640790,11.673710", \ - "20.696710,20.084710,19.694370,19.220100,18.712550,17.781860,15.935910"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & S"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.058922,9.335379,9.613306,9.974116,10.205820,10.286800,10.308240", \ - "9.009238,9.289066,9.538051,9.924285,10.164520,10.235440,10.260790", \ - "9.380954,9.629398,9.860877,10.207400,10.430090,10.505910,10.535290", \ - "11.139710,11.258920,11.356950,11.555800,11.674690,11.659970,11.632080", \ - "13.804730,13.828800,13.762860,13.888750,13.993760,13.883000,13.760320", \ - "17.908930,17.808530,17.608120,17.461030,17.383860,17.301880,17.071260", \ - "23.188860,23.063850,22.776860,22.424690,22.188160,21.871600,21.657080"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.096818,5.256053,5.290767,5.298181,5.123453,5.282378,5.412114", \ - "5.018600,5.180616,5.244279,5.231333,5.079357,4.967569,5.199646", \ - "5.187236,5.300919,5.300696,5.325951,5.317448,5.242500,5.305198", \ - "6.445938,6.336804,6.344604,6.221652,5.909279,5.453846,5.650733", \ - "8.904721,8.741922,8.710631,8.556681,7.940847,7.715117,7.702903", \ - "12.132580,11.859480,11.852880,11.803460,11.789380,10.798830,10.520300", \ - "15.976990,15.725930,15.734790,15.851950,16.239640,16.154910,14.974960"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & S"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("10.782470,10.953470,10.977690,11.189050,11.465040,11.568580,11.557130", \ - "10.749060,10.876730,10.916450,11.158810,11.411080,11.516680,11.507900", \ - "10.978540,11.120630,11.131990,11.341600,11.612680,11.706510,11.702670", \ - "12.333440,12.404460,12.394740,12.472440,12.630410,12.650540,12.609160", \ - "14.898080,14.885840,14.745070,14.687490,14.704780,14.590910,14.434620", \ - "18.597210,18.436950,18.170430,17.880730,17.740520,17.588840,17.289590", \ - "23.369040,23.291820,22.967170,22.432920,22.001390,21.649840,21.311980"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.319738,5.393916,5.431618,5.417907,5.275606,5.297278,5.228262", \ - "5.326034,5.354291,5.352224,5.428900,5.265858,5.252622,5.331301", \ - "5.512938,5.483785,5.545634,5.476212,5.368545,4.891519,5.396047", \ - "6.691670,6.548624,6.395941,6.313638,6.226909,6.016067,5.630075", \ - "9.119450,8.842774,8.715914,8.555581,8.149409,7.269638,7.547339", \ - "12.339940,11.959940,11.824950,11.700450,11.216090,10.920950,10.468000", \ - "16.046130,15.646310,15.539740,15.487200,15.546510,15.282260,14.175910"); - } - } - - internal_power () { - - related_pin : "S"; - when : "!A & B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.253290,11.511110,11.735300,12.048830,12.362710,12.473750,12.477040", \ - "11.161130,11.412900,11.652670,11.965830,12.282060,12.395700,12.395690", \ - "11.737370,11.949470,12.151850,12.443350,12.755390,12.866820,12.873310", \ - "14.006060,14.315360,14.367700,14.506220,14.662540,14.688590,14.632560", \ - "17.966880,18.042870,18.011050,18.079510,18.270520,18.179800,18.029190", \ - "23.818650,23.910500,23.876850,23.665730,23.675630,23.696220,23.418770", \ - "31.420910,31.524140,31.492080,31.254370,31.228690,31.199770,31.031330"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.268626,6.406059,6.403792,6.457970,6.302793,6.131277,6.341830", \ - "6.255969,6.367022,6.386239,6.422210,6.257700,6.533893,6.184932", \ - "6.753196,6.833781,6.841044,6.816217,6.823881,6.286279,5.708913", \ - "9.093364,8.908027,8.775615,8.585571,8.279444,7.700628,8.005760", \ - "13.295150,13.060020,12.889450,12.540760,12.116930,11.768950,11.357540", \ - "19.055970,18.679900,18.718750,18.645110,18.383830,17.267160,16.926190", \ - "26.065150,25.711250,25.572120,25.911490,26.401680,25.821000,24.977040"); - } - } - - internal_power () { - - related_pin : "S"; - when : "A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.510210,11.667760,11.704980,11.872500,12.129210,12.235710,12.227860", \ - "11.500930,11.640100,11.641530,11.855630,12.117160,12.219350,12.212420", \ - "11.589950,11.708360,11.733360,11.935130,12.202010,12.296360,12.295600", \ - "12.028550,12.183000,12.259000,12.471990,12.757000,12.864360,12.871550", \ - "13.530360,13.636270,13.634730,13.826440,14.080650,14.214060,14.228060", \ - "15.628550,15.821640,15.929210,16.180310,16.470250,16.467040,16.463360", \ - "17.998320,18.279980,18.454830,18.912380,19.498240,19.785670,19.655470"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.480030,12.539860,12.635850,12.555770,12.495230,11.999980,12.015140", \ - "12.512100,12.534470,12.589530,12.508420,12.533590,12.543390,12.257740", \ - "12.767440,12.808300,12.872730,12.773050,12.773610,12.489020,12.311470", \ - "13.378270,13.488580,13.536080,13.547930,13.513940,13.324740,13.009540", \ - "14.625210,14.811540,14.945770,14.913560,14.630650,14.649660,14.500430", \ - "16.724140,16.920380,17.021820,17.046210,17.222970,16.770380,16.524780", \ - "19.487970,19.716900,19.944280,20.152810,20.269420,20.302170,20.015680"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND2_X1 - Cell Description : Combinational cell (NAND2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NAND2_X1) { - - drive_strength : 1; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 59.117112; - - leakage_power () { - when : "!A1 & !A2"; - value : 10.025375; - } - leakage_power () { - when : "!A1 & A2"; - value : 78.215125; - } - leakage_power () { - when : "A1 & !A2"; - value : 12.517575; - } - leakage_power () { - when : "A1 & A2"; - value : 135.710375; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.600111; - fall_capacitance : 1.596492; - rise_capacitance : 1.600111; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.693601; - fall_capacitance : 1.559946; - rise_capacitance : 1.693601; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 59.356700; - function : "!(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00499036,0.00741621,0.0103746,0.0162346,0.0279031,0.0512041,0.0977823", \ - "0.00560332,0.00800769,0.0109733,0.0168530,0.0285429,0.0518602,0.0984494", \ - "0.00712466,0.0103211,0.0137503,0.0196072,0.0311507,0.0543803,0.100923", \ - "0.00817437,0.0122910,0.0166758,0.0242799,0.0370036,0.0597726,0.105991", \ - "0.00847858,0.0136041,0.0189986,0.0281697,0.0436251,0.0691359,0.114570", \ - "0.00790478,0.0140162,0.0204525,0.0313253,0.0493014,0.0791303,0.127676", \ - "0.00641481,0.0134316,0.0208841,0.0334924,0.0541634,0.0878911,0.143471"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00425538,0.00639619,0.00898006,0.0140688,0.0241704,0.0443200,0.0845870", \ - "0.00528255,0.00733936,0.00989821,0.0149882,0.0251052,0.0452702,0.0855462", \ - "0.00783232,0.0107507,0.0138085,0.0188703,0.0287772,0.0488026,0.0889943", \ - "0.0107465,0.0146219,0.0186656,0.0255522,0.0367560,0.0562801,0.0960571", \ - "0.0138668,0.0188247,0.0239250,0.0324302,0.0464501,0.0689171,0.107891", \ - "0.0171415,0.0231792,0.0294121,0.0397026,0.0563258,0.0832386,0.125617", \ - "0.0206390,0.0276784,0.0350370,0.0472035,0.0666366,0.0975803,0.147175"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00282244,0.00486020,0.00739747,0.0124641,0.0225913,0.0428461,0.0833642", \ - "0.00285685,0.00485936,0.00739749,0.0124645,0.0225916,0.0428519,0.0833686", \ - "0.00495191,0.00667452,0.00856257,0.0126765,0.0225924,0.0428536,0.0833666", \ - "0.00836737,0.0103338,0.0125531,0.0166852,0.0242709,0.0428495,0.0833704", \ - "0.0131671,0.0155714,0.0181163,0.0226410,0.0309404,0.0461376,0.0833643", \ - "0.0194129,0.0222988,0.0253213,0.0304552,0.0393677,0.0555947,0.0867644", \ - "0.0273553,0.0306050,0.0341244,0.0400496,0.0498544,0.0671135,0.0980274"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00261038,0.00449312,0.00684656,0.0115514,0.0209511,0.0397887,0.0774306", \ - "0.00272299,0.00449716,0.00684691,0.0115486,0.0209563,0.0397827,0.0774482", \ - "0.00504021,0.00661108,0.00821068,0.0118597,0.0209610,0.0397871,0.0774378", \ - "0.00839112,0.0102895,0.0124342,0.0162436,0.0229167,0.0397920,0.0774451", \ - "0.0130576,0.0153570,0.0178460,0.0222746,0.0300599,0.0435346,0.0774456", \ - "0.0191402,0.0218355,0.0247708,0.0298241,0.0385821,0.0536126,0.0814509", \ - "0.0268885,0.0298460,0.0332164,0.0390185,0.0487334,0.0655108,0.0932799"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00601933,0.00843745,0.0113893,0.0172434,0.0289081,0.0522073,0.0987829", \ - "0.00646128,0.00891649,0.0119064,0.0178046,0.0295060,0.0528301,0.0994210", \ - "0.00729017,0.0102060,0.0134622,0.0194759,0.0311907,0.0545375,0.101152", \ - "0.00754274,0.0113589,0.0153681,0.0222884,0.0346596,0.0579773,0.104526", \ - "0.00667150,0.0114950,0.0165244,0.0249546,0.0389691,0.0635825,0.110048", \ - "0.00439142,0.0102376,0.0163313,0.0264968,0.0429769,0.0700748,0.118158", \ - "0.000522627,0.00737929,0.0145414,0.0264883,0.0457429,0.0764477,0.127749"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00546513,0.00757992,0.0101526,0.0152381,0.0253440,0.0455003,0.0857667", \ - "0.00642899,0.00851354,0.0110740,0.0161527,0.0262591,0.0464141,0.0866825", \ - "0.00983225,0.0124167,0.0152052,0.0201014,0.0299935,0.0499871,0.0901519", \ - "0.0140400,0.0173557,0.0210012,0.0274218,0.0381289,0.0575585,0.0972690", \ - "0.0191684,0.0232853,0.0277179,0.0354372,0.0486836,0.0704478,0.109236", \ - "0.0251908,0.0301705,0.0354808,0.0445748,0.0599072,0.0856846,0.127219", \ - "0.0321996,0.0380344,0.0442578,0.0548456,0.0723756,0.101474,0.149604"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00282274,0.00486028,0.00739743,0.0124638,0.0225930,0.0428496,0.0833686", \ - "0.00283588,0.00486077,0.00739735,0.0124618,0.0225928,0.0428464,0.0833693", \ - "0.00416497,0.00581499,0.00798070,0.0126012,0.0225927,0.0428536,0.0833665", \ - "0.00710171,0.00867059,0.0105795,0.0146306,0.0234284,0.0428592,0.0833674", \ - "0.0111041,0.0129992,0.0150897,0.0189625,0.0269205,0.0444361,0.0833826", \ - "0.0160652,0.0183535,0.0208534,0.0251943,0.0329713,0.0491681,0.0850579", \ - "0.0221085,0.0247429,0.0276995,0.0327508,0.0412174,0.0567690,0.0903268"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00350848,0.00539295,0.00774682,0.0124659,0.0218886,0.0407409,0.0784293", \ - "0.00349894,0.00539282,0.00774808,0.0124657,0.0218860,0.0407493,0.0784341", \ - "0.00556536,0.00709815,0.00873386,0.0126446,0.0218972,0.0407459,0.0784335", \ - "0.00850448,0.0105621,0.0128141,0.0166632,0.0235553,0.0407541,0.0784238", \ - "0.0124573,0.0149562,0.0176323,0.0223559,0.0303575,0.0441428,0.0784308", \ - "0.0175084,0.0205386,0.0236810,0.0290660,0.0383540,0.0537750,0.0821481", \ - "0.0237584,0.0273448,0.0310339,0.0371812,0.0474767,0.0650827,0.0934401"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.218416,0.258885,0.274355,0.289920,0.303892,0.305405,0.305425", \ - "0.157183,0.191934,0.226408,0.256505,0.280869,0.293577,0.300526", \ - "0.575370,0.433242,0.383488,0.345917,0.330414,0.318258,0.313053", \ - "1.952158,1.614665,1.314324,0.991644,0.763395,0.585628,0.465390", \ - "4.008052,3.728154,3.322643,2.656959,1.924481,1.381799,0.965131", \ - "6.760883,6.542098,6.224723,5.483986,4.228679,2.994354,2.055903", \ - "10.337670,10.204660,9.954940,9.277282,7.828156,5.744004,3.971777"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("2.821257,2.864194,2.882881,2.934070,2.939298,2.893679,2.471839", \ - "2.809842,2.858595,2.880721,2.901846,2.873777,2.736164,2.660911", \ - "3.237755,3.235926,3.172651,3.111080,3.054960,3.018435,2.570460", \ - "4.398428,4.307296,4.180313,4.049992,3.689994,3.339064,3.039526", \ - "6.647423,6.436921,6.207350,5.812720,5.397863,4.578071,3.887601", \ - "9.836079,9.699661,9.453605,8.838236,7.929415,6.834244,5.438649", \ - "14.061140,13.896570,13.626530,13.084840,11.967850,10.344660,8.357637"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.229136,0.264738,0.279687,0.294016,0.306387,0.306517,0.304624", \ - "0.105654,0.168265,0.208817,0.248581,0.274915,0.292494,0.297104", \ - "0.317501,0.226486,0.222447,0.230623,0.257079,0.275779,0.287354", \ - "1.462168,1.204763,0.943829,0.670046,0.531132,0.434990,0.375938", \ - "3.274761,3.016694,2.716340,2.128775,1.454351,1.029233,0.738727", \ - "5.700865,5.507221,5.237063,4.637525,3.517455,2.353384,1.594507", \ - "8.850237,8.634972,8.462373,7.943651,6.766602,4.806219,3.174436"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("3.749565,3.787579,3.800955,3.849346,3.869463,3.755867,3.822129", \ - "3.700685,3.745625,3.761233,3.761973,3.812485,3.858607,3.825932", \ - "4.238643,4.164325,4.128898,4.033908,3.951089,3.949156,3.858428", \ - "5.421200,5.369982,5.241922,5.060964,4.675076,4.339530,4.118728", \ - "7.756943,7.609244,7.457968,7.057546,6.462887,5.783639,5.114094", \ - "11.164830,11.092060,10.873400,10.276030,9.358532,8.127782,6.825790", \ - "15.534550,15.562150,15.408770,14.838770,13.616950,12.005590,9.833650"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND2_X2 - Cell Description : Combinational cell (NAND2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NAND2_X2) { - - drive_strength : 2; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 118.234408; - - leakage_power () { - when : "!A1 & !A2"; - value : 20.050750; - } - leakage_power () { - when : "!A1 & A2"; - value : 156.430375; - } - leakage_power () { - when : "A1 & !A2"; - value : 25.035132; - } - leakage_power () { - when : "A1 & A2"; - value : 271.421375; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.060257; - fall_capacitance : 3.060257; - rise_capacitance : 3.053174; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.509112; - fall_capacitance : 3.254498; - rise_capacitance : 3.509112; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 118.713000; - function : "!(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00479427,0.00752671,0.0104869,0.0163504,0.0280252,0.0513366,0.0979363", \ - "0.00541454,0.00811759,0.0110851,0.0169679,0.0286635,0.0519915,0.0986021", \ - "0.00684129,0.0104520,0.0138690,0.0197199,0.0312703,0.0545124,0.101075", \ - "0.00779287,0.0124520,0.0168217,0.0244128,0.0371248,0.0599039,0.106145", \ - "0.00800271,0.0137956,0.0191695,0.0283255,0.0437660,0.0692701,0.114727", \ - "0.00732666,0.0142332,0.0206480,0.0314995,0.0494584,0.0792810,0.127831", \ - "0.00574557,0.0136709,0.0211049,0.0336904,0.0543432,0.0880573,0.143640"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00409707,0.00650778,0.00909226,0.0141832,0.0242923,0.0444571,0.0847548", \ - "0.00513771,0.00744758,0.0100094,0.0151022,0.0252263,0.0454078,0.0857160", \ - "0.00758179,0.0108797,0.0139255,0.0189816,0.0288980,0.0489394,0.0891642", \ - "0.0103995,0.0147809,0.0188097,0.0256819,0.0368743,0.0564136,0.0962208", \ - "0.0134070,0.0190173,0.0240956,0.0325796,0.0465869,0.0690477,0.108054", \ - "0.0165804,0.0234052,0.0296109,0.0398775,0.0564766,0.0833838,0.125772", \ - "0.0199888,0.0279370,0.0352650,0.0473991,0.0668051,0.0977359,0.147338"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00263259,0.00492540,0.00746482,0.0125406,0.0226894,0.0429843,0.0835785", \ - "0.00269452,0.00492511,0.00746539,0.0125410,0.0226914,0.0429846,0.0835756", \ - "0.00478375,0.00672617,0.00861096,0.0127484,0.0226904,0.0429900,0.0835794", \ - "0.00816924,0.0103925,0.0126054,0.0167415,0.0243568,0.0429884,0.0835755", \ - "0.0129161,0.0156360,0.0181761,0.0226930,0.0310050,0.0462585,0.0835784", \ - "0.0191130,0.0223711,0.0253880,0.0305045,0.0394278,0.0556837,0.0869676", \ - "0.0269925,0.0306784,0.0341918,0.0401050,0.0499080,0.0671869,0.0981905"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00243743,0.00455438,0.00690675,0.0116159,0.0210339,0.0398700,0.0775490", \ - "0.00259070,0.00455471,0.00690821,0.0116136,0.0210348,0.0398656,0.0775420", \ - "0.00488030,0.00665458,0.00824811,0.0119164,0.0210353,0.0398670,0.0775531", \ - "0.00820492,0.0103477,0.0124860,0.0162896,0.0229648,0.0398748,0.0775389", \ - "0.0128319,0.0154302,0.0179121,0.0223355,0.0301158,0.0435972,0.0775373", \ - "0.0188759,0.0219159,0.0248506,0.0298937,0.0386480,0.0536780,0.0815405", \ - "0.0265915,0.0299347,0.0333048,0.0391070,0.0488147,0.0655884,0.0933589"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00578959,0.00851249,0.0114659,0.0173236,0.0289943,0.0523034,0.0989018", \ - "0.00622564,0.00898933,0.0119810,0.0178829,0.0295907,0.0529250,0.0995385", \ - "0.00697944,0.0102806,0.0135335,0.0195483,0.0312703,0.0546287,0.101262", \ - "0.00712821,0.0114526,0.0154489,0.0223604,0.0347339,0.0580616,0.104633", \ - "0.00614746,0.0116142,0.0166263,0.0250365,0.0390404,0.0636601,0.110149", \ - "0.00376097,0.0103825,0.0164551,0.0265963,0.0430549,0.0701472,0.118246", \ - "-0.000211998,0.00754769,0.0146860,0.0266098,0.0458359,0.0765168,0.127827"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00525255,0.00763197,0.0102037,0.0152866,0.0253863,0.0455305,0.0857781", \ - "0.00622188,0.00856501,0.0111247,0.0162019,0.0263014,0.0464450,0.0866942", \ - "0.00955652,0.0124742,0.0152540,0.0201469,0.0300342,0.0500191,0.0901655", \ - "0.0136860,0.0174274,0.0210638,0.0274734,0.0381654,0.0575881,0.0972805", \ - "0.0187223,0.0233701,0.0277882,0.0354919,0.0487251,0.0704722,0.109243", \ - "0.0246484,0.0302694,0.0355609,0.0446343,0.0599434,0.0857020,0.127220", \ - "0.0315641,0.0381441,0.0443448,0.0549069,0.0724071,0.101482,0.149592"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00263327,0.00492397,0.00746611,0.0125416,0.0226901,0.0429870,0.0835801", \ - "0.00264849,0.00492435,0.00746457,0.0125426,0.0226902,0.0429856,0.0835801", \ - "0.00401482,0.00586838,0.00804147,0.0126773,0.0226917,0.0429882,0.0835821", \ - "0.00694485,0.00871631,0.0106248,0.0146933,0.0235204,0.0429959,0.0835816", \ - "0.0109191,0.0130473,0.0151374,0.0190056,0.0269983,0.0445653,0.0835951", \ - "0.0158406,0.0184128,0.0209061,0.0252376,0.0330355,0.0492824,0.0852712", \ - "0.0218576,0.0248134,0.0277615,0.0327981,0.0412651,0.0568638,0.0905155"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00333851,0.00544886,0.00779849,0.0125074,0.0219362,0.0407728,0.0784137", \ - "0.00332268,0.00544823,0.00779951,0.0125063,0.0219325,0.0407689,0.0784271", \ - "0.00541232,0.00713954,0.00877016,0.0126858,0.0219351,0.0407597,0.0784333", \ - "0.00830551,0.0106187,0.0128629,0.0166994,0.0235834,0.0407747,0.0784268", \ - "0.0122121,0.0150292,0.0176941,0.0224087,0.0303927,0.0441627,0.0784214", \ - "0.0172006,0.0206284,0.0237606,0.0291337,0.0384043,0.0538007,0.0821455", \ - "0.0233942,0.0274570,0.0311303,0.0372625,0.0475405,0.0651256,0.0934472"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.425697,0.518366,0.556578,0.586192,0.604855,0.619206,0.613193", \ - "0.300314,0.399462,0.456711,0.509682,0.561830,0.590292,0.598575", \ - "1.213470,0.857180,0.774119,0.698839,0.660147,0.638863,0.627244", \ - "3.980043,3.223061,2.620251,1.982815,1.524907,1.174283,0.933241", \ - "8.081606,7.404129,6.633044,5.302816,3.849122,2.763718,1.938994", \ - "13.503700,13.149400,12.478870,10.957780,8.442875,5.979055,4.109307", \ - "20.758690,20.380900,19.873390,18.606990,15.634030,11.473850,7.943695"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("5.748678,5.845927,5.970997,6.002591,5.789833,5.666221,5.788012", \ - "5.692510,5.787902,5.824229,5.948958,5.801919,6.081143,5.194663", \ - "6.520867,6.554671,6.406739,6.360215,6.150571,6.129369,6.075467", \ - "8.941165,8.724549,8.570882,8.245837,7.643564,7.020250,6.011535", \ - "13.463530,13.085730,12.572720,11.707310,10.880080,9.180346,8.364304", \ - "19.668460,19.451520,19.012840,17.743900,15.969850,13.876250,11.710580", \ - "28.227460,27.754100,27.473410,26.363810,23.943230,20.606720,16.710610"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.446922,0.522262,0.569439,0.597367,0.612256,0.615546,0.615052", \ - "0.191131,0.332032,0.419001,0.490875,0.551346,0.583030,0.597628", \ - "0.656478,0.442815,0.436708,0.469646,0.518233,0.557571,0.580282", \ - "2.959644,2.398013,1.887744,1.347566,1.058837,0.873137,0.757319", \ - "6.575716,6.062407,5.422209,4.242547,2.902935,2.063217,1.484121", \ - "11.377780,10.977440,10.460490,9.279526,7.020471,4.708079,3.191125", \ - "17.718150,17.242230,16.923140,15.946260,13.517690,9.606584,6.355783"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("7.602011,7.665327,7.753199,7.789698,7.582465,7.659475,7.493542", \ - "7.533479,7.567267,7.583231,7.640681,7.788241,7.815190,7.708170", \ - "8.570960,8.482137,8.361311,8.208472,8.020549,7.995790,7.832071", \ - "10.942120,10.806770,10.591580,10.244830,9.547843,8.797274,8.193469", \ - "15.666330,15.370620,14.950480,14.319140,13.232530,11.440760,10.345330", \ - "22.406670,22.211480,21.800440,20.776700,18.843060,16.337600,13.973180", \ - "31.137280,31.146280,30.912660,29.833040,27.556530,23.889820,20.205900"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND2_X4 - Cell Description : Combinational cell (NAND2_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NAND2_X4) { - - drive_strength : 4; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 236.470092; - - leakage_power () { - when : "!A1 & !A2"; - value : 40.101250; - } - leakage_power () { - when : "!A1 & A2"; - value : 312.857500; - } - leakage_power () { - when : "A1 & !A2"; - value : 50.070369; - } - leakage_power () { - when : "A1 & A2"; - value : 542.851250; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.938814; - fall_capacitance : 5.938814; - rise_capacitance : 5.916708; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.265069; - fall_capacitance : 5.796610; - rise_capacitance : 6.265069; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 237.427000; - function : "!(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00451292,0.00743977,0.0104270,0.0163370,0.0280978,0.0515789,0.0985117", \ - "0.00515206,0.00803045,0.0110231,0.0169518,0.0287340,0.0522304,0.0991753", \ - "0.00645228,0.0103489,0.0138084,0.0197118,0.0313451,0.0547558,0.101654", \ - "0.00729746,0.0123362,0.0167579,0.0244157,0.0372171,0.0601591,0.106734", \ - "0.00739784,0.0136711,0.0191138,0.0283516,0.0439000,0.0695534,0.115332", \ - "0.00664642,0.0141158,0.0206131,0.0315659,0.0496512,0.0796488,0.128463", \ - "0.00502630,0.0135797,0.0211101,0.0338116,0.0546103,0.0885212,0.144397"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00387542,0.00644559,0.00903986,0.0141417,0.0242641,0.0444500,0.0847869", \ - "0.00494080,0.00738654,0.00995447,0.0150552,0.0251919,0.0453940,0.0857435", \ - "0.00719835,0.0107635,0.0138466,0.0189348,0.0288609,0.0489233,0.0891876", \ - "0.00983015,0.0145860,0.0186606,0.0255803,0.0368285,0.0563939,0.0962432", \ - "0.0126216,0.0187140,0.0238603,0.0324127,0.0464830,0.0690144,0.108065", \ - "0.0155683,0.0229724,0.0292638,0.0396225,0.0563086,0.0832968,0.125771", \ - "0.0187535,0.0273535,0.0347814,0.0470290,0.0665510,0.0975877,0.147294"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00234921,0.00478503,0.00734527,0.0124581,0.0226825,0.0431213,0.0840068", \ - "0.00245951,0.00478375,0.00734494,0.0124583,0.0226802,0.0431213,0.0840057", \ - "0.00452590,0.00659610,0.00851182,0.0126681,0.0226815,0.0431251,0.0840031", \ - "0.00787044,0.0102603,0.0124924,0.0166610,0.0243388,0.0431255,0.0840057", \ - "0.0125391,0.0154835,0.0180547,0.0226095,0.0309829,0.0463537,0.0840082", \ - "0.0186633,0.0221824,0.0252470,0.0304149,0.0394087,0.0557656,0.0873157", \ - "0.0264989,0.0304556,0.0340261,0.0400003,0.0498789,0.0672776,0.0984747"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00216682,0.00439561,0.00675087,0.0114638,0.0208927,0.0397604,0.0774870", \ - "0.00239259,0.00439770,0.00675131,0.0114659,0.0208978,0.0397598,0.0774703", \ - "0.00462851,0.00652903,0.00814186,0.0117871,0.0208976,0.0397597,0.0774893", \ - "0.00791964,0.0102074,0.0123551,0.0161800,0.0228605,0.0397593,0.0774854", \ - "0.0124793,0.0152778,0.0177815,0.0222179,0.0300178,0.0435081,0.0774844", \ - "0.0184822,0.0217460,0.0247091,0.0297843,0.0385504,0.0536081,0.0814971", \ - "0.0261936,0.0297455,0.0331472,0.0389916,0.0487354,0.0655273,0.0933341"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00570195,0.00861682,0.0115960,0.0174983,0.0292541,0.0527319,0.0996654", \ - "0.00614874,0.00910505,0.0121243,0.0180723,0.0298657,0.0533678,0.100315", \ - "0.00680463,0.0103671,0.0136517,0.0197153,0.0315240,0.0550518,0.102021", \ - "0.00677468,0.0114654,0.0155087,0.0224745,0.0349314,0.0584272,0.105337", \ - "0.00562430,0.0115431,0.0166155,0.0250962,0.0391800,0.0639472,0.110765", \ - "0.00308145,0.0102352,0.0163748,0.0265966,0.0431471,0.0703631,0.118754", \ - "-0.00103932,0.00732937,0.0145433,0.0265525,0.0458797,0.0766846,0.128232"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00520544,0.00776086,0.0103580,0.0154776,0.0256355,0.0458872,0.0863372", \ - "0.00616996,0.00868188,0.0112669,0.0163811,0.0265394,0.0467920,0.0872455", \ - "0.00946463,0.0125888,0.0153895,0.0203155,0.0302628,0.0503570,0.0907049", \ - "0.0135583,0.0175577,0.0212112,0.0276464,0.0383807,0.0579123,0.0978132", \ - "0.0185425,0.0235118,0.0279509,0.0356835,0.0489541,0.0707792,0.109758", \ - "0.0244067,0.0304134,0.0357355,0.0448393,0.0601961,0.0860306,0.127702", \ - "0.0312634,0.0382901,0.0445276,0.0551282,0.0726791,0.101835,0.150089"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00235244,0.00478583,0.00734482,0.0124585,0.0226817,0.0431201,0.0840010", \ - "0.00237878,0.00478649,0.00734556,0.0124589,0.0226831,0.0431252,0.0840037", \ - "0.00378094,0.00573389,0.00792151,0.0125913,0.0226834,0.0431233,0.0840059", \ - "0.00673621,0.00860888,0.0105156,0.0145983,0.0235008,0.0431308,0.0840064", \ - "0.0107221,0.0129686,0.0150551,0.0189201,0.0269517,0.0446730,0.0840197", \ - "0.0156595,0.0183539,0.0208452,0.0251807,0.0329888,0.0493436,0.0856551", \ - "0.0216990,0.0247779,0.0277224,0.0327653,0.0412491,0.0568958,0.0908247"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00318166,0.00541733,0.00778385,0.0125274,0.0220207,0.0410020,0.0789312", \ - "0.00316579,0.00541695,0.00778410,0.0125270,0.0220231,0.0410032,0.0789331", \ - "0.00523183,0.00709646,0.00874979,0.0127003,0.0220231,0.0410022,0.0789288", \ - "0.00806882,0.0105499,0.0128151,0.0166915,0.0236509,0.0410062,0.0789447", \ - "0.0118938,0.0149362,0.0176320,0.0223829,0.0304342,0.0443484,0.0789360", \ - "0.0167747,0.0204952,0.0236746,0.0290898,0.0384320,0.0539495,0.0826052", \ - "0.0228512,0.0272785,0.0310075,0.0372019,0.0475547,0.0652583,0.0938346"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.803808,1.008189,1.102484,1.178286,1.216882,1.227228,1.226432", \ - "0.602153,0.787178,0.904854,1.020814,1.124603,1.185718,1.201476", \ - "2.602057,1.750875,1.555833,1.394519,1.318310,1.280226,1.246480", \ - "8.126099,6.553261,5.294863,3.981606,3.045972,2.344085,1.863398", \ - "16.248250,14.938770,13.354160,10.635600,7.687550,5.509075,3.848308", \ - "27.112820,26.314440,25.010390,21.917440,16.856970,11.912860,8.178172", \ - "41.696410,40.858110,39.827330,37.184750,31.208110,22.853110,15.807040"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("10.523730,10.896390,11.004990,11.045780,10.724610,11.096910,10.215630", \ - "10.581390,10.752310,10.938450,11.078670,10.860350,10.680680,11.154170", \ - "12.223660,12.451440,12.155720,11.917480,11.452010,11.126470,10.565830", \ - "17.295500,16.624900,16.195210,15.698660,14.575640,12.388890,12.607600", \ - "26.161970,25.382420,24.315550,22.546450,20.563530,18.035110,14.649840", \ - "38.543640,38.161510,37.100130,34.639980,31.210510,26.706640,21.123140", \ - "55.523170,54.812360,53.878620,52.007240,47.294520,40.936730,32.536040"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.823816,1.039174,1.121923,1.172635,1.206901,1.222386,1.213443", \ - "0.318957,0.655029,0.808825,0.971541,1.086294,1.152405,1.179511", \ - "1.339956,0.846353,0.830479,0.895261,0.999797,1.085533,1.137857", \ - "5.981245,4.736229,3.702138,2.622123,2.053003,1.698873,1.477837", \ - "13.254160,12.017000,10.776110,8.407110,5.706316,4.033679,2.900260", \ - "22.597150,21.967440,20.882290,18.454940,13.915060,9.292531,6.279747", \ - "35.629900,34.588630,33.666210,31.781960,26.918750,19.042030,12.555780"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("14.681880,14.873680,15.077670,15.158070,14.996310,14.632030,13.448850", \ - "14.559480,14.751740,14.814120,14.939420,15.122950,14.688290,14.501080", \ - "16.662870,16.445690,16.304330,15.921510,15.618080,15.045070,14.132390", \ - "21.531860,21.215280,20.929760,20.140090,18.656020,17.525860,16.108860", \ - "30.964550,30.366300,29.573520,27.970950,25.677960,22.586940,19.138690", \ - "44.279620,43.973960,43.194690,40.923800,37.034960,32.376470,27.477840", \ - "61.599990,61.944290,61.220560,59.373360,54.413070,48.372900,39.444730"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND3_X1 - Cell Description : Combinational cell (NAND3_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NAND3_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 57.577313; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 9.890375; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 50.115162; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 11.879700; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 118.260125; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 3.104398; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 52.603083; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 11.264783; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 203.500875; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.638537; - fall_capacitance : 1.638537; - rise_capacitance : 1.579510; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.625528; - fall_capacitance : 1.593185; - rise_capacitance : 1.625528; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.679368; - fall_capacitance : 1.544499; - rise_capacitance : 1.679368; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 58.364900; - function : "!((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00751377,0.0107363,0.0147094,0.0225966,0.0383099,0.0696897,0.132417", \ - "0.00799229,0.0112222,0.0152181,0.0231397,0.0388871,0.0702919,0.133038", \ - "0.0104013,0.0139859,0.0179052,0.0256437,0.0412700,0.0726076,0.135319", \ - "0.0130325,0.0174772,0.0224648,0.0313482,0.0467884,0.0776434,0.140037", \ - "0.0156456,0.0210458,0.0269557,0.0373949,0.0555930,0.0866014,0.148113", \ - "0.0180391,0.0244542,0.0314111,0.0434636,0.0641551,0.0995412,0.160596", \ - "0.0201937,0.0275937,0.0356245,0.0494523,0.0727229,0.112180,0.178468"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00497673,0.00704724,0.00957667,0.0145731,0.0245041,0.0443192,0.0839152", \ - "0.00600304,0.00802500,0.0105409,0.0155398,0.0254836,0.0453100,0.0849170", \ - "0.00868978,0.0114649,0.0144048,0.0193459,0.0291214,0.0488333,0.0883720", \ - "0.0111742,0.0149464,0.0189628,0.0257995,0.0368766,0.0561573,0.0953355", \ - "0.0133093,0.0181708,0.0232954,0.0319056,0.0460071,0.0684154,0.106913", \ - "0.0149430,0.0209088,0.0272035,0.0377128,0.0546936,0.0818513,0.124174", \ - "0.0160446,0.0230681,0.0305322,0.0430106,0.0630455,0.0946805,0.144624"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00500085,0.00776574,0.0112075,0.0180770,0.0318035,0.0592507,0.114109", \ - "0.00498020,0.00776249,0.0112090,0.0180764,0.0318085,0.0592396,0.114108", \ - "0.00651341,0.00873011,0.0115338,0.0180763,0.0318034,0.0592382,0.114110", \ - "0.00982295,0.0122345,0.0151674,0.0206562,0.0321845,0.0592419,0.114113", \ - "0.0147630,0.0174431,0.0205062,0.0263571,0.0374123,0.0601309,0.114104", \ - "0.0211947,0.0243068,0.0277332,0.0339049,0.0454976,0.0670985,0.114587", \ - "0.0292399,0.0327855,0.0366791,0.0434838,0.0555547,0.0782894,0.121241"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00323149,0.00507897,0.00739406,0.0120189,0.0212759,0.0397893,0.0768257", \ - "0.00323704,0.00508213,0.00739113,0.0120217,0.0212769,0.0397906,0.0768277", \ - "0.00567017,0.00709384,0.00860098,0.0122739,0.0212787,0.0397902,0.0768346", \ - "0.00944068,0.0112165,0.0132214,0.0167922,0.0232366,0.0397897,0.0768146", \ - "0.0145986,0.0168101,0.0192088,0.0234150,0.0307747,0.0437318,0.0768253", \ - "0.0211269,0.0238252,0.0267551,0.0317031,0.0400683,0.0543752,0.0812091", \ - "0.0292033,0.0323272,0.0357944,0.0416660,0.0512031,0.0671772,0.0938002"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00941454,0.0126274,0.0165936,0.0244743,0.0401833,0.0715615,0.134289", \ - "0.00981519,0.0130878,0.0171054,0.0250443,0.0408034,0.0722154,0.134964", \ - "0.0112834,0.0148631,0.0189609,0.0269009,0.0426946,0.0741516,0.136939", \ - "0.0132030,0.0174724,0.0222252,0.0308472,0.0467852,0.0781233,0.140843", \ - "0.0150337,0.0202530,0.0259180,0.0358118,0.0531543,0.0848597,0.147284", \ - "0.0163535,0.0226153,0.0293486,0.0409019,0.0604309,0.0942759,0.156857", \ - "0.0169814,0.0243104,0.0321711,0.0455661,0.0677821,0.104844,0.169914"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00628563,0.00834125,0.0108655,0.0158630,0.0257974,0.0456131,0.0851982", \ - "0.00722316,0.00926887,0.0117918,0.0167918,0.0267316,0.0465503,0.0861383", \ - "0.0105656,0.0130522,0.0157561,0.0205922,0.0303729,0.0500736,0.0895807", \ - "0.0141977,0.0175016,0.0211645,0.0275787,0.0382082,0.0574310,0.0965678", \ - "0.0179957,0.0221736,0.0267336,0.0346522,0.0480671,0.0698587,0.108211", \ - "0.0218306,0.0269282,0.0324616,0.0419731,0.0578472,0.0840240,0.125621", \ - "0.0256881,0.0317158,0.0382488,0.0494367,0.0679053,0.0979798,0.146707"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00500404,0.00776435,0.0112067,0.0180779,0.0318014,0.0592443,0.114117", \ - "0.00500697,0.00776598,0.0112092,0.0180774,0.0318025,0.0592405,0.114107", \ - "0.00607645,0.00837932,0.0114428,0.0180842,0.0318031,0.0592432,0.114119", \ - "0.00889819,0.0110115,0.0137773,0.0195756,0.0320871,0.0592465,0.114113", \ - "0.0133290,0.0155338,0.0181800,0.0235283,0.0349314,0.0597957,0.114103", \ - "0.0189021,0.0214429,0.0243668,0.0297565,0.0404786,0.0633864,0.114473", \ - "0.0256310,0.0285357,0.0318902,0.0378358,0.0485915,0.0703911,0.117649"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00413094,0.00597670,0.00829163,0.0129227,0.0221899,0.0407141,0.0777673", \ - "0.00413083,0.00597660,0.00829194,0.0129242,0.0221887,0.0407211,0.0777613", \ - "0.00618975,0.00753611,0.00915233,0.0130630,0.0221972,0.0407244,0.0777645", \ - "0.00973913,0.0115865,0.0136491,0.0172261,0.0238731,0.0407278,0.0777583", \ - "0.0144115,0.0167435,0.0192522,0.0236409,0.0311338,0.0443362,0.0777541", \ - "0.0202222,0.0231527,0.0262221,0.0313836,0.0400861,0.0546330,0.0818751", \ - "0.0272599,0.0308091,0.0345212,0.0406334,0.0505316,0.0670452,0.0940304"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0102880,0.0135020,0.0174683,0.0253491,0.0410583,0.0724361,0.135164", \ - "0.0105623,0.0138415,0.0178630,0.0258053,0.0415657,0.0729795,0.135727", \ - "0.0108981,0.0143346,0.0184230,0.0263747,0.0421826,0.0736504,0.136445", \ - "0.0112189,0.0150170,0.0193358,0.0275578,0.0434414,0.0747614,0.137477", \ - "0.0109123,0.0155675,0.0206145,0.0294844,0.0457549,0.0771904,0.139493", \ - "0.00953480,0.0151009,0.0210980,0.0313694,0.0488908,0.0808885,0.143067", \ - "0.00680799,0.0133051,0.0202957,0.0322051,0.0519413,0.0855460,0.148399"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00712018,0.00927588,0.0118755,0.0169535,0.0269601,0.0468267,0.0864423", \ - "0.00802100,0.0101691,0.0127657,0.0178420,0.0278466,0.0477181,0.0873262", \ - "0.0118531,0.0141988,0.0167560,0.0216476,0.0314909,0.0512304,0.0907613", \ - "0.0165154,0.0195519,0.0229866,0.0291000,0.0393888,0.0586268,0.0977642", \ - "0.0219754,0.0256609,0.0297941,0.0371589,0.0499821,0.0712162,0.109477", \ - "0.0282349,0.0326440,0.0375274,0.0461151,0.0609403,0.0861588,0.127059", \ - "0.0353518,0.0404947,0.0461854,0.0560896,0.0729408,0.101393,0.148835"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00500631,0.00776720,0.0112099,0.0180836,0.0318041,0.0592404,0.114121", \ - "0.00500749,0.00776838,0.0112074,0.0180790,0.0318002,0.0592420,0.114113", \ - "0.00564121,0.00817674,0.0113913,0.0180865,0.0318058,0.0592465,0.114121", \ - "0.00795092,0.00999832,0.0128546,0.0191327,0.0321040,0.0592460,0.114117", \ - "0.0122651,0.0141876,0.0166214,0.0218736,0.0339123,0.0598229,0.114110", \ - "0.0177068,0.0198409,0.0223975,0.0273750,0.0379141,0.0622187,0.114643", \ - "0.0241930,0.0265967,0.0294726,0.0347931,0.0449526,0.0668820,0.116994"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00505790,0.00688974,0.00918537,0.0137945,0.0230430,0.0415704,0.0786089", \ - "0.00502134,0.00688275,0.00918482,0.0137958,0.0230485,0.0415786,0.0786202", \ - "0.00672938,0.00800984,0.00976379,0.0138459,0.0230471,0.0415693,0.0786153", \ - "0.0102174,0.0120558,0.0140921,0.0176316,0.0244723,0.0415739,0.0786189", \ - "0.0146470,0.0169263,0.0194297,0.0238685,0.0314226,0.0448729,0.0786197", \ - "0.0201526,0.0229562,0.0259578,0.0311245,0.0400104,0.0547912,0.0824603", \ - "0.0267906,0.0301862,0.0337878,0.0397916,0.0497939,0.0667404,0.0941620"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.617849,0.640887,0.658408,0.673592,0.681693,0.682662,0.675645", \ - "0.541252,0.582343,0.608862,0.636232,0.656147,0.668717,0.670108", \ - "0.771179,0.731984,0.708543,0.687184,0.681860,0.678281,0.673113", \ - "1.871635,1.589545,1.377969,1.189515,1.020038,0.884700,0.792236", \ - "3.805574,3.430915,3.020798,2.465848,1.966482,1.533063,1.193416", \ - "6.449026,6.109131,5.658150,4.836258,3.777672,2.859914,2.090836", \ - "9.850062,9.544065,9.148797,8.273735,6.751283,5.084365,3.680385"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("3.189379,3.244423,3.237881,3.277949,3.165548,3.053414,2.852912", \ - "3.201571,3.242147,3.263188,3.245048,3.261591,3.071085,2.975486", \ - "3.557793,3.512828,3.462134,3.436543,3.277243,3.191277,3.050604", \ - "4.441948,4.355630,4.306634,4.157633,3.936196,3.578236,3.458735", \ - "6.264346,6.137908,5.966513,5.637869,5.196177,4.508717,4.026729", \ - "8.921325,8.840394,8.663575,8.191484,7.460425,6.607662,5.499455", \ - "12.374510,12.366190,12.246870,11.763440,10.827130,9.281325,7.472292"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.619824,0.651783,0.666550,0.678487,0.677534,0.685305,0.678326", \ - "0.501365,0.559282,0.595110,0.623997,0.648901,0.666670,0.666944", \ - "0.530968,0.533781,0.549158,0.573409,0.612100,0.635921,0.651996", \ - "1.373559,1.136973,0.972580,0.867736,0.780485,0.733758,0.701762", \ - "3.069814,2.738195,2.364699,1.873552,1.471883,1.174530,0.964339", \ - "5.431375,5.099017,4.698373,3.936514,2.960946,2.191386,1.620288", \ - "8.471299,8.169816,7.789826,6.990491,5.576355,4.014532,2.859789"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("4.183285,4.189750,4.223325,4.232709,4.261837,4.190948,3.895226", \ - "4.145737,4.185710,4.194474,4.218651,4.204695,4.275749,4.204364", \ - "4.498036,4.456358,4.412876,4.397534,4.329599,4.342891,4.349996", \ - "5.399522,5.372790,5.359339,5.169009,4.961621,4.628362,4.319833", \ - "7.326859,7.217674,6.994720,6.780936,6.292611,5.682671,5.084504", \ - "10.098200,10.032710,9.869450,9.424804,8.710875,7.569770,6.457164", \ - "13.730710,13.747660,13.659560,13.242670,12.306760,10.693020,9.093248"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.629368,0.653765,0.663716,0.676349,0.682962,0.684466,0.678216", \ - "0.497709,0.549380,0.590880,0.628264,0.654285,0.665114,0.665529", \ - "0.460288,0.479952,0.503580,0.547671,0.589907,0.623992,0.639788", \ - "1.281974,1.076301,0.903914,0.804085,0.734975,0.709341,0.684338", \ - "3.114275,2.803580,2.461835,1.933768,1.471411,1.172750,0.967068", \ - "5.692343,5.361619,5.027374,4.303632,3.217474,2.312554,1.697583", \ - "9.112367,8.771448,8.400690,7.688135,6.289968,4.448356,3.110207"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("4.936902,5.023264,5.061010,5.060917,4.999137,5.061973,4.990618", \ - "4.903319,4.937088,4.986733,5.059248,5.005326,5.101800,5.082277", \ - "5.251634,5.248644,5.241784,5.232375,5.120784,5.093880,5.126677", \ - "6.281895,6.260365,6.279821,6.017561,5.707605,5.605802,5.333551", \ - "8.470427,8.329164,8.099359,7.875210,7.375213,6.486374,6.200341", \ - "11.638990,11.504700,11.304050,10.817790,9.961102,8.717894,7.784901", \ - "15.813240,15.729600,15.611270,15.034530,13.916510,12.316820,10.437130"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND3_X2 - Cell Description : Combinational cell (NAND3_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NAND3_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 115.154656; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 19.780750; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 100.230250; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 23.759375; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 236.520500; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 6.208794; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 105.206127; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 22.529575; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 407.001875; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.077365; - fall_capacitance : 3.077365; - rise_capacitance : 2.957740; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.287975; - fall_capacitance : 3.223024; - rise_capacitance : 3.287975; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.610745; - fall_capacitance : 3.349688; - rise_capacitance : 3.610745; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 116.272000; - function : "!((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00751818,0.0111276,0.0150827,0.0229363,0.0385854,0.0698361,0.132308", \ - "0.00799483,0.0116145,0.0155919,0.0234790,0.0391611,0.0704365,0.132925", \ - "0.0104016,0.0143923,0.0182654,0.0259781,0.0415411,0.0727504,0.135204", \ - "0.0130278,0.0179791,0.0229025,0.0316991,0.0470516,0.0777833,0.139917", \ - "0.0156340,0.0216370,0.0274621,0.0378024,0.0558766,0.0867372,0.147995", \ - "0.0180146,0.0251477,0.0319925,0.0439196,0.0644694,0.0996769,0.160479", \ - "0.0201481,0.0283730,0.0362821,0.0499607,0.0730648,0.112318,0.178342"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00500252,0.00732536,0.00984624,0.0148295,0.0247351,0.0444991,0.0839950", \ - "0.00602825,0.00829923,0.0108087,0.0157943,0.0257120,0.0454886,0.0849959", \ - "0.00870741,0.0117960,0.0146872,0.0195928,0.0293467,0.0490075,0.0884446", \ - "0.0111878,0.0153817,0.0193362,0.0261006,0.0370979,0.0563267,0.0954074", \ - "0.0133201,0.0187200,0.0237593,0.0322712,0.0462741,0.0685801,0.106979", \ - "0.0149540,0.0215754,0.0277624,0.0381493,0.0550036,0.0820346,0.124229", \ - "0.0160582,0.0238536,0.0311953,0.0435248,0.0634014,0.0948815,0.144664"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00497988,0.00807670,0.0115068,0.0183526,0.0320292,0.0593742,0.114054", \ - "0.00495651,0.00807515,0.0115051,0.0183523,0.0320294,0.0593782,0.114057", \ - "0.00648918,0.00896123,0.0117963,0.0183468,0.0320307,0.0593734,0.114054", \ - "0.00980167,0.0124933,0.0154104,0.0208636,0.0323934,0.0593762,0.114060", \ - "0.0147436,0.0177225,0.0207555,0.0265828,0.0375824,0.0602622,0.114054", \ - "0.0211798,0.0246267,0.0280101,0.0341308,0.0456781,0.0672119,0.114545", \ - "0.0292143,0.0331372,0.0369849,0.0437279,0.0557381,0.0783902,0.121221"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00322054,0.00529410,0.00760222,0.0122206,0.0214593,0.0399449,0.0769259", \ - "0.00323038,0.00529271,0.00760112,0.0122215,0.0214608,0.0399473,0.0769227", \ - "0.00565886,0.00724511,0.00874287,0.0124515,0.0214601,0.0399494,0.0769219", \ - "0.00943281,0.0114085,0.0133920,0.0169362,0.0233862,0.0399446,0.0769056", \ - "0.0145929,0.0170525,0.0194143,0.0235858,0.0309090,0.0438557,0.0769105", \ - "0.0211234,0.0241145,0.0269970,0.0318994,0.0402204,0.0544819,0.0813001", \ - "0.0292023,0.0326615,0.0360842,0.0418918,0.0513710,0.0672893,0.0938759"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00938760,0.0129865,0.0169347,0.0247822,0.0404267,0.0716751,0.134145", \ - "0.00978682,0.0134513,0.0174494,0.0253537,0.0410465,0.0723293,0.134820", \ - "0.0112434,0.0152415,0.0192988,0.0272080,0.0429365,0.0742646,0.136793", \ - "0.0131540,0.0179097,0.0226019,0.0311608,0.0470223,0.0782347,0.140699", \ - "0.0149700,0.0207740,0.0263556,0.0361539,0.0533946,0.0849654,0.147138", \ - "0.0162665,0.0232307,0.0298590,0.0412902,0.0606818,0.0943714,0.156700", \ - "0.0168736,0.0250165,0.0327539,0.0460050,0.0680581,0.104929,0.169744"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00626788,0.00857467,0.0110918,0.0160757,0.0259835,0.0457420,0.0852244", \ - "0.00720504,0.00950106,0.0120170,0.0170041,0.0269168,0.0466814,0.0861647", \ - "0.0105399,0.0133136,0.0159798,0.0207974,0.0305550,0.0502011,0.0896084", \ - "0.0141645,0.0178491,0.0214654,0.0278231,0.0383813,0.0575570,0.0965939", \ - "0.0179560,0.0226075,0.0271059,0.0349527,0.0482828,0.0699806,0.108233", \ - "0.0217806,0.0274621,0.0329158,0.0423305,0.0580946,0.0841618,0.125637", \ - "0.0256382,0.0323434,0.0387840,0.0498562,0.0681938,0.0981344,0.146712"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00498233,0.00807703,0.0115069,0.0183500,0.0320300,0.0593769,0.114057", \ - "0.00498499,0.00807662,0.0115033,0.0183520,0.0320318,0.0593774,0.114054", \ - "0.00605191,0.00864456,0.0117192,0.0183521,0.0320323,0.0593763,0.114066", \ - "0.00887463,0.0112445,0.0140216,0.0198051,0.0323072,0.0593720,0.114055", \ - "0.0132929,0.0157693,0.0183972,0.0237344,0.0351277,0.0599265,0.114053", \ - "0.0188567,0.0217069,0.0245953,0.0299581,0.0406501,0.0635101,0.114430", \ - "0.0255728,0.0288348,0.0321528,0.0380504,0.0487504,0.0704943,0.117618"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00411990,0.00618809,0.00849981,0.0131232,0.0223753,0.0408626,0.0778288", \ - "0.00411821,0.00619009,0.00849868,0.0131191,0.0223735,0.0408614,0.0778336", \ - "0.00618004,0.00767453,0.00931209,0.0132445,0.0223738,0.0408585,0.0778393", \ - "0.00972638,0.0117831,0.0138191,0.0173661,0.0240190,0.0408696,0.0778220", \ - "0.0143936,0.0169831,0.0194599,0.0238127,0.0312624,0.0444561,0.0778240", \ - "0.0202047,0.0234466,0.0264669,0.0315718,0.0402276,0.0547329,0.0819508", \ - "0.0272374,0.0311693,0.0348137,0.0408592,0.0506928,0.0671463,0.0940916"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0102625,0.0138620,0.0178102,0.0256576,0.0413024,0.0725509,0.135021", \ - "0.0105361,0.0142079,0.0182091,0.0261162,0.0418108,0.0730938,0.135584", \ - "0.0108666,0.0147103,0.0187654,0.0266849,0.0424268,0.0737652,0.136300", \ - "0.0111754,0.0154101,0.0196890,0.0278680,0.0436813,0.0748717,0.137333", \ - "0.0108507,0.0160344,0.0210044,0.0297974,0.0459907,0.0772959,0.139342", \ - "0.00945307,0.0156490,0.0215517,0.0317141,0.0491223,0.0809848,0.142914", \ - "0.00670473,0.0139362,0.0208162,0.0325949,0.0521815,0.0856279,0.148232"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00710097,0.00951646,0.0121034,0.0171625,0.0271357,0.0469418,0.0864204", \ - "0.00800187,0.0104086,0.0129929,0.0180501,0.0280236,0.0478263,0.0873062", \ - "0.0118291,0.0144455,0.0169698,0.0218501,0.0316639,0.0513421,0.0907381", \ - "0.0164857,0.0198739,0.0232689,0.0293271,0.0395510,0.0587355,0.0977455", \ - "0.0219386,0.0260542,0.0301282,0.0374300,0.0501749,0.0713161,0.109457", \ - "0.0281978,0.0331142,0.0379211,0.0464300,0.0611577,0.0862690,0.127034", \ - "0.0353051,0.0410462,0.0466391,0.0564539,0.0731818,0.101507,0.148793"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00498219,0.00807449,0.0115049,0.0183545,0.0320304,0.0593733,0.114063", \ - "0.00498316,0.00807370,0.0115050,0.0183497,0.0320320,0.0593791,0.114058", \ - "0.00561710,0.00845580,0.0116696,0.0183576,0.0320293,0.0593769,0.114058", \ - "0.00793023,0.0102416,0.0131126,0.0193859,0.0323229,0.0593780,0.114057", \ - "0.0122566,0.0144014,0.0168327,0.0220895,0.0341238,0.0599576,0.114065", \ - "0.0176842,0.0200661,0.0226091,0.0275773,0.0381032,0.0623474,0.114591", \ - "0.0241806,0.0268539,0.0297048,0.0350033,0.0451249,0.0670038,0.116951"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00505082,0.00710181,0.00939014,0.0139879,0.0232179,0.0416977,0.0786335", \ - "0.00501050,0.00709464,0.00938839,0.0139875,0.0232156,0.0416888,0.0786422", \ - "0.00672641,0.00816389,0.00993300,0.0140287,0.0232167,0.0416959,0.0786445", \ - "0.0102148,0.0122497,0.0142654,0.0177679,0.0246116,0.0416990,0.0786437", \ - "0.0146396,0.0171623,0.0196341,0.0240375,0.0315487,0.0449758,0.0786485", \ - "0.0201443,0.0232537,0.0262051,0.0313193,0.0401543,0.0548774,0.0824941", \ - "0.0267796,0.0305385,0.0340693,0.0400195,0.0499553,0.0668318,0.0941939"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("1.463377,1.518311,1.550132,1.568463,1.578984,1.582262,1.579020", \ - "1.302267,1.381535,1.445495,1.488952,1.538524,1.563195,1.564352", \ - "1.770528,1.680034,1.633460,1.605326,1.590671,1.584658,1.572092", \ - "3.972012,3.348591,2.959383,2.588280,2.260321,1.994769,1.805452", \ - "7.831050,6.992178,6.205196,5.122454,4.132134,3.273290,2.599315", \ - "13.132220,12.354040,11.439230,9.832405,7.741037,5.927981,4.382756", \ - "19.917270,19.236170,18.441200,16.691380,13.683410,10.356480,7.572236"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("6.615710,6.695844,6.717751,6.800907,6.679726,6.788285,6.831313", \ - "6.653040,6.719730,6.713301,6.689710,6.723844,6.684092,6.059452", \ - "7.330343,7.292738,7.205571,7.114195,7.028595,6.599148,6.172250", \ - "9.127673,8.933236,8.844601,8.518623,7.904630,7.292372,7.021438", \ - "12.774220,12.520280,12.033900,11.430050,10.785530,9.797299,8.155324", \ - "18.085880,17.930050,17.561860,16.552130,15.037090,13.287530,10.969090", \ - "24.987000,24.945500,24.750340,23.794810,21.635860,18.665980,15.297110"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("1.449805,1.523762,1.554697,1.571684,1.584109,1.593076,1.573764", \ - "1.245431,1.344776,1.415586,1.475316,1.534741,1.562736,1.558526", \ - "1.292702,1.304074,1.334131,1.387227,1.449314,1.504559,1.529312", \ - "2.982382,2.468407,2.162590,1.959573,1.795094,1.686283,1.629114", \ - "6.375560,5.620181,4.902656,3.944109,3.159447,2.573780,2.153269", \ - "11.100640,10.360090,9.559199,8.048263,6.124347,4.593449,3.454446", \ - "17.182510,16.504620,15.745960,14.142720,11.322190,8.242400,5.940162"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("8.616659,8.628855,8.697530,8.788150,8.829551,8.427051,8.840355", \ - "8.520714,8.611475,8.646373,8.681266,8.771989,8.658073,8.408049", \ - "9.246245,9.138698,9.092615,8.979927,8.831978,8.792092,8.286716", \ - "10.986100,10.938420,10.917270,10.524250,10.064420,9.395374,8.448121", \ - "14.882180,14.623360,14.291630,13.817530,12.802630,11.548510,9.934570", \ - "20.444420,20.302090,19.988880,19.093830,17.491140,15.373080,13.141060", \ - "27.554780,27.722930,27.503520,26.358600,24.903260,22.047520,18.324430"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("1.476025,1.534685,1.553180,1.579575,1.584409,1.591949,1.581307", \ - "1.224161,1.333489,1.411014,1.470585,1.533185,1.561078,1.563149", \ - "1.130067,1.189597,1.249166,1.319878,1.413343,1.483643,1.508176", \ - "2.831207,2.342116,2.016536,1.819484,1.708550,1.642788,1.593449", \ - "6.465597,5.762386,5.090057,4.057286,3.161193,2.561905,2.158385", \ - "11.597600,10.942530,10.174290,8.789805,6.637659,4.840991,3.620470", \ - "18.466710,17.648880,16.907090,15.542850,12.781470,9.108034,6.443957"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("10.090800,10.269820,10.300270,10.430800,10.261100,10.399090,10.708080", \ - "10.057460,10.182890,10.259750,10.335590,10.319370,10.020310,10.338390", \ - "10.729450,10.738520,10.667010,10.662040,10.673500,10.519180,10.426120", \ - "12.883290,12.737880,12.763430,12.280760,11.700950,11.437920,10.694940", \ - "17.196150,16.782780,16.530630,15.931810,15.012550,13.628140,12.573310", \ - "23.532840,23.192880,22.800380,21.746690,19.999110,18.059100,15.568150", \ - "31.883850,31.762420,31.435840,30.178490,28.007670,24.356970,20.525580"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND3_X4 - Cell Description : Combinational cell (NAND3_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NAND3_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 230.309369; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 39.561375; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 200.460500; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 47.518637; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 473.041250; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 12.417545; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 210.411622; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 45.059023; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 814.005000; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.460317; - fall_capacitance : 6.460317; - rise_capacitance : 6.193131; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.930476; - fall_capacitance : 6.809611; - rise_capacitance : 6.930476; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 7.236085; - fall_capacitance : 6.736618; - rise_capacitance : 7.236085; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 233.154000; - function : "!((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00720892,0.0110393,0.0150072,0.0228848,0.0385788,0.0699175,0.132563", \ - "0.00768793,0.0115253,0.0155157,0.0234272,0.0391545,0.0705181,0.133181", \ - "0.0100309,0.0142998,0.0181933,0.0259277,0.0415358,0.0728339,0.135464", \ - "0.0125625,0.0178609,0.0228108,0.0316468,0.0470501,0.0778713,0.140182", \ - "0.0150658,0.0214925,0.0273492,0.0377373,0.0558748,0.0868282,0.148266", \ - "0.0173320,0.0249680,0.0318609,0.0438485,0.0644692,0.0997790,0.160756", \ - "0.0193578,0.0281628,0.0361275,0.0498750,0.0730656,0.112435,0.178624"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00480099,0.00726675,0.00979468,0.0147923,0.0247260,0.0445451,0.0841554", \ - "0.00583583,0.00824128,0.0107579,0.0157581,0.0257039,0.0455358,0.0851565", \ - "0.00841217,0.0117225,0.0146323,0.0195588,0.0293404,0.0490579,0.0886064", \ - "0.0107800,0.0152788,0.0192592,0.0260558,0.0370935,0.0563789,0.0955753", \ - "0.0127917,0.0185839,0.0236580,0.0322137,0.0462689,0.0686380,0.107150", \ - "0.0143065,0.0214071,0.0276364,0.0380775,0.0549954,0.0821069,0.124399", \ - "0.0152973,0.0236500,0.0310429,0.0434334,0.0633878,0.0949636,0.144870"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00469197,0.00797890,0.0114223,0.0182969,0.0320278,0.0594791,0.114361", \ - "0.00466555,0.00797594,0.0114221,0.0182985,0.0320287,0.0594797,0.114363", \ - "0.00623567,0.00888511,0.0117201,0.0182895,0.0320271,0.0594762,0.114365", \ - "0.00953705,0.0124007,0.0153356,0.0208184,0.0323925,0.0594727,0.114367", \ - "0.0144356,0.0176194,0.0206681,0.0265214,0.0375779,0.0603578,0.114362", \ - "0.0208114,0.0244994,0.0279062,0.0340572,0.0456525,0.0672862,0.114841", \ - "0.0288000,0.0329823,0.0368610,0.0436425,0.0557023,0.0784432,0.121487"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00303597,0.00522836,0.00754134,0.0121699,0.0214287,0.0399587,0.0770096", \ - "0.00305803,0.00522999,0.00754170,0.0121724,0.0214347,0.0399593,0.0770061", \ - "0.00550577,0.00719852,0.00870203,0.0124050,0.0214330,0.0399475,0.0770024", \ - "0.00925025,0.0113512,0.0133433,0.0169012,0.0233578,0.0399507,0.0769903", \ - "0.0143471,0.0169849,0.0193603,0.0235479,0.0308872,0.0438529,0.0770063", \ - "0.0208242,0.0240306,0.0269350,0.0318610,0.0402000,0.0544908,0.0813539", \ - "0.0288611,0.0325608,0.0360088,0.0418447,0.0513529,0.0673093,0.0939210"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00907446,0.0128926,0.0168536,0.0247246,0.0404140,0.0717497,0.134394", \ - "0.00946681,0.0133547,0.0173663,0.0252948,0.0410334,0.0724034,0.135068", \ - "0.0108769,0.0151361,0.0192139,0.0271477,0.0429225,0.0743390,0.137044", \ - "0.0126998,0.0177874,0.0225029,0.0310954,0.0470069,0.0783080,0.140948", \ - "0.0144073,0.0206249,0.0262375,0.0360787,0.0533762,0.0850394,0.147387", \ - "0.0155875,0.0230479,0.0297180,0.0412017,0.0606604,0.0944446,0.156950", \ - "0.0160746,0.0247976,0.0325861,0.0459012,0.0680314,0.105007,0.169994"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00606023,0.00850981,0.0110354,0.0160358,0.0259750,0.0457970,0.0854067", \ - "0.00699914,0.00943608,0.0119609,0.0169645,0.0269096,0.0467390,0.0863495", \ - "0.0102720,0.0132402,0.0159245,0.0207592,0.0305481,0.0502602,0.0897922", \ - "0.0138114,0.0177518,0.0213900,0.0277783,0.0383774,0.0576180,0.0967799", \ - "0.0175069,0.0224847,0.0270114,0.0348956,0.0482785,0.0700434,0.108422", \ - "0.0212394,0.0273134,0.0328009,0.0422616,0.0580919,0.0842410,0.125825", \ - "0.0250041,0.0321692,0.0386491,0.0497762,0.0681835,0.0982230,0.146932"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00469660,0.00797860,0.0114225,0.0182954,0.0320268,0.0594745,0.114359", \ - "0.00470238,0.00797883,0.0114211,0.0182987,0.0320285,0.0594811,0.114359", \ - "0.00580176,0.00855934,0.0116428,0.0182970,0.0320281,0.0594769,0.114360", \ - "0.00865073,0.0111634,0.0139476,0.0197591,0.0323056,0.0594794,0.114361", \ - "0.0130537,0.0156796,0.0183255,0.0236806,0.0351234,0.0600217,0.114360", \ - "0.0185646,0.0216033,0.0245127,0.0298993,0.0406378,0.0635952,0.114724", \ - "0.0252357,0.0287123,0.0320481,0.0379750,0.0487293,0.0705678,0.117898"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00394317,0.00612971,0.00844292,0.0130783,0.0223498,0.0408857,0.0779524", \ - "0.00394011,0.00613020,0.00844416,0.0130808,0.0223507,0.0408921,0.0779598", \ - "0.00603486,0.00763615,0.00926902,0.0132023,0.0223545,0.0408895,0.0779454", \ - "0.00952836,0.0117278,0.0137733,0.0173336,0.0239953,0.0408966,0.0779482", \ - "0.0141432,0.0169172,0.0194067,0.0237735,0.0312467,0.0444692,0.0779468", \ - "0.0198857,0.0233644,0.0264037,0.0315325,0.0402136,0.0547497,0.0820359", \ - "0.0268475,0.0310712,0.0347434,0.0408126,0.0506805,0.0671774,0.0941552"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00996984,0.0137887,0.0177499,0.0256212,0.0413104,0.0726460,0.135292", \ - "0.0102361,0.0141317,0.0181473,0.0260784,0.0418189,0.0731902,0.135855", \ - "0.0105494,0.0146308,0.0187036,0.0266469,0.0424339,0.0738617,0.136571", \ - "0.0108024,0.0153287,0.0196249,0.0278303,0.0436894,0.0749697,0.137605", \ - "0.0103798,0.0159321,0.0209304,0.0297593,0.0460004,0.0773947,0.139616", \ - "0.00888148,0.0155198,0.0214581,0.0316659,0.0491324,0.0810852,0.143191", \ - "0.00603056,0.0137735,0.0206990,0.0325312,0.0521889,0.0857313,0.148512"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00690207,0.00947294,0.0120715,0.0171506,0.0271599,0.0470348,0.0866611", \ - "0.00780301,0.0103644,0.0129605,0.0180379,0.0280463,0.0479206,0.0875461", \ - "0.0116028,0.0143996,0.0169403,0.0218386,0.0316897,0.0514415,0.0909779", \ - "0.0161963,0.0198114,0.0232251,0.0293129,0.0395780,0.0588310,0.0979829", \ - "0.0215843,0.0259780,0.0300749,0.0374113,0.0502064,0.0714152,0.109699", \ - "0.0277739,0.0330223,0.0378589,0.0464066,0.0611927,0.0863907,0.127273", \ - "0.0348154,0.0409446,0.0465664,0.0564271,0.0732192,0.101642,0.149064"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00469643,0.00797834,0.0114218,0.0182963,0.0320305,0.0594768,0.114367", \ - "0.00469828,0.00797858,0.0114252,0.0182961,0.0320276,0.0594737,0.114358", \ - "0.00535195,0.00836483,0.0115940,0.0182976,0.0320258,0.0594785,0.114360", \ - "0.00773457,0.0101593,0.0130363,0.0193345,0.0323260,0.0594754,0.114360", \ - "0.0120537,0.0143289,0.0167689,0.0220448,0.0341190,0.0600621,0.114359", \ - "0.0174558,0.0199816,0.0225364,0.0275308,0.0380971,0.0624392,0.114896", \ - "0.0239108,0.0267545,0.0296200,0.0349438,0.0451133,0.0670884,0.117244"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00488219,0.00704977,0.00934256,0.0139507,0.0232031,0.0417347,0.0787827", \ - "0.00483685,0.00704281,0.00934204,0.0139517,0.0232041,0.0417366,0.0787893", \ - "0.00659470,0.00812576,0.00989233,0.0139960,0.0232040,0.0417365,0.0787917", \ - "0.0100323,0.0122013,0.0142260,0.0177409,0.0245978,0.0417411,0.0787943", \ - "0.0144183,0.0171074,0.0195899,0.0240054,0.0315387,0.0450023,0.0787928", \ - "0.0198626,0.0231797,0.0261552,0.0312920,0.0401467,0.0549012,0.0825991", \ - "0.0264375,0.0304574,0.0340147,0.0399915,0.0499494,0.0668735,0.0942869"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("2.916008,3.012728,3.083173,3.152007,3.177166,3.186940,3.169879", \ - "2.626749,2.774260,2.895328,2.998660,3.082109,3.132688,3.133998", \ - "3.586577,3.353333,3.271431,3.216065,3.179915,3.176309,3.161447", \ - "8.104879,6.737764,5.927645,5.207813,4.524434,4.010756,3.635047", \ - "15.839500,14.089620,12.471830,10.264700,8.305651,6.584325,5.238063", \ - "26.379900,24.804490,22.941440,19.710250,15.512840,11.882970,8.809626", \ - "39.951490,38.571400,36.957450,33.442260,27.404730,20.761690,15.192110"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("12.894370,13.160080,13.173830,13.221300,12.779360,12.916570,13.035040", \ - "12.938850,13.094870,13.081980,13.327230,13.278670,13.020500,12.874010", \ - "14.270980,14.199100,14.144140,13.843960,13.835030,13.099960,12.666990", \ - "17.960720,17.551780,17.445970,16.672900,15.985260,14.542750,14.127770", \ - "25.175310,24.813510,24.013080,22.568860,21.192480,18.523540,15.939850", \ - "35.895420,35.583050,34.863800,32.875980,29.667730,26.265660,21.267530", \ - "49.881780,49.660710,49.123200,47.376630,43.000330,38.059410,29.925660"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("2.943640,3.068347,3.129709,3.176416,3.188046,3.199543,3.157593", \ - "2.389860,2.682259,2.841627,2.972305,3.075168,3.126858,3.123617", \ - "2.577167,2.585413,2.655238,2.763340,2.904166,3.019528,3.062650", \ - "6.067666,4.959836,4.343202,3.932565,3.606916,3.397559,3.276679", \ - "12.856380,11.309050,9.830569,7.903507,6.336095,5.169267,4.333314", \ - "22.290070,20.824150,19.101300,16.118330,12.270520,9.226217,6.961177", \ - "34.518800,33.046020,31.540140,28.370620,22.699900,16.502970,11.920070"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("16.850530,16.971210,17.081500,17.155490,17.019410,17.242580,17.212350", \ - "16.736230,16.915580,17.035260,17.087540,16.955780,16.972080,16.390810", \ - "18.216270,18.027080,17.897980,17.612630,17.340780,17.289470,15.217060", \ - "21.810490,21.637140,21.560950,20.804200,20.025530,18.065280,17.415600", \ - "29.383370,28.994080,28.366940,27.232690,25.731730,23.380780,19.592550", \ - "40.519840,40.320720,39.538620,37.812950,34.689940,31.307650,26.361580", \ - "54.723460,55.013370,54.766000,53.080870,49.536170,42.658150,36.173480"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("2.953720,3.066720,3.126392,3.162269,3.185912,3.192330,3.173394", \ - "2.392306,2.689725,2.823612,2.969612,3.068535,3.125204,3.121783", \ - "2.283228,2.382027,2.485856,2.662244,2.824693,2.973728,3.043377", \ - "5.774616,4.717021,4.049285,3.667082,3.416544,3.297853,3.206437", \ - "13.059440,11.628650,10.232340,8.156396,6.343272,5.159432,4.347438", \ - "23.348390,21.900050,20.451450,17.589730,13.304410,9.711210,7.267863", \ - "36.953870,35.399000,33.987410,31.163700,25.574590,18.258120,12.932840"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("19.905920,20.265400,20.352080,20.473450,20.432810,20.703880,19.774700", \ - "19.601300,19.967640,20.194500,20.237710,20.132420,20.519480,20.148050", \ - "21.170960,21.095550,20.968750,21.094330,21.031550,20.633650,20.330300", \ - "25.453400,25.204710,25.259490,24.316480,22.944930,22.764420,22.092780", \ - "34.120220,33.398280,32.742560,31.549700,29.282730,27.083940,24.634690", \ - "46.688380,46.144860,45.286270,43.213600,40.310610,35.813270,31.154090", \ - "63.487560,63.257660,62.504270,60.328060,55.965150,49.869420,41.558930"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND4_X1 - Cell Description : Combinational cell (NAND4_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NAND4_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 54.734189; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 10.008150; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 49.980262; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 12.129988; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 90.199050; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 10.490961; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 51.969150; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 12.543625; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 158.274750; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 3.048379; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 43.194227; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 5.094739; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 92.676570; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 2.812075; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 51.350831; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 10.761762; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 271.212500; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.605425; - fall_capacitance : 1.605425; - rise_capacitance : 1.506919; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.606969; - fall_capacitance : 1.606969; - rise_capacitance : 1.588599; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.640217; - fall_capacitance : 1.598567; - rise_capacitance : 1.640217; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.692293; - fall_capacitance : 1.545615; - rise_capacitance : 1.692293; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 55.999800; - function : "!(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0107548,0.0146069,0.0194326,0.0290281,0.0481525,0.0863459,0.162693", \ - "0.0111572,0.0150339,0.0198896,0.0295273,0.0486946,0.0869223,0.163290", \ - "0.0140296,0.0177041,0.0223729,0.0318570,0.0509204,0.0890908,0.165435", \ - "0.0180799,0.0226867,0.0280561,0.0377509,0.0561805,0.0938661,0.169883", \ - "0.0226563,0.0280753,0.0342893,0.0456393,0.0657797,0.102497,0.177600", \ - "0.0276050,0.0339554,0.0411084,0.0539078,0.0766696,0.116183,0.189657", \ - "0.0328778,0.0401709,0.0483517,0.0628112,0.0879388,0.131855,0.207142"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.00587641,0.00781909,0.0102363,0.0150223,0.0245426,0.0435399,0.0815056", \ - "0.00691000,0.00882337,0.0112295,0.0160163,0.0255456,0.0445553,0.0825297", \ - "0.00991938,0.0124059,0.0151155,0.0198020,0.0291860,0.0480870,0.0859922", \ - "0.0123236,0.0157920,0.0196097,0.0261578,0.0368088,0.0553346,0.0929143", \ - "0.0139141,0.0184178,0.0233434,0.0317298,0.0454997,0.0673238,0.104358", \ - "0.0144991,0.0200539,0.0261219,0.0364313,0.0532281,0.0799532,0.121352", \ - "0.0139902,0.0205630,0.0277715,0.0400418,0.0599869,0.0914719,0.140667"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.00824560,0.0116011,0.0158109,0.0242107,0.0409813,0.0744715,0.141468", \ - "0.00821682,0.0115934,0.0158107,0.0242111,0.0409745,0.0744950,0.141464", \ - "0.00890852,0.0117634,0.0157266,0.0242057,0.0409969,0.0744754,0.141465", \ - "0.0119825,0.0149064,0.0185888,0.0253865,0.0409469,0.0744834,0.141466", \ - "0.0169084,0.0198180,0.0234458,0.0306419,0.0442495,0.0745264,0.141458", \ - "0.0235213,0.0266804,0.0304504,0.0376852,0.0518743,0.0789224,0.141447", \ - "0.0317334,0.0352757,0.0393942,0.0469565,0.0613040,0.0890818,0.144576"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.00407023,0.00581671,0.00803650,0.0124706,0.0213400,0.0390943,0.0746004", \ - "0.00407132,0.00581736,0.00803508,0.0124714,0.0213450,0.0390893,0.0746020", \ - "0.00636892,0.00761700,0.00906103,0.0126751,0.0213425,0.0390893,0.0745879", \ - "0.0104889,0.0120844,0.0139349,0.0172432,0.0233414,0.0391068,0.0745985", \ - "0.0161418,0.0181326,0.0203590,0.0242881,0.0311574,0.0433422,0.0746428", \ - "0.0232248,0.0256848,0.0284298,0.0331156,0.0409789,0.0544324,0.0795889", \ - "0.0318242,0.0347237,0.0380399,0.0436642,0.0527848,0.0678304,0.0930096"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0135478,0.0173911,0.0222099,0.0317984,0.0509177,0.0891077,0.165454", \ - "0.0139081,0.0178144,0.0226884,0.0323418,0.0515198,0.0897529,0.166125", \ - "0.0157585,0.0196906,0.0245525,0.0342232,0.0534557,0.0917552,0.168180", \ - "0.0188669,0.0233941,0.0287115,0.0387265,0.0577782,0.0959465,0.172304", \ - "0.0228299,0.0281225,0.0341879,0.0452036,0.0652625,0.103182,0.179189", \ - "0.0270538,0.0332850,0.0403004,0.0527553,0.0746904,0.114096,0.189519", \ - "0.0313013,0.0385388,0.0466314,0.0608003,0.0851407,0.127387,0.203912"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.00721794,0.00915275,0.0115680,0.0163583,0.0258902,0.0449016,0.0828856", \ - "0.00819469,0.0101255,0.0125407,0.0173352,0.0268731,0.0458879,0.0838782", \ - "0.0116659,0.0139243,0.0164264,0.0210827,0.0304903,0.0494058,0.0873256", \ - "0.0150906,0.0182053,0.0217256,0.0279060,0.0381585,0.0566645,0.0942559", \ - "0.0180911,0.0220738,0.0265415,0.0343482,0.0475124,0.0687923,0.105733", \ - "0.0205072,0.0253877,0.0308446,0.0403304,0.0561928,0.0820680,0.122820", \ - "0.0222679,0.0280504,0.0345027,0.0457127,0.0643718,0.0945448,0.142710"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.00826004,0.0116003,0.0158102,0.0242168,0.0409766,0.0744719,0.141464", \ - "0.00825910,0.0116042,0.0158143,0.0242192,0.0409841,0.0744742,0.141468", \ - "0.00882553,0.0118168,0.0158306,0.0242186,0.0409705,0.0744953,0.141462", \ - "0.0113400,0.0141269,0.0177711,0.0250221,0.0409994,0.0744941,0.141463", \ - "0.0157458,0.0183303,0.0216530,0.0285762,0.0429291,0.0745657,0.141470", \ - "0.0216615,0.0244076,0.0277391,0.0343324,0.0480273,0.0769143,0.141455", \ - "0.0288589,0.0319145,0.0355469,0.0423166,0.0555567,0.0832165,0.143116"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.00496666,0.00672225,0.00894330,0.0133877,0.0222890,0.0400655,0.0756091", \ - "0.00496791,0.00672037,0.00894381,0.0133924,0.0222876,0.0400642,0.0756133", \ - "0.00686628,0.00803984,0.00965713,0.0134909,0.0222882,0.0400643,0.0756085", \ - "0.0109038,0.0125360,0.0144023,0.0176908,0.0239914,0.0400741,0.0756152", \ - "0.0162245,0.0182929,0.0205836,0.0246174,0.0315671,0.0439811,0.0756370", \ - "0.0227816,0.0254026,0.0282477,0.0330664,0.0411624,0.0547788,0.0803037", \ - "0.0305941,0.0338248,0.0373170,0.0431340,0.0524902,0.0679076,0.0933347"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0152561,0.0190994,0.0239176,0.0335057,0.0526248,0.0908170,0.167162", \ - "0.0155660,0.0194772,0.0243533,0.0340083,0.0531877,0.0914203,0.167793", \ - "0.0163868,0.0203235,0.0252045,0.0349005,0.0541581,0.0924778,0.168914", \ - "0.0177184,0.0219520,0.0270636,0.0370004,0.0561241,0.0943340,0.170734", \ - "0.0199088,0.0247824,0.0303357,0.0405621,0.0600743,0.0980249,0.174025", \ - "0.0220648,0.0277928,0.0342101,0.0455538,0.0657737,0.104143,0.179489", \ - "0.0237778,0.0304431,0.0378506,0.0507823,0.0728867,0.112192,0.187773"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.00822778,0.0102409,0.0127199,0.0175849,0.0271894,0.0462655,0.0843014", \ - "0.00912144,0.0111337,0.0136134,0.0184803,0.0280867,0.0471684,0.0851982", \ - "0.0129024,0.0150474,0.0174501,0.0221841,0.0316691,0.0506484,0.0886130", \ - "0.0172415,0.0201433,0.0234735,0.0293957,0.0393532,0.0579073,0.0955420", \ - "0.0215892,0.0252074,0.0293337,0.0366840,0.0493530,0.0701601,0.107055", \ - "0.0259100,0.0302695,0.0352312,0.0440004,0.0590074,0.0840959,0.124247", \ - "0.0301831,0.0352977,0.0411112,0.0513393,0.0687263,0.0975997,0.144730"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.00825856,0.0116012,0.0158134,0.0242079,0.0409824,0.0745012,0.141463", \ - "0.00826218,0.0116042,0.0158114,0.0242130,0.0409927,0.0744830,0.141463", \ - "0.00868840,0.0117645,0.0158336,0.0242117,0.0409794,0.0744823,0.141463", \ - "0.0106394,0.0134305,0.0172303,0.0249108,0.0410418,0.0744785,0.141462", \ - "0.0150423,0.0173212,0.0204097,0.0273096,0.0425094,0.0746760,0.141460", \ - "0.0210525,0.0233789,0.0263336,0.0323795,0.0459435,0.0764492,0.141536", \ - "0.0282713,0.0308278,0.0340019,0.0400772,0.0524139,0.0805015,0.143031"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.00589430,0.00763360,0.00983833,0.0142697,0.0231618,0.0409477,0.0765446", \ - "0.00588003,0.00763046,0.00983773,0.0142672,0.0231582,0.0409641,0.0765421", \ - "0.00736540,0.00855576,0.0102995,0.0142957,0.0231607,0.0409589,0.0765431", \ - "0.0114458,0.0130397,0.0148673,0.0181080,0.0246080,0.0409558,0.0765354", \ - "0.0166698,0.0186707,0.0209304,0.0249591,0.0319147,0.0445423,0.0765427", \ - "0.0230786,0.0256022,0.0283817,0.0331504,0.0412921,0.0550346,0.0809506", \ - "0.0306638,0.0337957,0.0372105,0.0429120,0.0522396,0.0678685,0.0935780"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0161059,0.0199496,0.0247675,0.0343563,0.0534753,0.0916666,0.168013", \ - "0.0163377,0.0202485,0.0251245,0.0347796,0.0539584,0.0921931,0.168566", \ - "0.0164078,0.0203265,0.0252159,0.0349231,0.0541906,0.0925159,0.168957", \ - "0.0160682,0.0200899,0.0250527,0.0348584,0.0540102,0.0922273,0.168634", \ - "0.0159807,0.0204481,0.0256432,0.0354456,0.0545585,0.0924339,0.168383", \ - "0.0152480,0.0204354,0.0263061,0.0368819,0.0562682,0.0938728,0.169011", \ - "0.0135084,0.0194812,0.0261784,0.0379882,0.0587012,0.0965944,0.171183"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.00874621,0.0108909,0.0134847,0.0184883,0.0282243,0.0474074,0.0855039", \ - "0.00962980,0.0117652,0.0143556,0.0193576,0.0290925,0.0482794,0.0863750", \ - "0.0137061,0.0158035,0.0182039,0.0230489,0.0326606,0.0517443,0.0897711", \ - "0.0188789,0.0216512,0.0248671,0.0306121,0.0403758,0.0590159,0.0967010", \ - "0.0245736,0.0279055,0.0317679,0.0387512,0.0509893,0.0713769,0.108246", \ - "0.0309818,0.0348879,0.0393926,0.0474888,0.0616814,0.0859950,0.125561", \ - "0.0381366,0.0426604,0.0478343,0.0570924,0.0731419,0.100634,0.146661"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.00826142,0.0116019,0.0158141,0.0242082,0.0409899,0.0745040,0.141458", \ - "0.00826050,0.0116008,0.0158105,0.0242151,0.0409855,0.0744886,0.141467", \ - "0.00852501,0.0116986,0.0158235,0.0242074,0.0409825,0.0744808,0.141462", \ - "0.00991556,0.0128631,0.0168124,0.0247697,0.0410702,0.0744707,0.141476", \ - "0.0138532,0.0162518,0.0194842,0.0266166,0.0422563,0.0747293,0.141458", \ - "0.0196101,0.0219216,0.0249257,0.0312105,0.0450839,0.0762118,0.141637", \ - "0.0265507,0.0289845,0.0320908,0.0383076,0.0510569,0.0795880,0.142988"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.00683850,0.00859123,0.0107944,0.0151986,0.0240434,0.0418152,0.0773728", \ - "0.00676346,0.00855995,0.0107832,0.0151970,0.0240442,0.0418161,0.0773865", \ - "0.00786860,0.00916235,0.0110117,0.0151443,0.0240423,0.0418108,0.0773827", \ - "0.0120852,0.0136406,0.0154156,0.0185640,0.0252479,0.0418071,0.0773674", \ - "0.0172903,0.0192119,0.0214029,0.0253733,0.0322890,0.0450886,0.0773818", \ - "0.0237032,0.0260569,0.0286926,0.0333260,0.0414461,0.0552624,0.0815122", \ - "0.0313389,0.0342028,0.0373865,0.0428384,0.0520001,0.0677464,0.0937246"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("1.242131,1.260483,1.271433,1.280739,1.282739,1.285002,1.270705", \ - "1.151065,1.186827,1.218017,1.242665,1.262396,1.263443,1.264697", \ - "1.318850,1.294110,1.284728,1.264231,1.268845,1.266842,1.258194", \ - "2.114294,1.949197,1.830792,1.689412,1.548206,1.438315,1.361023", \ - "3.813442,3.476094,3.144924,2.751019,2.367232,1.999175,1.703530", \ - "6.289333,5.880438,5.427126,4.715616,3.901206,3.151936,2.484668", \ - "9.499022,9.109635,8.624492,7.731939,6.409723,5.086356,3.880631"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("3.548288,3.581103,3.582272,3.552760,3.640789,3.440189,3.260681", \ - "3.568169,3.595963,3.583263,3.622811,3.596173,3.639934,3.432900", \ - "3.857968,3.817149,3.811151,3.785727,3.741722,3.540892,3.481635", \ - "4.525689,4.515956,4.519031,4.412308,4.226657,3.966994,3.881509", \ - "6.092438,6.028647,5.839534,5.558878,5.286667,4.675353,4.373934", \ - "8.390412,8.348560,8.137268,7.850508,7.170913,6.279783,5.553924", \ - "11.387260,11.366100,11.283500,10.954490,10.162890,9.002009,7.319714"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("1.252595,1.255668,1.270088,1.276989,1.289696,1.277078,1.266351", \ - "1.141076,1.178257,1.207081,1.231828,1.257518,1.263681,1.259074", \ - "1.107040,1.110181,1.136412,1.165140,1.196304,1.232707,1.240425", \ - "1.623992,1.509969,1.440930,1.380501,1.319985,1.291297,1.268251", \ - "3.074163,2.763485,2.472698,2.153209,1.880641,1.643194,1.475537", \ - "5.278308,4.889403,4.459533,3.803737,3.084074,2.499858,2.027584", \ - "8.109838,7.764767,7.304414,6.428391,5.196501,4.036725,3.078086"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("4.546168,4.555483,4.583189,4.578403,4.534295,4.486184,4.463521", \ - "4.522523,4.580318,4.577989,4.619474,4.555090,4.519060,4.698022", \ - "4.810599,4.763918,4.778693,4.743208,4.714185,4.653962,4.501409", \ - "5.449804,5.510353,5.512503,5.353476,5.162534,4.911053,4.855934", \ - "7.088388,6.998209,6.908711,6.680404,6.274437,5.847490,5.235974", \ - "9.453994,9.382366,9.238620,8.962560,8.241106,7.662804,6.590335", \ - "12.534050,12.566350,12.512900,12.185250,11.475310,10.172070,8.667013"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("1.235552,1.259014,1.269930,1.279500,1.277128,1.274591,1.271313", \ - "1.138960,1.182228,1.205871,1.235504,1.253341,1.257552,1.255383", \ - "1.025922,1.061614,1.091388,1.132850,1.185447,1.219260,1.232936", \ - "1.467464,1.363291,1.309135,1.270454,1.253089,1.242601,1.246128", \ - "2.963614,2.675837,2.380511,2.044255,1.788805,1.586390,1.436784", \ - "5.363042,4.989534,4.565901,3.857158,3.070012,2.464525,2.003794", \ - "8.495962,8.119293,7.664576,6.805803,5.458591,4.123281,3.127553"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("5.404378,5.432417,5.468214,5.507943,5.523586,5.598700,5.414158", \ - "5.337218,5.378444,5.392094,5.475893,5.497619,5.570129,5.531621", \ - "5.568322,5.581926,5.583120,5.544988,5.613880,5.561257,5.615722", \ - "6.408293,6.399437,6.414409,6.228526,6.116217,5.878629,5.763034", \ - "8.129234,7.995390,7.851051,7.715193,7.294596,6.879812,6.475863", \ - "10.716140,10.606950,10.509260,10.091960,9.352247,8.683979,7.762519", \ - "14.197240,14.148900,14.074500,13.647700,12.778900,11.437880,9.723496"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("1.238758,1.261314,1.271690,1.272028,1.286127,1.284122,1.269630", \ - "1.144325,1.179575,1.208688,1.231899,1.257358,1.259935,1.262601", \ - "1.013642,1.046429,1.083643,1.120829,1.181229,1.216220,1.231202", \ - "1.419659,1.314447,1.268113,1.235639,1.225160,1.237100,1.234120", \ - "3.048054,2.754137,2.450162,2.066288,1.784327,1.586030,1.445679", \ - "5.675152,5.331271,4.914569,4.180670,3.255280,2.575218,2.081765", \ - "9.196737,8.787355,8.366757,7.536707,6.093528,4.502306,3.369810"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("6.036367,6.167419,6.243756,6.294104,6.368172,6.478589,6.335998", \ - "6.022405,6.110332,6.164758,6.207547,6.230431,6.290371,6.219571", \ - "6.224459,6.301474,6.283094,6.325148,6.366125,6.192911,6.341054", \ - "7.207535,7.229171,7.182552,7.069150,6.775388,6.612965,6.550100", \ - "9.109761,9.009827,8.887610,8.620335,8.256560,7.793730,7.096207", \ - "12.119060,12.010240,11.792760,11.303230,10.692470,9.512099,8.570734", \ - "16.100800,16.046100,15.782100,15.369500,14.154750,12.746320,10.977330"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND4_X2 - Cell Description : Combinational cell (NAND4_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NAND4_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 109.468254; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 20.016250; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 99.960500; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 24.259900; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 180.398000; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 20.981850; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 103.938175; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 25.087250; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 316.549250; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 6.096743; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 86.388439; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 10.189593; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 185.353113; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 5.624148; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 102.701686; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 21.523548; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 542.423625; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.084350; - fall_capacitance : 3.084350; - rise_capacitance : 2.885279; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.298087; - fall_capacitance : 3.298087; - rise_capacitance : 3.266940; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.483612; - fall_capacitance : 3.403647; - rise_capacitance : 3.483612; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.877252; - fall_capacitance : 3.608469; - rise_capacitance : 3.877252; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 111.542000; - function : "!(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0108930,0.0152344,0.0200394,0.0295973,0.0486491,0.0866959,0.162751", \ - "0.0112961,0.0156639,0.0204986,0.0300976,0.0491904,0.0872712,0.163347", \ - "0.0141683,0.0182984,0.0229668,0.0324214,0.0514150,0.0894406,0.165495", \ - "0.0182537,0.0234009,0.0286985,0.0382821,0.0566650,0.0942152,0.169945", \ - "0.0228630,0.0288979,0.0350255,0.0462734,0.0662614,0.102838,0.177660", \ - "0.0278312,0.0349021,0.0419362,0.0546099,0.0772099,0.116511,0.189719", \ - "0.0331137,0.0412351,0.0492859,0.0635731,0.0885241,0.132210,0.207195"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.00599872,0.00819152,0.0106042,0.0153857,0.0248980,0.0438802,0.0818169", \ - "0.00702636,0.00919042,0.0115959,0.0163792,0.0259014,0.0448948,0.0828369", \ - "0.0100623,0.0128344,0.0154927,0.0201547,0.0295349,0.0484233,0.0862995", \ - "0.0125094,0.0163750,0.0201253,0.0265914,0.0371565,0.0556644,0.0932165", \ - "0.0141482,0.0191603,0.0239924,0.0322705,0.0459313,0.0676545,0.104654", \ - "0.0147829,0.0209608,0.0269126,0.0370870,0.0537412,0.0803410,0.121630", \ - "0.0143388,0.0216374,0.0287113,0.0408138,0.0605892,0.0919131,0.140974"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.00835230,0.0121334,0.0163325,0.0247139,0.0414273,0.0748629,0.141651", \ - "0.00832891,0.0121313,0.0163346,0.0247162,0.0414282,0.0748464,0.141657", \ - "0.00900124,0.0122507,0.0162412,0.0247146,0.0414399,0.0748436,0.141666", \ - "0.0120664,0.0153694,0.0190287,0.0258202,0.0413976,0.0748639,0.141664", \ - "0.0170004,0.0202734,0.0238836,0.0310647,0.0446294,0.0748837,0.141655", \ - "0.0236316,0.0271608,0.0308967,0.0381004,0.0522426,0.0792517,0.141651", \ - "0.0318388,0.0357969,0.0398688,0.0473780,0.0616696,0.0893773,0.144772"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.00413011,0.00610813,0.00832566,0.0127637,0.0216325,0.0393929,0.0748929", \ - "0.00413488,0.00610879,0.00832732,0.0127614,0.0216364,0.0393900,0.0748981", \ - "0.00641638,0.00778543,0.00926932,0.0129372,0.0216361,0.0393861,0.0748929", \ - "0.0105447,0.0123344,0.0141639,0.0174430,0.0235714,0.0393912,0.0748985", \ - "0.0162144,0.0184333,0.0206228,0.0245171,0.0313620,0.0435713,0.0749221", \ - "0.0233082,0.0260523,0.0287536,0.0333809,0.0412074,0.0546296,0.0798351", \ - "0.0319160,0.0351591,0.0384240,0.0439826,0.0530269,0.0680441,0.0932092"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0136535,0.0179838,0.0227816,0.0323328,0.0513794,0.0894233,0.165479", \ - "0.0140138,0.0184142,0.0232653,0.0328785,0.0519821,0.0900683,0.166149", \ - "0.0158653,0.0202821,0.0251246,0.0347588,0.0539173,0.0920681,0.168202", \ - "0.0189818,0.0240536,0.0293115,0.0392522,0.0582331,0.0962583,0.172326", \ - "0.0229586,0.0288806,0.0348579,0.0457684,0.0657149,0.103488,0.179207", \ - "0.0271988,0.0341677,0.0410640,0.0533824,0.0751611,0.114390,0.189530", \ - "0.0314708,0.0395552,0.0475009,0.0614996,0.0856500,0.127676,0.203913"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.00728311,0.00946702,0.0118749,0.0166539,0.0261602,0.0451231,0.0830073", \ - "0.00825831,0.0104381,0.0128473,0.0176293,0.0271411,0.0461081,0.0839966", \ - "0.0117423,0.0142654,0.0167190,0.0213691,0.0307561,0.0496234,0.0874447", \ - "0.0151917,0.0186714,0.0221389,0.0282456,0.0384118,0.0568736,0.0943723", \ - "0.0182198,0.0226624,0.0270576,0.0347703,0.0478349,0.0690010,0.105843", \ - "0.0206642,0.0261097,0.0314668,0.0408347,0.0565681,0.0823103,0.122922", \ - "0.0224526,0.0288971,0.0352370,0.0463039,0.0648045,0.0948145,0.142810"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.00836917,0.0121375,0.0163332,0.0247190,0.0414266,0.0748452,0.141658", \ - "0.00836825,0.0121365,0.0163365,0.0247176,0.0414270,0.0748426,0.141665", \ - "0.00892368,0.0123173,0.0163493,0.0247175,0.0414481,0.0748599,0.141651", \ - "0.0114256,0.0145876,0.0182129,0.0254741,0.0414411,0.0748483,0.141655", \ - "0.0158385,0.0187409,0.0220706,0.0289978,0.0433146,0.0749352,0.141669", \ - "0.0217750,0.0248301,0.0281385,0.0347174,0.0484110,0.0772639,0.141652", \ - "0.0289880,0.0323791,0.0359670,0.0427004,0.0559011,0.0835374,0.143316"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.00502399,0.00700515,0.00922106,0.0136576,0.0225369,0.0402932,0.0757650", \ - "0.00502416,0.00700372,0.00922160,0.0136571,0.0225358,0.0402876,0.0757637", \ - "0.00690993,0.00823043,0.00987684,0.0137400,0.0225410,0.0402882,0.0757634", \ - "0.0109644,0.0127841,0.0146209,0.0178750,0.0241963,0.0402906,0.0757618", \ - "0.0162965,0.0185950,0.0208516,0.0248434,0.0317484,0.0441531,0.0757891", \ - "0.0228811,0.0257834,0.0285720,0.0333307,0.0413612,0.0549298,0.0804509", \ - "0.0307196,0.0342924,0.0377152,0.0434374,0.0527200,0.0680717,0.0934560"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0153663,0.0196977,0.0244962,0.0340472,0.0530945,0.0911396,0.167195", \ - "0.0156784,0.0200836,0.0249371,0.0345527,0.0536582,0.0917449,0.167828", \ - "0.0164973,0.0209247,0.0257860,0.0354452,0.0546291,0.0928009,0.168947", \ - "0.0178372,0.0225842,0.0276611,0.0375494,0.0565896,0.0946563,0.170764", \ - "0.0200566,0.0254874,0.0309616,0.0411090,0.0605373,0.0983433,0.174056", \ - "0.0222326,0.0286122,0.0349205,0.0461385,0.0662331,0.104452,0.179516", \ - "0.0239759,0.0313845,0.0386624,0.0514389,0.0733688,0.112493,0.187796"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.00829209,0.0105595,0.0130265,0.0178736,0.0274469,0.0464642,0.0843705", \ - "0.00918382,0.0114511,0.0139191,0.0187679,0.0283436,0.0473613,0.0852698", \ - "0.0129720,0.0153680,0.0177419,0.0224649,0.0319212,0.0508436,0.0886844", \ - "0.0173294,0.0205769,0.0238601,0.0297167,0.0395927,0.0580980,0.0956109", \ - "0.0217029,0.0257465,0.0298068,0.0370805,0.0496480,0.0703414,0.107121", \ - "0.0260480,0.0309299,0.0357951,0.0444575,0.0593504,0.0843029,0.124304", \ - "0.0303412,0.0360666,0.0417652,0.0518664,0.0691154,0.0978309,0.144781"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.00836692,0.0121389,0.0163367,0.0247088,0.0414342,0.0748406,0.141657", \ - "0.00836821,0.0121359,0.0163329,0.0247150,0.0414350,0.0748580,0.141669", \ - "0.00879002,0.0122712,0.0163506,0.0247171,0.0414283,0.0748544,0.141657", \ - "0.0107349,0.0139003,0.0177183,0.0253799,0.0414830,0.0748434,0.141657", \ - "0.0151127,0.0177057,0.0208149,0.0277523,0.0429338,0.0750464,0.141668", \ - "0.0211261,0.0237619,0.0266938,0.0327527,0.0463375,0.0767946,0.141735", \ - "0.0283558,0.0312370,0.0343840,0.0404402,0.0527622,0.0808373,0.143238"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.00596130,0.00791796,0.0101145,0.0145301,0.0233940,0.0411317,0.0766195", \ - "0.00594639,0.00791355,0.0101156,0.0145318,0.0233914,0.0411344,0.0766122", \ - "0.00740655,0.00876934,0.0105308,0.0145461,0.0233943,0.0411314,0.0766083", \ - "0.0115143,0.0132820,0.0150868,0.0182812,0.0247962,0.0411402,0.0766089", \ - "0.0167553,0.0189760,0.0211969,0.0251770,0.0320852,0.0446809,0.0766158", \ - "0.0231912,0.0259859,0.0287003,0.0334086,0.0414959,0.0551598,0.0810141", \ - "0.0308195,0.0342689,0.0376039,0.0432222,0.0524752,0.0680084,0.0936331"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0162188,0.0205503,0.0253484,0.0349001,0.0539471,0.0919917,0.168048", \ - "0.0164517,0.0208570,0.0257105,0.0353262,0.0544310,0.0925175,0.168601", \ - "0.0165216,0.0209337,0.0258030,0.0354719,0.0546644,0.0928415,0.168990", \ - "0.0161844,0.0207037,0.0256423,0.0354078,0.0544793,0.0925542,0.168666", \ - "0.0161120,0.0211030,0.0262424,0.0359855,0.0550247,0.0927557,0.168418", \ - "0.0153993,0.0211903,0.0269681,0.0374436,0.0567263,0.0941885,0.169044", \ - "0.0136791,0.0203423,0.0269288,0.0386007,0.0591709,0.0969006,0.171213"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.00880855,0.0112191,0.0137942,0.0187718,0.0284671,0.0475742,0.0855260", \ - "0.00969147,0.0120923,0.0146648,0.0196412,0.0293349,0.0484468,0.0863891", \ - "0.0137682,0.0161065,0.0184969,0.0233259,0.0329005,0.0519126,0.0897894", \ - "0.0189565,0.0220648,0.0252319,0.0309143,0.0406025,0.0591735,0.0967148", \ - "0.0246630,0.0283960,0.0322012,0.0391124,0.0512616,0.0715298,0.108260", \ - "0.0310793,0.0354614,0.0398993,0.0478989,0.0619863,0.0861705,0.125571", \ - "0.0382541,0.0433165,0.0484028,0.0575360,0.0734722,0.100810,0.146653"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.00836694,0.0121395,0.0163351,0.0247190,0.0414447,0.0748581,0.141655", \ - "0.00837117,0.0121351,0.0163327,0.0247172,0.0414302,0.0748561,0.141666", \ - "0.00862798,0.0122075,0.0163450,0.0247123,0.0414344,0.0748543,0.141659", \ - "0.0100159,0.0133538,0.0173142,0.0252487,0.0415185,0.0748451,0.141662", \ - "0.0139469,0.0166542,0.0199075,0.0270645,0.0426932,0.0750928,0.141655", \ - "0.0197150,0.0223081,0.0253182,0.0316031,0.0454914,0.0765680,0.141831", \ - "0.0266746,0.0293959,0.0324895,0.0386975,0.0514390,0.0799294,0.143193"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.00690441,0.00887726,0.0110690,0.0154552,0.0242648,0.0419687,0.0773991", \ - "0.00682861,0.00884665,0.0110574,0.0154519,0.0242619,0.0419550,0.0774024", \ - "0.00792115,0.00939028,0.0112516,0.0153949,0.0242625,0.0419598,0.0774006", \ - "0.0121571,0.0138792,0.0156292,0.0187392,0.0254337,0.0419612,0.0773951", \ - "0.0173888,0.0195105,0.0216697,0.0255903,0.0324484,0.0452128,0.0773995", \ - "0.0238443,0.0264338,0.0290205,0.0335913,0.0416437,0.0553744,0.0815504", \ - "0.0315150,0.0346558,0.0377832,0.0431483,0.0522232,0.0678831,0.0937543"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("2.469732,2.510164,2.533540,2.555426,2.560093,2.560716,2.536444", \ - "2.336913,2.400012,2.439917,2.477039,2.513588,2.539182,2.524389", \ - "2.620356,2.585870,2.567628,2.536092,2.538566,2.542388,2.525207", \ - "4.205397,3.846544,3.641029,3.372038,3.094998,2.883011,2.715946", \ - "7.587630,6.835867,6.210356,5.462545,4.702948,3.993866,3.402626", \ - "12.526750,11.652050,10.758710,9.360916,7.773876,6.290741,4.961990", \ - "18.904940,18.115910,17.143690,15.328040,12.751790,10.129940,7.741458"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("7.708582,7.775184,7.835671,7.851289,7.829533,7.445550,7.715683", \ - "7.725574,7.801862,7.803382,7.852774,7.963923,7.906549,7.369030", \ - "8.321882,8.247583,8.283910,8.201875,8.165310,8.124598,8.082854", \ - "9.641585,9.655159,9.686866,9.284367,9.084084,8.782215,8.076136", \ - "12.739770,12.614190,12.282440,11.754090,11.156720,9.877963,9.058427", \ - "17.430630,17.271550,16.950190,16.128460,14.961030,13.079300,11.417060", \ - "23.394410,23.242010,23.078090,22.445530,20.837150,18.102250,15.463900"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("2.492519,2.531448,2.554012,2.554246,2.568112,2.569641,2.543947", \ - "2.262204,2.345495,2.413017,2.463204,2.508643,2.527873,2.519090", \ - "2.201098,2.241499,2.284491,2.328459,2.409804,2.456033,2.479811", \ - "3.235596,3.003740,2.876811,2.748502,2.639214,2.581519,2.536894", \ - "6.114213,5.438601,4.895554,4.274225,3.743392,3.293290,2.953812", \ - "10.483670,9.685993,8.827000,7.538006,6.151973,4.997574,4.047386", \ - "16.255960,15.373030,14.505170,12.770730,10.347720,8.040625,6.159019"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("9.663329,9.740796,9.815366,9.802774,9.706854,9.931958,9.945117", \ - "9.668548,9.748872,9.754418,9.777291,9.879753,9.646447,9.543625", \ - "10.195730,10.161700,10.139740,10.052300,10.140690,9.915354,9.525832", \ - "11.666380,11.577680,11.686660,11.380510,10.778870,10.506380,9.552818", \ - "14.748350,14.593360,14.394220,14.044620,13.264650,12.223450,10.991020", \ - "19.473420,19.410790,19.037460,18.377850,17.333550,15.733220,13.797620", \ - "25.627610,25.750410,25.526390,24.674880,23.316660,20.891210,18.312810"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("2.505573,2.533658,2.545868,2.569600,2.569415,2.566051,2.538852", \ - "2.277691,2.353572,2.405542,2.466876,2.511005,2.526707,2.524587", \ - "2.071821,2.139037,2.180037,2.269827,2.367607,2.439919,2.466068", \ - "2.926406,2.698239,2.623867,2.553719,2.506027,2.496415,2.489106", \ - "5.941809,5.262012,4.703517,4.063641,3.567807,3.165703,2.878967", \ - "10.705050,9.856964,9.006878,7.656611,6.104770,4.922795,4.007033", \ - "16.935480,16.101050,15.194510,13.516310,10.853560,8.241021,6.250521"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("11.452840,11.566480,11.622450,11.599290,11.787530,11.850030,11.717420", \ - "11.336650,11.382990,11.488160,11.496120,11.516750,11.541220,11.560970", \ - "11.793420,11.771140,11.821230,11.780160,11.843260,11.625500,11.633410", \ - "13.396910,13.344280,13.329260,13.119180,12.594720,12.525350,11.847970", \ - "16.785530,16.609070,16.323680,15.932010,15.233360,14.079750,13.486070", \ - "21.997530,21.768690,21.584240,20.800280,19.624140,17.490900,16.057180", \ - "28.953100,29.008570,28.688500,27.939160,26.237090,23.745190,19.969820"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("2.490285,2.531754,2.533502,2.558495,2.568559,2.559569,2.535015", \ - "2.276482,2.359158,2.410690,2.469014,2.505667,2.536137,2.520885", \ - "2.000895,2.095706,2.180906,2.266452,2.354640,2.424435,2.458280", \ - "2.850437,2.606529,2.538000,2.485638,2.458360,2.463812,2.471838", \ - "6.063034,5.432954,4.843340,4.100885,3.575830,3.176260,2.891237", \ - "11.305630,10.544210,9.722946,8.277463,6.476085,5.144008,4.157345", \ - "18.458080,17.545280,16.637070,14.960650,12.122820,8.991012,6.733866"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("12.797460,12.974510,13.109420,13.185110,13.289620,13.131800,13.286950", \ - "12.639830,12.855730,12.896940,13.120160,13.050950,12.963290,13.027660", \ - "13.067540,13.201940,13.273350,13.263920,13.439590,13.264520,12.876640", \ - "15.015250,15.040100,14.988080,14.740420,14.373580,14.169870,13.677670", \ - "18.809110,18.619510,18.258540,17.759980,17.066200,16.178510,14.766720", \ - "24.802090,24.529190,24.144890,23.154950,21.928420,19.967000,17.563000", \ - "32.762270,32.629900,32.216390,31.245770,29.244940,26.401610,22.638750"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND4_X4 - Cell Description : Combinational cell (NAND4_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NAND4_X4) { - - drive_strength : 4; - - area : 4.788000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 218.933236; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 40.032375; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 199.918250; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 48.519675; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 360.790375; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 41.963562; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 207.873825; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 50.174237; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 633.077500; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 12.193390; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 172.774271; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 20.379105; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 370.699095; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 11.248180; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 205.399733; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 43.046956; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 1084.841250; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.959920; - fall_capacitance : 5.959920; - rise_capacitance : 5.557093; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.839809; - fall_capacitance : 5.839809; - rise_capacitance : 5.721528; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.900821; - fall_capacitance : 5.760466; - rise_capacitance : 5.900821; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.215265; - fall_capacitance : 5.688739; - rise_capacitance : 6.215265; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 222.778000; - function : "!(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00968318,0.0143609,0.0192405,0.0289345,0.0482470,0.0868051,0.163873", \ - "0.0101043,0.0147996,0.0197083,0.0294443,0.0487994,0.0873912,0.164482", \ - "0.0130662,0.0175413,0.0222326,0.0318094,0.0510574,0.0895932,0.166660", \ - "0.0170353,0.0226225,0.0280207,0.0377691,0.0563641,0.0944135,0.171153", \ - "0.0215242,0.0281191,0.0343685,0.0457926,0.0660486,0.103098,0.178928", \ - "0.0263751,0.0341166,0.0413173,0.0542082,0.0771103,0.116865,0.191059", \ - "0.0315674,0.0404685,0.0487184,0.0632754,0.0885685,0.132766,0.208620"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00551003,0.00784592,0.0102628,0.0150461,0.0245555,0.0435271,0.0814402", \ - "0.00655520,0.00885142,0.0112577,0.0160413,0.0255595,0.0445431,0.0824645", \ - "0.00936792,0.0124183,0.0151425,0.0198357,0.0292083,0.0480837,0.0859364", \ - "0.0114611,0.0157244,0.0195662,0.0261405,0.0368191,0.0553333,0.0928678", \ - "0.0126819,0.0182198,0.0231828,0.0316164,0.0454304,0.0672913,0.104304", \ - "0.0128646,0.0196815,0.0257995,0.0361753,0.0530438,0.0798277,0.121270", \ - "0.0119296,0.0199810,0.0272503,0.0395974,0.0596385,0.0912140,0.140479"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00751346,0.0116230,0.0159015,0.0244134,0.0413946,0.0753313,0.143140", \ - "0.00744544,0.0116113,0.0158996,0.0244134,0.0414152,0.0753168,0.143133", \ - "0.00826824,0.0117259,0.0157705,0.0244074,0.0413962,0.0753185,0.143141", \ - "0.0112609,0.0147968,0.0185579,0.0255191,0.0413418,0.0753330,0.143132", \ - "0.0161604,0.0197020,0.0233664,0.0306830,0.0445506,0.0753384,0.143148", \ - "0.0226614,0.0265418,0.0303538,0.0376676,0.0520684,0.0796097,0.143145", \ - "0.0307695,0.0350790,0.0392637,0.0469063,0.0614262,0.0896227,0.146073"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00362439,0.00571622,0.00793438,0.0123670,0.0212444,0.0389886,0.0745058", \ - "0.00362598,0.00571733,0.00793204,0.0123691,0.0212459,0.0389918,0.0745023", \ - "0.00600417,0.00754158,0.00898201,0.0125841,0.0212453,0.0389876,0.0745058", \ - "0.0100180,0.0119779,0.0138401,0.0171653,0.0232647,0.0390050,0.0744958", \ - "0.0155576,0.0180129,0.0202556,0.0241979,0.0310859,0.0432745,0.0745371", \ - "0.0225107,0.0255475,0.0283250,0.0330316,0.0409111,0.0543708,0.0795313", \ - "0.0309868,0.0345666,0.0379264,0.0435879,0.0527330,0.0677804,0.0929764"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0130639,0.0177348,0.0226083,0.0322963,0.0516038,0.0901599,0.167229", \ - "0.0134140,0.0181663,0.0230976,0.0328528,0.0522212,0.0908197,0.167916", \ - "0.0151813,0.0200035,0.0249297,0.0347110,0.0541422,0.0928144,0.169969", \ - "0.0181153,0.0236617,0.0290344,0.0391543,0.0584171,0.0969732,0.174076", \ - "0.0219001,0.0283922,0.0345078,0.0456226,0.0658703,0.104174,0.180929", \ - "0.0259829,0.0336196,0.0406832,0.0532277,0.0753280,0.115073,0.191236", \ - "0.0301075,0.0389774,0.0471232,0.0613806,0.0858766,0.128416,0.205619"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00705866,0.00940105,0.0118272,0.0166298,0.0261682,0.0451793,0.0831513", \ - "0.00802523,0.0103625,0.0127893,0.0175948,0.0271397,0.0461560,0.0841327", \ - "0.0114312,0.0141684,0.0166602,0.0213319,0.0307505,0.0496675,0.0875800", \ - "0.0147077,0.0184798,0.0219947,0.0281627,0.0383986,0.0569126,0.0944993", \ - "0.0175133,0.0223356,0.0267972,0.0345995,0.0477559,0.0690216,0.105965", \ - "0.0197003,0.0256021,0.0310480,0.0405306,0.0563924,0.0822718,0.123018", \ - "0.0211951,0.0281763,0.0346175,0.0458198,0.0644892,0.0946846,0.142862"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00756907,0.0116349,0.0159039,0.0244168,0.0413953,0.0753323,0.143128", \ - "0.00756964,0.0116329,0.0159047,0.0244160,0.0413998,0.0753271,0.143143", \ - "0.00820644,0.0118340,0.0159270,0.0244151,0.0413944,0.0753242,0.143148", \ - "0.0107901,0.0141385,0.0178310,0.0251947,0.0414126,0.0753177,0.143142", \ - "0.0152922,0.0183763,0.0217211,0.0287341,0.0433010,0.0754156,0.143135", \ - "0.0212420,0.0245031,0.0278366,0.0344781,0.0483612,0.0776870,0.143143", \ - "0.0284587,0.0320596,0.0356854,0.0424870,0.0558400,0.0839026,0.144709"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00469697,0.00678335,0.00899963,0.0134425,0.0223376,0.0401137,0.0756580", \ - "0.00469373,0.00678393,0.00899972,0.0134434,0.0223349,0.0401173,0.0756594", \ - "0.00663032,0.00805413,0.00968416,0.0135321,0.0223377,0.0401158,0.0756601", \ - "0.0105856,0.0125565,0.0144169,0.0177029,0.0240193,0.0401238,0.0756644", \ - "0.0157936,0.0183137,0.0206143,0.0246462,0.0315892,0.0440038,0.0756809", \ - "0.0222036,0.0254134,0.0282794,0.0331115,0.0412034,0.0548108,0.0803557", \ - "0.0298508,0.0338149,0.0373445,0.0431886,0.0525634,0.0679744,0.0933843"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0150327,0.0197039,0.0245774,0.0342653,0.0535725,0.0921290,0.169197", \ - "0.0153361,0.0200927,0.0250251,0.0347821,0.0541505,0.0927510,0.169848", \ - "0.0161555,0.0209519,0.0258960,0.0357014,0.0551567,0.0938475,0.171017", \ - "0.0172969,0.0224705,0.0276475,0.0377035,0.0570293,0.0956302,0.172771", \ - "0.0192290,0.0251969,0.0308016,0.0411294,0.0608486,0.0991921,0.175956", \ - "0.0211705,0.0281767,0.0346385,0.0460627,0.0664413,0.105178,0.181276", \ - "0.0227393,0.0308558,0.0383069,0.0513080,0.0735279,0.113124,0.189407"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00807875,0.0105427,0.0130488,0.0179440,0.0275735,0.0466678,0.0846956", \ - "0.00896363,0.0114255,0.0139324,0.0188292,0.0284612,0.0475561,0.0855836", \ - "0.0127415,0.0153419,0.0177468,0.0225117,0.0320260,0.0510225,0.0889867", \ - "0.0170176,0.0205255,0.0238466,0.0297502,0.0396824,0.0582628,0.0959017", \ - "0.0212769,0.0256392,0.0297482,0.0370805,0.0497193,0.0704879,0.107389", \ - "0.0254728,0.0307356,0.0356552,0.0443950,0.0593790,0.0844282,0.124542", \ - "0.0295960,0.0357631,0.0415154,0.0517049,0.0690616,0.0979016,0.144996"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00757204,0.0116352,0.0159039,0.0244140,0.0413936,0.0753234,0.143147", \ - "0.00757257,0.0116337,0.0159033,0.0244146,0.0414028,0.0753284,0.143134", \ - "0.00803379,0.0117690,0.0159257,0.0244161,0.0413945,0.0753194,0.143141", \ - "0.0100616,0.0134255,0.0173014,0.0250910,0.0414355,0.0753158,0.143135", \ - "0.0146175,0.0173408,0.0204531,0.0274575,0.0428961,0.0755232,0.143133", \ - "0.0207291,0.0234910,0.0264259,0.0325165,0.0462662,0.0772313,0.143221", \ - "0.0280222,0.0310272,0.0341808,0.0402544,0.0526901,0.0812130,0.144661"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00574952,0.00782229,0.0100194,0.0144359,0.0233080,0.0410996,0.0766725", \ - "0.00571733,0.00781500,0.0100184,0.0144378,0.0233121,0.0411016,0.0766793", \ - "0.00722931,0.00865962,0.0104234,0.0144471,0.0233111,0.0410993,0.0766801", \ - "0.0112520,0.0131550,0.0149713,0.0181873,0.0247126,0.0411059,0.0766673", \ - "0.0164188,0.0188283,0.0210721,0.0250711,0.0320059,0.0446359,0.0766784", \ - "0.0227349,0.0257873,0.0285557,0.0333191,0.0414283,0.0551263,0.0810588", \ - "0.0302067,0.0339914,0.0374078,0.0431227,0.0524340,0.0680084,0.0936906"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0160728,0.0207426,0.0256153,0.0353023,0.0546094,0.0931646,0.170233", \ - "0.0163168,0.0210709,0.0260021,0.0357576,0.0551251,0.0937243,0.170818", \ - "0.0164555,0.0212184,0.0261679,0.0359814,0.0554435,0.0941378,0.171306", \ - "0.0160019,0.0208977,0.0259279,0.0358554,0.0552108,0.0938260,0.170973", \ - "0.0156343,0.0210969,0.0263424,0.0362470,0.0555760,0.0938598,0.170578", \ - "0.0146086,0.0209639,0.0268797,0.0375368,0.0570942,0.0950849,0.171000", \ - "0.0126468,0.0199470,0.0266821,0.0385516,0.0594002,0.0976093,0.172929"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00855418,0.0112056,0.0138468,0.0189092,0.0286983,0.0479066,0.0859961", \ - "0.00943447,0.0120699,0.0147066,0.0197669,0.0295545,0.0487666,0.0868476", \ - "0.0135681,0.0161136,0.0185370,0.0234329,0.0331018,0.0522142,0.0902347", \ - "0.0187414,0.0221068,0.0253104,0.0310392,0.0407834,0.0594580,0.0971426", \ - "0.0244176,0.0284507,0.0322937,0.0392559,0.0514633,0.0717888,0.108661", \ - "0.0307822,0.0355030,0.0399770,0.0480303,0.0621859,0.0864339,0.125929", \ - "0.0378886,0.0433209,0.0484466,0.0576349,0.0736385,0.101064,0.147004"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00757278,0.0116350,0.0159046,0.0244168,0.0413932,0.0753170,0.143147", \ - "0.00757189,0.0116337,0.0159046,0.0244169,0.0413951,0.0753239,0.143146", \ - "0.00783959,0.0116919,0.0159133,0.0244144,0.0413969,0.0753163,0.143143", \ - "0.00925265,0.0128344,0.0168653,0.0249398,0.0414674,0.0753140,0.143134", \ - "0.0133126,0.0161725,0.0194640,0.0267378,0.0426261,0.0755553,0.143133", \ - "0.0192623,0.0219492,0.0249594,0.0313011,0.0453960,0.0769941,0.143314", \ - "0.0263775,0.0291707,0.0322416,0.0384689,0.0513513,0.0803044,0.144627"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00678100,0.00890494,0.0111143,0.0155149,0.0243405,0.0420849,0.0776434", \ - "0.00666673,0.00885858,0.0110943,0.0155094,0.0243418,0.0420795,0.0776522", \ - "0.00779832,0.00935551,0.0112397,0.0154225,0.0243375,0.0420834,0.0776534", \ - "0.0120192,0.0138583,0.0156173,0.0187348,0.0254705,0.0420806,0.0776504", \ - "0.0172423,0.0195192,0.0216832,0.0256048,0.0324662,0.0452937,0.0776546", \ - "0.0236499,0.0264512,0.0290655,0.0336506,0.0416932,0.0554432,0.0817514", \ - "0.0312414,0.0346696,0.0378482,0.0432472,0.0523369,0.0679896,0.0939351"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("4.883251,5.018863,5.058077,5.102783,5.131039,5.117394,5.081426", \ - "4.662554,4.753116,4.874825,4.960101,5.030648,5.074670,5.052327", \ - "5.300321,5.219027,5.156385,5.114538,5.097760,5.102074,5.067549", \ - "8.732609,7.830246,7.350386,6.788056,6.235005,5.792896,5.471188", \ - "15.632240,13.934530,12.582640,11.017060,9.481038,8.038099,6.871561", \ - "25.441390,23.562930,21.712930,18.833830,15.613400,12.613600,9.986121", \ - "38.295400,36.420610,34.427880,30.780280,25.530770,20.272290,15.536800"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("13.470590,13.704490,13.711700,13.669550,13.748760,12.821370,13.088460", \ - "13.578870,13.797490,13.896500,13.681720,13.867810,13.235780,12.990810", \ - "15.024790,14.779280,14.704110,14.641990,14.477440,13.774650,13.634290", \ - "17.647740,17.399400,17.503800,16.987950,16.437700,15.297910,14.884160", \ - "23.724790,23.357140,22.780780,22.002170,20.635150,18.425820,16.862880", \ - "32.800390,32.396770,31.916080,30.660650,28.006790,24.658490,21.562580", \ - "44.552150,44.460000,44.186680,42.955040,39.415350,34.910220,29.740070"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("4.895929,5.055601,5.082650,5.127729,5.152422,5.136578,5.098965", \ - "4.512037,4.706848,4.809177,4.930089,5.025555,5.081171,5.061144", \ - "4.259966,4.393930,4.507710,4.639433,4.792225,4.915968,4.955387", \ - "6.429667,5.891398,5.642574,5.407526,5.227085,5.124655,5.051993", \ - "12.370910,10.832280,9.675590,8.448851,7.371118,6.500268,5.876011", \ - "21.115770,19.292670,17.568780,14.923290,12.134510,9.858494,8.022902", \ - "32.605040,30.771400,28.900870,25.408160,20.465120,15.890300,12.178130"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("18.112450,18.314940,18.305330,18.260730,18.427550,18.552700,17.671290", \ - "18.143770,18.315190,18.313930,18.442390,18.346630,18.013850,17.943500", \ - "19.153510,19.148040,19.008930,18.936070,18.638480,18.448080,16.748370", \ - "22.000950,21.993240,21.972730,21.397920,20.855170,20.343190,18.773470", \ - "28.268740,27.887690,27.412320,26.473050,25.320100,23.314770,21.020830", \ - "37.364580,37.346270,36.627980,35.481220,32.857900,30.435270,26.434380", \ - "49.557590,49.787730,49.546030,48.176840,45.519660,40.374600,35.113650"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("4.928607,5.051831,5.079949,5.124806,5.146551,5.132482,5.082680", \ - "4.489678,4.707506,4.816758,4.937694,5.032675,5.065203,5.056716", \ - "4.036780,4.198745,4.333695,4.532953,4.721074,4.872651,4.930276", \ - "5.738417,5.266257,5.105778,4.957772,4.912955,4.935677,4.955638", \ - "11.810490,10.311170,9.179476,7.897983,6.916339,6.182417,5.652861", \ - "21.204790,19.496580,17.769870,15.025070,11.933870,9.616490,7.832245", \ - "33.926410,32.025870,30.152120,26.669280,21.324310,16.145000,12.254100"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("21.786970,22.088680,22.304100,22.456230,22.416290,21.946820,23.055870", \ - "21.514800,21.864730,22.010690,22.160390,22.119460,22.198430,22.207810", \ - "22.508710,22.639750,22.621710,22.714000,22.826790,22.906930,22.347220", \ - "25.775010,25.807120,25.691290,25.140230,24.559680,24.230130,23.023690", \ - "32.527490,32.078890,31.729650,30.772230,29.711450,27.579630,26.010820", \ - "43.025260,42.626690,41.889450,40.337470,38.258770,34.986370,31.095410", \ - "56.693510,56.640080,56.128570,54.564610,51.420890,45.609800,39.315490"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("4.879870,5.035308,5.064214,5.114765,5.129871,5.117552,5.079140", \ - "4.503918,4.700784,4.825541,4.920942,5.014121,5.050540,5.032022", \ - "4.008035,4.141134,4.315324,4.504338,4.701981,4.867699,4.931469", \ - "5.527503,5.006361,4.888089,4.800647,4.818470,4.869576,4.912788", \ - "11.944980,10.482600,9.334308,7.850147,6.862655,6.157009,5.666291", \ - "22.209310,20.655330,18.990310,16.097560,12.528590,9.962086,8.089842", \ - "36.688280,34.603230,32.715890,29.426500,23.687010,17.475440,13.106200"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("24.633760,25.262420,25.598150,25.934620,26.021230,26.031500,26.175970", \ - "24.316370,25.001530,25.235720,25.700040,25.863400,26.068270,25.830640", \ - "25.436480,25.737270,25.917400,26.015240,26.360790,25.683190,25.721990", \ - "29.119880,29.440140,29.217150,28.848430,28.103310,27.676690,27.474400", \ - "36.940770,36.520290,35.897690,35.179930,33.753140,31.777190,29.046860", \ - "48.731070,48.273140,47.367600,45.698060,43.190660,39.019000,34.524110", \ - "64.557170,64.253730,63.471620,61.643860,57.748330,51.803860,43.986450"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR2_X1 - Cell Description : Combinational cell (NOR2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NOR2_X1) { - - drive_strength : 1; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 68.177764; - - leakage_power () { - when : "!A1 & !A2"; - value : 76.269250; - } - leakage_power () { - when : "!A1 & A2"; - value : 47.805487; - } - leakage_power () { - when : "A1 & !A2"; - value : 68.087125; - } - leakage_power () { - when : "A1 & A2"; - value : 80.549192; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.763771; - fall_capacitance : 1.444583; - rise_capacitance : 1.763771; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.682261; - fall_capacitance : 1.614641; - rise_capacitance : 1.682261; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 26.702900; - function : "!(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00308032,0.00356849,0.00441778,0.00608490,0.00937778,0.0159243,0.0289912", \ - "0.00384785,0.00440166,0.00524290,0.00689831,0.0101900,0.0167416,0.0298136", \ - "0.00394865,0.00487642,0.00635059,0.00887730,0.0130263,0.0196995,0.0326749", \ - "0.00188997,0.00320672,0.00530831,0.00891367,0.0148020,0.0241296,0.0385810", \ - "-0.00269696,-0.00101585,0.00168224,0.00634988,0.0140289,0.0261446,0.0449130", \ - "-0.0100487,-0.00803600,-0.00478151,0.000887438,0.0102993,0.0252668,0.0483436", \ - "-0.0203813,-0.0180678,-0.0143062,-0.00769785,0.00336769,0.0211142,0.0486232"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00695758,0.00827434,0.0105674,0.0150478,0.0238622,0.0413335,0.0761569", \ - "0.00762478,0.00887149,0.0110956,0.0155370,0.0243669,0.0418957,0.0767784", \ - "0.0113689,0.0128203,0.0152043,0.0193785,0.0278098,0.0449818,0.0796307", \ - "0.0168612,0.0185901,0.0214773,0.0267706,0.0360650,0.0526131,0.0864656", \ - "0.0245117,0.0265530,0.0299039,0.0359776,0.0468342,0.0656351,0.0987189", \ - "0.0343371,0.0367188,0.0406146,0.0475672,0.0597424,0.0810468,0.117275", \ - "0.0465268,0.0492260,0.0536750,0.0615923,0.0752306,0.0986708,0.139156"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00152504,0.00192149,0.00262707,0.00404007,0.00686594,0.0125185,0.0238247", \ - "0.00190907,0.00216616,0.00271384,0.00404023,0.00686575,0.0125187,0.0238201", \ - "0.00405889,0.00445143,0.00509336,0.00625021,0.00823775,0.0127165,0.0238254", \ - "0.00744854,0.00799933,0.00886789,0.0103716,0.0129142,0.0172715,0.0253067", \ - "0.0121071,0.0128388,0.0139851,0.0159369,0.0191233,0.0243004,0.0330290", \ - "0.0181656,0.0190673,0.0204950,0.0229352,0.0268809,0.0330878,0.0430843", \ - "0.0257806,0.0268236,0.0285084,0.0314391,0.0361946,0.0435971,0.0550961"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00479311,0.00593158,0.00795079,0.0119812,0.0200186,0.0360776,0.0681694", \ - "0.00476921,0.00592577,0.00794909,0.0119804,0.0200233,0.0360762,0.0681779", \ - "0.00643739,0.00738576,0.00885913,0.0122143,0.0200119,0.0360830,0.0681978", \ - "0.00870167,0.00980986,0.0117553,0.0154194,0.0217893,0.0361467,0.0681814", \ - "0.0124273,0.0135285,0.0154650,0.0193121,0.0266927,0.0396780,0.0683453", \ - "0.0176444,0.0187826,0.0207487,0.0246079,0.0322247,0.0466775,0.0726107", \ - "0.0244817,0.0256226,0.0276316,0.0315859,0.0392564,0.0543044,0.0818635"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00390520,0.00444599,0.00536909,0.00713491,0.0105343,0.0171765,0.0303187", \ - "0.00470523,0.00521480,0.00611332,0.00786415,0.0112573,0.0178950,0.0310335", \ - "0.00575985,0.00659174,0.00793928,0.0102921,0.0142190,0.0208222,0.0338640", \ - "0.00496419,0.00610494,0.00796451,0.0112387,0.0167450,0.0256821,0.0397799", \ - "0.00210041,0.00351799,0.00586242,0.0100207,0.0170635,0.0284977,0.0466595", \ - "-0.00296332,-0.00128634,0.00149283,0.00645946,0.0149633,0.0288845,0.0509334", \ - "-0.0103637,-0.00844610,-0.00526462,0.000447502,0.0103051,0.0266096,0.0525740"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00935299,0.0106405,0.0128923,0.0173284,0.0261022,0.0435450,0.0783501", \ - "0.0101291,0.0114062,0.0136607,0.0181261,0.0269610,0.0444787,0.0793466", \ - "0.0126323,0.0140575,0.0164442,0.0208305,0.0295498,0.0470175,0.0819054", \ - "0.0168500,0.0184547,0.0211484,0.0261831,0.0354674,0.0526378,0.0871256", \ - "0.0234714,0.0253501,0.0284281,0.0340050,0.0441018,0.0625498,0.0966513", \ - "0.0318795,0.0341065,0.0377235,0.0441378,0.0553099,0.0751337,0.111113", \ - "0.0420670,0.0446551,0.0488506,0.0562533,0.0688676,0.0903931,0.128602"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00246562,0.00287118,0.00358350,0.00499581,0.00781935,0.0134783,0.0248041", \ - "0.00256821,0.00290815,0.00356366,0.00499155,0.00782051,0.0134788,0.0248029", \ - "0.00490992,0.00527221,0.00587101,0.00695653,0.00888324,0.0136017,0.0248035", \ - "0.00846052,0.00896806,0.00976427,0.0111763,0.0136416,0.0179030,0.0260950", \ - "0.0133046,0.0139826,0.0150367,0.0168468,0.0198691,0.0249572,0.0336350", \ - "0.0195112,0.0203868,0.0217493,0.0240402,0.0277457,0.0336986,0.0436228", \ - "0.0271892,0.0282773,0.0299624,0.0328016,0.0373166,0.0443210,0.0554809"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00480873,0.00593723,0.00795276,0.0119797,0.0200211,0.0360696,0.0681909", \ - "0.00481808,0.00594565,0.00795718,0.0119788,0.0200170,0.0360661,0.0681856", \ - "0.00597246,0.00687418,0.00851967,0.0121162,0.0200179,0.0360840,0.0681728", \ - "0.00816487,0.00912587,0.0108909,0.0144369,0.0212779,0.0361747,0.0681942", \ - "0.0116293,0.0125311,0.0141828,0.0176077,0.0247023,0.0386109,0.0684069", \ - "0.0163509,0.0172619,0.0189103,0.0222567,0.0291036,0.0433060,0.0713442", \ - "0.0223613,0.0232632,0.0249532,0.0283730,0.0351664,0.0490365,0.0774284"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("-0.000232,-0.000260,-0.000309,-0.000407,-0.000601,-0.000989,-0.001765", \ - "-0.000505,-0.000532,-0.000581,-0.000679,-0.000873,-0.001261,-0.002037", \ - "0.247935,0.203087,0.121324,0.003336,-0.001835,-0.002219,-0.002992", \ - "1.252887,1.235209,1.170368,1.009237,0.707523,0.385862,0.206816", \ - "2.711463,2.693432,2.700774,2.610587,2.317952,1.701992,1.044907", \ - "4.652894,4.654773,4.708590,4.718030,4.550988,3.954973,2.810808", \ - "7.154803,7.214498,7.290833,7.356026,7.311929,6.921153,5.669752"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("2.927719,2.935609,2.993889,3.021166,3.064246,2.986650,3.075338", \ - "2.874498,2.896297,2.895023,2.952644,2.941221,2.999386,3.034847", \ - "3.393209,3.375856,3.338352,3.254211,3.215311,3.024599,2.908029", \ - "4.440687,4.444904,4.472274,4.332341,4.058522,3.842987,3.556999", \ - "6.497570,6.392442,6.274238,6.050615,5.840093,5.359522,4.642429", \ - "9.545147,9.435322,9.243484,8.852671,8.366579,7.786324,6.748772", \ - "13.647550,13.510280,13.251430,12.808380,12.151720,11.141540,10.116130"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.172811,0.199426,0.228954,0.264550,0.299581,0.333890,0.359509", \ - "0.117908,0.141173,0.169750,0.207353,0.245192,0.282647,0.308040", \ - "0.530060,0.498560,0.442188,0.379501,0.364385,0.351328,0.341189", \ - "1.667259,1.629620,1.546825,1.378594,1.116650,0.841064,0.662594", \ - "3.368645,3.360522,3.301659,3.167174,2.810137,2.218549,1.573533", \ - "5.605640,5.634947,5.670968,5.610166,5.332867,4.617867,3.479128", \ - "8.495802,8.527480,8.635699,8.671821,8.563061,7.953281,6.541183"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("3.983338,4.019764,4.068738,4.093817,4.056822,4.039787,4.012173", \ - "3.908162,3.894103,3.915502,4.005045,3.991331,3.947061,4.042713", \ - "3.979297,3.994733,3.977833,3.951419,3.932860,3.963811,4.067310", \ - "4.659119,4.666761,4.697211,4.627991,4.470440,4.293485,4.094492", \ - "6.396035,6.325648,6.195732,5.980925,5.853071,5.428335,5.059780", \ - "9.149916,8.984680,8.796870,8.448789,7.910933,7.336836,6.593766", \ - "12.896190,12.718110,12.439990,12.035170,11.263380,10.351840,9.157276"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR2_X2 - Cell Description : Combinational cell (NOR2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NOR2_X2) { - - drive_strength : 2; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 136.356571; - - leakage_power () { - when : "!A1 & !A2"; - value : 152.538750; - } - leakage_power () { - when : "!A1 & A2"; - value : 95.611000; - } - leakage_power () { - when : "A1 & !A2"; - value : 136.175125; - } - leakage_power () { - when : "A1 & A2"; - value : 161.101410; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.379611; - fall_capacitance : 2.760124; - rise_capacitance : 3.379611; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.412294; - fall_capacitance : 3.282079; - rise_capacitance : 3.412294; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 53.405800; - function : "!(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00293393,0.00361720,0.00446696,0.00613365,0.00942454,0.0159680,0.0290275", \ - "0.00366662,0.00445024,0.00529009,0.00694561,0.0102353,0.0167830,0.0298472", \ - "0.00362099,0.00493437,0.00640680,0.00892839,0.0130710,0.0197401,0.0327069", \ - "0.00140837,0.00326969,0.00536534,0.00896720,0.0148490,0.0241696,0.0386124", \ - "-0.00332605,-0.000951004,0.00174021,0.00640367,0.0140757,0.0261832,0.0449410", \ - "-0.0108128,-0.00797477,-0.00472941,0.000934090,0.0103418,0.0252976,0.0483607", \ - "-0.0212712,-0.0180147,-0.0142607,-0.00765845,0.00340077,0.0211397,0.0486272"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00645447,0.00829584,0.0105894,0.0150727,0.0238887,0.0413632,0.0761926", \ - "0.00716380,0.00889404,0.0111186,0.0155623,0.0243946,0.0419261,0.0768121", \ - "0.0107999,0.0128448,0.0152294,0.0194053,0.0278384,0.0450142,0.0796686", \ - "0.0161935,0.0186217,0.0215067,0.0267982,0.0360933,0.0526429,0.0865018", \ - "0.0237186,0.0265918,0.0299410,0.0360110,0.0468632,0.0656636,0.0987525", \ - "0.0334035,0.0367654,0.0406604,0.0476086,0.0597753,0.0810748,0.117306", \ - "0.0454690,0.0492803,0.0537289,0.0616420,0.0752739,0.0987016,0.139183"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00137426,0.00192358,0.00262884,0.00404122,0.00686647,0.0125183,0.0238217", \ - "0.00181560,0.00216433,0.00271323,0.00404148,0.00686649,0.0125190,0.0238246", \ - "0.00389476,0.00444844,0.00509060,0.00624542,0.00823511,0.0127165,0.0238251", \ - "0.00721170,0.00799436,0.00886420,0.0103634,0.0129087,0.0172675,0.0253040", \ - "0.0118029,0.0128291,0.0139752,0.0159255,0.0191081,0.0242928,0.0330252", \ - "0.0177894,0.0190486,0.0204789,0.0229225,0.0268619,0.0330779,0.0430755", \ - "0.0253450,0.0267885,0.0284819,0.0314200,0.0361810,0.0435814,0.0550812"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00434197,0.00592934,0.00795448,0.0119804,0.0200273,0.0361022,0.0682174", \ - "0.00431400,0.00592325,0.00794878,0.0119823,0.0200268,0.0360938,0.0682270", \ - "0.00601033,0.00738792,0.00886466,0.0122190,0.0200228,0.0360977,0.0682212", \ - "0.00828071,0.00981578,0.0117588,0.0154240,0.0217998,0.0361670,0.0682258", \ - "0.0120183,0.0135416,0.0154728,0.0193211,0.0267011,0.0396926,0.0683921", \ - "0.0172360,0.0187934,0.0207634,0.0246198,0.0322311,0.0466945,0.0726578", \ - "0.0240830,0.0256368,0.0276536,0.0316020,0.0392672,0.0543182,0.0819047"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00368124,0.00444052,0.00536502,0.00713244,0.0105329,0.0171761,0.0303169", \ - "0.00450073,0.00521016,0.00610980,0.00786227,0.0112559,0.0178949,0.0310327", \ - "0.00541150,0.00658704,0.00793534,0.0102882,0.0142171,0.0208205,0.0338619", \ - "0.00448670,0.00609968,0.00795993,0.0112370,0.0167396,0.0256761,0.0397759", \ - "0.00150386,0.00351578,0.00585675,0.0100137,0.0170522,0.0284871,0.0466518", \ - "-0.00366456,-0.00129144,0.00148178,0.00644592,0.0149455,0.0288741,0.0509131", \ - "-0.0111541,-0.00844841,-0.00527766,0.000428678,0.0102816,0.0265876,0.0525478"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00883235,0.0106307,0.0128854,0.0173238,0.0260984,0.0435442,0.0783551", \ - "0.00961923,0.0114001,0.0136557,0.0181233,0.0269592,0.0444787,0.0793504", \ - "0.0120369,0.0140424,0.0164329,0.0208233,0.0295471,0.0470171,0.0819103", \ - "0.0161746,0.0184320,0.0211288,0.0261660,0.0354562,0.0526318,0.0871296", \ - "0.0226716,0.0253213,0.0284030,0.0339815,0.0440825,0.0625351,0.0966456", \ - "0.0309325,0.0340732,0.0376944,0.0441110,0.0552837,0.0751101,0.111097", \ - "0.0409751,0.0446111,0.0488165,0.0562221,0.0688370,0.0903612,0.128574"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00230968,0.00287437,0.00358681,0.00499912,0.00782241,0.0134814,0.0248076", \ - "0.00244581,0.00291111,0.00356574,0.00499467,0.00782336,0.0134822,0.0248089", \ - "0.00476563,0.00527413,0.00587226,0.00695590,0.00888719,0.0136056,0.0248101", \ - "0.00825613,0.00896569,0.00976267,0.0111726,0.0136461,0.0179042,0.0261024", \ - "0.0130266,0.0139762,0.0150320,0.0168461,0.0198603,0.0249520,0.0336342", \ - "0.0191500,0.0203700,0.0217349,0.0240340,0.0277410,0.0336899,0.0436212", \ - "0.0267311,0.0282499,0.0299476,0.0327938,0.0373081,0.0443192,0.0554712"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00436789,0.00593860,0.00796067,0.0119806,0.0200230,0.0360958,0.0682295", \ - "0.00438137,0.00594433,0.00796042,0.0119816,0.0200229,0.0360957,0.0682250", \ - "0.00558628,0.00687635,0.00852101,0.0121257,0.0200302,0.0360957,0.0682155", \ - "0.00779546,0.00912822,0.0108919,0.0144440,0.0212916,0.0361968,0.0682307", \ - "0.0112918,0.0125349,0.0141924,0.0176135,0.0247146,0.0386462,0.0684499", \ - "0.0160205,0.0172707,0.0189166,0.0222602,0.0291166,0.0433302,0.0713972", \ - "0.0220453,0.0232729,0.0249614,0.0283836,0.0351778,0.0490579,0.0774758"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("-0.000444,-0.000522,-0.000621,-0.000816,-0.001206,-0.001983,-0.003536", \ - "-0.000992,-0.001068,-0.001165,-0.001360,-0.001750,-0.002527,-0.004080", \ - "0.763269,0.625652,0.472242,0.231948,0.112620,0.061003,0.029972", \ - "2.783650,2.699731,2.569273,2.249881,1.642272,0.996083,0.641150", \ - "5.627748,5.653645,5.635498,5.428105,4.858110,3.629448,2.312633", \ - "9.510232,9.563104,9.636457,9.649242,9.320891,8.126808,5.845566", \ - "14.516300,14.664500,14.791770,14.929160,14.852100,14.056340,11.561630"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("5.563275,5.655026,5.690530,5.817234,5.848880,5.938265,5.964779", \ - "5.521031,5.573612,5.548199,5.659360,5.686210,5.705078,5.513086", \ - "6.568008,6.511307,6.434908,6.303556,6.160814,5.948335,5.809777", \ - "8.653822,8.652544,8.703925,8.431843,7.966222,7.487447,6.761493", \ - "12.742720,12.560570,12.310730,11.917970,11.440970,10.529820,9.049607", \ - "18.995840,18.602850,18.250870,17.497540,16.597500,15.193150,13.279850", \ - "27.196640,26.786570,26.333230,25.389350,24.077460,22.196350,19.613620"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.572512,0.619486,0.689027,0.761168,0.829138,0.891733,0.950816", \ - "0.453934,0.516045,0.582207,0.652478,0.726870,0.786034,0.845656", \ - "1.311930,1.230081,1.118921,0.976965,0.954903,0.925391,0.915414", \ - "3.605019,3.483240,3.319426,2.977234,2.454297,1.902729,1.554795", \ - "6.977663,6.929318,6.823843,6.555522,5.850964,4.658923,3.368824", \ - "11.438630,11.520450,11.556670,11.416160,10.879140,9.458904,7.177370", \ - "17.096860,17.298130,17.508680,17.593140,17.311720,16.118920,13.287370"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("7.734504,7.826218,7.847262,7.950156,7.947886,7.953060,7.752881", \ - "7.548595,7.618618,7.704385,7.749726,7.803090,7.845202,7.814383", \ - "7.743000,7.740757,7.732709,7.747814,7.731190,7.789237,7.864105", \ - "9.113689,9.097713,9.127080,9.018951,8.729017,8.400859,7.920993", \ - "12.644700,12.416180,12.155380,11.756250,11.461710,10.462140,9.788473", \ - "18.171740,17.765250,17.360010,16.698280,15.660560,14.600230,12.808280", \ - "25.683880,25.230840,24.668590,23.810630,22.267580,20.370150,18.101870"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR2_X4 - Cell Description : Combinational cell (NOR2_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NOR2_X4) { - - drive_strength : 4; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 272.713427; - - leakage_power () { - when : "!A1 & !A2"; - value : 305.078750; - } - leakage_power () { - when : "!A1 & A2"; - value : 191.221625; - } - leakage_power () { - when : "A1 & !A2"; - value : 272.351250; - } - leakage_power () { - when : "A1 & A2"; - value : 322.202085; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.948549; - fall_capacitance : 5.711251; - rise_capacitance : 6.948549; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.788135; - fall_capacitance : 6.518802; - rise_capacitance : 6.788135; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 106.811000; - function : "!(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00281784,0.00360332,0.00445582,0.00612573,0.00942182,0.0159738,0.0290494", \ - "0.00352140,0.00443379,0.00527895,0.00693735,0.0102323,0.0167887,0.0298690", \ - "0.00337210,0.00489969,0.00638040,0.00891302,0.0130673,0.0197475,0.0327330", \ - "0.00105211,0.00321367,0.00532424,0.00893995,0.0148401,0.0241790,0.0386409", \ - "-0.00377927,-0.00102852,0.00167898,0.00636413,0.0140598,0.0261921,0.0449788", \ - "-0.0113587,-0.00807414,-0.00480737,0.000881259,0.0103168,0.0253089,0.0484069", \ - "-0.0218940,-0.0181340,-0.0143577,-0.00772499,0.00336970,0.0211484,0.0486882"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00610937,0.00822195,0.0105218,0.0150142,0.0238435,0.0413410,0.0762146", \ - "0.00685043,0.00882509,0.0110547,0.0155066,0.0243519,0.0419082,0.0768383", \ - "0.0104054,0.0127682,0.0151646,0.0193558,0.0278014,0.0450047,0.0797072", \ - "0.0157289,0.0185312,0.0214280,0.0267371,0.0360579,0.0526386,0.0865512", \ - "0.0231637,0.0264856,0.0298512,0.0359420,0.0468216,0.0656609,0.0988096", \ - "0.0327539,0.0366369,0.0405540,0.0475293,0.0597297,0.0810710,0.117364", \ - "0.0447331,0.0491301,0.0536040,0.0615514,0.0752224,0.0987003,0.139251"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00127096,0.00189801,0.00260368,0.00401724,0.00684614,0.0125052,0.0238239", \ - "0.00174093,0.00214483,0.00269157,0.00401801,0.00684634,0.0125056,0.0238227", \ - "0.00378203,0.00442236,0.00506424,0.00622523,0.00821829,0.0127035,0.0238263", \ - "0.00704612,0.00795453,0.00882873,0.0103353,0.0128875,0.0172524,0.0253009", \ - "0.0115867,0.0127740,0.0139292,0.0158917,0.0190853,0.0242760,0.0330204", \ - "0.0175169,0.0189725,0.0204150,0.0228722,0.0268316,0.0330577,0.0430667", \ - "0.0250365,0.0266951,0.0284022,0.0313564,0.0361328,0.0435528,0.0550709"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00404343,0.00585736,0.00787893,0.0119146,0.0199673,0.0360671,0.0682284", \ - "0.00400705,0.00584563,0.00787566,0.0119128,0.0199660,0.0360587,0.0682403", \ - "0.00572011,0.00733210,0.00880358,0.0121557,0.0199660,0.0360559,0.0682382", \ - "0.00798641,0.00973682,0.0116815,0.0153588,0.0217481,0.0361351,0.0682391", \ - "0.0117227,0.0134618,0.0153969,0.0192469,0.0266434,0.0396521,0.0683818", \ - "0.0169358,0.0187116,0.0206852,0.0245451,0.0321676,0.0466494,0.0726478", \ - "0.0237840,0.0255518,0.0275709,0.0315261,0.0391998,0.0542642,0.0818865"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00355970,0.00443422,0.00536173,0.00713225,0.0105357,0.0171810,0.0303248", \ - "0.00438333,0.00520455,0.00610646,0.00786167,0.0112584,0.0178996,0.0310386", \ - "0.00520282,0.00656651,0.00792143,0.0102813,0.0142186,0.0208269,0.0338707", \ - "0.00419635,0.00606074,0.00793123,0.0112178,0.0167342,0.0256818,0.0397884", \ - "0.00113553,0.00345946,0.00580780,0.00997720,0.0170347,0.0284847,0.0466650", \ - "-0.00411126,-0.00136709,0.00141624,0.00639317,0.0149132,0.0288496,0.0509249", \ - "-0.0116815,-0.00855132,-0.00537104,0.000353541,0.0102339,0.0265607,0.0525537"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00852502,0.0105868,0.0128470,0.0172943,0.0260825,0.0435516,0.0784079", \ - "0.00931771,0.0113551,0.0136155,0.0180902,0.0269401,0.0444852,0.0794010", \ - "0.0116793,0.0139911,0.0163932,0.0207943,0.0295316,0.0470262,0.0819656", \ - "0.0157724,0.0183769,0.0210844,0.0261362,0.0354472,0.0526522,0.0871997", \ - "0.0221957,0.0252603,0.0283567,0.0339553,0.0440799,0.0625675,0.0967357", \ - "0.0303698,0.0340033,0.0376445,0.0440861,0.0552893,0.0751553,0.111203", \ - "0.0403307,0.0445346,0.0487639,0.0561999,0.0688512,0.0904213,0.128700"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00219934,0.00284418,0.00355607,0.00496809,0.00778992,0.0134474,0.0247760", \ - "0.00236287,0.00288362,0.00353637,0.00496356,0.00779126,0.0134490,0.0247723", \ - "0.00466036,0.00524637,0.00584402,0.00693066,0.00886113,0.0135740,0.0247758", \ - "0.00811024,0.00892191,0.00972625,0.0111412,0.0136145,0.0178773,0.0260703", \ - "0.0128177,0.0139182,0.0149819,0.0168019,0.0198257,0.0249136,0.0336012", \ - "0.0188698,0.0202919,0.0216662,0.0239745,0.0276912,0.0336509,0.0435834", \ - "0.0263952,0.0281365,0.0298501,0.0327123,0.0372428,0.0442615,0.0554315"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00406768,0.00586053,0.00788349,0.0119138,0.0199713,0.0360670,0.0682418", \ - "0.00408213,0.00586606,0.00788440,0.0119140,0.0199669,0.0360593,0.0682342", \ - "0.00532280,0.00681306,0.00845580,0.0120620,0.0199673,0.0360645,0.0682250", \ - "0.00754446,0.00905753,0.0108211,0.0143809,0.0212437,0.0361695,0.0682390", \ - "0.0110512,0.0124678,0.0141191,0.0175474,0.0246547,0.0386062,0.0684569", \ - "0.0157866,0.0171955,0.0188460,0.0221932,0.0290568,0.0432877,0.0713959", \ - "0.0218197,0.0231956,0.0248820,0.0283090,0.0351149,0.0490111,0.0774685"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("-0.000860,-0.001038,-0.001236,-0.001628,-0.002408,-0.003964,-0.007074", \ - "-0.001956,-0.002130,-0.002325,-0.002716,-0.003496,-0.005053,-0.008163", \ - "1.589258,1.282984,0.959915,0.479529,0.240653,0.143633,0.079348", \ - "5.528509,5.394792,5.161295,4.512821,3.302648,2.019751,1.312691", \ - "11.186030,11.341960,11.269220,10.915190,9.736728,7.291403,4.667520", \ - "18.883030,19.136920,19.288680,19.290480,18.640150,16.298470,11.734410", \ - "28.866700,29.134170,29.513660,29.820160,29.788920,28.136480,23.168810"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("10.832700,11.104040,11.260860,11.408300,11.374760,11.555270,11.304290", \ - "10.806430,10.932410,10.953540,11.026600,11.205370,11.461130,10.994980", \ - "12.893440,12.789930,12.662510,12.475590,12.185880,11.837250,11.330950", \ - "17.134680,17.170160,17.187940,16.626790,15.849040,14.352840,13.341200", \ - "25.610230,24.977810,24.409030,23.726430,22.687960,20.513880,18.682060", \ - "37.932160,37.083330,36.327990,34.913940,33.088450,30.768420,27.310920", \ - "54.530520,53.444460,52.494970,50.800590,47.794250,43.897390,38.895400"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("1.104740,1.258886,1.379155,1.529381,1.675706,1.798997,1.900175", \ - "0.857773,1.045146,1.173164,1.315482,1.451431,1.589167,1.694033", \ - "2.688203,2.480275,2.246116,1.974208,1.918807,1.866372,1.840374", \ - "7.274287,7.003423,6.644609,5.994633,4.940710,3.835444,3.140270", \ - "13.868490,13.880210,13.696460,13.123800,11.737410,9.351542,6.783068", \ - "22.742920,23.064390,23.111680,22.860850,21.788680,18.979370,14.413490", \ - "34.017990,34.622990,34.983940,35.127780,34.680370,32.253430,26.651970"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("15.347360,15.432710,15.621700,15.702480,15.688990,15.449700,15.736330", \ - "14.900180,15.016360,15.163380,15.316220,15.496560,15.678680,15.410910", \ - "15.264780,15.325920,15.284060,15.283770,15.064630,15.380610,15.514060", \ - "17.965330,17.993620,18.131420,17.760450,17.327420,16.449330,15.798570", \ - "25.108140,24.581870,24.077530,23.435110,22.755210,20.783730,19.386540", \ - "36.269630,35.336790,34.581830,33.260950,31.135100,28.761190,25.968100", \ - "51.217940,50.261670,49.148130,47.305490,44.479590,40.251780,36.039120"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR3_X1 - Cell Description : Combinational cell (NOR3_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NOR3_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 79.975310; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 114.348375; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 46.787050; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 48.043537; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 80.468819; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 68.306375; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 80.572441; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 80.788676; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 120.487207; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.819790; - fall_capacitance : 1.413262; - rise_capacitance : 1.819790; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.715992; - fall_capacitance : 1.508166; - rise_capacitance : 1.715992; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.654716; - fall_capacitance : 1.609693; - rise_capacitance : 1.654716; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 16.021700; - function : "!((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00335428,0.00368456,0.00429722,0.00542980,0.00753055,0.0114453,0.0187696", \ - "0.00421383,0.00456491,0.00516314,0.00628304,0.00837827,0.0122932,0.0196212", \ - "0.00451912,0.00513260,0.00620235,0.00799032,0.0108667,0.0153270,0.0225738", \ - "0.00213709,0.00303607,0.00460027,0.00722023,0.0114181,0.0178837,0.0276117", \ - "-0.00345183,-0.00227737,-0.000217586,0.00324328,0.00881108,0.0174040,0.0302271", \ - "-0.0125086,-0.0110732,-0.00855223,-0.00429216,0.00261211,0.0133411,0.0294011", \ - "-0.0252679,-0.0235946,-0.0206439,-0.0156393,-0.00746955,0.00532406,0.0246380"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.0101873,0.0115237,0.0139993,0.0185703,0.0270147,0.0426809,0.0719103", \ - "0.0106018,0.0118842,0.0142976,0.0188286,0.0272805,0.0430146,0.0723406", \ - "0.0150553,0.0162641,0.0183842,0.0225593,0.0306045,0.0459141,0.0749050", \ - "0.0219460,0.0234312,0.0261118,0.0308614,0.0390005,0.0536385,0.0818040", \ - "0.0315116,0.0331916,0.0362038,0.0415665,0.0509519,0.0669144,0.0943579", \ - "0.0439770,0.0458821,0.0492675,0.0552158,0.0655404,0.0833021,0.113094", \ - "0.0594906,0.0616517,0.0654407,0.0720651,0.0833843,0.102624,0.135269"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00175670,0.00202735,0.00253623,0.00349181,0.00528705,0.00865810,0.0149892", \ - "0.00203777,0.00222883,0.00262966,0.00349238,0.00528730,0.00865814,0.0149894", \ - "0.00422491,0.00449357,0.00496548,0.00578268,0.00715250,0.00948366,0.0150139", \ - "0.00759189,0.00796917,0.00862868,0.00972739,0.0115222,0.0144034,0.0189842", \ - "0.0122775,0.0127819,0.0136451,0.0150823,0.0173760,0.0209524,0.0264949", \ - "0.0183966,0.0190258,0.0201038,0.0218914,0.0247424,0.0291075,0.0357235", \ - "0.0260546,0.0267981,0.0280784,0.0302311,0.0336633,0.0388967,0.0467151"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00866227,0.00986322,0.0120694,0.0161601,0.0237660,0.0379834,0.0646205", \ - "0.00855036,0.00977733,0.0120275,0.0161486,0.0237578,0.0379776,0.0645974", \ - "0.00917171,0.0101677,0.0121023,0.0159601,0.0237365,0.0379829,0.0645939", \ - "0.0113998,0.0125387,0.0146391,0.0182325,0.0246364,0.0379303,0.0645925", \ - "0.0145965,0.0156727,0.0177126,0.0215645,0.0286165,0.0406398,0.0647919", \ - "0.0194144,0.0204564,0.0224141,0.0261419,0.0332357,0.0462549,0.0687633", \ - "0.0258409,0.0268646,0.0288024,0.0324562,0.0393857,0.0525655,0.0763728"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00430976,0.00467434,0.00534033,0.00654828,0.00873930,0.0127450,0.0201518", \ - "0.00512939,0.00548049,0.00613223,0.00733014,0.00951659,0.0135209,0.0209249", \ - "0.00632454,0.00688146,0.00786505,0.00952720,0.0122421,0.0164943,0.0238117", \ - "0.00503594,0.00582931,0.00724109,0.00964141,0.0135562,0.0197098,0.0291106", \ - "0.000832498,0.00185782,0.00367817,0.00680404,0.0119372,0.0200441,0.0323619", \ - "-0.00650802,-0.00527005,-0.00306121,0.000733386,0.00703401,0.0170666,0.0324123", \ - "-0.0171736,-0.0157417,-0.0131771,-0.00875498,-0.00136269,0.0104994,0.0288261"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.0156789,0.0169943,0.0194387,0.0239578,0.0323451,0.0479643,0.0771677", \ - "0.0160618,0.0173753,0.0198219,0.0243713,0.0328217,0.0485353,0.0778377", \ - "0.0185695,0.0198704,0.0222685,0.0267308,0.0350776,0.0507300,0.0800483", \ - "0.0234333,0.0249276,0.0276433,0.0325258,0.0411040,0.0563600,0.0852364", \ - "0.0320648,0.0336748,0.0365840,0.0417789,0.0509817,0.0671607,0.0955488", \ - "0.0436992,0.0455145,0.0487503,0.0544364,0.0643006,0.0814564,0.111365", \ - "0.0581520,0.0602005,0.0638488,0.0701916,0.0809761,0.0992699,0.130787"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00271217,0.00298612,0.00349682,0.00445170,0.00624317,0.00961447,0.0159560", \ - "0.00274354,0.00298750,0.00346947,0.00444174,0.00624343,0.00961542,0.0159561", \ - "0.00505945,0.00530414,0.00573931,0.00649209,0.00776683,0.0102091,0.0159670", \ - "0.00865875,0.00900459,0.00960469,0.0106317,0.0123228,0.0151023,0.0195599", \ - "0.0135399,0.0140050,0.0148060,0.0161438,0.0183138,0.0217371,0.0271839", \ - "0.0198063,0.0204121,0.0214351,0.0231311,0.0258363,0.0300099,0.0364387", \ - "0.0275531,0.0283069,0.0295626,0.0316613,0.0349659,0.0399873,0.0475299"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00880230,0.00995801,0.0121197,0.0161763,0.0237672,0.0379832,0.0645958", \ - "0.00881113,0.00996727,0.0121200,0.0161710,0.0237692,0.0379704,0.0646022", \ - "0.00933805,0.0103325,0.0122882,0.0162167,0.0237687,0.0379728,0.0645931", \ - "0.0117441,0.0127905,0.0147596,0.0182134,0.0247381,0.0380399,0.0645926", \ - "0.0146832,0.0156402,0.0174952,0.0210818,0.0279244,0.0402562,0.0649665", \ - "0.0192109,0.0200911,0.0217897,0.0251248,0.0317090,0.0444345,0.0678437", \ - "0.0252090,0.0260421,0.0276705,0.0308556,0.0370960,0.0494356,0.0732527"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00425734,0.00467272,0.00542749,0.00677993,0.00917932,0.0134387,0.0210971", \ - "0.00515434,0.00552904,0.00623324,0.00753513,0.00989630,0.0141346,0.0217843", \ - "0.00687728,0.00743899,0.00842890,0.0101052,0.0128322,0.0170965,0.0246099", \ - "0.00632251,0.00710583,0.00848761,0.0108524,0.0147207,0.0208090,0.0301187", \ - "0.00315843,0.00414214,0.00590070,0.00892112,0.0139109,0.0218223,0.0339214", \ - "-0.00272512,-0.00155671,0.000532429,0.00415339,0.0101869,0.0198735,0.0347745", \ - "-0.0114235,-0.0100820,-0.00768953,-0.00354133,0.00344605,0.0147787,0.0324228"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.0176492,0.0189638,0.0214056,0.0259262,0.0343137,0.0499351,0.0791321", \ - "0.0182550,0.0195664,0.0220138,0.0265652,0.0350153,0.0507297,0.0800278", \ - "0.0204466,0.0217449,0.0241514,0.0286363,0.0370210,0.0527060,0.0820517", \ - "0.0231940,0.0246303,0.0272580,0.0320409,0.0406058,0.0560360,0.0851078", \ - "0.0293984,0.0308903,0.0335890,0.0384504,0.0472252,0.0631125,0.0916890", \ - "0.0389130,0.0405808,0.0435554,0.0487822,0.0578956,0.0740259,0.103179", \ - "0.0508343,0.0527268,0.0560753,0.0619103,0.0718414,0.0887387,0.118432"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00329464,0.00361738,0.00420370,0.00525284,0.00713456,0.0105568,0.0169035", \ - "0.00320994,0.00349530,0.00404899,0.00513864,0.00707647,0.0105350,0.0168981", \ - "0.00576691,0.00600596,0.00642790,0.00715556,0.00836790,0.0109285,0.0168734", \ - "0.00975661,0.0100810,0.0106531,0.0116156,0.0132200,0.0158646,0.0201700", \ - "0.0152179,0.0156475,0.0163816,0.0176094,0.0195939,0.0227780,0.0279737", \ - "0.0223395,0.0228790,0.0238089,0.0253313,0.0277556,0.0315395,0.0375033", \ - "0.0312421,0.0319146,0.0330580,0.0349153,0.0378395,0.0423020,0.0491196"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00880361,0.00996073,0.0121240,0.0161716,0.0237662,0.0379893,0.0646053", \ - "0.00881359,0.00996657,0.0121247,0.0161718,0.0237737,0.0379648,0.0645929", \ - "0.00901630,0.0101079,0.0121916,0.0161909,0.0237583,0.0379776,0.0646028", \ - "0.0110642,0.0121134,0.0140901,0.0176934,0.0244980,0.0380311,0.0646144", \ - "0.0143448,0.0152366,0.0169860,0.0204491,0.0272553,0.0400270,0.0650314", \ - "0.0194823,0.0202617,0.0218010,0.0248711,0.0310832,0.0436298,0.0676952", \ - "0.0262357,0.0269506,0.0283816,0.0312590,0.0370038,0.0486212,0.0722896"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("-0.000294,-0.000313,-0.000349,-0.000416,-0.000540,-0.000774,-0.001211", \ - "-0.000693,-0.000712,-0.000747,-0.000813,-0.000938,-0.001171,-0.001609", \ - "0.236456,0.210512,0.173752,0.104209,0.028392,-0.002569,-0.003004", \ - "0.981485,0.983983,0.956875,0.899881,0.760238,0.530292,0.325217", \ - "2.073863,2.075093,2.093984,2.081135,1.989807,1.748519,1.283764", \ - "3.541684,3.536844,3.592199,3.626410,3.617946,3.481739,3.005089", \ - "5.402927,5.428650,5.498586,5.583214,5.676310,5.645923,5.317952"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("3.235608,3.253127,3.297975,3.336389,3.359695,3.331605,3.325046", \ - "3.190357,3.201174,3.214644,3.226634,3.189603,3.240800,3.347135", \ - "3.598057,3.549453,3.559408,3.527050,3.493416,3.409381,3.194524", \ - "4.580705,4.523910,4.500395,4.419981,4.274657,4.098543,3.785006", \ - "6.044010,5.997673,5.946238,5.957961,5.746218,5.486839,5.141431", \ - "8.470816,8.394158,8.276557,8.093140,7.841911,7.495811,6.933422", \ - "11.824410,11.734940,11.541000,11.255100,10.805430,10.366520,9.706112"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.287328,0.298103,0.328538,0.350750,0.381620,0.408966,0.438601", \ - "0.276397,0.291399,0.307497,0.342371,0.371898,0.404545,0.434339", \ - "0.590370,0.567623,0.540553,0.492630,0.482275,0.483401,0.489702", \ - "1.420075,1.405103,1.371070,1.296354,1.171253,0.973498,0.824454", \ - "2.633945,2.639679,2.636767,2.600323,2.477948,2.212463,1.787346", \ - "4.249801,4.268123,4.293591,4.334604,4.286524,4.081724,3.562179", \ - "6.335573,6.354031,6.407597,6.501417,6.557262,6.471287,6.039111"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("4.352270,4.366309,4.356729,4.398782,4.400250,4.400455,4.464745", \ - "4.233328,4.240872,4.267246,4.305360,4.337842,4.353948,4.383355", \ - "4.221198,4.206681,4.236366,4.254933,4.251616,4.266859,4.107276", \ - "4.789635,4.758997,4.717147,4.641819,4.595581,4.513257,4.407340", \ - "5.931865,5.907139,5.896560,5.875688,5.660318,5.453867,5.198209", \ - "8.030290,7.950908,7.828989,7.607988,7.412965,7.120515,6.708999", \ - "10.968510,10.842000,10.671000,10.351960,9.963101,9.500018,8.883361"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.474375,0.509507,0.581480,0.646006,0.750443,0.849131,0.938246", \ - "0.419107,0.461945,0.510718,0.583479,0.682088,0.770307,0.864464", \ - "0.714513,0.709724,0.689769,0.689894,0.744811,0.805047,0.865742", \ - "1.646734,1.636873,1.603378,1.533693,1.428182,1.267815,1.180812", \ - "3.118631,3.111378,3.089081,3.034779,2.883344,2.593106,2.171837", \ - "5.075757,5.114836,5.125101,5.095943,5.014691,4.714695,4.107303", \ - "7.599905,7.601811,7.688197,7.733614,7.734867,7.525359,6.922003"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("5.329600,5.343685,5.334230,5.346816,5.368221,5.307355,5.379772", \ - "5.200271,5.193002,5.233641,5.281223,5.322399,5.326753,5.225439", \ - "5.139047,5.155245,5.181425,5.159892,5.200214,5.208263,5.225469", \ - "5.453763,5.444003,5.414638,5.374432,5.304288,5.245704,5.252978", \ - "6.564181,6.550003,6.502003,6.446176,6.322375,6.138553,5.826114", \ - "8.968925,8.867994,8.697041,8.498574,8.232655,7.860030,7.334926", \ - "12.351740,12.236380,12.036730,11.737710,11.231200,10.566680,9.752022"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR3_X2 - Cell Description : Combinational cell (NOR3_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NOR3_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 159.950492; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 228.696250; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 93.574000; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 96.087125; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 160.937859; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 136.613625; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 161.144352; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 161.576831; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 240.973894; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.475486; - fall_capacitance : 2.660821; - rise_capacitance : 3.475486; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.525391; - fall_capacitance : 3.100984; - rise_capacitance : 3.525391; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.524585; - fall_capacitance : 3.423474; - rise_capacitance : 3.524585; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 31.738300; - function : "!((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00335514,0.00368098,0.00418827,0.00518732,0.00715760,0.0110606,0.0188342", \ - "0.00421081,0.00455940,0.00505603,0.00604155,0.00800380,0.0119068,0.0196840", \ - "0.00448943,0.00509472,0.00598976,0.00760061,0.0103721,0.0149212,0.0226347", \ - "0.00207303,0.00295819,0.00426918,0.00663106,0.0106796,0.0172734,0.0276733", \ - "-0.00354854,-0.00239122,-0.000668671,0.00244855,0.00781525,0.0165811,0.0302924", \ - "-0.0126375,-0.0112203,-0.00911611,-0.00528460,0.00136015,0.0122995,0.0294742", \ - "-0.0254214,-0.0237710,-0.0213138,-0.0168151,-0.00896107,0.00407454,0.0247151"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.0100103,0.0113176,0.0133599,0.0173826,0.0252868,0.0408839,0.0718589", \ - "0.0104337,0.0116820,0.0136693,0.0176448,0.0255502,0.0412152,0.0722873", \ - "0.0148862,0.0160966,0.0178242,0.0214537,0.0289410,0.0441483,0.0748534", \ - "0.0217469,0.0231999,0.0254208,0.0296434,0.0374230,0.0519297,0.0817497", \ - "0.0312917,0.0329339,0.0354266,0.0401829,0.0490692,0.0651535,0.0942900", \ - "0.0437248,0.0455875,0.0483953,0.0536881,0.0634671,0.0813200,0.113017", \ - "0.0592096,0.0613090,0.0644594,0.0703673,0.0811204,0.100470,0.135174"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00172208,0.00198573,0.00240510,0.00324465,0.00492475,0.00828599,0.0150103", \ - "0.00201014,0.00219563,0.00251998,0.00325170,0.00492475,0.00828616,0.0150099", \ - "0.00418555,0.00444894,0.00484265,0.00557441,0.00688505,0.00920503,0.0150350", \ - "0.00753750,0.00791140,0.00845904,0.00945045,0.0111719,0.0140950,0.0189969", \ - "0.0122072,0.0127017,0.0134183,0.0147193,0.0169358,0.0205722,0.0265005", \ - "0.0183094,0.0189208,0.0198166,0.0214357,0.0241919,0.0286542,0.0357242", \ - "0.0259528,0.0266676,0.0277392,0.0296819,0.0330025,0.0383534,0.0467109"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00847320,0.00964379,0.0114714,0.0150688,0.0221801,0.0363288,0.0645471", \ - "0.00836009,0.00956542,0.0114275,0.0150577,0.0221864,0.0363371,0.0645424", \ - "0.00902920,0.00998932,0.0115717,0.0149075,0.0221303,0.0363264,0.0645454", \ - "0.0112338,0.0123390,0.0140742,0.0173752,0.0232715,0.0363169,0.0645451", \ - "0.0144505,0.0154887,0.0171602,0.0205410,0.0271849,0.0392413,0.0647602", \ - "0.0192812,0.0202850,0.0218923,0.0251499,0.0317657,0.0447948,0.0687146", \ - "0.0257174,0.0266994,0.0282885,0.0314906,0.0379467,0.0510451,0.0763487"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00425536,0.00461398,0.00516702,0.00623726,0.00829978,0.0123008,0.0201606", \ - "0.00507767,0.00542196,0.00596217,0.00702096,0.00907741,0.0130757,0.0209323", \ - "0.00624122,0.00679160,0.00761316,0.00911261,0.0117232,0.0160572,0.0238184", \ - "0.00491600,0.00569953,0.00687816,0.00903840,0.0128069,0.0190683,0.0291152", \ - "0.000684203,0.00169304,0.00321581,0.00601964,0.0109492,0.0192025,0.0323676", \ - "-0.00668462,-0.00546170,-0.00361934,-0.000221091,0.00581844,0.0160244,0.0324125", \ - "-0.0173758,-0.0159469,-0.0138165,-0.00986293,-0.00280010,0.00925766,0.0288269"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.0154762,0.0167627,0.0187769,0.0227535,0.0306018,0.0461492,0.0770908", \ - "0.0158626,0.0171437,0.0191620,0.0231608,0.0310706,0.0467181,0.0777638", \ - "0.0183664,0.0196391,0.0216217,0.0255392,0.0333420,0.0489145,0.0799779", \ - "0.0231898,0.0246507,0.0269019,0.0312322,0.0393872,0.0545768,0.0851636", \ - "0.0317882,0.0333731,0.0357861,0.0403942,0.0490858,0.0653131,0.0954696", \ - "0.0433797,0.0451685,0.0478624,0.0529275,0.0622745,0.0794886,0.111264", \ - "0.0577755,0.0598024,0.0628413,0.0685124,0.0787779,0.0971812,0.130667"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00267890,0.00294528,0.00336661,0.00420549,0.00587986,0.00923621,0.0159644", \ - "0.00271288,0.00294979,0.00334349,0.00419131,0.00587891,0.00923676,0.0159649", \ - "0.00502741,0.00526924,0.00562957,0.00630470,0.00752173,0.00990954,0.0159749", \ - "0.00861625,0.00895187,0.00945145,0.0103699,0.0119945,0.0148017,0.0195669", \ - "0.0134799,0.0139399,0.0146047,0.0158096,0.0178931,0.0213791,0.0271836", \ - "0.0197253,0.0203136,0.0211681,0.0227018,0.0253149,0.0295748,0.0364325", \ - "0.0274494,0.0281663,0.0292249,0.0311271,0.0343285,0.0394604,0.0475226"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00863124,0.00975598,0.0115391,0.0150938,0.0221924,0.0363290,0.0645646", \ - "0.00864062,0.00975768,0.0115368,0.0150892,0.0221801,0.0363227,0.0645658", \ - "0.00919349,0.0101514,0.0117407,0.0151521,0.0221980,0.0363207,0.0645463", \ - "0.0115832,0.0125987,0.0142238,0.0173308,0.0233476,0.0364333,0.0645673", \ - "0.0145403,0.0154665,0.0169831,0.0201125,0.0264998,0.0388165,0.0649188", \ - "0.0190831,0.0199350,0.0213229,0.0242152,0.0303058,0.0429556,0.0678111", \ - "0.0250992,0.0258943,0.0272296,0.0299891,0.0357721,0.0479806,0.0732192"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00419037,0.00459818,0.00522508,0.00642547,0.00869216,0.0129579,0.0210862", \ - "0.00509491,0.00546081,0.00604222,0.00719071,0.00941413,0.0136548,0.0217723", \ - "0.00678455,0.00733933,0.00816520,0.00967599,0.0123009,0.0166349,0.0245974", \ - "0.00619485,0.00696039,0.00812303,0.0102460,0.0139617,0.0201583,0.0301039", \ - "0.00299744,0.00397090,0.00543242,0.00814372,0.0129313,0.0209717,0.0338927", \ - "-0.00291926,-0.00175618,-0.0000151981,0.00321800,0.00899498,0.0188237,0.0347350", \ - "-0.0116488,-0.0103006,-0.00831444,-0.00461508,0.00204764,0.0135390,0.0323767"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.0174440,0.0187302,0.0207461,0.0247217,0.0325689,0.0481177,0.0790554", \ - "0.0180538,0.0193360,0.0213533,0.0253534,0.0332618,0.0489097,0.0799537", \ - "0.0202473,0.0215171,0.0235020,0.0274429,0.0352773,0.0508873,0.0819789", \ - "0.0229676,0.0243701,0.0265456,0.0307737,0.0388697,0.0542385,0.0850379", \ - "0.0291575,0.0306169,0.0328526,0.0371543,0.0454069,0.0612842,0.0916170", \ - "0.0386420,0.0402704,0.0427439,0.0474009,0.0560237,0.0721592,0.103088", \ - "0.0505296,0.0523661,0.0551571,0.0603731,0.0698236,0.0868090,0.118329"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00324985,0.00356737,0.00405310,0.00498287,0.00675444,0.0101708,0.0168991", \ - "0.00317324,0.00344970,0.00390168,0.00485386,0.00668847,0.0101460,0.0168953", \ - "0.00573582,0.00597098,0.00632437,0.00697556,0.00814064,0.0106066,0.0168698", \ - "0.00971687,0.0100338,0.0105120,0.0113767,0.0129055,0.0155789,0.0201709", \ - "0.0151674,0.0155857,0.0162016,0.0173063,0.0192149,0.0224351,0.0279685", \ - "0.0222718,0.0228107,0.0235791,0.0249557,0.0272910,0.0311311,0.0374879", \ - "0.0311586,0.0318302,0.0327760,0.0344577,0.0372843,0.0418371,0.0491074"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00863107,0.00975778,0.0115344,0.0150912,0.0221897,0.0363251,0.0645469", \ - "0.00864429,0.00976197,0.0115408,0.0150926,0.0221875,0.0363343,0.0645466", \ - "0.00884672,0.00990495,0.0116191,0.0151169,0.0221847,0.0363248,0.0645451", \ - "0.0109029,0.0119302,0.0135507,0.0167546,0.0230483,0.0364156,0.0645465", \ - "0.0142149,0.0150787,0.0165067,0.0195083,0.0258235,0.0385432,0.0649927", \ - "0.0193724,0.0201224,0.0213765,0.0240352,0.0297445,0.0421413,0.0676528", \ - "0.0261487,0.0268288,0.0279920,0.0304805,0.0357757,0.0472280,0.0722552"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("-0.000585,-0.000623,-0.000683,-0.000800,-0.001035,-0.001501,-0.002433", \ - "-0.001383,-0.001420,-0.001479,-0.001596,-0.001830,-0.002297,-0.003229", \ - "0.703647,0.653372,0.589089,0.466034,0.294437,0.211252,0.170678", \ - "2.191396,2.203917,2.153503,2.051174,1.805667,1.327198,0.873481", \ - "4.366701,4.399148,4.407534,4.389446,4.253821,3.779605,2.789103", \ - "7.218644,7.336383,7.405396,7.448577,7.478833,7.216765,6.240059", \ - "11.055020,11.119190,11.205450,11.373090,11.530820,11.543350,10.851120"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("6.652568,6.694546,6.679660,6.808973,6.817428,6.876326,6.792361", \ - "6.470077,6.530716,6.563215,6.593470,6.710703,6.717756,6.837839", \ - "7.319210,7.324639,7.218871,7.169826,7.070293,7.003016,6.783682", \ - "9.292838,9.244472,9.140103,8.992664,8.710787,8.406647,7.877435", \ - "12.241730,12.205810,12.119760,12.037770,11.613410,11.111580,10.181930", \ - "17.153430,17.005980,16.772280,16.395960,15.927950,15.211580,13.999360", \ - "23.862460,23.598010,23.356330,22.819860,21.949850,20.878060,19.540300"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.805823,0.822567,0.862580,0.917624,0.984438,1.050012,1.103880", \ - "0.769477,0.797519,0.835288,0.893224,0.970219,1.035977,1.098371", \ - "1.395754,1.383439,1.324649,1.236994,1.197957,1.198507,1.204397", \ - "3.077005,3.025344,2.983049,2.860137,2.608087,2.208670,1.873339", \ - "5.489566,5.498084,5.475516,5.426560,5.235553,4.711402,3.797184", \ - "8.713143,8.793010,8.835449,8.858867,8.824510,8.429606,7.342254", \ - "12.821830,12.895850,13.048960,13.168580,13.320890,13.163190,12.280520"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("8.807554,8.829243,8.899649,8.928060,8.874119,8.923816,8.954766", \ - "8.614046,8.647265,8.687858,8.743607,8.745239,8.818238,8.953836", \ - "8.589834,8.612410,8.567294,8.637563,8.658065,8.699614,8.594959", \ - "9.735891,9.671580,9.591347,9.528156,9.403894,9.183928,8.985777", \ - "12.065970,12.021620,11.910360,11.882030,11.593970,11.147460,10.543980", \ - "16.233290,16.023450,15.879760,15.460900,15.118400,14.353920,13.563880", \ - "22.121290,21.905460,21.610150,21.058280,20.257630,19.216680,17.943180"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("1.210926,1.243650,1.355532,1.489094,1.691212,1.909052,2.103364", \ - "1.047489,1.118585,1.224314,1.361465,1.550723,1.761521,1.957428", \ - "1.660982,1.632980,1.618904,1.613142,1.699966,1.818638,1.958074", \ - "3.536818,3.503203,3.448886,3.332624,3.118977,2.800110,2.587517", \ - "6.457610,6.443482,6.423794,6.325262,6.058410,5.465440,4.571440", \ - "10.409850,10.435070,10.450270,10.417580,10.296950,9.731607,8.436693", \ - "15.381040,15.453070,15.553050,15.670900,15.696020,15.343350,14.058600"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("10.749750,10.783990,10.854350,10.883020,10.911450,10.900920,10.791860", \ - "10.574090,10.610700,10.618190,10.689920,10.699060,10.805760,10.703830", \ - "10.465850,10.490910,10.485340,10.507250,10.501270,10.616370,10.585050", \ - "11.019140,10.989190,10.928460,10.901980,10.880670,10.808960,10.656610", \ - "13.329300,13.199450,13.100090,13.076120,12.806290,12.323410,11.819680", \ - "18.048770,17.852800,17.688970,17.255250,16.721950,16.025370,14.817950", \ - "24.917660,24.693090,24.333020,23.739490,22.775010,21.491650,19.823840"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR3_X4 - Cell Description : Combinational cell (NOR3_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NOR3_X4) { - - drive_strength : 4; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 319.898699; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 457.390000; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 187.146625; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 192.173125; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 321.870296; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 273.228125; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 322.284286; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 323.156350; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 481.940784; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.720133; - fall_capacitance : 5.130057; - rise_capacitance : 6.720133; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.350188; - fall_capacitance : 5.527522; - rise_capacitance : 6.350188; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.202486; - fall_capacitance : 6.020433; - rise_capacitance : 6.202486; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 63.324000; - function : "!((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00336385,0.00378545,0.00429386,0.00529427,0.00726426,0.0111650,0.0189313", \ - "0.00421127,0.00465999,0.00515897,0.00614478,0.00810588,0.0120061,0.0197746", \ - "0.00446670,0.00525055,0.00613748,0.00773738,0.0104946,0.0150280,0.0227294", \ - "0.00200605,0.00315154,0.00444916,0.00679924,0.0108262,0.0173979,0.0277773", \ - "-0.00369579,-0.00219555,-0.000485783,0.00261559,0.00796550,0.0167086,0.0303988", \ - "-0.0128863,-0.0110545,-0.00895747,-0.00513822,0.00149115,0.0124106,0.0295662", \ - "-0.0257956,-0.0236598,-0.0212079,-0.0167188,-0.00887478,0.00414638,0.0247755"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00950271,0.0112015,0.0132616,0.0173240,0.0253032,0.0410341,0.0722589", \ - "0.00999715,0.0116052,0.0136015,0.0176079,0.0255826,0.0413766,0.0726968", \ - "0.0145542,0.0161174,0.0178390,0.0214747,0.0290163,0.0443448,0.0752896", \ - "0.0214800,0.0233545,0.0255714,0.0297896,0.0375693,0.0521661,0.0822234", \ - "0.0310804,0.0331963,0.0356901,0.0404483,0.0493493,0.0654724,0.0948002", \ - "0.0435578,0.0459617,0.0487705,0.0540664,0.0638641,0.0817746,0.113594", \ - "0.0591008,0.0617968,0.0649532,0.0708653,0.0816400,0.101055,0.135906"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00166303,0.00200313,0.00242139,0.00325936,0.00493579,0.00829035,0.0150020", \ - "0.00196722,0.00220545,0.00253139,0.00326831,0.00493589,0.00829080,0.0150016", \ - "0.00411836,0.00445597,0.00484804,0.00557545,0.00688412,0.00920063,0.0150257", \ - "0.00742284,0.00790595,0.00845267,0.00944311,0.0111663,0.0140881,0.0189816", \ - "0.0120461,0.0126814,0.0134010,0.0147014,0.0169171,0.0205591,0.0264883", \ - "0.0181011,0.0188903,0.0197896,0.0214096,0.0241657,0.0286321,0.0357094", \ - "0.0257092,0.0266282,0.0277041,0.0296508,0.0329737,0.0383247,0.0466975"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00825033,0.00981060,0.0116819,0.0153390,0.0225335,0.0367943,0.0652465", \ - "0.00809405,0.00970014,0.0116081,0.0153106,0.0225242,0.0367991,0.0652709", \ - "0.00880977,0.0100699,0.0116948,0.0151019,0.0224574,0.0367920,0.0652723", \ - "0.0109886,0.0124150,0.0141687,0.0174908,0.0234857,0.0367226,0.0652506", \ - "0.0142474,0.0155854,0.0172652,0.0206651,0.0273631,0.0395473,0.0654041", \ - "0.0191055,0.0203896,0.0220059,0.0252792,0.0319365,0.0450725,0.0692419", \ - "0.0255411,0.0268087,0.0284076,0.0316243,0.0381132,0.0513086,0.0768051"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00436328,0.00483723,0.00540027,0.00648648,0.00857096,0.0125968,0.0204772", \ - "0.00519155,0.00564434,0.00619227,0.00726446,0.00934082,0.0133629,0.0212415", \ - "0.00641689,0.00712736,0.00794328,0.00942853,0.0120243,0.0163363,0.0241168", \ - "0.00513463,0.00614831,0.00731565,0.00945464,0.0131974,0.0194312,0.0294389", \ - "0.000919284,0.00222505,0.00373111,0.00650777,0.0114106,0.0196211,0.0327494", \ - "-0.00646175,-0.00487267,-0.00305593,0.000315060,0.00631966,0.0164775,0.0328183", \ - "-0.0171899,-0.0153355,-0.0132320,-0.00930900,-0.00228733,0.00972470,0.0292462"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.0158308,0.0175162,0.0195528,0.0235667,0.0314824,0.0471567,0.0783352", \ - "0.0162250,0.0179025,0.0199426,0.0239792,0.0319552,0.0477265,0.0790104", \ - "0.0187361,0.0203999,0.0224055,0.0263695,0.0342478,0.0499573,0.0812702", \ - "0.0233487,0.0252608,0.0275366,0.0319073,0.0401156,0.0554631,0.0863319", \ - "0.0318766,0.0339324,0.0363585,0.0409949,0.0497438,0.0660873,0.0964919", \ - "0.0434929,0.0458048,0.0485031,0.0535795,0.0629627,0.0802685,0.112242", \ - "0.0579391,0.0605495,0.0635903,0.0692617,0.0795457,0.0980193,0.131683"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00272258,0.00307020,0.00349437,0.00433640,0.00601344,0.00937033,0.0161018", \ - "0.00273584,0.00304978,0.00345248,0.00431379,0.00600932,0.00937075,0.0161023", \ - "0.00505039,0.00535760,0.00571459,0.00638348,0.00759118,0.00999923,0.0161118", \ - "0.00862941,0.00906618,0.00956449,0.0104768,0.0120892,0.0148876,0.0196363", \ - "0.0134867,0.0140706,0.0147348,0.0159326,0.0180038,0.0214776,0.0272670", \ - "0.0197217,0.0204608,0.0213106,0.0228388,0.0254362,0.0296811,0.0365363", \ - "0.0274231,0.0283238,0.0293771,0.0312707,0.0344600,0.0395747,0.0476353"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00853511,0.00999756,0.0117926,0.0153789,0.0225373,0.0368145,0.0652504", \ - "0.00854643,0.0100032,0.0117955,0.0153792,0.0225338,0.0368095,0.0652509", \ - "0.00905913,0.0103219,0.0119734,0.0154398,0.0225458,0.0368149,0.0652519", \ - "0.0115178,0.0128384,0.0144702,0.0175608,0.0236427,0.0368853,0.0652467", \ - "0.0145515,0.0157470,0.0172725,0.0204211,0.0268412,0.0392421,0.0655844", \ - "0.0191853,0.0202566,0.0216408,0.0245451,0.0306723,0.0434042,0.0684640", \ - "0.0252816,0.0262901,0.0276016,0.0303595,0.0361535,0.0484346,0.0738533"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00426988,0.00480745,0.00544477,0.00666472,0.00896581,0.0132859,0.0214887", \ - "0.00519499,0.00567524,0.00626540,0.00743122,0.00968587,0.0139781,0.0221694", \ - "0.00695041,0.00767116,0.00849580,0.0100012,0.0126204,0.0169568,0.0249837", \ - "0.00642208,0.00742386,0.00857690,0.0106915,0.0143985,0.0205853,0.0305301", \ - "0.00327293,0.00453763,0.00599874,0.00869110,0.0134661,0.0214942,0.0344090", \ - "-0.00261094,-0.00110107,0.000626232,0.00384675,0.00960871,0.0194273,0.0353311", \ - "-0.0113344,-0.00957280,-0.00760860,-0.00392713,0.00272140,0.0141997,0.0330254"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.0180672,0.0197471,0.0217812,0.0257906,0.0337001,0.0493673,0.0805429", \ - "0.0186825,0.0203583,0.0223980,0.0264323,0.0344049,0.0501690,0.0814455", \ - "0.0209260,0.0225841,0.0245933,0.0285733,0.0364784,0.0522124,0.0835422", \ - "0.0235081,0.0253427,0.0275431,0.0318125,0.0399613,0.0554821,0.0865600", \ - "0.0293912,0.0312874,0.0335399,0.0378773,0.0462069,0.0622342,0.0928513", \ - "0.0387593,0.0408709,0.0433452,0.0480063,0.0566580,0.0728865,0.104050", \ - "0.0506235,0.0529975,0.0557848,0.0609916,0.0704436,0.0874681,0.119138"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00327366,0.00369669,0.00419512,0.00514613,0.00695031,0.0104097,0.0171937", \ - "0.00319481,0.00356206,0.00402975,0.00500781,0.00687616,0.0103805,0.0171873", \ - "0.00578029,0.00608434,0.00643551,0.00708412,0.00825318,0.0107885,0.0171506", \ - "0.00978908,0.0101990,0.0106718,0.0115364,0.0130650,0.0157357,0.0203572", \ - "0.0152527,0.0157961,0.0164092,0.0175128,0.0194146,0.0226337,0.0281632", \ - "0.0223756,0.0230572,0.0238272,0.0252020,0.0275357,0.0313732,0.0377170", \ - "0.0312863,0.0321281,0.0330654,0.0347443,0.0375684,0.0421079,0.0493756"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00853837,0.00999905,0.0117925,0.0153807,0.0225367,0.0367946,0.0652641", \ - "0.00855098,0.0100089,0.0117983,0.0153825,0.0225310,0.0368151,0.0652491", \ - "0.00874117,0.0101339,0.0118718,0.0154065,0.0225387,0.0367932,0.0652511", \ - "0.0107736,0.0121057,0.0137481,0.0169520,0.0233341,0.0368722,0.0652528", \ - "0.0141454,0.0152665,0.0167065,0.0197486,0.0261356,0.0389467,0.0656574", \ - "0.0194409,0.0203953,0.0216531,0.0243207,0.0300768,0.0425702,0.0682914", \ - "0.0263379,0.0271951,0.0283508,0.0308290,0.0361358,0.0476640,0.0728914"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("-0.001164,-0.001260,-0.001379,-0.001613,-0.002081,-0.003011,-0.004868", \ - "-0.002759,-0.002854,-0.002971,-0.003204,-0.003670,-0.004601,-0.006458", \ - "1.459932,1.328037,1.213957,0.966867,0.628935,0.440524,0.345631", \ - "4.329553,4.354775,4.290004,4.078961,3.588950,2.674098,1.759099", \ - "8.620595,8.639325,8.713529,8.683222,8.420018,7.514251,5.571245", \ - "14.354090,14.507570,14.604010,14.739720,14.823770,14.324820,12.397560", \ - "21.705990,21.904290,22.149960,22.424620,22.805630,22.799350,21.508870"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("13.147690,13.143910,13.302840,13.378590,13.597100,13.603200,13.638560", \ - "12.861680,12.953610,13.006950,13.161120,13.200380,13.173200,13.621260", \ - "14.550590,14.555620,14.398460,14.362280,13.983270,14.015650,13.655380", \ - "18.540740,18.398720,18.181290,17.917800,17.426970,16.680810,16.027810", \ - "24.469050,24.161350,24.179190,24.004600,23.171230,22.025510,20.505260", \ - "34.261270,33.846420,33.402610,32.651970,31.772300,30.229900,28.127460", \ - "47.604950,46.983420,46.492760,45.406020,43.762990,41.601940,38.453210"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("1.563618,1.636998,1.740677,1.839199,1.963682,2.093231,2.204873", \ - "1.562217,1.624319,1.679926,1.788914,1.933965,2.063237,2.190775", \ - "2.778573,2.718865,2.614388,2.431991,2.367014,2.368901,2.394529", \ - "6.062178,5.978148,5.883102,5.635714,5.155370,4.352029,3.704453", \ - "10.873560,10.886120,10.872380,10.752400,10.332590,9.281004,7.497266", \ - "17.251060,17.338610,17.410030,17.517640,17.454400,16.666490,14.508160", \ - "25.365820,25.643650,25.813770,26.158330,26.331000,26.075030,24.315500"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("18.380810,18.328090,18.491600,18.599000,18.755220,18.833410,18.205040", \ - "17.842220,17.951980,18.093400,18.155740,18.366430,18.507620,18.528960", \ - "17.811700,17.844350,17.940790,18.006450,18.103230,18.210250,18.173810", \ - "19.969630,19.794480,19.678560,19.569220,19.389350,18.994170,18.656850", \ - "24.498550,24.410370,24.378950,24.248770,23.673860,22.731060,21.714300", \ - "32.805860,32.517520,32.041220,31.404180,30.532090,29.351130,27.369420", \ - "44.586910,44.018440,43.518850,42.307400,40.762540,38.718890,35.790900"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("2.289339,2.496422,2.708187,2.967481,3.377177,3.783887,4.185842", \ - "2.066152,2.239096,2.439635,2.674782,3.071259,3.501009,3.884810", \ - "3.213919,3.183697,3.157153,3.162869,3.343059,3.596456,3.881030", \ - "6.937498,6.818259,6.720010,6.509320,6.106805,5.468993,5.097822", \ - "12.689120,12.708050,12.627200,12.458970,11.895110,10.759110,8.981144", \ - "20.522900,20.650330,20.688140,20.644070,20.350420,19.201630,16.612140", \ - "30.395550,30.684630,30.897690,31.032760,31.058960,30.400800,27.842870"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("22.716960,22.828760,22.771290,23.011420,22.911060,23.030850,23.004400", \ - "22.330930,22.439540,22.387700,22.645070,22.802680,22.638830,22.893160", \ - "22.163030,22.145460,22.179620,22.204940,22.259850,22.399090,22.443800", \ - "23.089300,23.078890,22.965400,22.963200,22.798680,22.606220,22.608580", \ - "27.316160,27.178090,27.106680,26.853170,26.382210,25.537010,24.696660", \ - "36.871740,36.445280,35.816880,35.143730,34.026210,32.551870,30.540820", \ - "50.556940,49.858240,49.133790,47.939160,46.100160,43.282450,40.433860"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR4_X1 - Cell Description : Combinational cell (NOR4_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NOR4_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 93.091091; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 152.360000; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 46.386100; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 47.025450; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 80.462742; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 48.281562; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 80.498751; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 80.708305; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 120.428296; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 68.525625; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 80.596960; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 80.811927; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 120.498939; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 81.028164; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 120.583812; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 120.726697; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 160.534128; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.804552; - fall_capacitance : 1.343468; - rise_capacitance : 1.804552; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.736416; - fall_capacitance : 1.467327; - rise_capacitance : 1.736416; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.692402; - fall_capacitance : 1.529791; - rise_capacitance : 1.692402; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.641877; - fall_capacitance : 1.611540; - rise_capacitance : 1.641877; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 10.471300; - function : "!(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00386769,0.00414742,0.00463025,0.00546846,0.00692175,0.00944701,0.0138441", \ - "0.00475404,0.00502608,0.00550089,0.00633136,0.00777920,0.0103029,0.0147020", \ - "0.00552304,0.00600623,0.00680600,0.00809736,0.0101240,0.0132032,0.0177390", \ - "0.00340749,0.00412137,0.00530508,0.00721379,0.0101960,0.0146952,0.0213025", \ - "-0.00237887,-0.00142682,0.000157436,0.00271857,0.00672447,0.0127726,0.0216032", \ - "-0.0121947,-0.0110118,-0.00903743,-0.00583709,-0.000808387,0.00681287,0.0179743", \ - "-0.0263009,-0.0248929,-0.0225491,-0.0187359,-0.0127245,-0.00356601,0.00992342"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0143772,0.0158539,0.0184490,0.0229532,0.0307598,0.0442784,0.0677352", \ - "0.0145736,0.0160219,0.0185629,0.0230424,0.0308598,0.0444335,0.0679914", \ - "0.0190950,0.0203724,0.0226813,0.0268448,0.0342883,0.0474336,0.0705883", \ - "0.0278659,0.0293185,0.0317960,0.0359886,0.0429074,0.0554787,0.0779257", \ - "0.0391492,0.0407790,0.0435704,0.0483064,0.0562247,0.0692297,0.0909185", \ - "0.0537293,0.0555302,0.0585827,0.0637513,0.0724129,0.0867507,0.110018", \ - "0.0718982,0.0738853,0.0772257,0.0828561,0.0922030,0.107614,0.132823"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00219417,0.00242475,0.00282996,0.00353890,0.00477933,0.00694981,0.0107463", \ - "0.00235671,0.00253999,0.00288148,0.00353967,0.00477941,0.00694993,0.0107453", \ - "0.00463525,0.00484761,0.00521056,0.00580746,0.00677257,0.00826017,0.0111562", \ - "0.00806110,0.00836300,0.00886553,0.00968084,0.0109770,0.0129688,0.0160113", \ - "0.0127755,0.0131800,0.0138473,0.0149119,0.0165859,0.0191160,0.0228814", \ - "0.0189451,0.0194508,0.0202865,0.0216246,0.0237039,0.0268135,0.0313946", \ - "0.0266928,0.0273095,0.0283027,0.0299076,0.0323978,0.0361241,0.0415536"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0145346,0.0159580,0.0184001,0.0225445,0.0296236,0.0418094,0.0629869", \ - "0.0143373,0.0157918,0.0182830,0.0224832,0.0296054,0.0418103,0.0630049", \ - "0.0140669,0.0154041,0.0177688,0.0221367,0.0294762,0.0417993,0.0629842", \ - "0.0163102,0.0175314,0.0194537,0.0230114,0.0294954,0.0414402,0.0629671", \ - "0.0187784,0.0200275,0.0222300,0.0261002,0.0326632,0.0432372,0.0630120", \ - "0.0230650,0.0242416,0.0263118,0.0300086,0.0365721,0.0479242,0.0664045", \ - "0.0290822,0.0302189,0.0321847,0.0357049,0.0420224,0.0532942,0.0727436"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00487322,0.00517561,0.00569590,0.00658555,0.00810335,0.0106989,0.0151627", \ - "0.00571311,0.00600942,0.00652274,0.00740648,0.00892033,0.0115140,0.0159769", \ - "0.00727100,0.00771543,0.00845573,0.00966110,0.0115696,0.0144919,0.0189464", \ - "0.00609918,0.00674247,0.00782323,0.00958708,0.0123810,0.0166505,0.0229983", \ - "0.00148078,0.00232663,0.00375348,0.00609704,0.00981167,0.0155142,0.0239623", \ - "-0.00691762,-0.00587719,-0.00411870,-0.00122016,0.00339656,0.0105277,0.0211637", \ - "-0.0193498,-0.0181230,-0.0160355,-0.0126159,-0.00713366,0.00137270,0.0141557"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0230374,0.0245273,0.0271103,0.0315868,0.0393469,0.0528017,0.0762124", \ - "0.0231674,0.0246606,0.0272577,0.0317665,0.0395875,0.0531377,0.0766654", \ - "0.0253070,0.0267677,0.0293152,0.0337541,0.0414871,0.0549758,0.0784881", \ - "0.0309323,0.0325046,0.0352083,0.0397753,0.0473253,0.0604724,0.0835765", \ - "0.0408325,0.0424790,0.0452968,0.0501023,0.0582352,0.0718829,0.0944489", \ - "0.0546351,0.0564144,0.0594254,0.0645194,0.0730780,0.0873751,0.111137", \ - "0.0720406,0.0739938,0.0772874,0.0827915,0.0919160,0.106964,0.131824"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00315491,0.00338676,0.00379081,0.00449709,0.00573171,0.00789590,0.0116906", \ - "0.00314236,0.00336280,0.00376933,0.00448837,0.00573116,0.00789673,0.0116899", \ - "0.00541479,0.00561301,0.00594511,0.00649609,0.00738909,0.00886621,0.0119539", \ - "0.00908097,0.00936305,0.00982744,0.0105929,0.0118143,0.0137168,0.0166430", \ - "0.0140163,0.0143875,0.0150105,0.0160098,0.0175891,0.0200105,0.0236589", \ - "0.0203556,0.0208391,0.0216340,0.0228948,0.0248718,0.0278499,0.0322727", \ - "0.0282261,0.0288307,0.0297858,0.0313332,0.0337405,0.0373319,0.0425811"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0150894,0.0164024,0.0186876,0.0226830,0.0296564,0.0418228,0.0629863", \ - "0.0150902,0.0164074,0.0186905,0.0226822,0.0296586,0.0418108,0.0629888", \ - "0.0151501,0.0164471,0.0187172,0.0227032,0.0296576,0.0417940,0.0629778", \ - "0.0173634,0.0184557,0.0203849,0.0238687,0.0302031,0.0418429,0.0629960", \ - "0.0197643,0.0209476,0.0230488,0.0267457,0.0330559,0.0436888,0.0634204", \ - "0.0236721,0.0247439,0.0266683,0.0301324,0.0364017,0.0474780,0.0662406", \ - "0.0293377,0.0303208,0.0320778,0.0352932,0.0411562,0.0518935,0.0710867"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00497671,0.00532124,0.00590995,0.00690620,0.00857718,0.0113683,0.0160481", \ - "0.00585624,0.00617968,0.00674095,0.00770684,0.00935002,0.0121213,0.0167901", \ - "0.00788370,0.00833157,0.00907876,0.0102928,0.0122102,0.0151339,0.0196698", \ - "0.00736330,0.00799974,0.00906710,0.0108146,0.0135742,0.0178096,0.0240937", \ - "0.00359504,0.00442012,0.00580802,0.00808858,0.0117133,0.0172991,0.0256145", \ - "-0.00371609,-0.00270199,-0.00100788,0.00177932,0.00624472,0.0131606,0.0235310", \ - "-0.0147297,-0.0135337,-0.0115623,-0.00831006,-0.00307204,0.00512091,0.0175075"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0279552,0.0294481,0.0320304,0.0365087,0.0442628,0.0577247,0.0811314", \ - "0.0281703,0.0296651,0.0322625,0.0367740,0.0445936,0.0581475,0.0816628", \ - "0.0301891,0.0316675,0.0342268,0.0386841,0.0464428,0.0599500,0.0834848", \ - "0.0332601,0.0348528,0.0375883,0.0421594,0.0498602,0.0632031,0.0865442", \ - "0.0398690,0.0414573,0.0441903,0.0489046,0.0569659,0.0706648,0.0935464", \ - "0.0517607,0.0534127,0.0562372,0.0610334,0.0691551,0.0829341,0.106352", \ - "0.0673671,0.0691596,0.0722046,0.0773295,0.0858384,0.0999720,0.123714"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00384911,0.00411114,0.00456088,0.00532518,0.00662164,0.00883073,0.0126371", \ - "0.00369639,0.00395012,0.00440920,0.00521594,0.00655375,0.00879573,0.0126237", \ - "0.00609817,0.00628641,0.00660699,0.00713498,0.00798794,0.00950119,0.0127309", \ - "0.0101314,0.0103975,0.0108436,0.0115692,0.0127119,0.0145178,0.0173166", \ - "0.0155332,0.0158811,0.0164609,0.0173925,0.0188712,0.0211277,0.0245754", \ - "0.0224753,0.0229326,0.0236569,0.0248166,0.0266427,0.0293991,0.0335231", \ - "0.0311099,0.0316789,0.0325707,0.0339812,0.0361787,0.0394673,0.0443234"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0151056,0.0164143,0.0186952,0.0226914,0.0296519,0.0417911,0.0629746", \ - "0.0151211,0.0164252,0.0187043,0.0226910,0.0296605,0.0417910,0.0629744", \ - "0.0151831,0.0164734,0.0187350,0.0227103,0.0296704,0.0418129,0.0629723", \ - "0.0170688,0.0181778,0.0201555,0.0236922,0.0301013,0.0418545,0.0629756", \ - "0.0196976,0.0208441,0.0228974,0.0265449,0.0329169,0.0436998,0.0635193", \ - "0.0238966,0.0248872,0.0266788,0.0299744,0.0360490,0.0470920,0.0662539", \ - "0.0300884,0.0309446,0.0325279,0.0354624,0.0409500,0.0512708,0.0703877"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00471445,0.00506821,0.00567678,0.00671576,0.00847012,0.0114092,0.0163131", \ - "0.00565917,0.00598390,0.00655265,0.00754314,0.00924813,0.0121434,0.0170159", \ - "0.00790485,0.00836213,0.00912525,0.0103683,0.0123228,0.0152944,0.0199348", \ - "0.00774176,0.00838707,0.00946394,0.0112369,0.0140385,0.0183203,0.0246619", \ - "0.00449789,0.00532162,0.00671555,0.00900397,0.0126554,0.0182665,0.0265885", \ - "-0.00204331,-0.00105406,0.000629307,0.00340496,0.00786175,0.0147753,0.0251227", \ - "-0.0119945,-0.0108445,-0.00890369,-0.00568872,-0.000499499,0.00763011,0.0199414"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0298684,0.0313624,0.0339438,0.0384206,0.0461778,0.0596378,0.0830443", \ - "0.0302993,0.0317969,0.0343959,0.0389065,0.0467232,0.0602795,0.0837976", \ - "0.0323067,0.0337829,0.0363437,0.0407984,0.0485574,0.0620711,0.0856074", \ - "0.0345337,0.0360859,0.0387436,0.0432465,0.0509563,0.0643591,0.0877684", \ - "0.0385367,0.0400792,0.0427439,0.0473550,0.0552954,0.0688983,0.0919299", \ - "0.0480372,0.0496267,0.0523194,0.0569112,0.0647101,0.0780602,0.101109", \ - "0.0615352,0.0632618,0.0661718,0.0710641,0.0791771,0.0926569,0.115477"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00394071,0.00424155,0.00475256,0.00561475,0.00705402,0.00944055,0.0134232", \ - "0.00381380,0.00408681,0.00457151,0.00545809,0.00693538,0.00935859,0.0133741", \ - "0.00649153,0.00668734,0.00701845,0.00755597,0.00841248,0.00998622,0.0133589", \ - "0.0108436,0.0111180,0.0115653,0.0122948,0.0134432,0.0152325,0.0179715", \ - "0.0166907,0.0170388,0.0176197,0.0185477,0.0199975,0.0221992,0.0255378", \ - "0.0243179,0.0247545,0.0254720,0.0266071,0.0283730,0.0310308,0.0349443", \ - "0.0339341,0.0344561,0.0353365,0.0367024,0.0388116,0.0419315,0.0464878"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0151074,0.0164124,0.0186966,0.0226872,0.0296664,0.0417984,0.0629915", \ - "0.0151199,0.0164255,0.0187061,0.0226952,0.0296530,0.0418176,0.0629827", \ - "0.0151472,0.0164499,0.0187170,0.0227000,0.0296623,0.0418071,0.0629704", \ - "0.0163261,0.0174950,0.0195647,0.0232568,0.0298570,0.0418177,0.0629782", \ - "0.0189035,0.0200537,0.0221034,0.0257587,0.0321960,0.0432948,0.0634292", \ - "0.0238376,0.0247719,0.0264802,0.0296263,0.0355122,0.0464173,0.0658278", \ - "0.0310626,0.0318601,0.0333156,0.0360337,0.0411777,0.0509974,0.0697443"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("-0.000371,-0.000388,-0.000416,-0.000466,-0.000553,-0.000704,-0.000969", \ - "-0.000894,-0.000911,-0.000939,-0.000989,-0.001075,-0.001227,-0.001491", \ - "0.222207,0.204292,0.181929,0.141358,0.088661,0.050123,0.017144", \ - "0.838983,0.838888,0.828953,0.792001,0.726535,0.604244,0.428475", \ - "1.719478,1.714954,1.733457,1.728304,1.703859,1.616490,1.395084", \ - "2.880613,2.899628,2.934098,2.966942,2.974583,2.972627,2.813639", \ - "4.352196,4.433295,4.454593,4.506348,4.592020,4.634059,4.617213"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("3.905957,3.940036,3.960436,3.990809,4.004627,4.035563,3.954085", \ - "3.848816,3.843309,3.860453,3.860552,3.887120,3.960175,3.994981", \ - "4.192166,4.174856,4.173157,4.138716,4.103430,4.075753,4.072075", \ - "5.024388,4.996714,4.941125,4.899513,4.795907,4.643750,4.614030", \ - "6.364797,6.342897,6.300838,6.214030,6.099001,5.917872,5.726368", \ - "8.233695,8.210232,8.140432,8.074964,7.947730,7.700705,7.335459", \ - "10.990100,10.945120,10.799380,10.650500,10.365240,10.119270,9.673168"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.351012,0.356638,0.362499,0.376747,0.386419,0.409478,0.429426", \ - "0.371688,0.370259,0.378671,0.394367,0.410020,0.436392,0.459783", \ - "0.630473,0.627434,0.606194,0.575656,0.560815,0.558183,0.558000", \ - "1.286506,1.278093,1.272971,1.232962,1.174360,1.062670,0.913346", \ - "2.235931,2.243054,2.251721,2.233950,2.202968,2.097303,1.880311", \ - "3.515178,3.517988,3.551450,3.572454,3.574926,3.526414,3.363485", \ - "5.123300,5.164335,5.184128,5.243851,5.307533,5.349291,5.267212"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("4.997848,5.029618,5.009936,5.028244,5.078147,5.034258,5.080292", \ - "4.911810,4.908067,4.927044,4.930110,4.935025,5.023114,4.854156", \ - "4.844020,4.872464,4.848350,4.876757,4.880108,4.822617,4.834146", \ - "5.247792,5.229953,5.226148,5.186617,5.176621,5.075709,5.098768", \ - "6.298497,6.257331,6.238014,6.145949,6.023181,5.915708,5.806323", \ - "7.829188,7.788076,7.737577,7.663145,7.576938,7.264372,7.114829", \ - "10.176710,10.105780,9.979363,9.817084,9.606880,9.345897,8.967906"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.628472,0.663546,0.694473,0.757302,0.826979,0.906814,0.982634", \ - "0.610800,0.634193,0.677753,0.726854,0.785866,0.870313,0.950766", \ - "0.821526,0.816041,0.806660,0.815257,0.856407,0.906600,0.961293", \ - "1.545437,1.546082,1.534315,1.500291,1.447494,1.362774,1.270427", \ - "2.641275,2.646685,2.648976,2.629187,2.591210,2.471012,2.254896", \ - "4.136130,4.124594,4.149410,4.180994,4.167316,4.086302,3.873515", \ - "6.001322,6.026484,6.065366,6.106202,6.143972,6.163720,6.017247"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("5.975638,5.990400,5.987699,6.008640,6.040150,6.065629,6.041954", \ - "5.886230,5.882541,5.903801,5.911884,5.892532,5.991177,5.999721", \ - "5.797888,5.790871,5.805345,5.821660,5.848797,5.850997,5.823507", \ - "5.907675,5.905202,5.903442,5.874639,5.890965,5.910577,5.807339", \ - "6.782590,6.760734,6.717211,6.645366,6.542948,6.474374,6.343425", \ - "8.386650,8.344829,8.309835,8.229257,8.103262,7.788021,7.633455", \ - "11.015200,10.949160,10.793120,10.638180,10.390780,10.090340,9.584026"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.634740,0.702877,0.759232,0.850919,0.969444,1.127701,1.289027", \ - "0.580841,0.614243,0.695918,0.778860,0.888486,1.043940,1.206398", \ - "0.819438,0.819585,0.833683,0.861071,0.943480,1.045412,1.181181", \ - "1.614370,1.602492,1.604428,1.575660,1.560640,1.512385,1.486789", \ - "2.890412,2.879671,2.882657,2.855036,2.806528,2.686008,2.499896", \ - "4.632125,4.611978,4.643400,4.661055,4.628549,4.517895,4.268002", \ - "6.815501,6.849705,6.894196,6.927211,6.949052,6.946061,6.734268"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("6.939394,6.958918,6.951620,6.952507,6.950047,6.896321,7.012816", \ - "6.842597,6.838767,6.857823,6.884452,6.904543,6.865344,6.937751", \ - "6.754245,6.783886,6.768551,6.775272,6.773600,6.785944,6.877128", \ - "6.792269,6.783293,6.794180,6.796745,6.799239,6.705606,6.667000", \ - "7.427724,7.454434,7.415831,7.340736,7.310617,7.234611,7.126094", \ - "9.182474,9.142534,9.068960,8.970528,8.890160,8.561240,8.372461", \ - "12.205270,12.102840,11.946810,11.746290,11.437820,11.066420,10.641430"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR4_X2 - Cell Description : Combinational cell (NOR4_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NOR4_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 186.182023; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 304.720000; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 92.772125; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 94.050750; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 160.925459; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 96.563125; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 160.997347; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 161.416830; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 240.856557; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 137.052000; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 161.193394; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 161.623320; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 240.997594; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 162.055800; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 241.167346; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 241.452865; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 321.067849; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.511596; - fall_capacitance : 2.590331; - rise_capacitance : 3.511596; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.530729; - fall_capacitance : 2.979344; - rise_capacitance : 3.530729; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.609620; - fall_capacitance : 3.288514; - rise_capacitance : 3.609620; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.685515; - fall_capacitance : 3.626204; - rise_capacitance : 3.685515; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 20.904500; - function : "!(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00373947,0.00392011,0.00427256,0.00495561,0.00628107,0.00885675,0.0138829", \ - "0.00462881,0.00480502,0.00514867,0.00582197,0.00713875,0.00971104,0.0147389", \ - "0.00526936,0.00558936,0.00619270,0.00729903,0.00924259,0.0125142,0.0177748", \ - "0.00301128,0.00348589,0.00437845,0.00601255,0.00888086,0.0136721,0.0213348", \ - "-0.00292279,-0.00229259,-0.00109748,0.00109047,0.00493780,0.0113801,0.0216291", \ - "-0.0128898,-0.0121066,-0.0106195,-0.00788896,-0.00306794,0.00504288,0.0179925", \ - "-0.0271379,-0.0262076,-0.0244479,-0.0212011,-0.0154472,-0.00571328,0.00992976"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0134692,0.0144239,0.0162896,0.0199507,0.0270754,0.0408801,0.0677098", \ - "0.0137049,0.0146214,0.0164448,0.0200583,0.0271648,0.0410273,0.0679687", \ - "0.0183453,0.0191415,0.0207621,0.0240572,0.0307543,0.0441164,0.0705744", \ - "0.0269648,0.0279155,0.0297387,0.0332112,0.0396381,0.0522833,0.0779086", \ - "0.0381399,0.0392108,0.0412529,0.0451659,0.0525270,0.0660360,0.0909047", \ - "0.0526049,0.0537992,0.0560454,0.0603257,0.0683637,0.0832198,0.109995", \ - "0.0706520,0.0719723,0.0744415,0.0791305,0.0878453,0.103817,0.132794"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00205348,0.00220206,0.00249320,0.00306626,0.00419191,0.00640245,0.0107426", \ - "0.00224776,0.00236021,0.00259355,0.00308894,0.00419231,0.00640242,0.0107424", \ - "0.00449547,0.00463800,0.00490603,0.00540950,0.00632171,0.00790415,0.0111518", \ - "0.00786805,0.00806375,0.00844095,0.00913716,0.0103726,0.0124839,0.0160007", \ - "0.0125062,0.0127807,0.0132802,0.0141987,0.0158041,0.0185031,0.0228673", \ - "0.0186076,0.0189465,0.0195768,0.0207199,0.0227279,0.0260532,0.0313676", \ - "0.0262931,0.0266953,0.0274442,0.0288181,0.0312335,0.0352223,0.0415242"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0136363,0.0145740,0.0163629,0.0197877,0.0262916,0.0387452,0.0629956", \ - "0.0134221,0.0143725,0.0162077,0.0196913,0.0262571,0.0387621,0.0630121", \ - "0.0132590,0.0141051,0.0157921,0.0192071,0.0260496,0.0387146,0.0629814", \ - "0.0154688,0.0163550,0.0178495,0.0206278,0.0263973,0.0383718,0.0629677", \ - "0.0180050,0.0188018,0.0203891,0.0235140,0.0296347,0.0405404,0.0630184", \ - "0.0223629,0.0230946,0.0245696,0.0275286,0.0334647,0.0451049,0.0664176", \ - "0.0284185,0.0291212,0.0305168,0.0333389,0.0390139,0.0504503,0.0727442"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00468856,0.00488595,0.00526800,0.00600155,0.00739996,0.0100646,0.0151813", \ - "0.00553319,0.00572552,0.00610044,0.00682586,0.00821822,0.0108798,0.0159939", \ - "0.00699593,0.00729031,0.00784779,0.00887773,0.0107043,0.0138078,0.0189623", \ - "0.00569490,0.00612634,0.00693871,0.00844124,0.0111130,0.0156518,0.0230134", \ - "0.000955357,0.00151567,0.00258820,0.00457209,0.00812173,0.0141680,0.0239786", \ - "-0.00756396,-0.00687447,-0.00555270,-0.00310313,0.00129244,0.00883927,0.0211792", \ - "-0.0200974,-0.0192856,-0.0177261,-0.0148373,-0.00963373,-0.000633236,0.0141777"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0220941,0.0230548,0.0249293,0.0285779,0.0356547,0.0493963,0.0761691", \ - "0.0222249,0.0231913,0.0250730,0.0287405,0.0358744,0.0497179,0.0766211", \ - "0.0243858,0.0253296,0.0271700,0.0307717,0.0378098,0.0515629,0.0784530", \ - "0.0299235,0.0309422,0.0329172,0.0367277,0.0437409,0.0571316,0.0835339", \ - "0.0397691,0.0408446,0.0429021,0.0468603,0.0543752,0.0684585,0.0943990", \ - "0.0534790,0.0546478,0.0568652,0.0610857,0.0690163,0.0837830,0.111066", \ - "0.0707621,0.0720571,0.0744861,0.0790802,0.0875967,0.103190,0.131739"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00302214,0.00317102,0.00346270,0.00403509,0.00515652,0.00736228,0.0117038", \ - "0.00301794,0.00315637,0.00343445,0.00401810,0.00515323,0.00736307,0.0117042", \ - "0.00529674,0.00542777,0.00567395,0.00613864,0.00698253,0.00848465,0.0119665", \ - "0.00891430,0.00909641,0.00944742,0.0100935,0.0112539,0.0132648,0.0166498", \ - "0.0137839,0.0140331,0.0145009,0.0153566,0.0168688,0.0194389,0.0236618", \ - "0.0200633,0.0203806,0.0209745,0.0220599,0.0239620,0.0271419,0.0322688", \ - "0.0278459,0.0282401,0.0289703,0.0303090,0.0326329,0.0364825,0.0425771"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0142899,0.0151273,0.0167829,0.0200188,0.0263680,0.0387512,0.0629930", \ - "0.0142849,0.0151349,0.0167834,0.0200233,0.0263653,0.0387694,0.0629969", \ - "0.0143623,0.0151894,0.0168272,0.0200427,0.0263651,0.0387491,0.0629844", \ - "0.0166972,0.0174012,0.0187741,0.0215367,0.0271799,0.0388584,0.0630006", \ - "0.0190369,0.0197960,0.0212927,0.0242711,0.0301587,0.0409656,0.0634188", \ - "0.0230337,0.0237029,0.0250538,0.0278061,0.0334178,0.0447026,0.0662592", \ - "0.0287588,0.0293709,0.0306111,0.0331341,0.0383530,0.0491692,0.0710927"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00476154,0.00498685,0.00542097,0.00624763,0.00779957,0.0106841,0.0160564", \ - "0.00565646,0.00586549,0.00627404,0.00706690,0.00858309,0.0114401,0.0167976", \ - "0.00759973,0.00789809,0.00846008,0.00949843,0.0113349,0.0144452,0.0196768", \ - "0.00696055,0.00738247,0.00818670,0.00966836,0.0123163,0.0168098,0.0241016", \ - "0.00308129,0.00362110,0.00466562,0.00659563,0.0100565,0.0159787,0.0256191", \ - "-0.00432696,-0.00366568,-0.00239305,-0.0000391107,0.00420022,0.0115223,0.0235388", \ - "-0.0154353,-0.0146480,-0.0131639,-0.0104280,-0.00547761,0.00315981,0.0175113"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0270137,0.0279765,0.0298525,0.0335015,0.0405789,0.0543208,0.0810937", \ - "0.0272294,0.0281923,0.0300775,0.0337479,0.0408812,0.0547253,0.0816333", \ - "0.0292668,0.0302166,0.0320714,0.0356941,0.0427577,0.0565397,0.0834566", \ - "0.0322405,0.0332745,0.0352767,0.0391084,0.0462162,0.0598285,0.0865141", \ - "0.0388351,0.0398739,0.0418679,0.0457329,0.0531401,0.0672266,0.0935102", \ - "0.0506802,0.0517647,0.0538420,0.0578048,0.0653026,0.0794621,0.106303", \ - "0.0661759,0.0673707,0.0696132,0.0738872,0.0818207,0.0964287,0.123655"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00369357,0.00386353,0.00419453,0.00482565,0.00602066,0.00828788,0.0126452", \ - "0.00354799,0.00370999,0.00403056,0.00468862,0.00593626,0.00824661,0.0126316", \ - "0.00598428,0.00611137,0.00634936,0.00679529,0.00760307,0.00909804,0.0127395", \ - "0.00997207,0.0101546,0.0104811,0.0110954,0.0121916,0.0140885,0.0173224", \ - "0.0153244,0.0155612,0.0159938,0.0167897,0.0181989,0.0205915,0.0245802", \ - "0.0222305,0.0225255,0.0230700,0.0240659,0.0258155,0.0287424,0.0335241", \ - "0.0308096,0.0311879,0.0318524,0.0330652,0.0351847,0.0386979,0.0443261"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0143053,0.0151423,0.0167928,0.0200257,0.0263592,0.0387525,0.0630113", \ - "0.0143163,0.0151596,0.0168034,0.0200301,0.0263627,0.0387576,0.0629826", \ - "0.0143996,0.0152196,0.0168509,0.0200554,0.0263728,0.0387488,0.0629735", \ - "0.0163825,0.0170982,0.0185118,0.0213257,0.0270451,0.0388457,0.0629915", \ - "0.0189976,0.0197233,0.0211837,0.0241033,0.0299341,0.0409732,0.0635384", \ - "0.0233104,0.0239272,0.0251765,0.0277582,0.0331371,0.0443008,0.0662614", \ - "0.0295828,0.0301152,0.0312088,0.0334892,0.0383065,0.0486180,0.0703947"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00449611,0.00472562,0.00517187,0.00602816,0.00765064,0.0106844,0.0163132", \ - "0.00546111,0.00566933,0.00607978,0.00688520,0.00844839,0.0114266,0.0170154", \ - "0.00761033,0.00791712,0.00849317,0.00955250,0.0114263,0.0145911,0.0199345", \ - "0.00732754,0.00775376,0.00856750,0.0100755,0.0127539,0.0172985,0.0246576", \ - "0.00396977,0.00451279,0.00555857,0.00749780,0.0109756,0.0169230,0.0265856", \ - "-0.00268303,-0.00202802,-0.000762238,0.00157619,0.00580242,0.0131126,0.0251075", \ - "-0.0127286,-0.0119640,-0.0105135,-0.00781615,-0.00291246,0.00565959,0.0199154"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0289286,0.0298940,0.0317706,0.0354186,0.0424963,0.0562394,0.0830134", \ - "0.0293623,0.0303295,0.0322122,0.0358831,0.0430158,0.0568580,0.0837683", \ - "0.0313866,0.0323374,0.0341904,0.0378135,0.0448770,0.0586623,0.0855817", \ - "0.0335534,0.0345615,0.0365176,0.0402384,0.0473046,0.0609776,0.0877419", \ - "0.0375558,0.0385546,0.0404922,0.0442607,0.0515300,0.0654824,0.0918994", \ - "0.0470302,0.0480670,0.0500417,0.0538338,0.0610185,0.0746913,0.101070", \ - "0.0604415,0.0615695,0.0637119,0.0677924,0.0753648,0.0892879,0.115433"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00375645,0.00395224,0.00433001,0.00504682,0.00638461,0.00885346,0.0134242", \ - "0.00365441,0.00382523,0.00416841,0.00486723,0.00624985,0.00876436,0.0133748", \ - "0.00637259,0.00650218,0.00674785,0.00720430,0.00802320,0.00956098,0.0133596", \ - "0.0106789,0.0108630,0.0111989,0.0118226,0.0129219,0.0148051,0.0179764", \ - "0.0164824,0.0167159,0.0171554,0.0179473,0.0193398,0.0216806,0.0255363", \ - "0.0240610,0.0243532,0.0248951,0.0258747,0.0275816,0.0304058,0.0349471", \ - "0.0336199,0.0339866,0.0346432,0.0358281,0.0378644,0.0412065,0.0464997"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0143066,0.0151454,0.0167960,0.0200255,0.0263661,0.0387531,0.0629783", \ - "0.0143172,0.0151564,0.0168050,0.0200303,0.0263600,0.0387473,0.0630092", \ - "0.0143599,0.0151875,0.0168253,0.0200453,0.0263736,0.0387602,0.0629704", \ - "0.0156132,0.0163657,0.0178447,0.0207933,0.0267203,0.0387913,0.0629848", \ - "0.0182158,0.0189403,0.0203956,0.0233157,0.0291614,0.0404739,0.0634342", \ - "0.0232935,0.0238733,0.0250595,0.0275099,0.0326867,0.0436373,0.0658566", \ - "0.0306236,0.0310951,0.0321043,0.0342111,0.0387000,0.0484513,0.0697454"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.018617,0.008786,-0.000787,-0.000868,-0.001027,-0.001336,-0.001942", \ - "0.067945,0.056683,0.045780,0.025629,-0.002071,-0.002381,-0.002987", \ - "0.680843,0.664063,0.623362,0.563509,0.438078,0.341777,0.259432", \ - "1.922518,1.905267,1.905599,1.855463,1.745500,1.493201,1.081760", \ - "3.654990,3.654583,3.645861,3.664731,3.669716,3.509338,3.018412", \ - "5.932107,6.004001,6.008105,6.110935,6.174077,6.182546,5.872179", \ - "8.901400,8.972654,9.078310,9.196902,9.359041,9.491231,9.451421"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("7.630495,7.684378,7.694051,7.711696,7.775422,7.727807,7.803913", \ - "7.499575,7.498462,7.551847,7.583211,7.594853,7.689462,7.705675", \ - "8.201860,8.184517,8.157201,8.116565,8.088905,7.936108,7.956874", \ - "9.878511,9.848004,9.793824,9.707621,9.515095,9.358699,9.066751", \ - "12.562460,12.530750,12.478950,12.366100,12.097620,11.772340,11.130290", \ - "16.344190,16.267920,16.201460,16.054850,15.847310,15.249100,14.447530", \ - "21.951930,21.850290,21.658290,21.323380,20.769530,20.187070,19.253270"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.932376,0.940884,0.938168,0.954880,0.995149,1.036861,1.091394", \ - "0.954009,0.967619,0.983339,0.995262,1.039744,1.089030,1.143220", \ - "1.518707,1.485270,1.463677,1.415181,1.357232,1.340346,1.344034", \ - "2.825166,2.818394,2.779521,2.732085,2.634002,2.403139,2.054593", \ - "4.693963,4.700291,4.710030,4.718745,4.653046,4.468142,3.981455", \ - "7.223683,7.246749,7.264746,7.313388,7.371798,7.323778,6.942287", \ - "10.385200,10.455660,10.498200,10.652540,10.807870,10.919670,10.757610"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("9.815949,9.798275,9.873413,9.902722,9.948286,9.895492,10.000420", \ - "9.624965,9.626542,9.632539,9.647499,9.742380,9.798346,9.856126", \ - "9.496732,9.492193,9.559034,9.557989,9.547481,9.504821,9.687813", \ - "10.315260,10.297100,10.266710,10.221380,10.129260,10.002040,9.999451", \ - "12.465970,12.391430,12.315030,12.222250,11.988220,11.781650,11.412330", \ - "15.517850,15.459530,15.356420,15.257690,15.011050,14.541600,14.027750", \ - "20.243820,20.154870,19.987230,19.632950,19.143770,18.701220,17.725270"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("1.438719,1.471855,1.563517,1.677349,1.819522,2.003508,2.192341", \ - "1.425853,1.426767,1.507558,1.595691,1.742290,1.944037,2.124517", \ - "1.853610,1.866175,1.859155,1.846815,1.906658,2.002753,2.148032", \ - "3.324545,3.307495,3.312356,3.248242,3.172588,2.996218,2.773918", \ - "5.523610,5.518520,5.548370,5.515935,5.446869,5.210303,4.735120", \ - "8.460654,8.487861,8.520177,8.541044,8.540404,8.452361,7.948307", \ - "12.199250,12.220460,12.257390,12.393220,12.469910,12.546510,12.262980"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("11.753560,11.754430,11.778430,11.840190,11.810650,11.842980,11.889860", \ - "11.574060,11.575870,11.581870,11.624960,11.706910,11.680450,11.633870", \ - "11.438740,11.400250,11.398080,11.474160,11.519100,11.436390,11.650520", \ - "11.625760,11.617360,11.630290,11.602160,11.603210,11.567270,11.454880", \ - "13.373240,13.364480,13.250540,13.179220,13.051360,12.810470,12.575830", \ - "16.624550,16.623500,16.484580,16.354850,16.146810,15.681300,15.068510", \ - "21.911360,21.829680,21.603370,21.270590,20.679210,20.150490,19.180480"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("1.495506,1.504812,1.643427,1.797065,2.062595,2.399767,2.819736", \ - "1.397998,1.407153,1.486375,1.652148,1.927325,2.258371,2.650486", \ - "1.843844,1.861573,1.856435,1.906657,2.063607,2.277271,2.599588", \ - "3.466933,3.462433,3.429364,3.431081,3.380135,3.280462,3.211030", \ - "5.969505,6.013158,6.017064,5.980260,5.889392,5.650398,5.231664", \ - "9.425310,9.457242,9.444541,9.516737,9.525432,9.314991,8.765254", \ - "13.756360,13.881570,13.936240,13.961760,14.112600,14.099390,13.668540"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("13.680580,13.681480,13.684930,13.767040,13.702290,13.671240,13.875590", \ - "13.486420,13.488100,13.493810,13.537680,13.621650,13.680470,13.621760", \ - "13.358920,13.339070,13.382850,13.386900,13.338720,13.495550,13.557240", \ - "13.393460,13.388230,13.381350,13.374460,13.359160,13.415550,13.402560", \ - "14.647160,14.656870,14.669400,14.593290,14.483280,14.272640,14.063580", \ - "18.215870,18.166550,18.080990,17.876480,17.590750,17.147630,16.547540", \ - "24.292360,24.211790,23.987150,23.590570,22.887100,22.162870,21.100340"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR4_X4 - Cell Description : Combinational cell (NOR4_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NOR4_X4) { - - drive_strength : 4; - - area : 4.788000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 372.358738; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 609.428750; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 185.543000; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 188.100250; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 321.845231; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 193.125125; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 321.989006; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 322.828225; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 481.705362; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 274.103250; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 322.382500; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 323.242225; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 481.987719; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 324.107212; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 482.327217; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 482.898775; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 642.125960; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.799732; - fall_capacitance : 4.987206; - rise_capacitance : 6.799732; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.403154; - fall_capacitance : 5.328679; - rise_capacitance : 6.403154; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.253521; - fall_capacitance : 5.583871; - rise_capacitance : 6.253521; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.173205; - fall_capacitance : 5.991870; - rise_capacitance : 6.173205; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 41.503900; - function : "!(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00376317,0.00400488,0.00433821,0.00499637,0.00629585,0.00886470,0.0139667", \ - "0.00464693,0.00488417,0.00521020,0.00585795,0.00714774,0.00971190,0.0148146", \ - "0.00528207,0.00570695,0.00627503,0.00733593,0.00924132,0.0125106,0.0178554", \ - "0.00301854,0.00364641,0.00448558,0.00605334,0.00886207,0.0136460,0.0214269", \ - "-0.00294756,-0.00210835,-0.000989068,0.00110977,0.00488137,0.0113136,0.0217241", \ - "-0.0129860,-0.0119403,-0.0105468,-0.00792203,-0.00319137,0.00491671,0.0180747", \ - "-0.0273354,-0.0260968,-0.0244409,-0.0213194,-0.0156641,-0.00592507,0.00998149"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0127071,0.0139632,0.0157219,0.0192499,0.0262705,0.0401511,0.0676059", \ - "0.0130399,0.0142352,0.0159399,0.0193976,0.0263883,0.0403089,0.0678763", \ - "0.0178821,0.0189164,0.0204122,0.0235426,0.0300916,0.0434898,0.0705434", \ - "0.0265997,0.0278517,0.0295610,0.0328870,0.0391809,0.0517818,0.0779743", \ - "0.0378773,0.0392898,0.0412123,0.0449707,0.0521844,0.0656811,0.0910455", \ - "0.0524315,0.0540066,0.0561204,0.0602406,0.0681291,0.0829894,0.110264", \ - "0.0705674,0.0722936,0.0746273,0.0791463,0.0877092,0.103701,0.133238"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00200941,0.00220544,0.00247912,0.00302818,0.00412676,0.00632616,0.0107268", \ - "0.00221208,0.00236100,0.00258029,0.00305393,0.00412753,0.00632573,0.0107254", \ - "0.00444625,0.00463440,0.00488505,0.00536847,0.00626209,0.00784395,0.0111336", \ - "0.00778585,0.00804669,0.00840052,0.00907009,0.0102839,0.0123978,0.0159771", \ - "0.0123764,0.0127252,0.0131985,0.0140862,0.0156709,0.0183783,0.0228255", \ - "0.0184166,0.0188579,0.0194480,0.0205623,0.0225393,0.0258875,0.0313037", \ - "0.0260388,0.0265621,0.0272724,0.0286093,0.0309993,0.0350063,0.0414472"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0132870,0.0145777,0.0163330,0.0197298,0.0262465,0.0388228,0.0636302", \ - "0.0130246,0.0143443,0.0161338,0.0195961,0.0261943,0.0388185,0.0636452", \ - "0.0129448,0.0140903,0.0157133,0.0190289,0.0259113,0.0387659,0.0636483", \ - "0.0151759,0.0163501,0.0177918,0.0204861,0.0262246,0.0383320,0.0636185", \ - "0.0177949,0.0188467,0.0203388,0.0233582,0.0294056,0.0404384,0.0635271", \ - "0.0221946,0.0231550,0.0245514,0.0274012,0.0332321,0.0449410,0.0668386", \ - "0.0282781,0.0291860,0.0305153,0.0332371,0.0388074,0.0502735,0.0731079"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00482045,0.00508793,0.00545428,0.00616989,0.00755607,0.0102353,0.0154541", \ - "0.00566427,0.00592376,0.00628239,0.00698849,0.00836625,0.0110409,0.0162567", \ - "0.00719329,0.00758318,0.00810826,0.00909566,0.0108848,0.0139803,0.0192214", \ - "0.00596389,0.00653064,0.00729189,0.00872995,0.0113398,0.0158592,0.0233254", \ - "0.00126899,0.00201622,0.00301903,0.00491342,0.00837697,0.0144036,0.0243426", \ - "-0.00723525,-0.00631306,-0.00507399,-0.00273606,0.00156058,0.00908386,0.0215827", \ - "-0.0197735,-0.0186803,-0.0172268,-0.0144706,-0.00938823,-0.000415510,0.0146037"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0223404,0.0236290,0.0254175,0.0289550,0.0359411,0.0497465,0.0771315", \ - "0.0224867,0.0237806,0.0255717,0.0291316,0.0361709,0.0500771,0.0775966", \ - "0.0246670,0.0259330,0.0276881,0.0311809,0.0381290,0.0519503,0.0794623", \ - "0.0300250,0.0313836,0.0332613,0.0369536,0.0438817,0.0573587,0.0844028", \ - "0.0398027,0.0412216,0.0431730,0.0469994,0.0544038,0.0685467,0.0951023", \ - "0.0535520,0.0550966,0.0571891,0.0612610,0.0690666,0.0838727,0.111741", \ - "0.0709005,0.0725980,0.0748913,0.0793199,0.0876959,0.103323,0.132463"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00306827,0.00326655,0.00354370,0.00409545,0.00519552,0.00739543,0.0118065", \ - "0.00304829,0.00323436,0.00350431,0.00406976,0.00518743,0.00739508,0.0118070", \ - "0.00531932,0.00549250,0.00572189,0.00616701,0.00699080,0.00849132,0.0120507", \ - "0.00893458,0.00917212,0.00950349,0.0101212,0.0112537,0.0132628,0.0166976", \ - "0.0137843,0.0141122,0.0145452,0.0153672,0.0168521,0.0194181,0.0237154", \ - "0.0200289,0.0204498,0.0210031,0.0220479,0.0239166,0.0271003,0.0323262", \ - "0.0277762,0.0282877,0.0289736,0.0302609,0.0325567,0.0364021,0.0426214"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0143206,0.0154464,0.0170151,0.0201505,0.0263975,0.0388491,0.0636558", \ - "0.0143266,0.0154474,0.0170148,0.0201498,0.0263975,0.0388444,0.0636534", \ - "0.0143685,0.0154856,0.0170462,0.0201654,0.0264066,0.0388439,0.0636308", \ - "0.0166705,0.0176134,0.0189295,0.0216145,0.0272051,0.0389337,0.0636434", \ - "0.0190921,0.0200844,0.0215066,0.0243891,0.0301885,0.0410505,0.0640850", \ - "0.0231594,0.0240397,0.0253150,0.0279550,0.0334644,0.0447828,0.0668635", \ - "0.0289735,0.0297650,0.0309258,0.0333442,0.0384421,0.0492610,0.0716786"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00488341,0.00518930,0.00560697,0.00641774,0.00796786,0.0108959,0.0164268", \ - "0.00579356,0.00607642,0.00646863,0.00724391,0.00875477,0.0116513,0.0171646", \ - "0.00782672,0.00822297,0.00875330,0.00975487,0.0115638,0.0146815,0.0200385", \ - "0.00729375,0.00785564,0.00861358,0.0100402,0.0126341,0.0171309,0.0245517", \ - "0.00350442,0.00423400,0.00521586,0.00706824,0.0104563,0.0163741,0.0261699", \ - "-0.00382068,-0.00292454,-0.00173699,0.000513340,0.00466120,0.0119714,0.0241873", \ - "-0.0148772,-0.0138094,-0.0124302,-0.00981293,-0.00496711,0.00366142,0.0182355"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0279918,0.0292861,0.0310703,0.0346051,0.0415855,0.0553804,0.0827548", \ - "0.0282143,0.0295095,0.0313048,0.0348633,0.0419008,0.0557998,0.0833094", \ - "0.0303226,0.0316007,0.0333687,0.0368821,0.0438518,0.0576962,0.0852238", \ - "0.0332392,0.0346224,0.0365306,0.0402246,0.0472429,0.0609498,0.0882791", \ - "0.0393106,0.0406928,0.0426032,0.0463664,0.0537070,0.0679098,0.0948727", \ - "0.0509426,0.0523822,0.0543469,0.0581847,0.0655814,0.0798090,0.107287", \ - "0.0664270,0.0679836,0.0701159,0.0742381,0.0820454,0.0966871,0.124486"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00374927,0.00398371,0.00430440,0.00492892,0.00612848,0.00843146,0.0129098", \ - "0.00359820,0.00381881,0.00413084,0.00478164,0.00603288,0.00838259,0.0128927", \ - "0.00604553,0.00621081,0.00644029,0.00686853,0.00766392,0.00918600,0.0129593", \ - "0.0100656,0.0103008,0.0106124,0.0111997,0.0122790,0.0141780,0.0174762", \ - "0.0154347,0.0157403,0.0161519,0.0169187,0.0183056,0.0207023,0.0247599", \ - "0.0223463,0.0227337,0.0232446,0.0242047,0.0259272,0.0288655,0.0337318", \ - "0.0309426,0.0314190,0.0320390,0.0332116,0.0353024,0.0388266,0.0445674"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0143551,0.0154748,0.0170366,0.0201644,0.0264064,0.0388446,0.0636411", \ - "0.0143713,0.0154866,0.0170502,0.0201672,0.0264069,0.0388491,0.0636562", \ - "0.0144488,0.0155536,0.0170960,0.0201975,0.0264133,0.0388526,0.0636419", \ - "0.0163300,0.0172898,0.0186342,0.0213715,0.0270318,0.0389402,0.0636446", \ - "0.0190503,0.0200216,0.0214136,0.0242393,0.0299765,0.0410240,0.0641670", \ - "0.0234874,0.0242915,0.0254751,0.0279603,0.0332485,0.0444339,0.0668598", \ - "0.0298793,0.0305606,0.0315871,0.0337687,0.0384742,0.0487798,0.0710338"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00462352,0.00493340,0.00536037,0.00619525,0.00780943,0.0108840,0.0166873", \ - "0.00559885,0.00587991,0.00627289,0.00705768,0.00861105,0.0116273,0.0173874", \ - "0.00782267,0.00822692,0.00877219,0.00979691,0.0116463,0.0148249,0.0203095", \ - "0.00764422,0.00821512,0.00898430,0.0104315,0.0130651,0.0176256,0.0251260", \ - "0.00437894,0.00511575,0.00609809,0.00796125,0.0113731,0.0173331,0.0271784", \ - "-0.00219149,-0.00130298,-0.000123747,0.00212166,0.00626621,0.0135880,0.0258036", \ - "-0.0121859,-0.0111480,-0.00978971,-0.00720731,-0.00239540,0.00618698,0.0207017"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0302038,0.0314941,0.0332771,0.0368078,0.0437828,0.0575738,0.0849446", \ - "0.0306470,0.0319435,0.0337362,0.0372932,0.0443267,0.0582189,0.0857194", \ - "0.0327513,0.0340230,0.0357921,0.0393044,0.0462757,0.0601182,0.0876431", \ - "0.0349986,0.0363458,0.0381982,0.0417813,0.0487553,0.0625039,0.0898990", \ - "0.0384565,0.0397940,0.0416563,0.0453328,0.0525503,0.0666279,0.0937273", \ - "0.0474643,0.0488301,0.0507116,0.0543862,0.0614861,0.0752596,0.102325", \ - "0.0607068,0.0621869,0.0642205,0.0681569,0.0756054,0.0895676,0.116286"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00379032,0.00405643,0.00442248,0.00513129,0.00648104,0.00901158,0.0137573", \ - "0.00369176,0.00392554,0.00425975,0.00495447,0.00634151,0.00891730,0.0137035", \ - "0.00642610,0.00660087,0.00683496,0.00727898,0.00809905,0.00968173,0.0136484", \ - "0.0107745,0.0110192,0.0113360,0.0119396,0.0130298,0.0149286,0.0181886", \ - "0.0166013,0.0169138,0.0173260,0.0181006,0.0194804,0.0218431,0.0257855", \ - "0.0241860,0.0245780,0.0250936,0.0260461,0.0277357,0.0305872,0.0352408", \ - "0.0337685,0.0342490,0.0348683,0.0360124,0.0380382,0.0414071,0.0468276"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0143550,0.0154725,0.0170375,0.0201628,0.0264018,0.0388463,0.0636422", \ - "0.0143766,0.0154919,0.0170488,0.0201688,0.0264078,0.0388439,0.0636281", \ - "0.0144082,0.0155181,0.0170745,0.0201818,0.0264119,0.0388461,0.0636481", \ - "0.0155564,0.0165636,0.0179703,0.0208433,0.0267058,0.0388940,0.0636621", \ - "0.0181584,0.0191380,0.0205305,0.0233667,0.0291400,0.0404962,0.0640382", \ - "0.0233309,0.0240973,0.0252278,0.0276028,0.0326996,0.0437164,0.0664448", \ - "0.0308352,0.0314702,0.0324181,0.0344384,0.0388333,0.0485948,0.0703619"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.160568,0.137292,0.097478,0.029205,-0.002044,-0.002660,-0.003888", \ - "0.236068,0.216437,0.191775,0.142169,0.066770,-0.004748,-0.005976", \ - "1.408407,1.360489,1.302283,1.173917,0.931532,0.718783,0.541612", \ - "3.812558,3.785303,3.766633,3.695930,3.475393,3.015306,2.198129", \ - "7.114622,7.204880,7.230181,7.264182,7.250152,6.950824,6.016190", \ - "11.652200,11.804130,11.820360,11.971880,12.126710,12.203720,11.623390", \ - "17.668340,17.614460,17.843870,18.023470,18.421240,18.700600,18.677940"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("15.104510,15.197920,15.247620,15.294050,15.504180,15.618550,15.404130", \ - "14.910400,14.955140,15.005410,15.051160,15.042350,15.353240,15.131670", \ - "16.338160,16.227630,16.191620,16.205910,16.148300,15.794460,15.586230", \ - "19.709610,19.544370,19.532230,19.324670,19.120060,18.639350,17.915280", \ - "25.079680,25.144620,24.928910,24.683540,24.213770,23.230470,22.123070", \ - "32.621550,32.457600,32.223230,31.999490,31.536570,30.614960,29.093260", \ - "43.773200,43.540810,43.063930,42.454750,41.493160,40.236780,38.283210"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("1.892718,1.904255,1.923550,1.930300,1.986771,2.058261,2.162926", \ - "1.931186,1.965677,1.978413,2.017073,2.071082,2.158237,2.267125", \ - "3.026290,2.989539,2.927653,2.826632,2.718616,2.673864,2.669746", \ - "5.563927,5.570484,5.515485,5.442807,5.225374,4.778901,4.082690", \ - "9.257266,9.306200,9.318274,9.312418,9.232552,8.862267,7.884445", \ - "14.198820,14.236750,14.340730,14.447130,14.550610,14.481030,13.734060", \ - "20.473030,20.659510,20.765040,20.968350,21.339750,21.561200,21.249470"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("20.205690,20.257450,20.297580,20.359890,20.443980,20.625530,20.219130", \ - "19.725070,19.778030,19.820710,20.040560,20.077310,20.250170,20.090790", \ - "19.614470,19.632920,19.657040,19.699300,19.783690,19.786140,19.981700", \ - "21.122940,20.979960,20.959240,20.922330,20.873440,20.637390,20.223180", \ - "25.339300,25.203080,24.984690,24.850150,24.366270,23.840410,22.908490", \ - "31.453840,31.192920,31.111360,30.876550,30.638640,29.696910,28.079940", \ - "40.854740,40.635620,40.234850,39.582170,38.707280,37.532710,35.514100"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("2.878736,2.959405,3.061963,3.259750,3.545768,3.968056,4.358524", \ - "2.789956,2.896633,2.959657,3.153769,3.438783,3.842341,4.237595", \ - "3.609387,3.641900,3.605330,3.595787,3.729027,3.966595,4.251316", \ - "6.502624,6.488567,6.443888,6.381266,6.209815,5.864971,5.449042", \ - "10.834530,10.889870,10.871880,10.831800,10.692900,10.272150,9.280816", \ - "16.562140,16.699590,16.742280,16.799060,16.890740,16.633560,15.653420", \ - "23.954270,24.156830,24.262010,24.413540,24.639350,24.734580,24.192450"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("24.921890,24.973130,25.012430,25.073860,25.146220,25.252860,24.858770", \ - "24.494740,24.484520,24.527030,24.619580,24.737780,24.891860,25.118160", \ - "24.226410,24.268920,24.298580,24.349580,24.277320,24.534940,24.505770", \ - "24.553690,24.452520,24.451150,24.473640,24.477950,24.564990,24.416410", \ - "27.710410,27.656410,27.468820,27.238730,27.050600,26.542280,25.908830", \ - "34.167920,33.927640,33.800470,33.448980,33.188740,32.204240,30.844380", \ - "44.664830,44.341750,43.957160,43.346150,42.308420,40.902340,38.981540"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("2.988767,3.115371,3.251830,3.582812,4.046940,4.760071,5.563860", \ - "2.698708,2.818781,3.020092,3.266364,3.787753,4.445600,5.249616", \ - "3.572400,3.584530,3.580147,3.695665,3.985935,4.491407,5.150858", \ - "6.616297,6.665033,6.662110,6.622202,6.539790,6.356228,6.275764", \ - "11.695350,11.723520,11.672830,11.706180,11.507120,11.068660,10.197430", \ - "18.506950,18.610140,18.584600,18.650580,18.708210,18.362100,17.173780", \ - "27.240840,27.392420,27.378690,27.653550,27.842740,27.859170,26.917970"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("29.277770,29.328700,29.415900,29.426430,29.547590,29.400400,29.475240", \ - "28.908460,28.961070,29.004270,29.076690,29.132280,29.175210,29.428370", \ - "28.563950,28.606610,28.636270,28.744080,28.821270,28.768510,28.662800", \ - "28.651010,28.687520,28.709790,28.746420,28.636740,28.824970,28.503210", \ - "30.875340,30.947350,30.787930,30.712270,30.459530,30.180050,29.806220", \ - "37.672310,37.435930,37.158940,36.822370,36.413060,35.665800,33.921190", \ - "49.673380,49.354590,48.806450,48.180980,46.936760,45.239630,42.754670"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI21_X1 - Cell Description : Combinational cell (OAI21_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI21_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 74.320606; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 19.118000; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 22.971421; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 22.971421; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 13.233010; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 116.293625; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 115.659000; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 135.929750; - } - leakage_power () { - when : "A & B1 & B2"; - value : 148.388625; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.701734; - fall_capacitance : 1.580454; - rise_capacitance : 1.701734; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.694021; - fall_capacitance : 1.504212; - rise_capacitance : 1.694021; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.616523; - fall_capacitance : 1.616523; - rise_capacitance : 1.575201; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 26.054400; - function : "!(A & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.009234,0.010019,0.011409,0.014115,0.019395,0.029782,0.050384", \ - "0.009833,0.010625,0.012029,0.014758,0.020068,0.030487,0.051113", \ - "0.011343,0.012188,0.013669,0.016478,0.021776,0.032212,0.052869", \ - "0.012677,0.013714,0.015494,0.018780,0.024728,0.035631,0.056253", \ - "0.013041,0.014328,0.016544,0.020579,0.027676,0.039922,0.061743", \ - "0.012067,0.013611,0.016280,0.021134,0.029622,0.043943,0.068006", \ - "0.009536,0.011339,0.014464,0.020148,0.030082,0.046763,0.074058"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.006986,0.007641,0.008811,0.011109,0.015634,0.024585,0.042394", \ - "0.007894,0.008544,0.009709,0.012004,0.016526,0.025480,0.043291", \ - "0.011764,0.012511,0.013793,0.016123,0.020446,0.029209,0.046867", \ - "0.016638,0.017598,0.019259,0.022351,0.027908,0.037374,0.054493", \ - "0.022522,0.023694,0.025719,0.029443,0.036129,0.047826,0.067388", \ - "0.029436,0.030837,0.033249,0.037665,0.045493,0.059022,0.082098", \ - "0.037478,0.039096,0.041888,0.047012,0.056066,0.071503,0.097525"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.004916,0.005536,0.006662,0.008906,0.013385,0.022331,0.040201", \ - "0.004904,0.005531,0.006659,0.008907,0.013386,0.022332,0.040201", \ - "0.005616,0.006171,0.007151,0.009159,0.013415,0.022330,0.040203", \ - "0.008247,0.008749,0.009637,0.011440,0.015195,0.023071,0.040219", \ - "0.012492,0.013056,0.014017,0.015841,0.019315,0.026478,0.041921", \ - "0.017822,0.018494,0.019628,0.021737,0.025534,0.032470,0.046757", \ - "0.024170,0.024965,0.026296,0.028780,0.033155,0.040650,0.054431"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.005709,0.006343,0.007485,0.009746,0.014140,0.022632,0.039264", \ - "0.005700,0.006336,0.007484,0.009746,0.014143,0.022628,0.039268", \ - "0.007661,0.008035,0.008786,0.010493,0.014288,0.022632,0.039267", \ - "0.011910,0.012457,0.013412,0.015208,0.018376,0.024454,0.039299", \ - "0.017262,0.017856,0.018905,0.020944,0.024767,0.031510,0.043353", \ - "0.023863,0.024510,0.025654,0.027892,0.032116,0.039866,0.053269", \ - "0.031709,0.032432,0.033716,0.036211,0.040870,0.049351,0.064551"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.007245,0.007977,0.009288,0.011882,0.017027,0.027276,0.047739", \ - "0.007788,0.008534,0.009870,0.012501,0.017690,0.027977,0.048468", \ - "0.008830,0.009720,0.011248,0.014101,0.019393,0.029704,0.050229", \ - "0.009234,0.010407,0.012374,0.015898,0.022063,0.033069,0.053638", \ - "0.008569,0.010051,0.012531,0.016943,0.024478,0.037096,0.059076", \ - "0.006586,0.008373,0.011363,0.016686,0.025755,0.040662,0.065147", \ - "0.003036,0.005134,0.008633,0.014876,0.025512,0.042927,0.070871"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.006724,0.007380,0.008549,0.010841,0.015345,0.024255,0.041977", \ - "0.007634,0.008285,0.009448,0.011736,0.016238,0.025149,0.042876", \ - "0.011421,0.012183,0.013488,0.015856,0.020161,0.028879,0.046453", \ - "0.016115,0.017097,0.018787,0.021916,0.027516,0.037032,0.054082", \ - "0.021736,0.022949,0.025027,0.028814,0.035562,0.047309,0.066927", \ - "0.028262,0.029721,0.032223,0.036753,0.044691,0.058310,0.081443", \ - "0.035742,0.037455,0.040386,0.045691,0.054933,0.070536,0.096659"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.003402,0.004015,0.005131,0.007360,0.011814,0.020718,0.038514", \ - "0.003410,0.004019,0.005130,0.007359,0.011816,0.020718,0.038515", \ - "0.004597,0.005095,0.006023,0.007902,0.011957,0.020718,0.038511", \ - "0.007631,0.008085,0.008910,0.010564,0.014079,0.021705,0.038575", \ - "0.011991,0.012514,0.013441,0.015195,0.018541,0.025391,0.040512", \ - "0.017334,0.017946,0.019053,0.021121,0.024850,0.031621,0.045567", \ - "0.023743,0.024432,0.025705,0.028133,0.032478,0.039908,0.053458"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.004507,0.005072,0.006100,0.008162,0.012295,0.020569,0.037133", \ - "0.004492,0.005066,0.006098,0.008161,0.012293,0.020574,0.037136", \ - "0.006263,0.006716,0.007442,0.008943,0.012454,0.020574,0.037136", \ - "0.009394,0.010017,0.011080,0.013032,0.016410,0.022432,0.037163", \ - "0.013448,0.014211,0.015492,0.017843,0.022038,0.029211,0.041253", \ - "0.018567,0.019500,0.021049,0.023819,0.028641,0.036983,0.050972", \ - "0.024856,0.025964,0.027805,0.031065,0.036588,0.045892,0.061800"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.006154,0.006714,0.007716,0.009695,0.013615,0.021419,0.036997", \ - "0.006853,0.007426,0.008448,0.010456,0.014412,0.022246,0.037845", \ - "0.008296,0.009112,0.010493,0.012968,0.017235,0.025099,0.040726", \ - "0.008664,0.009780,0.011654,0.015002,0.020759,0.030358,0.046431", \ - "0.007801,0.009224,0.011607,0.015856,0.023098,0.035047,0.054497", \ - "0.005504,0.007222,0.010102,0.015246,0.024026,0.038412,0.061532", \ - "0.001528,0.003537,0.006913,0.012953,0.023276,0.040184,0.067112"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.007412,0.008053,0.009204,0.011475,0.015959,0.024855,0.042563", \ - "0.008327,0.008964,0.010110,0.012376,0.016862,0.025762,0.043481", \ - "0.012277,0.013002,0.014250,0.016514,0.020810,0.029518,0.047079", \ - "0.017307,0.018249,0.019881,0.022929,0.028414,0.037772,0.054794", \ - "0.023353,0.024511,0.026505,0.030189,0.036818,0.048428,0.067841", \ - "0.030397,0.031792,0.034190,0.038578,0.046366,0.059841,0.082809", \ - "0.038483,0.040121,0.042928,0.048054,0.057112,0.072539,0.098517"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.002888,0.003354,0.004198,0.005882,0.009239,0.015940,0.029330", \ - "0.002910,0.003367,0.004203,0.005881,0.009239,0.015942,0.029329", \ - "0.004748,0.005107,0.005755,0.007004,0.009633,0.015943,0.029328", \ - "0.008176,0.008544,0.009225,0.010572,0.013215,0.018297,0.029643", \ - "0.012811,0.013239,0.014023,0.015544,0.018408,0.023835,0.034160", \ - "0.018431,0.018936,0.019872,0.021692,0.025022,0.030954,0.041836", \ - "0.025167,0.025719,0.026798,0.028926,0.032849,0.039610,0.051268"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.004793,0.005368,0.006410,0.008491,0.012641,0.020939,0.037499", \ - "0.004793,0.005368,0.006410,0.008489,0.012644,0.020936,0.037512", \ - "0.006396,0.006840,0.007559,0.009141,0.012751,0.020940,0.037513", \ - "0.009493,0.010117,0.011181,0.013137,0.016507,0.022622,0.037526", \ - "0.013438,0.014186,0.015470,0.017820,0.022034,0.029223,0.041371", \ - "0.018477,0.019381,0.020899,0.023630,0.028428,0.036808,0.050846", \ - "0.024712,0.025781,0.027560,0.030740,0.036177,0.045447,0.061431"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.00563737,0.00637203,0.00768710,0.0102862,0.0154367,0.0256881,0.0461505", \ - "0.00632144,0.00705277,0.00837196,0.0109827,0.0161551,0.0264284,0.0469083", \ - "0.00794494,0.00894993,0.0106431,0.0136730,0.0188695,0.0290358,0.0494515", \ - "0.00818137,0.00955259,0.0118566,0.0159475,0.0229620,0.0346127,0.0547557", \ - "0.00664106,0.00839238,0.0113358,0.0165488,0.0253872,0.0399392,0.0635666", \ - "0.00310241,0.00522394,0.00878792,0.0151154,0.0258521,0.0433789,0.0715471", \ - "-0.00259008,-0.000119033,0.00404431,0.0114449,0.0240530,0.0446597,0.0774296"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.00882460,0.0100695,0.0122887,0.0166440,0.0252334,0.0422722,0.0762398", \ - "0.00940641,0.0106277,0.0128319,0.0171990,0.0258339,0.0429384,0.0769605", \ - "0.0129717,0.0142923,0.0164878,0.0205812,0.0289340,0.0458217,0.0797226", \ - "0.0180799,0.0196904,0.0224464,0.0275371,0.0365606,0.0528156,0.0860575", \ - "0.0247541,0.0266938,0.0299633,0.0359054,0.0465143,0.0649596,0.0974352", \ - "0.0327892,0.0351108,0.0389961,0.0459563,0.0580755,0.0790718,0.114836", \ - "0.0422355,0.0449171,0.0494456,0.0575408,0.0714255,0.0948691,0.134887"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.00339427,0.00401289,0.00512968,0.00736030,0.0118133,0.0207149,0.0385141", \ - "0.00337501,0.00400049,0.00512540,0.00736034,0.0118165,0.0207151,0.0385117", \ - "0.00540980,0.00591648,0.00681661,0.00845804,0.0120584,0.0207171,0.0385126", \ - "0.00918095,0.00978699,0.0108153,0.0127130,0.0162468,0.0227994,0.0385414", \ - "0.0143873,0.0151685,0.0164587,0.0187430,0.0226953,0.0298187,0.0428758", \ - "0.0209831,0.0219590,0.0235675,0.0263842,0.0310802,0.0389252,0.0529079", \ - "0.0290806,0.0302405,0.0321669,0.0355556,0.0411786,0.0501767,0.0651871"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.00626441,0.00735051,0.00931740,0.0132342,0.0210718,0.0367521,0.0680608", \ - "0.00625642,0.00734483,0.00931081,0.0132387,0.0210828,0.0367486,0.0680755", \ - "0.00772087,0.00848626,0.00998526,0.0133608,0.0210742,0.0367395,0.0680696", \ - "0.0106300,0.0116281,0.0134137,0.0168072,0.0228566,0.0368103,0.0680621", \ - "0.0149784,0.0160073,0.0178335,0.0214230,0.0283236,0.0406852,0.0683112", \ - "0.0208330,0.0219504,0.0239062,0.0276463,0.0348067,0.0484240,0.0732145", \ - "0.0281840,0.0293982,0.0315338,0.0355755,0.0430552,0.0571995,0.0834434"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.00740869,0.00818086,0.00955415,0.0122383,0.0174955,0.0278642,0.0484518", \ - "0.00798308,0.00875605,0.0101313,0.0128183,0.0180786,0.0284494,0.0490402", \ - "0.0103260,0.0112416,0.0127970,0.0156142,0.0207648,0.0310325,0.0515453", \ - "0.0119069,0.0131157,0.0151804,0.0189379,0.0255520,0.0367390,0.0568785", \ - "0.0122822,0.0137815,0.0163419,0.0210006,0.0291418,0.0429846,0.0659408", \ - "0.0113381,0.0131147,0.0161712,0.0217107,0.0313953,0.0477391,0.0748408", \ - "0.00899765,0.0110454,0.0145690,0.0209754,0.0321807,0.0510596,0.0820839"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.0111757,0.0123998,0.0145885,0.0189096,0.0274649,0.0444796,0.0784301", \ - "0.0119232,0.0131626,0.0153845,0.0197670,0.0284075,0.0455045,0.0795235", \ - "0.0144497,0.0157634,0.0179844,0.0222896,0.0308829,0.0480059,0.0820913", \ - "0.0182588,0.0197687,0.0223790,0.0272861,0.0363743,0.0532499,0.0870677", \ - "0.0237771,0.0255650,0.0285893,0.0341098,0.0441038,0.0623215,0.0958879", \ - "0.0303600,0.0325122,0.0361205,0.0425749,0.0538182,0.0735571,0.109160", \ - "0.0377797,0.0403268,0.0445850,0.0521476,0.0650690,0.0868621,0.124880"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.00492738,0.00554847,0.00666666,0.00890831,0.0133853,0.0223266,0.0402040", \ - "0.00492777,0.00554901,0.00667153,0.00890943,0.0133848,0.0223268,0.0402041", \ - "0.00655938,0.00705792,0.00791502,0.00960218,0.0134968,0.0223317,0.0401997", \ - "0.0102977,0.0108925,0.0119022,0.0138103,0.0173372,0.0240271,0.0402080", \ - "0.0154554,0.0162000,0.0174334,0.0196631,0.0236463,0.0308632,0.0440942", \ - "0.0219577,0.0229118,0.0244429,0.0271508,0.0317528,0.0396994,0.0539028", \ - "0.0298464,0.0310171,0.0328948,0.0361857,0.0416531,0.0505660,0.0658450"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.00626627,0.00735040,0.00931048,0.0132442,0.0210746,0.0367418,0.0680592", \ - "0.00626986,0.00735400,0.00931084,0.0132347,0.0210722,0.0367323,0.0680751", \ - "0.00712764,0.00800291,0.00968201,0.0132933,0.0210800,0.0367469,0.0680567", \ - "0.00967466,0.0105841,0.0122650,0.0156468,0.0222572,0.0368258,0.0680603", \ - "0.0135677,0.0144490,0.0160467,0.0193072,0.0260357,0.0393934,0.0683179", \ - "0.0186471,0.0195970,0.0212921,0.0245968,0.0311419,0.0446044,0.0715725", \ - "0.0248171,0.0258517,0.0276918,0.0312715,0.0380336,0.0512479,0.0782763"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.908977,0.934113,0.958812,0.987456,1.023061,1.051591,1.078044", \ - "0.825711,0.837767,0.872681,0.924377,0.975148,1.020339,1.059125", \ - "0.842311,0.852679,0.865076,0.894777,0.928032,0.977888,1.032157", \ - "1.670253,1.625859,1.542421,1.408993,1.273141,1.208675,1.166763", \ - "3.442246,3.359205,3.243674,2.998185,2.571804,2.068512,1.741606", \ - "5.815276,5.817837,5.690687,5.487061,4.977876,4.071396,3.063731", \ - "8.963374,8.930016,8.858237,8.680862,8.292752,7.279689,5.565523"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("5.771789,5.789743,5.750518,5.817064,5.856726,5.782210,5.795117", \ - "5.698824,5.732365,5.750597,5.739544,5.808263,5.725616,5.885361", \ - "6.154830,6.151697,6.129364,6.053695,6.047012,5.894919,5.972992", \ - "7.396555,7.396824,7.375936,7.236688,7.017120,6.727067,6.309763", \ - "9.674964,9.705177,9.602885,9.412737,9.044471,8.604923,7.744631", \ - "13.220000,13.153050,13.033520,12.763150,12.322930,11.592050,10.254570", \ - "17.791950,17.721870,17.620670,17.394490,16.920460,15.829390,14.148860"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.230003,0.244860,0.263808,0.281801,0.295353,0.302369,0.305784", \ - "0.119677,0.140175,0.168669,0.204723,0.243236,0.271835,0.287893", \ - "0.179890,0.163345,0.158073,0.167938,0.196574,0.231740,0.260650", \ - "1.227175,1.142790,1.012095,0.811035,0.588860,0.474708,0.403027", \ - "3.038963,2.969135,2.830851,2.529203,2.024893,1.410189,0.999778", \ - "5.468895,5.398834,5.310551,5.071195,4.537278,3.522865,2.375633", \ - "8.609597,8.628186,8.503129,8.330216,7.876069,6.813797,4.966763"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("4.875634,4.870676,4.913214,4.929597,4.930739,4.919497,5.013961", \ - "4.812238,4.803383,4.864199,4.886383,4.873970,4.923479,4.914241", \ - "5.238590,5.245416,5.239251,5.202996,5.139286,5.023073,5.121172", \ - "6.401463,6.430766,6.346786,6.301697,6.156844,5.811657,5.639817", \ - "8.711397,8.649220,8.603661,8.439816,8.120845,7.669622,7.062465", \ - "12.063530,12.093830,12.016610,11.850040,11.364450,10.710680,9.486965", \ - "16.512350,16.457070,16.510910,16.371970,15.973760,15.046200,13.231840"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.240315,0.251216,0.270096,0.278801,0.293608,0.306356,0.311045", \ - "0.120428,0.133743,0.170854,0.205363,0.243843,0.269809,0.293014", \ - "0.204005,0.183980,0.180558,0.185794,0.204545,0.239143,0.265917", \ - "1.288209,1.211145,1.082528,0.884260,0.645664,0.505924,0.426034", \ - "3.151621,3.050032,2.904164,2.640681,2.150000,1.524193,1.064039", \ - "5.654098,5.526354,5.440371,5.199350,4.667142,3.709068,2.533157", \ - "8.788006,8.720101,8.649759,8.386125,8.015150,7.020349,5.235065"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("5.304633,5.340578,5.361573,5.393678,5.378674,5.354214,5.433336", \ - "5.261793,5.267908,5.312851,5.327651,5.338705,5.355187,5.476254", \ - "5.720451,5.732123,5.670278,5.661458,5.610396,5.508298,5.564451", \ - "6.888408,6.922516,6.921571,6.865282,6.701080,6.380345,6.195177", \ - "9.293351,9.250712,9.171322,9.054818,8.699655,8.378642,7.516785", \ - "12.744140,12.685940,12.610040,12.479270,12.025210,11.446220,10.412790", \ - "17.298670,17.245040,17.259480,17.104220,16.728170,15.717030,14.375790"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.327242,0.338493,0.349697,0.372487,0.388004,0.398930,0.402158", \ - "0.312464,0.323418,0.345278,0.370737,0.404741,0.425630,0.438449", \ - "0.566826,0.524905,0.502352,0.478162,0.473626,0.474090,0.476283", \ - "1.580461,1.525305,1.395353,1.193838,0.956316,0.803026,0.683828", \ - "3.114735,3.068200,2.983387,2.752903,2.300002,1.720598,1.315892", \ - "5.125570,5.118973,5.074691,4.920381,4.503759,3.617777,2.607128", \ - "7.676156,7.668331,7.700455,7.595831,7.349001,6.482205,4.894783"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("3.276054,3.308142,3.328516,3.386310,3.328498,3.345818,3.348838", \ - "3.232646,3.259106,3.279016,3.328099,3.337919,3.416115,3.180148", \ - "3.567042,3.569899,3.521694,3.463825,3.488011,3.436106,3.422745", \ - "4.495224,4.508659,4.479440,4.345235,4.170179,3.969010,3.741699", \ - "6.205648,6.150361,6.000860,5.875695,5.646705,5.173599,4.640779", \ - "8.848016,8.765959,8.612897,8.294290,7.851427,7.171040,6.342877", \ - "12.368560,12.268970,12.137670,11.821570,11.136330,10.148730,9.055487"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.877640,0.888611,0.906556,0.923639,0.945200,0.967964,0.986969", \ - "0.815489,0.813722,0.837057,0.857258,0.880026,0.906374,0.933757", \ - "0.965053,0.956357,0.957027,0.950981,0.943457,0.944675,0.946565", \ - "1.939378,1.880416,1.776225,1.617678,1.440261,1.293640,1.173174", \ - "3.621187,3.558975,3.440457,3.206040,2.797779,2.270904,1.853346", \ - "5.891695,5.836637,5.790131,5.579412,5.097730,4.250319,3.261135", \ - "8.733148,8.759298,8.752818,8.632806,8.238686,7.267257,5.717231"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("4.385104,4.373264,4.401752,4.415684,4.427117,4.416240,4.457507", \ - "4.260795,4.254177,4.289221,4.375811,4.351558,4.422601,4.434874", \ - "4.268016,4.244954,4.267547,4.295654,4.297860,4.379795,4.431540", \ - "4.763472,4.797502,4.832684,4.757860,4.678813,4.597459,4.402172", \ - "6.157468,6.113203,6.018552,5.873109,5.750911,5.435233,5.167926", \ - "8.475728,8.407319,8.261509,7.979540,7.526596,7.081306,6.342820", \ - "11.556980,11.485740,11.329250,11.003510,10.480850,9.597443,8.341827"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI21_X2 - Cell Description : Combinational cell (OAI21_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI21_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 148.641337; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 38.236000; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 45.942842; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 45.942838; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 26.466014; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 232.586500; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 231.318625; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 271.860500; - } - leakage_power () { - when : "A & B1 & B2"; - value : 296.777375; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.229851; - fall_capacitance : 2.992511; - rise_capacitance : 3.229851; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.147302; - fall_capacitance : 2.778884; - rise_capacitance : 3.147302; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.428024; - fall_capacitance : 3.428024; - rise_capacitance : 3.351754; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 52.108800; - function : "!(A & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.008860,0.009971,0.011364,0.014072,0.019355,0.029747,0.050354", \ - "0.009447,0.010567,0.011971,0.014702,0.020016,0.030439,0.051070", \ - "0.010928,0.012126,0.013605,0.016418,0.021718,0.032157,0.052816", \ - "0.012201,0.013673,0.015454,0.018736,0.024679,0.035586,0.056211", \ - "0.012468,0.014310,0.016520,0.020552,0.027643,0.039882,0.061708", \ - "0.011389,0.013604,0.016264,0.021113,0.029596,0.043909,0.067973", \ - "0.008747,0.011334,0.014446,0.020124,0.030055,0.046731,0.074021"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.006693,0.007617,0.008788,0.011088,0.015618,0.024588,0.042436", \ - "0.007605,0.008523,0.009688,0.011983,0.016511,0.025482,0.043331", \ - "0.011403,0.012471,0.013759,0.016104,0.020432,0.029213,0.046909", \ - "0.016159,0.017528,0.019193,0.022296,0.027873,0.037373,0.054536", \ - "0.021930,0.023610,0.025633,0.029369,0.036073,0.047802,0.067418", \ - "0.028731,0.030735,0.033144,0.037576,0.045426,0.058986,0.082113", \ - "0.036675,0.038980,0.041770,0.046912,0.055991,0.071461,0.097534"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.004679,0.005551,0.006676,0.008920,0.013400,0.022348,0.040231", \ - "0.004664,0.005545,0.006673,0.008919,0.013399,0.022350,0.040230", \ - "0.005407,0.006190,0.007170,0.009177,0.013433,0.022348,0.040233", \ - "0.008067,0.008767,0.009654,0.011455,0.015210,0.023095,0.040250", \ - "0.012274,0.013057,0.014024,0.015850,0.019329,0.026498,0.041957", \ - "0.017535,0.018472,0.019621,0.021736,0.025530,0.032486,0.046793", \ - "0.023812,0.024912,0.026268,0.028766,0.033148,0.040660,0.054456"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.005380,0.006277,0.007430,0.009707,0.014126,0.022655,0.039356", \ - "0.005367,0.006272,0.007429,0.009707,0.014128,0.022654,0.039355", \ - "0.007451,0.008014,0.008764,0.010477,0.014281,0.022655,0.039354", \ - "0.011652,0.012423,0.013383,0.015191,0.018383,0.024488,0.039387", \ - "0.017006,0.017830,0.018885,0.020929,0.024761,0.031537,0.043445", \ - "0.023605,0.024506,0.025656,0.027891,0.032110,0.039879,0.053341", \ - "0.031450,0.032451,0.033738,0.036224,0.040883,0.049366,0.064604"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.006930,0.007967,0.009284,0.011888,0.017055,0.027344,0.047890", \ - "0.007454,0.008510,0.009851,0.012492,0.017702,0.028030,0.048603", \ - "0.008412,0.009679,0.011208,0.014068,0.019382,0.029731,0.050337", \ - "0.008718,0.010388,0.012354,0.015876,0.022044,0.033078,0.053724", \ - "0.007949,0.010055,0.012530,0.016939,0.024468,0.037094,0.059129", \ - "0.005843,0.008385,0.011370,0.016691,0.025757,0.040664,0.065175", \ - "0.002174,0.005145,0.008641,0.014882,0.025519,0.042936,0.070893"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.006435,0.007360,0.008529,0.010821,0.015329,0.024252,0.042009", \ - "0.007350,0.008267,0.009429,0.011716,0.016223,0.025148,0.042909", \ - "0.011056,0.012144,0.013454,0.015834,0.020147,0.028880,0.046484", \ - "0.015627,0.017026,0.018719,0.021856,0.027474,0.037023,0.054109", \ - "0.021125,0.022858,0.024932,0.028730,0.035494,0.047272,0.066942", \ - "0.027516,0.029613,0.032109,0.036648,0.044610,0.058251,0.081433", \ - "0.034871,0.037327,0.040253,0.045569,0.054837,0.070464,0.096632"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.003172,0.004037,0.005160,0.007400,0.011874,0.020819,0.038700", \ - "0.003182,0.004043,0.005160,0.007398,0.011874,0.020818,0.038702", \ - "0.004417,0.005116,0.006047,0.007943,0.012020,0.020818,0.038702", \ - "0.007456,0.008098,0.008930,0.010586,0.014122,0.021800,0.038760", \ - "0.011752,0.012508,0.013436,0.015207,0.018553,0.025458,0.040680", \ - "0.017022,0.017907,0.019029,0.021118,0.024852,0.031665,0.045697", \ - "0.023363,0.024364,0.025659,0.028116,0.032465,0.039931,0.053549"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.004231,0.005029,0.006060,0.008129,0.012277,0.020589,0.037208", \ - "0.004213,0.005023,0.006058,0.008129,0.012278,0.020591,0.037211", \ - "0.006052,0.006700,0.007434,0.008934,0.012446,0.020592,0.037205", \ - "0.009116,0.009993,0.011062,0.013016,0.016413,0.022460,0.037244", \ - "0.013116,0.014192,0.015478,0.017833,0.022033,0.029234,0.041337", \ - "0.018186,0.019495,0.021052,0.023820,0.028639,0.036996,0.051040", \ - "0.024418,0.025986,0.027831,0.031089,0.036621,0.045923,0.061860"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.005902,0.006693,0.007698,0.009681,0.013610,0.021430,0.037041", \ - "0.006593,0.007403,0.008427,0.010439,0.014402,0.022252,0.037884", \ - "0.007926,0.009090,0.010471,0.012948,0.017223,0.025103,0.040762", \ - "0.008186,0.009776,0.011650,0.014997,0.020752,0.030356,0.046457", \ - "0.007220,0.009237,0.011617,0.015863,0.023103,0.035051,0.054512", \ - "0.004805,0.007242,0.010117,0.015260,0.024037,0.038422,0.061549", \ - "0.000708,0.003558,0.006927,0.012965,0.023289,0.040197,0.067129"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.007113,0.008019,0.009172,0.011446,0.015938,0.024850,0.042597", \ - "0.008032,0.008931,0.010077,0.012347,0.016840,0.025757,0.043508", \ - "0.011917,0.012953,0.014208,0.016488,0.020789,0.029512,0.047107", \ - "0.016824,0.018169,0.019805,0.022865,0.028370,0.037760,0.054820", \ - "0.022757,0.024410,0.026405,0.030101,0.036748,0.048392,0.067855", \ - "0.029675,0.031668,0.034064,0.038468,0.046279,0.059783,0.082800", \ - "0.037633,0.039970,0.042767,0.047925,0.057011,0.072467,0.098496"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.002702,0.003357,0.004204,0.005891,0.009263,0.015981,0.029412", \ - "0.002728,0.003370,0.004208,0.005893,0.009261,0.015982,0.029415", \ - "0.004606,0.005111,0.005760,0.007014,0.009659,0.015984,0.029415", \ - "0.008008,0.008534,0.009219,0.010569,0.013219,0.018327,0.029727", \ - "0.012578,0.013196,0.013992,0.015525,0.018411,0.023843,0.034220", \ - "0.018137,0.018869,0.019821,0.021656,0.025007,0.030952,0.041875", \ - "0.024799,0.025615,0.026722,0.028876,0.032817,0.039613,0.051290"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.004519,0.005329,0.006374,0.008461,0.012628,0.020951,0.037577", \ - "0.004518,0.005329,0.006374,0.008461,0.012627,0.020953,0.037587", \ - "0.006191,0.006828,0.007550,0.009130,0.012741,0.020954,0.037581", \ - "0.009207,0.010089,0.011162,0.013124,0.016511,0.022650,0.037599", \ - "0.013104,0.014168,0.015452,0.017803,0.022026,0.029243,0.041449", \ - "0.018103,0.019379,0.020894,0.023625,0.028429,0.036818,0.050915", \ - "0.024285,0.025796,0.027584,0.030755,0.036186,0.045464,0.061482"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.00542726,0.00646773,0.00778915,0.0103999,0.0155715,0.0258646,0.0464104", \ - "0.00611013,0.00714641,0.00847179,0.0110948,0.0162879,0.0266034,0.0471668", \ - "0.00762151,0.00905772,0.0107546,0.0137903,0.0190030,0.0292128,0.0497112", \ - "0.00772987,0.00969465,0.0119974,0.0160948,0.0231230,0.0348005,0.0550211", \ - "0.00606970,0.00857841,0.0115180,0.0167348,0.0255866,0.0401690,0.0638535", \ - "0.00242462,0.00546194,0.00902200,0.0153513,0.0261035,0.0436592,0.0718959", \ - "-0.00334932,0.000185553,0.00433054,0.0117417,0.0243736,0.0450086,0.0778419"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.00838079,0.0101378,0.0123579,0.0167182,0.0253106,0.0423564,0.0763368", \ - "0.00896580,0.0106901,0.0128972,0.0172684,0.0259078,0.0430191,0.0770567", \ - "0.0124715,0.0143502,0.0165447,0.0206416,0.0290007,0.0458980,0.0798156", \ - "0.0174617,0.0197486,0.0225041,0.0275946,0.0366196,0.0528846,0.0861452", \ - "0.0239833,0.0267454,0.0300144,0.0359554,0.0465644,0.0650158,0.0975109", \ - "0.0318313,0.0351401,0.0390299,0.0459926,0.0581140,0.0791129,0.114893", \ - "0.0410658,0.0449131,0.0494500,0.0575499,0.0714380,0.0948892,0.134920"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.00316487,0.00403601,0.00515849,0.00739851,0.0118750,0.0208181,0.0387024", \ - "0.00314534,0.00402592,0.00515615,0.00739995,0.0118747,0.0208175,0.0386973", \ - "0.00521881,0.00593862,0.00684013,0.00848901,0.0121144,0.0208184,0.0387016", \ - "0.00894916,0.00981385,0.0108410,0.0127447,0.0162945,0.0228803,0.0387265", \ - "0.0140858,0.0151985,0.0164949,0.0187752,0.0227423,0.0298935,0.0430204", \ - "0.0206077,0.0219885,0.0235999,0.0264204,0.0311365,0.0389976,0.0530405", \ - "0.0286229,0.0302625,0.0321920,0.0355976,0.0412203,0.0502607,0.0653188"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.00583575,0.00736446,0.00933437,0.0132623,0.0211034,0.0367936,0.0681372", \ - "0.00583002,0.00736313,0.00933137,0.0132597,0.0211123,0.0367946,0.0681528", \ - "0.00743763,0.00849200,0.0100008,0.0133889,0.0211044,0.0367974,0.0681577", \ - "0.0102395,0.0116500,0.0134334,0.0168272,0.0228792,0.0368652,0.0681498", \ - "0.0145859,0.0160319,0.0178620,0.0214512,0.0283524,0.0407250,0.0683841", \ - "0.0203944,0.0219767,0.0239423,0.0276843,0.0348481,0.0484721,0.0732813", \ - "0.0277114,0.0294256,0.0315786,0.0356282,0.0431049,0.0572605,0.0835311"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.00710607,0.00819915,0.00957456,0.0122616,0.0175229,0.0278964,0.0484904", \ - "0.00768033,0.00877428,0.0101515,0.0128411,0.0181051,0.0284807,0.0490762", \ - "0.00995399,0.0112573,0.0128166,0.0156351,0.0207900,0.0310635,0.0515824", \ - "0.0114095,0.0131351,0.0152011,0.0189607,0.0255767,0.0367662,0.0569163", \ - "0.0116633,0.0138071,0.0163707,0.0210238,0.0291622,0.0430087,0.0659754", \ - "0.0105997,0.0131555,0.0162028,0.0217403,0.0314184,0.0477605,0.0748738", \ - "0.00815696,0.0110995,0.0146107,0.0210081,0.0322081,0.0510846,0.0821137"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.0107058,0.0124329,0.0146247,0.0189492,0.0275076,0.0445299,0.0784965", \ - "0.0114419,0.0131911,0.0154173,0.0198028,0.0284470,0.0455524,0.0795848", \ - "0.0139210,0.0157849,0.0180083,0.0223193,0.0309178,0.0480491,0.0821501", \ - "0.0176429,0.0197859,0.0223965,0.0273077,0.0364023,0.0532872,0.0871234", \ - "0.0230367,0.0255837,0.0286068,0.0341280,0.0441268,0.0623517,0.0959412", \ - "0.0294623,0.0325308,0.0361384,0.0425931,0.0538360,0.0735819,0.109202", \ - "0.0367152,0.0403454,0.0446001,0.0521659,0.0650879,0.0868813,0.124912"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.00469175,0.00556140,0.00668316,0.00892174,0.0133992,0.0223482,0.0402328", \ - "0.00468929,0.00556382,0.00668527,0.00892281,0.0133998,0.0223485,0.0402335", \ - "0.00637508,0.00707595,0.00793281,0.00961851,0.0135135,0.0223503,0.0402310", \ - "0.0100747,0.0109118,0.0119202,0.0138245,0.0173556,0.0240461,0.0402408", \ - "0.0151715,0.0162121,0.0174479,0.0196742,0.0236656,0.0308776,0.0441276", \ - "0.0215934,0.0229158,0.0244517,0.0271619,0.0317811,0.0397109,0.0539258", \ - "0.0293856,0.0310056,0.0328966,0.0361966,0.0416598,0.0505766,0.0658554"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.00584184,0.00736661,0.00932984,0.0132640,0.0211117,0.0367955,0.0681428", \ - "0.00584279,0.00736638,0.00933062,0.0132631,0.0211043,0.0367882,0.0681514", \ - "0.00679827,0.00801792,0.00970177,0.0133170,0.0211101,0.0367867,0.0681423", \ - "0.00931815,0.0105960,0.0122761,0.0156673,0.0222861,0.0368759,0.0681512", \ - "0.0132219,0.0144584,0.0160567,0.0193220,0.0260586,0.0394390,0.0684019", \ - "0.0182731,0.0195965,0.0212923,0.0246075,0.0311685,0.0446470,0.0716527", \ - "0.0244019,0.0258425,0.0276971,0.0312848,0.0380664,0.0512853,0.0783495"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("1.816531,1.873898,1.916999,1.962139,2.030324,2.097899,2.142397", \ - "1.631924,1.691740,1.767981,1.836392,1.936127,2.029338,2.109476", \ - "1.672057,1.723085,1.740487,1.796804,1.864514,1.962891,2.056130", \ - "3.455192,3.294155,3.117913,2.843624,2.574274,2.431312,2.339820", \ - "6.950604,6.787046,6.534226,6.021132,5.172136,4.163361,3.498235", \ - "11.752960,11.575920,11.430200,11.012940,9.992246,8.173897,6.146676", \ - "17.846400,17.859370,17.766290,17.404810,16.572500,14.588850,11.149810"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("11.377630,11.415040,11.469430,11.486930,11.587290,11.603010,11.417450", \ - "11.237630,11.304740,11.364380,11.368170,11.467340,11.505810,11.483130", \ - "12.203710,12.166050,12.060700,11.927060,11.966180,11.855040,11.660450", \ - "14.757690,14.661780,14.617620,14.447180,14.030800,13.453000,12.930160", \ - "19.421490,19.143840,19.076080,18.714130,18.061340,16.907900,15.489380", \ - "26.353430,26.192810,25.832280,25.482770,24.454640,23.021740,20.906970", \ - "35.496320,35.326370,35.117000,34.729790,33.585010,31.599950,28.033270"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.463791,0.506680,0.528898,0.557116,0.580192,0.603250,0.607714", \ - "0.204613,0.270614,0.331706,0.397879,0.474313,0.536238,0.571623", \ - "0.410403,0.343175,0.336319,0.356589,0.399504,0.461584,0.518902", \ - "2.556751,2.337271,2.068320,1.661742,1.200725,0.960039,0.813508", \ - "6.197705,5.990827,5.709405,5.123231,4.097617,2.848136,2.022531", \ - "11.010660,10.910560,10.717340,10.166990,9.118790,7.073407,4.773495", \ - "17.287590,17.290520,17.034980,16.668930,15.762060,13.655300,9.957557"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("9.602844,9.619102,9.661055,9.750758,9.792175,9.635123,9.833978", \ - "9.427629,9.522340,9.592706,9.642342,9.701999,9.750891,9.539460", \ - "10.400790,10.382110,10.355740,10.230010,10.212050,10.072440,9.871113", \ - "12.760870,12.648910,12.633070,12.610320,12.223580,11.684080,10.992080", \ - "17.344340,17.146860,17.073980,16.822310,16.247740,15.211430,13.923290", \ - "24.040480,24.071250,23.908590,23.539620,22.672760,21.220730,18.952020", \ - "32.840760,32.871750,32.800570,32.633270,31.779380,29.890690,26.865160"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.470269,0.499013,0.535560,0.558474,0.580905,0.608089,0.614309", \ - "0.223420,0.287154,0.337130,0.409215,0.480312,0.539648,0.577658", \ - "0.458957,0.386518,0.376983,0.381317,0.416854,0.478374,0.533497", \ - "2.677663,2.461989,2.202293,1.796303,1.313109,1.030010,0.861442", \ - "6.376990,6.113331,5.854541,5.340164,4.332280,3.069063,2.143143", \ - "11.374530,11.055830,10.926090,10.438590,9.426780,7.443966,5.082992", \ - "17.630270,17.478570,17.317440,16.917270,16.069580,14.083800,10.490530"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("10.446350,10.509470,10.529370,10.609530,10.553620,10.769790,10.654560", \ - "10.371560,10.398940,10.447000,10.539460,10.525350,10.697110,10.463950", \ - "11.360390,11.329280,11.199980,11.183340,11.075470,10.923010,10.908610", \ - "13.725090,13.703200,13.633310,13.667550,13.273740,12.768200,12.195320", \ - "18.469640,18.395370,18.148910,17.878360,17.353240,16.616360,15.081480", \ - "25.378870,25.315070,25.214810,24.833440,24.012730,22.677350,20.727650", \ - "34.368630,34.358920,34.377870,34.202930,33.411390,31.392280,28.427920"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.629169,0.687024,0.702481,0.746299,0.770214,0.796303,0.802380", \ - "0.603423,0.657725,0.695980,0.740553,0.797953,0.850341,0.879519", \ - "1.168181,1.046449,0.999758,0.959487,0.943787,0.947839,0.944176", \ - "3.224692,3.028596,2.783913,2.372118,1.899691,1.599961,1.365098", \ - "6.261927,6.085193,5.914262,5.486900,4.573639,3.419651,2.614341", \ - "10.244530,10.187030,10.112980,9.834163,8.961462,7.200810,5.189101", \ - "15.201820,15.272370,15.333150,15.217990,14.622670,12.902070,9.742067"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("6.602226,6.637312,6.696919,6.748807,6.832753,6.830722,6.399309", \ - "6.465892,6.518383,6.608328,6.643309,6.700737,6.635262,6.405570", \ - "7.174349,7.074644,7.039018,7.027046,7.005102,6.929806,6.469577", \ - "9.017595,9.004012,8.948776,8.705211,8.226877,7.909017,7.436820", \ - "12.492450,12.287700,12.078780,11.748040,11.259940,10.392000,9.313324", \ - "17.785250,17.521270,17.202650,16.676420,15.659080,14.377610,12.907610", \ - "24.809980,24.562490,24.262750,23.627600,22.274440,20.636550,17.819270"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("1.728981,1.783314,1.811471,1.840928,1.890789,1.934185,1.967829", \ - "1.580312,1.651557,1.679290,1.725502,1.770216,1.814131,1.861507", \ - "1.936685,1.912420,1.911012,1.903200,1.892206,1.879321,1.887338", \ - "3.914402,3.757137,3.554510,3.226359,2.885336,2.591083,2.336630", \ - "7.241705,7.105243,6.870448,6.387354,5.582320,4.537336,3.694086", \ - "11.808280,11.672660,11.532510,11.128060,10.182000,8.490475,6.506825", \ - "17.483580,17.512820,17.484710,17.261590,16.437220,14.507260,11.412720"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("8.746682,8.763677,8.788059,8.915359,8.889621,8.786608,8.667684", \ - "8.458593,8.548051,8.667207,8.703888,8.816711,8.874015,8.772879", \ - "8.560142,8.557161,8.588230,8.621584,8.646476,8.642585,8.822959", \ - "9.541178,9.641671,9.662736,9.542989,9.363154,9.096938,8.764719", \ - "12.382810,12.254560,12.061500,11.736580,11.474950,10.849150,10.295810", \ - "17.025960,16.814860,16.519200,16.007250,15.042970,14.142880,12.644160", \ - "23.196520,23.009990,22.674310,22.078500,20.958210,19.173030,16.857040"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI21_X4 - Cell Description : Combinational cell (OAI21_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI21_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 297.281738; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 76.471875; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 91.885559; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 91.885684; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 52.932164; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 465.162500; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 462.640000; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 543.721250; - } - leakage_power () { - when : "A & B1 & B2"; - value : 593.554875; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.286909; - fall_capacitance : 5.848921; - rise_capacitance : 6.286909; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.440340; - fall_capacitance : 5.710489; - rise_capacitance : 6.440340; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.689759; - fall_capacitance : 6.689759; - rise_capacitance : 6.539041; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 104.065000; - function : "!(A & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.008890,0.010176,0.011580,0.014310,0.019635,0.030108,0.050873", \ - "0.009473,0.010768,0.012185,0.014938,0.020293,0.030796,0.051585", \ - "0.010933,0.012315,0.013800,0.016630,0.021971,0.032489,0.053304", \ - "0.012170,0.013866,0.015646,0.018933,0.024892,0.035860,0.056636", \ - "0.012388,0.014508,0.016716,0.020746,0.027841,0.040108,0.062046", \ - "0.011254,0.013804,0.016458,0.021303,0.029787,0.044111,0.068238", \ - "0.008560,0.011540,0.014640,0.020308,0.030237,0.046913,0.074248"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.006743,0.007812,0.008992,0.011309,0.015870,0.024894,0.042848", \ - "0.007648,0.008708,0.009883,0.012196,0.016755,0.025782,0.043736", \ - "0.011428,0.012658,0.013950,0.016298,0.020659,0.029500,0.047303", \ - "0.016174,0.017745,0.019409,0.022515,0.028104,0.037636,0.054908", \ - "0.021942,0.023863,0.025881,0.029615,0.036323,0.048078,0.067760", \ - "0.028745,0.031031,0.033434,0.037857,0.045704,0.059277,0.082462", \ - "0.036699,0.039331,0.042107,0.047237,0.056305,0.071777,0.097892"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.004626,0.005634,0.006770,0.009034,0.013556,0.022596,0.040652", \ - "0.004609,0.005626,0.006766,0.009033,0.013556,0.022595,0.040655", \ - "0.005359,0.006257,0.007246,0.009281,0.013586,0.022594,0.040655", \ - "0.008021,0.008821,0.009717,0.011531,0.015331,0.023321,0.040672", \ - "0.012252,0.013139,0.014101,0.015923,0.019426,0.026670,0.042336", \ - "0.017533,0.018596,0.019736,0.021848,0.025633,0.032614,0.047094", \ - "0.023836,0.025082,0.026430,0.028919,0.033296,0.040804,0.054711"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.005373,0.006407,0.007570,0.009865,0.014315,0.022910,0.039756", \ - "0.005358,0.006402,0.007569,0.009864,0.014316,0.022909,0.039755", \ - "0.007454,0.008109,0.008875,0.010617,0.014463,0.022911,0.039753", \ - "0.011654,0.012530,0.013496,0.015312,0.018527,0.024717,0.039783", \ - "0.017021,0.017957,0.019013,0.021061,0.024910,0.031729,0.043798", \ - "0.023625,0.024654,0.025809,0.028046,0.032278,0.040081,0.053647", \ - "0.031475,0.032617,0.033919,0.036413,0.041077,0.049592,0.064912"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.006945,0.008144,0.009472,0.012096,0.017300,0.027663,0.048355", \ - "0.007465,0.008686,0.010038,0.012698,0.017944,0.028345,0.049063", \ - "0.008401,0.009860,0.011391,0.014264,0.019606,0.030026,0.050774", \ - "0.008656,0.010580,0.012545,0.016067,0.022243,0.033325,0.054104", \ - "0.007827,0.010251,0.012724,0.017129,0.024661,0.037304,0.059433", \ - "0.005664,0.008584,0.011563,0.016877,0.025941,0.040859,0.065418", \ - "0.001935,0.005346,0.008833,0.015064,0.025692,0.043115,0.071104"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.006477,0.007548,0.008725,0.011033,0.015569,0.024543,0.042395", \ - "0.007385,0.008445,0.009617,0.011920,0.016455,0.025431,0.043286", \ - "0.011072,0.012326,0.013639,0.016024,0.020363,0.029150,0.046850", \ - "0.015627,0.017236,0.018927,0.022067,0.027695,0.037271,0.054456", \ - "0.021113,0.023101,0.025169,0.028966,0.035732,0.047529,0.067261", \ - "0.027494,0.029891,0.032379,0.036911,0.044869,0.058520,0.081757", \ - "0.034842,0.037647,0.040560,0.045867,0.055123,0.070753,0.096960"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.003089,0.004091,0.005221,0.007482,0.012000,0.021028,0.039074", \ - "0.003104,0.004097,0.005223,0.007483,0.011999,0.021025,0.039077", \ - "0.004350,0.005150,0.006094,0.008010,0.012138,0.021028,0.039074", \ - "0.007417,0.008149,0.008972,0.010637,0.014210,0.021985,0.039135", \ - "0.011729,0.012586,0.013510,0.015272,0.018624,0.025598,0.041011", \ - "0.017023,0.018026,0.019144,0.021220,0.024953,0.031782,0.045956", \ - "0.023394,0.024522,0.025815,0.028263,0.032606,0.040065,0.053770"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.004192,0.005111,0.006151,0.008237,0.012423,0.020809,0.037576", \ - "0.004170,0.005105,0.006149,0.008236,0.012423,0.020809,0.037576", \ - "0.006014,0.006760,0.007499,0.009026,0.012581,0.020808,0.037583", \ - "0.009067,0.010075,0.011143,0.013103,0.016523,0.022651,0.037615", \ - "0.013064,0.014301,0.015587,0.017940,0.022152,0.029398,0.041655", \ - "0.018130,0.019633,0.021185,0.023960,0.028782,0.037172,0.051318", \ - "0.024357,0.026153,0.027997,0.031257,0.036790,0.046119,0.062139"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.005946,0.006862,0.007875,0.009874,0.013831,0.021705,0.037420", \ - "0.006629,0.007566,0.008599,0.010626,0.014616,0.022519,0.038254", \ - "0.007925,0.009265,0.010646,0.013124,0.017412,0.025343,0.041106", \ - "0.008134,0.009966,0.011839,0.015184,0.020940,0.030556,0.046744", \ - "0.007113,0.009434,0.011810,0.016053,0.023293,0.035249,0.054739", \ - "0.004646,0.007445,0.010313,0.015448,0.024223,0.038615,0.061765", \ - "0.000496,0.003766,0.007125,0.013151,0.023466,0.040378,0.067334"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.007163,0.008211,0.009372,0.011661,0.016181,0.025142,0.042983", \ - "0.008075,0.009113,0.010269,0.012554,0.017075,0.026041,0.043891", \ - "0.011940,0.013135,0.014392,0.016677,0.021008,0.029784,0.047477", \ - "0.016837,0.018376,0.020014,0.023074,0.028589,0.038006,0.055166", \ - "0.022759,0.024650,0.026643,0.030336,0.036983,0.048644,0.068167", \ - "0.029667,0.031945,0.034334,0.038734,0.046538,0.060050,0.083114", \ - "0.037622,0.040278,0.043079,0.048225,0.057297,0.072753,0.098811"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.002653,0.003411,0.004266,0.005974,0.009380,0.016176,0.029759", \ - "0.002682,0.003423,0.004271,0.005975,0.009381,0.016178,0.029760", \ - "0.004564,0.005144,0.005796,0.007065,0.009761,0.016179,0.029761", \ - "0.007988,0.008591,0.009266,0.010622,0.013285,0.018467,0.030059", \ - "0.012584,0.013287,0.014074,0.015606,0.018479,0.023941,0.034457", \ - "0.018176,0.018997,0.019947,0.021775,0.025123,0.031065,0.042050", \ - "0.024877,0.025791,0.026894,0.029041,0.032967,0.039752,0.051456"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.004487,0.005420,0.006472,0.008575,0.012775,0.021178,0.037958", \ - "0.004486,0.005421,0.006472,0.008575,0.012776,0.021180,0.037958", \ - "0.006163,0.006894,0.007629,0.009229,0.012886,0.021182,0.037957", \ - "0.009165,0.010179,0.011249,0.013217,0.016629,0.022848,0.037974", \ - "0.013062,0.014279,0.015563,0.017918,0.022152,0.029417,0.041789", \ - "0.018056,0.019519,0.021035,0.023766,0.028576,0.037003,0.051201", \ - "0.024231,0.025967,0.027754,0.030924,0.036366,0.045671,0.061779"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.00532183,0.00652693,0.00786020,0.0104915,0.0157013,0.0260685,0.0467607", \ - "0.00600704,0.00720583,0.00854299,0.0111872,0.0164192,0.0268094,0.0475179", \ - "0.00747925,0.00914304,0.0108472,0.0138952,0.0191372,0.0294229,0.0500699", \ - "0.00755144,0.00982424,0.0121363,0.0162463,0.0233040,0.0350301,0.0553879", \ - "0.00587391,0.00877565,0.0117200,0.0169494,0.0258321,0.0404758,0.0642611", \ - "0.00223505,0.00573972,0.00930758,0.0156545,0.0264361,0.0440567,0.0724106", \ - "-0.00351234,0.000558430,0.00471822,0.0121521,0.0248174,0.0455208,0.0784771"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.00814937,0.0101684,0.0123920,0.0167542,0.0253501,0.0424020,0.0763959", \ - "0.00874583,0.0107225,0.0129319,0.0173072,0.0259511,0.0430680,0.0771186", \ - "0.0122107,0.0143733,0.0165688,0.0206713,0.0290376,0.0459458,0.0798780", \ - "0.0171291,0.0197562,0.0225132,0.0276054,0.0366363,0.0529128,0.0861937", \ - "0.0235478,0.0267255,0.0299957,0.0359405,0.0465521,0.0650129,0.0975292", \ - "0.0312691,0.0350740,0.0389675,0.0459352,0.0580634,0.0790675,0.114869", \ - "0.0403575,0.0447771,0.0493224,0.0574307,0.0713305,0.0947928,0.134837"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.00308265,0.00408958,0.00521992,0.00748235,0.0119994,0.0210280,0.0390766", \ - "0.00305899,0.00408018,0.00521875,0.00748157,0.0119995,0.0210256,0.0390764", \ - "0.00514208,0.00597395,0.00688406,0.00854881,0.0122276,0.0210280,0.0390749", \ - "0.00885489,0.00985331,0.0108824,0.0127976,0.0163826,0.0230464,0.0390969", \ - "0.0139620,0.0152472,0.0165463,0.0188383,0.0228259,0.0300313,0.0433169", \ - "0.0204590,0.0220402,0.0236576,0.0264868,0.0312236,0.0391312,0.0532998", \ - "0.0284424,0.0303130,0.0322600,0.0356761,0.0413274,0.0504066,0.0655687"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.00563738,0.00739375,0.00935647,0.0132904,0.0211442,0.0368527,0.0682338", \ - "0.00563166,0.00738686,0.00936040,0.0132929,0.0211493,0.0368536,0.0682453", \ - "0.00730165,0.00851650,0.0100238,0.0134116,0.0211511,0.0368546,0.0682412", \ - "0.0100692,0.0116806,0.0134650,0.0168596,0.0229182,0.0369246,0.0682489", \ - "0.0144153,0.0160771,0.0179125,0.0215014,0.0284044,0.0407955,0.0684875", \ - "0.0202199,0.0220370,0.0240109,0.0277607,0.0349234,0.0485531,0.0733939", \ - "0.0275243,0.0294956,0.0316650,0.0357237,0.0432159,0.0573672,0.0836543"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.00703353,0.00829928,0.00968699,0.0123971,0.0177014,0.0281574,0.0489108", \ - "0.00760615,0.00887361,0.0102632,0.0129760,0.0182832,0.0287412,0.0494970", \ - "0.00987102,0.0113779,0.0129454,0.0157751,0.0209707,0.0313273,0.0520075", \ - "0.0113196,0.0133143,0.0153855,0.0191626,0.0258029,0.0370445,0.0573524", \ - "0.0115870,0.0140679,0.0166322,0.0213001,0.0294675,0.0433728,0.0664456", \ - "0.0105629,0.0135154,0.0165647,0.0221162,0.0318274,0.0482240,0.0754646", \ - "0.00817717,0.0115816,0.0150976,0.0215037,0.0327326,0.0516762,0.0828302"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.0105079,0.0124906,0.0146843,0.0190120,0.0275743,0.0446044,0.0785837", \ - "0.0112470,0.0132539,0.0154819,0.0198716,0.0285179,0.0456294,0.0796724", \ - "0.0137017,0.0158456,0.0180702,0.0223859,0.0309909,0.0481302,0.0822418", \ - "0.0173661,0.0198315,0.0224448,0.0273604,0.0364616,0.0533564,0.0872115", \ - "0.0226620,0.0255968,0.0286239,0.0341492,0.0441558,0.0623947,0.0960034", \ - "0.0289584,0.0324964,0.0361073,0.0425678,0.0538198,0.0735811,0.109225", \ - "0.0360649,0.0402408,0.0445014,0.0520742,0.0650078,0.0868217,0.124880"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.00464288,0.00564451,0.00677529,0.00903591,0.0135559,0.0225950,0.0406558", \ - "0.00463406,0.00564643,0.00677815,0.00903771,0.0135561,0.0225920,0.0406539", \ - "0.00631959,0.00713364,0.00799630,0.00970842,0.0136596,0.0225948,0.0406562", \ - "0.0100075,0.0109657,0.0119831,0.0139026,0.0174672,0.0242466,0.0406590", \ - "0.0150781,0.0162794,0.0175178,0.0197564,0.0237692,0.0310413,0.0444659", \ - "0.0214691,0.0229782,0.0245263,0.0272482,0.0318789,0.0398691,0.0542194", \ - "0.0292152,0.0310721,0.0329810,0.0362973,0.0417769,0.0507373,0.0661413"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.00564734,0.00739420,0.00935756,0.0132914,0.0211498,0.0368452,0.0682317", \ - "0.00565067,0.00739422,0.00935741,0.0132940,0.0211455,0.0368471,0.0682404", \ - "0.00664409,0.00803617,0.00972945,0.0133494,0.0211492,0.0368433,0.0682380", \ - "0.00916586,0.0106185,0.0123047,0.0156975,0.0223299,0.0369396,0.0682426", \ - "0.0130925,0.0145012,0.0161046,0.0193680,0.0261062,0.0394996,0.0684970", \ - "0.0181418,0.0196580,0.0213623,0.0246811,0.0312399,0.0447202,0.0717380", \ - "0.0242666,0.0259103,0.0277827,0.0313753,0.0381645,0.0513921,0.0784724"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("3.572077,3.709434,3.801461,3.932643,4.050764,4.171479,4.262768", \ - "3.279779,3.398077,3.518122,3.669951,3.865281,4.056706,4.197542", \ - "3.331751,3.389648,3.475892,3.579041,3.718699,3.917452,4.091878", \ - "6.946626,6.522888,6.175477,5.649197,5.120269,4.823666,4.645553", \ - "13.911750,13.480010,12.982870,11.972970,10.281510,8.259527,6.940117", \ - "23.464600,23.286970,22.760620,21.941640,19.887000,16.229000,12.207210", \ - "35.894120,35.618340,35.285920,34.755170,32.995280,29.005980,22.134890"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("22.813070,22.858720,22.995020,22.931250,23.114290,23.044320,22.449300", \ - "22.611290,22.651660,22.713960,22.879890,22.888620,22.928120,23.205190", \ - "24.476420,24.256710,24.304460,23.969780,23.845440,23.514680,22.898520", \ - "29.591560,29.308160,29.309160,28.872840,27.926110,26.945360,25.868150", \ - "38.903950,38.522100,37.996020,37.381090,35.927700,33.736050,31.425260", \ - "52.720910,52.370490,51.815700,50.829410,48.869020,45.938500,41.553670", \ - "70.925360,70.474900,70.283070,69.083480,67.135540,62.549950,56.699420"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.907934,0.990882,1.030648,1.104841,1.148798,1.182293,1.191951", \ - "0.412012,0.545397,0.644116,0.800932,0.944649,1.053715,1.114130", \ - "0.826915,0.671523,0.659446,0.691864,0.782244,0.907388,1.021095", \ - "5.130692,4.625468,4.076167,3.274812,2.374295,1.897707,1.599024", \ - "12.401580,11.934580,11.364160,10.151340,8.109329,5.621572,3.988565", \ - "22.191950,21.829190,21.240200,20.355990,18.130020,14.053880,9.453950", \ - "35.001500,34.373430,33.981180,33.195840,31.474160,27.181810,19.757190"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("19.267530,19.333550,19.385190,19.471420,19.646690,19.452200,19.415280", \ - "18.925570,19.138260,19.182450,19.366300,19.427130,19.355980,19.559590", \ - "20.881370,20.852030,20.758400,20.532310,20.396350,19.870850,19.329690", \ - "25.478150,25.391140,25.385890,25.199040,24.545110,23.217580,21.359890", \ - "34.755940,34.559070,34.254820,33.464910,32.310150,30.374620,27.997780", \ - "48.077450,47.958030,47.649400,47.092420,45.164190,41.978990,37.633780", \ - "65.421000,65.671200,65.681260,65.110890,63.211400,59.278290,53.021810"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.878762,0.970832,1.048911,1.104888,1.151619,1.186238,1.198613", \ - "0.396819,0.562364,0.671677,0.807991,0.946876,1.054902,1.135310", \ - "0.918915,0.755632,0.732022,0.739074,0.831351,0.932372,1.048672", \ - "5.358778,4.849105,4.358007,3.545398,2.577971,2.024356,1.685601", \ - "12.775060,12.323090,11.738860,10.567400,8.578880,6.072745,4.229482", \ - "22.744930,22.317580,21.723000,20.841680,18.721830,14.778100,10.065930", \ - "35.246790,34.844990,34.555650,33.675730,32.041100,28.011220,20.822980"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("20.936290,21.023750,21.165070,21.344480,21.385420,21.198380,20.698910", \ - "20.812900,20.933350,20.954480,21.156020,21.136140,21.134410,20.914490", \ - "22.792060,22.638730,22.542040,22.498730,22.350370,21.972500,21.330710", \ - "27.532990,27.465420,27.393860,27.318000,26.595410,25.218010,23.825300", \ - "37.000420,36.722720,36.461460,35.852730,34.865240,33.192080,30.402920", \ - "50.763990,50.662330,50.372740,49.517440,48.047450,45.051360,41.067450", \ - "68.527280,68.787530,68.596120,68.198080,66.585080,63.030110,57.257510"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("1.286777,1.369734,1.431021,1.476649,1.550446,1.589712,1.604581", \ - "1.181302,1.308295,1.389699,1.495507,1.608510,1.694324,1.750799", \ - "2.359201,2.062177,1.977217,1.901346,1.876962,1.881961,1.885245", \ - "6.487145,6.027147,5.532871,4.702319,3.764740,3.176409,2.716624", \ - "12.377420,12.166080,11.810820,10.878100,9.076930,6.797053,5.194859", \ - "20.384990,20.327060,20.169560,19.581910,17.808580,14.284290,10.308820", \ - "30.329510,30.624040,30.640030,30.310020,29.221320,25.655180,19.337290"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("13.218770,13.336710,13.408910,13.631640,13.748100,13.772170,13.775660", \ - "12.921390,13.071840,13.235610,13.340880,13.448970,13.751020,12.973330", \ - "14.346550,14.311100,14.120440,13.930260,13.798230,13.431230,12.987230", \ - "18.005430,18.028560,17.956690,17.405980,16.692500,15.538680,15.065900", \ - "24.986370,24.515580,24.085960,23.504910,22.274940,20.793560,18.513200", \ - "35.501150,34.946680,34.304090,33.219300,31.367430,28.797860,25.066120", \ - "49.452040,48.884060,48.248150,46.963060,44.281540,41.094110,36.106740"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("3.480069,3.537042,3.620641,3.691099,3.774680,3.870653,3.946513", \ - "3.228939,3.282971,3.355791,3.454931,3.539358,3.639895,3.724564", \ - "3.899564,3.868696,3.836749,3.809907,3.773396,3.763882,3.780505", \ - "7.856030,7.454299,7.067897,6.413891,5.723901,5.148698,4.667469", \ - "14.459090,14.112220,13.677140,12.711910,11.092370,9.011379,7.359861", \ - "23.409350,23.326520,23.004970,22.144550,20.228940,16.859100,12.920820", \ - "34.867600,34.914920,34.875500,34.358550,32.685760,28.822960,22.653930"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("17.495280,17.624630,17.772200,17.800330,17.786610,17.675000,17.397140", \ - "17.027390,17.203080,17.381070,17.527190,17.554580,17.622160,17.448680", \ - "17.135120,17.150550,17.185870,17.114650,17.196550,17.630490,17.566690", \ - "19.221960,19.286290,19.362730,19.078630,18.576280,18.105350,17.433690", \ - "24.906910,24.485330,24.081600,23.517810,22.955450,21.737160,20.475140", \ - "33.919240,33.526990,32.947300,31.945530,30.172470,28.375470,25.133530", \ - "46.456170,45.823350,45.158310,44.072460,41.770310,38.184860,33.602490"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI22_X1 - Cell Description : Combinational cell (OAI22_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI22_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 105.068792; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 20.049750; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 116.533000; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 116.533500; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 156.408000; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 34.007107; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 95.603750; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 115.878500; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 128.352187; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 34.007232; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 115.878625; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 136.149375; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 148.628125; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 25.034874; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 128.337488; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 148.608250; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 161.090902; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.700866; - fall_capacitance : 1.496489; - rise_capacitance : 1.700866; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.621431; - fall_capacitance : 1.621431; - rise_capacitance : 1.599445; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.716132; - fall_capacitance : 1.439520; - rise_capacitance : 1.716132; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.647167; - fall_capacitance : 1.599322; - rise_capacitance : 1.647167; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 23.231500; - function : "!((A1 | A2) & (B1 | B2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.007473,0.008114,0.009366,0.011797,0.016524,0.025791,0.044124", \ - "0.008192,0.008829,0.010080,0.012515,0.017254,0.026538,0.044885", \ - "0.010680,0.011418,0.012815,0.015359,0.019959,0.029150,0.047437", \ - "0.012139,0.013122,0.014988,0.018407,0.024463,0.034757,0.052763", \ - "0.011846,0.013089,0.015453,0.019776,0.027350,0.040199,0.061472", \ - "0.009509,0.011008,0.013877,0.019121,0.028300,0.043747,0.069086", \ - "0.004972,0.006711,0.010069,0.016211,0.026992,0.045130,0.074622"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.009163,0.010185,0.012197,0.016144,0.023903,0.039254,0.069789", \ - "0.009765,0.010769,0.012764,0.016714,0.024509,0.039926,0.070511", \ - "0.013428,0.014494,0.016458,0.020141,0.027661,0.042848,0.073296", \ - "0.018743,0.020039,0.022511,0.027106,0.035318,0.049956,0.079729", \ - "0.025767,0.027307,0.030208,0.035550,0.045169,0.062027,0.091304", \ - "0.034379,0.036184,0.039588,0.045787,0.056744,0.075866,0.108656", \ - "0.044707,0.046743,0.050616,0.057724,0.070196,0.091527,0.128082"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.004873,0.005374,0.006379,0.008383,0.012374,0.020334,0.036223", \ - "0.004838,0.005353,0.006371,0.008381,0.012375,0.020337,0.036224", \ - "0.006230,0.006657,0.007460,0.008978,0.012460,0.020334,0.036222", \ - "0.009879,0.010378,0.011321,0.013082,0.016332,0.022288,0.036286", \ - "0.015111,0.015740,0.016897,0.018975,0.022619,0.029166,0.040937", \ - "0.021768,0.022559,0.023990,0.026539,0.030863,0.038081,0.050840", \ - "0.029879,0.030845,0.032572,0.035658,0.040817,0.049136,0.062908"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.009481,0.010457,0.012365,0.016070,0.023254,0.037326,0.065244", \ - "0.009476,0.010454,0.012365,0.016070,0.023255,0.037323,0.065261", \ - "0.010881,0.011591,0.013081,0.016258,0.023255,0.037322,0.065251", \ - "0.015137,0.015844,0.017278,0.020038,0.025327,0.037559,0.065251", \ - "0.020800,0.021412,0.022722,0.025531,0.031262,0.041942,0.065853", \ - "0.028313,0.028871,0.030079,0.032728,0.038425,0.050012,0.071628", \ - "0.037453,0.038000,0.039191,0.041825,0.047465,0.059235,0.082235"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.005490,0.006087,0.007267,0.009592,0.014190,0.023325,0.041532", \ - "0.006184,0.006775,0.007955,0.010291,0.014909,0.024067,0.042291", \ - "0.007797,0.008621,0.010159,0.012927,0.017652,0.026692,0.044845", \ - "0.007997,0.009128,0.011228,0.014970,0.021407,0.032145,0.050209", \ - "0.006412,0.007862,0.010547,0.015320,0.023453,0.036865,0.058676", \ - "0.002835,0.004586,0.007838,0.013630,0.023515,0.039712,0.065711", \ - "-0.002890,-0.000849,0.002928,0.009703,0.021312,0.040355,0.070689"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.008685,0.009700,0.011693,0.015602,0.023286,0.038494,0.068756", \ - "0.009293,0.010287,0.012260,0.016171,0.023893,0.039164,0.069487", \ - "0.012852,0.013937,0.015972,0.019615,0.027050,0.042089,0.072269", \ - "0.017924,0.019248,0.021750,0.026384,0.034643,0.049204,0.078711", \ - "0.024559,0.026161,0.029141,0.034560,0.044237,0.061136,0.090294", \ - "0.032555,0.034478,0.038026,0.044396,0.055496,0.074684,0.107509", \ - "0.041959,0.044196,0.048328,0.055740,0.068497,0.090005,0.126616"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.003345,0.003846,0.004847,0.006844,0.010820,0.018749,0.034574", \ - "0.003308,0.003823,0.004836,0.006843,0.010817,0.018745,0.034576", \ - "0.005359,0.005773,0.006577,0.008083,0.011185,0.018746,0.034575", \ - "0.009128,0.009628,0.010558,0.012270,0.015464,0.021320,0.034758", \ - "0.014331,0.014966,0.016144,0.018235,0.021844,0.028278,0.039968", \ - "0.020917,0.021708,0.023175,0.025760,0.030094,0.037267,0.049907", \ - "0.029032,0.029940,0.031691,0.034801,0.039990,0.048332,0.062009"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.006161,0.007044,0.008800,0.012314,0.019306,0.033276,0.061191", \ - "0.006152,0.007041,0.008805,0.012311,0.019311,0.033287,0.061192", \ - "0.007648,0.008256,0.009581,0.012525,0.019315,0.033284,0.061185", \ - "0.010532,0.011346,0.012953,0.016032,0.021446,0.033534,0.061172", \ - "0.014877,0.015712,0.017354,0.020581,0.026811,0.037969,0.061810", \ - "0.020726,0.021625,0.023398,0.026771,0.033207,0.045516,0.067612", \ - "0.028070,0.029051,0.030975,0.034638,0.041394,0.054112,0.077953"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.004588,0.005060,0.005993,0.007835,0.011478,0.018715,0.033141", \ - "0.005366,0.005833,0.006763,0.008607,0.012259,0.019510,0.033947", \ - "0.006539,0.007276,0.008642,0.011079,0.015215,0.022377,0.036733", \ - "0.005899,0.006934,0.008851,0.012249,0.018006,0.027460,0.042521", \ - "0.003092,0.004425,0.006890,0.011270,0.018692,0.030761,0.049991", \ - "-0.002105,-0.000495,0.002496,0.007825,0.016903,0.031677,0.055015", \ - "-0.009871,-0.007995,-0.004521,0.001713,0.012392,0.029868,0.057450"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.008614,0.009629,0.011620,0.015527,0.023213,0.038428,0.068692", \ - "0.009177,0.010161,0.012127,0.016029,0.023751,0.039026,0.069356", \ - "0.012916,0.013988,0.016001,0.019616,0.027010,0.042005,0.072158", \ - "0.018359,0.019662,0.022130,0.026715,0.034901,0.049384,0.078804", \ - "0.025674,0.027221,0.030121,0.035432,0.044996,0.061757,0.090774", \ - "0.034746,0.036584,0.039994,0.046161,0.057019,0.075994,0.108567", \ - "0.045664,0.047787,0.051727,0.058840,0.071188,0.092277,0.128555"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.002581,0.002975,0.003762,0.005334,0.008476,0.014749,0.027280", \ - "0.002635,0.002979,0.003760,0.005334,0.008477,0.014749,0.027283", \ - "0.004890,0.005232,0.005883,0.007100,0.009315,0.014779,0.027282", \ - "0.008577,0.009020,0.009845,0.011325,0.013960,0.018648,0.028151", \ - "0.013628,0.014206,0.015269,0.017139,0.020302,0.025702,0.035124", \ - "0.020064,0.020777,0.022104,0.024440,0.028333,0.034625,0.045156", \ - "0.028039,0.028862,0.030437,0.033251,0.037946,0.045403,0.057250"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.006161,0.007044,0.008801,0.012309,0.019312,0.033292,0.061181", \ - "0.006149,0.007034,0.008798,0.012313,0.019320,0.033288,0.061183", \ - "0.007618,0.008234,0.009570,0.012526,0.019315,0.033292,0.061183", \ - "0.010314,0.011141,0.012777,0.015889,0.021349,0.033515,0.061170", \ - "0.014374,0.015206,0.016858,0.020132,0.026464,0.037733,0.061759", \ - "0.019959,0.020833,0.022560,0.025906,0.032419,0.044923,0.067265", \ - "0.027086,0.028013,0.029837,0.033369,0.040062,0.052954,0.077132"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.009500,0.010140,0.011397,0.013851,0.018626,0.027967,0.046391", \ - "0.010083,0.010723,0.011982,0.014435,0.019213,0.028556,0.046981", \ - "0.012854,0.013531,0.014820,0.017201,0.021887,0.031143,0.049495", \ - "0.015436,0.016330,0.018044,0.021235,0.026968,0.036860,0.054858", \ - "0.016764,0.017866,0.019986,0.023916,0.030962,0.043207,0.063861", \ - "0.016727,0.018033,0.020557,0.025238,0.033598,0.048043,0.072403", \ - "0.015258,0.016762,0.019684,0.025088,0.034756,0.051440,0.079329"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.011514,0.012519,0.014502,0.018412,0.026137,0.041468,0.071978", \ - "0.012279,0.013297,0.015310,0.019277,0.027080,0.042496,0.073078", \ - "0.014869,0.015939,0.017933,0.021820,0.029568,0.044995,0.075642", \ - "0.018824,0.020045,0.022389,0.026821,0.035065,0.050293,0.080660", \ - "0.024603,0.026026,0.028720,0.033689,0.042748,0.059297,0.089581", \ - "0.031595,0.033288,0.036477,0.042256,0.052444,0.070396,0.102750", \ - "0.039614,0.041586,0.045292,0.052019,0.063706,0.083576,0.118161"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.006307,0.006821,0.007847,0.009877,0.013909,0.021919,0.037873", \ - "0.006311,0.006826,0.007849,0.009878,0.013909,0.021917,0.037870", \ - "0.007327,0.007711,0.008516,0.010217,0.013928,0.021920,0.037869", \ - "0.011001,0.011492,0.012433,0.014186,0.017419,0.023504,0.037900", \ - "0.016176,0.016773,0.017890,0.019932,0.023616,0.030209,0.042109", \ - "0.022808,0.023556,0.024912,0.027341,0.031562,0.038871,0.051821", \ - "0.030879,0.031796,0.033425,0.036359,0.041332,0.049547,0.063528"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.009485,0.010458,0.012365,0.016070,0.023254,0.037324,0.065244", \ - "0.009488,0.010460,0.012366,0.016072,0.023257,0.037325,0.065249", \ - "0.010317,0.011118,0.012765,0.016158,0.023257,0.037328,0.065251", \ - "0.013751,0.014437,0.015860,0.018784,0.024628,0.037525,0.065244", \ - "0.018636,0.019191,0.020399,0.023041,0.028770,0.040431,0.065787", \ - "0.025044,0.025557,0.026657,0.029087,0.034412,0.045933,0.069541", \ - "0.032670,0.033169,0.034276,0.036717,0.041934,0.053017,0.076562"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.007248,0.007877,0.009110,0.011516,0.016217,0.025463,0.043781", \ - "0.007826,0.008456,0.009691,0.012100,0.016803,0.026052,0.044372", \ - "0.010169,0.010916,0.012331,0.014897,0.019511,0.028654,0.046892", \ - "0.011722,0.012713,0.014584,0.018011,0.024055,0.034329,0.052287", \ - "0.012068,0.013294,0.015621,0.019866,0.027312,0.039998,0.061122", \ - "0.011081,0.012531,0.015309,0.020363,0.029226,0.044237,0.069120", \ - "0.008694,0.010368,0.013568,0.019407,0.029667,0.047029,0.075565"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.011041,0.012036,0.014001,0.017874,0.025522,0.040704,0.070952", \ - "0.011803,0.012811,0.014805,0.018732,0.026464,0.041730,0.072047", \ - "0.014329,0.015404,0.017424,0.021279,0.028951,0.044229,0.074608", \ - "0.018122,0.019360,0.021722,0.026164,0.034398,0.049531,0.079630", \ - "0.023612,0.025086,0.027836,0.032862,0.041939,0.058443,0.088559", \ - "0.030166,0.031946,0.035232,0.041138,0.051428,0.069384,0.101630", \ - "0.037560,0.039676,0.043548,0.050482,0.062363,0.082343,0.116870"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.004882,0.005386,0.006385,0.008384,0.012374,0.020334,0.036222", \ - "0.004875,0.005386,0.006389,0.008388,0.012374,0.020336,0.036224", \ - "0.006506,0.006912,0.007697,0.009180,0.012565,0.020338,0.036222", \ - "0.010250,0.010727,0.011644,0.013358,0.016555,0.022463,0.036329", \ - "0.015391,0.016003,0.017125,0.019151,0.022772,0.029299,0.041086", \ - "0.021876,0.022657,0.024060,0.026544,0.030785,0.037994,0.050847", \ - "0.029740,0.030709,0.032426,0.035455,0.040503,0.048699,0.062573"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.006164,0.007042,0.008800,0.012318,0.019305,0.033273,0.061180", \ - "0.006168,0.007047,0.008802,0.012312,0.019308,0.033275,0.061172", \ - "0.007049,0.007756,0.009237,0.012416,0.019309,0.033281,0.061176", \ - "0.009591,0.010326,0.011824,0.014848,0.020733,0.033500,0.061185", \ - "0.013484,0.014191,0.015620,0.018523,0.024502,0.036438,0.061711", \ - "0.018560,0.019322,0.020843,0.023807,0.029650,0.041603,0.065514", \ - "0.024727,0.025542,0.027200,0.030433,0.036535,0.048299,0.072332"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.005868,0.006375,0.007365,0.009289,0.013035,0.020381,0.034916", \ - "0.006551,0.007054,0.008041,0.009964,0.013708,0.021054,0.035587", \ - "0.008570,0.009239,0.010495,0.012762,0.016656,0.023894,0.038341", \ - "0.009214,0.010120,0.011830,0.014927,0.020318,0.029363,0.044151", \ - "0.008208,0.009344,0.011496,0.015396,0.022187,0.033561,0.052166", \ - "0.005446,0.006794,0.009358,0.014029,0.022191,0.035879,0.058131", \ - "0.000834,0.002378,0.005341,0.010728,0.020188,0.036148,0.062070"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.010974,0.011969,0.013933,0.017805,0.025455,0.040640,0.070892", \ - "0.011702,0.012705,0.014689,0.018606,0.026333,0.041603,0.071923", \ - "0.014283,0.015352,0.017360,0.021195,0.028836,0.044089,0.074459", \ - "0.018370,0.019586,0.021909,0.026304,0.034476,0.049538,0.079573", \ - "0.024558,0.025983,0.028652,0.033558,0.042493,0.058845,0.088808", \ - "0.032179,0.033885,0.037047,0.042756,0.052779,0.070458,0.102433", \ - "0.041083,0.043087,0.046792,0.053445,0.064921,0.084418,0.118512"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.003792,0.004187,0.004978,0.006556,0.009708,0.016008,0.028583", \ - "0.003764,0.004173,0.004975,0.006558,0.009709,0.016008,0.028589", \ - "0.005845,0.006169,0.006786,0.007928,0.010279,0.016020,0.028590", \ - "0.009609,0.010020,0.010805,0.012239,0.014848,0.019451,0.029289", \ - "0.014721,0.015263,0.016254,0.018022,0.021106,0.026515,0.035927", \ - "0.021203,0.021902,0.023158,0.025365,0.029082,0.035256,0.045874", \ - "0.029102,0.029978,0.031530,0.034248,0.038749,0.045923,0.057669"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.006167,0.007044,0.008800,0.012316,0.019308,0.033274,0.061181", \ - "0.006171,0.007046,0.008800,0.012309,0.019311,0.033284,0.061175", \ - "0.007063,0.007769,0.009249,0.012430,0.019310,0.033274,0.061173", \ - "0.009494,0.010240,0.011752,0.014808,0.020716,0.033491,0.061186", \ - "0.013163,0.013873,0.015306,0.018253,0.024314,0.036345,0.061691", \ - "0.018095,0.018834,0.020308,0.023243,0.029130,0.041251,0.065347", \ - "0.024194,0.024960,0.026539,0.029641,0.035647,0.047514,0.071858"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.009573,0.010213,0.011462,0.013890,0.018614,0.027880,0.046214", \ - "0.010287,0.010935,0.012200,0.014653,0.019410,0.028709,0.047068", \ - "0.011828,0.012524,0.013867,0.016411,0.021174,0.030506,0.048902", \ - "0.012671,0.013559,0.015238,0.018318,0.023821,0.033743,0.052223", \ - "0.011526,0.012674,0.014850,0.018795,0.025667,0.037255,0.057254", \ - "0.007924,0.009333,0.012020,0.016894,0.025370,0.039442,0.062307", \ - "0.001672,0.003331,0.006519,0.012310,0.022405,0.039178,0.065980"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.013939,0.014949,0.016946,0.020886,0.028656,0.044037,0.074595", \ - "0.014406,0.015419,0.017426,0.021383,0.029183,0.044594,0.075169", \ - "0.018121,0.019068,0.020965,0.024756,0.032350,0.047560,0.077996", \ - "0.025266,0.026397,0.028579,0.032698,0.040180,0.054765,0.084536", \ - "0.034237,0.035539,0.038053,0.042824,0.051667,0.067488,0.096307", \ - "0.045492,0.046966,0.049823,0.055181,0.065072,0.082972,0.114329", \ - "0.059108,0.060789,0.064011,0.070030,0.081002,0.100644,0.135447"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.004867,0.005373,0.006379,0.008382,0.012373,0.020335,0.036226", \ - "0.004863,0.005367,0.006376,0.008380,0.012373,0.020338,0.036223", \ - "0.005524,0.005975,0.006844,0.008632,0.012415,0.020336,0.036221", \ - "0.008216,0.008626,0.009424,0.011017,0.014304,0.021222,0.036297", \ - "0.012563,0.013033,0.013923,0.015598,0.018728,0.024952,0.038386", \ - "0.018005,0.018571,0.019633,0.021612,0.025134,0.031404,0.043747", \ - "0.024411,0.025098,0.026358,0.028709,0.032852,0.039858,0.052153"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.012935,0.013865,0.015709,0.019344,0.026487,0.040577,0.068584", \ - "0.012935,0.013864,0.015708,0.019345,0.026486,0.040576,0.068583", \ - "0.013278,0.014105,0.015793,0.019337,0.026489,0.040579,0.068575", \ - "0.016870,0.017635,0.019051,0.021792,0.027654,0.040606,0.068568", \ - "0.021371,0.022205,0.023846,0.027032,0.032994,0.044042,0.068894", \ - "0.027107,0.027974,0.029657,0.033013,0.039509,0.051600,0.073821", \ - "0.034245,0.035117,0.036864,0.040328,0.047086,0.060062,0.083704"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.007589,0.008186,0.009364,0.011686,0.016281,0.025414,0.043619", \ - "0.008222,0.008840,0.010050,0.012418,0.017062,0.026237,0.044472", \ - "0.009273,0.010016,0.011418,0.014022,0.018810,0.028028,0.046308", \ - "0.008990,0.010013,0.011909,0.015283,0.021080,0.031161,0.049643", \ - "0.006525,0.007868,0.010360,0.014769,0.022195,0.034295,0.054556", \ - "0.001652,0.003302,0.006375,0.011822,0.021019,0.035847,0.059321", \ - "-0.005828,-0.003875,-0.000242,0.006215,0.017163,0.034859,0.062517"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.013361,0.014368,0.016354,0.020266,0.027974,0.043227,0.073524", \ - "0.013826,0.014837,0.016833,0.020763,0.028499,0.043779,0.074106", \ - "0.017568,0.018505,0.020386,0.024143,0.031670,0.046753,0.076924", \ - "0.024476,0.025622,0.027829,0.031987,0.039515,0.053960,0.083476", \ - "0.033167,0.034497,0.037050,0.041858,0.050742,0.066605,0.095254", \ - "0.044024,0.045547,0.048472,0.053910,0.063865,0.081805,0.113192", \ - "0.057077,0.058827,0.062166,0.068328,0.079438,0.099169,0.134000"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.003362,0.003859,0.004853,0.006845,0.010819,0.018748,0.034574", \ - "0.003373,0.003864,0.004856,0.006844,0.010818,0.018749,0.034574", \ - "0.004496,0.004896,0.005720,0.007397,0.010984,0.018747,0.034575", \ - "0.007554,0.007947,0.008702,0.010184,0.013250,0.019929,0.034712", \ - "0.012011,0.012455,0.013321,0.014958,0.017986,0.023957,0.037085", \ - "0.017481,0.018013,0.019045,0.020980,0.024477,0.030626,0.042673", \ - "0.023881,0.024506,0.025720,0.028029,0.032149,0.039137,0.051282"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.009208,0.010101,0.011871,0.015409,0.022458,0.036502,0.064506", \ - "0.009208,0.010101,0.011874,0.015407,0.022454,0.036499,0.064508", \ - "0.009587,0.010372,0.011974,0.015396,0.022454,0.036511,0.064496", \ - "0.012557,0.013403,0.015022,0.017929,0.023663,0.036542,0.064503", \ - "0.016039,0.016998,0.018842,0.022328,0.028719,0.040020,0.064828", \ - "0.020580,0.021645,0.023657,0.027451,0.034504,0.047245,0.069796", \ - "0.026274,0.027454,0.029684,0.033808,0.041336,0.055093,0.079516"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.006440,0.006897,0.007798,0.009570,0.013072,0.020024,0.033877", \ - "0.007195,0.007669,0.008596,0.010403,0.013943,0.020928,0.034804", \ - "0.008646,0.009324,0.010589,0.012857,0.016758,0.023797,0.037711", \ - "0.008332,0.009305,0.011110,0.014317,0.019762,0.028701,0.043345", \ - "0.005696,0.006981,0.009372,0.013613,0.020762,0.032297,0.050584", \ - "0.000540,0.002120,0.005076,0.010336,0.019241,0.033585,0.055963", \ - "-0.007333,-0.005466,-0.001968,0.004275,0.014898,0.032096,0.058858"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.014629,0.015620,0.017584,0.021467,0.029146,0.044367,0.074647", \ - "0.015103,0.016098,0.018071,0.021972,0.029682,0.044943,0.075258", \ - "0.018844,0.019779,0.021649,0.025389,0.032891,0.047947,0.078099", \ - "0.026100,0.027214,0.029365,0.033430,0.040823,0.055283,0.084777", \ - "0.035132,0.036419,0.038913,0.043652,0.052444,0.068165,0.096779", \ - "0.046416,0.047892,0.050718,0.056050,0.065914,0.083790,0.115032", \ - "0.060017,0.061702,0.064913,0.070912,0.081872,0.101539,0.136366"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.002887,0.003263,0.004018,0.005522,0.008519,0.014484,0.026386", \ - "0.002906,0.003277,0.004024,0.005524,0.008516,0.014487,0.026383", \ - "0.004675,0.004964,0.005534,0.006655,0.008965,0.014494,0.026386", \ - "0.008165,0.008478,0.009106,0.010319,0.012661,0.017170,0.026917", \ - "0.012907,0.013276,0.014004,0.015415,0.018046,0.022873,0.031979", \ - "0.018690,0.019127,0.019989,0.021674,0.024792,0.030260,0.039922", \ - "0.025439,0.025953,0.026966,0.028974,0.032670,0.039061,0.049748"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.009837,0.010735,0.012526,0.016089,0.023164,0.037231,0.065252", \ - "0.009837,0.010735,0.012529,0.016089,0.023163,0.037231,0.065251", \ - "0.010084,0.010893,0.012556,0.016084,0.023160,0.037232,0.065252", \ - "0.013019,0.013857,0.015459,0.018311,0.024166,0.037231,0.065256", \ - "0.016461,0.017416,0.019251,0.022730,0.029087,0.040419,0.065498", \ - "0.020936,0.021976,0.023969,0.027747,0.034789,0.047500,0.070114", \ - "0.026596,0.027737,0.029920,0.033982,0.041463,0.055207,0.079584"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.011579,0.012221,0.013479,0.015931,0.020706,0.030048,0.048475", \ - "0.012229,0.012872,0.014137,0.016597,0.021380,0.030730,0.049165", \ - "0.013890,0.014562,0.015874,0.018360,0.023153,0.032518,0.050966", \ - "0.015435,0.016252,0.017809,0.020720,0.026049,0.035835,0.054310", \ - "0.015558,0.016587,0.018555,0.022187,0.028634,0.039793,0.059508", \ - "0.013792,0.015039,0.017440,0.021851,0.029665,0.042937,0.065079", \ - "0.010020,0.011467,0.014287,0.019464,0.028643,0.044244,0.069807"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.016165,0.017170,0.019159,0.023087,0.030850,0.046223,0.076773", \ - "0.017009,0.018021,0.020024,0.023976,0.031764,0.047159,0.077727", \ - "0.019699,0.020699,0.022681,0.026608,0.034379,0.049782,0.080367", \ - "0.024755,0.025868,0.028026,0.032189,0.040038,0.055157,0.085489", \ - "0.032175,0.033389,0.035748,0.040244,0.048753,0.064690,0.094589", \ - "0.041757,0.043131,0.045795,0.050774,0.059978,0.076959,0.108387", \ - "0.053199,0.054776,0.057821,0.063446,0.073645,0.091899,0.125069"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.006302,0.006819,0.007846,0.009877,0.013909,0.021919,0.037873", \ - "0.006300,0.006818,0.007844,0.009876,0.013908,0.021920,0.037878", \ - "0.006746,0.007209,0.008143,0.010044,0.013929,0.021919,0.037870", \ - "0.009190,0.009622,0.010459,0.012138,0.015570,0.022661,0.037928", \ - "0.013476,0.013942,0.014822,0.016497,0.019693,0.026139,0.039813", \ - "0.019018,0.019567,0.020596,0.022505,0.025952,0.032270,0.044939", \ - "0.025608,0.026279,0.027499,0.029754,0.033740,0.040571,0.052980"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.012935,0.013865,0.015709,0.019344,0.026488,0.040573,0.068572", \ - "0.012935,0.013865,0.015708,0.019344,0.026486,0.040577,0.068566", \ - "0.013124,0.013993,0.015745,0.019346,0.026488,0.040576,0.068578", \ - "0.015756,0.016530,0.018057,0.021032,0.027313,0.040630,0.068579", \ - "0.019460,0.020228,0.021756,0.024834,0.030953,0.042970,0.068907", \ - "0.024417,0.025170,0.026668,0.029687,0.035802,0.048046,0.072195", \ - "0.030594,0.031367,0.032884,0.035940,0.042024,0.054258,0.078715"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.009334,0.009961,0.011193,0.013597,0.018298,0.027545,0.045866", \ - "0.009971,0.010603,0.011842,0.014257,0.018969,0.028227,0.046554", \ - "0.011384,0.012084,0.013426,0.015971,0.020737,0.030014,0.048359", \ - "0.012080,0.012991,0.014706,0.017829,0.023361,0.033262,0.051713", \ - "0.011140,0.012306,0.014503,0.018477,0.025355,0.036908,0.056826", \ - "0.008381,0.009783,0.012452,0.017279,0.025642,0.039526,0.062149", \ - "0.003672,0.005303,0.008420,0.014060,0.023880,0.040218,0.066469"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.015588,0.016589,0.018567,0.022469,0.030167,0.045412,0.075712", \ - "0.016429,0.017439,0.019432,0.023355,0.031080,0.046349,0.076667", \ - "0.019125,0.020120,0.022089,0.025987,0.033697,0.048971,0.079301", \ - "0.024038,0.025160,0.027332,0.031500,0.039351,0.054339,0.084420", \ - "0.031276,0.032507,0.034896,0.039412,0.047921,0.063810,0.093514", \ - "0.040556,0.041971,0.044688,0.049731,0.058976,0.075931,0.107244", \ - "0.051584,0.053215,0.056352,0.062092,0.072394,0.090679,0.123766"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.004864,0.005370,0.006377,0.008380,0.012373,0.020337,0.036225", \ - "0.004861,0.005366,0.006377,0.008380,0.012375,0.020336,0.036221", \ - "0.005654,0.006091,0.006968,0.008738,0.012479,0.020338,0.036225", \ - "0.008502,0.008892,0.009672,0.011227,0.014453,0.021318,0.036329", \ - "0.012920,0.013364,0.014219,0.015835,0.018901,0.025091,0.038471", \ - "0.018444,0.018994,0.020003,0.021895,0.025284,0.031456,0.043816", \ - "0.024935,0.025604,0.026831,0.029093,0.033066,0.039861,0.052061"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.009211,0.010099,0.011873,0.015410,0.022457,0.036503,0.064506", \ - "0.009211,0.010097,0.011871,0.015407,0.022457,0.036503,0.064503", \ - "0.009423,0.010243,0.011916,0.015406,0.022453,0.036510,0.064498", \ - "0.011665,0.012478,0.014074,0.017153,0.023301,0.036562,0.064501", \ - "0.014654,0.015498,0.017158,0.020403,0.026749,0.038935,0.064831", \ - "0.018700,0.019602,0.021337,0.024680,0.031150,0.043763,0.068149", \ - "0.023721,0.024710,0.026603,0.030187,0.036862,0.049615,0.074530"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.007652,0.008136,0.009085,0.010932,0.014531,0.021586,0.035538", \ - "0.008412,0.008899,0.009853,0.011709,0.015316,0.022380,0.036338", \ - "0.010507,0.011125,0.012288,0.014405,0.018159,0.025245,0.039220", \ - "0.011243,0.012112,0.013743,0.016695,0.021822,0.030418,0.044872", \ - "0.010111,0.011231,0.013347,0.017174,0.023783,0.034736,0.052492", \ - "0.007018,0.008371,0.010948,0.015618,0.023723,0.037133,0.058612", \ - "0.001855,0.003427,0.006438,0.011902,0.021444,0.037324,0.062692"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.016869,0.017855,0.019810,0.023680,0.031346,0.046557,0.076835", \ - "0.017706,0.018701,0.020672,0.024569,0.032270,0.047517,0.077820", \ - "0.020393,0.021374,0.023318,0.027185,0.034863,0.050115,0.080441", \ - "0.025584,0.026673,0.028786,0.032875,0.040601,0.055554,0.085602", \ - "0.033153,0.034348,0.036664,0.041099,0.049511,0.065277,0.094890", \ - "0.042914,0.044274,0.046897,0.051808,0.060924,0.077781,0.108964", \ - "0.054539,0.056107,0.059110,0.064678,0.074787,0.092942,0.125958"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.004054,0.004434,0.005188,0.006692,0.009694,0.015676,0.027613", \ - "0.004047,0.004428,0.005184,0.006691,0.009695,0.015673,0.027611", \ - "0.005517,0.005801,0.006365,0.007483,0.009982,0.015680,0.027610", \ - "0.008995,0.009304,0.009934,0.011149,0.013498,0.018011,0.028040", \ - "0.013723,0.014098,0.014817,0.016199,0.018817,0.023673,0.032832", \ - "0.019566,0.020018,0.020881,0.022523,0.025542,0.030930,0.040687", \ - "0.026394,0.026959,0.028004,0.029983,0.033550,0.039719,0.050311"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.009839,0.010736,0.012526,0.016087,0.023165,0.037233,0.065259", \ - "0.009835,0.010735,0.012528,0.016090,0.023165,0.037234,0.065249", \ - "0.009971,0.010819,0.012550,0.016087,0.023161,0.037241,0.065249", \ - "0.012139,0.012960,0.014568,0.017644,0.023896,0.037256,0.065251", \ - "0.015006,0.015866,0.017542,0.020835,0.027226,0.039470,0.065541", \ - "0.018930,0.019832,0.021578,0.024944,0.031469,0.044178,0.068662", \ - "0.023892,0.024869,0.026744,0.030299,0.036982,0.049822,0.074864"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("1.002440,1.012069,1.044174,1.070953,1.109184,1.142320,1.169805", \ - "1.011701,1.022822,1.047906,1.081531,1.121955,1.170619,1.199884", \ - "1.172801,1.175182,1.173458,1.181541,1.197605,1.219673,1.240838", \ - "2.073765,2.022526,1.949381,1.809029,1.648089,1.546834,1.454603", \ - "3.559519,3.552924,3.476125,3.295866,2.937870,2.459239,2.103204", \ - "5.580718,5.560185,5.563273,5.441715,5.073325,4.343258,3.425859", \ - "8.095965,8.143068,8.158765,8.115277,7.890710,7.178091,5.778276"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("4.103640,4.107504,4.135452,4.157077,4.221751,4.242041,4.246610", \ - "4.062891,4.063660,4.094279,4.126259,4.185424,4.158649,4.094600", \ - "4.373920,4.360603,4.309443,4.332898,4.310871,4.173999,4.144228", \ - "5.414722,5.391286,5.301426,5.139444,4.994712,4.769403,4.528338", \ - "7.079291,7.007966,6.919645,6.779164,6.531186,6.055152,5.504908", \ - "9.726761,9.674397,9.471156,9.210959,8.836589,8.256061,7.259264", \ - "13.322350,13.208500,13.038050,12.751840,12.141300,11.316600,10.189560"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.331572,0.334719,0.356674,0.368029,0.387980,0.397823,0.404934", \ - "0.316656,0.328237,0.342137,0.367517,0.397553,0.420663,0.437947", \ - "0.577194,0.535815,0.503875,0.489688,0.475116,0.476309,0.477525", \ - "1.606745,1.550438,1.432997,1.243469,0.989720,0.830968,0.704332", \ - "3.103196,3.094931,2.990146,2.817499,2.399733,1.819176,1.377466", \ - "5.081581,5.116586,5.093252,4.948547,4.607488,3.795400,2.755199", \ - "7.628259,7.666948,7.669673,7.675969,7.396736,6.690198,5.184616"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("3.230248,3.256669,3.277860,3.316583,3.285371,3.350504,3.236409", \ - "3.185013,3.181104,3.209301,3.253954,3.262966,3.297513,3.322742", \ - "3.522392,3.517620,3.487689,3.441575,3.390214,3.281485,3.414203", \ - "4.432448,4.447032,4.435617,4.297373,4.094590,3.910401,3.773665", \ - "6.139210,6.102510,5.998213,5.847874,5.669314,5.178833,4.762289", \ - "8.815097,8.743923,8.562677,8.310332,7.889022,7.283331,6.549413", \ - "12.337300,12.231130,12.112510,11.800110,11.168160,10.393190,9.207462"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.325180,0.338248,0.349046,0.370466,0.388141,0.400797,0.407066", \ - "0.323487,0.332630,0.350672,0.375740,0.406047,0.428868,0.443227", \ - "0.672595,0.637209,0.574965,0.527533,0.508458,0.497488,0.491733", \ - "1.707040,1.671935,1.588085,1.406725,1.134700,0.907237,0.762901", \ - "3.204243,3.197473,3.156742,2.996429,2.666875,2.072523,1.528426", \ - "5.199460,5.185938,5.220124,5.151953,4.905364,4.220450,3.125979", \ - "7.726869,7.737195,7.783959,7.820364,7.692004,7.163896,5.822185"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("3.204078,3.232384,3.257903,3.275178,3.290500,3.300387,3.357181", \ - "3.141192,3.149743,3.162296,3.193834,3.223024,3.252507,3.316956", \ - "3.508343,3.481041,3.492831,3.432359,3.415842,3.376360,3.384784", \ - "4.542279,4.544821,4.519098,4.404546,4.238979,3.967487,3.785277", \ - "6.360482,6.314093,6.208907,6.034289,5.824461,5.400678,4.803536", \ - "9.203637,9.124005,8.976489,8.687706,8.218367,7.725460,6.867137", \ - "12.998030,12.883620,12.714960,12.404210,11.818540,10.812670,9.683415"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("1.580264,1.581527,1.607827,1.628142,1.662936,1.707619,1.747570", \ - "1.492286,1.521672,1.528762,1.569352,1.603538,1.652381,1.694478", \ - "1.637684,1.625470,1.648378,1.650726,1.670778,1.684037,1.705978", \ - "2.449307,2.410574,2.351064,2.245292,2.135577,2.036578,1.941710", \ - "4.064962,4.025215,3.925517,3.754444,3.436235,3.000672,2.641290", \ - "6.342770,6.317177,6.243362,6.064277,5.684482,4.981651,4.077489", \ - "9.191475,9.206970,9.208371,9.090785,8.756311,7.969694,6.600794"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("5.198725,5.198830,5.217345,5.220837,5.168962,5.269386,5.158271", \ - "5.089770,5.092469,5.121328,5.138108,5.220513,5.267714,5.169879", \ - "5.046937,5.092244,5.075203,5.079127,5.150171,5.126556,5.191664", \ - "5.734311,5.697952,5.632354,5.576297,5.475057,5.416300,5.218797", \ - "7.032873,7.032164,6.926913,6.831625,6.639955,6.325168,5.970155", \ - "9.395301,9.306949,9.138088,8.893469,8.492102,8.026136,7.224176", \ - "12.541180,12.421160,12.290510,11.946580,11.423510,10.580980,9.348527"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.867807,0.886677,0.896775,0.914210,0.939901,0.964466,0.983326", \ - "0.805336,0.819661,0.835651,0.847872,0.884089,0.902814,0.930428", \ - "0.957715,0.974244,0.965511,0.957154,0.947687,0.946342,0.947115", \ - "1.950269,1.900341,1.804828,1.654952,1.470940,1.320189,1.190787", \ - "3.619169,3.571227,3.479907,3.262589,2.887002,2.354619,1.921460", \ - "5.907899,5.881852,5.805212,5.626140,5.206223,4.426735,3.410989", \ - "8.765723,8.751246,8.736599,8.654506,8.327890,7.485557,6.006875"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("4.323667,4.329736,4.339557,4.346965,4.343035,4.365395,4.199828", \ - "4.208417,4.191205,4.245403,4.275498,4.319018,4.339612,4.392636", \ - "4.193535,4.213082,4.210008,4.192861,4.259165,4.274233,4.177941", \ - "4.737978,4.736122,4.798493,4.723720,4.640220,4.500453,4.335607", \ - "6.121228,6.056711,6.003289,5.866555,5.718112,5.352207,5.116458", \ - "8.438828,8.358289,8.196634,7.999811,7.567468,7.170079,6.255606", \ - "11.525540,11.439900,11.332690,11.035360,10.522930,9.685992,8.693390"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.852839,0.865372,0.876685,0.904734,0.921130,0.949860,0.973670", \ - "0.788120,0.798808,0.816337,0.840847,0.864987,0.899520,0.920328", \ - "1.010418,0.985387,0.963186,0.963763,0.953791,0.948759,0.945280", \ - "2.074926,2.032490,1.942646,1.790420,1.569471,1.381101,1.232183", \ - "3.782269,3.740798,3.671556,3.495267,3.135538,2.594734,2.062739", \ - "6.069286,6.058772,6.036770,5.924688,5.575750,4.849201,3.784296", \ - "8.967811,8.961335,9.012326,8.991012,8.771117,8.068553,6.648272"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("4.286661,4.296198,4.320796,4.329194,4.345337,4.368877,4.246170", \ - "4.165281,4.167738,4.212154,4.242881,4.281824,4.348391,4.352092", \ - "4.181198,4.200168,4.194208,4.176614,4.163466,4.232880,4.284398", \ - "4.766133,4.783893,4.840333,4.718856,4.621950,4.501877,4.341962", \ - "6.319855,6.252688,6.127018,6.033766,5.911467,5.572878,5.201009", \ - "8.769547,8.681740,8.573205,8.317249,7.894924,7.420759,6.720072", \ - "12.161820,12.067170,11.881470,11.601510,10.997750,10.133960,9.144909"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("1.002285,1.030611,1.048678,1.078955,1.113506,1.136539,1.169888", \ - "0.971677,0.989277,1.017161,1.063017,1.105922,1.155184,1.198223", \ - "0.937514,0.948847,0.967053,1.011833,1.064233,1.124187,1.184755", \ - "1.569772,1.538348,1.476506,1.399303,1.305234,1.284880,1.281234", \ - "2.771093,2.782196,2.723516,2.583626,2.331382,1.965290,1.725459", \ - "4.449427,4.450303,4.413348,4.334716,4.128194,3.570966,2.789320", \ - "6.502241,6.501248,6.573777,6.530617,6.446508,5.968399,4.845284"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("5.980670,5.988488,6.004097,6.036693,5.999473,6.074950,5.961472", \ - "5.851850,5.904447,5.910604,5.956214,5.941584,5.998248,6.006116", \ - "6.176843,6.159696,6.133700,6.125565,6.100702,6.106654,6.122366", \ - "7.160283,7.122083,7.110079,6.986599,6.884102,6.689419,6.375472", \ - "8.898807,8.865421,8.814812,8.694546,8.407074,8.019019,7.469071", \ - "11.511120,11.427930,11.323890,11.187870,10.880250,10.268360,9.486245", \ - "15.125310,15.081760,14.944940,14.734390,14.210610,13.497930,12.216130"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.332367,0.341729,0.360602,0.376192,0.387908,0.399330,0.400723", \ - "0.243502,0.256620,0.292870,0.338575,0.376262,0.412906,0.430887", \ - "0.247085,0.237418,0.248988,0.280294,0.326536,0.372497,0.417218", \ - "1.007804,0.966582,0.899065,0.770226,0.606858,0.545018,0.516815", \ - "2.263891,2.198967,2.179174,2.032305,1.748972,1.298888,0.985451", \ - "3.937899,3.927162,3.909143,3.783271,3.559932,2.975128,2.104475", \ - "6.044243,6.026336,6.003967,6.027784,5.912189,5.424638,4.225135"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("5.059727,5.053990,5.104988,5.117459,5.162165,5.218566,5.148988", \ - "4.988036,4.984800,4.970972,5.060533,5.030811,5.153373,5.171287", \ - "5.259950,5.242248,5.238896,5.245082,5.167613,5.134900,5.157373", \ - "6.289343,6.228437,6.209619,6.112573,5.917421,5.746098,5.622748", \ - "7.897789,7.881623,7.833970,7.739180,7.492929,7.119707,6.613820", \ - "10.493730,10.466440,10.356650,10.213690,9.880001,9.401259,8.463817", \ - "14.054550,14.021030,13.950450,13.754580,13.359150,12.639550,11.594590"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.336996,0.346450,0.355621,0.370573,0.387571,0.398305,0.404587", \ - "0.249904,0.261322,0.295408,0.340900,0.377150,0.411044,0.436159", \ - "0.275023,0.267827,0.274014,0.292783,0.339426,0.382383,0.422976", \ - "1.061961,1.023077,0.952853,0.831874,0.669772,0.579869,0.542022", \ - "2.340434,2.291622,2.238276,2.116095,1.837912,1.397074,1.037594", \ - "4.057704,4.050883,3.978958,3.915882,3.660634,3.112664,2.242068", \ - "6.193834,6.127351,6.174224,6.145281,5.946411,5.515536,4.419906"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("5.498921,5.525876,5.508218,5.540189,5.587134,5.582340,5.659658", \ - "5.414141,5.429814,5.469319,5.474886,5.529375,5.606548,5.605972", \ - "5.714843,5.726463,5.718729,5.688976,5.680789,5.632336,5.667992", \ - "6.726984,6.694894,6.672789,6.584307,6.473342,6.340291,6.101697", \ - "8.386815,8.364280,8.336410,8.314722,8.016884,7.664194,7.255106", \ - "10.995870,10.998650,10.900100,10.752100,10.496980,10.070480,9.106462", \ - "14.635100,14.603680,14.502020,14.325710,13.985910,13.264550,12.205400"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("1.579956,1.588342,1.591961,1.630457,1.662168,1.702845,1.748604", \ - "1.504935,1.505705,1.535455,1.560842,1.601699,1.650822,1.695766", \ - "1.467028,1.474862,1.502696,1.535439,1.572484,1.619484,1.666218", \ - "1.983907,1.964478,1.924995,1.856691,1.813243,1.794199,1.777899", \ - "3.253081,3.207642,3.174514,3.041545,2.812774,2.491871,2.266812", \ - "5.123964,5.093702,5.075128,4.961607,4.672296,4.144268,3.401546", \ - "7.501263,7.500505,7.494239,7.436721,7.255844,6.684833,5.570890"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("7.018114,7.011256,7.059203,7.082004,7.079170,6.997489,6.936788", \ - "6.931705,6.953368,6.973851,7.002323,7.013769,7.065173,6.900808", \ - "6.927689,6.918181,6.941999,6.945208,6.994602,7.033347,6.887248", \ - "7.484713,7.508602,7.459261,7.416691,7.277612,7.190341,7.046701", \ - "8.817414,8.843952,8.779381,8.748236,8.534301,8.082827,7.727124", \ - "11.088230,11.009030,10.957690,10.777450,10.536480,10.052120,9.425256", \ - "14.257240,14.179050,14.080110,13.880740,13.346120,12.724580,11.740250"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.880129,0.888199,0.903589,0.917994,0.935984,0.958604,0.980305", \ - "0.789211,0.806147,0.820734,0.849055,0.874645,0.905796,0.928375", \ - "0.764342,0.774112,0.789997,0.807540,0.843073,0.867401,0.901952", \ - "1.396088,1.358237,1.314548,1.220026,1.112125,1.057352,1.022068", \ - "2.751631,2.691348,2.639624,2.482975,2.211953,1.823832,1.525211", \ - "4.651096,4.637412,4.555868,4.450762,4.142815,3.544238,2.725639", \ - "7.030145,7.017746,7.012332,6.977947,6.725406,6.132863,4.953394"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("6.109747,6.087859,6.137326,6.160610,6.215987,6.115307,6.209712", \ - "6.023481,6.006025,6.055064,6.061270,6.091246,6.061289,6.229058", \ - "6.009258,6.041327,6.055612,6.029098,6.123858,6.033338,6.132438", \ - "6.614774,6.595193,6.562636,6.542744,6.470524,6.357332,6.283198", \ - "7.848690,7.807879,7.802878,7.713593,7.601879,7.247171,6.976079", \ - "10.088090,10.024600,9.959746,9.789253,9.528873,9.051707,8.416451", \ - "13.182050,13.121880,13.042450,12.905800,12.492880,11.718440,10.852020"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.867359,0.876655,0.891703,0.913678,0.927891,0.956216,0.974293", \ - "0.780170,0.792184,0.811979,0.841085,0.860277,0.890745,0.922150", \ - "0.774607,0.764470,0.785975,0.813912,0.839176,0.870104,0.896665", \ - "1.438740,1.422713,1.362183,1.274610,1.139125,1.078225,1.028600", \ - "2.824903,2.792159,2.724642,2.579578,2.304892,1.915132,1.572902", \ - "4.778427,4.757712,4.707929,4.548075,4.245261,3.678111,2.855812", \ - "7.178434,7.188920,7.201390,7.132489,6.871527,6.294375,5.141862"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("6.570615,6.584632,6.586229,6.618712,6.604280,6.660136,6.709093", \ - "6.481704,6.493344,6.528409,6.544215,6.572296,6.650768,6.645994", \ - "6.471922,6.446870,6.492489,6.505924,6.533973,6.609843,6.661328", \ - "7.057701,7.043872,7.021495,7.001060,6.918648,6.827798,6.829861", \ - "8.328013,8.297917,8.301403,8.230451,8.106147,7.790795,7.552763", \ - "10.577040,10.515890,10.485550,10.365600,10.148390,9.701057,9.105019", \ - "13.709770,13.673050,13.626300,13.404470,13.035290,12.358740,11.483590"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI22_X2 - Cell Description : Combinational cell (OAI22_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI22_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 210.137147; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 40.099500; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 233.064500; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 233.065375; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 312.814125; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 68.014210; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 191.207625; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 231.757500; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 256.703625; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 68.014343; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 231.757375; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 272.299375; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 297.256125; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 50.069753; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 256.674375; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 297.216250; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 322.180301; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.187889; - fall_capacitance : 2.786384; - rise_capacitance : 3.187889; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.476488; - fall_capacitance : 3.476488; - rise_capacitance : 3.424517; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.248538; - fall_capacitance : 2.700633; - rise_capacitance : 3.248538; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.413721; - fall_capacitance : 3.307070; - rise_capacitance : 3.413721; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 46.310400; - function : "!((A1 | A2) & (B1 | B2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.007335,0.008293,0.009540,0.011967,0.016691,0.025965,0.044329", \ - "0.008057,0.009008,0.010255,0.012685,0.017421,0.026711,0.045089", \ - "0.010528,0.011629,0.013010,0.015533,0.020127,0.029326,0.047644", \ - "0.011941,0.013407,0.015253,0.018646,0.024671,0.034945,0.052976", \ - "0.011602,0.013464,0.015804,0.020083,0.027620,0.040438,0.061709", \ - "0.009232,0.011485,0.014318,0.019507,0.028642,0.044039,0.069375", \ - "0.004669,0.007297,0.010609,0.016690,0.027407,0.045491,0.074968"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.008893,0.010413,0.012410,0.016333,0.024062,0.039379,0.069866", \ - "0.009502,0.010994,0.012976,0.016903,0.024673,0.040048,0.070589", \ - "0.013135,0.014722,0.016650,0.020322,0.027816,0.042967,0.073378", \ - "0.018381,0.020306,0.022748,0.027303,0.035468,0.050066,0.079804", \ - "0.025318,0.027606,0.030471,0.035764,0.045327,0.062126,0.091367", \ - "0.033830,0.036514,0.039868,0.046011,0.056904,0.075957,0.108695", \ - "0.044050,0.047076,0.050906,0.057952,0.070352,0.091606,0.128098"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.004763,0.005512,0.006511,0.008510,0.012500,0.020469,0.036396", \ - "0.004723,0.005491,0.006503,0.008507,0.012500,0.020471,0.036391", \ - "0.006135,0.006767,0.007555,0.009080,0.012575,0.020468,0.036395", \ - "0.009765,0.010506,0.011433,0.013180,0.016426,0.022392,0.036453", \ - "0.014965,0.015888,0.017026,0.019083,0.022716,0.029247,0.041064", \ - "0.021576,0.022728,0.024147,0.026672,0.030958,0.038177,0.050956", \ - "0.029631,0.031028,0.032752,0.035809,0.040943,0.049238,0.063014"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.009174,0.010626,0.012524,0.016214,0.023376,0.037419,0.065321", \ - "0.009166,0.010623,0.012524,0.016214,0.023377,0.037418,0.065319", \ - "0.010660,0.011716,0.013208,0.016385,0.023376,0.037422,0.065318", \ - "0.014927,0.015971,0.017400,0.020132,0.025421,0.037650,0.065310", \ - "0.020640,0.021542,0.022853,0.025650,0.031364,0.042019,0.065922", \ - "0.028188,0.029004,0.030216,0.032865,0.038542,0.050098,0.071701", \ - "0.037355,0.038148,0.039347,0.041974,0.047603,0.059338,0.082311"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.005380,0.006273,0.007452,0.009779,0.014387,0.023549,0.041833", \ - "0.006077,0.006962,0.008141,0.010478,0.015106,0.024290,0.042592", \ - "0.007640,0.008869,0.010392,0.013139,0.017849,0.026920,0.045150", \ - "0.007788,0.009472,0.011548,0.015260,0.021671,0.032400,0.050524", \ - "0.006168,0.008322,0.010972,0.015704,0.023801,0.037194,0.059037", \ - "0.002566,0.005175,0.008376,0.014119,0.023961,0.040133,0.066160", \ - "-0.003166,-0.000134,0.003596,0.010319,0.021867,0.040885,0.071240"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.008427,0.009932,0.011910,0.015796,0.023449,0.038615,0.068828", \ - "0.009041,0.010514,0.012476,0.016366,0.024057,0.039286,0.069560", \ - "0.012560,0.014173,0.016168,0.019796,0.027207,0.042207,0.072344", \ - "0.017555,0.019518,0.021990,0.026583,0.034791,0.049311,0.078777", \ - "0.024086,0.026460,0.029397,0.034766,0.044385,0.061225,0.090338", \ - "0.031950,0.034793,0.038292,0.044603,0.055634,0.074755,0.107526", \ - "0.041200,0.044501,0.048584,0.055932,0.068613,0.090045,0.126593"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.003222,0.003972,0.004976,0.006974,0.010957,0.018918,0.034829", \ - "0.003183,0.003950,0.004964,0.006971,0.010958,0.018918,0.034830", \ - "0.005253,0.005868,0.006673,0.008169,0.011302,0.018917,0.034830", \ - "0.008999,0.009740,0.010661,0.012370,0.015563,0.021443,0.035000", \ - "0.014157,0.015106,0.016270,0.018348,0.021950,0.028390,0.040150", \ - "0.020688,0.021872,0.023328,0.025889,0.030206,0.037391,0.050078", \ - "0.028726,0.030126,0.031863,0.034956,0.040142,0.048463,0.062182"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.005902,0.007211,0.008958,0.012452,0.019432,0.033365,0.061244", \ - "0.005895,0.007205,0.008960,0.012451,0.019430,0.033370,0.061242", \ - "0.007478,0.008383,0.009705,0.012653,0.019428,0.033373,0.061248", \ - "0.010305,0.011507,0.013102,0.016154,0.021546,0.033621,0.061229", \ - "0.014654,0.015889,0.017521,0.020726,0.026919,0.038044,0.061876", \ - "0.020471,0.021823,0.023586,0.026939,0.033345,0.045603,0.067686", \ - "0.027795,0.029264,0.031186,0.034828,0.041559,0.054226,0.078032"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.004516,0.005223,0.006156,0.008001,0.011654,0.018919,0.033417", \ - "0.005295,0.005995,0.006925,0.008772,0.012434,0.019713,0.034222", \ - "0.006408,0.007509,0.008859,0.011277,0.015396,0.022580,0.037009", \ - "0.005718,0.007263,0.009155,0.012521,0.018255,0.027701,0.042798", \ - "0.002875,0.004856,0.007289,0.011631,0.019018,0.031068,0.050333", \ - "-0.002344,0.000056,0.003003,0.008288,0.017321,0.032081,0.055434", \ - "-0.010106,-0.007322,-0.003893,0.002289,0.012917,0.030366,0.057970"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.008356,0.009860,0.011838,0.015723,0.023378,0.038549,0.068766", \ - "0.008924,0.010390,0.012343,0.016227,0.023916,0.039149,0.069433", \ - "0.012625,0.014221,0.016195,0.019795,0.027165,0.042124,0.072233", \ - "0.018001,0.019929,0.022368,0.026912,0.035047,0.049488,0.078867", \ - "0.025220,0.027518,0.030377,0.035640,0.045146,0.061845,0.090815", \ - "0.034177,0.036897,0.040262,0.046373,0.057162,0.076065,0.108583", \ - "0.044961,0.048097,0.051990,0.059038,0.071313,0.092324,0.128535"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.002488,0.003075,0.003864,0.005440,0.008592,0.014895,0.027499", \ - "0.002553,0.003075,0.003862,0.005440,0.008591,0.014895,0.027501", \ - "0.004805,0.005313,0.005960,0.007173,0.009401,0.014917,0.027502", \ - "0.008467,0.009126,0.009941,0.011407,0.014043,0.018744,0.028339", \ - "0.013474,0.014337,0.015389,0.017239,0.020404,0.025802,0.035267", \ - "0.019866,0.020938,0.022252,0.024569,0.028443,0.034739,0.045305", \ - "0.027771,0.029028,0.030598,0.033404,0.038085,0.045527,0.057405"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.005900,0.007210,0.008959,0.012456,0.019430,0.033373,0.061244", \ - "0.005894,0.007209,0.008961,0.012455,0.019427,0.033372,0.061235", \ - "0.007444,0.008362,0.009695,0.012653,0.019432,0.033370,0.061234", \ - "0.010084,0.011303,0.012926,0.016013,0.021453,0.033600,0.061231", \ - "0.014154,0.015385,0.017024,0.020278,0.026579,0.037813,0.061819", \ - "0.019732,0.021028,0.022742,0.026072,0.032553,0.045015,0.067319", \ - "0.026852,0.028217,0.030038,0.033556,0.040226,0.053067,0.077208"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.009288,0.010243,0.011491,0.013933,0.018691,0.028010,0.046410", \ - "0.009872,0.010826,0.012077,0.014519,0.019280,0.028600,0.047001", \ - "0.012634,0.013643,0.014918,0.017282,0.021952,0.031186,0.049514", \ - "0.015157,0.016484,0.018185,0.021346,0.027046,0.036903,0.054878", \ - "0.016417,0.018071,0.020165,0.024058,0.031060,0.043260,0.063880", \ - "0.016322,0.018291,0.020788,0.025415,0.033724,0.048109,0.072424", \ - "0.014798,0.017077,0.019957,0.025299,0.034903,0.051519,0.079349"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.011217,0.012710,0.014681,0.018570,0.026266,0.041554,0.072026", \ - "0.011982,0.013493,0.015492,0.019437,0.027211,0.042584,0.073122", \ - "0.014547,0.016130,0.018105,0.021974,0.029697,0.045082,0.075685", \ - "0.018444,0.020258,0.022580,0.026983,0.035188,0.050373,0.080697", \ - "0.024147,0.026274,0.028932,0.033862,0.042873,0.059374,0.089617", \ - "0.031051,0.033581,0.036721,0.042450,0.052576,0.070467,0.102774", \ - "0.038975,0.041920,0.045584,0.052243,0.063851,0.083648,0.118177"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.006184,0.006947,0.007963,0.009981,0.013996,0.021985,0.037920", \ - "0.006186,0.006950,0.007964,0.009983,0.013995,0.021985,0.037922", \ - "0.007232,0.007810,0.008611,0.010308,0.014013,0.021986,0.037918", \ - "0.010872,0.011607,0.012526,0.014270,0.017483,0.023563,0.037949", \ - "0.016024,0.016900,0.017994,0.020017,0.023674,0.030250,0.042147", \ - "0.022614,0.023697,0.025030,0.027445,0.031637,0.038917,0.051846", \ - "0.030644,0.031945,0.033566,0.036473,0.041420,0.049594,0.063542"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.009178,0.010628,0.012525,0.016214,0.023377,0.037418,0.065312", \ - "0.009181,0.010629,0.012525,0.016214,0.023376,0.037418,0.065310", \ - "0.010069,0.011257,0.012906,0.016294,0.023378,0.037421,0.065315", \ - "0.013536,0.014556,0.015982,0.018893,0.024733,0.037616,0.065315", \ - "0.018471,0.019294,0.020505,0.023152,0.028870,0.040514,0.065842", \ - "0.024895,0.025648,0.026760,0.029192,0.034504,0.046017,0.069609", \ - "0.032540,0.033266,0.034383,0.036824,0.042034,0.053095,0.076622"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.007065,0.008003,0.009231,0.011630,0.016326,0.025571,0.043910", \ - "0.007644,0.008583,0.009813,0.012215,0.016913,0.026161,0.044501", \ - "0.009955,0.011074,0.012471,0.015017,0.019621,0.028765,0.047022", \ - "0.011454,0.012934,0.014785,0.018182,0.024197,0.034446,0.052422", \ - "0.011746,0.013583,0.015887,0.020091,0.027501,0.040155,0.061276", \ - "0.010718,0.012911,0.015649,0.020653,0.029473,0.044437,0.069314", \ - "0.008311,0.010837,0.013995,0.019775,0.029972,0.047282,0.075798"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.010753,0.012234,0.014183,0.018036,0.025654,0.040793,0.070991", \ - "0.011514,0.013011,0.014993,0.018898,0.026597,0.041822,0.072090", \ - "0.014008,0.015607,0.017602,0.021437,0.029082,0.044318,0.074649", \ - "0.017738,0.019578,0.021915,0.026327,0.034522,0.049613,0.079667", \ - "0.023140,0.025328,0.028044,0.033028,0.042057,0.058512,0.088585", \ - "0.029580,0.032216,0.035462,0.041312,0.051539,0.069438,0.101636", \ - "0.036851,0.039966,0.043798,0.050663,0.062470,0.082377,0.116851"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.004767,0.005509,0.006506,0.008499,0.012486,0.020450,0.036364", \ - "0.004753,0.005509,0.006509,0.008502,0.012485,0.020450,0.036366", \ - "0.006406,0.007008,0.007789,0.009273,0.012666,0.020453,0.036367", \ - "0.010125,0.010839,0.011741,0.013450,0.016632,0.022551,0.036467", \ - "0.015236,0.016129,0.017238,0.019251,0.022855,0.029376,0.041196", \ - "0.021675,0.022808,0.024191,0.026654,0.030870,0.038076,0.050943", \ - "0.029485,0.030875,0.032576,0.035581,0.040599,0.048779,0.062657"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.005910,0.007216,0.008963,0.012452,0.019432,0.033366,0.061238", \ - "0.005913,0.007213,0.008961,0.012458,0.019430,0.033369,0.061230", \ - "0.006848,0.007890,0.009378,0.012551,0.019432,0.033376,0.061237", \ - "0.009373,0.010467,0.011953,0.014971,0.020834,0.033584,0.061238", \ - "0.013275,0.014330,0.015751,0.018645,0.024607,0.036520,0.061786", \ - "0.018331,0.019464,0.020978,0.023934,0.029760,0.041682,0.065582", \ - "0.024467,0.025696,0.027349,0.030561,0.036646,0.048390,0.072398"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.005717,0.006473,0.007458,0.009376,0.013115,0.020458,0.035003", \ - "0.006402,0.007152,0.008134,0.010051,0.013789,0.021131,0.035675", \ - "0.008372,0.009372,0.010613,0.012860,0.016735,0.023971,0.038428", \ - "0.008955,0.010311,0.012000,0.015070,0.020431,0.029451,0.044237", \ - "0.007901,0.009601,0.011719,0.015589,0.022341,0.033679,0.052268", \ - "0.005096,0.007121,0.009646,0.014271,0.022383,0.036029,0.058260", \ - "0.000449,0.002779,0.005694,0.011028,0.020434,0.036332,0.062227"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.010689,0.012167,0.014115,0.017965,0.025585,0.040728,0.070932", \ - "0.011416,0.012904,0.014876,0.018772,0.026466,0.041692,0.071965", \ - "0.013964,0.015552,0.017538,0.021351,0.028965,0.044178,0.074498", \ - "0.017994,0.019799,0.022102,0.026465,0.034598,0.049620,0.079609", \ - "0.024105,0.026220,0.028857,0.033723,0.042612,0.058914,0.088833", \ - "0.031627,0.034156,0.037278,0.042933,0.052895,0.070515,0.102440", \ - "0.040422,0.043385,0.047045,0.053634,0.065038,0.084462,0.118500"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.003693,0.004282,0.005070,0.006642,0.009789,0.016088,0.028682", \ - "0.003658,0.004269,0.005067,0.006644,0.009791,0.016088,0.028683", \ - "0.005764,0.006242,0.006854,0.007987,0.010346,0.016099,0.028685", \ - "0.009493,0.010115,0.010885,0.012309,0.014901,0.019506,0.029376", \ - "0.014575,0.015376,0.016352,0.018107,0.021172,0.026564,0.035990", \ - "0.021010,0.022031,0.023275,0.025462,0.029156,0.035319,0.045933", \ - "0.028873,0.030128,0.031665,0.034363,0.038833,0.045992,0.057727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.005909,0.007218,0.008965,0.012451,0.019427,0.033374,0.061240", \ - "0.005911,0.007214,0.008965,0.012454,0.019430,0.033375,0.061230", \ - "0.006864,0.007908,0.009395,0.012556,0.019430,0.033373,0.061243", \ - "0.009274,0.010377,0.011890,0.014930,0.020819,0.033583,0.061234", \ - "0.012960,0.014011,0.015442,0.018372,0.024420,0.036425,0.061760", \ - "0.017879,0.018973,0.020442,0.023367,0.029235,0.041333,0.065415", \ - "0.023951,0.025105,0.026680,0.029774,0.035753,0.047589,0.071922"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.009449,0.010403,0.011646,0.014067,0.018784,0.028049,0.046401", \ - "0.010161,0.011127,0.012385,0.014830,0.019580,0.028878,0.047255", \ - "0.011689,0.012725,0.014057,0.016583,0.021340,0.030670,0.049085", \ - "0.012479,0.013801,0.015461,0.018514,0.023987,0.033896,0.052390", \ - "0.011262,0.012978,0.015124,0.019033,0.025859,0.037403,0.057402", \ - "0.007587,0.009702,0.012348,0.017175,0.025593,0.039610,0.062443", \ - "0.001263,0.003765,0.006901,0.012631,0.022660,0.039364,0.066115"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.013715,0.015217,0.017202,0.021122,0.028867,0.044219,0.074750", \ - "0.014178,0.015686,0.017681,0.021619,0.029393,0.044771,0.075327", \ - "0.017910,0.019320,0.021204,0.024980,0.032552,0.047740,0.078147", \ - "0.025001,0.026679,0.028838,0.032924,0.040372,0.054936,0.084681", \ - "0.033929,0.035865,0.038348,0.043078,0.051872,0.067644,0.096440", \ - "0.045135,0.047345,0.050148,0.055461,0.065295,0.083133,0.114449", \ - "0.058713,0.061210,0.064378,0.070335,0.081241,0.100812,0.135561"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.004746,0.005496,0.006498,0.008498,0.012485,0.020451,0.036366", \ - "0.004738,0.005491,0.006495,0.008495,0.012485,0.020451,0.036364", \ - "0.005412,0.006085,0.006945,0.008737,0.012522,0.020449,0.036364", \ - "0.008121,0.008725,0.009518,0.011104,0.014396,0.021326,0.036438", \ - "0.012461,0.013145,0.014032,0.015687,0.018805,0.025031,0.038510", \ - "0.017890,0.018716,0.019769,0.021721,0.025218,0.031469,0.043845", \ - "0.024283,0.025264,0.026521,0.028849,0.032954,0.039931,0.052240"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.012703,0.014085,0.015919,0.019541,0.026667,0.040746,0.068751", \ - "0.012702,0.014085,0.015919,0.019541,0.026665,0.040746,0.068757", \ - "0.013075,0.014303,0.015988,0.019533,0.026667,0.040746,0.068754", \ - "0.016674,0.017811,0.019201,0.021949,0.027813,0.040770,0.068746", \ - "0.021159,0.022394,0.024025,0.027195,0.033141,0.044184,0.069061", \ - "0.026900,0.028162,0.029849,0.033187,0.039663,0.051737,0.073984", \ - "0.034020,0.035314,0.037064,0.040513,0.047250,0.060203,0.083850"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.007504,0.008397,0.009572,0.011896,0.016499,0.025660,0.043942", \ - "0.008128,0.009051,0.010259,0.012627,0.017278,0.026481,0.044792", \ - "0.009136,0.010242,0.011631,0.014222,0.019006,0.028253,0.046610", \ - "0.008774,0.010298,0.012169,0.015508,0.021272,0.031354,0.049902", \ - "0.006220,0.008224,0.010679,0.015039,0.022414,0.034476,0.054768", \ - "0.001262,0.003729,0.006752,0.012141,0.021273,0.036046,0.059503", \ - "-0.006293,-0.003377,0.000194,0.006581,0.017454,0.035085,0.062705"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.013136,0.014632,0.016606,0.020500,0.028182,0.043397,0.073667", \ - "0.013600,0.015102,0.017085,0.020997,0.028706,0.043948,0.074247", \ - "0.017357,0.018751,0.020621,0.024365,0.031870,0.046918,0.077064", \ - "0.024203,0.025906,0.028091,0.032209,0.039695,0.054117,0.083605", \ - "0.032849,0.034823,0.037338,0.042109,0.050938,0.066746,0.095367", \ - "0.043645,0.045925,0.048792,0.054184,0.064077,0.081947,0.113282", \ - "0.056640,0.059260,0.062519,0.068623,0.079663,0.099311,0.134076"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.003239,0.003981,0.004979,0.006973,0.010959,0.018919,0.034832", \ - "0.003251,0.003988,0.004983,0.006975,0.010958,0.018918,0.034830", \ - "0.004390,0.004991,0.005822,0.007502,0.011115,0.018920,0.034830", \ - "0.007453,0.008027,0.008785,0.010266,0.013345,0.020073,0.034962", \ - "0.011899,0.012557,0.013413,0.015039,0.018068,0.024056,0.037294", \ - "0.017370,0.018147,0.019164,0.021085,0.024560,0.030711,0.042827", \ - "0.023759,0.024661,0.025871,0.028165,0.032259,0.039212,0.051398"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.008985,0.010306,0.012072,0.015596,0.022624,0.036659,0.064657", \ - "0.008989,0.010308,0.012072,0.015594,0.022625,0.036659,0.064661", \ - "0.009398,0.010556,0.012161,0.015582,0.022625,0.036651,0.064661", \ - "0.012340,0.013594,0.015198,0.018081,0.023811,0.036689,0.064656", \ - "0.015799,0.017211,0.019040,0.022502,0.028860,0.040150,0.064984", \ - "0.020319,0.021880,0.023872,0.027644,0.034662,0.047375,0.069944", \ - "0.025976,0.027717,0.029927,0.034016,0.041507,0.055232,0.079656"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.006377,0.007060,0.007958,0.009728,0.013229,0.020190,0.034076", \ - "0.007124,0.007832,0.008755,0.010559,0.014098,0.021091,0.035000", \ - "0.008516,0.009526,0.010774,0.013019,0.016900,0.023946,0.037893", \ - "0.008121,0.009569,0.011350,0.014523,0.019930,0.028841,0.043498", \ - "0.005398,0.007315,0.009671,0.013866,0.020964,0.032454,0.050721", \ - "0.000159,0.002524,0.005434,0.010637,0.019477,0.033763,0.056108", \ - "-0.007785,-0.004990,-0.001550,0.004622,0.015170,0.032299,0.059018"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.014411,0.015885,0.017837,0.021702,0.029353,0.044539,0.074791", \ - "0.014884,0.016363,0.018323,0.022207,0.029890,0.045114,0.075399", \ - "0.018637,0.020027,0.021886,0.025611,0.033093,0.048115,0.078244", \ - "0.025836,0.027491,0.029620,0.033653,0.041007,0.055441,0.084914", \ - "0.034827,0.036740,0.039200,0.043900,0.052643,0.068306,0.096896", \ - "0.046075,0.048252,0.051040,0.056324,0.066130,0.083941,0.115127", \ - "0.059604,0.062105,0.065260,0.071209,0.082099,0.101688,0.136448"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.002795,0.003358,0.004111,0.005615,0.008617,0.014601,0.026554", \ - "0.002817,0.003370,0.004116,0.005616,0.008617,0.014600,0.026555", \ - "0.004600,0.005028,0.005599,0.006716,0.009047,0.014609,0.026554", \ - "0.008086,0.008556,0.009173,0.010383,0.012719,0.017247,0.027072", \ - "0.012829,0.013367,0.014090,0.015490,0.018123,0.022930,0.032085", \ - "0.018617,0.019245,0.020106,0.021779,0.024871,0.030322,0.040000", \ - "0.025365,0.026095,0.027109,0.029107,0.032776,0.039139,0.049822"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.009613,0.010947,0.012729,0.016274,0.023331,0.037385,0.065411", \ - "0.009609,0.010947,0.012728,0.016274,0.023332,0.037382,0.065406", \ - "0.009882,0.011087,0.012746,0.016270,0.023330,0.037386,0.065406", \ - "0.012806,0.014051,0.015632,0.018459,0.024317,0.037388,0.065406", \ - "0.016218,0.017627,0.019448,0.022903,0.029230,0.040553,0.065646", \ - "0.020672,0.022208,0.024181,0.027937,0.034945,0.047629,0.070270", \ - "0.026317,0.028004,0.030158,0.034191,0.041635,0.055343,0.079724"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.011389,0.012343,0.013591,0.016032,0.020790,0.030110,0.048511", \ - "0.012037,0.012996,0.014250,0.016698,0.021466,0.030794,0.049203", \ - "0.013692,0.014693,0.015993,0.018462,0.023239,0.032584,0.051006", \ - "0.015193,0.016405,0.017952,0.020841,0.026138,0.035901,0.054350", \ - "0.015246,0.016787,0.018735,0.022335,0.028740,0.039859,0.059552", \ - "0.013415,0.015291,0.017657,0.022027,0.029789,0.043019,0.065121", \ - "0.009573,0.011776,0.014550,0.019672,0.028799,0.044336,0.069843"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.015914,0.017408,0.019387,0.023297,0.031033,0.046379,0.076909", \ - "0.016754,0.018261,0.020254,0.024185,0.031948,0.047315,0.077865", \ - "0.019443,0.020925,0.022896,0.026807,0.034558,0.049933,0.080498", \ - "0.024455,0.026103,0.028247,0.032386,0.040203,0.055293,0.085601", \ - "0.031841,0.033653,0.035978,0.040444,0.048920,0.064817,0.094686", \ - "0.041371,0.043438,0.046049,0.050986,0.060143,0.077079,0.108475", \ - "0.052760,0.055125,0.058106,0.063679,0.073818,0.092013,0.125142"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.006181,0.006943,0.007961,0.009981,0.013995,0.021986,0.037919", \ - "0.006175,0.006942,0.007961,0.009980,0.013995,0.021985,0.037923", \ - "0.006642,0.007324,0.008251,0.010144,0.014017,0.021985,0.037917", \ - "0.009100,0.009732,0.010560,0.012230,0.015638,0.022725,0.037976", \ - "0.013386,0.014065,0.014931,0.016593,0.019773,0.026195,0.039863", \ - "0.018917,0.019715,0.020729,0.022617,0.026039,0.032331,0.044980", \ - "0.025504,0.026456,0.027661,0.029892,0.033833,0.040648,0.053023"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.012702,0.014085,0.015919,0.019540,0.026666,0.040743,0.068744", \ - "0.012702,0.014085,0.015919,0.019540,0.026668,0.040744,0.068747", \ - "0.012909,0.014200,0.015950,0.019542,0.026666,0.040743,0.068747", \ - "0.015566,0.016712,0.018230,0.021200,0.027478,0.040797,0.068746", \ - "0.019270,0.020403,0.021926,0.025000,0.031106,0.043119,0.069076", \ - "0.024233,0.025334,0.026831,0.029850,0.035954,0.048192,0.072353", \ - "0.030405,0.031532,0.033047,0.036106,0.042180,0.054404,0.078872"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.009181,0.010118,0.011345,0.013745,0.018444,0.027697,0.046049", \ - "0.009816,0.010759,0.011994,0.014404,0.019115,0.028378,0.046738", \ - "0.011198,0.012241,0.013575,0.016108,0.020869,0.030155,0.048532", \ - "0.011824,0.013185,0.014883,0.017980,0.023483,0.033381,0.051862", \ - "0.010806,0.012551,0.014724,0.018660,0.025495,0.037013,0.056945", \ - "0.007974,0.010088,0.012714,0.017492,0.025806,0.039641,0.062249", \ - "0.003203,0.005665,0.008728,0.014309,0.024065,0.040348,0.066563"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.015337,0.016825,0.018792,0.022676,0.030348,0.045554,0.075821", \ - "0.016175,0.017676,0.019657,0.023563,0.031260,0.046493,0.076776", \ - "0.018869,0.020345,0.022303,0.026186,0.033873,0.049112,0.079410", \ - "0.023732,0.025394,0.027548,0.031693,0.039510,0.054472,0.084521", \ - "0.030926,0.032766,0.035119,0.039604,0.048077,0.063924,0.093604", \ - "0.040150,0.042271,0.044940,0.049931,0.059122,0.076031,0.107308", \ - "0.051100,0.053555,0.056627,0.062304,0.072537,0.090768,0.123807"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.004757,0.005507,0.006510,0.008509,0.012500,0.020469,0.036397", \ - "0.004752,0.005502,0.006508,0.008508,0.012499,0.020469,0.036392", \ - "0.005558,0.006208,0.007081,0.008855,0.012600,0.020471,0.036394", \ - "0.008408,0.008991,0.009766,0.011317,0.014549,0.021437,0.036501", \ - "0.012828,0.013479,0.014322,0.015924,0.018981,0.025179,0.038619", \ - "0.018347,0.019135,0.020136,0.022002,0.025380,0.031532,0.043933", \ - "0.024824,0.025777,0.026994,0.029237,0.033175,0.039932,0.052147"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.008984,0.010308,0.012071,0.015593,0.022624,0.036656,0.064654", \ - "0.008985,0.010308,0.012072,0.015594,0.022623,0.036654,0.064648", \ - "0.009218,0.010441,0.012111,0.015594,0.022624,0.036654,0.064652", \ - "0.011461,0.012662,0.014249,0.017313,0.023459,0.036709,0.064661", \ - "0.014442,0.015689,0.017335,0.020574,0.026897,0.039070,0.064991", \ - "0.018473,0.019791,0.021522,0.024850,0.031299,0.043903,0.068298", \ - "0.023470,0.024928,0.026809,0.030367,0.037019,0.049750,0.074679"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.007520,0.008242,0.009186,0.011028,0.014620,0.021671,0.035627", \ - "0.008279,0.009005,0.009955,0.011804,0.015405,0.022464,0.036426", \ - "0.010330,0.011251,0.012401,0.014502,0.018241,0.025323,0.039302", \ - "0.010990,0.012284,0.013897,0.016824,0.021920,0.030490,0.044942", \ - "0.009779,0.011455,0.013546,0.017336,0.023904,0.034816,0.052553", \ - "0.006619,0.008652,0.011188,0.015813,0.023868,0.037228,0.058672", \ - "0.001391,0.003765,0.006722,0.012130,0.021610,0.037433,0.062758"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.016626,0.018091,0.020034,0.023888,0.031527,0.046703,0.076946", \ - "0.017461,0.018938,0.020898,0.024777,0.032451,0.047664,0.077936", \ - "0.020146,0.021598,0.023534,0.027385,0.035039,0.050256,0.080554", \ - "0.025290,0.026901,0.029003,0.033069,0.040762,0.055688,0.085709", \ - "0.032820,0.034601,0.036887,0.041293,0.049669,0.065394,0.094977", \ - "0.042530,0.044559,0.047139,0.052008,0.061076,0.077887,0.109032", \ - "0.054098,0.056434,0.059385,0.064895,0.074946,0.093038,0.126005"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.003973,0.004535,0.005287,0.006786,0.009779,0.015760,0.027714", \ - "0.003966,0.004530,0.005282,0.006784,0.009778,0.015760,0.027713", \ - "0.005459,0.005878,0.006437,0.007554,0.010059,0.015765,0.027714", \ - "0.008928,0.009393,0.010008,0.011219,0.013565,0.018080,0.028138", \ - "0.013661,0.014201,0.014914,0.016288,0.018878,0.023736,0.032908", \ - "0.019498,0.020149,0.021003,0.022629,0.025620,0.030992,0.040743", \ - "0.026332,0.027122,0.028159,0.030124,0.033661,0.039790,0.050374"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.009611,0.010948,0.012730,0.016275,0.023330,0.037384,0.065414", \ - "0.009612,0.010948,0.012729,0.016276,0.023330,0.037385,0.065415", \ - "0.009766,0.011017,0.012748,0.016276,0.023328,0.037390,0.065411", \ - "0.011926,0.013149,0.014744,0.017806,0.024057,0.037416,0.065406", \ - "0.014790,0.016057,0.017724,0.021003,0.027376,0.039616,0.065696", \ - "0.018707,0.020030,0.021762,0.025114,0.031627,0.044313,0.068811", \ - "0.023641,0.025079,0.026944,0.030474,0.037135,0.049963,0.075013"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.988040,2.043797,2.093661,2.153425,2.210184,2.276145,2.329111", \ - "2.001113,2.069713,2.107117,2.172338,2.245809,2.335900,2.404410", \ - "2.372335,2.355550,2.355807,2.365187,2.398457,2.433074,2.475365", \ - "4.178782,4.033283,3.877341,3.598752,3.298580,3.086457,2.907390", \ - "7.200303,7.087699,6.919985,6.550450,5.842387,4.901451,4.198957", \ - "11.110290,11.140450,11.044170,10.827540,10.133320,8.658077,6.833832", \ - "16.228950,16.177220,16.320990,16.216030,15.782780,14.321860,11.510700"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("8.355558,8.438057,8.478225,8.559204,8.518111,8.619778,8.655632", \ - "8.278086,8.327626,8.387883,8.445004,8.556567,8.658322,8.410201", \ - "8.969561,8.957155,8.882976,8.840749,8.769102,8.777206,8.477087", \ - "11.079090,10.928050,10.757360,10.517940,10.225180,9.854912,9.272657", \ - "14.349760,14.254570,14.080690,13.788550,13.246840,12.206610,11.296560", \ - "19.664130,19.449930,19.160280,18.623320,17.793270,16.519500,14.774710", \ - "26.856460,26.610740,26.277060,25.516670,24.447580,22.781410,20.510780"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.628254,0.683838,0.700830,0.742087,0.773262,0.794652,0.801839", \ - "0.599085,0.658141,0.691750,0.734365,0.797277,0.845379,0.871916", \ - "1.167988,1.057962,1.003825,0.976893,0.955315,0.948696,0.945184", \ - "3.209428,3.052818,2.838694,2.455189,1.958112,1.649970,1.401963", \ - "6.241966,6.136610,5.991044,5.584463,4.762455,3.608354,2.739165", \ - "10.258810,10.131690,10.133790,9.901994,9.151226,7.549016,5.477668", \ - "15.298930,15.336230,15.368900,15.310450,14.770640,13.322190,10.313920"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("6.658620,6.722062,6.752838,6.775126,6.807760,6.721584,6.979257", \ - "6.521264,6.596765,6.669166,6.684363,6.795148,6.773295,6.803372", \ - "7.171803,7.214378,7.177469,7.077684,7.065949,6.939741,6.990663", \ - "9.054716,9.053759,9.079784,8.813424,8.469322,8.000111,7.346303", \ - "12.452930,12.385160,12.155020,11.922300,11.467650,10.555990,9.451520", \ - "17.815420,17.627300,17.338990,16.850000,15.948990,14.880440,13.342770", \ - "24.841820,24.646200,24.341230,23.794540,22.695180,20.948560,18.783820"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.640733,0.671090,0.703042,0.743020,0.771682,0.794962,0.805771", \ - "0.630656,0.678699,0.700831,0.748015,0.801593,0.851179,0.879257", \ - "1.364383,1.252082,1.134517,1.053847,1.014073,0.990900,0.977599", \ - "3.433642,3.318585,3.128914,2.779685,2.249960,1.809169,1.513191", \ - "6.432348,6.374469,6.268568,5.991110,5.290609,4.115056,3.040679", \ - "10.374120,10.406830,10.395690,10.280460,9.749718,8.388724,6.218527", \ - "15.495580,15.519020,15.594900,15.645640,15.397770,14.217570,11.576020"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("6.555797,6.668117,6.711025,6.718975,6.828188,6.808513,6.932645", \ - "6.453816,6.526104,6.542514,6.610946,6.644440,6.677746,6.833684", \ - "7.300652,7.233960,7.128135,7.073369,6.955486,6.852305,6.952513", \ - "9.243185,9.283244,9.242372,8.985768,8.612996,8.078678,7.370782", \ - "12.907900,12.785210,12.573700,12.327620,11.864650,10.947280,9.633688", \ - "18.538930,18.402840,18.082320,17.459500,16.644060,15.648440,13.959870", \ - "26.171160,25.878770,25.501820,24.891210,23.761420,22.099380,19.777540"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("3.140007,3.170796,3.211613,3.259363,3.334827,3.409248,3.489941", \ - "3.002189,3.053872,3.096586,3.146320,3.218813,3.300701,3.385206", \ - "3.250502,3.281921,3.289494,3.316254,3.327479,3.368773,3.412027", \ - "4.923753,4.823383,4.696698,4.480490,4.263554,4.068547,3.889567", \ - "8.153756,8.031665,7.833070,7.481722,6.851393,6.007638,5.275976", \ - "12.704990,12.579760,12.456260,12.140320,11.343270,9.937849,8.157442", \ - "18.420650,18.419150,18.353300,18.194580,17.545050,15.921000,13.175810"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("10.540560,10.620870,10.586990,10.618910,10.628180,10.731780,10.480120", \ - "10.271240,10.399920,10.394740,10.559530,10.660620,10.739190,10.505570", \ - "10.360860,10.338230,10.331440,10.437820,10.404340,10.535000,10.657710", \ - "11.695410,11.577390,11.526290,11.373280,11.146630,11.039400,10.661050", \ - "14.391870,14.214630,13.986720,13.874240,13.363640,12.844990,12.106280", \ - "18.994800,18.807630,18.517530,17.927150,17.128040,16.263050,14.922940", \ - "25.352620,25.070730,24.770560,24.108230,23.025230,21.315390,19.102640"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.755635,1.785853,1.811724,1.834694,1.874699,1.925304,1.963503", \ - "1.596556,1.632877,1.658977,1.719889,1.766754,1.811385,1.855866", \ - "1.931658,1.944281,1.925903,1.906162,1.895825,1.889066,1.887455", \ - "3.906478,3.762825,3.601467,3.296622,2.926204,2.640392,2.376439", \ - "7.285542,7.127189,6.913886,6.506546,5.740189,4.691840,3.830862", \ - "11.786900,11.718510,11.589400,11.239650,10.378800,8.815491,6.806618", \ - "17.487770,17.536040,17.493760,17.290710,16.623100,14.915930,11.976170"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("8.808165,8.854523,8.867867,8.972838,8.957526,8.810389,8.569894", \ - "8.607987,8.657258,8.702948,8.738962,8.829440,8.931632,8.936200", \ - "8.619402,8.635730,8.656277,8.630293,8.671768,8.710970,8.521886", \ - "9.608180,9.726461,9.786602,9.609942,9.409297,9.130745,9.149385", \ - "12.424470,12.363890,12.131960,11.863100,11.723400,10.992670,10.512600", \ - "17.063290,16.923970,16.634910,16.050650,15.314330,14.403940,12.924890", \ - "23.231020,23.102820,22.800900,22.272780,21.237890,19.353520,17.418490"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.677856,1.737101,1.758088,1.807625,1.848964,1.903756,1.954145", \ - "1.553770,1.604518,1.633888,1.688753,1.736292,1.792525,1.840593", \ - "2.025231,1.966018,1.943725,1.925501,1.909877,1.897190,1.889778", \ - "4.144636,4.042854,3.863110,3.573818,3.120661,2.765285,2.462885", \ - "7.576567,7.454293,7.340354,6.975548,6.254527,5.172717,4.118604", \ - "12.140590,12.086300,12.071590,11.801790,11.095550,9.676870,7.551841", \ - "17.888340,17.958650,17.962560,17.951310,17.469210,16.086190,13.273010"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("8.765776,8.805710,8.806985,8.870145,8.869768,8.910926,8.673611", \ - "8.489030,8.589635,8.661424,8.667350,8.745944,8.848852,8.913054", \ - "8.587216,8.548510,8.535517,8.579708,8.591244,8.546611,8.851304", \ - "9.677392,9.805668,9.854527,9.643866,9.514584,9.156652,8.816232", \ - "12.826480,12.625530,12.516640,12.245210,12.000790,11.124560,10.195300", \ - "17.861970,17.598650,17.260370,16.750270,15.832540,15.059880,13.680500", \ - "24.512450,24.234140,23.994280,23.358290,22.229470,20.411210,18.363620"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("2.007107,2.038047,2.107210,2.161155,2.210988,2.277625,2.325469", \ - "1.907965,1.959317,2.043423,2.119987,2.215268,2.312209,2.387883", \ - "1.873887,1.910740,1.948078,2.023947,2.123328,2.253421,2.359025", \ - "3.142682,3.066544,2.950044,2.786593,2.603048,2.556487,2.553221", \ - "5.618651,5.490663,5.417917,5.155246,4.642316,3.916205,3.439043", \ - "8.812014,8.884001,8.834667,8.696380,8.221291,7.118519,5.558840", \ - "13.066820,13.061880,13.059100,13.085260,12.874200,11.921220,9.658724"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("12.125550,12.224680,12.199500,12.265320,12.342480,12.323200,12.053100", \ - "11.977340,11.968940,12.066900,12.087370,12.081900,12.216790,12.375850", \ - "12.577090,12.541420,12.523890,12.510430,12.370580,12.432870,12.340220", \ - "14.608680,14.501210,14.361910,14.190210,13.966130,13.437290,13.004310", \ - "17.999560,17.947190,17.888410,17.588040,17.047930,16.163070,15.364310", \ - "23.253080,23.129950,22.882960,22.564220,21.883540,20.773400,19.250510", \ - "30.449270,30.272640,30.106600,29.648180,28.761810,27.174850,24.767520"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.639791,0.691056,0.716814,0.740969,0.772449,0.794120,0.799332", \ - "0.451334,0.536147,0.588188,0.679539,0.759728,0.822502,0.859374", \ - "0.493344,0.476789,0.491724,0.554773,0.648760,0.748492,0.824268", \ - "1.999343,1.920150,1.765435,1.519421,1.200930,1.082013,1.027657", \ - "4.550987,4.440520,4.325954,4.045334,3.467763,2.577228,1.951979", \ - "7.820014,7.759876,7.769451,7.582944,7.143703,5.931092,4.185404", \ - "12.054240,12.103010,12.057040,12.046700,11.797530,10.803630,8.418496"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("10.350720,10.392880,10.445460,10.483890,10.487260,10.552770,10.601370", \ - "10.144070,10.216240,10.233890,10.343050,10.420990,10.453450,10.523870", \ - "10.699360,10.717120,10.743720,10.714260,10.709220,10.600040,10.309300", \ - "12.798540,12.711440,12.635670,12.380170,12.171640,11.657070,11.369230", \ - "15.995680,15.909500,15.821220,15.753590,15.314260,14.568200,13.543100", \ - "21.178040,21.043420,20.974190,20.621610,20.081440,18.863070,17.398370", \ - "28.294360,28.222540,27.995640,27.694350,26.910930,25.569920,23.318490"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.643088,0.692383,0.721266,0.749136,0.767084,0.792500,0.805413", \ - "0.476745,0.539377,0.605246,0.680823,0.751726,0.821829,0.866565", \ - "0.556498,0.528027,0.539003,0.597405,0.676715,0.766470,0.842675", \ - "2.137992,2.003279,1.889144,1.648486,1.326384,1.149644,1.078021", \ - "4.728748,4.606856,4.488830,4.213196,3.650850,2.774549,2.067682", \ - "8.018130,8.067288,7.905234,7.782650,7.289835,6.199173,4.463179", \ - "12.338970,12.363080,12.334760,12.251970,11.980920,11.006280,8.819801"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("11.222250,11.204920,11.305530,11.356650,11.408960,11.530150,11.608080", \ - "11.083950,11.073730,11.124020,11.244340,11.291940,11.374340,11.551620", \ - "11.648900,11.645220,11.588280,11.609050,11.568960,11.624100,11.615660", \ - "13.703200,13.658540,13.531460,13.425930,13.136390,12.681640,12.106160", \ - "16.934190,16.872280,16.875650,16.763770,16.314320,15.672210,14.795030", \ - "22.184280,22.177670,22.002830,21.754050,21.220440,20.137600,18.876740", \ - "29.415470,29.402380,29.222700,28.902340,28.142420,26.928610,24.865540"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("3.113629,3.167983,3.210111,3.274596,3.335074,3.413653,3.492880", \ - "2.980434,3.020131,3.068822,3.125475,3.200751,3.295834,3.383435", \ - "2.921834,2.972792,3.002670,3.066452,3.142986,3.239116,3.324631", \ - "3.970004,3.910644,3.834654,3.719560,3.622066,3.576311,3.550463", \ - "6.537387,6.450679,6.312089,6.057234,5.609616,4.974707,4.527315", \ - "10.250050,10.241730,10.135230,9.906422,9.343100,8.259221,6.792409", \ - "15.062580,15.054880,15.035530,14.932780,14.459030,13.329370,11.105230"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("14.204140,14.300880,14.270920,14.381410,14.442490,14.474750,14.575670", \ - "14.140500,14.133600,14.110030,14.140200,14.312330,14.371840,14.035110", \ - "14.098030,14.078700,14.145920,14.154980,14.228290,14.334790,14.015530", \ - "15.242870,15.219370,15.069540,14.983090,14.969020,14.823870,14.717140", \ - "17.935640,17.831900,17.835870,17.712480,17.271980,16.512130,15.701860", \ - "22.459800,22.269060,22.129630,21.814320,21.145490,20.325290,18.982510", \ - "28.713370,28.597700,28.399280,27.928070,27.016800,25.701240,23.576550"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.754330,1.789325,1.810217,1.842650,1.874878,1.925117,1.962937", \ - "1.587103,1.624609,1.652421,1.695556,1.752171,1.810696,1.852075", \ - "1.533666,1.543859,1.567515,1.626247,1.680734,1.740526,1.800910", \ - "2.790597,2.725244,2.605955,2.430968,2.222927,2.116000,2.034179", \ - "5.456922,5.402266,5.250379,4.940400,4.402911,3.627299,3.048978", \ - "9.247364,9.198914,9.137589,8.877647,8.258957,7.054963,5.422827", \ - "14.101850,14.086340,14.043450,13.932900,13.486920,12.227290,9.860401"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("12.465040,12.466310,12.471290,12.581950,12.596130,12.486250,12.553800", \ - "12.303560,12.296000,12.306900,12.447400,12.504380,12.595740,12.592310", \ - "12.276590,12.261810,12.337360,12.384900,12.367310,12.471160,12.243930", \ - "13.453470,13.347030,13.378470,13.254500,13.092180,13.057480,12.928270", \ - "15.920210,15.890290,15.748740,15.768130,15.441000,14.965290,14.370480", \ - "20.384170,20.304540,20.177280,19.892880,19.215870,18.338150,16.957880", \ - "26.561990,26.489670,26.329610,25.995760,25.186990,23.963920,22.092890"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.756060,1.755907,1.797106,1.815347,1.855782,1.909613,1.954229", \ - "1.574092,1.596122,1.619795,1.673751,1.726533,1.787526,1.842120", \ - "1.523772,1.546736,1.583761,1.614698,1.676646,1.737774,1.793042", \ - "2.900267,2.809704,2.719289,2.536985,2.274027,2.157916,2.061364", \ - "5.678280,5.561685,5.411929,5.114552,4.588092,3.814626,3.139330", \ - "9.541962,9.517169,9.369315,9.121863,8.493319,7.338294,5.693789", \ - "14.470120,14.441190,14.389940,14.202950,13.764730,12.576760,10.261780"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("13.304890,13.314890,13.387580,13.440530,13.513320,13.407970,13.704190", \ - "13.158880,13.158200,13.243100,13.353740,13.418010,13.497180,13.625480", \ - "13.087750,13.181840,13.156080,13.278620,13.291470,13.411970,13.546960", \ - "14.359920,14.280540,14.204240,14.189420,14.100310,13.927720,13.673310", \ - "16.839550,16.737490,16.779350,16.757710,16.490650,15.752400,15.414880", \ - "21.342910,21.289970,21.174350,20.821120,20.408970,19.716280,18.097680", \ - "27.604690,27.534160,27.377900,27.064220,26.399650,25.246310,23.269190"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI22_X4 - Cell Description : Combinational cell (OAI22_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI22_X4) { - - drive_strength : 4; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 420.270999; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 80.198750; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 466.121250; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 466.120000; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 625.618750; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 136.027668; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 382.415000; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 463.512500; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 513.405000; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 136.027671; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 463.513750; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 544.593750; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 594.509875; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 100.139498; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 513.346000; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 594.428625; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 644.357900; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.549587; - fall_capacitance : 5.765983; - rise_capacitance : 6.549587; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.677678; - fall_capacitance : 6.677678; - rise_capacitance : 6.588122; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.697759; - fall_capacitance : 5.606920; - rise_capacitance : 6.697759; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.658010; - fall_capacitance : 6.410631; - rise_capacitance : 6.658010; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 92.468300; - function : "!((A1 | A2) & (B1 | B2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.007276,0.008412,0.009672,0.012123,0.016893,0.026250,0.044773", \ - "0.008000,0.009129,0.010388,0.012843,0.017624,0.026997,0.045535", \ - "0.010481,0.011779,0.013165,0.015699,0.020333,0.029617,0.048097", \ - "0.011903,0.013634,0.015482,0.018887,0.024937,0.035259,0.053440", \ - "0.011594,0.013794,0.016132,0.020421,0.027979,0.040854,0.062230", \ - "0.009282,0.011941,0.014770,0.019970,0.029118,0.044574,0.070033", \ - "0.004798,0.007907,0.011213,0.017298,0.028039,0.046182,0.075774"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.008724,0.010508,0.012507,0.016433,0.024170,0.039500,0.070012", \ - "0.009339,0.011086,0.013073,0.017006,0.024784,0.040175,0.070742", \ - "0.012942,0.014804,0.016728,0.020410,0.027917,0.043089,0.073529", \ - "0.018125,0.020384,0.022824,0.027379,0.035547,0.050162,0.079937", \ - "0.024983,0.027665,0.030526,0.035819,0.045384,0.062192,0.091465", \ - "0.033397,0.036537,0.039890,0.046034,0.056927,0.075987,0.108747", \ - "0.043506,0.047041,0.050873,0.057921,0.070323,0.091585,0.128093"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.004763,0.005644,0.006653,0.008669,0.012698,0.020748,0.036843", \ - "0.004719,0.005625,0.006644,0.008667,0.012698,0.020752,0.036846", \ - "0.006127,0.006874,0.007658,0.009211,0.012760,0.020750,0.036846", \ - "0.009745,0.010612,0.011543,0.013299,0.016574,0.022619,0.036895", \ - "0.014932,0.016015,0.017153,0.019212,0.022858,0.029450,0.041413", \ - "0.021536,0.022876,0.024294,0.026815,0.031121,0.038378,0.051263", \ - "0.029572,0.031198,0.032919,0.035982,0.041111,0.049451,0.063325"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.008980,0.010690,0.012594,0.016294,0.023473,0.037540,0.065473", \ - "0.008972,0.010687,0.012592,0.016293,0.023474,0.037539,0.065479", \ - "0.010523,0.011760,0.013263,0.016457,0.023472,0.037542,0.065477", \ - "0.014809,0.016035,0.017465,0.020198,0.025509,0.037763,0.065471", \ - "0.020582,0.021628,0.022946,0.025750,0.031469,0.042141,0.066078", \ - "0.028163,0.029119,0.030342,0.032997,0.038683,0.050243,0.071865", \ - "0.037366,0.038296,0.039506,0.042149,0.047786,0.059523,0.082505"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.005328,0.006384,0.007575,0.009924,0.014574,0.023819,0.042265", \ - "0.006025,0.007073,0.008264,0.010625,0.015295,0.024563,0.043027", \ - "0.007569,0.009022,0.010549,0.013305,0.018042,0.027198,0.045592", \ - "0.007713,0.009701,0.011775,0.015498,0.021935,0.032713,0.050977", \ - "0.006111,0.008650,0.011296,0.016036,0.024157,0.037609,0.059560", \ - "0.002549,0.005618,0.008821,0.014576,0.024442,0.040667,0.066819", \ - "-0.003111,0.000449,0.004187,0.010919,0.022502,0.041579,0.072061"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.008264,0.010029,0.012008,0.015896,0.023552,0.038725,0.068952", \ - "0.008882,0.010609,0.012574,0.016468,0.024165,0.039400,0.069685", \ - "0.012368,0.014260,0.016248,0.019884,0.027304,0.042315,0.072471", \ - "0.017300,0.019599,0.022070,0.026659,0.034865,0.049394,0.078884", \ - "0.023740,0.026520,0.029454,0.034821,0.044435,0.061275,0.090409", \ - "0.031485,0.034813,0.038309,0.044617,0.055645,0.074764,0.107548", \ - "0.040588,0.044454,0.048536,0.055882,0.068563,0.089997,0.126553"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.003178,0.004063,0.005075,0.007094,0.011125,0.019176,0.035269", \ - "0.003136,0.004041,0.005064,0.007093,0.011126,0.019176,0.035269", \ - "0.005208,0.005934,0.006747,0.008256,0.011450,0.019176,0.035268", \ - "0.008944,0.009813,0.010739,0.012455,0.015682,0.021640,0.035417", \ - "0.014082,0.015199,0.016366,0.018445,0.022067,0.028568,0.040478", \ - "0.020600,0.021981,0.023438,0.026012,0.030344,0.037568,0.050378", \ - "0.028613,0.030241,0.031990,0.035097,0.040299,0.048661,0.062482"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.005759,0.007293,0.009045,0.012536,0.019523,0.033483,0.061394", \ - "0.005752,0.007284,0.009038,0.012535,0.019526,0.033483,0.061397", \ - "0.007388,0.008445,0.009773,0.012729,0.019520,0.033487,0.061386", \ - "0.010186,0.011592,0.013184,0.016232,0.021627,0.033727,0.061384", \ - "0.014544,0.015992,0.017627,0.020828,0.027023,0.038156,0.062014", \ - "0.020368,0.021949,0.023718,0.027073,0.033477,0.045740,0.067842", \ - "0.027692,0.029407,0.031344,0.034994,0.041730,0.054402,0.078214"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.004490,0.005330,0.006277,0.008146,0.011847,0.019205,0.033886", \ - "0.005268,0.006100,0.007044,0.008917,0.012627,0.019999,0.034692", \ - "0.006367,0.007666,0.009023,0.011454,0.015597,0.022866,0.037480", \ - "0.005679,0.007500,0.009398,0.012777,0.018541,0.028045,0.043270", \ - "0.002867,0.005205,0.007640,0.011998,0.019416,0.031528,0.050919", \ - "-0.002291,0.000534,0.003486,0.008787,0.017859,0.032684,0.056172", \ - "-0.009959,-0.006688,-0.003250,0.002954,0.013624,0.031146,0.058898"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.008197,0.009960,0.011939,0.015826,0.023484,0.038660,0.068892", \ - "0.008770,0.010487,0.012444,0.016331,0.024027,0.039267,0.069561", \ - "0.012434,0.014304,0.016271,0.019879,0.027260,0.042231,0.072359", \ - "0.017745,0.020005,0.022441,0.026982,0.035114,0.049565,0.078968", \ - "0.024880,0.027569,0.030425,0.035686,0.045186,0.061883,0.090873", \ - "0.033712,0.036899,0.040264,0.046373,0.057159,0.076058,0.108584", \ - "0.044351,0.048019,0.051914,0.058966,0.071244,0.092258,0.128468"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.002460,0.003156,0.003955,0.005554,0.008753,0.015149,0.027943", \ - "0.002527,0.003153,0.003953,0.005554,0.008753,0.015149,0.027944", \ - "0.004772,0.005372,0.006026,0.007254,0.009526,0.015164,0.027945", \ - "0.008425,0.009205,0.010014,0.011492,0.014153,0.018919,0.028730", \ - "0.013422,0.014433,0.015484,0.017349,0.020523,0.025975,0.035570", \ - "0.019800,0.021050,0.022370,0.024700,0.028596,0.034935,0.045602", \ - "0.027698,0.029156,0.030744,0.033562,0.038263,0.045751,0.057716"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.005760,0.007291,0.009043,0.012539,0.019523,0.033477,0.061396", \ - "0.005751,0.007284,0.009039,0.012541,0.019527,0.033477,0.061400", \ - "0.007356,0.008425,0.009764,0.012732,0.019522,0.033486,0.061399", \ - "0.009959,0.011392,0.013012,0.016099,0.021539,0.033704,0.061386", \ - "0.014056,0.015493,0.017136,0.020387,0.026686,0.037925,0.061962", \ - "0.019635,0.021163,0.022882,0.026214,0.032695,0.045159,0.067493", \ - "0.026750,0.028367,0.030207,0.033732,0.040405,0.053250,0.077399"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.009289,0.010418,0.011682,0.014149,0.018956,0.028364,0.046936", \ - "0.009873,0.011002,0.012267,0.014735,0.019543,0.028953,0.047525", \ - "0.012648,0.013837,0.015116,0.017499,0.022219,0.031544,0.050045", \ - "0.015201,0.016772,0.018473,0.021647,0.027372,0.037275,0.055421", \ - "0.016515,0.018465,0.020564,0.024462,0.031488,0.043749,0.064472", \ - "0.016500,0.018829,0.021320,0.025955,0.034282,0.048722,0.073163", \ - "0.015090,0.017779,0.020649,0.025998,0.035626,0.052293,0.080252"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.011096,0.012845,0.014818,0.018713,0.026417,0.041723,0.072224", \ - "0.011861,0.013633,0.015633,0.019584,0.027367,0.042753,0.073320", \ - "0.014410,0.016265,0.018241,0.022118,0.029852,0.045256,0.075885", \ - "0.018259,0.020389,0.022710,0.027117,0.035331,0.050534,0.080891", \ - "0.023887,0.026379,0.029039,0.033968,0.042986,0.059504,0.089778", \ - "0.030685,0.033653,0.036788,0.042516,0.052649,0.070556,0.102893", \ - "0.038487,0.041933,0.045590,0.052250,0.063865,0.083678,0.118236"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.006216,0.007115,0.008140,0.010178,0.014233,0.022309,0.038422", \ - "0.006221,0.007117,0.008142,0.010179,0.014232,0.022309,0.038420", \ - "0.007255,0.007944,0.008753,0.010479,0.014243,0.022309,0.038421", \ - "0.010884,0.011731,0.012660,0.014415,0.017657,0.023829,0.038442", \ - "0.016020,0.017041,0.018145,0.020173,0.023840,0.030480,0.042544", \ - "0.022603,0.023849,0.025192,0.027612,0.031821,0.039143,0.052193", \ - "0.030604,0.032114,0.033747,0.036669,0.041618,0.049832,0.063888"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.008986,0.010692,0.012594,0.016293,0.023473,0.037539,0.065472", \ - "0.008990,0.010693,0.012595,0.016294,0.023473,0.037540,0.065474", \ - "0.009912,0.011306,0.012965,0.016370,0.023475,0.037541,0.065474", \ - "0.013421,0.014611,0.016043,0.018960,0.024820,0.037734,0.065479", \ - "0.018414,0.019371,0.020583,0.023237,0.028969,0.040629,0.065996", \ - "0.024884,0.025750,0.026872,0.029310,0.034631,0.046155,0.069768", \ - "0.032550,0.033393,0.034522,0.036977,0.042199,0.053264,0.076805"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.007062,0.008172,0.009412,0.011836,0.016577,0.025912,0.044424", \ - "0.007639,0.008751,0.009993,0.012420,0.017164,0.026501,0.045015", \ - "0.009956,0.011274,0.012677,0.015230,0.019875,0.029110,0.047542", \ - "0.011476,0.013220,0.015076,0.018483,0.024520,0.034818,0.052955", \ - "0.011814,0.013983,0.016283,0.020497,0.027931,0.040642,0.061871", \ - "0.010860,0.013445,0.016180,0.021194,0.030037,0.045052,0.070061", \ - "0.008551,0.011533,0.014686,0.020474,0.030692,0.048049,0.076708"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.010637,0.012371,0.014323,0.018177,0.025799,0.040947,0.071162", \ - "0.011399,0.013153,0.015136,0.019045,0.026747,0.041978,0.072259", \ - "0.013877,0.015749,0.017740,0.021581,0.029232,0.044478,0.074823", \ - "0.017556,0.019713,0.022050,0.026461,0.034658,0.049759,0.079833", \ - "0.022871,0.025436,0.028151,0.033133,0.042165,0.058628,0.088722", \ - "0.029190,0.032284,0.035526,0.041372,0.051600,0.069508,0.101728", \ - "0.036316,0.039969,0.043795,0.050657,0.062463,0.082383,0.116881"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.004756,0.005633,0.006640,0.008656,0.012688,0.020746,0.036844", \ - "0.004743,0.005633,0.006645,0.008659,0.012689,0.020747,0.036847", \ - "0.006388,0.007100,0.007881,0.009394,0.012850,0.020750,0.036848", \ - "0.010093,0.010929,0.011839,0.013567,0.016775,0.022782,0.036933", \ - "0.015192,0.016241,0.017350,0.019371,0.023000,0.029581,0.041560", \ - "0.021610,0.022931,0.024321,0.026788,0.031017,0.038283,0.051280", \ - "0.029391,0.031005,0.032720,0.035739,0.040765,0.049002,0.062986"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.005764,0.007291,0.009041,0.012536,0.019520,0.033483,0.061382", \ - "0.005769,0.007297,0.009047,0.012537,0.019524,0.033481,0.061383", \ - "0.006739,0.007953,0.009450,0.012627,0.019525,0.033487,0.061380", \ - "0.009267,0.010538,0.012030,0.015048,0.020915,0.033685,0.061392", \ - "0.013192,0.014420,0.015846,0.018739,0.024705,0.036629,0.061923", \ - "0.018258,0.019584,0.021097,0.024057,0.029887,0.041812,0.065725", \ - "0.024403,0.025830,0.027494,0.030718,0.036804,0.048553,0.072565"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.005742,0.006640,0.007640,0.009585,0.013375,0.020818,0.035559", \ - "0.006424,0.007316,0.008313,0.010257,0.014047,0.021489,0.036229", \ - "0.008403,0.009583,0.010829,0.013089,0.016990,0.024327,0.038982", \ - "0.009019,0.010614,0.012312,0.015392,0.020780,0.029861,0.044791", \ - "0.008025,0.010031,0.012155,0.016030,0.022811,0.034212,0.052936", \ - "0.005310,0.007700,0.010235,0.014868,0.023012,0.036708,0.059089", \ - "0.000790,0.003547,0.006460,0.011811,0.021250,0.037221,0.063254"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.010576,0.012306,0.014257,0.018110,0.025734,0.040883,0.071101", \ - "0.011305,0.013047,0.015021,0.018922,0.026620,0.041851,0.072135", \ - "0.013830,0.015693,0.017675,0.021494,0.029118,0.044340,0.074676", \ - "0.017811,0.019927,0.022230,0.026594,0.034730,0.049761,0.079774", \ - "0.023836,0.026317,0.028952,0.033817,0.042707,0.059018,0.088959", \ - "0.031235,0.034200,0.037322,0.042975,0.052938,0.070566,0.102511", \ - "0.039874,0.043349,0.047009,0.053599,0.065006,0.084442,0.118498"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.003699,0.004396,0.005195,0.006792,0.009987,0.016385,0.029177", \ - "0.003662,0.004384,0.005192,0.006793,0.009988,0.016384,0.029177", \ - "0.005756,0.006322,0.006942,0.008085,0.010509,0.016394,0.029177", \ - "0.009484,0.010209,0.010979,0.012418,0.015037,0.019706,0.029813", \ - "0.014555,0.015486,0.016471,0.018232,0.021324,0.026762,0.036324", \ - "0.020971,0.022164,0.023412,0.025611,0.029318,0.035532,0.046266", \ - "0.028807,0.030272,0.031822,0.034536,0.039020,0.046230,0.058075"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.005765,0.007295,0.009040,0.012536,0.019521,0.033477,0.061387", \ - "0.005769,0.007299,0.009046,0.012537,0.019525,0.033480,0.061383", \ - "0.006752,0.007972,0.009460,0.012635,0.019522,0.033478,0.061392", \ - "0.009168,0.010455,0.011967,0.015010,0.020903,0.033685,0.061393", \ - "0.012888,0.014107,0.015541,0.018471,0.024527,0.036537,0.061905", \ - "0.017819,0.019097,0.020573,0.023499,0.029365,0.041469,0.065567", \ - "0.023897,0.025246,0.026832,0.029936,0.035923,0.047767,0.072100"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.009414,0.010542,0.011796,0.014239,0.019001,0.028353,0.046877", \ - "0.010120,0.011262,0.012532,0.014999,0.019794,0.029179,0.047727", \ - "0.011603,0.012826,0.014165,0.016711,0.021513,0.030931,0.049518", \ - "0.012347,0.013900,0.015561,0.018615,0.024107,0.034081,0.052741", \ - "0.011080,0.013094,0.015234,0.019140,0.025967,0.037532,0.057645", \ - "0.007344,0.009832,0.012470,0.017290,0.025701,0.039720,0.062606", \ - "0.000962,0.003909,0.007031,0.012751,0.022769,0.039472,0.066249"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.013592,0.015355,0.017345,0.021277,0.029045,0.044441,0.075053", \ - "0.014054,0.015823,0.017823,0.021774,0.029571,0.044996,0.075627", \ - "0.017785,0.019437,0.021331,0.025120,0.032718,0.047953,0.078443", \ - "0.024834,0.026799,0.028958,0.033046,0.040510,0.055119,0.084957", \ - "0.033735,0.035993,0.038473,0.043203,0.052002,0.067799,0.096679", \ - "0.044918,0.047492,0.050290,0.055597,0.065427,0.083275,0.114640", \ - "0.058480,0.061388,0.064542,0.070493,0.081384,0.100951,0.135724"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.004734,0.005621,0.006633,0.008654,0.012687,0.020744,0.036850", \ - "0.004727,0.005617,0.006631,0.008653,0.012687,0.020745,0.036849", \ - "0.005396,0.006189,0.007062,0.008884,0.012721,0.020746,0.036849", \ - "0.008095,0.008799,0.009597,0.011204,0.014549,0.021594,0.036916", \ - "0.012429,0.013233,0.014117,0.015775,0.018917,0.025228,0.038927", \ - "0.017876,0.018830,0.019878,0.021828,0.025325,0.031612,0.044161", \ - "0.024284,0.025409,0.026664,0.028986,0.033079,0.040076,0.052480"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.012644,0.014264,0.016103,0.019735,0.026891,0.041035,0.069186", \ - "0.012644,0.014264,0.016102,0.019736,0.026891,0.041037,0.069185", \ - "0.013034,0.014473,0.016167,0.019729,0.026891,0.041034,0.069188", \ - "0.016634,0.017973,0.019358,0.022125,0.028027,0.041058,0.069182", \ - "0.021114,0.022554,0.024190,0.027371,0.033342,0.044459,0.069489", \ - "0.026869,0.028335,0.030028,0.033370,0.039868,0.051998,0.074387", \ - "0.033992,0.035510,0.037265,0.040721,0.047472,0.060465,0.084236"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.007446,0.008500,0.009686,0.012031,0.016675,0.025917,0.044361", \ - "0.008062,0.009152,0.010371,0.012760,0.017452,0.026736,0.045208", \ - "0.009026,0.010329,0.011720,0.014326,0.019146,0.028472,0.046990", \ - "0.008607,0.010400,0.012267,0.015603,0.021374,0.031508,0.050206", \ - "0.005988,0.008344,0.010792,0.015144,0.022515,0.034589,0.054976", \ - "0.000965,0.003862,0.006875,0.012253,0.021377,0.036151,0.059646", \ - "-0.006656,-0.003232,0.000325,0.006698,0.017556,0.035184,0.062824"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.013003,0.014759,0.016739,0.020641,0.028341,0.043593,0.073930", \ - "0.013464,0.015228,0.017217,0.021139,0.028864,0.044141,0.074507", \ - "0.017224,0.018859,0.020736,0.024492,0.032017,0.047100,0.077320", \ - "0.024019,0.026015,0.028198,0.032321,0.039816,0.054279,0.083839", \ - "0.032632,0.034939,0.037452,0.042220,0.051050,0.066875,0.095562", \ - "0.043398,0.046052,0.048919,0.054301,0.064189,0.082061,0.113437", \ - "0.056355,0.059397,0.062662,0.068755,0.079782,0.099418,0.134200"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.003195,0.004072,0.005078,0.007095,0.011125,0.019174,0.035266", \ - "0.003208,0.004077,0.005082,0.007097,0.011125,0.019175,0.035269", \ - "0.004350,0.005057,0.005901,0.007608,0.011275,0.019176,0.035265", \ - "0.007415,0.008085,0.008839,0.010330,0.013462,0.020295,0.035394", \ - "0.011863,0.012633,0.013489,0.015112,0.018147,0.024217,0.037659", \ - "0.017350,0.018243,0.019262,0.021186,0.024649,0.030832,0.043103", \ - "0.023756,0.024791,0.026005,0.028292,0.032384,0.039348,0.051615"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.008890,0.010438,0.012210,0.015746,0.022811,0.036911,0.065054", \ - "0.008889,0.010437,0.012209,0.015747,0.022812,0.036912,0.065058", \ - "0.009323,0.010676,0.012294,0.015736,0.022812,0.036912,0.065054", \ - "0.012243,0.013716,0.015321,0.018215,0.023986,0.036946,0.065061", \ - "0.015691,0.017342,0.019172,0.022645,0.029029,0.040394,0.065381", \ - "0.020212,0.022027,0.024022,0.027800,0.034833,0.047600,0.070313", \ - "0.025870,0.027899,0.030102,0.034196,0.041696,0.055459,0.080004"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.006336,0.007142,0.008048,0.009833,0.013364,0.020382,0.034380", \ - "0.007077,0.007912,0.008844,0.010663,0.014231,0.021280,0.035301", \ - "0.008420,0.009608,0.010856,0.013103,0.016999,0.024102,0.038162", \ - "0.007969,0.009673,0.011450,0.014620,0.020026,0.028948,0.043691", \ - "0.005184,0.007438,0.009788,0.013976,0.021068,0.032560,0.050853", \ - "-0.000113,0.002664,0.005563,0.010756,0.019589,0.033873,0.056232", \ - "-0.008116,-0.004835,-0.001411,0.004748,0.015283,0.032408,0.059138"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.014281,0.016010,0.017968,0.021842,0.029510,0.044731,0.075051", \ - "0.014753,0.016488,0.018454,0.022348,0.030047,0.045305,0.075656", \ - "0.018503,0.020134,0.022000,0.025736,0.033238,0.048295,0.078493", \ - "0.025658,0.027596,0.029724,0.033760,0.041125,0.055595,0.085136", \ - "0.034616,0.036850,0.039309,0.044008,0.052751,0.068429,0.097081", \ - "0.045831,0.048374,0.051157,0.056437,0.066239,0.084043,0.115268", \ - "0.059342,0.062237,0.065392,0.071333,0.082214,0.101789,0.136559"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.002767,0.003433,0.004196,0.005720,0.008761,0.014825,0.026935", \ - "0.002789,0.003444,0.004201,0.005721,0.008761,0.014826,0.026938", \ - "0.004572,0.005077,0.005655,0.006788,0.009175,0.014835,0.026934", \ - "0.008057,0.008609,0.009229,0.010442,0.012798,0.017400,0.027430", \ - "0.012810,0.013436,0.014160,0.015562,0.018189,0.023035,0.032321", \ - "0.018610,0.019345,0.020206,0.021876,0.024969,0.030426,0.040168", \ - "0.025382,0.026225,0.027245,0.029237,0.032913,0.039265,0.049979"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.009521,0.011090,0.012878,0.016438,0.023527,0.037645,0.065817", \ - "0.009522,0.011090,0.012877,0.016437,0.023524,0.037648,0.065812", \ - "0.009810,0.011219,0.012889,0.016431,0.023526,0.037649,0.065812", \ - "0.012724,0.014178,0.015766,0.018609,0.024504,0.037646,0.065809", \ - "0.016120,0.017770,0.019592,0.023056,0.029405,0.040803,0.066051", \ - "0.020577,0.022362,0.024342,0.028102,0.035129,0.047857,0.070662", \ - "0.026213,0.028179,0.030341,0.034377,0.041834,0.055580,0.080086"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.011393,0.012521,0.013785,0.016250,0.021057,0.030466,0.049038", \ - "0.012033,0.013167,0.014435,0.016909,0.021724,0.031141,0.049720", \ - "0.013644,0.014829,0.016136,0.018630,0.023457,0.032890,0.051484", \ - "0.015098,0.016523,0.018074,0.020969,0.026292,0.036129,0.054745", \ - "0.015107,0.016919,0.018865,0.022463,0.028875,0.040021,0.059836", \ - "0.013220,0.015435,0.017793,0.022162,0.029923,0.043158,0.065318", \ - "0.009325,0.011929,0.014687,0.019799,0.028921,0.044463,0.069999"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.015831,0.017586,0.019568,0.023493,0.031253,0.046640,0.077253", \ - "0.016671,0.018439,0.020436,0.024379,0.032164,0.047575,0.078199", \ - "0.019359,0.021095,0.023074,0.027000,0.034776,0.050190,0.080834", \ - "0.024336,0.026264,0.028412,0.032559,0.040393,0.055534,0.085922", \ - "0.031694,0.033806,0.036130,0.040602,0.049090,0.065018,0.094973", \ - "0.041194,0.043603,0.046208,0.051139,0.060297,0.077252,0.108704", \ - "0.052556,0.055316,0.058282,0.063840,0.073968,0.092163,0.125331"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.006214,0.007112,0.008139,0.010178,0.014233,0.022309,0.038419", \ - "0.006210,0.007111,0.008138,0.010178,0.014232,0.022309,0.038418", \ - "0.006672,0.007478,0.008417,0.010335,0.014253,0.022309,0.038419", \ - "0.009111,0.009848,0.010683,0.012371,0.015832,0.023026,0.038476", \ - "0.013411,0.014193,0.015060,0.016719,0.019913,0.026423,0.040308", \ - "0.018963,0.019879,0.020889,0.022772,0.026193,0.032512,0.045329", \ - "0.025596,0.026673,0.027878,0.030096,0.034022,0.040831,0.053301"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.012644,0.014264,0.016102,0.019736,0.026892,0.041034,0.069187", \ - "0.012644,0.014264,0.016103,0.019735,0.026891,0.041037,0.069187", \ - "0.012861,0.014373,0.016131,0.019737,0.026891,0.041034,0.069181", \ - "0.015532,0.016874,0.018395,0.021382,0.027695,0.041086,0.069180", \ - "0.019249,0.020570,0.022104,0.025188,0.031320,0.043402,0.069507", \ - "0.024248,0.025530,0.027030,0.030057,0.036182,0.048473,0.072784", \ - "0.030455,0.031753,0.033283,0.036343,0.042438,0.054706,0.079293"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.009153,0.010262,0.011502,0.013927,0.018671,0.028008,0.046519", \ - "0.009779,0.010896,0.012144,0.014579,0.019334,0.028681,0.047199", \ - "0.011123,0.012353,0.013695,0.016248,0.021052,0.030420,0.048956", \ - "0.011702,0.013304,0.015001,0.018100,0.023619,0.033578,0.052210", \ - "0.010630,0.012685,0.014852,0.018785,0.025621,0.037159,0.057195", \ - "0.007742,0.010227,0.012847,0.017619,0.025927,0.039768,0.062425", \ - "0.002915,0.005815,0.008861,0.014430,0.024179,0.040462,0.066706"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.015244,0.016991,0.018964,0.022857,0.030548,0.045790,0.076126", \ - "0.016082,0.017843,0.019830,0.023744,0.031460,0.046723,0.077081", \ - "0.018774,0.020504,0.022469,0.026364,0.034069,0.049339,0.079710", \ - "0.023598,0.025542,0.027700,0.031852,0.039684,0.054681,0.084801", \ - "0.030761,0.032912,0.035258,0.039748,0.048228,0.064101,0.093846", \ - "0.039946,0.042425,0.045081,0.050065,0.059256,0.076176,0.107504", \ - "0.050858,0.053727,0.056777,0.062440,0.072662,0.090887,0.123957"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.004756,0.005640,0.006650,0.008668,0.012698,0.020751,0.036848", \ - "0.004751,0.005636,0.006648,0.008668,0.012698,0.020751,0.036845", \ - "0.005556,0.006322,0.007204,0.009002,0.012796,0.020753,0.036848", \ - "0.008406,0.009087,0.009863,0.011426,0.014698,0.021691,0.036948", \ - "0.012847,0.013599,0.014438,0.016034,0.019101,0.025367,0.039007", \ - "0.018399,0.019300,0.020293,0.022149,0.025515,0.031690,0.044231", \ - "0.024907,0.025994,0.027206,0.029434,0.033352,0.040112,0.052401"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.008889,0.010437,0.012210,0.015747,0.022813,0.036914,0.065065", \ - "0.008889,0.010438,0.012210,0.015746,0.022810,0.036913,0.065051", \ - "0.009131,0.010565,0.012245,0.015746,0.022809,0.036912,0.065061", \ - "0.011375,0.012787,0.014379,0.017452,0.023638,0.036968,0.065064", \ - "0.014371,0.015828,0.017477,0.020724,0.027078,0.039317,0.065393", \ - "0.018416,0.019964,0.021688,0.025023,0.031493,0.044154,0.068688", \ - "0.023428,0.025133,0.027017,0.030572,0.037241,0.050024,0.075064"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.007502,0.008357,0.009312,0.011173,0.014799,0.021910,0.035978", \ - "0.008254,0.009115,0.010074,0.011943,0.015577,0.022696,0.036769", \ - "0.010266,0.011351,0.012504,0.014612,0.018375,0.025518,0.039611", \ - "0.010881,0.012403,0.014016,0.016943,0.022042,0.030629,0.045175", \ - "0.009619,0.011591,0.013678,0.017465,0.024031,0.034949,0.052716", \ - "0.006408,0.008799,0.011329,0.015946,0.023995,0.037361,0.058821", \ - "0.001129,0.003922,0.006864,0.012261,0.021732,0.037555,0.062896"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.016536,0.018255,0.020204,0.024068,0.031724,0.046938,0.077251", \ - "0.017371,0.019105,0.021070,0.024958,0.032648,0.047895,0.078236", \ - "0.020054,0.021758,0.023700,0.027563,0.035236,0.050486,0.080852", \ - "0.025163,0.027046,0.029153,0.033226,0.040932,0.055897,0.085986", \ - "0.032659,0.034735,0.037022,0.041430,0.049815,0.065564,0.095215", \ - "0.042335,0.044706,0.047271,0.052137,0.061204,0.078023,0.109215", \ - "0.053862,0.056592,0.059520,0.065020,0.075061,0.093150,0.126140"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.003990,0.004652,0.005411,0.006926,0.009955,0.016008,0.028112", \ - "0.003982,0.004645,0.005407,0.006924,0.009953,0.016007,0.028110", \ - "0.005468,0.005962,0.006529,0.007666,0.010219,0.016011,0.028109", \ - "0.008935,0.009479,0.010093,0.011307,0.013666,0.018257,0.028513", \ - "0.013703,0.014317,0.015026,0.016391,0.018987,0.023859,0.033168", \ - "0.019563,0.020309,0.021158,0.022775,0.025757,0.031125,0.040929", \ - "0.026438,0.027340,0.028375,0.030327,0.033840,0.039952,0.050546"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.009522,0.011089,0.012876,0.016437,0.023527,0.037651,0.065812", \ - "0.009525,0.011088,0.012876,0.016437,0.023525,0.037646,0.065817", \ - "0.009684,0.011154,0.012893,0.016436,0.023524,0.037644,0.065815", \ - "0.011853,0.013282,0.014884,0.017956,0.024243,0.037674,0.065809", \ - "0.014722,0.016202,0.017877,0.021163,0.027566,0.039871,0.066098", \ - "0.018657,0.020199,0.021932,0.025292,0.031825,0.044572,0.069214", \ - "0.023616,0.025291,0.027155,0.030690,0.037366,0.050240,0.075407"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("4.009334,4.108427,4.199816,4.311460,4.423120,4.560067,4.655578", \ - "4.044556,4.128711,4.228320,4.352514,4.506792,4.662443,4.800279", \ - "4.705511,4.722837,4.697326,4.729708,4.784944,4.851781,4.941104", \ - "8.321212,7.999312,7.678686,7.152136,6.544643,6.140769,5.807275", \ - "14.356650,14.080900,13.741010,13.003870,11.598770,9.729892,8.338959", \ - "22.301180,22.221820,22.050250,21.591310,20.129600,17.168470,13.564470", \ - "32.375670,32.413950,32.533110,32.312140,31.414650,28.433560,22.842070"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("16.914310,17.061690,17.174700,17.336850,17.447750,17.286250,17.309700", \ - "16.763810,16.885990,16.973370,17.036410,17.254910,17.204270,17.635610", \ - "18.112460,17.997640,17.943080,17.847960,17.821880,17.626390,17.535740", \ - "22.326330,21.893620,21.680340,21.239790,20.364030,19.832460,18.932200", \ - "28.900490,28.469760,28.167600,27.577840,26.488250,24.691540,22.607880", \ - "39.476550,38.850200,38.271870,37.294750,35.738090,33.305040,29.258990", \ - "53.777620,53.100690,52.435930,51.188870,48.886150,45.432920,40.909640"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("1.272311,1.368565,1.420608,1.474203,1.546187,1.581363,1.600106", \ - "1.201750,1.283764,1.376652,1.466338,1.592618,1.680685,1.740265", \ - "2.334068,2.079138,2.002149,1.921578,1.897489,1.881209,1.881759", \ - "6.422633,6.066447,5.611263,4.851275,3.876691,3.267335,2.781905", \ - "12.476390,12.255970,11.883100,11.097600,9.415443,7.133076,5.429062", \ - "20.431310,20.302090,20.219600,19.680420,18.213240,14.955710,10.853630", \ - "30.490620,30.659460,30.640150,30.496020,29.507900,26.454280,20.427650"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("13.412160,13.544270,13.663610,13.769080,13.857920,13.572950,13.733580", \ - "13.268800,13.374380,13.433990,13.510010,13.552760,13.850290,13.599560", \ - "14.540050,14.491120,14.420270,14.278810,14.264180,13.963620,13.974560", \ - "18.279480,18.195530,18.207700,17.725250,16.914030,16.273380,15.257580", \ - "25.210370,24.789310,24.379070,23.881380,22.999250,21.362310,18.791290", \ - "35.566860,35.200180,34.623100,33.591650,32.067050,29.619630,26.567350", \ - "49.550590,49.166600,48.658320,47.572200,45.107290,41.687710,36.833700"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("1.261343,1.357780,1.425492,1.476493,1.534520,1.587751,1.607674", \ - "1.256996,1.338787,1.407261,1.496975,1.601130,1.696404,1.760953", \ - "2.718043,2.457751,2.221223,2.071973,1.997425,1.964923,1.942458", \ - "6.818634,6.546382,6.217097,5.497338,4.434099,3.566509,3.007049", \ - "12.823060,12.716990,12.409560,11.905150,10.471250,8.126890,6.007455", \ - "20.689070,20.767400,20.755610,20.410060,19.377640,16.616990,12.286340", \ - "30.846720,30.922450,31.134740,31.219930,30.596540,28.414470,22.927410"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("13.337900,13.469710,13.573900,13.708570,13.661860,13.808910,13.597570", \ - "13.136570,13.224390,13.260180,13.404410,13.494320,13.505680,13.571470", \ - "14.589310,14.562080,14.416360,14.254450,14.105890,13.876310,13.872770", \ - "18.630380,18.567320,18.509490,18.105680,17.348720,16.515410,15.157430", \ - "26.026320,25.506150,25.159660,24.686440,23.650350,21.953800,20.109220", \ - "37.240220,36.709360,35.996490,35.066300,33.210460,31.205390,27.999000", \ - "52.190940,51.753970,51.108790,49.783760,47.414660,44.038620,39.119520"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("6.233692,6.379967,6.444084,6.549284,6.666906,6.841267,7.003704", \ - "6.008483,6.112163,6.171411,6.302420,6.458387,6.619314,6.777177", \ - "6.534430,6.551376,6.597157,6.618259,6.668593,6.750326,6.830674", \ - "9.806475,9.586572,9.338180,8.894978,8.510924,8.114990,7.746266", \ - "16.246840,15.967240,15.596860,14.872010,13.606550,11.939760,10.515020", \ - "25.187410,25.116970,24.842790,24.107700,22.525300,19.722410,16.196610", \ - "36.668140,36.728170,36.582500,36.216580,34.883230,31.604230,26.143180"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("21.204840,21.353130,21.490030,21.440060,21.390130,21.597830,20.812440", \ - "20.743230,20.883380,21.114410,21.273580,21.488950,21.432230,20.997750", \ - "20.856580,20.843630,20.925550,20.841650,20.918800,21.333950,21.260680", \ - "23.518750,23.355170,23.110540,22.815390,22.585950,21.850490,21.745080", \ - "28.703290,28.567480,28.246270,27.804650,26.929120,25.649280,24.589730", \ - "38.150000,37.588230,36.999030,35.966860,34.291850,32.502220,29.599630", \ - "50.585440,50.034220,49.484850,48.092060,45.951230,42.519700,38.713860"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("3.506671,3.566248,3.630479,3.690200,3.763669,3.852560,3.933946", \ - "3.254181,3.281460,3.344320,3.443007,3.535270,3.637168,3.718772", \ - "3.910238,3.871412,3.855929,3.812499,3.778655,3.774197,3.776981", \ - "7.847938,7.494380,7.119228,6.530485,5.836816,5.255384,4.746210", \ - "14.534230,14.189610,13.756350,12.905610,11.383190,9.309049,7.618036", \ - "23.474180,23.318000,23.086400,22.309280,20.633890,17.472150,13.494140", \ - "34.853570,34.906440,34.866920,34.427750,33.055470,29.626230,23.726870"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("17.668070,17.878170,17.977580,18.057760,18.099630,17.965380,17.494740", \ - "17.290450,17.497350,17.579110,17.770530,17.865220,17.985350,17.363960", \ - "17.405690,17.350640,17.392250,17.518840,17.461780,17.624300,17.345270", \ - "19.401260,19.506100,19.649980,19.345190,18.884830,18.538110,18.565850", \ - "25.055070,24.754620,24.373800,23.978060,23.403730,21.938750,21.107280", \ - "34.288020,33.855640,33.229600,32.354940,30.520380,28.968540,25.548800", \ - "46.563570,46.095590,45.433400,44.465510,42.279040,38.588020,34.681500"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("3.396505,3.443463,3.539004,3.615886,3.713360,3.807652,3.899934", \ - "3.088219,3.215742,3.293859,3.377851,3.475613,3.603000,3.702523", \ - "4.075354,3.921182,3.881617,3.844910,3.819213,3.799916,3.790804", \ - "8.337891,7.995274,7.677477,7.072064,6.184408,5.499210,4.911541", \ - "15.086690,14.840930,14.547990,13.834050,12.401090,10.246610,8.172216", \ - "24.206100,24.171130,24.035230,23.470130,22.099390,19.162460,14.941030", \ - "35.724440,35.824980,35.851590,35.769480,34.820660,31.946600,26.282930"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("17.672720,17.815390,17.903600,17.894720,18.043300,17.893750,17.402220", \ - "17.204910,17.236980,17.457480,17.631950,17.574470,17.820210,17.522130", \ - "17.368120,17.367530,17.337840,17.326000,17.256310,17.411630,16.969870", \ - "19.572310,19.659920,19.852140,19.540710,18.902820,18.568410,18.504960", \ - "25.852760,25.406480,25.073650,24.506350,24.060960,22.624130,21.197290", \ - "35.620910,35.139670,34.637380,33.542160,31.969670,29.732000,27.245470", \ - "49.111420,48.579800,47.859170,46.440480,44.279790,40.684390,36.729590"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("4.005348,4.100342,4.200293,4.294809,4.412583,4.536113,4.623827", \ - "3.828448,3.936529,4.049540,4.233772,4.416877,4.612924,4.751364", \ - "3.682660,3.814980,3.894608,4.037018,4.242405,4.482279,4.695039", \ - "6.269593,6.069418,5.852520,5.532422,5.187733,5.097111,5.080993", \ - "11.183520,11.050090,10.796850,10.287810,9.243380,7.778912,6.844458", \ - "17.819790,17.765600,17.669360,17.326910,16.377910,14.146120,11.040100", \ - "26.060060,26.174730,26.074120,26.162570,25.600170,23.694800,19.207700"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("24.487930,24.528790,24.656790,24.589100,24.678500,24.976420,24.903520", \ - "24.139350,24.230570,24.301520,24.387190,24.356140,24.281410,24.690220", \ - "25.223200,25.217250,25.198750,25.038090,24.997470,24.895120,24.751350", \ - "29.172020,29.082280,28.945340,28.389080,28.042230,26.951090,26.468440", \ - "36.203930,35.906170,35.775910,35.222150,34.007520,32.442770,30.819340", \ - "46.577480,46.112820,45.767760,45.038480,43.931490,41.508800,38.507330", \ - "60.995640,60.642480,60.116700,59.191270,57.219610,54.705500,50.105110"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("1.290151,1.359032,1.419248,1.474189,1.526044,1.557893,1.573042", \ - "0.891887,1.065037,1.190653,1.339436,1.483334,1.615624,1.691988", \ - "0.976046,0.946368,1.000714,1.101584,1.289317,1.473980,1.633941", \ - "4.033739,3.809390,3.509649,3.014436,2.366330,2.143140,2.039608", \ - "8.965218,8.878394,8.650558,8.095574,6.878300,5.100510,3.869105", \ - "15.596170,15.660680,15.445560,15.198460,14.211700,11.773720,8.292519", \ - "24.005690,24.048780,24.057040,23.997430,23.515020,21.497720,16.733730"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("20.756030,20.847960,20.972870,21.096510,21.120730,21.241470,21.396050", \ - "20.467490,20.573980,20.697500,20.799490,20.819290,20.954280,20.897180", \ - "21.559930,21.579660,21.522440,21.530220,21.388220,21.292190,20.676650", \ - "25.719000,25.395160,25.341890,25.026120,24.380710,23.519620,23.110060", \ - "32.062980,31.964410,31.776830,31.475370,30.613570,28.892670,27.138010", \ - "42.535360,42.268270,41.875270,41.306540,40.158780,38.147810,34.748530", \ - "56.471470,56.405550,56.137330,55.384490,53.727940,50.886040,46.202510"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("1.274052,1.372880,1.399752,1.468105,1.519792,1.552713,1.582697", \ - "0.912745,1.072069,1.199485,1.353100,1.497698,1.622570,1.703394", \ - "1.086929,1.045844,1.060026,1.167784,1.332851,1.513135,1.660884", \ - "4.247145,3.998984,3.733727,3.259560,2.617337,2.265532,2.120304", \ - "9.351977,9.114897,8.881898,8.386578,7.253764,5.492810,4.084702", \ - "16.057000,15.983680,15.907540,15.464350,14.555190,12.319000,8.848412", \ - "24.703480,24.687520,24.457360,24.419980,23.825100,22.021330,17.533660"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("22.642480,22.729290,22.756560,22.803630,22.954950,23.196530,22.603350", \ - "22.184890,22.341080,22.406910,22.524710,22.756230,22.824880,23.128970", \ - "23.483410,23.324010,23.359530,23.409700,23.251390,23.189950,23.323150", \ - "27.521480,27.335330,27.097720,26.827540,26.340980,25.735090,24.824960", \ - "33.956560,33.943570,33.820320,33.694280,32.868010,31.315160,29.609740", \ - "44.516200,44.288440,44.090030,43.434520,42.363550,40.548010,37.249170", \ - "58.889920,58.598210,58.453580,57.755830,56.167310,53.610830,48.791300"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("6.300593,6.380456,6.421757,6.556757,6.677084,6.826730,6.986126", \ - "5.913560,6.084134,6.154619,6.277355,6.413094,6.589405,6.765262", \ - "5.853631,5.940659,5.988769,6.108261,6.275554,6.479764,6.657362", \ - "7.954592,7.807271,7.630012,7.403729,7.245879,7.163480,7.094317", \ - "12.948250,12.827810,12.547290,12.081720,11.160800,9.894896,9.018925", \ - "20.519140,20.414620,20.179780,19.703470,18.558670,16.419340,13.506090", \ - "29.823410,30.039710,29.936790,29.749050,28.836210,26.507620,22.086620"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("28.582660,28.754930,28.817980,28.772490,28.944570,28.763050,28.964740", \ - "28.272700,28.320130,28.502700,28.542460,28.646560,28.435920,28.928190", \ - "28.372420,28.238220,28.368590,28.497460,28.407490,28.367060,28.907810", \ - "30.660030,30.417460,30.443910,30.263310,30.040540,29.718610,29.140280", \ - "36.042260,35.763450,35.722800,35.507450,34.592800,33.372130,32.417990", \ - "44.951050,44.630220,44.224230,43.672650,42.328480,40.752830,37.611340", \ - "57.574860,57.158860,56.737260,55.894660,54.017930,51.057820,46.811630"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("3.524021,3.582749,3.625581,3.672269,3.775308,3.859483,3.929143", \ - "3.167359,3.251347,3.323240,3.409122,3.513198,3.608909,3.703272", \ - "3.057770,3.109561,3.151012,3.234072,3.362297,3.473841,3.590518", \ - "5.559804,5.405645,5.191037,4.816069,4.427506,4.219071,4.052712", \ - "10.972180,10.756470,10.460840,9.857615,8.747620,7.209387,6.059244", \ - "18.513860,18.396340,18.163890,17.646080,16.429260,14.022450,10.758780", \ - "27.949500,28.141370,27.942310,27.752190,26.858850,24.332480,19.593210"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("25.064890,24.998340,25.169900,25.291340,25.222860,25.335780,24.822160", \ - "24.544950,24.681440,24.866890,25.025720,24.985540,25.192790,25.319050", \ - "24.473690,24.672260,24.828820,24.884020,24.967020,25.201280,25.067720", \ - "26.888970,26.923940,26.824880,26.649260,26.314090,25.993510,26.115450", \ - "31.931210,31.830660,31.762280,31.529930,30.997780,29.809650,28.400310", \ - "40.906040,40.590320,40.321850,39.627930,38.693860,37.196250,34.448690", \ - "53.054540,52.936300,52.602010,51.899750,50.505340,47.720650,43.976880"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("3.486227,3.529658,3.571352,3.654469,3.737850,3.827448,3.913312", \ - "3.143561,3.214053,3.267642,3.355042,3.457947,3.577530,3.680580", \ - "3.041136,3.119737,3.171820,3.224350,3.352608,3.462559,3.573429", \ - "5.785820,5.614939,5.400753,5.023771,4.521994,4.291774,4.102018", \ - "11.314140,11.125640,10.757340,10.199850,9.095605,7.572089,6.231675", \ - "19.121530,18.949830,18.625970,18.043860,16.929590,14.562870,11.305930", \ - "28.842190,28.849450,28.607580,28.276290,27.421600,25.014310,20.388900"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("26.842960,26.877740,26.967210,27.102820,27.152410,27.214550,26.664800", \ - "26.443280,26.615370,26.710680,26.845360,26.819770,26.753100,26.482660", \ - "26.476480,26.465440,26.587570,26.688350,26.662040,26.967410,27.255810", \ - "28.861310,28.697970,28.682270,28.564210,28.176220,28.105590,27.983310", \ - "33.859640,33.760420,33.682800,33.491290,32.916850,31.660170,30.171850", \ - "42.856650,42.554930,42.310130,41.733300,40.858090,39.288390,36.875500", \ - "55.356900,55.212890,54.933630,54.250340,52.521040,50.407760,45.946060"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI33_X1 - Cell Description : Combinational cell (OAI33_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI33_X1) { - - drive_strength : 1; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 140.797555; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !B1 & !B2 & !B3"; - value : 30.072250; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & !B1 & !B2 & B3"; - value : 154.781625; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & !B1 & B2 & !B3"; - value : 154.782500; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & !B1 & B2 & B3"; - value : 194.686750; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & B1 & !B2 & !B3"; - value : 154.783500; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & B1 & !B2 & B3"; - value : 194.666125; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & B1 & B2 & !B3"; - value : 194.687625; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & B1 & B2 & B3"; - value : 234.556000; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !B1 & !B2 & !B3"; - value : 54.875385; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !B1 & !B2 & B3"; - value : 93.567125; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !B1 & B2 & !B3"; - value : 94.823625; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !B1 & B2 & B3"; - value : 127.251712; - } - leakage_power () { - when : "!A1 & !A2 & A3 & B1 & !B2 & !B3"; - value : 115.079500; - } - leakage_power () { - when : "!A1 & !A2 & A3 & B1 & !B2 & B3"; - value : 127.355400; - } - leakage_power () { - when : "!A1 & !A2 & A3 & B1 & B2 & !B3"; - value : 127.573137; - } - leakage_power () { - when : "!A1 & !A2 & A3 & B1 & B2 & B3"; - value : 167.270550; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !B1 & !B2 & !B3"; - value : 54.875635; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !B1 & !B2 & B3"; - value : 94.823500; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !B1 & B2 & !B3"; - value : 96.080000; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !B1 & B2 & B3"; - value : 128.508062; - } - leakage_power () { - when : "!A1 & A2 & !A3 & B1 & !B2 & !B3"; - value : 116.335875; - } - leakage_power () { - when : "!A1 & A2 & !A3 & B1 & !B2 & B3"; - value : 128.612000; - } - leakage_power () { - when : "!A1 & A2 & !A3 & B1 & B2 & !B3"; - value : 128.829737; - } - leakage_power () { - when : "!A1 & A2 & !A3 & B1 & B2 & B3"; - value : 168.527312; - } - leakage_power () { - when : "!A1 & A2 & A3 & !B1 & !B2 & !B3"; - value : 46.730646; - } - leakage_power () { - when : "!A1 & A2 & A3 & !B1 & !B2 & B3"; - value : 127.237313; - } - leakage_power () { - when : "!A1 & A2 & A3 & !B1 & B2 & !B3"; - value : 128.493487; - } - leakage_power () { - when : "!A1 & A2 & A3 & !B1 & B2 & B3"; - value : 160.926606; - } - leakage_power () { - when : "!A1 & A2 & A3 & B1 & !B2 & !B3"; - value : 148.747250; - } - leakage_power () { - when : "!A1 & A2 & A3 & B1 & !B2 & B3"; - value : 161.030548; - } - leakage_power () { - when : "!A1 & A2 & A3 & B1 & B2 & !B3"; - value : 161.248285; - } - leakage_power () { - when : "!A1 & A2 & A3 & B1 & B2 & B3"; - value : 200.947374; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !B1 & !B2 & !B3"; - value : 54.875885; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !B1 & !B2 & B3"; - value : 115.079500; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !B1 & B2 & !B3"; - value : 116.336000; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !B1 & B2 & B3"; - value : 148.767125; - } - leakage_power () { - when : "A1 & !A2 & !A3 & B1 & !B2 & !B3"; - value : 136.588125; - } - leakage_power () { - when : "A1 & !A2 & !A3 & B1 & !B2 & B3"; - value : 148.870750; - } - leakage_power () { - when : "A1 & !A2 & !A3 & B1 & B2 & !B3"; - value : 149.086875; - } - leakage_power () { - when : "A1 & !A2 & !A3 & B1 & B2 & B3"; - value : 188.787000; - } - leakage_power () { - when : "A1 & !A2 & A3 & !B1 & !B2 & !B3"; - value : 46.709646; - } - leakage_power () { - when : "A1 & !A2 & A3 & !B1 & !B2 & B3"; - value : 127.340987; - } - leakage_power () { - when : "A1 & !A2 & A3 & !B1 & B2 & !B3"; - value : 128.597287; - } - leakage_power () { - when : "A1 & !A2 & A3 & !B1 & B2 & B3"; - value : 161.030546; - } - leakage_power () { - when : "A1 & !A2 & A3 & B1 & !B2 & !B3"; - value : 148.850875; - } - leakage_power () { - when : "A1 & !A2 & A3 & B1 & !B2 & B3"; - value : 161.133845; - } - leakage_power () { - when : "A1 & !A2 & A3 & B1 & B2 & !B3"; - value : 161.351859; - } - leakage_power () { - when : "A1 & !A2 & A3 & B1 & B2 & B3"; - value : 201.051187; - } - leakage_power () { - when : "A1 & A2 & !A3 & !B1 & !B2 & !B3"; - value : 46.730896; - } - leakage_power () { - when : "A1 & A2 & !A3 & !B1 & !B2 & B3"; - value : 127.558738; - } - leakage_power () { - when : "A1 & A2 & !A3 & !B1 & B2 & !B3"; - value : 128.815025; - } - leakage_power () { - when : "A1 & A2 & !A3 & !B1 & B2 & B3"; - value : 161.248160; - } - leakage_power () { - when : "A1 & A2 & !A3 & B1 & !B2 & !B3"; - value : 149.067000; - } - leakage_power () { - when : "A1 & A2 & !A3 & B1 & !B2 & B3"; - value : 161.351857; - } - leakage_power () { - when : "A1 & A2 & !A3 & B1 & B2 & !B3"; - value : 161.566324; - } - leakage_power () { - when : "A1 & A2 & !A3 & B1 & B2 & B3"; - value : 201.268980; - } - leakage_power () { - when : "A1 & A2 & A3 & !B1 & !B2 & !B3"; - value : 37.551881; - } - leakage_power () { - when : "A1 & A2 & A3 & !B1 & !B2 & B3"; - value : 167.236625; - } - leakage_power () { - when : "A1 & A2 & A3 & !B1 & B2 & !B3"; - value : 168.493050; - } - leakage_power () { - when : "A1 & A2 & A3 & !B1 & B2 & B3"; - value : 200.934750; - } - leakage_power () { - when : "A1 & A2 & A3 & B1 & !B2 & !B3"; - value : 188.743500; - } - leakage_power () { - when : "A1 & A2 & A3 & B1 & !B2 & B3"; - value : 201.038560; - } - leakage_power () { - when : "A1 & A2 & A3 & B1 & B2 & !B3"; - value : 201.256352; - } - leakage_power () { - when : "A1 & A2 & A3 & B1 & B2 & B3"; - value : 240.957890; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.731829; - fall_capacitance : 1.419842; - rise_capacitance : 1.731829; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.653483; - fall_capacitance : 1.524921; - rise_capacitance : 1.653483; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.627109; - fall_capacitance : 1.627109; - rise_capacitance : 1.601346; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.722161; - fall_capacitance : 1.377219; - rise_capacitance : 1.722161; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.664910; - fall_capacitance : 1.493663; - rise_capacitance : 1.664910; - } - - pin (B3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.607075; - fall_capacitance : 1.594007; - rise_capacitance : 1.607075; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 11.482200; - function : "!(((A1 | A2) | A3) & ((B1 | B2) | B3))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & !B2 & B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008855,0.009403,0.010355,0.011993,0.014795,0.019584,0.027821", \ - "0.009674,0.010216,0.011160,0.012793,0.015594,0.020388,0.028634", \ - "0.012859,0.013402,0.014331,0.015886,0.018472,0.023134,0.031302", \ - "0.015282,0.016008,0.017259,0.019364,0.022826,0.028357,0.036930", \ - "0.015291,0.016220,0.017813,0.020502,0.024894,0.031885,0.042769", \ - "0.012435,0.013563,0.015527,0.018817,0.024203,0.032779,0.045998", \ - "0.006504,0.007828,0.010136,0.014014,0.020387,0.030560,0.046264"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013957,0.015139,0.017222,0.020882,0.027301,0.038577,0.058464", \ - "0.014385,0.015557,0.017636,0.021301,0.027759,0.039097,0.059072", \ - "0.018016,0.019072,0.020992,0.024453,0.030663,0.041762,0.061544", \ - "0.025149,0.026405,0.028574,0.032263,0.038405,0.048899,0.068015", \ - "0.034470,0.035887,0.038339,0.042526,0.049584,0.061233,0.080068", \ - "0.046303,0.047920,0.050682,0.055365,0.063186,0.076108,0.097158", \ - "0.060709,0.062526,0.065625,0.070863,0.079544,0.093704,0.116654"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006756,0.007167,0.007893,0.009162,0.011387,0.015292,0.022181", \ - "0.006680,0.007107,0.007852,0.009140,0.011378,0.015288,0.022182", \ - "0.007420,0.007713,0.008269,0.009319,0.011314,0.015130,0.022157", \ - "0.011156,0.011535,0.012189,0.013297,0.015154,0.018218,0.023494", \ - "0.016579,0.017043,0.017840,0.019156,0.021298,0.024738,0.030327", \ - "0.023530,0.024117,0.025090,0.026704,0.029283,0.033308,0.039526", \ - "0.031979,0.032703,0.033887,0.035851,0.038971,0.043745,0.050904"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.019426,0.020629,0.022748,0.026443,0.032815,0.043681,0.062227", \ - "0.019386,0.020602,0.022731,0.026437,0.032816,0.043684,0.062228", \ - "0.019531,0.020632,0.022617,0.026271,0.032781,0.043683,0.062219", \ - "0.023154,0.023963,0.025526,0.028487,0.033912,0.043829,0.062220", \ - "0.029036,0.029873,0.031412,0.034237,0.039144,0.047568,0.063540", \ - "0.037236,0.037871,0.039098,0.041509,0.046190,0.054792,0.069431", \ - "0.047814,0.048277,0.049251,0.051246,0.055328,0.063425,0.078474"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & B2 & !B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007949,0.008441,0.009303,0.010805,0.013418,0.017977,0.025968", \ - "0.008739,0.009229,0.010088,0.011591,0.014210,0.018777,0.026780", \ - "0.011412,0.011965,0.012908,0.014487,0.017042,0.021511,0.029448", \ - "0.012750,0.013511,0.014814,0.017001,0.020566,0.026234,0.034985", \ - "0.011604,0.012586,0.014284,0.017110,0.021709,0.028955,0.040108", \ - "0.007605,0.008812,0.010890,0.014382,0.020052,0.028984,0.042632", \ - "0.000566,0.001981,0.004439,0.008559,0.015275,0.025889,0.042133"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013952,0.015135,0.017218,0.020879,0.027289,0.038568,0.058443", \ - "0.014382,0.015552,0.017631,0.021297,0.027746,0.039086,0.059045", \ - "0.018013,0.019068,0.020988,0.024444,0.030654,0.041749,0.061527", \ - "0.025146,0.026402,0.028567,0.032254,0.038398,0.048888,0.068000", \ - "0.034462,0.035881,0.038331,0.042519,0.049575,0.061221,0.080046", \ - "0.046286,0.047903,0.050669,0.055348,0.063168,0.076091,0.097133", \ - "0.060681,0.062496,0.065596,0.070840,0.079532,0.093673,0.116618"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005443,0.005837,0.006531,0.007766,0.009952,0.013829,0.020709", \ - "0.005409,0.005812,0.006516,0.007758,0.009949,0.013830,0.020709", \ - "0.006641,0.006963,0.007500,0.008429,0.010242,0.013811,0.020705", \ - "0.010425,0.010801,0.011451,0.012547,0.014384,0.017438,0.022556", \ - "0.015857,0.016337,0.017143,0.018473,0.020639,0.024053,0.029601", \ - "0.022794,0.023399,0.024402,0.026045,0.028664,0.032702,0.038892", \ - "0.031223,0.031971,0.033192,0.035193,0.038356,0.043169,0.050330"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.016984,0.018034,0.019887,0.023144,0.028872,0.038976,0.056845", \ - "0.016946,0.018007,0.019871,0.023137,0.028872,0.038971,0.056837", \ - "0.017098,0.018042,0.019760,0.022974,0.028840,0.038970,0.056829", \ - "0.020537,0.021238,0.022607,0.025175,0.029972,0.039122,0.056845", \ - "0.025033,0.025843,0.027321,0.030014,0.034831,0.042844,0.058148", \ - "0.031730,0.032440,0.033756,0.036234,0.040908,0.049438,0.064043", \ - "0.040416,0.041043,0.042262,0.044568,0.048959,0.057285,0.072527"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & B2 & B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006525,0.006919,0.007609,0.008809,0.010893,0.014518,0.020863", \ - "0.007370,0.007760,0.008444,0.009641,0.011725,0.015355,0.021706", \ - "0.009766,0.010253,0.011090,0.012486,0.014745,0.018318,0.024592", \ - "0.010214,0.010901,0.012085,0.014054,0.017250,0.022284,0.029988", \ - "0.007803,0.008705,0.010259,0.012845,0.017030,0.023603,0.033594", \ - "0.002183,0.003292,0.005208,0.008408,0.013602,0.021777,0.034180", \ - "-0.006860,-0.005555,-0.003295,0.000493,0.006667,0.016406,0.031263"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013929,0.015118,0.017203,0.020870,0.027306,0.038614,0.058539", \ - "0.014255,0.015424,0.017503,0.021179,0.027646,0.039013,0.059030", \ - "0.018082,0.019136,0.021045,0.024493,0.030678,0.041759,0.061546", \ - "0.025590,0.026838,0.028989,0.032659,0.038754,0.049243,0.068336", \ - "0.035431,0.036831,0.039257,0.043415,0.050444,0.062053,0.080840", \ - "0.048114,0.049682,0.052378,0.056976,0.064707,0.077573,0.098564", \ - "0.063802,0.065533,0.068507,0.073584,0.082058,0.096038,0.118880"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.004199,0.004509,0.005058,0.006033,0.007759,0.010822,0.016262", \ - "0.004150,0.004471,0.005034,0.006020,0.007755,0.010822,0.016263", \ - "0.005871,0.006130,0.006574,0.007319,0.008552,0.011077,0.016253", \ - "0.009624,0.009957,0.010522,0.011468,0.013016,0.015511,0.019447", \ - "0.014842,0.015274,0.016000,0.017200,0.019108,0.022102,0.026742", \ - "0.021509,0.022058,0.022967,0.024464,0.026837,0.030447,0.035882", \ - "0.029664,0.030343,0.031449,0.033270,0.036144,0.040499,0.046911"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.017664,0.018715,0.020565,0.023818,0.029539,0.039629,0.057487", \ - "0.017619,0.018682,0.020546,0.023813,0.029540,0.039630,0.057494", \ - "0.017776,0.018722,0.020439,0.023647,0.029505,0.039626,0.057485", \ - "0.021008,0.021748,0.023147,0.025746,0.030574,0.039748,0.057477", \ - "0.025391,0.026213,0.027704,0.030423,0.035253,0.043277,0.058680", \ - "0.031748,0.032458,0.033783,0.036294,0.041019,0.049630,0.064271", \ - "0.040087,0.040709,0.041903,0.044189,0.048610,0.057035,0.072425"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & !B2 & !B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005923,0.006385,0.007197,0.008631,0.011157,0.015616,0.023504", \ - "0.006699,0.007154,0.007964,0.009399,0.011934,0.016407,0.024311", \ - "0.008629,0.009243,0.010283,0.012010,0.014778,0.019171,0.026993", \ - "0.008610,0.009477,0.010953,0.013375,0.017244,0.023256,0.032384", \ - "0.006032,0.007172,0.009100,0.012266,0.017307,0.025072,0.036726", \ - "0.000655,0.002056,0.004426,0.008321,0.014534,0.024124,0.038454", \ - "-0.007702,-0.006044,-0.003258,0.001328,0.008669,0.020054,0.037124"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013142,0.014314,0.016365,0.019971,0.026297,0.037418,0.057038", \ - "0.013570,0.014730,0.016776,0.020389,0.026751,0.037938,0.057637", \ - "0.017277,0.018302,0.020168,0.023563,0.029666,0.040604,0.060109", \ - "0.024092,0.025362,0.027541,0.031245,0.037428,0.047758,0.066602", \ - "0.033043,0.034497,0.036979,0.041190,0.048264,0.059915,0.078661", \ - "0.044281,0.045979,0.048816,0.053584,0.061476,0.074427,0.095454", \ - "0.057784,0.059745,0.063004,0.068422,0.077293,0.091567,0.114541"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.003891,0.004283,0.004983,0.006218,0.008403,0.012269,0.019122", \ - "0.003837,0.004239,0.004951,0.006205,0.008401,0.012268,0.019123", \ - "0.005743,0.006058,0.006612,0.007571,0.009159,0.012422,0.019114", \ - "0.009607,0.009992,0.010643,0.011743,0.013548,0.016569,0.021576", \ - "0.015005,0.015497,0.016323,0.017687,0.019853,0.023265,0.028731", \ - "0.021876,0.022490,0.023521,0.025205,0.027860,0.031922,0.038085", \ - "0.030269,0.030987,0.032232,0.034274,0.037482,0.042340,0.049514"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011144,0.012179,0.014009,0.017230,0.022919,0.033001,0.050842", \ - "0.011102,0.012146,0.013985,0.017215,0.022908,0.032986,0.050823", \ - "0.011304,0.012218,0.013897,0.017037,0.022877,0.033000,0.050830", \ - "0.014050,0.015017,0.016700,0.019350,0.024061,0.033187,0.050831", \ - "0.017751,0.018670,0.020313,0.023252,0.028414,0.036938,0.052193", \ - "0.023244,0.024147,0.025756,0.028622,0.033746,0.042824,0.058093", \ - "0.030369,0.031270,0.032938,0.035854,0.040955,0.049980,0.065914"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & !B2 & B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005028,0.005393,0.006036,0.007171,0.009171,0.012702,0.018950", \ - "0.005856,0.006217,0.006857,0.007991,0.009995,0.013533,0.019790", \ - "0.007335,0.007884,0.008811,0.010339,0.012779,0.016524,0.022692", \ - "0.006480,0.007274,0.008613,0.010816,0.014305,0.019674,0.027728", \ - "0.002730,0.003780,0.005545,0.008447,0.013046,0.020109,0.030605", \ - "-0.004164,-0.002877,-0.000700,0.002876,0.008581,0.017360,0.030417", \ - "-0.014419,-0.012890,-0.010334,-0.006120,0.000632,0.011082,0.026705"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013067,0.014237,0.016287,0.019892,0.026220,0.037341,0.056967", \ - "0.013392,0.014544,0.016585,0.020195,0.026551,0.037741,0.057448", \ - "0.017300,0.018319,0.020170,0.023540,0.029608,0.040495,0.059960", \ - "0.024462,0.025723,0.027886,0.031567,0.037712,0.048004,0.066780", \ - "0.033914,0.035348,0.037798,0.041976,0.049012,0.060613,0.079289", \ - "0.045987,0.047622,0.050393,0.055067,0.062860,0.075735,0.096689", \ - "0.060711,0.062578,0.065716,0.070978,0.079634,0.093711,0.116558"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.003002,0.003309,0.003853,0.004821,0.006540,0.009592,0.015013", \ - "0.002995,0.003297,0.003847,0.004819,0.006540,0.009593,0.015013", \ - "0.005203,0.005463,0.005912,0.006672,0.007910,0.010167,0.015027", \ - "0.008952,0.009288,0.009868,0.010823,0.012378,0.014870,0.018842", \ - "0.014121,0.014569,0.015315,0.016537,0.018473,0.021466,0.026095", \ - "0.020751,0.021309,0.022242,0.023767,0.026163,0.029806,0.035247", \ - "0.028920,0.029572,0.030690,0.032531,0.035433,0.039809,0.046243"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011142,0.012179,0.014007,0.017231,0.022918,0.032998,0.050842", \ - "0.011090,0.012138,0.013981,0.017219,0.022908,0.032993,0.050828", \ - "0.011299,0.012216,0.013897,0.017033,0.022889,0.032978,0.050849", \ - "0.013923,0.014893,0.016599,0.019238,0.023991,0.033159,0.050835", \ - "0.017340,0.018271,0.019950,0.022928,0.028149,0.036700,0.052086", \ - "0.022500,0.023402,0.025011,0.027911,0.033115,0.042318,0.057676", \ - "0.029301,0.030194,0.031818,0.034703,0.039831,0.048974,0.065132"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & B2 & !B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005028,0.005393,0.006036,0.007171,0.009171,0.012702,0.018950", \ - "0.005856,0.006217,0.006857,0.007991,0.009995,0.013533,0.019790", \ - "0.007335,0.007884,0.008811,0.010339,0.012779,0.016524,0.022692", \ - "0.006480,0.007274,0.008613,0.010816,0.014305,0.019674,0.027728", \ - "0.002730,0.003780,0.005545,0.008447,0.013046,0.020109,0.030605", \ - "-0.004164,-0.002877,-0.000700,0.002876,0.008581,0.017360,0.030417", \ - "-0.014419,-0.012890,-0.010334,-0.006120,0.000632,0.011082,0.026705"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013067,0.014237,0.016287,0.019892,0.026220,0.037341,0.056967", \ - "0.013392,0.014544,0.016585,0.020195,0.026551,0.037741,0.057448", \ - "0.017300,0.018319,0.020170,0.023540,0.029608,0.040495,0.059960", \ - "0.024462,0.025723,0.027886,0.031567,0.037712,0.048004,0.066780", \ - "0.033914,0.035348,0.037798,0.041976,0.049012,0.060613,0.079289", \ - "0.045987,0.047622,0.050393,0.055067,0.062860,0.075735,0.096689", \ - "0.060711,0.062578,0.065716,0.070978,0.079634,0.093711,0.116558"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.003002,0.003309,0.003853,0.004821,0.006540,0.009592,0.015013", \ - "0.002995,0.003297,0.003847,0.004819,0.006540,0.009593,0.015013", \ - "0.005203,0.005463,0.005912,0.006672,0.007910,0.010167,0.015027", \ - "0.008952,0.009288,0.009868,0.010823,0.012378,0.014870,0.018842", \ - "0.014121,0.014569,0.015315,0.016537,0.018473,0.021466,0.026095", \ - "0.020751,0.021309,0.022242,0.023767,0.026163,0.029806,0.035247", \ - "0.028920,0.029572,0.030690,0.032531,0.035433,0.039809,0.046243"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011142,0.012179,0.014007,0.017231,0.022918,0.032998,0.050842", \ - "0.011090,0.012138,0.013981,0.017219,0.022908,0.032993,0.050828", \ - "0.011299,0.012216,0.013897,0.017033,0.022889,0.032978,0.050849", \ - "0.013923,0.014893,0.016599,0.019238,0.023991,0.033159,0.050835", \ - "0.017340,0.018271,0.019950,0.022928,0.028149,0.036700,0.052086", \ - "0.022500,0.023402,0.025011,0.027911,0.033115,0.042318,0.057676", \ - "0.029301,0.030194,0.031818,0.034703,0.039831,0.048974,0.065132"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & B2 & B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.004721,0.005058,0.005653,0.006700,0.008547,0.011807,0.017577", \ - "0.005565,0.005898,0.006489,0.007534,0.009382,0.012647,0.018425", \ - "0.006866,0.007393,0.008284,0.009749,0.012085,0.015679,0.021375", \ - "0.005712,0.006479,0.007777,0.009903,0.013268,0.018434,0.026154", \ - "0.001555,0.002567,0.004279,0.007088,0.011547,0.018370,0.028486", \ - "-0.005869,-0.004625,-0.002516,0.000952,0.006475,0.014975,0.027605", \ - "-0.016781,-0.015305,-0.012827,-0.008749,-0.002209,0.007912,0.023042"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013018,0.014187,0.016238,0.019842,0.026169,0.037292,0.056917", \ - "0.013319,0.014465,0.016502,0.020104,0.026460,0.037645,0.057355", \ - "0.017316,0.018332,0.020179,0.023540,0.029593,0.040460,0.059899", \ - "0.024592,0.025849,0.028009,0.031685,0.037821,0.048099,0.066856", \ - "0.034209,0.035634,0.038075,0.042244,0.049273,0.060863,0.079528", \ - "0.046549,0.048172,0.050923,0.055566,0.063330,0.076187,0.097127", \ - "0.061697,0.063532,0.066635,0.071838,0.080426,0.094443,0.117256"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.002752,0.003034,0.003535,0.004427,0.006011,0.008827,0.013824", \ - "0.002781,0.003035,0.003533,0.004426,0.006012,0.008826,0.013824", \ - "0.005036,0.005285,0.005705,0.006416,0.007585,0.009568,0.013896", \ - "0.008725,0.009053,0.009609,0.010532,0.012004,0.014358,0.018071", \ - "0.013813,0.014246,0.014967,0.016145,0.018021,0.020887,0.025277", \ - "0.020358,0.020895,0.021796,0.023263,0.025583,0.029095,0.034316", \ - "0.028445,0.029066,0.030144,0.031918,0.034717,0.038924,0.045124"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011141,0.012176,0.014006,0.017224,0.022912,0.032989,0.050844", \ - "0.011089,0.012140,0.013978,0.017212,0.022923,0.032979,0.050830", \ - "0.011295,0.012214,0.013895,0.017031,0.022871,0.032997,0.050832", \ - "0.013874,0.014851,0.016558,0.019201,0.023982,0.033111,0.050840", \ - "0.017203,0.018140,0.019821,0.022822,0.028062,0.036617,0.052041", \ - "0.022235,0.023140,0.024758,0.027674,0.032911,0.042143,0.057525", \ - "0.028928,0.029823,0.031432,0.034310,0.039451,0.048648,0.064863"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & !B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011371,0.011900,0.012823,0.014425,0.017199,0.021973,0.030224", \ - "0.012054,0.012583,0.013506,0.015109,0.017884,0.022661,0.030914", \ - "0.015044,0.015544,0.016391,0.017899,0.020590,0.025296,0.033493", \ - "0.018425,0.019098,0.020257,0.022228,0.025492,0.030773,0.039047", \ - "0.019641,0.020488,0.021960,0.024450,0.028564,0.035212,0.045676", \ - "0.018397,0.019422,0.021198,0.024234,0.029233,0.037295,0.049923", \ - "0.014538,0.015734,0.017824,0.021369,0.027248,0.036727,0.051582"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.019399,0.020567,0.022626,0.026252,0.032632,0.043873,0.063724", \ - "0.019851,0.021035,0.023125,0.026800,0.033256,0.044590,0.064551", \ - "0.022116,0.023271,0.025316,0.028940,0.035350,0.046692,0.066714", \ - "0.027085,0.028375,0.030615,0.034476,0.040968,0.052004,0.071705", \ - "0.035116,0.036498,0.038884,0.042989,0.049983,0.061803,0.081484", \ - "0.045918,0.047467,0.050129,0.054635,0.062160,0.074696,0.095618", \ - "0.058981,0.060750,0.063772,0.068838,0.077179,0.090751,0.112886"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008176,0.008590,0.009322,0.010606,0.012855,0.016800,0.023740", \ - "0.008165,0.008579,0.009313,0.010599,0.012850,0.016799,0.023741", \ - "0.008438,0.008796,0.009436,0.010598,0.012736,0.016722,0.023724", \ - "0.012241,0.012622,0.013266,0.014360,0.016193,0.019226,0.024751", \ - "0.017707,0.018166,0.018940,0.020226,0.022346,0.025788,0.031366", \ - "0.024733,0.025303,0.026245,0.027796,0.030322,0.034275,0.040498", \ - "0.033291,0.033983,0.035123,0.037012,0.040014,0.044653,0.051711"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.019477,0.020667,0.022766,0.026449,0.032816,0.043684,0.062226", \ - "0.019479,0.020668,0.022768,0.026449,0.032815,0.043682,0.062226", \ - "0.019672,0.020802,0.022842,0.026476,0.032821,0.043682,0.062225", \ - "0.022899,0.023736,0.025314,0.028317,0.033876,0.043931,0.062226", \ - "0.027995,0.028808,0.030304,0.033084,0.038074,0.046807,0.063395", \ - "0.035061,0.035660,0.036834,0.039148,0.043692,0.052312,0.067621", \ - "0.044338,0.044807,0.045699,0.047578,0.051458,0.059251,0.074279"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010143,0.010636,0.011502,0.013016,0.015655,0.020257,0.028307", \ - "0.010819,0.011313,0.012181,0.013696,0.016339,0.020943,0.028997", \ - "0.013598,0.014106,0.014983,0.016451,0.019024,0.023578,0.031580", \ - "0.015995,0.016696,0.017902,0.019938,0.023299,0.028704,0.037138", \ - "0.016214,0.017106,0.018649,0.021246,0.025537,0.032390,0.043087", \ - "0.013991,0.015074,0.016951,0.020131,0.025351,0.033700,0.046671", \ - "0.009208,0.010468,0.012669,0.016396,0.022528,0.032368,0.047665"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.019395,0.020563,0.022622,0.026247,0.032619,0.043862,0.063704", \ - "0.019847,0.021030,0.023120,0.026797,0.033251,0.044582,0.064536", \ - "0.022112,0.023267,0.025310,0.028935,0.035346,0.046680,0.066699", \ - "0.027080,0.028370,0.030610,0.034468,0.040960,0.051995,0.071684", \ - "0.035113,0.036491,0.038879,0.042983,0.049974,0.061789,0.081466", \ - "0.045907,0.047464,0.050120,0.054620,0.062149,0.074680,0.095597", \ - "0.058965,0.060729,0.063751,0.068817,0.077162,0.090734,0.112855"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006894,0.007295,0.008001,0.009251,0.011462,0.015371,0.022287", \ - "0.006899,0.007299,0.008003,0.009254,0.011463,0.015371,0.022286", \ - "0.007669,0.007985,0.008556,0.009608,0.011583,0.015348,0.022288", \ - "0.011586,0.011959,0.012589,0.013673,0.015476,0.018489,0.023764", \ - "0.017118,0.017576,0.018345,0.019628,0.021719,0.025121,0.030652", \ - "0.024167,0.024735,0.025688,0.027246,0.029750,0.033697,0.039864", \ - "0.032705,0.033405,0.034576,0.036460,0.039491,0.044136,0.051162"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.017035,0.018071,0.019906,0.023150,0.028871,0.038973,0.056844", \ - "0.017036,0.018072,0.019907,0.023152,0.028876,0.038973,0.056853", \ - "0.017228,0.018207,0.019982,0.023178,0.028879,0.038971,0.056836", \ - "0.020190,0.020957,0.022361,0.024994,0.029933,0.039223,0.056854", \ - "0.024122,0.024894,0.026314,0.028936,0.033738,0.042086,0.058014", \ - "0.029956,0.030604,0.031833,0.034160,0.038621,0.047050,0.062233", \ - "0.037603,0.038208,0.039294,0.041419,0.045517,0.053403,0.068439"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008199,0.008597,0.009293,0.010508,0.012619,0.016288,0.022691", \ - "0.008941,0.009338,0.010034,0.011250,0.013362,0.017034,0.023437", \ - "0.011647,0.012097,0.012876,0.014178,0.016303,0.019900,0.026247", \ - "0.013063,0.013698,0.014787,0.016624,0.019638,0.024438,0.031860", \ - "0.011915,0.012732,0.014152,0.016539,0.020443,0.026656,0.036239", \ - "0.007920,0.008922,0.010651,0.013579,0.018383,0.026037,0.037839", \ - "0.000940,0.002108,0.004144,0.007577,0.013233,0.022291,0.036307"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.019376,0.020550,0.022613,0.026242,0.032642,0.043911,0.063800", \ - "0.019746,0.020933,0.023021,0.026699,0.033163,0.044525,0.064518", \ - "0.022078,0.023232,0.025267,0.028880,0.035279,0.046619,0.066655", \ - "0.027293,0.028576,0.030793,0.034636,0.041094,0.052106,0.071777", \ - "0.035876,0.037230,0.039591,0.043658,0.050606,0.062367,0.081962", \ - "0.047523,0.049029,0.051623,0.056033,0.063462,0.075912,0.096737", \ - "0.061865,0.063548,0.066450,0.071349,0.079485,0.092836,0.114813"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005340,0.005658,0.006215,0.007202,0.008948,0.012038,0.017510", \ - "0.005335,0.005653,0.006212,0.007202,0.008949,0.012038,0.017510", \ - "0.006701,0.006947,0.007360,0.008073,0.009451,0.012165,0.017511", \ - "0.010647,0.010962,0.011505,0.012414,0.013914,0.016345,0.020244", \ - "0.016019,0.016429,0.017113,0.018254,0.020098,0.023005,0.027602", \ - "0.022863,0.023384,0.024240,0.025646,0.027906,0.031386,0.036726", \ - "0.031210,0.031847,0.032904,0.034626,0.037357,0.041529,0.047756"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.017717,0.018752,0.020585,0.023824,0.029538,0.039627,0.057476", \ - "0.017720,0.018754,0.020585,0.023825,0.029541,0.039629,0.057488", \ - "0.017921,0.018895,0.020664,0.023854,0.029547,0.039632,0.057476", \ - "0.020809,0.021581,0.022999,0.025644,0.030591,0.039878,0.057488", \ - "0.024578,0.025363,0.026802,0.029449,0.034275,0.042632,0.058610", \ - "0.030110,0.030775,0.032008,0.034365,0.038886,0.047391,0.062642", \ - "0.037533,0.038102,0.039180,0.041280,0.045383,0.053365,0.068578"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007879,0.008363,0.009213,0.010698,0.013293,0.017833,0.025808", \ - "0.008547,0.009032,0.009884,0.011374,0.013973,0.018518,0.026497", \ - "0.010990,0.011552,0.012513,0.014115,0.016705,0.021174,0.029091", \ - "0.012203,0.012982,0.014316,0.016540,0.020148,0.025844,0.034615", \ - "0.011232,0.012230,0.013942,0.016801,0.021425,0.028690,0.039817", \ - "0.007879,0.009086,0.011167,0.014640,0.020275,0.029148,0.042689", \ - "0.002025,0.003426,0.005856,0.009915,0.016515,0.026953,0.042927"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.018587,0.019737,0.021767,0.025339,0.031626,0.042711,0.062300", \ - "0.019032,0.020200,0.022258,0.025885,0.032247,0.043428,0.063118", \ - "0.021299,0.022441,0.024459,0.028026,0.034345,0.045527,0.065286", \ - "0.026064,0.027350,0.029587,0.033437,0.039943,0.050848,0.070276", \ - "0.033836,0.035240,0.037650,0.041763,0.048740,0.060499,0.080060", \ - "0.044183,0.045797,0.048519,0.053086,0.060665,0.073183,0.093987", \ - "0.056581,0.058456,0.061580,0.066786,0.075275,0.088927,0.111010"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005456,0.005847,0.006539,0.007769,0.009952,0.013830,0.020708", \ - "0.005441,0.005839,0.006536,0.007769,0.009952,0.013828,0.020709", \ - "0.006861,0.007168,0.007702,0.008611,0.010374,0.013873,0.020710", \ - "0.010835,0.011203,0.011827,0.012884,0.014653,0.017633,0.022704", \ - "0.016324,0.016791,0.017571,0.018865,0.020968,0.024315,0.029786", \ - "0.023240,0.023834,0.024819,0.026421,0.028961,0.032915,0.039039", \ - "0.031589,0.032329,0.033548,0.035508,0.038617,0.043312,0.050346"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011203,0.012219,0.014028,0.017234,0.022914,0.032991,0.050832", \ - "0.011203,0.012221,0.014027,0.017229,0.022932,0.033017,0.050841", \ - "0.011428,0.012382,0.014115,0.017264,0.022915,0.033016,0.050833", \ - "0.014065,0.014967,0.016564,0.019168,0.024022,0.033278,0.050854", \ - "0.017357,0.018190,0.019711,0.022484,0.027489,0.036176,0.052019", \ - "0.022345,0.023133,0.024565,0.027171,0.031919,0.040675,0.056306", \ - "0.028766,0.029563,0.030996,0.033585,0.038209,0.046601,0.062070"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & !B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006473,0.006862,0.007543,0.008731,0.010800,0.014412,0.020746", \ - "0.007214,0.007602,0.008283,0.009472,0.011543,0.015156,0.021491", \ - "0.009364,0.009868,0.010723,0.012145,0.014436,0.018046,0.024314", \ - "0.009642,0.010357,0.011571,0.013593,0.016848,0.021933,0.029670", \ - "0.007364,0.008283,0.009862,0.012490,0.016726,0.023339,0.033346", \ - "0.002310,0.003423,0.005349,0.008551,0.013747,0.021880,0.034243", \ - "-0.005655,-0.004364,-0.002111,0.001631,0.007719,0.017332,0.032000"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.018517,0.019667,0.021697,0.025268,0.031554,0.042637,0.062232", \ - "0.018882,0.020046,0.022097,0.025716,0.032073,0.043250,0.062942", \ - "0.021215,0.022350,0.024355,0.027901,0.034194,0.045341,0.065074", \ - "0.026203,0.027479,0.029694,0.033519,0.039988,0.050842,0.070208", \ - "0.034511,0.035896,0.038268,0.042335,0.049256,0.060941,0.080393", \ - "0.045685,0.047254,0.049901,0.054378,0.061845,0.074257,0.094944", \ - "0.059298,0.061092,0.064107,0.069151,0.077432,0.090852,0.112754"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.004222,0.004528,0.005072,0.006041,0.007765,0.010827,0.016268", \ - "0.004209,0.004520,0.005070,0.006044,0.007766,0.010827,0.016267", \ - "0.006122,0.006368,0.006786,0.007498,0.008708,0.011175,0.016276", \ - "0.010058,0.010373,0.010912,0.011817,0.013306,0.015737,0.019609", \ - "0.015384,0.015798,0.016498,0.017642,0.019491,0.022392,0.026963", \ - "0.022123,0.022662,0.023554,0.024987,0.027279,0.030774,0.036098", \ - "0.030331,0.031012,0.032097,0.033879,0.036667,0.040880,0.047114"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011202,0.012219,0.014030,0.017233,0.022921,0.033006,0.050830", \ - "0.011206,0.012222,0.014026,0.017230,0.022917,0.033002,0.050834", \ - "0.011438,0.012390,0.014119,0.017264,0.022920,0.032997,0.050837", \ - "0.014018,0.014931,0.016531,0.019152,0.024023,0.033257,0.050855", \ - "0.017076,0.017925,0.019474,0.022285,0.027344,0.036066,0.051979", \ - "0.021775,0.022577,0.024009,0.026639,0.031470,0.040332,0.056072", \ - "0.027977,0.028768,0.030171,0.032728,0.037363,0.045860,0.061528"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006473,0.006862,0.007543,0.008731,0.010800,0.014412,0.020746", \ - "0.007214,0.007602,0.008283,0.009472,0.011543,0.015156,0.021491", \ - "0.009364,0.009868,0.010723,0.012145,0.014436,0.018046,0.024314", \ - "0.009642,0.010357,0.011571,0.013593,0.016848,0.021933,0.029670", \ - "0.007364,0.008283,0.009862,0.012490,0.016726,0.023339,0.033346", \ - "0.002310,0.003423,0.005349,0.008551,0.013747,0.021880,0.034243", \ - "-0.005655,-0.004364,-0.002111,0.001631,0.007719,0.017332,0.032000"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.018517,0.019667,0.021697,0.025268,0.031554,0.042637,0.062232", \ - "0.018882,0.020046,0.022097,0.025716,0.032073,0.043250,0.062942", \ - "0.021215,0.022350,0.024355,0.027901,0.034194,0.045341,0.065074", \ - "0.026203,0.027479,0.029694,0.033519,0.039988,0.050842,0.070208", \ - "0.034511,0.035896,0.038268,0.042335,0.049256,0.060941,0.080393", \ - "0.045685,0.047254,0.049901,0.054378,0.061845,0.074257,0.094944", \ - "0.059298,0.061092,0.064107,0.069151,0.077432,0.090852,0.112754"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.004222,0.004528,0.005072,0.006041,0.007765,0.010827,0.016268", \ - "0.004209,0.004520,0.005070,0.006044,0.007766,0.010827,0.016267", \ - "0.006122,0.006368,0.006786,0.007498,0.008708,0.011175,0.016276", \ - "0.010058,0.010373,0.010912,0.011817,0.013306,0.015737,0.019609", \ - "0.015384,0.015798,0.016498,0.017642,0.019491,0.022392,0.026963", \ - "0.022123,0.022662,0.023554,0.024987,0.027279,0.030774,0.036098", \ - "0.030331,0.031012,0.032097,0.033879,0.036667,0.040880,0.047114"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011202,0.012219,0.014030,0.017233,0.022921,0.033006,0.050830", \ - "0.011206,0.012222,0.014026,0.017230,0.022917,0.033002,0.050834", \ - "0.011438,0.012390,0.014119,0.017264,0.022920,0.032997,0.050837", \ - "0.014018,0.014931,0.016531,0.019152,0.024023,0.033257,0.050855", \ - "0.017076,0.017925,0.019474,0.022285,0.027344,0.036066,0.051979", \ - "0.021775,0.022577,0.024009,0.026639,0.031470,0.040332,0.056072", \ - "0.027977,0.028768,0.030171,0.032728,0.037363,0.045860,0.061528"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006019,0.006380,0.007011,0.008113,0.010029,0.013370,0.019223", \ - "0.006781,0.007140,0.007770,0.008871,0.010787,0.014129,0.019984", \ - "0.008786,0.009270,0.010093,0.011459,0.013657,0.017073,0.022858", \ - "0.008730,0.009421,0.010599,0.012553,0.015694,0.020587,0.028008", \ - "0.005990,0.006884,0.008414,0.010959,0.015062,0.021461,0.031119", \ - "0.000340,0.001425,0.003293,0.006398,0.011437,0.019334,0.031279", \ - "-0.008363,-0.007106,-0.004924,-0.001293,0.004610,0.013934,0.028155"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.018472,0.019621,0.021648,0.025219,0.031504,0.042590,0.062186", \ - "0.018817,0.019978,0.022026,0.025635,0.031989,0.043162,0.062857", \ - "0.021187,0.022321,0.024321,0.027860,0.034141,0.045271,0.064984", \ - "0.026256,0.027530,0.029742,0.033557,0.040012,0.050850,0.070190", \ - "0.034749,0.036126,0.038486,0.042540,0.049446,0.061108,0.080525", \ - "0.046195,0.047753,0.050374,0.054821,0.062254,0.074638,0.095296", \ - "0.060228,0.062001,0.064972,0.069959,0.078165,0.091518,0.113370"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.003876,0.004160,0.004662,0.005555,0.007142,0.009965,0.014982", \ - "0.003858,0.004146,0.004656,0.005555,0.007143,0.009965,0.014982", \ - "0.005909,0.006140,0.006532,0.007195,0.008286,0.010456,0.015008", \ - "0.009798,0.010106,0.010622,0.011485,0.012897,0.015177,0.018794", \ - "0.015055,0.015460,0.016131,0.017233,0.019007,0.021780,0.026092", \ - "0.021732,0.022248,0.023100,0.024490,0.026684,0.030049,0.035135", \ - "0.029876,0.030531,0.031585,0.033299,0.035987,0.040036,0.046018"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011201,0.012220,0.014024,0.017229,0.022922,0.032976,0.050843", \ - "0.011207,0.012221,0.014030,0.017235,0.022929,0.032979,0.050827", \ - "0.011442,0.012391,0.014120,0.017262,0.022933,0.033006,0.050825", \ - "0.014001,0.014919,0.016518,0.019139,0.024006,0.033261,0.050854", \ - "0.016976,0.017836,0.019388,0.022223,0.027297,0.036027,0.051964", \ - "0.021581,0.022373,0.023823,0.026461,0.031318,0.040216,0.055985", \ - "0.027708,0.028481,0.029879,0.032424,0.037072,0.045602,0.061344"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & !B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.012694,0.013245,0.014204,0.015867,0.018728,0.023624,0.032017", \ - "0.013246,0.013796,0.014758,0.016421,0.019280,0.024177,0.032572", \ - "0.016089,0.016577,0.017466,0.019064,0.021867,0.026714,0.035063", \ - "0.020231,0.020885,0.022027,0.023961,0.027168,0.032360,0.040558", \ - "0.022452,0.023265,0.024679,0.027079,0.031071,0.037548,0.047806", \ - "0.022702,0.023669,0.025359,0.028243,0.033008,0.040735,0.052949", \ - "0.020971,0.022091,0.024054,0.027366,0.032892,0.041867,0.056030"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.021364,0.022529,0.024589,0.028215,0.034589,0.045828,0.065690", \ - "0.022039,0.023223,0.025312,0.028987,0.035443,0.046782,0.066744", \ - "0.023973,0.025136,0.027195,0.030837,0.037282,0.048650,0.068703", \ - "0.026934,0.028179,0.030364,0.034159,0.040647,0.051796,0.071634", \ - "0.032232,0.033537,0.035795,0.039699,0.046440,0.058038,0.077838", \ - "0.040287,0.041732,0.044210,0.048417,0.055482,0.067367,0.087632", \ - "0.050220,0.051847,0.054639,0.059339,0.067116,0.079820,0.100716"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.009601,0.010009,0.010737,0.012012,0.014260,0.018209,0.025163", \ - "0.009598,0.010005,0.010734,0.012012,0.014260,0.018209,0.025163", \ - "0.009515,0.009898,0.010589,0.011826,0.014078,0.018163,0.025160", \ - "0.013307,0.013682,0.014313,0.015387,0.017197,0.020208,0.025929", \ - "0.019037,0.019473,0.020209,0.021446,0.023500,0.026873,0.032372", \ - "0.026546,0.027070,0.027951,0.029389,0.031750,0.035510,0.041545", \ - "0.035856,0.036492,0.037552,0.039278,0.042009,0.046296,0.052937"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.019478,0.020668,0.022767,0.026449,0.032817,0.043682,0.062221", \ - "0.019482,0.020669,0.022768,0.026450,0.032816,0.043681,0.062222", \ - "0.019561,0.020726,0.022800,0.026460,0.032817,0.043684,0.062227", \ - "0.021994,0.022911,0.024622,0.027805,0.033590,0.043877,0.062228", \ - "0.027049,0.027834,0.029306,0.032085,0.037232,0.046364,0.063328", \ - "0.034800,0.035345,0.036408,0.038545,0.042860,0.051352,0.067123", \ - "0.044684,0.045099,0.045926,0.047639,0.051195,0.058520,0.073193"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011164,0.011695,0.012622,0.014230,0.017005,0.021781,0.030025", \ - "0.011723,0.012252,0.013178,0.014787,0.017561,0.022336,0.030581", \ - "0.014586,0.015093,0.015964,0.017460,0.020163,0.024883,0.033078", \ - "0.017794,0.018485,0.019661,0.021668,0.024975,0.030299,0.038613", \ - "0.019136,0.019993,0.021469,0.023971,0.028112,0.034777,0.045254", \ - "0.018542,0.019558,0.021335,0.024344,0.029298,0.037287,0.049798", \ - "0.016038,0.017208,0.019263,0.022728,0.028468,0.037736,0.052286"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.021360,0.022526,0.024585,0.028208,0.034587,0.045819,0.065675", \ - "0.022033,0.023218,0.025307,0.028983,0.035435,0.046767,0.066715", \ - "0.023969,0.025132,0.027190,0.030834,0.037269,0.048637,0.068680", \ - "0.026931,0.028174,0.030355,0.034153,0.040640,0.051786,0.071611", \ - "0.032228,0.033535,0.035788,0.039697,0.046431,0.058026,0.077817", \ - "0.040281,0.041722,0.044200,0.048413,0.055472,0.067352,0.087610", \ - "0.050201,0.051833,0.054620,0.059325,0.067102,0.079803,0.100686"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008299,0.008704,0.009420,0.010680,0.012906,0.016821,0.023742", \ - "0.008282,0.008688,0.009407,0.010673,0.012899,0.016820,0.023741", \ - "0.008644,0.008994,0.009622,0.010754,0.012852,0.016784,0.023747", \ - "0.012739,0.013104,0.013715,0.014772,0.016532,0.019483,0.024910", \ - "0.018610,0.019037,0.019764,0.020977,0.022981,0.026265,0.031684", \ - "0.026256,0.026777,0.027641,0.029063,0.031364,0.035038,0.040962", \ - "0.035671,0.036295,0.037349,0.039056,0.041766,0.045964,0.052490"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.017035,0.018071,0.019906,0.023152,0.028876,0.038972,0.056834", \ - "0.017038,0.018073,0.019907,0.023151,0.028875,0.038974,0.056830", \ - "0.017119,0.018132,0.019940,0.023162,0.028876,0.038974,0.056847", \ - "0.019327,0.020166,0.021687,0.024484,0.029648,0.039164,0.056835", \ - "0.023299,0.024046,0.025436,0.028040,0.032894,0.041637,0.057952", \ - "0.029643,0.030252,0.031399,0.033587,0.037843,0.046121,0.061717", \ - "0.037749,0.038309,0.039353,0.041355,0.045215,0.052710,0.067366"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008799,0.009229,0.009982,0.011285,0.013523,0.017357,0.023946", \ - "0.009457,0.009887,0.010636,0.011934,0.014168,0.017998,0.024583", \ - "0.012420,0.012872,0.013646,0.014946,0.017071,0.020799,0.027320", \ - "0.014559,0.015181,0.016252,0.018061,0.021029,0.025763,0.033088", \ - "0.014428,0.015219,0.016581,0.018885,0.022671,0.028712,0.038096", \ - "0.011906,0.012855,0.014509,0.017292,0.021879,0.029218,0.040610", \ - "0.006976,0.008063,0.009993,0.013211,0.018539,0.027130,0.040511"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.021343,0.022513,0.024576,0.028209,0.034603,0.045872,0.065762", \ - "0.021940,0.023123,0.025211,0.028889,0.035350,0.046712,0.066718", \ - "0.023944,0.025102,0.027154,0.030787,0.037218,0.048580,0.068638", \ - "0.027018,0.028259,0.030431,0.034217,0.040692,0.051829,0.071651", \ - "0.032903,0.034179,0.036402,0.040252,0.046928,0.058468,0.078187", \ - "0.042068,0.043466,0.045863,0.049957,0.056882,0.068612,0.088727", \ - "0.053534,0.055088,0.057756,0.062285,0.069831,0.082242,0.102849"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006405,0.006732,0.007307,0.008317,0.010087,0.013195,0.018671", \ - "0.006343,0.006679,0.007263,0.008285,0.010068,0.013185,0.018669", \ - "0.007438,0.007669,0.008076,0.008848,0.010326,0.013182,0.018658", \ - "0.011682,0.011984,0.012508,0.013384,0.014820,0.017177,0.021029", \ - "0.017480,0.017858,0.018503,0.019555,0.021285,0.024045,0.028493", \ - "0.025007,0.025477,0.026263,0.027540,0.029584,0.032786,0.037814", \ - "0.034346,0.034926,0.035872,0.037431,0.039870,0.043611,0.049276"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.017717,0.018753,0.020585,0.023825,0.029540,0.039631,0.057475", \ - "0.017721,0.018755,0.020586,0.023825,0.029543,0.039627,0.057481", \ - "0.017804,0.018815,0.020620,0.023837,0.029543,0.039633,0.057488", \ - "0.019997,0.020835,0.022359,0.025158,0.030318,0.039826,0.057497", \ - "0.023832,0.024589,0.025991,0.028609,0.033484,0.042227,0.058567", \ - "0.029889,0.030484,0.031627,0.033827,0.038132,0.046496,0.062171", \ - "0.037847,0.038370,0.039377,0.041312,0.045121,0.052639,0.067484"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008564,0.009109,0.010056,0.011685,0.014475,0.019248,0.027465", \ - "0.009136,0.009678,0.010620,0.012246,0.015032,0.019803,0.028019", \ - "0.011965,0.012526,0.013486,0.015086,0.017711,0.022378,0.030529", \ - "0.014092,0.014850,0.016152,0.018325,0.021872,0.027483,0.036124", \ - "0.014398,0.015348,0.016976,0.019707,0.024140,0.031180,0.042045", \ - "0.012854,0.013974,0.015927,0.019183,0.024494,0.032925,0.045934", \ - "0.009460,0.010756,0.013004,0.016747,0.022873,0.032644,0.047773"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.020550,0.021700,0.023731,0.027302,0.033589,0.044670,0.064257", \ - "0.021220,0.022387,0.024447,0.028074,0.034437,0.045616,0.065305", \ - "0.023157,0.024307,0.026335,0.029925,0.036274,0.047485,0.067272", \ - "0.025970,0.027215,0.029385,0.033155,0.039623,0.050636,0.070207", \ - "0.031050,0.032370,0.034643,0.038549,0.045251,0.056764,0.076406", \ - "0.038677,0.040171,0.042700,0.046963,0.054072,0.065924,0.086038", \ - "0.047962,0.049689,0.052566,0.057395,0.065308,0.078087,0.098921"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006926,0.007322,0.008028,0.009265,0.011452,0.015324,0.022185", \ - "0.006814,0.007237,0.007966,0.009231,0.011438,0.015317,0.022185", \ - "0.007873,0.008169,0.008685,0.009672,0.011571,0.015253,0.022189", \ - "0.012111,0.012462,0.013054,0.014067,0.015787,0.018688,0.023809", \ - "0.018049,0.018475,0.019186,0.020370,0.022314,0.025518,0.030851", \ - "0.025675,0.026213,0.027086,0.028505,0.030791,0.034396,0.040196", \ - "0.034994,0.035653,0.036738,0.038471,0.041188,0.045361,0.051811"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011203,0.012218,0.014029,0.017238,0.022933,0.033007,0.050830", \ - "0.011204,0.012220,0.014027,0.017232,0.022910,0.033004,0.050854", \ - "0.011299,0.012287,0.014066,0.017250,0.022929,0.032988,0.050838", \ - "0.013295,0.014218,0.015853,0.018635,0.023715,0.033226,0.050833", \ - "0.016713,0.017527,0.018999,0.021716,0.026719,0.035714,0.051985", \ - "0.022212,0.022953,0.024303,0.026771,0.031303,0.039828,0.055700", \ - "0.029115,0.029850,0.031219,0.033676,0.038075,0.046056,0.061059"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & !B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006781,0.007221,0.007985,0.009301,0.011548,0.015377,0.021941", \ - "0.007484,0.007914,0.008665,0.009965,0.012199,0.016020,0.022579", \ - "0.010115,0.010621,0.011481,0.012905,0.015191,0.018867,0.025331", \ - "0.011207,0.011903,0.013093,0.015076,0.018274,0.023289,0.030928", \ - "0.010072,0.010950,0.012467,0.014989,0.019075,0.025486,0.035262", \ - "0.006626,0.007678,0.009507,0.012535,0.017453,0.025243,0.037131", \ - "0.000860,0.002089,0.004185,0.007665,0.013372,0.022430,0.036392"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.020480,0.021629,0.023660,0.027231,0.033517,0.044598,0.064190", \ - "0.021070,0.022233,0.024288,0.027905,0.034261,0.045438,0.065131", \ - "0.023082,0.024224,0.026239,0.029808,0.036127,0.047302,0.067062", \ - "0.025990,0.027226,0.029385,0.033141,0.039583,0.050559,0.070079", \ - "0.031647,0.032937,0.035166,0.039013,0.045643,0.057070,0.076610", \ - "0.040354,0.041793,0.044245,0.048398,0.055352,0.067029,0.086965", \ - "0.051094,0.052753,0.055524,0.060194,0.067873,0.080353,0.100884"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005257,0.005587,0.006165,0.007174,0.008933,0.012014,0.017452", \ - "0.005106,0.005457,0.006067,0.007108,0.008897,0.011998,0.017448", \ - "0.006939,0.007176,0.007582,0.008260,0.009533,0.012146,0.017424", \ - "0.011223,0.011517,0.012026,0.012885,0.014299,0.016617,0.020386", \ - "0.017067,0.017448,0.018076,0.019124,0.020808,0.023519,0.027906", \ - "0.024602,0.025079,0.025863,0.027146,0.029173,0.032334,0.037264", \ - "0.033869,0.034468,0.035453,0.037020,0.039475,0.043180,0.048790"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011201,0.012218,0.014031,0.017233,0.022910,0.033016,0.050825", \ - "0.011206,0.012224,0.014031,0.017234,0.022909,0.032990,0.050848", \ - "0.011304,0.012291,0.014066,0.017244,0.022915,0.032999,0.050846", \ - "0.013290,0.014216,0.015852,0.018639,0.023744,0.033221,0.050831", \ - "0.016535,0.017350,0.018839,0.021585,0.026628,0.035654,0.051963", \ - "0.021785,0.022520,0.023857,0.026311,0.030886,0.039516,0.055526", \ - "0.028596,0.029291,0.030598,0.032972,0.037317,0.045318,0.060515"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006781,0.007221,0.007985,0.009301,0.011548,0.015377,0.021941", \ - "0.007484,0.007914,0.008665,0.009965,0.012199,0.016020,0.022579", \ - "0.010115,0.010621,0.011481,0.012905,0.015191,0.018867,0.025331", \ - "0.011207,0.011903,0.013093,0.015076,0.018274,0.023289,0.030928", \ - "0.010072,0.010950,0.012467,0.014989,0.019075,0.025486,0.035262", \ - "0.006626,0.007678,0.009507,0.012535,0.017453,0.025243,0.037131", \ - "0.000860,0.002089,0.004185,0.007665,0.013372,0.022430,0.036392"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.020480,0.021629,0.023660,0.027231,0.033517,0.044598,0.064190", \ - "0.021070,0.022233,0.024288,0.027905,0.034261,0.045438,0.065131", \ - "0.023082,0.024224,0.026239,0.029808,0.036127,0.047302,0.067062", \ - "0.025990,0.027226,0.029385,0.033141,0.039583,0.050559,0.070079", \ - "0.031647,0.032937,0.035166,0.039013,0.045643,0.057070,0.076610", \ - "0.040354,0.041793,0.044245,0.048398,0.055352,0.067029,0.086965", \ - "0.051094,0.052753,0.055524,0.060194,0.067873,0.080353,0.100884"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005257,0.005587,0.006165,0.007174,0.008933,0.012014,0.017452", \ - "0.005106,0.005457,0.006067,0.007108,0.008897,0.011998,0.017448", \ - "0.006939,0.007176,0.007582,0.008260,0.009533,0.012146,0.017424", \ - "0.011223,0.011517,0.012026,0.012885,0.014299,0.016617,0.020386", \ - "0.017067,0.017448,0.018076,0.019124,0.020808,0.023519,0.027906", \ - "0.024602,0.025079,0.025863,0.027146,0.029173,0.032334,0.037264", \ - "0.033869,0.034468,0.035453,0.037020,0.039475,0.043180,0.048790"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011201,0.012218,0.014031,0.017233,0.022910,0.033016,0.050825", \ - "0.011206,0.012224,0.014031,0.017234,0.022909,0.032990,0.050848", \ - "0.011304,0.012291,0.014066,0.017244,0.022915,0.032999,0.050846", \ - "0.013290,0.014216,0.015852,0.018639,0.023744,0.033221,0.050831", \ - "0.016535,0.017350,0.018839,0.021585,0.026628,0.035654,0.051963", \ - "0.021785,0.022520,0.023857,0.026311,0.030886,0.039516,0.055526", \ - "0.028596,0.029291,0.030598,0.032972,0.037317,0.045318,0.060515"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006226,0.006635,0.007345,0.008567,0.010654,0.014206,0.020286", \ - "0.006972,0.007367,0.008060,0.009262,0.011332,0.014873,0.020946", \ - "0.009468,0.009955,0.010782,0.012151,0.014350,0.017792,0.023754", \ - "0.010185,0.010859,0.012015,0.013935,0.017028,0.021858,0.029189", \ - "0.008530,0.009389,0.010866,0.013321,0.017287,0.023496,0.032934", \ - "0.004431,0.005455,0.007228,0.010177,0.014972,0.022533,0.034057", \ - "-0.002181,-0.000991,0.001055,0.004444,0.009989,0.018813,0.032378"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.020435,0.021583,0.023614,0.027182,0.033467,0.044549,0.064142", \ - "0.021008,0.022165,0.024217,0.027825,0.034177,0.045354,0.065042", \ - "0.023057,0.024196,0.026207,0.029768,0.036075,0.047232,0.066977", \ - "0.026000,0.027235,0.029390,0.033138,0.039574,0.050536,0.070035", \ - "0.031862,0.033146,0.035363,0.039189,0.045796,0.057193,0.076697", \ - "0.040943,0.042366,0.044792,0.048905,0.055810,0.067434,0.087318", \ - "0.052196,0.053826,0.056567,0.061174,0.068771,0.081152,0.101594"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.004783,0.005092,0.005633,0.006575,0.008210,0.011061,0.016080", \ - "0.004617,0.004950,0.005521,0.006498,0.008166,0.011041,0.016074", \ - "0.006682,0.006900,0.007278,0.007918,0.009016,0.011314,0.016037", \ - "0.010929,0.011216,0.011701,0.012512,0.013853,0.016028,0.019516", \ - "0.016721,0.017083,0.017699,0.018701,0.020315,0.022886,0.027005", \ - "0.024192,0.024652,0.025417,0.026658,0.028615,0.031639,0.036326", \ - "0.033445,0.034022,0.034961,0.036473,0.038831,0.042411,0.047772"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011201,0.012219,0.014031,0.017235,0.022910,0.032979,0.050827", \ - "0.011206,0.012228,0.014033,0.017237,0.022921,0.032975,0.050849", \ - "0.011305,0.012292,0.014066,0.017245,0.022927,0.033016,0.050837", \ - "0.013287,0.014214,0.015852,0.018637,0.023740,0.033217,0.050831", \ - "0.016465,0.017283,0.018776,0.021534,0.026602,0.035628,0.051960", \ - "0.021623,0.022349,0.023681,0.026144,0.030738,0.039411,0.055458", \ - "0.028396,0.029074,0.030355,0.032711,0.037027,0.045043,0.060326"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011784,0.012328,0.013275,0.014907,0.017702,0.022486,0.030719", \ - "0.012635,0.013183,0.014136,0.015779,0.018593,0.023401,0.031656", \ - "0.014757,0.015305,0.016250,0.017846,0.020608,0.025393,0.033660", \ - "0.016491,0.017146,0.018266,0.020157,0.023298,0.028462,0.037002", \ - "0.016046,0.016881,0.018311,0.020719,0.024649,0.030902,0.040674", \ - "0.012475,0.013511,0.015296,0.018289,0.023179,0.030908,0.042779", \ - "0.005437,0.006679,0.008827,0.012423,0.018291,0.027604,0.041862"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.024059,0.025231,0.027298,0.030940,0.037359,0.048658,0.068576", \ - "0.024286,0.025461,0.027541,0.031205,0.037655,0.048988,0.068943", \ - "0.027459,0.028588,0.030591,0.034141,0.040444,0.051612,0.071419", \ - "0.035771,0.036866,0.038734,0.042050,0.048035,0.058745,0.077977", \ - "0.047314,0.048562,0.050748,0.054517,0.060946,0.071708,0.090141", \ - "0.061619,0.062994,0.065405,0.069565,0.076685,0.088682,0.108484", \ - "0.079099,0.080608,0.083258,0.087779,0.095503,0.108508,0.130120"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006749,0.007158,0.007884,0.009156,0.011382,0.015288,0.022177", \ - "0.006732,0.007147,0.007874,0.009148,0.011380,0.015287,0.022177", \ - "0.006948,0.007317,0.007976,0.009166,0.011320,0.015229,0.022163", \ - "0.009385,0.009711,0.010281,0.011319,0.013176,0.016552,0.022726", \ - "0.013738,0.014085,0.014696,0.015743,0.017540,0.020622,0.026191", \ - "0.019361,0.019780,0.020488,0.021709,0.023745,0.027055,0.032507", \ - "0.026091,0.026577,0.027411,0.028837,0.031214,0.035035,0.041027"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.026712,0.027866,0.029904,0.033480,0.039700,0.050425,0.068892", \ - "0.026709,0.027864,0.029903,0.033480,0.039698,0.050423,0.068895", \ - "0.026665,0.027832,0.029884,0.033472,0.039697,0.050424,0.068890", \ - "0.027957,0.028979,0.030794,0.034038,0.039851,0.050378,0.068893", \ - "0.032826,0.033817,0.035543,0.038266,0.043360,0.052563,0.069372", \ - "0.038710,0.039713,0.041446,0.044511,0.049832,0.058802,0.073983", \ - "0.046249,0.047190,0.048888,0.051890,0.057232,0.066545,0.082303"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010871,0.011361,0.012220,0.013720,0.016331,0.020887,0.028878", \ - "0.011699,0.012196,0.013065,0.014581,0.017215,0.021798,0.029814", \ - "0.013522,0.014049,0.014965,0.016529,0.019170,0.023767,0.031810", \ - "0.014468,0.015142,0.016294,0.018227,0.021409,0.026577,0.035063", \ - "0.012933,0.013819,0.015331,0.017861,0.021965,0.028422,0.038376", \ - "0.008201,0.009312,0.011220,0.014400,0.019559,0.027635,0.039876", \ - "0.000032,0.001358,0.003658,0.007492,0.013710,0.023469,0.038244"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.024053,0.025224,0.027292,0.030928,0.037350,0.048647,0.068548", \ - "0.024277,0.025454,0.027532,0.031198,0.037639,0.048969,0.068931", \ - "0.027450,0.028583,0.030581,0.034132,0.040430,0.051603,0.071394", \ - "0.035763,0.036859,0.038728,0.042039,0.048025,0.058733,0.077944", \ - "0.047305,0.048550,0.050737,0.054504,0.060934,0.071695,0.090114", \ - "0.061600,0.062971,0.065385,0.069546,0.076663,0.088661,0.108461", \ - "0.079064,0.080575,0.083224,0.087745,0.095466,0.108475,0.130082"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005444,0.005837,0.006531,0.007763,0.009951,0.013828,0.020709", \ - "0.005441,0.005836,0.006528,0.007764,0.009951,0.013829,0.020706", \ - "0.005980,0.006311,0.006916,0.008019,0.010059,0.013834,0.020708", \ - "0.008620,0.008937,0.009489,0.010474,0.012249,0.015499,0.021507", \ - "0.013040,0.013398,0.014014,0.015073,0.016853,0.019866,0.025264", \ - "0.018715,0.019135,0.019854,0.021081,0.023126,0.026440,0.031829", \ - "0.025482,0.025978,0.026814,0.028249,0.030629,0.034450,0.040436"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.023459,0.024485,0.026308,0.029539,0.035258,0.045393,0.063335", \ - "0.023457,0.024486,0.026307,0.029537,0.035258,0.045388,0.063342", \ - "0.023412,0.024451,0.026287,0.029528,0.035256,0.045394,0.063349", \ - "0.024701,0.025598,0.027196,0.030095,0.035409,0.045348,0.063342", \ - "0.028859,0.029790,0.031416,0.034218,0.038896,0.047532,0.063816", \ - "0.033795,0.034773,0.036462,0.039448,0.044653,0.053543,0.068435", \ - "0.040106,0.041077,0.042810,0.045850,0.051222,0.060568,0.076450"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008912,0.009291,0.009953,0.011106,0.013108,0.016593,0.022689", \ - "0.009794,0.010177,0.010847,0.012012,0.014032,0.017537,0.023652", \ - "0.012293,0.012743,0.013515,0.014811,0.016929,0.020449,0.026586", \ - "0.013373,0.014008,0.015096,0.016914,0.019879,0.024571,0.031810", \ - "0.011672,0.012520,0.013969,0.016397,0.020333,0.026510,0.035905", \ - "0.006655,0.007724,0.009560,0.012630,0.017611,0.025417,0.037218", \ - "-0.001904,-0.000622,0.001593,0.005300,0.011319,0.020787,0.035122"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.026081,0.027239,0.029284,0.032906,0.039292,0.050567,0.070479", \ - "0.026328,0.027489,0.029549,0.033191,0.039610,0.050928,0.070887", \ - "0.029542,0.030659,0.032650,0.036185,0.042463,0.053620,0.073407", \ - "0.037911,0.038931,0.040796,0.044150,0.050144,0.060876,0.080125", \ - "0.049811,0.051038,0.053197,0.056920,0.063287,0.073929,0.092481", \ - "0.064465,0.065824,0.068197,0.072316,0.079395,0.091353,0.111105", \ - "0.082394,0.083879,0.086460,0.090919,0.098568,0.111544,0.133177"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.004571,0.004864,0.005384,0.006310,0.007955,0.010870,0.016041", \ - "0.004567,0.004862,0.005382,0.006310,0.007954,0.010872,0.016040", \ - "0.005680,0.005901,0.006281,0.006973,0.008325,0.010952,0.016039", \ - "0.009120,0.009371,0.009814,0.010588,0.011920,0.014186,0.018091", \ - "0.013890,0.014195,0.014718,0.015628,0.017155,0.019704,0.023877", \ - "0.019849,0.020207,0.020829,0.021903,0.023716,0.026681,0.031405", \ - "0.026919,0.027344,0.028067,0.029321,0.031448,0.034914,0.040378"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.025123,0.026163,0.028002,0.031254,0.037001,0.047164,0.065137", \ - "0.025122,0.026161,0.028001,0.031253,0.037001,0.047163,0.065136", \ - "0.025088,0.026135,0.027986,0.031248,0.037000,0.047162,0.065132", \ - "0.026133,0.027046,0.028687,0.031649,0.037057,0.047133,0.065139", \ - "0.030304,0.031231,0.032851,0.035510,0.040274,0.049006,0.065475", \ - "0.035229,0.036198,0.037900,0.040880,0.046064,0.054889,0.069784", \ - "0.041510,0.042487,0.044195,0.047239,0.052596,0.061899,0.077693"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008833,0.009297,0.010112,0.011546,0.014070,0.018527,0.026415", \ - "0.009589,0.010068,0.010905,0.012369,0.014931,0.019425,0.027346", \ - "0.011023,0.011580,0.012533,0.014146,0.016843,0.021379,0.029333", \ - "0.010891,0.011656,0.012951,0.015084,0.018503,0.023881,0.032489", \ - "0.007961,0.008988,0.010720,0.013568,0.018085,0.025009,0.035391", \ - "0.001802,0.003093,0.005284,0.008874,0.014578,0.023292,0.036193", \ - "-0.007745,-0.006194,-0.003561,0.000757,0.007627,0.018169,0.033766"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022981,0.024142,0.026190,0.029800,0.036148,0.047316,0.067000", \ - "0.023202,0.024372,0.026435,0.030063,0.036441,0.047645,0.067364", \ - "0.026393,0.027511,0.029495,0.033010,0.039237,0.050276,0.069839", \ - "0.034659,0.035763,0.037698,0.040949,0.046842,0.057411,0.076397", \ - "0.045873,0.047130,0.049336,0.053126,0.059579,0.070356,0.088576", \ - "0.059774,0.061166,0.063615,0.067802,0.074948,0.086956,0.106760", \ - "0.076717,0.078269,0.080992,0.085563,0.093339,0.106386,0.127998"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.003939,0.004327,0.005010,0.006232,0.008405,0.012268,0.019120", \ - "0.003953,0.004334,0.005013,0.006233,0.008408,0.012269,0.019119", \ - "0.004905,0.005225,0.005803,0.006815,0.008710,0.012329,0.019123", \ - "0.007878,0.008182,0.008713,0.009641,0.011302,0.014379,0.020195", \ - "0.012404,0.012750,0.013353,0.014385,0.016131,0.019070,0.024263", \ - "0.018158,0.018557,0.019244,0.020442,0.022460,0.025741,0.031050", \ - "0.024985,0.025441,0.026225,0.027608,0.029954,0.033748,0.039699"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.017280,0.018317,0.020152,0.023395,0.029154,0.039318,0.057303", \ - "0.017276,0.018310,0.020145,0.023396,0.029147,0.039321,0.057310", \ - "0.017220,0.018275,0.020124,0.023385,0.029144,0.039316,0.057294", \ - "0.018571,0.019474,0.021084,0.023984,0.029302,0.039253,0.057296", \ - "0.021940,0.022958,0.024733,0.027785,0.032856,0.041498,0.057781", \ - "0.026008,0.027101,0.028966,0.032222,0.037807,0.047181,0.062450", \ - "0.031251,0.032393,0.034375,0.037770,0.043613,0.053532,0.070064"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007457,0.007812,0.008438,0.009535,0.011463,0.014861,0.020868", \ - "0.008281,0.008648,0.009291,0.010412,0.012368,0.015795,0.021827", \ - "0.010123,0.010621,0.011466,0.012866,0.015122,0.018686,0.024754", \ - "0.009990,0.010714,0.011939,0.013959,0.017183,0.022168,0.029706", \ - "0.006906,0.007887,0.009546,0.012274,0.016615,0.023259,0.033127", \ - "0.000496,0.001732,0.003837,0.007297,0.012800,0.021224,0.033686", \ - "-0.009403,-0.007922,-0.005390,-0.001225,0.005419,0.015643,0.030787"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.024930,0.026073,0.028096,0.031669,0.037975,0.049089,0.068720", \ - "0.025171,0.026323,0.028359,0.031955,0.038290,0.049450,0.069132", \ - "0.028398,0.029503,0.031469,0.034957,0.041146,0.052136,0.071662", \ - "0.036752,0.037833,0.039652,0.042944,0.048839,0.059406,0.078378", \ - "0.048292,0.049531,0.051699,0.055437,0.061810,0.072481,0.090743", \ - "0.062550,0.063932,0.066302,0.070434,0.077515,0.089472,0.109210", \ - "0.079925,0.081442,0.084055,0.088547,0.096236,0.109228,0.130844"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.003401,0.003693,0.004213,0.005138,0.006780,0.009693,0.014851", \ - "0.003414,0.003705,0.004220,0.005142,0.006782,0.009693,0.014853", \ - "0.004996,0.005219,0.005611,0.006296,0.007500,0.009943,0.014865", \ - "0.008538,0.008782,0.009217,0.009977,0.011285,0.013532,0.017386", \ - "0.013394,0.013682,0.014183,0.015066,0.016582,0.019094,0.023242", \ - "0.019462,0.019794,0.020364,0.021386,0.023148,0.026084,0.030782", \ - "0.026649,0.027019,0.027671,0.028847,0.030905,0.034317,0.039743"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.018298,0.019344,0.021198,0.024467,0.030254,0.040444,0.058469", \ - "0.018295,0.019343,0.021194,0.024472,0.030251,0.040448,0.058466", \ - "0.018255,0.019309,0.021173,0.024455,0.030239,0.040446,0.058468", \ - "0.019355,0.020280,0.021922,0.024887,0.030301,0.040401,0.058475", \ - "0.022801,0.023818,0.025580,0.028620,0.033592,0.042334,0.058824", \ - "0.026829,0.027907,0.029779,0.033028,0.038595,0.047920,0.063166", \ - "0.032026,0.033156,0.035107,0.038487,0.044307,0.054202,0.070685"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007457,0.007812,0.008438,0.009535,0.011463,0.014861,0.020868", \ - "0.008281,0.008648,0.009291,0.010412,0.012368,0.015795,0.021827", \ - "0.010123,0.010621,0.011466,0.012866,0.015122,0.018686,0.024754", \ - "0.009990,0.010714,0.011939,0.013959,0.017183,0.022168,0.029706", \ - "0.006906,0.007887,0.009546,0.012274,0.016615,0.023259,0.033127", \ - "0.000496,0.001732,0.003837,0.007297,0.012800,0.021224,0.033686", \ - "-0.009403,-0.007922,-0.005390,-0.001225,0.005419,0.015643,0.030787"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.024930,0.026073,0.028096,0.031669,0.037975,0.049089,0.068720", \ - "0.025171,0.026323,0.028359,0.031955,0.038290,0.049450,0.069132", \ - "0.028398,0.029503,0.031469,0.034957,0.041146,0.052136,0.071662", \ - "0.036752,0.037833,0.039652,0.042944,0.048839,0.059406,0.078378", \ - "0.048292,0.049531,0.051699,0.055437,0.061810,0.072481,0.090743", \ - "0.062550,0.063932,0.066302,0.070434,0.077515,0.089472,0.109210", \ - "0.079925,0.081442,0.084055,0.088547,0.096236,0.109228,0.130844"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.003401,0.003693,0.004213,0.005138,0.006780,0.009693,0.014851", \ - "0.003414,0.003705,0.004220,0.005142,0.006782,0.009693,0.014853", \ - "0.004996,0.005219,0.005611,0.006296,0.007500,0.009943,0.014865", \ - "0.008538,0.008782,0.009217,0.009977,0.011285,0.013532,0.017386", \ - "0.013394,0.013682,0.014183,0.015066,0.016582,0.019094,0.023242", \ - "0.019462,0.019794,0.020364,0.021386,0.023148,0.026084,0.030782", \ - "0.026649,0.027019,0.027671,0.028847,0.030905,0.034317,0.039743"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.018298,0.019344,0.021198,0.024467,0.030254,0.040444,0.058469", \ - "0.018295,0.019343,0.021194,0.024472,0.030251,0.040448,0.058466", \ - "0.018255,0.019309,0.021173,0.024455,0.030239,0.040446,0.058468", \ - "0.019355,0.020280,0.021922,0.024887,0.030301,0.040401,0.058475", \ - "0.022801,0.023818,0.025580,0.028620,0.033592,0.042334,0.058824", \ - "0.026829,0.027907,0.029779,0.033028,0.038595,0.047920,0.063166", \ - "0.032026,0.033156,0.035107,0.038487,0.044307,0.054202,0.070685"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007147,0.007481,0.008067,0.009096,0.010905,0.014091,0.019723", \ - "0.007988,0.008332,0.008933,0.009984,0.011819,0.015033,0.020688", \ - "0.009948,0.010428,0.011243,0.012594,0.014761,0.018134,0.023815", \ - "0.009852,0.010556,0.011751,0.013723,0.016876,0.021752,0.029098", \ - "0.006765,0.007716,0.009337,0.012009,0.016267,0.022798,0.032506", \ - "0.000314,0.001518,0.003571,0.006957,0.012360,0.020653,0.032943", \ - "-0.009668,-0.008224,-0.005756,-0.001678,0.004842,0.014908,0.029856"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.026618,0.027756,0.029771,0.033328,0.039614,0.050713,0.070326", \ - "0.026875,0.028019,0.030042,0.033625,0.039941,0.051084,0.070751", \ - "0.030081,0.031184,0.033142,0.036620,0.042799,0.053779,0.073286", \ - "0.038401,0.039427,0.041275,0.044575,0.050482,0.061061,0.080041", \ - "0.050238,0.051455,0.053591,0.057272,0.063581,0.074120,0.092439", \ - "0.064712,0.066073,0.068418,0.072494,0.079510,0.091381,0.111016", \ - "0.082367,0.083839,0.086399,0.090823,0.098436,0.111340,0.132875"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.003563,0.003833,0.004314,0.005169,0.006685,0.009375,0.014141", \ - "0.003571,0.003839,0.004318,0.005171,0.006687,0.009376,0.014141", \ - "0.005323,0.005519,0.005865,0.006471,0.007518,0.009687,0.014152", \ - "0.009068,0.009288,0.009683,0.010381,0.011596,0.013677,0.017140", \ - "0.014129,0.014384,0.014842,0.015654,0.017071,0.019435,0.023354", \ - "0.020437,0.020731,0.021249,0.022181,0.023815,0.026585,0.031089", \ - "0.027912,0.028235,0.028810,0.029876,0.031771,0.034977,0.040183"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.019335,0.020386,0.022243,0.025521,0.031312,0.041522,0.059548", \ - "0.019333,0.020386,0.022238,0.025519,0.031311,0.041515,0.059552", \ - "0.019305,0.020360,0.022223,0.025509,0.031299,0.041515,0.059549", \ - "0.020204,0.021137,0.022803,0.025808,0.031301,0.041479,0.059545", \ - "0.023731,0.024730,0.026479,0.029482,0.034375,0.043192,0.059799", \ - "0.027770,0.028849,0.030699,0.033927,0.039460,0.048732,0.063969", \ - "0.032958,0.034081,0.036011,0.039371,0.045182,0.055033,0.071455"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.014265,0.014793,0.015715,0.017320,0.020091,0.024866,0.033114", \ - "0.015056,0.015587,0.016513,0.018122,0.020902,0.025685,0.033941", \ - "0.017038,0.017560,0.018475,0.020067,0.022832,0.027614,0.035884", \ - "0.019196,0.019803,0.020858,0.022654,0.025686,0.030746,0.039199", \ - "0.019646,0.020418,0.021740,0.023986,0.027691,0.033671,0.043188", \ - "0.017324,0.018273,0.019913,0.022690,0.027261,0.034585,0.045970", \ - "0.011902,0.013020,0.014999,0.018319,0.023784,0.032547,0.046123"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.029324,0.030491,0.032549,0.036191,0.042596,0.053889,0.073797", \ - "0.029835,0.031008,0.033084,0.036740,0.043166,0.054483,0.074426", \ - "0.032126,0.033298,0.035369,0.039020,0.045460,0.056794,0.076781", \ - "0.037985,0.039151,0.041143,0.044670,0.050932,0.062066,0.081811", \ - "0.047390,0.048640,0.050813,0.054608,0.061187,0.072465,0.091676", \ - "0.060314,0.061632,0.063971,0.067994,0.074919,0.086754,0.106863", \ - "0.076437,0.077879,0.080431,0.084779,0.092158,0.104639,0.125692"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008180,0.008597,0.009327,0.010608,0.012857,0.016798,0.023734", \ - "0.008173,0.008591,0.009322,0.010607,0.012854,0.016798,0.023734", \ - "0.008254,0.008648,0.009346,0.010589,0.012799,0.016759,0.023725", \ - "0.010382,0.010730,0.011338,0.012419,0.014360,0.017833,0.024183", \ - "0.014638,0.014992,0.015611,0.016672,0.018505,0.021684,0.027401", \ - "0.020314,0.020728,0.021435,0.022647,0.024663,0.027967,0.033487", \ - "0.027157,0.027653,0.028480,0.029886,0.032225,0.035955,0.041904"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.026713,0.027867,0.029904,0.033480,0.039699,0.050421,0.068893", \ - "0.026713,0.027866,0.029904,0.033480,0.039699,0.050424,0.068894", \ - "0.026717,0.027869,0.029905,0.033480,0.039699,0.050423,0.068894", \ - "0.027968,0.029002,0.030847,0.034134,0.039970,0.050435,0.068893", \ - "0.032046,0.033021,0.034734,0.037580,0.042761,0.052258,0.069449", \ - "0.036941,0.037887,0.039542,0.042496,0.047752,0.056930,0.072777", \ - "0.043436,0.044305,0.045858,0.048657,0.053728,0.062792,0.078778"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013044,0.013536,0.014402,0.015916,0.018556,0.023159,0.031210", \ - "0.013832,0.014326,0.015197,0.016717,0.019365,0.023977,0.032038", \ - "0.015673,0.016186,0.017076,0.018609,0.021268,0.025896,0.033978", \ - "0.017207,0.017831,0.018907,0.020736,0.023788,0.028837,0.037239", \ - "0.016706,0.017515,0.018909,0.021254,0.025105,0.031260,0.040915", \ - "0.013358,0.014365,0.016109,0.019037,0.023830,0.031441,0.043165", \ - "0.006947,0.008146,0.010238,0.013747,0.019486,0.028614,0.042660"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.029319,0.030485,0.032543,0.036179,0.042582,0.053871,0.073771", \ - "0.029826,0.031003,0.033077,0.036728,0.043155,0.054473,0.074403", \ - "0.032119,0.033290,0.035359,0.039012,0.045451,0.056780,0.076745", \ - "0.037977,0.039143,0.041136,0.044660,0.050921,0.062047,0.081784", \ - "0.047382,0.048627,0.050805,0.054600,0.061174,0.072450,0.091650", \ - "0.060288,0.061613,0.063953,0.067971,0.074901,0.086739,0.106835", \ - "0.076411,0.077856,0.080408,0.084744,0.092132,0.104615,0.125664"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006889,0.007292,0.007999,0.009251,0.011462,0.015371,0.022289", \ - "0.006891,0.007292,0.007999,0.009251,0.011463,0.015372,0.022287", \ - "0.007205,0.007573,0.008224,0.009402,0.011520,0.015375,0.022287", \ - "0.009635,0.009955,0.010536,0.011564,0.013411,0.016769,0.022944", \ - "0.014034,0.014391,0.014997,0.016041,0.017823,0.020912,0.026461", \ - "0.019784,0.020199,0.020905,0.022108,0.024100,0.027388,0.032798", \ - "0.026680,0.027176,0.028000,0.029398,0.031727,0.035452,0.041331"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.023460,0.024485,0.026307,0.029538,0.035258,0.045388,0.063336", \ - "0.023460,0.024485,0.026307,0.029535,0.035257,0.045387,0.063337", \ - "0.023463,0.024489,0.026309,0.029538,0.035257,0.045395,0.063337", \ - "0.024712,0.025620,0.027252,0.030190,0.035529,0.045398,0.063335", \ - "0.028111,0.029024,0.030626,0.033457,0.038290,0.047223,0.063894", \ - "0.032228,0.033134,0.034730,0.037576,0.042663,0.051633,0.067227", \ - "0.037712,0.038600,0.040172,0.042973,0.048010,0.056998,0.072962"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010491,0.010872,0.011541,0.012708,0.014738,0.018264,0.024415", \ - "0.011338,0.011722,0.012394,0.013566,0.015602,0.019136,0.025293", \ - "0.014029,0.014450,0.015173,0.016402,0.018459,0.022005,0.028181", \ - "0.015919,0.016506,0.017517,0.019221,0.022025,0.026515,0.033537", \ - "0.015259,0.016036,0.017372,0.019627,0.023322,0.029187,0.038233", \ - "0.011603,0.012571,0.014253,0.017085,0.021725,0.029082,0.040363", \ - "0.004757,0.005910,0.007939,0.011335,0.016904,0.025772,0.039394"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.031364,0.032514,0.034559,0.038168,0.044543,0.055813,0.075706", \ - "0.031880,0.033043,0.035101,0.038731,0.045139,0.056445,0.076380", \ - "0.034155,0.035311,0.037360,0.040986,0.047400,0.058712,0.078681", \ - "0.040112,0.041238,0.043201,0.046707,0.052948,0.064050,0.083792", \ - "0.049858,0.051086,0.053229,0.056984,0.063503,0.074665,0.093851", \ - "0.063084,0.064391,0.066692,0.070665,0.077546,0.089348,0.109410", \ - "0.079681,0.081087,0.083570,0.087843,0.095141,0.107578,0.128635"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005683,0.005982,0.006508,0.007442,0.009099,0.012030,0.017219", \ - "0.005680,0.005979,0.006505,0.007442,0.009098,0.012030,0.017219", \ - "0.006436,0.006662,0.007078,0.007852,0.009309,0.012058,0.017220", \ - "0.009952,0.010200,0.010644,0.011411,0.012729,0.014994,0.018959", \ - "0.014785,0.015078,0.015597,0.016487,0.018005,0.020518,0.024703", \ - "0.020827,0.021184,0.021797,0.022842,0.024614,0.027526,0.032190", \ - "0.028031,0.028456,0.029165,0.030398,0.032480,0.035851,0.041184"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.025124,0.026162,0.028001,0.031253,0.037002,0.047158,0.065138", \ - "0.025123,0.026163,0.028001,0.031253,0.037005,0.047157,0.065134", \ - "0.025128,0.026166,0.028004,0.031254,0.037001,0.047160,0.065132", \ - "0.026189,0.027120,0.028788,0.031776,0.037185,0.047171,0.065138", \ - "0.029538,0.030457,0.032078,0.034848,0.039753,0.048798,0.065595", \ - "0.033574,0.034489,0.036096,0.038980,0.044093,0.053081,0.068726", \ - "0.038959,0.039853,0.041432,0.044264,0.049326,0.058355,0.074334"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010784,0.011267,0.012115,0.013599,0.016193,0.020734,0.028711", \ - "0.011555,0.012042,0.012897,0.014392,0.016998,0.021550,0.029538", \ - "0.013195,0.013726,0.014645,0.016221,0.018879,0.023460,0.031474", \ - "0.013889,0.014585,0.015768,0.017748,0.020974,0.026175,0.034668", \ - "0.012193,0.013109,0.014670,0.017267,0.021448,0.027989,0.037993", \ - "0.007635,0.008772,0.010727,0.013969,0.019201,0.027344,0.039624", \ - "0.000091,0.001435,0.003769,0.007635,0.013881,0.023650,0.038391"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.028243,0.029401,0.031445,0.035047,0.041385,0.052542,0.072221", \ - "0.028753,0.029918,0.031974,0.035594,0.041957,0.053140,0.072839", \ - "0.031045,0.032208,0.034261,0.037878,0.044246,0.055451,0.075187", \ - "0.036816,0.038011,0.040032,0.043532,0.049723,0.060715,0.080230", \ - "0.046047,0.047298,0.049478,0.053277,0.059833,0.071057,0.090086", \ - "0.058689,0.060040,0.062391,0.066432,0.073349,0.085151,0.105145", \ - "0.074412,0.075890,0.078500,0.082889,0.090301,0.102792,0.123754"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005442,0.005837,0.006530,0.007764,0.009951,0.013829,0.020708", \ - "0.005441,0.005834,0.006530,0.007762,0.009950,0.013827,0.020709", \ - "0.006081,0.006419,0.007016,0.008113,0.010128,0.013858,0.020708", \ - "0.008870,0.009182,0.009724,0.010677,0.012410,0.015605,0.021583", \ - "0.013405,0.013751,0.014343,0.015365,0.017098,0.020064,0.025410", \ - "0.019195,0.019598,0.020290,0.021470,0.023443,0.026664,0.031988", \ - "0.026062,0.026545,0.027355,0.028739,0.031037,0.034741,0.040602"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.017277,0.018313,0.020150,0.023400,0.029146,0.039315,0.057301", \ - "0.017282,0.018317,0.020154,0.023397,0.029146,0.039317,0.057308", \ - "0.017287,0.018319,0.020151,0.023397,0.029143,0.039312,0.057310", \ - "0.018607,0.019508,0.021132,0.024076,0.029425,0.039315,0.057303", \ - "0.021477,0.022450,0.024152,0.027137,0.032272,0.041171,0.057869", \ - "0.025025,0.026013,0.027738,0.030775,0.036106,0.045368,0.061239", \ - "0.029748,0.030762,0.032529,0.035589,0.040960,0.050325,0.066679"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008843,0.009216,0.009869,0.011011,0.013002,0.016475,0.022561", \ - "0.009679,0.010055,0.010714,0.011863,0.013862,0.017344,0.023439", \ - "0.011987,0.012444,0.013225,0.014533,0.016676,0.020204,0.026323", \ - "0.012790,0.013449,0.014570,0.016437,0.019457,0.024201,0.031478", \ - "0.010924,0.011803,0.013302,0.015799,0.019822,0.026085,0.035545", \ - "0.006070,0.007166,0.009051,0.012185,0.017244,0.025123,0.036971", \ - "-0.001880,-0.000582,0.001665,0.005404,0.011459,0.020948,0.035262"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.030212,0.031351,0.033369,0.036933,0.043224,0.054329,0.073950", \ - "0.030727,0.031875,0.033907,0.037495,0.043818,0.054960,0.074621", \ - "0.033002,0.034146,0.036170,0.039748,0.046069,0.057229,0.076934", \ - "0.038931,0.040063,0.042010,0.045471,0.051626,0.062569,0.082032", \ - "0.048439,0.049667,0.051809,0.055550,0.062031,0.073149,0.092100", \ - "0.061398,0.062713,0.065023,0.068996,0.075847,0.087589,0.107518", \ - "0.077581,0.079019,0.081538,0.085822,0.093144,0.105551,0.126497"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.004571,0.004863,0.005385,0.006310,0.007956,0.010873,0.016043", \ - "0.004570,0.004863,0.005384,0.006311,0.007955,0.010873,0.016043", \ - "0.005804,0.006025,0.006409,0.007096,0.008416,0.011006,0.016043", \ - "0.009400,0.009650,0.010071,0.010824,0.012123,0.014343,0.018207", \ - "0.014300,0.014586,0.015081,0.015951,0.017431,0.019917,0.024052", \ - "0.020403,0.020743,0.021320,0.022333,0.024072,0.026936,0.031572", \ - "0.027610,0.028019,0.028706,0.029896,0.031927,0.035253,0.040575"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.018300,0.019345,0.021195,0.024469,0.030247,0.040447,0.058469", \ - "0.018299,0.019345,0.021197,0.024474,0.030249,0.040448,0.058460", \ - "0.018303,0.019350,0.021196,0.024464,0.030242,0.040441,0.058470", \ - "0.019419,0.020352,0.022017,0.025009,0.030435,0.040447,0.058466", \ - "0.022308,0.023280,0.024997,0.027992,0.033084,0.042109,0.058918", \ - "0.025753,0.026747,0.028480,0.031539,0.036891,0.046197,0.062097", \ - "0.030367,0.031380,0.033145,0.036228,0.041623,0.051036,0.067435"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008843,0.009216,0.009869,0.011011,0.013002,0.016475,0.022561", \ - "0.009679,0.010055,0.010714,0.011863,0.013862,0.017344,0.023439", \ - "0.011987,0.012444,0.013225,0.014533,0.016676,0.020204,0.026323", \ - "0.012790,0.013449,0.014570,0.016437,0.019457,0.024201,0.031478", \ - "0.010924,0.011803,0.013302,0.015799,0.019822,0.026085,0.035545", \ - "0.006070,0.007166,0.009051,0.012185,0.017244,0.025123,0.036971", \ - "-0.001880,-0.000582,0.001665,0.005404,0.011459,0.020948,0.035262"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.030212,0.031351,0.033369,0.036933,0.043224,0.054329,0.073950", \ - "0.030727,0.031875,0.033907,0.037495,0.043818,0.054960,0.074621", \ - "0.033002,0.034146,0.036170,0.039748,0.046069,0.057229,0.076934", \ - "0.038931,0.040063,0.042010,0.045471,0.051626,0.062569,0.082032", \ - "0.048439,0.049667,0.051809,0.055550,0.062031,0.073149,0.092100", \ - "0.061398,0.062713,0.065023,0.068996,0.075847,0.087589,0.107518", \ - "0.077581,0.079019,0.081538,0.085822,0.093144,0.105551,0.126497"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.004571,0.004863,0.005385,0.006310,0.007956,0.010873,0.016043", \ - "0.004570,0.004863,0.005384,0.006311,0.007955,0.010873,0.016043", \ - "0.005804,0.006025,0.006409,0.007096,0.008416,0.011006,0.016043", \ - "0.009400,0.009650,0.010071,0.010824,0.012123,0.014343,0.018207", \ - "0.014300,0.014586,0.015081,0.015951,0.017431,0.019917,0.024052", \ - "0.020403,0.020743,0.021320,0.022333,0.024072,0.026936,0.031572", \ - "0.027610,0.028019,0.028706,0.029896,0.031927,0.035253,0.040575"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.018300,0.019345,0.021195,0.024469,0.030247,0.040447,0.058469", \ - "0.018299,0.019345,0.021197,0.024474,0.030249,0.040448,0.058460", \ - "0.018303,0.019350,0.021196,0.024464,0.030242,0.040441,0.058470", \ - "0.019419,0.020352,0.022017,0.025009,0.030435,0.040447,0.058466", \ - "0.022308,0.023280,0.024997,0.027992,0.033084,0.042109,0.058918", \ - "0.025753,0.026747,0.028480,0.031539,0.036891,0.046197,0.062097", \ - "0.030367,0.031380,0.033145,0.036228,0.041623,0.051036,0.067435"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008426,0.008775,0.009388,0.010460,0.012328,0.015586,0.021292", \ - "0.009275,0.009627,0.010245,0.011323,0.013199,0.016466,0.022180", \ - "0.011738,0.012180,0.012931,0.014186,0.016227,0.019537,0.025267", \ - "0.012578,0.013220,0.014315,0.016140,0.019094,0.023731,0.030806", \ - "0.010690,0.011549,0.013014,0.015462,0.019413,0.025573,0.034876", \ - "0.005770,0.006842,0.008683,0.011756,0.016730,0.024494,0.036181", \ - "-0.002299,-0.001033,0.001165,0.004834,0.010787,0.020140,0.034278"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.031907,0.033042,0.035047,0.038597,0.044871,0.055953,0.075559", \ - "0.032427,0.033570,0.035591,0.039164,0.045470,0.056597,0.076243", \ - "0.034690,0.035827,0.037839,0.041404,0.047705,0.058844,0.078528", \ - "0.040640,0.041743,0.043676,0.047128,0.053269,0.064197,0.083645", \ - "0.050365,0.051575,0.053684,0.057384,0.063814,0.074829,0.093767", \ - "0.063505,0.064810,0.067087,0.070991,0.077794,0.089469,0.109326", \ - "0.079932,0.081354,0.083816,0.088029,0.095264,0.107595,0.128478"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.004679,0.004946,0.005423,0.006270,0.007780,0.010468,0.015233", \ - "0.004675,0.004943,0.005421,0.006269,0.007782,0.010467,0.015233", \ - "0.006068,0.006255,0.006585,0.007173,0.008330,0.010641,0.015238", \ - "0.009893,0.010110,0.010497,0.011186,0.012383,0.014422,0.017844", \ - "0.014981,0.015238,0.015696,0.016499,0.017884,0.020233,0.024124", \ - "0.021316,0.021618,0.022152,0.023082,0.024695,0.027400,0.031845", \ - "0.028806,0.029170,0.029784,0.030869,0.032755,0.035909,0.040980"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.019339,0.020386,0.022241,0.025523,0.031313,0.041518,0.059555", \ - "0.019336,0.020386,0.022241,0.025519,0.031313,0.041517,0.059556", \ - "0.019336,0.020389,0.022239,0.025519,0.031306,0.041520,0.059545", \ - "0.020292,0.021236,0.022922,0.025954,0.031422,0.041506,0.059552", \ - "0.023197,0.024173,0.025885,0.028872,0.033925,0.043022,0.059930", \ - "0.026604,0.027611,0.029339,0.032403,0.037762,0.047067,0.062976", \ - "0.031194,0.032208,0.033968,0.037044,0.042428,0.051865,0.068280"); - } - } - - timing () { - - related_pin : "B3"; - when : "!A1 & !A2 & A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.015595,0.016143,0.017105,0.018768,0.021627,0.026525,0.034924", \ - "0.016284,0.016837,0.017796,0.019461,0.022322,0.027221,0.035621", \ - "0.018200,0.018742,0.019692,0.021345,0.024199,0.029099,0.037510", \ - "0.020680,0.021289,0.022339,0.024138,0.027182,0.032273,0.040788", \ - "0.021824,0.022568,0.023861,0.026050,0.029685,0.035577,0.045026", \ - "0.020575,0.021488,0.023073,0.025744,0.030171,0.037277,0.048394", \ - "0.016670,0.017747,0.019635,0.022817,0.028046,0.036469,0.049614"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.031293,0.032455,0.034519,0.038154,0.044563,0.055859,0.075766", \ - "0.032030,0.033199,0.035274,0.038927,0.045359,0.056681,0.076612", \ - "0.034094,0.035269,0.037345,0.041008,0.047461,0.058807,0.078791", \ - "0.037570,0.038753,0.040805,0.044387,0.050728,0.061955,0.081830", \ - "0.043771,0.044977,0.047090,0.050813,0.057309,0.068598,0.088009", \ - "0.053919,0.055158,0.057346,0.061118,0.067668,0.079042,0.098772", \ - "0.067139,0.068475,0.070840,0.074867,0.081733,0.093399,0.113334"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.009544,0.009963,0.010700,0.011987,0.014246,0.018203,0.025161", \ - "0.009538,0.009954,0.010691,0.011982,0.014244,0.018202,0.025162", \ - "0.009502,0.009918,0.010645,0.011928,0.014193,0.018181,0.025158", \ - "0.011401,0.011766,0.012389,0.013513,0.015514,0.019036,0.025528", \ - "0.015733,0.016083,0.016693,0.017746,0.019564,0.022762,0.028556", \ - "0.021793,0.022187,0.022855,0.024000,0.025917,0.029118,0.034576", \ - "0.029295,0.029758,0.030529,0.031818,0.033988,0.037489,0.043154"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.026713,0.027866,0.029904,0.033480,0.039700,0.050421,0.068891", \ - "0.026713,0.027866,0.029904,0.033480,0.039700,0.050421,0.068891", \ - "0.026714,0.027867,0.029904,0.033480,0.039700,0.050425,0.068889", \ - "0.027643,0.028709,0.030606,0.033972,0.039914,0.050434,0.068891", \ - "0.031265,0.032240,0.033973,0.037040,0.042381,0.052086,0.069494", \ - "0.036323,0.037215,0.038808,0.041685,0.046890,0.056170,0.072501", \ - "0.043335,0.044133,0.045548,0.048158,0.052965,0.061765,0.077762"); - } - } - - timing () { - - related_pin : "B3"; - when : "!A1 & A2 & !A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.014090,0.014618,0.015541,0.017146,0.019919,0.024695,0.032945", \ - "0.014783,0.015312,0.016235,0.017842,0.020615,0.025391,0.033642", \ - "0.016666,0.017194,0.018115,0.019716,0.022486,0.027269,0.035531", \ - "0.018638,0.019261,0.020333,0.022156,0.025218,0.030308,0.038785", \ - "0.018919,0.019706,0.021061,0.023351,0.027120,0.033169,0.042744", \ - "0.016732,0.017704,0.019380,0.022200,0.026829,0.034211,0.045634", \ - "0.011941,0.013087,0.015088,0.018436,0.023926,0.032689,0.046238"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.031285,0.032448,0.034512,0.038147,0.044550,0.055843,0.075745", \ - "0.032022,0.033196,0.035266,0.038918,0.045351,0.056667,0.076596", \ - "0.034086,0.035263,0.037336,0.040998,0.047446,0.058787,0.078763", \ - "0.037563,0.038746,0.040797,0.044381,0.050715,0.061943,0.081806", \ - "0.043760,0.044969,0.047080,0.050801,0.057301,0.068576,0.087985", \ - "0.053903,0.055144,0.057335,0.061106,0.067656,0.079023,0.098747", \ - "0.067115,0.068454,0.070818,0.074844,0.081710,0.093374,0.113301"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008217,0.008626,0.009355,0.010634,0.012875,0.016810,0.023744", \ - "0.008201,0.008615,0.009346,0.010627,0.012870,0.016807,0.023742", \ - "0.008359,0.008754,0.009449,0.010688,0.012887,0.016810,0.023746", \ - "0.010651,0.010985,0.011585,0.012646,0.014546,0.017967,0.024278", \ - "0.015236,0.015575,0.016161,0.017179,0.018928,0.022008,0.027616", \ - "0.021446,0.021833,0.022494,0.023610,0.025482,0.028607,0.033920", \ - "0.029090,0.029538,0.030291,0.031559,0.033682,0.037115,0.042669"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.023459,0.024486,0.026308,0.029537,0.035258,0.045389,0.063346", \ - "0.023460,0.024486,0.026307,0.029536,0.035259,0.045388,0.063347", \ - "0.023460,0.024488,0.026309,0.029536,0.035259,0.045395,0.063332", \ - "0.024386,0.025327,0.027010,0.030029,0.035476,0.045402,0.063333", \ - "0.027405,0.028315,0.029937,0.032821,0.037892,0.047054,0.063935", \ - "0.031620,0.032491,0.034031,0.036808,0.041830,0.050874,0.066944", \ - "0.037514,0.038350,0.039815,0.042463,0.047263,0.055997,0.071930"); - } - } - - timing () { - - related_pin : "B3"; - when : "!A1 & A2 & A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011066,0.011477,0.012195,0.013441,0.015586,0.019268,0.025600", \ - "0.011846,0.012256,0.012973,0.014218,0.016363,0.020045,0.026378", \ - "0.014715,0.015139,0.015870,0.017109,0.019226,0.022891,0.029225", \ - "0.017206,0.017787,0.018786,0.020472,0.023250,0.027703,0.034685", \ - "0.017334,0.018092,0.019395,0.021591,0.025201,0.030954,0.039862", \ - "0.014814,0.015755,0.017376,0.020103,0.024587,0.031723,0.042715", \ - "0.009539,0.010651,0.012584,0.015838,0.021170,0.029697,0.042854"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.033328,0.034482,0.036525,0.040136,0.046517,0.057779,0.077683", \ - "0.034070,0.035233,0.037290,0.040921,0.047334,0.058634,0.078581", \ - "0.036111,0.037274,0.039326,0.042962,0.049382,0.060716,0.080694", \ - "0.039663,0.040808,0.042813,0.046369,0.052682,0.063884,0.083754", \ - "0.046135,0.047324,0.049414,0.053089,0.059541,0.070749,0.090101", \ - "0.056635,0.057852,0.059992,0.063717,0.070229,0.081563,0.101273", \ - "0.070395,0.071699,0.073988,0.077929,0.084707,0.096310,0.116256"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006689,0.006998,0.007540,0.008495,0.010176,0.013129,0.018334", \ - "0.006668,0.006978,0.007523,0.008482,0.010170,0.013125,0.018333", \ - "0.007188,0.007436,0.007884,0.008711,0.010245,0.013101,0.018321", \ - "0.010841,0.011091,0.011521,0.012262,0.013563,0.015799,0.019803", \ - "0.015955,0.016235,0.016724,0.017570,0.019009,0.021447,0.025549", \ - "0.022490,0.022815,0.023376,0.024342,0.025968,0.028692,0.033167", \ - "0.030432,0.030828,0.031475,0.032574,0.034442,0.037527,0.042484"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.025124,0.026161,0.028001,0.031253,0.037006,0.047160,0.065131", \ - "0.025124,0.026161,0.028001,0.031253,0.037004,0.047156,0.065136", \ - "0.025126,0.026163,0.028003,0.031257,0.037000,0.047162,0.065140", \ - "0.025918,0.026879,0.028593,0.031655,0.037155,0.047171,0.065140", \ - "0.028835,0.029763,0.031410,0.034321,0.039414,0.048667,0.065661", \ - "0.032852,0.033738,0.035311,0.038150,0.043234,0.052354,0.068512", \ - "0.038567,0.039418,0.040905,0.043593,0.048452,0.057279,0.073324"); - } - } - - timing () { - - related_pin : "B3"; - when : "A1 & !A2 & !A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011526,0.012063,0.012997,0.014613,0.017392,0.022161,0.030381", \ - "0.012225,0.012760,0.013694,0.015309,0.018088,0.022857,0.031079", \ - "0.014058,0.014606,0.015561,0.017191,0.019966,0.024735,0.032969", \ - "0.015348,0.016034,0.017206,0.019168,0.022389,0.027615,0.036186", \ - "0.014547,0.015431,0.016947,0.019469,0.023543,0.029947,0.039840", \ - "0.011267,0.012362,0.014235,0.017340,0.022366,0.030236,0.042181", \ - "0.005479,0.006774,0.008988,0.012644,0.018583,0.027923,0.042125"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.030210,0.031368,0.033411,0.037013,0.043351,0.054510,0.074182", \ - "0.030945,0.032109,0.034166,0.037786,0.044149,0.055333,0.075030", \ - "0.033013,0.034178,0.036237,0.039863,0.046242,0.057459,0.077210", \ - "0.036427,0.037614,0.039683,0.043245,0.049514,0.060609,0.080251", \ - "0.042477,0.043688,0.045805,0.049515,0.055980,0.067185,0.086431", \ - "0.052382,0.053639,0.055847,0.059634,0.066178,0.077483,0.097068", \ - "0.065201,0.066569,0.068981,0.073063,0.079965,0.091627,0.111460"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006762,0.007175,0.007902,0.009171,0.011397,0.015301,0.022185", \ - "0.006735,0.007151,0.007882,0.009161,0.011389,0.015297,0.022185", \ - "0.007149,0.007511,0.008163,0.009341,0.011471,0.015305,0.022187", \ - "0.009925,0.010238,0.010778,0.011752,0.013525,0.016795,0.022896", \ - "0.014753,0.015070,0.015627,0.016592,0.018260,0.021189,0.026565", \ - "0.021093,0.021459,0.022080,0.023149,0.024972,0.027983,0.033139", \ - "0.028790,0.029218,0.029926,0.031156,0.033231,0.036582,0.042032"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.017278,0.018315,0.020152,0.023403,0.029149,0.039311,0.057307", \ - "0.017277,0.018315,0.020149,0.023398,0.029150,0.039310,0.057295", \ - "0.017282,0.018314,0.020150,0.023395,0.029144,0.039315,0.057303", \ - "0.018254,0.019201,0.020885,0.023903,0.029370,0.039314,0.057296", \ - "0.020868,0.021825,0.023526,0.026531,0.031808,0.041001,0.057893", \ - "0.024551,0.025499,0.027163,0.030102,0.035332,0.044619,0.060956", \ - "0.029664,0.030628,0.032280,0.035211,0.040341,0.049417,0.065665"); - } - } - - timing () { - - related_pin : "B3"; - when : "A1 & !A2 & A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.009180,0.009594,0.010315,0.011563,0.013708,0.017381,0.023689", \ - "0.009970,0.010382,0.011100,0.012345,0.014488,0.018158,0.024466", \ - "0.012631,0.013093,0.013881,0.015202,0.017371,0.021014,0.027315", \ - "0.014111,0.014760,0.015867,0.017712,0.020702,0.025405,0.032633", \ - "0.013133,0.013985,0.015440,0.017867,0.021784,0.027910,0.037213", \ - "0.009531,0.010585,0.012393,0.015396,0.020265,0.027886,0.039410", \ - "0.003271,0.004525,0.006660,0.010208,0.015974,0.025060,0.038862"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.032178,0.033317,0.035335,0.038901,0.045192,0.056296,0.075921", \ - "0.032919,0.034066,0.036098,0.039688,0.046008,0.057152,0.076815", \ - "0.034958,0.036105,0.038136,0.041724,0.048056,0.059229,0.078941", \ - "0.038475,0.039621,0.041621,0.045130,0.051356,0.062400,0.081987", \ - "0.044774,0.045964,0.048036,0.051692,0.058087,0.069202,0.088346", \ - "0.055032,0.056262,0.058409,0.062130,0.068607,0.079854,0.099380", \ - "0.068376,0.069704,0.072043,0.076000,0.082796,0.094376,0.114184"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005536,0.005850,0.006400,0.007365,0.009048,0.011997,0.017174", \ - "0.005506,0.005820,0.006373,0.007343,0.009036,0.011990,0.017172", \ - "0.006582,0.006797,0.007182,0.007909,0.009312,0.012021,0.017155", \ - "0.010392,0.010615,0.011022,0.011740,0.012996,0.015177,0.019035", \ - "0.015619,0.015885,0.016341,0.017138,0.018524,0.020900,0.024932", \ - "0.022291,0.022592,0.023105,0.024014,0.025576,0.028209,0.032608", \ - "0.030341,0.030693,0.031287,0.032324,0.034111,0.037099,0.041972"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.018303,0.019347,0.021195,0.024471,0.030255,0.040446,0.058469", \ - "0.018300,0.019346,0.021196,0.024470,0.030251,0.040453,0.058477", \ - "0.018298,0.019349,0.021194,0.024469,0.030242,0.040447,0.058468", \ - "0.019133,0.020096,0.021813,0.024882,0.030402,0.040444,0.058459", \ - "0.021680,0.022658,0.024380,0.027415,0.032718,0.041971,0.058988", \ - "0.025162,0.026127,0.027817,0.030806,0.036105,0.045473,0.061871", \ - "0.030112,0.031087,0.032758,0.035707,0.040878,0.050054,0.066437"); - } - } - - timing () { - - related_pin : "B3"; - when : "A1 & A2 & !A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.009180,0.009594,0.010315,0.011563,0.013708,0.017381,0.023689", \ - "0.009970,0.010382,0.011100,0.012345,0.014488,0.018158,0.024466", \ - "0.012631,0.013093,0.013881,0.015202,0.017371,0.021014,0.027315", \ - "0.014111,0.014760,0.015867,0.017712,0.020702,0.025405,0.032633", \ - "0.013133,0.013985,0.015440,0.017867,0.021784,0.027910,0.037213", \ - "0.009531,0.010585,0.012393,0.015396,0.020265,0.027886,0.039410", \ - "0.003271,0.004525,0.006660,0.010208,0.015974,0.025060,0.038862"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.032178,0.033317,0.035335,0.038901,0.045192,0.056296,0.075921", \ - "0.032919,0.034066,0.036098,0.039688,0.046008,0.057152,0.076815", \ - "0.034958,0.036105,0.038136,0.041724,0.048056,0.059229,0.078941", \ - "0.038475,0.039621,0.041621,0.045130,0.051356,0.062400,0.081987", \ - "0.044774,0.045964,0.048036,0.051692,0.058087,0.069202,0.088346", \ - "0.055032,0.056262,0.058409,0.062130,0.068607,0.079854,0.099380", \ - "0.068376,0.069704,0.072043,0.076000,0.082796,0.094376,0.114184"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005536,0.005850,0.006400,0.007365,0.009048,0.011997,0.017174", \ - "0.005506,0.005820,0.006373,0.007343,0.009036,0.011990,0.017172", \ - "0.006582,0.006797,0.007182,0.007909,0.009312,0.012021,0.017155", \ - "0.010392,0.010615,0.011022,0.011740,0.012996,0.015177,0.019035", \ - "0.015619,0.015885,0.016341,0.017138,0.018524,0.020900,0.024932", \ - "0.022291,0.022592,0.023105,0.024014,0.025576,0.028209,0.032608", \ - "0.030341,0.030693,0.031287,0.032324,0.034111,0.037099,0.041972"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.018303,0.019347,0.021195,0.024471,0.030255,0.040446,0.058469", \ - "0.018300,0.019346,0.021196,0.024470,0.030251,0.040453,0.058477", \ - "0.018298,0.019349,0.021194,0.024469,0.030242,0.040447,0.058468", \ - "0.019133,0.020096,0.021813,0.024882,0.030402,0.040444,0.058459", \ - "0.021680,0.022658,0.024380,0.027415,0.032718,0.041971,0.058988", \ - "0.025162,0.026127,0.027817,0.030806,0.036105,0.045473,0.061871", \ - "0.030112,0.031087,0.032758,0.035707,0.040878,0.050054,0.066437"); - } - } - - timing () { - - related_pin : "B3"; - when : "A1 & A2 & A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008694,0.009080,0.009757,0.010928,0.012943,0.016391,0.022310", \ - "0.009501,0.009887,0.010560,0.011728,0.013739,0.017185,0.023104", \ - "0.012346,0.012790,0.013546,0.014808,0.016859,0.020261,0.026160", \ - "0.013861,0.014493,0.015572,0.017376,0.020300,0.024893,0.031905", \ - "0.012850,0.013681,0.015107,0.017485,0.021335,0.027360,0.036508", \ - "0.009157,0.010194,0.011962,0.014913,0.019701,0.027213,0.038584", \ - "0.002767,0.003983,0.006083,0.009560,0.015234,0.024197,0.037835"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.033876,0.035008,0.037015,0.040566,0.046839,0.057924,0.077525", \ - "0.034619,0.035760,0.037782,0.041356,0.047661,0.058786,0.078435", \ - "0.036644,0.037784,0.039803,0.043376,0.049689,0.060839,0.080540", \ - "0.040180,0.041302,0.043279,0.046777,0.052986,0.064011,0.083583", \ - "0.046619,0.047798,0.049849,0.053479,0.059831,0.070891,0.089984", \ - "0.057048,0.058246,0.060354,0.064036,0.070467,0.081677,0.101167", \ - "0.070634,0.071937,0.074220,0.078121,0.084838,0.096342,0.116118"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005598,0.005882,0.006383,0.007262,0.008807,0.011514,0.016286", \ - "0.005565,0.005850,0.006355,0.007239,0.008791,0.011508,0.016284", \ - "0.006809,0.006989,0.007308,0.007922,0.009142,0.011558,0.016260", \ - "0.010873,0.011078,0.011446,0.012093,0.013233,0.015202,0.018573", \ - "0.016303,0.016539,0.016949,0.017685,0.018968,0.021191,0.024955", \ - "0.023199,0.023464,0.023930,0.024751,0.026194,0.028684,0.032847", \ - "0.031519,0.031841,0.032353,0.033295,0.034942,0.037757,0.042385"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.019340,0.020385,0.022241,0.025522,0.031315,0.041518,0.059543", \ - "0.019340,0.020385,0.022241,0.025522,0.031313,0.041513,0.059554", \ - "0.019336,0.020387,0.022241,0.025516,0.031304,0.041515,0.059553", \ - "0.020052,0.021022,0.022754,0.025853,0.031411,0.041508,0.059544", \ - "0.022575,0.023557,0.025288,0.028327,0.033615,0.042924,0.060000", \ - "0.025964,0.026926,0.028631,0.031640,0.036962,0.046369,0.062782", \ - "0.030846,0.031813,0.033488,0.036434,0.041639,0.050857,0.067296"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.534996,1.551409,1.581891,1.614490,1.657460,1.722379,1.785484", \ - "1.580888,1.596674,1.630111,1.666906,1.714670,1.779893,1.844576", \ - "1.755620,1.773767,1.776662,1.801177,1.833216,1.869102,1.923691", \ - "2.414849,2.391014,2.360216,2.323250,2.252672,2.220063,2.194401", \ - "3.618320,3.584663,3.564470,3.497146,3.370038,3.153093,2.897591", \ - "5.209463,5.208740,5.200299,5.160155,5.056663,4.829154,4.389812", \ - "7.171992,7.207201,7.224694,7.214392,7.230400,7.058330,6.643351"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.355679,5.382473,5.378891,5.416629,5.376931,5.367911,5.430375", \ - "5.315200,5.341223,5.338120,5.383861,5.390231,5.316695,5.474200", \ - "5.558338,5.535191,5.548039,5.532470,5.540740,5.368082,5.322917", \ - "6.367702,6.363714,6.312787,6.244587,6.107629,6.006374,5.923115", \ - "7.867746,7.814873,7.744795,7.596796,7.428171,7.256600,6.920973", \ - "9.972837,9.939018,9.874055,9.749692,9.513492,9.119981,8.737723", \ - "12.960400,12.867390,12.759620,12.552430,12.257580,11.829760,11.238440"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.038425,1.047101,1.055367,1.077400,1.101555,1.119864,1.147796", \ - "1.077307,1.087994,1.104053,1.116547,1.146595,1.176911,1.207322", \ - "1.254452,1.254377,1.245565,1.253285,1.260609,1.270103,1.280399", \ - "1.946348,1.923701,1.887557,1.820392,1.713057,1.628098,1.557861", \ - "3.133471,3.099566,3.093969,3.021101,2.872937,2.625473,2.305027", \ - "4.712150,4.707533,4.704646,4.680815,4.579672,4.329205,3.852048", \ - "6.686316,6.708614,6.706291,6.736160,6.732956,6.566118,6.135904"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.590467,4.577616,4.607640,4.621623,4.599602,4.668703,4.531911", \ - "4.532766,4.537332,4.567456,4.576804,4.612916,4.530419,4.555514", \ - "4.755849,4.767528,4.737907,4.714654,4.645163,4.620038,4.731094", \ - "5.600661,5.558222,5.501753,5.466575,5.297841,5.187758,5.161377", \ - "7.083828,7.030327,6.956199,6.845099,6.626844,6.442659,6.198620", \ - "9.101846,9.063019,8.988544,8.857426,8.631056,8.325235,7.826719", \ - "12.095550,12.031280,11.881800,11.675540,11.416020,10.911140,10.307220"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.020050,1.030093,1.031867,1.061594,1.086423,1.109234,1.138646", \ - "1.062837,1.064276,1.086370,1.101104,1.128596,1.161761,1.194543", \ - "1.289625,1.274339,1.270535,1.266086,1.265731,1.274958,1.287336", \ - "2.053703,2.035899,1.986365,1.933406,1.833134,1.697795,1.609297", \ - "3.211928,3.194579,3.163507,3.141351,3.032796,2.826541,2.495774", \ - "4.716026,4.750330,4.771327,4.759914,4.696167,4.559465,4.165458", \ - "6.684738,6.714807,6.739887,6.794340,6.799299,6.740185,6.454537"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.602270,4.622127,4.612376,4.657894,4.634516,4.691207,4.647858", \ - "4.537492,4.522658,4.551891,4.590926,4.606225,4.652611,4.530801", \ - "4.825003,4.826308,4.801118,4.797288,4.709589,4.638588,4.632056", \ - "5.713022,5.670661,5.614433,5.554291,5.503658,5.388362,5.249508", \ - "7.251852,7.199057,7.113993,7.015109,6.861912,6.581651,6.280267", \ - "9.379804,9.341531,9.268805,9.117272,8.991309,8.582783,8.114149", \ - "12.503740,12.406180,12.294100,12.069960,11.765660,11.413470,10.782270"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.354129,0.364417,0.369642,0.378437,0.383451,0.392873,0.403781", \ - "0.384688,0.387103,0.394488,0.404814,0.419701,0.440880,0.458334", \ - "0.608296,0.589427,0.573455,0.560231,0.547656,0.542306,0.539441", \ - "1.408888,1.374376,1.327113,1.235239,1.092079,0.939462,0.836740", \ - "2.600970,2.558954,2.540655,2.473595,2.318209,2.024749,1.642330", \ - "4.148511,4.150986,4.152683,4.126407,4.018093,3.783210,3.259090", \ - "6.109659,6.127891,6.151724,6.154432,6.173082,6.033194,5.576424"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.697557,3.681238,3.712515,3.748497,3.784494,3.745143,3.742552", \ - "3.646349,3.642599,3.673625,3.696084,3.722456,3.727538,3.800561", \ - "3.863692,3.886422,3.888419,3.844150,3.820173,3.841470,3.845025", \ - "4.717433,4.672456,4.657628,4.579282,4.521225,4.391443,4.147349", \ - "6.073146,6.041401,6.028792,5.978692,5.813268,5.581152,5.318141", \ - "8.195958,8.152654,8.065267,7.934199,7.742722,7.414544,7.064699", \ - "11.207720,11.154920,11.031630,10.845820,10.531000,10.109350,9.597252"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.372785,0.377515,0.380466,0.384001,0.389486,0.400483,0.408112", \ - "0.401187,0.402888,0.412008,0.415821,0.432022,0.447506,0.464962", \ - "0.697811,0.675469,0.639418,0.607363,0.578022,0.565922,0.560357", \ - "1.488730,1.462966,1.421231,1.348171,1.227405,1.045141,0.902979", \ - "2.647493,2.624836,2.600816,2.563244,2.461304,2.234466,1.849825", \ - "4.165432,4.192878,4.201513,4.180218,4.118932,3.956043,3.565327", \ - "6.102686,6.151483,6.152958,6.178070,6.224545,6.148178,5.851518"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.683848,3.694532,3.698879,3.702055,3.769801,3.781653,3.736428", \ - "3.593566,3.609721,3.639869,3.663543,3.693456,3.740592,3.759523", \ - "3.865946,3.887753,3.886510,3.846395,3.833083,3.819869,3.717631", \ - "4.770439,4.768894,4.718121,4.627123,4.555154,4.447927,4.190128", \ - "6.203772,6.166401,6.136455,6.115612,5.918564,5.721459,5.369097", \ - "8.433997,8.402102,8.302418,8.143990,7.990608,7.732579,7.260363", \ - "11.584880,11.527500,11.370390,11.158180,10.875690,10.467740,9.852459"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.372785,0.377515,0.380466,0.384001,0.389486,0.400483,0.408112", \ - "0.401187,0.402888,0.412008,0.415821,0.432022,0.447506,0.464962", \ - "0.697811,0.675469,0.639418,0.607363,0.578022,0.565922,0.560357", \ - "1.488730,1.462966,1.421231,1.348171,1.227405,1.045141,0.902979", \ - "2.647493,2.624836,2.600816,2.563244,2.461304,2.234466,1.849825", \ - "4.165432,4.192878,4.201513,4.180218,4.118932,3.956043,3.565327", \ - "6.102686,6.151483,6.152958,6.178070,6.224545,6.148178,5.851518"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.683848,3.694532,3.698879,3.702055,3.769801,3.781653,3.736428", \ - "3.593566,3.609721,3.639869,3.663543,3.693456,3.740592,3.759523", \ - "3.865946,3.887753,3.886510,3.846395,3.833083,3.819869,3.717631", \ - "4.770439,4.768894,4.718121,4.627123,4.555154,4.447927,4.190128", \ - "6.203772,6.166401,6.136455,6.115612,5.918564,5.721459,5.369097", \ - "8.433997,8.402102,8.302418,8.143990,7.990608,7.732579,7.260363", \ - "11.584880,11.527500,11.370390,11.158180,10.875690,10.467740,9.852459"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.375418,0.380386,0.382653,0.380216,0.386093,0.395027,0.407839", \ - "0.414516,0.412059,0.413110,0.422175,0.429711,0.450718,0.466375", \ - "0.722952,0.701641,0.670407,0.622273,0.596517,0.575670,0.565690", \ - "1.495322,1.491608,1.444992,1.398579,1.273748,1.093678,0.930040", \ - "2.649233,2.639102,2.629693,2.592738,2.505389,2.302969,1.930766", \ - "4.172982,4.197218,4.191950,4.205498,4.174002,4.027676,3.655597", \ - "6.078835,6.107676,6.170836,6.179858,6.241110,6.197924,5.943316"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.675302,3.690076,3.690369,3.682475,3.749887,3.711768,3.743301", \ - "3.570236,3.595482,3.579980,3.601011,3.666643,3.656668,3.743844", \ - "3.911696,3.887744,3.887594,3.861985,3.789270,3.811238,3.797505", \ - "4.789583,4.788146,4.728850,4.694785,4.584074,4.418673,4.329871", \ - "6.216668,6.203394,6.173938,6.163366,5.958902,5.732580,5.375592", \ - "8.525353,8.480299,8.347904,8.223232,8.007069,7.811349,7.330925", \ - "11.727950,11.626220,11.510540,11.303600,10.976300,10.563330,10.028210"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.198410,2.218313,2.259517,2.281950,2.335390,2.389475,2.443372", \ - "2.209560,2.206463,2.228354,2.270419,2.315784,2.379749,2.436332", \ - "2.267834,2.299950,2.299747,2.338789,2.374277,2.426312,2.468425", \ - "2.846902,2.840690,2.806269,2.782791,2.755058,2.737967,2.721602", \ - "4.066248,4.045287,4.001146,3.951986,3.834680,3.659995,3.449466", \ - "5.790817,5.796385,5.782058,5.709370,5.587614,5.361061,4.948489", \ - "7.994794,7.966543,8.011886,8.015837,7.902660,7.719585,7.272894"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.455039,6.443865,6.473308,6.461615,6.487351,6.389599,6.464195", \ - "6.337046,6.364620,6.399874,6.421896,6.378292,6.385593,6.496845", \ - "6.271372,6.293318,6.283999,6.329700,6.350402,6.287278,6.448982", \ - "6.683000,6.689769,6.656070,6.615028,6.549570,6.499772,6.527031", \ - "7.848047,7.789304,7.756139,7.628613,7.545154,7.371218,7.207829", \ - "9.640059,9.576148,9.485875,9.394845,9.210336,8.900056,8.430971", \ - "12.180420,12.092970,11.959750,11.749590,11.494450,11.057270,10.507420"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.680309,1.685832,1.707016,1.725474,1.741354,1.770696,1.804032", \ - "1.667895,1.681460,1.688595,1.698424,1.732076,1.766563,1.799281", \ - "1.753453,1.747753,1.751744,1.772328,1.793965,1.811410,1.824982", \ - "2.357361,2.349070,2.314732,2.258834,2.193111,2.147820,2.098533", \ - "3.616543,3.583929,3.543989,3.475060,3.331946,3.114180,2.839649", \ - "5.341412,5.333025,5.319548,5.261514,5.136665,4.860583,4.414567", \ - "7.492382,7.502749,7.540985,7.539796,7.440419,7.249641,6.774604"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.656421,5.676756,5.663533,5.658337,5.718371,5.608761,5.572773", \ - "5.571536,5.559070,5.590937,5.622913,5.604197,5.631389,5.734128", \ - "5.468017,5.496588,5.513016,5.476437,5.549544,5.512353,5.638653", \ - "5.914751,5.891981,5.884283,5.843500,5.798728,5.688223,5.763311", \ - "7.063560,7.014143,6.973772,6.879315,6.712742,6.530689,6.419765", \ - "8.732622,8.704991,8.606786,8.480318,8.376723,8.059037,7.693680", \ - "11.336860,11.249710,11.128490,10.949080,10.632430,10.236580,9.791089"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.639530,1.639793,1.659466,1.686148,1.718046,1.747278,1.784724", \ - "1.627050,1.615966,1.651776,1.676454,1.699292,1.736449,1.772688", \ - "1.731092,1.727174,1.748381,1.761630,1.775767,1.790485,1.822296", \ - "2.439691,2.434056,2.408534,2.353116,2.276400,2.180079,2.127679", \ - "3.714610,3.688004,3.677025,3.617866,3.502208,3.293660,3.002933", \ - "5.405210,5.429713,5.425654,5.374599,5.308340,5.091158,4.704029", \ - "7.571816,7.580409,7.617312,7.639595,7.630370,7.488921,7.129333"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.698464,5.683028,5.709405,5.706783,5.697058,5.639219,5.633387", \ - "5.564051,5.589635,5.620756,5.622808,5.664845,5.594406,5.565787", \ - "5.510777,5.530492,5.513026,5.502367,5.564686,5.590680,5.552319", \ - "5.953303,5.959008,5.948380,5.898489,5.873820,5.832978,5.614510", \ - "7.200977,7.138335,7.105492,6.981274,6.824304,6.651459,6.373354", \ - "8.973614,8.946163,8.848594,8.739958,8.622448,8.304013,7.967526", \ - "11.667020,11.576300,11.480090,11.262580,10.950500,10.589550,10.096490"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.990123,0.994773,1.004851,1.011696,1.027761,1.044938,1.059991", \ - "0.969210,0.975184,0.983701,0.997369,1.008417,1.029070,1.051217", \ - "1.073778,1.070044,1.074779,1.069908,1.077748,1.078503,1.086614", \ - "1.802132,1.764559,1.722245,1.652435,1.537202,1.446582,1.373472", \ - "3.076376,3.064446,3.021023,2.931046,2.767901,2.505003,2.167533", \ - "4.812682,4.804760,4.787054,4.736292,4.583613,4.306819,3.810341", \ - "6.968203,6.978022,7.008241,6.970015,6.916008,6.732341,6.215549"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.758769,4.783958,4.766513,4.823754,4.822855,4.827150,4.774981", \ - "4.677245,4.705267,4.694215,4.753471,4.747317,4.817309,4.850743", \ - "4.614839,4.596263,4.621233,4.611299,4.639888,4.697543,4.589303", \ - "5.019932,5.030003,4.990833,4.975383,4.953986,4.869795,4.878952", \ - "6.019876,6.024426,6.025125,6.013840,5.828047,5.720710,5.528030", \ - "7.847558,7.773771,7.711635,7.558767,7.428338,7.200691,6.877126", \ - "10.430300,10.389090,10.257770,10.081760,9.787714,9.359615,8.804284"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.954195,0.968369,0.966887,0.991760,1.010842,1.031569,1.047458", \ - "0.934335,0.951145,0.949821,0.978879,0.995231,1.017105,1.041596", \ - "1.085341,1.080282,1.078862,1.079664,1.082723,1.084775,1.087359", \ - "1.884926,1.864616,1.824633,1.753472,1.648500,1.504922,1.417003", \ - "3.154687,3.152685,3.109328,3.040051,2.931520,2.691470,2.355970", \ - "4.864251,4.873970,4.854359,4.839925,4.762649,4.528770,4.111943", \ - "6.982267,7.018831,7.029533,7.089150,7.067929,6.937008,6.556478"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.746024,4.775058,4.757783,4.806745,4.816420,4.817210,4.763219", \ - "4.650734,4.671058,4.666219,4.717172,4.720509,4.762036,4.812634", \ - "4.599887,4.593026,4.606425,4.585699,4.607196,4.665062,4.712286", \ - "5.038012,5.047086,5.005392,4.965283,4.959279,4.852744,4.860246", \ - "6.141502,6.146608,6.097258,6.080154,5.963141,5.758219,5.579042", \ - "8.031728,7.956763,7.892853,7.758398,7.594665,7.333252,7.010546", \ - "10.756690,10.694170,10.575850,10.355730,10.027400,9.634065,9.055765"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.954195,0.968369,0.966887,0.991760,1.010842,1.031569,1.047458", \ - "0.934335,0.951145,0.949821,0.978879,0.995231,1.017105,1.041596", \ - "1.085341,1.080282,1.078862,1.079664,1.082723,1.084775,1.087359", \ - "1.884926,1.864616,1.824633,1.753472,1.648500,1.504922,1.417003", \ - "3.154687,3.152685,3.109328,3.040051,2.931520,2.691470,2.355970", \ - "4.864251,4.873970,4.854359,4.839925,4.762649,4.528770,4.111943", \ - "6.982267,7.018831,7.029533,7.089150,7.067929,6.937008,6.556478"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.746024,4.775058,4.757783,4.806745,4.816420,4.817210,4.763219", \ - "4.650734,4.671058,4.666219,4.717172,4.720509,4.762036,4.812634", \ - "4.599887,4.593026,4.606425,4.585699,4.607196,4.665062,4.712286", \ - "5.038012,5.047086,5.005392,4.965283,4.959279,4.852744,4.860246", \ - "6.141502,6.146608,6.097258,6.080154,5.963141,5.758219,5.579042", \ - "8.031728,7.956763,7.892853,7.758398,7.594665,7.333252,7.010546", \ - "10.756690,10.694170,10.575850,10.355730,10.027400,9.634065,9.055765"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.940760,0.943025,0.972448,0.986317,0.994929,1.023115,1.045105", \ - "0.929378,0.943320,0.954362,0.961096,0.994498,1.016931,1.034960", \ - "1.098828,1.093733,1.070935,1.082165,1.081635,1.087774,1.092143", \ - "1.912887,1.892974,1.854179,1.796020,1.690549,1.539219,1.433546", \ - "3.194219,3.166971,3.147963,3.090840,2.982757,2.761289,2.426705", \ - "4.879360,4.875727,4.866868,4.853519,4.791089,4.613050,4.214167", \ - "6.984794,7.018543,7.039308,7.097226,7.083905,6.994184,6.669823"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.738080,4.762816,4.745667,4.789850,4.751669,4.816693,4.757544", \ - "4.639270,4.622386,4.653678,4.691905,4.704301,4.749518,4.767987", \ - "4.595259,4.593780,4.598824,4.604971,4.602027,4.654202,4.527850", \ - "5.045254,5.054159,5.023295,4.981207,4.932223,4.900010,4.856888", \ - "6.167608,6.172778,6.122613,6.114345,5.996369,5.784861,5.599964", \ - "8.080209,8.049391,7.968212,7.791114,7.635494,7.439804,7.068315", \ - "10.882990,10.791820,10.674470,10.462680,10.084530,9.770967,9.324865"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.697495,2.701941,2.725442,2.766622,2.840359,2.908569,2.986973", \ - "2.602644,2.618338,2.653846,2.699940,2.742261,2.831666,2.920824", \ - "2.657989,2.645978,2.677323,2.715731,2.751036,2.821627,2.908420", \ - "3.153360,3.154854,3.134141,3.124576,3.127467,3.123364,3.142053", \ - "4.448076,4.434844,4.394366,4.327717,4.215009,4.053143,3.883822", \ - "6.426780,6.415407,6.345411,6.301278,6.136255,5.868692,5.456609", \ - "8.960945,8.980168,8.970986,8.925090,8.818101,8.533755,7.979086"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("7.432952,7.420195,7.449646,7.469461,7.481067,7.365037,7.484360", \ - "7.340938,7.333165,7.368328,7.359195,7.367469,7.365405,7.461937", \ - "7.211067,7.234953,7.228871,7.237176,7.237201,7.256843,7.337180", \ - "7.424018,7.401058,7.413893,7.385278,7.400733,7.267234,7.351105", \ - "8.485692,8.438292,8.390990,8.293854,8.143654,8.037340,7.888185", \ - "10.389380,10.368320,10.283380,10.126980,9.943199,9.651361,9.242355", \ - "13.285090,13.241430,13.077160,12.889290,12.549740,12.106760,11.496920"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.117307,2.117291,2.147671,2.181293,2.224066,2.278554,2.341000", \ - "2.032696,2.052825,2.075213,2.112966,2.142040,2.209765,2.262726", \ - "2.066453,2.083289,2.101404,2.122930,2.162023,2.210946,2.252433", \ - "2.652387,2.642998,2.616591,2.571423,2.546190,2.529710,2.499809", \ - "3.982399,3.976213,3.918141,3.841309,3.714213,3.515229,3.271887", \ - "6.006553,5.982216,5.957448,5.873081,5.688802,5.388752,4.928494", \ - "8.588979,8.593251,8.567732,8.511392,8.406612,8.112483,7.514453"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.635856,6.653150,6.640015,6.661829,6.687779,6.704112,6.719733", \ - "6.539737,6.566112,6.558657,6.608964,6.610558,6.557382,6.688392", \ - "6.408274,6.430974,6.458141,6.479306,6.465120,6.430701,6.432643", \ - "6.619420,6.631856,6.621152,6.611712,6.615234,6.579640,6.499245", \ - "7.667476,7.639214,7.591637,7.494323,7.401632,7.124023,6.955480", \ - "9.540480,9.476405,9.386300,9.268144,9.095382,8.797158,8.425484", \ - "12.439530,12.360720,12.241130,12.010310,11.643020,11.243840,10.562260"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.026530,2.042330,2.054574,2.086387,2.149331,2.228605,2.298813", \ - "1.941359,1.948992,1.972460,2.010221,2.086729,2.147814,2.219869", \ - "1.991740,2.014617,2.021004,2.066190,2.109869,2.161687,2.212113", \ - "2.705936,2.690485,2.674968,2.626028,2.575878,2.530384,2.506731", \ - "4.105554,4.087966,4.056694,3.983484,3.867917,3.672307,3.398963", \ - "6.134307,6.099072,6.107273,6.058727,5.936927,5.682130,5.225980", \ - "8.713497,8.704722,8.707000,8.727937,8.631705,8.453683,7.931625"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.674907,6.659502,6.685941,6.703053,6.640605,6.629397,6.667026", \ - "6.552659,6.559811,6.589545,6.616028,6.600687,6.581284,6.716235", \ - "6.452274,6.473636,6.459449,6.498664,6.517806,6.424956,6.522837", \ - "6.680175,6.652780,6.662106,6.662247,6.574610,6.516297,6.628700", \ - "7.810141,7.799830,7.722561,7.608828,7.501775,7.322662,7.236817", \ - "9.786456,9.762692,9.669454,9.540070,9.345994,8.948998,8.632063", \ - "12.913800,12.829860,12.702070,12.426430,12.058660,11.667700,11.108630"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.387325,1.385212,1.404291,1.454277,1.493942,1.537083,1.589799", \ - "1.297957,1.318609,1.342973,1.379959,1.411067,1.468688,1.513017", \ - "1.356779,1.362992,1.396924,1.404842,1.436171,1.465921,1.510468", \ - "2.063265,2.045962,2.006915,1.948340,1.869161,1.829742,1.776765", \ - "3.489535,3.465800,3.423083,3.309879,3.151420,2.906312,2.592768", \ - "5.545960,5.511511,5.472252,5.381952,5.198754,4.863867,4.333837", \ - "8.110296,8.107465,8.099672,8.061879,7.938433,7.624761,6.979420"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.735399,5.767875,5.743257,5.792205,5.784867,5.804512,5.843417", \ - "5.644374,5.672464,5.660847,5.717955,5.701400,5.788059,5.835827", \ - "5.534621,5.536319,5.564636,5.578917,5.611578,5.597346,5.648775", \ - "5.763683,5.736666,5.750657,5.701398,5.690448,5.697930,5.664005", \ - "6.660049,6.656980,6.643647,6.571740,6.521662,6.394929,6.262096", \ - "8.643582,8.599662,8.508335,8.363964,8.168371,7.946307,7.561194", \ - "11.557860,11.485510,11.383050,11.164910,10.746330,10.355050,9.882662"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.275413,1.315268,1.328152,1.371899,1.426810,1.491496,1.558901", \ - "1.221656,1.234486,1.256011,1.305817,1.354605,1.415884,1.484174", \ - "1.316935,1.306144,1.339343,1.359475,1.388976,1.430852,1.481575", \ - "2.130613,2.113039,2.080139,2.023087,1.945734,1.846612,1.793389", \ - "3.600898,3.581106,3.525641,3.452219,3.310692,3.083887,2.751440", \ - "5.613606,5.636830,5.593140,5.564312,5.401800,5.124170,4.648196", \ - "8.166146,8.195801,8.202982,8.235154,8.164780,7.936715,7.423085"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.722701,5.755155,5.730404,5.775335,5.717640,5.799846,5.662449", \ - "5.632425,5.645210,5.632898,5.687958,5.672390,5.681881,5.684088", \ - "5.522785,5.521431,5.547555,5.559302,5.584966,5.624315,5.666936", \ - "5.765999,5.737831,5.750078,5.707590,5.693492,5.687483,5.642385", \ - "6.733120,6.747274,6.711131,6.656605,6.557698,6.439031,6.303781", \ - "8.851711,8.829602,8.732249,8.543811,8.328847,8.170275,7.770875", \ - "11.991990,11.912420,11.791890,11.578920,11.227450,10.623590,10.222830"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.275413,1.315268,1.328152,1.371899,1.426810,1.491496,1.558901", \ - "1.221656,1.234486,1.256011,1.305817,1.354605,1.415884,1.484174", \ - "1.316935,1.306144,1.339343,1.359475,1.388976,1.430852,1.481575", \ - "2.130613,2.113039,2.080139,2.023087,1.945734,1.846612,1.793389", \ - "3.600898,3.581106,3.525641,3.452219,3.310692,3.083887,2.751440", \ - "5.613606,5.636830,5.593140,5.564312,5.401800,5.124170,4.648196", \ - "8.166146,8.195801,8.202982,8.235154,8.164780,7.936715,7.423085"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.722701,5.755155,5.730404,5.775335,5.717640,5.799846,5.662449", \ - "5.632425,5.645210,5.632898,5.687958,5.672390,5.681881,5.684088", \ - "5.522785,5.521431,5.547555,5.559302,5.584966,5.624315,5.666936", \ - "5.765999,5.737831,5.750078,5.707590,5.693492,5.687483,5.642385", \ - "6.733120,6.747274,6.711131,6.656605,6.557698,6.439031,6.303781", \ - "8.851711,8.829602,8.732249,8.543811,8.328847,8.170275,7.770875", \ - "11.991990,11.912420,11.791890,11.578920,11.227450,10.623590,10.222830"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.258927,1.277654,1.305617,1.351027,1.401295,1.470242,1.542331", \ - "1.183898,1.183828,1.233526,1.269933,1.324847,1.391938,1.463781", \ - "1.294759,1.305655,1.303408,1.342427,1.378499,1.421666,1.470482", \ - "2.154446,2.141321,2.110275,2.054403,1.971497,1.855779,1.795724", \ - "3.636361,3.627837,3.580716,3.500962,3.360608,3.148352,2.814120", \ - "5.673142,5.660131,5.652043,5.587496,5.481852,5.237709,4.764329", \ - "8.222011,8.235886,8.264697,8.260183,8.219761,8.038329,7.573898"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.714723,5.742516,5.722454,5.766956,5.763496,5.784166,5.649728", \ - "5.606628,5.589326,5.628722,5.671129,5.707721,5.670194,5.670148", \ - "5.519592,5.516654,5.542054,5.525144,5.575310,5.602714,5.599836", \ - "5.767579,5.744634,5.752438,5.699662,5.718715,5.685847,5.635285", \ - "6.759973,6.773703,6.736481,6.734681,6.581275,6.463906,6.326735", \ - "8.964188,8.894409,8.794951,8.609193,8.362610,8.215203,7.822144", \ - "12.158220,12.075920,11.951980,11.707580,11.335570,10.852260,10.318910"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.541017,1.559433,1.568957,1.618916,1.663781,1.724757,1.786761", \ - "1.534400,1.553094,1.591539,1.625307,1.696301,1.765359,1.836171", \ - "1.529422,1.540562,1.576423,1.621143,1.685456,1.750210,1.837246", \ - "1.952903,1.960891,1.941465,1.920702,1.899780,1.911904,1.950300", \ - "2.887723,2.910933,2.885543,2.841536,2.761798,2.619830,2.442545", \ - "4.201458,4.201677,4.201179,4.162798,4.099983,3.977206,3.673135", \ - "5.775267,5.745956,5.772747,5.829619,5.812691,5.787809,5.516427"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("8.003331,7.994945,8.014566,8.027437,7.997655,7.954607,8.064719", \ - "7.912943,7.915941,7.906703,7.944419,7.947727,7.891407,7.889201", \ - "8.078847,8.057857,8.074621,8.063194,8.066075,7.998718,7.918817", \ - "8.848064,8.815419,8.815153,8.755247,8.705427,8.592251,8.444487", \ - "10.269250,10.250030,10.191770,10.106770,9.957794,9.704808,9.455211", \ - "12.459380,12.422410,12.352340,12.228340,12.064420,11.659660,11.433320", \ - "15.281160,15.241750,15.181110,15.046320,14.860380,14.494440,14.014260"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.044802,1.050825,1.065290,1.079127,1.096026,1.122302,1.149332", \ - "1.027562,1.039073,1.048545,1.087502,1.123243,1.158108,1.191525", \ - "1.005866,1.015691,1.028981,1.049723,1.092547,1.137394,1.190591", \ - "1.447078,1.443498,1.420840,1.381662,1.329476,1.301306,1.307294", \ - "2.387135,2.372390,2.341100,2.304920,2.218201,2.049582,1.827968", \ - "3.614802,3.643549,3.649537,3.631387,3.582857,3.428172,3.102564", \ - "5.222306,5.250925,5.268536,5.224461,5.283635,5.188391,4.957008"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("7.164971,7.146424,7.171761,7.203532,7.142742,7.247665,7.180031", \ - "7.052372,7.075934,7.103720,7.117662,7.109116,7.192956,7.134556", \ - "7.240177,7.256436,7.231815,7.224126,7.198968,7.218401,7.228719", \ - "8.008607,8.013854,7.972433,7.944249,7.889862,7.684894,7.512078", \ - "9.451142,9.404741,9.385447,9.300448,9.208855,8.981757,8.766334", \ - "11.532520,11.478420,11.448450,11.401900,11.200510,10.979830,10.499070", \ - "14.365850,14.318560,14.252900,14.161800,13.965700,13.752640,13.216470"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.038470,1.047323,1.044576,1.075860,1.096527,1.111131,1.143483", \ - "1.022179,1.040433,1.044953,1.076422,1.113735,1.149350,1.189952", \ - "1.030640,1.025837,1.047659,1.066229,1.093397,1.136351,1.190564", \ - "1.501851,1.488603,1.473474,1.440515,1.382038,1.330494,1.325828", \ - "2.469134,2.421006,2.404123,2.384423,2.281088,2.131940,1.917357", \ - "3.735514,3.729709,3.742226,3.687693,3.644253,3.525251,3.208200", \ - "5.317796,5.352216,5.378400,5.373159,5.363473,5.286773,5.075802"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("7.665368,7.687240,7.670988,7.700751,7.731697,7.665530,7.724884", \ - "7.556303,7.579419,7.606709,7.629286,7.622106,7.605196,7.737769", \ - "7.753826,7.770437,7.745661,7.769691,7.767092,7.645393,7.724230", \ - "8.530366,8.538632,8.499540,8.495784,8.380791,8.345397,8.213637", \ - "9.973826,9.971554,9.916527,9.871060,9.773250,9.560048,9.347819", \ - "12.079740,12.055940,12.033890,11.959620,11.837220,11.558580,11.215740", \ - "14.923290,14.895870,14.836130,14.748950,14.621180,14.376250,13.800170"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.348132,0.361945,0.370761,0.377895,0.390449,0.395689,0.405865", \ - "0.304905,0.318497,0.338319,0.365512,0.390496,0.420730,0.446434", \ - "0.291148,0.296744,0.305729,0.323977,0.355969,0.394962,0.435635", \ - "0.821444,0.811623,0.771608,0.728875,0.651725,0.579987,0.561452", \ - "1.774090,1.757919,1.742735,1.697770,1.593089,1.405236,1.141721", \ - "3.026629,3.050244,3.016081,3.004650,2.965057,2.804712,2.468929", \ - "4.624099,4.646860,4.651353,4.664010,4.603100,4.600713,4.346302"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.232196,6.258247,6.274660,6.264704,6.300346,6.341673,6.375529", \ - "6.161397,6.144168,6.176711,6.209912,6.213515,6.271604,6.319912", \ - "6.308398,6.328505,6.321242,6.321588,6.354975,6.353197,6.237877", \ - "7.080134,7.089590,7.047777,7.013969,6.991395,6.894289,6.802066", \ - "8.557532,8.532187,8.467952,8.390017,8.252657,8.108865,7.741718", \ - "10.527160,10.472480,10.482390,10.428090,10.357820,10.107620,9.577427", \ - "13.357970,13.326200,13.303460,13.217700,13.003050,12.817530,12.210700"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.360672,0.360259,0.367912,0.377084,0.384903,0.399227,0.407499", \ - "0.304356,0.319125,0.343742,0.364741,0.395739,0.422154,0.447950", \ - "0.314129,0.320802,0.327546,0.345460,0.373317,0.404542,0.446409", \ - "0.870016,0.855989,0.834915,0.785947,0.714157,0.624704,0.595751", \ - "1.843289,1.818610,1.789449,1.747435,1.673767,1.490234,1.232375", \ - "3.138348,3.149968,3.133372,3.106646,3.021868,2.892762,2.580682", \ - "4.795565,4.794927,4.761785,4.793784,4.749555,4.686573,4.449591"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.674087,6.699484,6.731872,6.745503,6.764341,6.774017,6.804401", \ - "6.624759,6.633744,6.619427,6.678902,6.705455,6.643498,6.752755", \ - "6.807930,6.782586,6.803825,6.814865,6.782477,6.726512,6.808066", \ - "7.587271,7.553276,7.560052,7.536735,7.425070,7.380413,7.298876", \ - "9.038284,8.992406,8.984484,8.923030,8.798320,8.678014,8.436850", \ - "10.997050,11.025640,11.001570,10.959870,10.889350,10.637920,10.182340", \ - "13.918290,13.890650,13.825960,13.754820,13.533310,13.375800,12.940290"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.360672,0.360259,0.367912,0.377084,0.384903,0.399227,0.407499", \ - "0.304356,0.319125,0.343742,0.364741,0.395739,0.422154,0.447950", \ - "0.314129,0.320802,0.327546,0.345460,0.373317,0.404542,0.446409", \ - "0.870016,0.855989,0.834915,0.785947,0.714157,0.624704,0.595751", \ - "1.843289,1.818610,1.789449,1.747435,1.673767,1.490234,1.232375", \ - "3.138348,3.149968,3.133372,3.106646,3.021868,2.892762,2.580682", \ - "4.795565,4.794927,4.761785,4.793784,4.749555,4.686573,4.449591"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.674087,6.699484,6.731872,6.745503,6.764341,6.774017,6.804401", \ - "6.624759,6.633744,6.619427,6.678902,6.705455,6.643498,6.752755", \ - "6.807930,6.782586,6.803825,6.814865,6.782477,6.726512,6.808066", \ - "7.587271,7.553276,7.560052,7.536735,7.425070,7.380413,7.298876", \ - "9.038284,8.992406,8.984484,8.923030,8.798320,8.678014,8.436850", \ - "10.997050,11.025640,11.001570,10.959870,10.889350,10.637920,10.182340", \ - "13.918290,13.890650,13.825960,13.754820,13.533310,13.375800,12.940290"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.359387,0.357358,0.370249,0.379370,0.386038,0.401413,0.409199", \ - "0.317038,0.317819,0.347210,0.366230,0.397973,0.423899,0.447772", \ - "0.317621,0.323017,0.333441,0.347455,0.377352,0.409168,0.451606", \ - "0.894743,0.875363,0.844026,0.796513,0.730097,0.640955,0.607171", \ - "1.879480,1.857470,1.849051,1.796838,1.686176,1.512372,1.261051", \ - "3.214583,3.180472,3.194103,3.148159,3.084361,2.937617,2.612562", \ - "4.877907,4.883155,4.834489,4.854866,4.830923,4.745406,4.476514"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("7.130833,7.110385,7.139813,7.150090,7.190761,7.241167,7.250081", \ - "7.044651,7.047469,7.078303,7.057519,7.115090,7.169467,7.091310", \ - "7.220125,7.240516,7.244518,7.234567,7.244049,7.229090,7.121192", \ - "7.995094,8.007745,7.986755,7.962399,7.912466,7.810741,7.683300", \ - "9.436986,9.395703,9.389656,9.355084,9.270735,9.042629,8.756971", \ - "11.440650,11.425440,11.417470,11.403750,11.275830,11.065610,10.781090", \ - "14.335060,14.316870,14.255250,14.164730,14.041780,13.820190,13.402230"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.213955,2.222606,2.246216,2.291313,2.333297,2.388332,2.441267", \ - "2.181822,2.182811,2.215787,2.267052,2.308104,2.373176,2.440973", \ - "2.121748,2.166280,2.165196,2.224268,2.258044,2.328612,2.410599", \ - "2.449339,2.446108,2.440133,2.419230,2.429416,2.472889,2.504971", \ - "3.369401,3.352719,3.343771,3.307145,3.236268,3.130592,2.985683", \ - "4.755716,4.734489,4.707637,4.702089,4.623324,4.485682,4.205462", \ - "6.500560,6.475870,6.497381,6.535453,6.485514,6.394421,6.096566"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("9.039622,9.023763,9.048822,9.061568,9.098541,8.973223,9.092692", \ - "8.969686,8.955409,8.982943,8.984476,8.962287,8.897788,9.091447", \ - "8.875398,8.897620,8.924641,8.899591,8.951670,8.907685,8.868961", \ - "9.212648,9.226200,9.198788,9.184382,9.118161,9.072585,9.134797", \ - "10.290710,10.253680,10.241640,10.143060,10.032240,9.935011,9.850269", \ - "12.078100,12.022460,12.002990,11.886960,11.679080,11.505180,11.140750", \ - "14.473200,14.412270,14.365390,14.254270,14.060620,13.744360,13.212420"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.683663,1.681225,1.701208,1.714932,1.744250,1.770063,1.803799", \ - "1.644585,1.647731,1.669757,1.702261,1.719561,1.760701,1.795707", \ - "1.584433,1.601281,1.619780,1.649572,1.673561,1.722226,1.766347", \ - "1.924786,1.903466,1.888220,1.866447,1.842740,1.851611,1.866968", \ - "2.835978,2.849114,2.820867,2.771807,2.691249,2.554663,2.366644", \ - "4.234607,4.211399,4.181166,4.180836,4.101528,3.941142,3.633059", \ - "5.974162,5.981918,5.997402,5.984046,5.976818,5.858704,5.558574"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("8.214409,8.221938,8.205049,8.232422,8.268224,8.278602,8.255684", \ - "8.131188,8.154084,8.139999,8.175984,8.151231,8.214094,8.166556", \ - "8.074271,8.056479,8.082533,8.091443,8.104948,8.114794,8.162670", \ - "8.411991,8.391733,8.395996,8.341261,8.340491,8.350751,8.253660", \ - "9.444945,9.446228,9.394767,9.360939,9.230398,9.142853,8.915611", \ - "11.151490,11.108670,11.094100,11.019450,10.891480,10.682900,10.356540", \ - "13.556680,13.493440,13.445150,13.366550,13.172440,12.897270,12.489870"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.678755,1.676021,1.685607,1.707219,1.727158,1.755711,1.782515", \ - "1.633390,1.651629,1.663472,1.679951,1.711035,1.742119,1.771842", \ - "1.587606,1.600884,1.602796,1.635846,1.657173,1.703220,1.752582", \ - "1.968628,1.948560,1.942620,1.914845,1.881252,1.861881,1.876179", \ - "2.935785,2.923471,2.897950,2.838631,2.765368,2.625885,2.440066", \ - "4.334639,4.303260,4.315698,4.254730,4.199217,4.034934,3.736702", \ - "6.086007,6.111208,6.100715,6.091959,6.089511,5.963891,5.668177"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("8.703165,8.724282,8.706729,8.753791,8.712507,8.709751,8.763630", \ - "8.635091,8.657930,8.643343,8.668722,8.675166,8.657880,8.761067", \ - "8.574840,8.556313,8.581607,8.592576,8.605834,8.586414,8.660229", \ - "8.919886,8.894816,8.904899,8.862703,8.891173,8.854298,8.805551", \ - "9.956519,9.960094,9.928329,9.858721,9.790411,9.631713,9.555531", \ - "11.668590,11.671140,11.620980,11.612610,11.441160,11.179600,11.001550", \ - "14.066550,14.047090,14.003730,13.889510,13.746370,13.587350,13.102950"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.995495,0.982334,0.994958,1.014725,1.019261,1.043616,1.055165", \ - "0.950802,0.958460,0.956950,0.984141,0.998899,1.024514,1.046943", \ - "0.877472,0.877006,0.897158,0.913184,0.948894,0.975466,1.011762", \ - "1.283793,1.268781,1.248023,1.210272,1.150704,1.124528,1.127826", \ - "2.258521,2.241306,2.194500,2.164956,2.055785,1.897329,1.670261", \ - "3.645744,3.628173,3.623529,3.558572,3.506822,3.319069,2.986235", \ - "5.370405,5.398419,5.379994,5.406418,5.371516,5.264505,4.941812"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("7.266276,7.298446,7.321265,7.295119,7.301296,7.346990,7.411477", \ - "7.197882,7.224773,7.210374,7.265320,7.231673,7.277614,7.391691", \ - "7.141966,7.122917,7.153859,7.151840,7.190945,7.263066,7.297308", \ - "7.483135,7.467797,7.470952,7.460756,7.465056,7.372196,7.431729", \ - "8.548038,8.513036,8.511974,8.427528,8.333086,8.207370,8.083829", \ - "10.150430,10.123280,10.086580,10.031340,9.929291,9.785872,9.477502", \ - "12.552510,12.536470,12.488600,12.414060,12.248280,11.984300,11.492330"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.984768,0.992483,0.998082,1.008218,1.021063,1.031683,1.048287", \ - "0.943380,0.940062,0.950668,0.966556,0.996302,1.012812,1.043154", \ - "0.882607,0.881347,0.901831,0.918043,0.939642,0.972699,1.010883", \ - "1.327007,1.311139,1.297242,1.259167,1.204662,1.152740,1.138450", \ - "2.333751,2.313703,2.286530,2.226194,2.132315,1.974104,1.754228", \ - "3.719021,3.741826,3.709044,3.677382,3.605159,3.429104,3.097492", \ - "5.518888,5.544063,5.536937,5.530024,5.497745,5.364514,5.066065"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("7.755704,7.735218,7.763398,7.798902,7.795282,7.863883,7.845806", \ - "7.643024,7.683603,7.700177,7.700825,7.765679,7.793245,7.848392", \ - "7.583913,7.609501,7.639133,7.663776,7.673880,7.740149,7.737592", \ - "7.948827,7.951106,7.918703,7.951912,7.938286,7.825963,7.877410", \ - "9.009440,9.014352,8.972695,8.926636,8.851482,8.702636,8.604176", \ - "10.599890,10.601470,10.592570,10.578220,10.531690,10.215820,10.054060", \ - "13.045630,13.028700,13.004100,12.894050,12.747250,12.533590,12.158060"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.984768,0.992483,0.998082,1.008218,1.021063,1.031683,1.048287", \ - "0.943380,0.940062,0.950668,0.966556,0.996302,1.012812,1.043154", \ - "0.882607,0.881347,0.901831,0.918043,0.939642,0.972699,1.010883", \ - "1.327007,1.311139,1.297242,1.259167,1.204662,1.152740,1.138450", \ - "2.333751,2.313703,2.286530,2.226194,2.132315,1.974104,1.754228", \ - "3.719021,3.741826,3.709044,3.677382,3.605159,3.429104,3.097492", \ - "5.518888,5.544063,5.536937,5.530024,5.497745,5.364514,5.066065"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("7.755704,7.735218,7.763398,7.798902,7.795282,7.863883,7.845806", \ - "7.643024,7.683603,7.700177,7.700825,7.765679,7.793245,7.848392", \ - "7.583913,7.609501,7.639133,7.663776,7.673880,7.740149,7.737592", \ - "7.948827,7.951106,7.918703,7.951912,7.938286,7.825963,7.877410", \ - "9.009440,9.014352,8.972695,8.926636,8.851482,8.702636,8.604176", \ - "10.599890,10.601470,10.592570,10.578220,10.531690,10.215820,10.054060", \ - "13.045630,13.028700,13.004100,12.894050,12.747250,12.533590,12.158060"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.985832,0.993346,0.993566,1.003963,1.010702,1.029899,1.049671", \ - "0.930031,0.954877,0.956478,0.973659,0.992495,1.018119,1.037774", \ - "0.878168,0.890397,0.892420,0.920366,0.941841,0.980008,1.007730", \ - "1.331095,1.316256,1.304962,1.273108,1.218798,1.158896,1.147142", \ - "2.343787,2.325492,2.301131,2.245217,2.156461,1.999281,1.776356", \ - "3.805536,3.789672,3.778546,3.732323,3.622507,3.450756,3.135269", \ - "5.617676,5.618030,5.628293,5.588194,5.540617,5.425223,5.094108"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("8.168168,8.193157,8.199251,8.228121,8.257966,8.284867,8.278587", \ - "8.101686,8.084297,8.112431,8.160978,8.161346,8.215009,8.292576", \ - "8.040039,8.020267,8.049313,8.060403,8.096624,8.154669,8.072642", \ - "8.351535,8.358875,8.372747,8.354027,8.361403,8.283386,8.333438", \ - "9.431590,9.419290,9.411989,9.353942,9.234803,9.131643,9.093266", \ - "11.041540,11.046730,11.042040,11.000640,10.950640,10.779350,10.504650", \ - "13.469860,13.456480,13.417150,13.296940,13.199630,12.946510,12.524620"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "!A1 & !A2 & A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.683508,2.721307,2.731746,2.786296,2.826450,2.911703,2.999307", \ - "2.624933,2.617502,2.651833,2.678108,2.739953,2.837057,2.913413", \ - "2.551318,2.583304,2.585338,2.636261,2.693061,2.781057,2.867877", \ - "2.802619,2.782687,2.800647,2.797156,2.852221,2.889678,2.952882", \ - "3.742005,3.725426,3.705140,3.680945,3.626572,3.528387,3.431670", \ - "5.334396,5.308570,5.294395,5.258804,5.157678,4.973450,4.688940", \ - "7.436125,7.416948,7.428946,7.407482,7.318115,7.161536,6.789196"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("10.016610,10.000600,10.025890,10.052030,10.040960,9.986377,9.972208", \ - "9.938700,9.924053,9.951517,9.971726,9.993003,9.921411,9.962322", \ - "9.835057,9.857946,9.886136,9.901335,9.908646,9.954619,9.968739", \ - "9.989135,9.969967,9.989622,9.996014,9.961019,9.951452,10.002380", \ - "10.908840,10.895450,10.867320,10.814000,10.755550,10.598290,10.516110", \ - "12.806180,12.809570,12.758770,12.657660,12.466350,12.092310,11.930730", \ - "15.585100,15.564970,15.480530,15.351490,15.127530,14.820690,14.268760"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "!A1 & A2 & !A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.128060,2.136340,2.154024,2.188911,2.235884,2.288786,2.341827", \ - "2.031197,2.044151,2.080783,2.099556,2.153473,2.208179,2.263419", \ - "1.969869,1.971203,2.005273,2.048752,2.093629,2.154293,2.212705", \ - "2.238816,2.253945,2.246015,2.219237,2.234513,2.273415,2.299159", \ - "3.211903,3.226611,3.203493,3.153190,3.067668,2.949210,2.802192", \ - "4.838150,4.809833,4.811847,4.748608,4.632368,4.448831,4.118330", \ - "6.937481,6.956873,6.910920,6.884722,6.816786,6.660961,6.245597"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("9.177443,9.198826,9.182272,9.198563,9.189037,9.168237,9.282017", \ - "9.114124,9.122610,9.107849,9.150429,9.147254,9.085640,9.098770", \ - "9.034030,9.016922,9.058898,9.070671,9.055418,9.112674,9.064440", \ - "9.150062,9.168306,9.146176,9.168763,9.177513,9.084816,9.126594", \ - "10.101090,10.066010,10.018850,9.979150,9.937283,9.830443,9.613261", \ - "11.883950,11.875470,11.822290,11.768950,11.686300,11.331130,11.041310", \ - "14.676070,14.616310,14.575500,14.430410,14.243930,13.965910,13.439720"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "!A1 & A2 & A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.070190,2.094504,2.102095,2.145751,2.182275,2.239840,2.311045", \ - "1.986228,2.010816,2.019408,2.061312,2.113613,2.162552,2.233122", \ - "1.933977,1.964755,1.956606,2.005218,2.055011,2.115920,2.183376", \ - "2.292796,2.280634,2.264146,2.258620,2.235160,2.251945,2.281107", \ - "3.315662,3.287495,3.260308,3.230517,3.140785,3.015087,2.849071", \ - "4.954331,4.931654,4.895698,4.870572,4.744523,4.541983,4.224445", \ - "7.099361,7.086984,7.084980,7.052042,6.989587,6.752832,6.407318"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("9.680011,9.701319,9.683916,9.726092,9.723988,9.743021,9.649085", \ - "9.603186,9.626034,9.611287,9.637206,9.668959,9.672153,9.696797", \ - "9.533030,9.515114,9.541555,9.575508,9.538257,9.526792,9.634162", \ - "9.652636,9.671249,9.648333,9.664392,9.691346,9.641181,9.702802", \ - "10.614540,10.580040,10.534920,10.531160,10.436710,10.358810,10.229090", \ - "12.451510,12.411680,12.362460,12.328290,12.158960,12.022140,11.664440", \ - "15.219650,15.204510,15.123750,15.034760,14.762020,14.596990,14.073030"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "A1 & !A2 & !A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.371618,1.380051,1.408653,1.452265,1.498021,1.536814,1.592817", \ - "1.291679,1.309674,1.327423,1.378164,1.406104,1.468853,1.515559", \ - "1.249932,1.262477,1.281194,1.312523,1.349754,1.404124,1.462217", \ - "1.589194,1.572476,1.566726,1.548322,1.523848,1.539431,1.556387", \ - "2.641944,2.641249,2.611471,2.542329,2.450886,2.295627,2.093250", \ - "4.309846,4.253864,4.250885,4.171969,4.088130,3.844978,3.480093", \ - "6.427454,6.427538,6.386876,6.370166,6.301425,6.092940,5.668556"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("8.243564,8.269171,8.298510,8.282351,8.280344,8.328718,8.385437", \ - "8.174762,8.192225,8.223701,8.232763,8.219732,8.255710,8.251452", \ - "8.101916,8.083485,8.115550,8.140468,8.113833,8.229867,8.274803", \ - "8.230991,8.238864,8.248827,8.248205,8.280013,8.298120,8.297792", \ - "9.131669,9.166549,9.136056,9.103151,9.019859,8.928373,8.809683", \ - "10.903550,10.842390,10.837150,10.768220,10.686030,10.563590,10.253850", \ - "13.663840,13.653180,13.575930,13.463790,13.304360,13.042150,12.561220"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "A1 & !A2 & A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.330147,1.355521,1.389521,1.422766,1.452062,1.515756,1.561484", \ - "1.277428,1.271333,1.307304,1.341222,1.379452,1.435839,1.491518", \ - "1.217113,1.241595,1.261843,1.271330,1.331393,1.383117,1.433472", \ - "1.637354,1.615140,1.617919,1.585701,1.548517,1.537692,1.556236", \ - "2.745517,2.722181,2.689904,2.623631,2.526616,2.364467,2.157877", \ - "4.385940,4.404878,4.369044,4.300086,4.182232,3.963771,3.594683", \ - "6.581074,6.603343,6.550087,6.532918,6.430666,6.235106,5.813621"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("8.733046,8.712462,8.740630,8.744039,8.773476,8.829363,8.847611", \ - "8.655601,8.636557,8.667293,8.713468,8.714723,8.753933,8.778996", \ - "8.555105,8.568447,8.599220,8.610892,8.568744,8.579939,8.705748", \ - "8.705923,8.717793,8.705294,8.723695,8.733020,8.633164,8.622055", \ - "9.648107,9.640236,9.588273,9.538569,9.526099,9.449460,9.305447", \ - "11.402330,11.361640,11.359710,11.279710,11.253590,11.011440,10.815200", \ - "14.193430,14.143450,14.110280,13.978220,13.805730,13.589090,13.172980"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "A1 & A2 & !A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.330147,1.355521,1.389521,1.422766,1.452062,1.515756,1.561484", \ - "1.277428,1.271333,1.307304,1.341222,1.379452,1.435839,1.491518", \ - "1.217113,1.241595,1.261843,1.271330,1.331393,1.383117,1.433472", \ - "1.637354,1.615140,1.617919,1.585701,1.548517,1.537692,1.556236", \ - "2.745517,2.722181,2.689904,2.623631,2.526616,2.364467,2.157877", \ - "4.385940,4.404878,4.369044,4.300086,4.182232,3.963771,3.594683", \ - "6.581074,6.603343,6.550087,6.532918,6.430666,6.235106,5.813621"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("8.733046,8.712462,8.740630,8.744039,8.773476,8.829363,8.847611", \ - "8.655601,8.636557,8.667293,8.713468,8.714723,8.753933,8.778996", \ - "8.555105,8.568447,8.599220,8.610892,8.568744,8.579939,8.705748", \ - "8.705923,8.717793,8.705294,8.723695,8.733020,8.633164,8.622055", \ - "9.648107,9.640236,9.588273,9.538569,9.526099,9.449460,9.305447", \ - "11.402330,11.361640,11.359710,11.279710,11.253590,11.011440,10.815200", \ - "14.193430,14.143450,14.110280,13.978220,13.805730,13.589090,13.172980"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "A1 & A2 & A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.346149,1.372190,1.380180,1.414541,1.449148,1.497050,1.553533", \ - "1.260921,1.287294,1.295902,1.327790,1.361645,1.430088,1.475941", \ - "1.230386,1.224388,1.256368,1.287645,1.309482,1.369242,1.429782", \ - "1.659270,1.625652,1.622080,1.604231,1.564765,1.535677,1.546852", \ - "2.761060,2.739252,2.709613,2.656753,2.546309,2.384871,2.182688", \ - "4.482913,4.470553,4.418327,4.353814,4.229934,3.996748,3.633513", \ - "6.712097,6.661419,6.667351,6.590925,6.525254,6.281995,5.874459"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("9.145882,9.170324,9.152176,9.166211,9.198328,9.186566,9.157757", \ - "9.068941,9.094963,9.079170,9.126431,9.148175,9.134939,9.152613", \ - "8.998167,8.978963,9.009361,9.048379,9.055057,9.064058,9.153453", \ - "9.114697,9.136412,9.115795,9.132771,9.151710,9.150799,9.208551", \ - "10.071900,10.036100,10.041260,9.986556,9.860674,9.830413,9.734765", \ - "11.806420,11.765190,11.765130,11.703750,11.596190,11.478930,11.242210", \ - "14.590300,14.582470,14.508360,14.430850,14.221520,14.034360,13.585790"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI211_X1 - Cell Description : Combinational cell (OAI211_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI211_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 70.261598; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 18.949000; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 13.823381; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 13.823379; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 3.292391; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 59.207875; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 63.057044; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 63.057041; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 53.318253; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 20.970325; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 21.818201; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 21.818324; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 11.413859; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 156.275375; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 183.468375; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 203.720375; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 216.172375; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.616209; - fall_capacitance : 1.606782; - rise_capacitance : 1.616209; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.697237; - fall_capacitance : 1.561183; - rise_capacitance : 1.697237; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.611492; - fall_capacitance : 1.495510; - rise_capacitance : 1.611492; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.615575; - fall_capacitance : 1.615575; - rise_capacitance : 1.522581; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.558500; - function : "!(((C1 | C2) & A) & B)"; - - timing () { - - related_pin : "A"; - when : "B & !C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.014023,0.015026,0.016849,0.020431,0.027471,0.041377,0.069002", \ - "0.014565,0.015579,0.017421,0.021033,0.028114,0.042062,0.069720", \ - "0.016461,0.017472,0.019300,0.022901,0.029994,0.043981,0.071692", \ - "0.019143,0.020334,0.022451,0.026458,0.033941,0.047960,0.075592", \ - "0.022067,0.023478,0.025977,0.030628,0.039074,0.054261,0.082242", \ - "0.024709,0.026367,0.029315,0.034749,0.044485,0.061492,0.091434", \ - "0.026762,0.028683,0.032103,0.038399,0.049593,0.068853,0.101677"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.007781,0.008407,0.009546,0.011792,0.016225,0.025003,0.042471", \ - "0.008682,0.009307,0.010446,0.012695,0.017131,0.025916,0.043390", \ - "0.012419,0.013128,0.014368,0.016614,0.020905,0.029549,0.046909", \ - "0.016781,0.017724,0.019390,0.022482,0.028021,0.037410,0.054319", \ - "0.021408,0.022585,0.024659,0.028479,0.035311,0.047136,0.066716", \ - "0.026216,0.027642,0.030140,0.034738,0.042895,0.056868,0.080299", \ - "0.031214,0.032877,0.035800,0.041179,0.050717,0.066934,0.093830"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.007940,0.008766,0.010290,0.013326,0.019379,0.031458,0.055576", \ - "0.007939,0.008769,0.010289,0.013323,0.019381,0.031457,0.055574", \ - "0.008291,0.009036,0.010442,0.013339,0.019379,0.031460,0.055579", \ - "0.010755,0.011445,0.012710,0.015298,0.020483,0.031679,0.055576", \ - "0.015172,0.015839,0.017040,0.019434,0.024296,0.034448,0.056223", \ - "0.021057,0.021807,0.023117,0.025634,0.030404,0.039943,0.060081", \ - "0.028124,0.028988,0.030481,0.033318,0.038490,0.048018,0.067165"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.006352,0.006962,0.008080,0.010287,0.014584,0.022897,0.039215", \ - "0.006348,0.006961,0.008080,0.010287,0.014583,0.022902,0.039216", \ - "0.008104,0.008475,0.009237,0.010938,0.014693,0.022902,0.039216", \ - "0.013001,0.013482,0.014342,0.015972,0.018903,0.024756,0.039255", \ - "0.019152,0.019691,0.020657,0.022525,0.026026,0.032290,0.043568", \ - "0.026598,0.027224,0.028333,0.030472,0.034441,0.041623,0.054192", \ - "0.035322,0.036046,0.037340,0.039838,0.044394,0.052464,0.066637"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & !C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.011022,0.011982,0.013735,0.017212,0.024121,0.037886,0.065371", \ - "0.011495,0.012473,0.014259,0.017784,0.024749,0.038565,0.066087", \ - "0.013088,0.014159,0.016072,0.019634,0.026614,0.040478,0.068059", \ - "0.014995,0.016292,0.018555,0.022748,0.030395,0.044490,0.071976", \ - "0.016952,0.018536,0.021270,0.026252,0.035072,0.050573,0.078680", \ - "0.018538,0.020434,0.023687,0.029576,0.039860,0.057407,0.087708", \ - "0.019483,0.021701,0.025497,0.032350,0.044250,0.064245,0.097667"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.007521,0.008146,0.009283,0.011522,0.015933,0.024670,0.042054", \ - "0.008423,0.009047,0.010184,0.012424,0.016840,0.025582,0.042972", \ - "0.012087,0.012809,0.014069,0.016351,0.020617,0.029216,0.046494", \ - "0.016250,0.017213,0.018906,0.022037,0.027622,0.037063,0.053904", \ - "0.020577,0.021793,0.023921,0.027809,0.034713,0.046602,0.066247", \ - "0.024956,0.026436,0.029023,0.033736,0.042020,0.056112,0.079624", \ - "0.029336,0.031090,0.034154,0.039713,0.049461,0.065873,0.092912"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.005822,0.006644,0.008155,0.011178,0.017202,0.029232,0.053256", \ - "0.005825,0.006646,0.008157,0.011180,0.017202,0.029233,0.053265", \ - "0.006743,0.007399,0.008663,0.011377,0.017214,0.029235,0.053268", \ - "0.009623,0.010234,0.011393,0.013796,0.018826,0.029627,0.053255", \ - "0.014356,0.014966,0.016090,0.018339,0.022926,0.032756,0.054102", \ - "0.020298,0.020994,0.022254,0.024703,0.029323,0.038538,0.058307", \ - "0.027414,0.028184,0.029621,0.032398,0.037500,0.046828,0.065600"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.005081,0.005628,0.006636,0.008659,0.012714,0.020841,0.037086", \ - "0.005077,0.005627,0.006636,0.008660,0.012719,0.020842,0.037085", \ - "0.006774,0.007172,0.007839,0.009345,0.012838,0.020840,0.037081", \ - "0.010483,0.011040,0.012004,0.013795,0.016942,0.022726,0.037123", \ - "0.015279,0.015980,0.017181,0.019384,0.023274,0.029982,0.041474", \ - "0.021199,0.022083,0.023584,0.026269,0.030881,0.038704,0.051878", \ - "0.028319,0.029404,0.031219,0.034474,0.039950,0.048917,0.063850"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.009780,0.010589,0.012068,0.015000,0.020822,0.032418,0.055568", \ - "0.010333,0.011159,0.012666,0.015639,0.021509,0.033149,0.056330", \ - "0.012495,0.013458,0.015141,0.018211,0.024100,0.035780,0.059010", \ - "0.014547,0.015790,0.017960,0.021958,0.029060,0.041316,0.064456", \ - "0.016419,0.017951,0.020598,0.025428,0.033959,0.048669,0.073500", \ - "0.017816,0.019653,0.022811,0.028543,0.038566,0.055608,0.084298", \ - "0.018477,0.020629,0.024315,0.030997,0.042628,0.062169,0.094583"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.008197,0.008812,0.009935,0.012156,0.016551,0.025275,0.042648", \ - "0.009108,0.009722,0.010845,0.013070,0.017472,0.026207,0.043587", \ - "0.012895,0.013585,0.014794,0.016990,0.021253,0.029848,0.047120", \ - "0.017399,0.018324,0.019955,0.022995,0.028456,0.037747,0.054565", \ - "0.022166,0.023329,0.025371,0.029136,0.035883,0.047601,0.067038", \ - "0.027051,0.028465,0.030957,0.035519,0.043615,0.057483,0.080763", \ - "0.031998,0.033673,0.036617,0.042008,0.051545,0.067709,0.094476"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.005526,0.006210,0.007472,0.009990,0.015018,0.025052,0.045071", \ - "0.005526,0.006211,0.007475,0.009990,0.015018,0.025042,0.045061", \ - "0.006787,0.007266,0.008207,0.010303,0.015027,0.025044,0.045064", \ - "0.010219,0.010737,0.011710,0.013691,0.017632,0.025784,0.045063", \ - "0.015250,0.015784,0.016776,0.018762,0.022754,0.030705,0.046850", \ - "0.021497,0.022095,0.023214,0.025419,0.029625,0.037750,0.053705", \ - "0.028950,0.029610,0.030879,0.033389,0.038097,0.046673,0.062977"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.005375,0.005930,0.006953,0.008992,0.013063,0.021197,0.037461", \ - "0.005377,0.005931,0.006951,0.008991,0.013068,0.021205,0.037457", \ - "0.006902,0.007268,0.007984,0.009559,0.013142,0.021203,0.037464", \ - "0.010579,0.011138,0.012108,0.013908,0.017060,0.022936,0.037484", \ - "0.015281,0.015977,0.017180,0.019384,0.023301,0.030047,0.041629", \ - "0.021136,0.022000,0.023475,0.026133,0.030735,0.038615,0.051849", \ - "0.028182,0.029236,0.031017,0.034204,0.039618,0.048589,0.063610"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.014902,0.015906,0.017729,0.021311,0.028350,0.042257,0.069882", \ - "0.015282,0.016297,0.018139,0.021753,0.028834,0.042782,0.070440", \ - "0.015963,0.016974,0.018805,0.022409,0.029506,0.043500,0.071217", \ - "0.016634,0.017711,0.019651,0.023403,0.030675,0.044694,0.072299", \ - "0.017315,0.018571,0.020789,0.024930,0.032553,0.046902,0.074663", \ - "0.017029,0.018513,0.021144,0.025992,0.034652,0.049939,0.078195", \ - "0.015500,0.017221,0.020273,0.025882,0.035852,0.052967,0.082674"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.008571,0.009228,0.010416,0.012735,0.017256,0.026124,0.043666", \ - "0.009459,0.010115,0.011302,0.013620,0.018139,0.027008,0.044551", \ - "0.013519,0.014195,0.015379,0.017557,0.021924,0.030651,0.048071", \ - "0.018823,0.019701,0.021260,0.024188,0.029490,0.038583,0.055523", \ - "0.024939,0.025992,0.027864,0.031368,0.037758,0.049072,0.068141", \ - "0.031997,0.033234,0.035443,0.039547,0.046957,0.060014,0.082553", \ - "0.040051,0.041480,0.044026,0.048755,0.057251,0.072056,0.097432"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.007943,0.008770,0.010287,0.013325,0.019380,0.031455,0.055573", \ - "0.007939,0.008768,0.010287,0.013323,0.019381,0.031459,0.055573", \ - "0.008179,0.008959,0.010407,0.013334,0.019377,0.031461,0.055579", \ - "0.009743,0.010442,0.011780,0.014547,0.020196,0.031709,0.055572", \ - "0.013662,0.014275,0.015405,0.017718,0.022633,0.033453,0.056223", \ - "0.019280,0.019928,0.021088,0.023361,0.027862,0.037333,0.058700", \ - "0.026026,0.026762,0.028054,0.030549,0.035239,0.044267,0.063516"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.007504,0.008090,0.009171,0.011318,0.015538,0.023795,0.040107", \ - "0.007477,0.008071,0.009160,0.011315,0.015541,0.023803,0.040103", \ - "0.008670,0.009097,0.009929,0.011712,0.015562,0.023802,0.040106", \ - "0.013448,0.013934,0.014788,0.016405,0.019292,0.025375,0.040117", \ - "0.019188,0.019743,0.020735,0.022643,0.026223,0.032565,0.044087", \ - "0.026126,0.026772,0.027899,0.030070,0.034130,0.041509,0.054320", \ - "0.034299,0.035051,0.036338,0.038841,0.043431,0.051657,0.066244"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.011902,0.012860,0.014614,0.018091,0.025000,0.038765,0.066250", \ - "0.012210,0.013189,0.014975,0.018501,0.025468,0.039285,0.066807", \ - "0.012688,0.013715,0.015579,0.019136,0.026121,0.039991,0.067579", \ - "0.013004,0.014145,0.016152,0.019947,0.027203,0.041219,0.068680", \ - "0.012786,0.014194,0.016631,0.021061,0.028936,0.043345,0.071088", \ - "0.011564,0.013240,0.016147,0.021406,0.030557,0.046239,0.074573", \ - "0.009087,0.011037,0.014418,0.020524,0.031119,0.048883,0.078974"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.008276,0.008936,0.010126,0.012442,0.016946,0.025775,0.043239", \ - "0.009166,0.009824,0.011012,0.013327,0.017831,0.026659,0.044126", \ - "0.013184,0.013874,0.015079,0.017276,0.021619,0.030304,0.047648", \ - "0.018298,0.019195,0.020780,0.023746,0.029093,0.038241,0.055096", \ - "0.024157,0.025241,0.027158,0.030722,0.037175,0.048545,0.067676", \ - "0.030841,0.032129,0.034409,0.038619,0.046133,0.059280,0.081887", \ - "0.038372,0.039878,0.042530,0.047416,0.056087,0.071056,0.096542"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.005819,0.006643,0.008156,0.011177,0.017208,0.029225,0.053259", \ - "0.005823,0.006643,0.008157,0.011178,0.017203,0.029232,0.053260", \ - "0.006386,0.007118,0.008488,0.011325,0.017210,0.029229,0.053267", \ - "0.008476,0.009085,0.010258,0.012793,0.018286,0.029596,0.053256", \ - "0.012947,0.013494,0.014505,0.016602,0.021135,0.031531,0.054047", \ - "0.018729,0.019323,0.020399,0.022546,0.026798,0.035830,0.056678", \ - "0.025547,0.026202,0.027411,0.029804,0.034338,0.043096,0.061813"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.006007,0.006553,0.007560,0.009574,0.013612,0.021712,0.037955", \ - "0.005976,0.006534,0.007551,0.009572,0.013613,0.021717,0.037964", \ - "0.007269,0.007637,0.008373,0.009997,0.013640,0.021716,0.037960", \ - "0.010991,0.011537,0.012496,0.014262,0.017372,0.023320,0.037979", \ - "0.015565,0.016249,0.017429,0.019615,0.023535,0.030290,0.041975", \ - "0.021211,0.022058,0.023494,0.026114,0.030699,0.038647,0.052031", \ - "0.027978,0.029014,0.030739,0.033874,0.039233,0.048213,0.063498"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.010679,0.011488,0.012966,0.015898,0.021720,0.033317,0.056466", \ - "0.011043,0.011869,0.013376,0.016350,0.022222,0.033862,0.057044", \ - "0.011793,0.012683,0.014284,0.017354,0.023250,0.034937,0.058175", \ - "0.012373,0.013448,0.015322,0.018794,0.025194,0.037240,0.060388", \ - "0.012108,0.013465,0.015814,0.020070,0.027506,0.040490,0.064414", \ - "0.010733,0.012355,0.015173,0.020280,0.029142,0.044015,0.069461", \ - "0.008022,0.009914,0.013198,0.019146,0.029478,0.046692,0.074918"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.009161,0.009792,0.010940,0.013199,0.017644,0.026421,0.043847", \ - "0.010062,0.010691,0.011838,0.014096,0.018540,0.027318,0.044747", \ - "0.014115,0.014766,0.015910,0.018047,0.022356,0.030989,0.048294", \ - "0.019566,0.020418,0.021940,0.024806,0.030010,0.038980,0.055800", \ - "0.025840,0.026868,0.028709,0.032151,0.038445,0.049628,0.068526", \ - "0.033028,0.034258,0.036451,0.040506,0.047839,0.060775,0.083132", \ - "0.041135,0.042570,0.045134,0.049861,0.058327,0.073050,0.098266"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.005526,0.006210,0.007474,0.009990,0.015015,0.025052,0.045067", \ - "0.005526,0.006211,0.007473,0.009990,0.015013,0.025047,0.045067", \ - "0.006222,0.006825,0.007921,0.010221,0.015030,0.025052,0.045073", \ - "0.008870,0.009343,0.010257,0.012216,0.016520,0.025629,0.045064", \ - "0.013693,0.014134,0.014957,0.016656,0.020261,0.028369,0.046338", \ - "0.019716,0.020206,0.021108,0.022930,0.026508,0.033798,0.050148", \ - "0.026736,0.027288,0.028313,0.030393,0.034361,0.041791,0.056828"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.006220,0.006777,0.007799,0.009841,0.013919,0.022060,0.038331", \ - "0.006217,0.006776,0.007799,0.009840,0.013918,0.022061,0.038338", \ - "0.007313,0.007721,0.008510,0.010200,0.013935,0.022063,0.038343", \ - "0.010992,0.011548,0.012528,0.014315,0.017446,0.023529,0.038342", \ - "0.015380,0.016073,0.017276,0.019498,0.023487,0.030317,0.042143", \ - "0.020817,0.021660,0.023100,0.025732,0.030389,0.038467,0.051974", \ - "0.027359,0.028375,0.030086,0.033191,0.038577,0.047694,0.063180"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.00811483,0.00907474,0.0108311,0.0143145,0.0212289,0.0349970,0.0624821", \ - "0.00873170,0.00969642,0.0114651,0.0149727,0.0219210,0.0357227,0.0632343", \ - "0.0111851,0.0122725,0.0141639,0.0175864,0.0244039,0.0381262,0.0655939", \ - "0.0131859,0.0146102,0.0170886,0.0216244,0.0296637,0.0434567,0.0705319", \ - "0.0141111,0.0159052,0.0190142,0.0246239,0.0344195,0.0511944,0.0792058", \ - "0.0136912,0.0158685,0.0196244,0.0263888,0.0380768,0.0577294,0.0905333", \ - "0.0118093,0.0143508,0.0187494,0.0266601,0.0403174,0.0630631,0.100399"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0100898,0.0112750,0.0134327,0.0176893,0.0261004,0.0428016,0.0761132", \ - "0.0107543,0.0119326,0.0140877,0.0183573,0.0268097,0.0435662,0.0769194", \ - "0.0142480,0.0154788,0.0175256,0.0215993,0.0298453,0.0464426,0.0797047", \ - "0.0189861,0.0205287,0.0232247,0.0282102,0.0370673,0.0531085,0.0858235", \ - "0.0248025,0.0266762,0.0299066,0.0358022,0.0463279,0.0646103,0.0966746", \ - "0.0313934,0.0336603,0.0375260,0.0444993,0.0566677,0.0776282,0.113217", \ - "0.0386898,0.0413477,0.0458788,0.0540446,0.0681171,0.0917822,0.131782"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.00579757,0.00662829,0.00815264,0.0111785,0.0172022,0.0292366,0.0532699", \ - "0.00575751,0.00660567,0.00813870,0.0111776,0.0172033,0.0292247,0.0532585", \ - "0.00705119,0.00775074,0.00888910,0.0114133,0.0171625,0.0292308,0.0532529", \ - "0.0107777,0.0114716,0.0127138,0.0151823,0.0199722,0.0298203,0.0532605", \ - "0.0163127,0.0171418,0.0185639,0.0211966,0.0261067,0.0356189,0.0548133", \ - "0.0233172,0.0243246,0.0260452,0.0291533,0.0345768,0.0443607,0.0630072", \ - "0.0317943,0.0330009,0.0350593,0.0387506,0.0450288,0.0556128,0.0748308"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.00737943,0.00842753,0.0103510,0.0142039,0.0218856,0.0372418,0.0679682", \ - "0.00737918,0.00842308,0.0103557,0.0141970,0.0218846,0.0372536,0.0679880", \ - "0.00853557,0.00930632,0.0108427,0.0142481,0.0218864,0.0372479,0.0679925", \ - "0.0120163,0.0129370,0.0146095,0.0178004,0.0236210,0.0373249,0.0679674", \ - "0.0169121,0.0178831,0.0196242,0.0230288,0.0295516,0.0414033,0.0682587", \ - "0.0233898,0.0244589,0.0263824,0.0300179,0.0368474,0.0497878,0.0736063", \ - "0.0313019,0.0325222,0.0346795,0.0387374,0.0460813,0.0595804,0.0846738"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0107805,0.0117712,0.0135761,0.0171333,0.0241471,0.0380319,0.0656407", \ - "0.0112648,0.0122586,0.0140680,0.0176313,0.0246532,0.0385441,0.0661576", \ - "0.0140070,0.0150020,0.0167348,0.0201996,0.0271268,0.0409266,0.0684722", \ - "0.0173835,0.0186688,0.0209329,0.0251606,0.0327608,0.0463157,0.0734650", \ - "0.0202169,0.0217693,0.0245141,0.0295919,0.0387270,0.0547703,0.0822445", \ - "0.0224436,0.0242793,0.0275211,0.0334823,0.0441156,0.0626017,0.0943272", \ - "0.0240097,0.0261235,0.0298670,0.0367360,0.0489180,0.0698782,0.105505"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0124185,0.0135875,0.0157203,0.0199485,0.0283299,0.0450120,0.0783055", \ - "0.0132666,0.0144540,0.0166261,0.0209135,0.0293720,0.0461280,0.0794809", \ - "0.0158620,0.0170666,0.0192103,0.0234504,0.0318992,0.0486955,0.0821145", \ - "0.0194519,0.0208939,0.0234474,0.0282599,0.0371831,0.0537905,0.0870209", \ - "0.0241097,0.0258375,0.0288334,0.0343316,0.0442901,0.0623481,0.0954693", \ - "0.0292843,0.0313748,0.0349637,0.0414405,0.0527802,0.0725796,0.107966", \ - "0.0346771,0.0371620,0.0414069,0.0490275,0.0621522,0.0842671,0.122377"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.00794552,0.00877197,0.0102891,0.0133268,0.0193800,0.0314584,0.0555799", \ - "0.00794917,0.00877219,0.0102924,0.0133248,0.0193811,0.0314559,0.0555728", \ - "0.00865205,0.00933382,0.0106248,0.0133801,0.0193765,0.0314592,0.0555757", \ - "0.0121957,0.0129128,0.0142064,0.0167399,0.0215277,0.0318222,0.0555670", \ - "0.0174163,0.0182454,0.0196843,0.0223957,0.0275095,0.0371378,0.0568272", \ - "0.0240651,0.0250720,0.0267663,0.0298700,0.0354412,0.0455904,0.0644897", \ - "0.0320517,0.0332697,0.0352982,0.0389627,0.0452766,0.0561799,0.0760165"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.00738671,0.00843096,0.0103509,0.0141973,0.0218928,0.0372559,0.0679722", \ - "0.00738311,0.00842553,0.0103494,0.0142016,0.0218936,0.0372430,0.0679761", \ - "0.00801063,0.00889883,0.0105995,0.0142380,0.0218918,0.0372472,0.0679652", \ - "0.0107382,0.0116124,0.0132425,0.0165179,0.0229919,0.0373305,0.0679753", \ - "0.0149644,0.0157971,0.0173514,0.0204975,0.0269781,0.0399478,0.0682521", \ - "0.0204354,0.0213648,0.0230300,0.0262699,0.0326089,0.0455345,0.0716854", \ - "0.0269146,0.0279523,0.0298300,0.0334200,0.0401035,0.0528717,0.0788771"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("1.345913,1.356055,1.367281,1.396772,1.419345,1.436773,1.461398", \ - "1.259800,1.271199,1.295786,1.330883,1.369721,1.413820,1.447457", \ - "1.194619,1.201266,1.232028,1.251037,1.303287,1.353134,1.404653", \ - "1.679101,1.645834,1.595825,1.551434,1.505115,1.481936,1.470906", \ - "3.150287,3.077356,2.938818,2.696333,2.376791,2.111106,1.895628", \ - "5.474599,5.370855,5.214466,4.895421,4.310368,3.551529,2.895947", \ - "8.471999,8.362867,8.236497,7.935745,7.284182,6.114564,4.743896"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("6.159206,6.144225,6.172004,6.206800,6.198337,6.287485,6.159136", \ - "6.107739,6.104656,6.105597,6.167997,6.185444,6.158692,6.264429", \ - "6.397016,6.358409,6.371229,6.313156,6.342548,6.250406,6.336487", \ - "7.330837,7.354610,7.357904,7.277218,7.092133,6.861270,6.521532", \ - "9.228982,9.202621,9.102829,8.940447,8.678381,8.351270,7.687889", \ - "12.120490,12.069130,12.004360,11.796050,11.371730,10.656840,9.757788", \ - "15.925480,15.869520,15.786560,15.653390,15.236630,14.311530,12.877990"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.631680,0.636975,0.649473,0.663336,0.675319,0.680506,0.683787", \ - "0.522157,0.538229,0.558823,0.588732,0.622977,0.645016,0.659382", \ - "0.466874,0.470177,0.485697,0.510268,0.540961,0.586878,0.618149", \ - "1.132296,1.070307,0.977002,0.870711,0.788402,0.724291,0.697682", \ - "2.773646,2.690411,2.506973,2.192736,1.771146,1.398079,1.132472", \ - "5.182280,5.061371,4.895808,4.518937,3.844620,2.942463,2.178380", \ - "8.232854,8.103732,7.955678,7.588731,6.914663,5.624198,4.090218"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("5.246727,5.266981,5.259880,5.310409,5.319016,5.405447,5.398709", \ - "5.198209,5.238046,5.206472,5.273524,5.266644,5.380742,5.359052", \ - "5.492702,5.495559,5.492714,5.472976,5.444506,5.336472,5.423728", \ - "6.380222,6.334450,6.315127,6.389618,6.238746,5.991069,5.880921", \ - "8.236639,8.204884,8.154549,8.031923,7.769548,7.432868,6.989692", \ - "10.984190,11.021590,10.960340,10.844780,10.495440,9.831515,8.961361", \ - "14.647160,14.644880,14.656610,14.559840,14.299650,13.529210,11.963230"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.636178,0.634801,0.652471,0.668105,0.669786,0.685115,0.682530", \ - "0.507795,0.529442,0.561643,0.591128,0.622002,0.649361,0.667744", \ - "0.485903,0.475932,0.498962,0.521436,0.545685,0.593349,0.627481", \ - "1.176636,1.114481,1.017200,0.901316,0.816166,0.747333,0.716031", \ - "2.870649,2.767216,2.590116,2.274316,1.846817,1.456064,1.175511", \ - "5.310302,5.187361,4.999226,4.616276,3.966910,3.063792,2.258997", \ - "8.356229,8.302291,8.113323,7.750639,7.069349,5.815126,4.255532"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("5.713202,5.708576,5.738026,5.751040,5.771184,5.771115,5.846890", \ - "5.630429,5.646504,5.708223,5.747056,5.716909,5.753554,5.861258", \ - "5.968741,5.920864,5.946976,5.920215,5.902195,5.843339,5.915966", \ - "6.855642,6.815092,6.852132,6.835468,6.756664,6.504963,6.391993", \ - "8.733708,8.742563,8.705040,8.550088,8.287939,7.942951,7.555092", \ - "11.606110,11.559850,11.500440,11.391120,11.094360,10.516230,9.562750", \ - "15.300350,15.368020,15.357030,15.269130,14.839940,14.058320,13.006890"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("1.344074,1.351542,1.373912,1.388749,1.414572,1.435267,1.462010", \ - "1.255148,1.277126,1.300742,1.333737,1.373873,1.404708,1.443915", \ - "1.173970,1.182917,1.204968,1.236358,1.285212,1.345278,1.397665", \ - "1.627777,1.605246,1.539916,1.495352,1.463165,1.444253,1.453837", \ - "3.226428,3.161259,3.025678,2.774257,2.425054,2.112006,1.890784", \ - "5.728429,5.650144,5.475107,5.221544,4.644493,3.787506,3.018942", \ - "9.033020,8.909726,8.827210,8.541526,7.931861,6.808113,5.193550"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("6.938821,6.914126,6.897712,6.994257,7.037108,7.110630,6.917467", \ - "6.865444,6.839892,6.926962,6.888570,7.012827,7.061904,6.873126", \ - "7.165110,7.177113,7.180923,7.139154,7.167162,7.104561,6.979569", \ - "8.316052,8.292371,8.280132,8.156890,7.931817,7.703562,7.611389", \ - "10.349980,10.325430,10.228080,10.095090,9.745462,9.317910,8.655004", \ - "13.578810,13.521110,13.451420,13.203120,12.649570,11.944000,11.037590", \ - "17.927250,17.861530,17.767070,17.480440,17.066400,15.926010,14.555900"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.633577,0.644207,0.650860,0.662504,0.670405,0.680014,0.680202", \ - "0.519917,0.523272,0.547405,0.588095,0.621333,0.646437,0.665392", \ - "0.423671,0.442623,0.446970,0.482307,0.527098,0.572698,0.610888", \ - "1.087155,1.026219,0.935202,0.804512,0.735769,0.689321,0.676239", \ - "2.832049,2.745090,2.579658,2.282354,1.829802,1.406915,1.131518", \ - "5.413472,5.321136,5.150374,4.825332,4.181247,3.204927,2.306003", \ - "8.819881,8.712174,8.488991,8.187168,7.579873,6.332111,4.568110"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("5.992409,5.995748,6.044824,6.093343,6.100837,6.206493,6.291795", \ - "5.931443,5.934625,5.993525,6.046295,6.109634,6.131190,5.982624", \ - "6.222974,6.234486,6.264520,6.267705,6.207710,6.287314,6.144267", \ - "7.269291,7.285145,7.286658,7.262844,7.118234,6.867421,6.486507", \ - "9.374256,9.295184,9.281947,9.079665,8.903878,8.492388,7.687929", \ - "12.505130,12.456780,12.438660,12.242530,11.817300,11.189910,10.161160", \ - "16.728680,16.697010,16.659440,16.535980,16.121770,15.031680,13.755340"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.637624,0.633898,0.654149,0.666184,0.677982,0.685128,0.686997", \ - "0.508819,0.529202,0.547976,0.592522,0.619687,0.650439,0.670156", \ - "0.439831,0.450146,0.459709,0.488845,0.533406,0.579897,0.616905", \ - "1.128032,1.058833,0.978480,0.843798,0.762612,0.711693,0.689674", \ - "2.900820,2.783901,2.652819,2.358193,1.919730,1.457758,1.173066", \ - "5.458662,5.377114,5.193324,4.897748,4.288994,3.331626,2.378739", \ - "8.763403,8.653296,8.544185,8.204600,7.664110,6.478034,4.735753"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("6.451904,6.470406,6.484980,6.503699,6.535194,6.583001,6.507671", \ - "6.431386,6.434329,6.482406,6.473737,6.573473,6.573357,6.697246", \ - "6.744989,6.757692,6.751210,6.735399,6.731907,6.687047,6.720170", \ - "7.813784,7.811625,7.768289,7.794023,7.651201,7.387694,7.164386", \ - "9.904224,9.826615,9.825273,9.616540,9.451126,9.101149,8.351349", \ - "13.088530,13.128110,13.068900,12.825910,12.395810,11.872720,10.679780", \ - "17.419960,17.453000,17.409200,17.252900,16.743370,15.921170,14.502270"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.717838,0.723857,0.742254,0.750738,0.769289,0.777368,0.775374", \ - "0.699694,0.710311,0.728157,0.753766,0.780359,0.800689,0.813724", \ - "0.833185,0.821481,0.820656,0.823032,0.819846,0.832016,0.836978", \ - "1.666255,1.585145,1.472171,1.316353,1.194813,1.087339,0.998125", \ - "3.190224,3.108096,2.962527,2.673127,2.236135,1.833742,1.513946", \ - "5.222187,5.164161,5.054310,4.788855,4.205870,3.353067,2.586853", \ - "7.767322,7.713691,7.643913,7.500634,6.974645,5.876347,4.440314"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("3.592660,3.625258,3.647517,3.679785,3.694740,3.709937,3.726097", \ - "3.582408,3.605322,3.635699,3.649013,3.699803,3.705825,3.518416", \ - "3.822710,3.826453,3.824164,3.765692,3.780730,3.783796,3.645229", \ - "4.619002,4.634639,4.599056,4.483274,4.290933,4.102068,4.017395", \ - "6.055728,6.032373,5.925590,5.817417,5.594552,5.230797,4.780836", \ - "8.414343,8.336416,8.219802,7.958586,7.534423,6.938632,6.282333", \ - "11.466930,11.442930,11.315000,11.080280,10.479960,9.633920,8.511434"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("1.298222,1.301048,1.311889,1.320276,1.337131,1.360096,1.371267", \ - "1.215306,1.238449,1.233779,1.262983,1.278868,1.302734,1.319158", \ - "1.334612,1.330191,1.329228,1.318598,1.315256,1.312422,1.324783", \ - "2.050021,1.993111,1.908138,1.825502,1.716311,1.593264,1.501657", \ - "3.588706,3.516899,3.372442,3.140989,2.766811,2.407055,2.061998", \ - "5.790322,5.716957,5.581895,5.313093,4.777205,3.993373,3.229282", \ - "8.565210,8.557595,8.469755,8.218681,7.675035,6.628237,5.227647"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("4.676654,4.678752,4.695021,4.737244,4.747303,4.651849,4.779522", \ - "4.621423,4.625814,4.623262,4.658443,4.740334,4.766878,4.727048", \ - "4.596165,4.569938,4.597444,4.632527,4.680570,4.723460,4.776943", \ - "5.018687,5.072401,5.013854,4.982879,4.926557,4.801188,4.722472", \ - "6.112229,6.087781,6.028969,5.962845,5.843929,5.577563,5.187053", \ - "8.069065,8.020447,7.899776,7.653734,7.373828,6.971503,6.422675", \ - "10.746930,10.709900,10.564530,10.366620,9.807592,9.078571,8.155606"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI211_X2 - Cell Description : Combinational cell (OAI211_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI211_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 140.522774; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 37.897875; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 27.646758; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 27.646756; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 6.584790; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 118.415250; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 126.113462; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 126.113583; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 106.635877; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 41.940650; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 43.636035; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 43.636155; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 22.827687; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 312.548125; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 366.936750; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 407.440750; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 432.343875; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.332753; - fall_capacitance : 3.307386; - rise_capacitance : 3.332753; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.274450; - fall_capacitance : 3.002362; - rise_capacitance : 3.274450; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.013004; - fall_capacitance : 2.794916; - rise_capacitance : 3.013004; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.351495; - fall_capacitance : 3.351495; - rise_capacitance : 3.161668; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 50.811800; - function : "!(((C1 | C2) & A) & B)"; - - timing () { - - related_pin : "A"; - when : "B & !C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.013846,0.015264,0.017075,0.020636,0.027640,0.041480,0.068971", \ - "0.014384,0.015816,0.017645,0.021236,0.028280,0.042160,0.069684", \ - "0.016278,0.017704,0.019517,0.023097,0.030154,0.044074,0.071650", \ - "0.018956,0.020635,0.022725,0.026684,0.034114,0.048058,0.075553", \ - "0.021872,0.023870,0.026328,0.030921,0.039279,0.054368,0.082209", \ - "0.024494,0.026854,0.029747,0.035113,0.044749,0.061621,0.091400", \ - "0.026515,0.029261,0.032622,0.038832,0.049912,0.069013,0.101643"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.007645,0.008526,0.009656,0.011887,0.016291,0.025022,0.042400", \ - "0.008548,0.009428,0.010558,0.012791,0.017201,0.025937,0.043320", \ - "0.012257,0.013261,0.014485,0.016707,0.020973,0.029571,0.046840", \ - "0.016557,0.017893,0.019536,0.022599,0.028095,0.037429,0.054250", \ - "0.021123,0.022796,0.024835,0.028617,0.035394,0.047149,0.066639", \ - "0.025869,0.027891,0.030350,0.034898,0.042989,0.056877,0.080194", \ - "0.030803,0.033165,0.036040,0.041362,0.050822,0.066937,0.093700"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.007859,0.009025,0.010540,0.013557,0.019584,0.031611,0.055619", \ - "0.007858,0.009023,0.010540,0.013560,0.019585,0.031610,0.055618", \ - "0.008224,0.009278,0.010678,0.013570,0.019585,0.031612,0.055621", \ - "0.010677,0.011643,0.012913,0.015498,0.020665,0.031832,0.055622", \ - "0.015077,0.016009,0.017205,0.019597,0.024448,0.034576,0.056274", \ - "0.020917,0.021965,0.023272,0.025774,0.030535,0.040040,0.060132", \ - "0.027946,0.029141,0.030636,0.033461,0.038616,0.048095,0.067188"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.006219,0.007080,0.008194,0.010392,0.014671,0.022953,0.039196", \ - "0.006215,0.007079,0.008193,0.010392,0.014668,0.022953,0.039197", \ - "0.008040,0.008562,0.009332,0.011032,0.014778,0.022953,0.039197", \ - "0.012921,0.013595,0.014445,0.016062,0.018975,0.024807,0.039235", \ - "0.019084,0.019829,0.020791,0.022643,0.026116,0.032344,0.043565", \ - "0.026541,0.027395,0.028504,0.030621,0.034552,0.041687,0.054195", \ - "0.035285,0.036264,0.037550,0.040027,0.044539,0.052548,0.066630"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & !C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.010881,0.012236,0.013982,0.017448,0.024336,0.038062,0.065470", \ - "0.011346,0.012729,0.014506,0.018017,0.024960,0.038736,0.066180", \ - "0.012907,0.014419,0.016310,0.019849,0.026809,0.040631,0.068134", \ - "0.014811,0.016631,0.018860,0.023000,0.030590,0.044629,0.072034", \ - "0.016764,0.018981,0.021664,0.026575,0.035305,0.050707,0.078714", \ - "0.018326,0.020980,0.024171,0.029975,0.040148,0.057562,0.087716", \ - "0.019247,0.022347,0.026068,0.032827,0.044599,0.064437,0.097666"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.007389,0.008269,0.009397,0.011619,0.016003,0.024691,0.041983", \ - "0.008293,0.009172,0.010299,0.012523,0.016912,0.025605,0.042902", \ - "0.011924,0.012948,0.014191,0.016445,0.020687,0.029240,0.046424", \ - "0.016024,0.017389,0.019058,0.022158,0.027698,0.037082,0.053833", \ - "0.020291,0.022016,0.024103,0.027950,0.034797,0.046615,0.066167", \ - "0.024602,0.026704,0.029244,0.033899,0.042115,0.056111,0.079511", \ - "0.028916,0.031403,0.034402,0.039900,0.049560,0.065863,0.092768"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.005742,0.006904,0.008416,0.011431,0.017442,0.029439,0.053420", \ - "0.005745,0.006907,0.008417,0.011429,0.017447,0.029445,0.053426", \ - "0.006686,0.007618,0.008891,0.011615,0.017452,0.029441,0.053424", \ - "0.009542,0.010413,0.011570,0.013980,0.019019,0.029828,0.053423", \ - "0.014234,0.015109,0.016238,0.018480,0.023080,0.032922,0.054259", \ - "0.020132,0.021120,0.022396,0.024830,0.029450,0.038654,0.058432", \ - "0.027206,0.028310,0.029761,0.032532,0.037601,0.046917,0.065678"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.004984,0.005753,0.006757,0.008770,0.012808,0.020889,0.037051", \ - "0.004981,0.005752,0.006759,0.008771,0.012810,0.020892,0.037060", \ - "0.006717,0.007273,0.007939,0.009444,0.012928,0.020895,0.037059", \ - "0.010410,0.011186,0.012138,0.013905,0.017024,0.022784,0.037099", \ - "0.015196,0.016173,0.017358,0.019524,0.023381,0.030040,0.041473", \ - "0.021111,0.022333,0.023811,0.026457,0.031016,0.038776,0.051880", \ - "0.028224,0.029702,0.031501,0.034710,0.040118,0.049008,0.063844"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.009648,0.010790,0.012262,0.015181,0.020979,0.032530,0.055588", \ - "0.010199,0.011365,0.012863,0.015822,0.021667,0.033260,0.056349", \ - "0.012339,0.013694,0.015355,0.018392,0.024255,0.035889,0.059026", \ - "0.014381,0.016126,0.018263,0.022208,0.029239,0.041420,0.064467", \ - "0.016244,0.018388,0.020990,0.025752,0.034193,0.048793,0.073500", \ - "0.017620,0.020189,0.023290,0.028941,0.038857,0.055765,0.084291", \ - "0.018256,0.021263,0.024881,0.031468,0.042975,0.062362,0.094583"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.008056,0.008923,0.010039,0.012247,0.016617,0.025294,0.042575", \ - "0.008968,0.009834,0.010950,0.013161,0.017539,0.026226,0.043517", \ - "0.012732,0.013709,0.014903,0.017078,0.021318,0.029867,0.047045", \ - "0.017176,0.018483,0.020095,0.023106,0.028527,0.037762,0.054494", \ - "0.021880,0.023526,0.025536,0.029265,0.035962,0.047608,0.066957", \ - "0.026695,0.028712,0.031153,0.035668,0.043698,0.057483,0.080652", \ - "0.031579,0.033959,0.036841,0.042182,0.051640,0.067702,0.094334"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.005440,0.006412,0.007669,0.010179,0.015186,0.025195,0.045159", \ - "0.005443,0.006411,0.007670,0.010180,0.015190,0.025186,0.045151", \ - "0.006724,0.007412,0.008363,0.010471,0.015195,0.025184,0.045153", \ - "0.010133,0.010873,0.011843,0.013821,0.017755,0.025929,0.045160", \ - "0.015124,0.015890,0.016887,0.018875,0.022855,0.030802,0.046929", \ - "0.021322,0.022183,0.023319,0.025521,0.029722,0.037811,0.053758", \ - "0.028728,0.029683,0.030970,0.033485,0.038167,0.046726,0.062987"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.005281,0.006063,0.007076,0.009104,0.013158,0.021256,0.037427", \ - "0.005281,0.006063,0.007077,0.009106,0.013156,0.021257,0.037430", \ - "0.006850,0.007376,0.008088,0.009662,0.013233,0.021257,0.037437", \ - "0.010505,0.011285,0.012242,0.014020,0.017141,0.022988,0.037456", \ - "0.015201,0.016164,0.017352,0.019523,0.023403,0.030102,0.041627", \ - "0.021046,0.022242,0.023688,0.026310,0.030869,0.038677,0.051848", \ - "0.028087,0.029527,0.031290,0.034429,0.039786,0.048666,0.063609"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.014768,0.016186,0.017996,0.021558,0.028562,0.042401,0.069894", \ - "0.015146,0.016580,0.018410,0.022001,0.029046,0.042927,0.070452", \ - "0.015821,0.017250,0.019066,0.022650,0.029712,0.043638,0.071219", \ - "0.016504,0.018024,0.019941,0.023663,0.030892,0.044836,0.072306", \ - "0.017187,0.018960,0.021145,0.025236,0.032792,0.047059,0.074679", \ - "0.016892,0.019002,0.021584,0.026366,0.034934,0.050114,0.078222", \ - "0.015346,0.017795,0.020791,0.026327,0.036187,0.053168,0.082711"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.008493,0.009419,0.010598,0.012899,0.017395,0.026221,0.043687", \ - "0.009380,0.010305,0.011481,0.013783,0.018277,0.027104,0.044569", \ - "0.013426,0.014381,0.015552,0.017713,0.022059,0.030745,0.048093", \ - "0.018687,0.019927,0.021470,0.024369,0.029631,0.038672,0.055539", \ - "0.024768,0.026263,0.028112,0.031575,0.037915,0.049167,0.068153", \ - "0.031788,0.033553,0.035728,0.039782,0.047132,0.060115,0.082552", \ - "0.039804,0.041843,0.044349,0.049016,0.057445,0.072162,0.097420"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.007857,0.009024,0.010540,0.013557,0.019583,0.031607,0.055621", \ - "0.007860,0.009025,0.010539,0.013559,0.019585,0.031609,0.055618", \ - "0.008108,0.009206,0.010653,0.013570,0.019584,0.031612,0.055623", \ - "0.009672,0.010671,0.012001,0.014762,0.020389,0.031858,0.055622", \ - "0.013601,0.014467,0.015590,0.017899,0.022807,0.033597,0.056274", \ - "0.019203,0.020101,0.021256,0.023521,0.028005,0.037458,0.058751", \ - "0.025919,0.026933,0.028224,0.030704,0.035373,0.044380,0.063568"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.007390,0.008220,0.009296,0.011437,0.015647,0.023878,0.040116", \ - "0.007360,0.008204,0.009288,0.011435,0.015645,0.023874,0.040118", \ - "0.008605,0.009203,0.010042,0.011820,0.015668,0.023879,0.040117", \ - "0.013379,0.014055,0.014904,0.016506,0.019372,0.025445,0.040132", \ - "0.019127,0.019894,0.020877,0.022776,0.026323,0.032634,0.044110", \ - "0.026078,0.026951,0.028073,0.030223,0.034253,0.041585,0.054341", \ - "0.034263,0.035264,0.036560,0.039037,0.043584,0.051760,0.066269"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.011801,0.013157,0.014903,0.018369,0.025258,0.038984,0.066392", \ - "0.012107,0.013491,0.015269,0.018782,0.025726,0.039503,0.066947", \ - "0.012568,0.014019,0.015870,0.019403,0.026367,0.040197,0.067705", \ - "0.012884,0.014490,0.016469,0.020232,0.027451,0.041415,0.068795", \ - "0.012660,0.014640,0.017034,0.021398,0.029203,0.043545,0.071194", \ - "0.011426,0.013791,0.016642,0.021821,0.030873,0.046447,0.074670", \ - "0.008931,0.011686,0.014995,0.021014,0.031494,0.049121,0.079065"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.008203,0.009132,0.010311,0.012609,0.017087,0.025874,0.043262", \ - "0.009091,0.010018,0.011195,0.013492,0.017970,0.026756,0.044141", \ - "0.013093,0.014065,0.015256,0.017433,0.021755,0.030398,0.047667", \ - "0.018162,0.019427,0.020994,0.023929,0.029235,0.038329,0.055113", \ - "0.023984,0.025521,0.027411,0.030928,0.037329,0.048636,0.067682", \ - "0.030626,0.032457,0.034703,0.038848,0.046300,0.059372,0.081875", \ - "0.038116,0.040255,0.042868,0.047685,0.056275,0.071144,0.096512"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.005741,0.006905,0.008416,0.011431,0.017440,0.029442,0.053423", \ - "0.005743,0.006909,0.008416,0.011431,0.017447,0.029442,0.053418", \ - "0.006315,0.007352,0.008731,0.011570,0.017447,0.029445,0.053425", \ - "0.008424,0.009283,0.010467,0.013010,0.018513,0.029805,0.053420", \ - "0.012885,0.013658,0.014674,0.016772,0.021325,0.031721,0.054204", \ - "0.018635,0.019468,0.020548,0.022690,0.026961,0.035987,0.056829", \ - "0.025424,0.026340,0.027561,0.029946,0.034492,0.043231,0.061945"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.005926,0.006695,0.007696,0.009702,0.013721,0.021790,0.037973", \ - "0.005892,0.006678,0.007688,0.009699,0.013720,0.021791,0.037967", \ - "0.007232,0.007757,0.008492,0.010112,0.013748,0.021792,0.037975", \ - "0.010931,0.011700,0.012642,0.014386,0.017462,0.023392,0.037990", \ - "0.015508,0.016454,0.017611,0.019767,0.023650,0.030363,0.041994", \ - "0.021154,0.022311,0.023731,0.026304,0.030850,0.038730,0.052056", \ - "0.027921,0.029320,0.031031,0.034115,0.039405,0.048336,0.063525"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.010587,0.011730,0.013201,0.016121,0.021920,0.033470,0.056528", \ - "0.010949,0.012117,0.013616,0.016575,0.022422,0.034016,0.057106", \ - "0.011693,0.012947,0.014535,0.017579,0.023448,0.035089,0.058234", \ - "0.012267,0.013780,0.015626,0.019055,0.025409,0.037395,0.060446", \ - "0.011992,0.013901,0.016209,0.020402,0.027758,0.040656,0.064472", \ - "0.010602,0.012892,0.015660,0.020690,0.029451,0.044206,0.069520", \ - "0.007871,0.010543,0.013764,0.019627,0.029844,0.046919,0.074979"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.009077,0.009968,0.011108,0.013354,0.017777,0.026515,0.043863", \ - "0.009974,0.010863,0.012003,0.014249,0.018671,0.027410,0.044759", \ - "0.014020,0.014939,0.016067,0.018194,0.022483,0.031078,0.048304", \ - "0.019422,0.020633,0.022137,0.024975,0.030144,0.039063,0.055811", \ - "0.025661,0.027127,0.028939,0.032343,0.038593,0.049715,0.068529", \ - "0.032807,0.034567,0.036713,0.040724,0.048003,0.060862,0.083120", \ - "0.040874,0.042928,0.045439,0.050106,0.058504,0.073140,0.098234"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.005441,0.006412,0.007669,0.010178,0.015187,0.025188,0.045152", \ - "0.005443,0.006412,0.007669,0.010178,0.015190,0.025196,0.045157", \ - "0.006147,0.006996,0.008097,0.010399,0.015206,0.025194,0.045159", \ - "0.008813,0.009485,0.010403,0.012368,0.016676,0.025761,0.045155", \ - "0.013629,0.014244,0.015073,0.016775,0.020384,0.028489,0.046427", \ - "0.019615,0.020302,0.021212,0.023036,0.026619,0.033891,0.050224", \ - "0.026617,0.027375,0.028419,0.030497,0.034451,0.041879,0.056888"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.006141,0.006923,0.007942,0.009973,0.014030,0.022130,0.038346", \ - "0.006140,0.006924,0.007942,0.009972,0.014027,0.022128,0.038342", \ - "0.007272,0.007847,0.008635,0.010322,0.014044,0.022138,0.038346", \ - "0.010935,0.011714,0.012669,0.014441,0.017538,0.023601,0.038353", \ - "0.015318,0.016277,0.017454,0.019655,0.023607,0.030389,0.042165", \ - "0.020753,0.021906,0.023325,0.025922,0.030532,0.038552,0.051991", \ - "0.027293,0.028677,0.030369,0.033438,0.038760,0.047801,0.063203"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.00812828,0.00948632,0.0112364,0.0147075,0.0216011,0.0353305,0.0627370", \ - "0.00874156,0.0101077,0.0118703,0.0153652,0.0222918,0.0360540,0.0634854", \ - "0.0111789,0.0127122,0.0145743,0.0179668,0.0247729,0.0384581,0.0658469", \ - "0.0131616,0.0151716,0.0176093,0.0220900,0.0300628,0.0437894,0.0707918", \ - "0.0140753,0.0166095,0.0196609,0.0251885,0.0348996,0.0515738,0.0794763", \ - "0.0136509,0.0167291,0.0204098,0.0270702,0.0386493,0.0581722,0.0908390", \ - "0.0117769,0.0153754,0.0196740,0.0274701,0.0409908,0.0635861,0.100752"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.00999845,0.0116720,0.0138157,0.0180470,0.0264103,0.0430228,0.0761537", \ - "0.0106624,0.0123232,0.0144662,0.0187132,0.0271161,0.0437843,0.0769614", \ - "0.0141396,0.0158723,0.0178787,0.0219354,0.0301425,0.0466563,0.0797424", \ - "0.0188423,0.0210119,0.0236639,0.0285893,0.0373584,0.0533097,0.0858565", \ - "0.0246114,0.0272475,0.0304167,0.0362349,0.0466591,0.0648086,0.0967005", \ - "0.0311349,0.0343173,0.0381135,0.0449872,0.0570276,0.0778356,0.113225", \ - "0.0383533,0.0420764,0.0465336,0.0545840,0.0685076,0.0919914,0.131763"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.00572145,0.00689795,0.00841031,0.0114295,0.0174387,0.0294466,0.0534253", \ - "0.00568635,0.00687763,0.00840524,0.0114261,0.0174403,0.0294454,0.0534242", \ - "0.00699711,0.00794427,0.00909742,0.0116378,0.0174121,0.0294404,0.0534196", \ - "0.0107084,0.0116837,0.0129286,0.0153803,0.0201513,0.0300080,0.0534279", \ - "0.0162285,0.0173783,0.0187855,0.0213910,0.0262904,0.0357817,0.0549551", \ - "0.0232104,0.0246095,0.0263074,0.0293684,0.0347456,0.0445144,0.0631313", \ - "0.0316935,0.0333363,0.0353506,0.0389911,0.0452276,0.0557628,0.0749425"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.00727849,0.00874935,0.0106658,0.0144966,0.0221493,0.0374329,0.0680062", \ - "0.00727087,0.00875083,0.0106645,0.0144945,0.0221459,0.0374383,0.0680125", \ - "0.00846494,0.00956261,0.0111119,0.0145290,0.0221432,0.0374435,0.0680219", \ - "0.0119350,0.0132272,0.0148876,0.0180464,0.0238389,0.0375076,0.0680098", \ - "0.0168445,0.0181909,0.0199210,0.0232932,0.0297717,0.0415589,0.0683021", \ - "0.0233015,0.0248045,0.0267076,0.0303058,0.0370909,0.0499474,0.0736628", \ - "0.0312130,0.0329070,0.0350520,0.0390647,0.0463390,0.0597607,0.0847239"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0107226,0.0121221,0.0139158,0.0174537,0.0244331,0.0382515,0.0657265", \ - "0.0112054,0.0126094,0.0144075,0.0179511,0.0249375,0.0387615,0.0662420", \ - "0.0139388,0.0153414,0.0170552,0.0205120,0.0274066,0.0411428,0.0685560", \ - "0.0172815,0.0190952,0.0213342,0.0255096,0.0330458,0.0465266,0.0735495", \ - "0.0200862,0.0222884,0.0249937,0.0300107,0.0390631,0.0549978,0.0823318", \ - "0.0222924,0.0248969,0.0280895,0.0339736,0.0444981,0.0628520,0.0944144", \ - "0.0238278,0.0268433,0.0305226,0.0372955,0.0493661,0.0701567,0.105597"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0122946,0.0139455,0.0160669,0.0202674,0.0286036,0.0451961,0.0783145", \ - "0.0131388,0.0148187,0.0169755,0.0212361,0.0296466,0.0463128,0.0794872", \ - "0.0157198,0.0174169,0.0195445,0.0237641,0.0321676,0.0488745,0.0821160", \ - "0.0192757,0.0213085,0.0238265,0.0285935,0.0374483,0.0539608,0.0870184", \ - "0.0238918,0.0263233,0.0292685,0.0347013,0.0445747,0.0625182,0.0954629", \ - "0.0290143,0.0319556,0.0354775,0.0418641,0.0530909,0.0727538,0.107946", \ - "0.0343511,0.0378402,0.0420078,0.0495166,0.0624975,0.0844461,0.122343"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.00786338,0.00902671,0.0105399,0.0135625,0.0195826,0.0316108,0.0556197", \ - "0.00786999,0.00903423,0.0105442,0.0135586,0.0195823,0.0316089,0.0556185", \ - "0.00859702,0.00955305,0.0108505,0.0136088,0.0195857,0.0316087,0.0556173", \ - "0.0121245,0.0131394,0.0144209,0.0169347,0.0216930,0.0319684,0.0556233", \ - "0.0173316,0.0184872,0.0199036,0.0225930,0.0276679,0.0372555,0.0568695", \ - "0.0239561,0.0253362,0.0270068,0.0300799,0.0356070,0.0457072,0.0645339", \ - "0.0319213,0.0335858,0.0355821,0.0391915,0.0454597,0.0562892,0.0760460"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.00727709,0.00874901,0.0106678,0.0144935,0.0221435,0.0374439,0.0680195", \ - "0.00728137,0.00875294,0.0106729,0.0144966,0.0221460,0.0374416,0.0680113", \ - "0.00792827,0.00917921,0.0108918,0.0145244,0.0221501,0.0374352,0.0680055", \ - "0.0106564,0.0118880,0.0135108,0.0167748,0.0232181,0.0375113,0.0680213", \ - "0.0148864,0.0160621,0.0176068,0.0207520,0.0272079,0.0401144,0.0682906", \ - "0.0203529,0.0216438,0.0233006,0.0265194,0.0328278,0.0456997,0.0717242", \ - "0.0268234,0.0282731,0.0301293,0.0336917,0.0403280,0.0530402,0.0789155"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("2.924511,2.952081,2.976252,3.022481,3.061329,3.116154,3.146633", \ - "2.740813,2.760411,2.830078,2.894278,2.973453,3.052095,3.119377", \ - "2.638763,2.665247,2.702812,2.759058,2.837688,2.946020,3.039302", \ - "3.612658,3.517211,3.425333,3.343101,3.257478,3.204650,3.178626", \ - "6.572144,6.363515,6.090107,5.631002,4.990030,4.458556,4.030230", \ - "11.159410,10.984870,10.649690,9.998922,8.833713,7.330651,6.050101", \ - "17.165190,16.965720,16.714440,16.114740,14.766830,12.452100,9.734712"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("12.352910,12.393730,12.314020,12.445460,12.470630,12.554190,12.406530", \ - "12.218840,12.262030,12.279330,12.319820,12.447270,12.495750,12.547390", \ - "12.841510,12.715970,12.775830,12.684790,12.677590,12.688910,12.674540", \ - "14.698170,14.662590,14.713900,14.521900,14.172800,13.765120,13.390300", \ - "18.572940,18.352030,18.193890,17.967420,17.417580,16.687780,15.406000", \ - "24.356940,24.199530,24.001110,23.529890,22.876150,21.645000,19.910750", \ - "31.861270,31.817020,31.671670,31.331490,30.529340,28.593780,25.790980"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.471346,1.521939,1.532397,1.546288,1.579947,1.583432,1.594218", \ - "1.267583,1.294938,1.337599,1.414216,1.468559,1.520422,1.560253", \ - "1.183950,1.197817,1.221454,1.252041,1.321448,1.400226,1.476101", \ - "2.544325,2.360832,2.182278,1.968532,1.818845,1.690528,1.629947", \ - "5.872202,5.565668,5.226804,4.600115,3.778106,3.046174,2.513844", \ - "10.655030,10.346500,9.952757,9.220315,7.906609,6.117691,4.613182", \ - "16.779510,16.505910,16.143960,15.452870,14.023270,11.480410,8.423290"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("10.570880,10.623710,10.574620,10.693960,10.630700,10.722700,10.743450", \ - "10.474020,10.531240,10.489180,10.555780,10.623380,10.683070,10.607730", \ - "11.085320,11.053060,11.034320,10.944630,10.867600,10.930490,10.987130", \ - "12.803990,12.833500,12.764500,12.782850,12.511950,12.161590,11.791270", \ - "16.486180,16.350610,16.314900,16.143550,15.635340,14.970910,13.536040", \ - "22.087300,22.010910,21.852510,21.715110,20.895360,19.633330,17.892780", \ - "29.322980,29.403120,29.309900,29.236250,28.531450,27.018300,23.958390"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.466768,1.510107,1.524726,1.562089,1.583513,1.590914,1.599320", \ - "1.242329,1.315713,1.352622,1.416410,1.471885,1.530651,1.560649", \ - "1.206280,1.210034,1.221611,1.275325,1.333978,1.417040,1.486118", \ - "2.622947,2.443004,2.260473,2.034825,1.861742,1.732571,1.663663", \ - "5.987682,5.695304,5.368135,4.761958,3.929706,3.148579,2.582913", \ - "10.805920,10.603320,10.227440,9.462936,8.151556,6.357384,4.770401", \ - "17.025480,16.704590,16.451980,15.752890,14.358460,11.854940,8.753107"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("11.482680,11.474470,11.503780,11.589530,11.581050,11.677470,11.729610", \ - "11.354880,11.392050,11.429640,11.527130,11.611230,11.674390,11.759560", \ - "11.913970,11.884190,11.905230,11.943960,11.867630,11.667160,11.474230", \ - "13.744420,13.754180,13.769510,13.819350,13.437720,12.987210,12.737660", \ - "17.602280,17.519600,17.378480,17.107460,16.719960,15.975460,14.691570", \ - "23.306930,23.232310,23.154260,22.827380,22.256840,20.998930,19.178840", \ - "30.742560,30.773410,30.732760,30.582060,29.977450,28.477480,25.803820"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("2.922082,2.917248,2.953710,3.004391,3.066605,3.116513,3.156371", \ - "2.748391,2.761343,2.827134,2.887015,2.973489,3.056777,3.119845", \ - "2.538685,2.588924,2.648564,2.710625,2.793290,2.915908,3.023819", \ - "3.521811,3.419859,3.319342,3.224025,3.161450,3.140182,3.148940", \ - "6.747171,6.543938,6.257466,5.785552,5.093119,4.472742,4.031481", \ - "11.647240,11.530850,11.211660,10.641480,9.525573,7.811856,6.289234", \ - "18.373130,18.078300,17.827290,17.242210,16.146720,13.843210,10.635940"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("13.877430,13.901170,13.946920,14.008150,14.044650,14.166240,14.036130", \ - "13.804810,13.860030,13.838190,13.877630,14.074880,14.034750,13.770900", \ - "14.316190,14.314500,14.416730,14.297860,14.395230,14.161000,14.228430", \ - "16.551790,16.638700,16.595250,16.342470,16.034750,15.451500,14.986440", \ - "20.805930,20.583120,20.429420,20.110510,19.723700,18.620280,17.307900", \ - "27.328540,27.137480,26.822930,26.484200,25.428930,23.897610,22.235490", \ - "35.952610,35.832960,35.613920,35.039430,34.003140,32.168460,29.195130"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.463613,1.502265,1.534002,1.547715,1.582395,1.587596,1.594387", \ - "1.244583,1.294221,1.347650,1.399340,1.472323,1.518347,1.556508", \ - "1.073601,1.108372,1.143658,1.191240,1.278511,1.379668,1.466014", \ - "2.431375,2.265872,2.081102,1.846185,1.711032,1.618908,1.586454", \ - "5.962103,5.681431,5.365319,4.779591,3.895616,3.058790,2.503089", \ - "11.006830,10.774650,10.486690,9.872289,8.610868,6.639080,4.861201", \ - "17.927280,17.637370,17.228180,16.628090,15.382790,12.889060,9.383081"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("11.949050,12.114730,12.131460,12.226050,12.335600,12.366340,12.102670", \ - "11.907000,11.976930,12.045230,12.158520,12.199940,12.145540,12.329060", \ - "12.556290,12.545580,12.549830,12.574100,12.569850,12.508790,12.620520", \ - "14.614240,14.560490,14.539320,14.596950,14.275820,13.765610,13.090490", \ - "18.811290,18.714750,18.590070,18.308630,17.735780,16.986030,15.904010", \ - "25.154230,25.003790,24.886770,24.478540,23.645700,22.192180,20.311420", \ - "33.442350,33.475150,33.322970,33.027730,32.142400,30.302250,27.490320"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.463413,1.510213,1.528309,1.559568,1.572390,1.596550,1.600040", \ - "1.252590,1.290292,1.356318,1.416861,1.474705,1.524849,1.560781", \ - "1.095989,1.136925,1.158486,1.205181,1.295711,1.387382,1.467939", \ - "2.502693,2.349753,2.178245,1.921425,1.763790,1.662111,1.610306", \ - "6.083742,5.808745,5.498798,4.943826,4.067259,3.156926,2.578087", \ - "11.221070,10.931480,10.639480,9.996849,8.829183,6.899109,5.010496", \ - "17.777550,17.580120,17.170020,16.693130,15.559480,13.220870,9.719526"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("12.952580,13.052800,13.042450,13.123400,13.268870,13.107420,13.338820", \ - "12.849300,12.944300,12.927020,13.078090,13.181580,13.045310,12.882160", \ - "13.463100,13.503450,13.495530,13.462270,13.493940,13.443000,13.165830", \ - "15.632510,15.645660,15.669410,15.543230,15.173820,14.903010,14.176990", \ - "19.894620,19.838100,19.710950,19.412570,18.907320,17.953280,16.721140", \ - "26.380130,26.214840,26.043550,25.719420,24.939350,23.767680,21.769210", \ - "34.933750,34.879030,34.837540,34.427510,33.587800,32.007720,29.047500"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.665450,1.688166,1.712810,1.735181,1.755329,1.777778,1.781725", \ - "1.628458,1.659681,1.695619,1.741365,1.791341,1.823569,1.849540", \ - "1.892723,1.880617,1.869693,1.858158,1.876187,1.882490,1.896176", \ - "3.559264,3.344680,3.130617,2.846146,2.605607,2.389068,2.223544", \ - "6.591512,6.379751,6.085815,5.507040,4.663598,3.879735,3.242613", \ - "10.577490,10.519610,10.251740,9.739138,8.578247,6.885398,5.389494", \ - "15.759130,15.689600,15.541300,15.116930,14.118750,11.906680,9.070127"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("7.378502,7.447672,7.471595,7.497107,7.536081,7.457717,7.215798", \ - "7.324013,7.384259,7.432456,7.442570,7.524303,7.634447,7.405763", \ - "7.809693,7.813623,7.793354,7.734729,7.652829,7.577265,7.285095", \ - "9.419414,9.448700,9.293529,9.089935,8.775897,8.376415,8.145949", \ - "12.317600,12.177900,11.991370,11.774960,11.197400,10.422330,9.668788", \ - "16.901930,16.763990,16.536690,16.023280,15.202950,14.039830,12.637180", \ - "23.107260,22.961390,22.711180,22.257720,21.178900,19.292970,17.151980"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("2.815002,2.837768,2.846125,2.864693,2.907491,2.944318,2.976942", \ - "2.652288,2.700280,2.722008,2.754668,2.782992,2.833858,2.867742", \ - "2.869165,2.892340,2.855489,2.853184,2.861578,2.869700,2.875331", \ - "4.317448,4.179404,4.019630,3.860912,3.646356,3.425301,3.222621", \ - "7.404106,7.198537,6.935725,6.461187,5.727634,5.016900,4.343681", \ - "11.804970,11.606790,11.327290,10.791540,9.748106,8.183917,6.665470", \ - "17.371220,17.286750,17.110260,16.594100,15.509530,13.429980,10.661300"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("9.486524,9.534613,9.568899,9.602034,9.530477,9.522177,9.502347", \ - "9.335132,9.440350,9.428582,9.501084,9.620679,9.618693,9.712902", \ - "9.316115,9.279239,9.321103,9.424587,9.443251,9.488703,9.651754", \ - "10.236030,10.317590,10.214410,10.052850,9.983479,9.683153,9.563677", \ - "12.441520,12.318480,12.196540,12.048120,11.675170,11.139460,10.813760", \ - "16.330850,16.213000,15.929000,15.459660,14.777580,13.878480,12.929980", \ - "21.683910,21.546190,21.248690,20.831210,19.711580,18.118970,16.594440"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI211_X4 - Cell Description : Combinational cell (OAI211_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI211_X4) { - - drive_strength : 4; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 281.045762; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 75.795500; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 55.293362; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 55.293396; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 13.169484; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 236.829750; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 252.227016; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 252.227178; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 213.272106; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 83.881037; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 87.285736; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 87.285899; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 45.655478; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 625.088750; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 733.871250; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 814.875000; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 864.681250; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.366109; - fall_capacitance : 6.321076; - rise_capacitance : 6.366109; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.670095; - fall_capacitance : 6.144726; - rise_capacitance : 6.670095; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.239156; - fall_capacitance : 5.819879; - rise_capacitance : 6.239156; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.678677; - fall_capacitance : 6.678677; - rise_capacitance : 6.294971; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 101.624000; - function : "!(((C1 | C2) & A) & B)"; - - timing () { - - related_pin : "A"; - when : "B & !C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.013834,0.015477,0.017299,0.020882,0.027929,0.041851,0.069510", \ - "0.014370,0.016031,0.017872,0.021485,0.028571,0.042533,0.070223", \ - "0.016234,0.017886,0.019713,0.023319,0.030423,0.044426,0.072166", \ - "0.018853,0.020796,0.022888,0.026853,0.034320,0.048346,0.076007", \ - "0.021710,0.024019,0.026474,0.031068,0.039431,0.054570,0.082562", \ - "0.024257,0.026986,0.029872,0.035232,0.044864,0.061760,0.091633", \ - "0.026196,0.029373,0.032719,0.038919,0.049992,0.069099,0.101782"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.007709,0.008738,0.009883,0.012142,0.016597,0.025426,0.042987", \ - "0.008606,0.009633,0.010779,0.013040,0.017501,0.026336,0.043901", \ - "0.012306,0.013472,0.014702,0.016936,0.021257,0.029956,0.047411", \ - "0.016606,0.018151,0.019798,0.022874,0.028398,0.037787,0.054795", \ - "0.021190,0.023117,0.025155,0.028943,0.035744,0.047556,0.067161", \ - "0.025974,0.028299,0.030752,0.035300,0.043402,0.057336,0.080770", \ - "0.030974,0.033679,0.036540,0.041859,0.051322,0.067468,0.094334"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.007863,0.009212,0.010732,0.013777,0.019846,0.031967,0.056172", \ - "0.007860,0.009211,0.010731,0.013772,0.019846,0.031966,0.056173", \ - "0.008232,0.009452,0.010865,0.013785,0.019843,0.031968,0.056174", \ - "0.010674,0.011791,0.013063,0.015669,0.020899,0.032181,0.056174", \ - "0.015091,0.016157,0.017356,0.019747,0.024627,0.034869,0.056814", \ - "0.020968,0.022154,0.023456,0.025951,0.030727,0.040286,0.060583", \ - "0.028032,0.029378,0.030872,0.033681,0.038833,0.048336,0.067572"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.006278,0.007282,0.008411,0.010641,0.014974,0.023368,0.039856", \ - "0.006273,0.007281,0.008412,0.010640,0.014974,0.023368,0.039855", \ - "0.008094,0.008712,0.009508,0.011251,0.015067,0.023369,0.039855", \ - "0.012963,0.013748,0.014607,0.016241,0.019191,0.025167,0.039884", \ - "0.019123,0.019986,0.020955,0.022822,0.026330,0.032640,0.044121", \ - "0.026588,0.027565,0.028683,0.030816,0.034774,0.041979,0.054658", \ - "0.035319,0.036457,0.037764,0.040244,0.044786,0.052845,0.067083"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & !C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.010859,0.012430,0.014188,0.017676,0.024608,0.038419,0.065998", \ - "0.011322,0.012926,0.014715,0.018249,0.025235,0.039095,0.066709", \ - "0.012847,0.014597,0.016494,0.020055,0.027062,0.040969,0.068641", \ - "0.014697,0.016801,0.019029,0.023172,0.030787,0.044903,0.072479", \ - "0.016582,0.019142,0.021821,0.026727,0.035462,0.050902,0.079055", \ - "0.018069,0.021128,0.024310,0.030105,0.040274,0.057704,0.087943", \ - "0.018904,0.022475,0.026182,0.032926,0.044688,0.064530,0.097807"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.007444,0.008471,0.009613,0.011861,0.016293,0.025071,0.042534", \ - "0.008341,0.009367,0.010509,0.012760,0.017197,0.025980,0.043448", \ - "0.011962,0.013150,0.014399,0.016663,0.020956,0.029602,0.046960", \ - "0.016057,0.017635,0.019309,0.022419,0.027984,0.037419,0.054342", \ - "0.020334,0.022319,0.024406,0.028257,0.035125,0.046994,0.066653", \ - "0.024664,0.027088,0.029621,0.034274,0.042499,0.056539,0.080045", \ - "0.029024,0.031879,0.034867,0.040354,0.050016,0.066351,0.093352"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.005718,0.007060,0.008580,0.011618,0.017679,0.029781,0.053971", \ - "0.005720,0.007062,0.008581,0.011618,0.017678,0.029785,0.053962", \ - "0.006666,0.007745,0.009039,0.011793,0.017683,0.029782,0.053964", \ - "0.009525,0.010524,0.011689,0.014124,0.019217,0.030150,0.053970", \ - "0.014249,0.015248,0.016373,0.018615,0.023246,0.033192,0.054777", \ - "0.020178,0.021301,0.022573,0.025003,0.029617,0.038882,0.058867", \ - "0.027280,0.028537,0.029989,0.032745,0.037813,0.047148,0.066041"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.004991,0.005888,0.006906,0.008949,0.013048,0.021259,0.037673", \ - "0.004984,0.005886,0.006906,0.008950,0.013049,0.021257,0.037677", \ - "0.006719,0.007357,0.008047,0.009591,0.013154,0.021259,0.037675", \ - "0.010401,0.011298,0.012259,0.014040,0.017199,0.023092,0.037706", \ - "0.015184,0.016307,0.017499,0.019674,0.023560,0.030302,0.041985", \ - "0.021097,0.022502,0.023983,0.026635,0.031210,0.039036,0.052308", \ - "0.028205,0.029905,0.031710,0.034919,0.040346,0.049283,0.064263"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.009628,0.010950,0.012430,0.015364,0.021191,0.032795,0.055960", \ - "0.010176,0.011527,0.013034,0.016007,0.021880,0.033526,0.056720", \ - "0.012280,0.013846,0.015509,0.018556,0.024451,0.036138,0.059380", \ - "0.014268,0.016287,0.018421,0.022365,0.029400,0.041617,0.064776", \ - "0.016067,0.018543,0.021139,0.025896,0.034339,0.048950,0.073722", \ - "0.017368,0.020332,0.023422,0.029064,0.038975,0.055893,0.084448", \ - "0.017919,0.021388,0.024990,0.031563,0.043058,0.062446,0.094695"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.008120,0.009131,0.010261,0.012494,0.016912,0.025677,0.043128", \ - "0.009026,0.010036,0.011166,0.013403,0.017829,0.026603,0.044067", \ - "0.012780,0.013912,0.015113,0.017302,0.021592,0.030232,0.047586", \ - "0.017218,0.018730,0.020346,0.023369,0.028815,0.038098,0.055007", \ - "0.021937,0.023831,0.025839,0.029573,0.036289,0.047989,0.067443", \ - "0.026774,0.029090,0.031528,0.036044,0.044082,0.057907,0.081181", \ - "0.031699,0.034422,0.037305,0.042639,0.052099,0.068185,0.094916"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.005430,0.006552,0.007816,0.010346,0.015395,0.025476,0.045614", \ - "0.005432,0.006552,0.007818,0.010346,0.015396,0.025481,0.045617", \ - "0.006718,0.007519,0.008486,0.010625,0.015402,0.025486,0.045613", \ - "0.010129,0.010975,0.011956,0.013940,0.017912,0.026184,0.045614", \ - "0.015161,0.016030,0.017022,0.019010,0.022995,0.031008,0.047342", \ - "0.021396,0.022371,0.023501,0.025691,0.029878,0.038005,0.054075", \ - "0.028848,0.029920,0.031208,0.033710,0.038386,0.046934,0.063266"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.005296,0.006205,0.007233,0.009290,0.013404,0.021627,0.038052", \ - "0.005294,0.006205,0.007234,0.009291,0.013404,0.021628,0.038052", \ - "0.006860,0.007475,0.008209,0.009820,0.013470,0.021632,0.038052", \ - "0.010503,0.011403,0.012371,0.014165,0.017324,0.023308,0.038074", \ - "0.015196,0.016312,0.017499,0.019680,0.023594,0.030371,0.042145", \ - "0.021041,0.022414,0.023867,0.026493,0.031071,0.038944,0.052294", \ - "0.028084,0.029742,0.031498,0.034646,0.040013,0.048949,0.064041"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.014739,0.016381,0.018203,0.021786,0.028833,0.042755,0.070415", \ - "0.015115,0.016776,0.018617,0.022231,0.029319,0.043281,0.070971", \ - "0.015771,0.017428,0.019258,0.022868,0.029976,0.043985,0.071730", \ - "0.016407,0.018166,0.020090,0.023836,0.031109,0.045135,0.072773", \ - "0.017023,0.019073,0.021261,0.025357,0.032944,0.047286,0.075065", \ - "0.016647,0.019087,0.021667,0.026448,0.035021,0.050260,0.078508", \ - "0.015013,0.017845,0.020832,0.026362,0.036219,0.053234,0.082892"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.008530,0.009611,0.010804,0.013134,0.017681,0.026603,0.044252", \ - "0.009413,0.010491,0.011684,0.014013,0.018559,0.027482,0.045130", \ - "0.013451,0.014561,0.015739,0.017922,0.022323,0.031108,0.048639", \ - "0.018708,0.020146,0.021693,0.024608,0.029901,0.039001,0.056059", \ - "0.024804,0.026535,0.028377,0.031851,0.038221,0.049535,0.068640", \ - "0.031856,0.033898,0.036059,0.040114,0.047484,0.060521,0.083081", \ - "0.039923,0.042279,0.044766,0.049431,0.057867,0.072621,0.097991"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.007862,0.009209,0.010732,0.013773,0.019847,0.031968,0.056174", \ - "0.007863,0.009209,0.010734,0.013777,0.019845,0.031964,0.056172", \ - "0.008113,0.009385,0.010841,0.013784,0.019843,0.031967,0.056177", \ - "0.009675,0.010829,0.012175,0.014963,0.020636,0.032211,0.056173", \ - "0.013634,0.014621,0.015753,0.018080,0.023030,0.033930,0.056818", \ - "0.019262,0.020290,0.021444,0.023719,0.028225,0.037765,0.059266", \ - "0.026024,0.027173,0.028461,0.030941,0.035617,0.044684,0.064052"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.007484,0.008449,0.009539,0.011704,0.015962,0.024302,0.040780", \ - "0.007451,0.008431,0.009530,0.011702,0.015964,0.024302,0.040778", \ - "0.008684,0.009391,0.010249,0.012064,0.015976,0.024305,0.040775", \ - "0.013445,0.014227,0.015081,0.016704,0.019609,0.025822,0.040787", \ - "0.019195,0.020076,0.021068,0.022976,0.026558,0.032945,0.044676", \ - "0.026160,0.027153,0.028280,0.030449,0.034494,0.041898,0.054821", \ - "0.034363,0.035492,0.036801,0.039291,0.043863,0.052086,0.066744"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.011762,0.013333,0.015091,0.018580,0.025512,0.039324,0.066903", \ - "0.012064,0.013669,0.015459,0.018995,0.025982,0.039843,0.067457", \ - "0.012505,0.014187,0.016050,0.019606,0.026616,0.040530,0.068207", \ - "0.012773,0.014631,0.016616,0.020395,0.027655,0.041702,0.069254", \ - "0.012475,0.014764,0.017155,0.021524,0.029351,0.043761,0.071570", \ - "0.011158,0.013888,0.016733,0.021910,0.030970,0.046589,0.074949", \ - "0.008578,0.011747,0.015052,0.021061,0.031538,0.049190,0.079241"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.008226,0.009311,0.010504,0.012829,0.017356,0.026231,0.043784", \ - "0.009110,0.010192,0.011384,0.013708,0.018235,0.027110,0.044665", \ - "0.013103,0.014235,0.015432,0.017628,0.022002,0.030738,0.048173", \ - "0.018164,0.019630,0.021203,0.024153,0.029485,0.038633,0.055599", \ - "0.023991,0.025773,0.027655,0.031184,0.037609,0.048974,0.068133", \ - "0.030652,0.032775,0.035007,0.039152,0.046622,0.059741,0.082361", \ - "0.038177,0.040649,0.043250,0.048052,0.056653,0.071559,0.097032"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.005717,0.007061,0.008581,0.011618,0.017678,0.029781,0.053971", \ - "0.005719,0.007061,0.008582,0.011617,0.017678,0.029782,0.053965", \ - "0.006295,0.007495,0.008888,0.011749,0.017686,0.029786,0.053970", \ - "0.008408,0.009407,0.010599,0.013177,0.018736,0.030141,0.053969", \ - "0.012902,0.013785,0.014808,0.016916,0.021517,0.032028,0.054734", \ - "0.018701,0.019638,0.020717,0.022865,0.027148,0.036269,0.057330", \ - "0.025531,0.026561,0.027780,0.030170,0.034716,0.043521,0.062410"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.005951,0.006848,0.007864,0.009896,0.013975,0.022167,0.038591", \ - "0.005914,0.006828,0.007854,0.009894,0.013976,0.022168,0.038588", \ - "0.007254,0.007865,0.008627,0.010282,0.013993,0.022169,0.038591", \ - "0.010939,0.011828,0.012773,0.014535,0.017652,0.023717,0.038604", \ - "0.015522,0.016605,0.017772,0.019939,0.023849,0.030637,0.042523", \ - "0.021179,0.022501,0.023925,0.026506,0.031063,0.039014,0.052497", \ - "0.027960,0.029550,0.031274,0.034356,0.039662,0.048631,0.063965"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.010549,0.011872,0.013352,0.016287,0.022114,0.033718,0.056883", \ - "0.010909,0.012261,0.013768,0.016743,0.022617,0.034264,0.057458", \ - "0.011630,0.013082,0.014677,0.017735,0.023634,0.035328,0.058577", \ - "0.012153,0.013902,0.015749,0.019183,0.025559,0.037595,0.060752", \ - "0.011805,0.014010,0.016314,0.020506,0.027869,0.040799,0.064710", \ - "0.010333,0.012976,0.015736,0.020760,0.029522,0.044295,0.069678", \ - "0.007516,0.010593,0.013804,0.019656,0.029864,0.046949,0.075056"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.009116,0.010154,0.011309,0.013581,0.018052,0.026878,0.044394", \ - "0.010009,0.011045,0.012199,0.014471,0.018942,0.027769,0.045285", \ - "0.014043,0.015110,0.016240,0.018395,0.022736,0.031423,0.048820", \ - "0.019437,0.020838,0.022348,0.025200,0.030396,0.039372,0.056299", \ - "0.025682,0.027376,0.029185,0.032601,0.038876,0.050054,0.068978", \ - "0.032850,0.034878,0.037018,0.041032,0.048323,0.061230,0.083600", \ - "0.040956,0.043320,0.045817,0.050477,0.058885,0.073552,0.098751"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.005431,0.006552,0.007816,0.010346,0.015394,0.025483,0.045616", \ - "0.005434,0.006551,0.007818,0.010345,0.015396,0.025490,0.045616", \ - "0.006144,0.007122,0.008234,0.010559,0.015411,0.025488,0.045613", \ - "0.008819,0.009592,0.010516,0.012510,0.016863,0.026042,0.045613", \ - "0.013668,0.014371,0.015198,0.016905,0.020544,0.028738,0.046870", \ - "0.019702,0.020475,0.021386,0.023205,0.026785,0.034120,0.050622", \ - "0.026745,0.027607,0.028650,0.030723,0.034674,0.042118,0.057253"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.006174,0.007087,0.008118,0.010177,0.014293,0.022516,0.038973", \ - "0.006173,0.007086,0.008118,0.010177,0.014291,0.022518,0.038973", \ - "0.007300,0.007974,0.008781,0.010505,0.014300,0.022522,0.038969", \ - "0.010953,0.011851,0.012818,0.014602,0.017729,0.023935,0.038975", \ - "0.015339,0.016442,0.017624,0.019833,0.023817,0.030675,0.042701", \ - "0.020780,0.022102,0.023527,0.026132,0.030761,0.038845,0.052451", \ - "0.027335,0.028910,0.030605,0.033685,0.039016,0.048108,0.063658"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.00804402,0.00962203,0.0113870,0.0148843,0.0218251,0.0356430,0.0632236", \ - "0.00865984,0.0102459,0.0120233,0.0155444,0.0225184,0.0363696,0.0639755", \ - "0.0111006,0.0128753,0.0147399,0.0181485,0.0250057,0.0387819,0.0663465", \ - "0.0130799,0.0154070,0.0178479,0.0223389,0.0303351,0.0441250,0.0713067", \ - "0.0140062,0.0169382,0.0199831,0.0255219,0.0352543,0.0519889,0.0800111", \ - "0.0136067,0.0171624,0.0208351,0.0274986,0.0390981,0.0586828,0.0914770", \ - "0.0117778,0.0159238,0.0202196,0.0280202,0.0415685,0.0642143,0.101520"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.00986863,0.0118035,0.0139552,0.0181994,0.0265847,0.0432378,0.0764447", \ - "0.0105377,0.0124571,0.0146081,0.0188686,0.0272953,0.0440016,0.0772545", \ - "0.0140029,0.0160002,0.0180090,0.0220827,0.0303160,0.0468721,0.0800348", \ - "0.0186554,0.0211563,0.0238102,0.0287386,0.0375158,0.0535089,0.0861406", \ - "0.0243579,0.0273917,0.0305594,0.0363804,0.0468146,0.0649878,0.0969567", \ - "0.0307991,0.0344538,0.0382471,0.0451203,0.0571671,0.0780005,0.113447", \ - "0.0379109,0.0421871,0.0466438,0.0546907,0.0686197,0.0921283,0.131962"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.00569638,0.00705177,0.00857666,0.0116178,0.0176820,0.0297854,0.0539676", \ - "0.00565704,0.00703230,0.00856961,0.0116164,0.0176810,0.0297869,0.0539720", \ - "0.00696653,0.00805860,0.00923130,0.0118053,0.0176485,0.0297843,0.0539678", \ - "0.0106680,0.0117955,0.0130435,0.0155237,0.0203319,0.0303241,0.0539715", \ - "0.0161735,0.0175016,0.0189075,0.0215296,0.0264610,0.0360481,0.0554481", \ - "0.0231487,0.0247489,0.0264437,0.0295146,0.0349177,0.0447539,0.0635478", \ - "0.0315867,0.0334768,0.0355082,0.0391567,0.0454109,0.0560043,0.0753372"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.00716964,0.00886945,0.0107897,0.0146319,0.0223004,0.0376360,0.0683060", \ - "0.00716477,0.00886715,0.0107872,0.0146258,0.0222996,0.0376406,0.0683185", \ - "0.00838734,0.00965438,0.0112131,0.0146531,0.0223072,0.0376475,0.0683226", \ - "0.0118480,0.0133375,0.0149943,0.0181566,0.0239663,0.0377059,0.0683220", \ - "0.0167631,0.0183149,0.0200479,0.0234253,0.0299154,0.0417402,0.0686026", \ - "0.0232185,0.0249472,0.0268616,0.0304663,0.0372583,0.0501359,0.0739324", \ - "0.0311312,0.0330712,0.0352340,0.0392562,0.0465442,0.0599816,0.0849995"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0106650,0.0122890,0.0140966,0.0176603,0.0246872,0.0385926,0.0662380", \ - "0.0111482,0.0127773,0.0145890,0.0181583,0.0251919,0.0391037,0.0667535", \ - "0.0138918,0.0155158,0.0172383,0.0207239,0.0276665,0.0414916,0.0690756", \ - "0.0172483,0.0193473,0.0215881,0.0257765,0.0333333,0.0468869,0.0740845", \ - "0.0200754,0.0226297,0.0253302,0.0303532,0.0394305,0.0554273,0.0828838", \ - "0.0223124,0.0253412,0.0285252,0.0344153,0.0449588,0.0633747,0.0950595", \ - "0.0239073,0.0274047,0.0310773,0.0378501,0.0499384,0.0707804,0.106366"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0121977,0.0141056,0.0162348,0.0204496,0.0288072,0.0454407,0.0786349", \ - "0.0130462,0.0149861,0.0171506,0.0214233,0.0298553,0.0465606,0.0798077", \ - "0.0156212,0.0175827,0.0197178,0.0239519,0.0323794,0.0491256,0.0824423", \ - "0.0191431,0.0214876,0.0240098,0.0287845,0.0376537,0.0542058,0.0873410", \ - "0.0236980,0.0265042,0.0294496,0.0348858,0.0447740,0.0627497,0.0957672", \ - "0.0287441,0.0321330,0.0356508,0.0420372,0.0532726,0.0729682,0.108230", \ - "0.0339836,0.0380041,0.0421651,0.0496686,0.0626547,0.0846314,0.122601"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.00786743,0.00921529,0.0107348,0.0137759,0.0198454,0.0319666,0.0561778", \ - "0.00787516,0.00921550,0.0107380,0.0137756,0.0198458,0.0319653,0.0561757", \ - "0.00860334,0.00971220,0.0110209,0.0138106,0.0198472,0.0319648,0.0561732", \ - "0.0121049,0.0132751,0.0145701,0.0171029,0.0219057,0.0323072,0.0561712", \ - "0.0173060,0.0186243,0.0200531,0.0227545,0.0278653,0.0375294,0.0573723", \ - "0.0239239,0.0254919,0.0271708,0.0302473,0.0357880,0.0459674,0.0649697", \ - "0.0318701,0.0337549,0.0357630,0.0393802,0.0456620,0.0565420,0.0764559"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.00717379,0.00886780,0.0107903,0.0146286,0.0223040,0.0376474,0.0683268", \ - "0.00717452,0.00887059,0.0107942,0.0146281,0.0223048,0.0376495,0.0683203", \ - "0.00784593,0.00928855,0.0110041,0.0146490,0.0222994,0.0376459,0.0683170", \ - "0.0105745,0.0119864,0.0136171,0.0168887,0.0233587,0.0377088,0.0683196", \ - "0.0148151,0.0161687,0.0177218,0.0208700,0.0273502,0.0403030,0.0685849", \ - "0.0203001,0.0217690,0.0234352,0.0266596,0.0329831,0.0458943,0.0720124", \ - "0.0267706,0.0284201,0.0302894,0.0338574,0.0405084,0.0532448,0.0792010"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("5.827888,5.854169,5.907364,6.021537,6.089622,6.196672,6.271218", \ - "5.448026,5.568867,5.658751,5.785056,5.929589,6.075781,6.201389", \ - "5.256006,5.280448,5.388515,5.506387,5.659685,5.859622,6.054403", \ - "7.196284,6.974827,6.813568,6.652965,6.482196,6.375631,6.343133", \ - "13.110890,12.610770,12.067940,11.140640,9.895276,8.852836,7.983876", \ - "22.273180,21.739950,21.068770,19.806940,17.538930,14.522870,11.992200", \ - "34.219820,33.897980,33.202270,32.042270,29.381170,24.709400,19.281150"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("25.005230,25.141620,25.155310,25.069180,25.111210,25.076230,24.761830", \ - "24.838260,24.941020,24.906670,24.964520,24.899580,25.081550,24.830540", \ - "26.005200,25.880350,25.864400,25.858880,25.533090,25.420780,25.132610", \ - "29.749080,29.799290,29.878200,29.339930,28.767500,27.919380,26.641300", \ - "37.360530,37.124170,36.711430,36.069200,34.965390,33.615120,31.818110", \ - "48.884310,48.461690,48.186230,47.267460,45.915380,43.292600,39.755280", \ - "63.736010,63.580710,63.225950,62.598960,60.792530,57.654030,52.188830"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("2.957018,3.009448,3.046759,3.077937,3.120506,3.138433,3.147732", \ - "2.501077,2.602837,2.688768,2.815026,2.926656,3.021647,3.068650", \ - "2.350624,2.359493,2.412468,2.500920,2.619852,2.778727,2.922357", \ - "5.068997,4.640357,4.287662,3.903456,3.583869,3.347479,3.230912", \ - "11.663700,11.031780,10.311740,9.103684,7.454259,6.018192,4.952290", \ - "21.106410,20.519300,19.840070,18.293230,15.650030,12.100840,9.100742", \ - "33.518490,32.844680,32.128500,30.749230,27.886560,22.753220,16.668760"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("21.369490,21.433650,21.542670,21.759080,21.672750,21.871780,21.852270", \ - "21.184870,21.292670,21.387410,21.526130,21.720520,21.466540,21.083250", \ - "22.429730,22.427300,22.345320,22.353860,22.201540,21.974280,22.011580", \ - "25.841050,25.804360,25.895950,25.893550,25.199100,24.543160,23.511190", \ - "33.409640,33.108130,32.824070,32.506910,31.692890,30.111130,28.088700", \ - "44.352410,44.284580,44.018060,43.597180,42.115320,39.732680,36.488610", \ - "58.762010,58.684870,58.886700,58.304930,56.917040,53.678500,48.434540"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("2.926811,3.034138,3.057894,3.081437,3.136655,3.158431,3.162257", \ - "2.467744,2.603754,2.714526,2.794688,2.924994,3.027957,3.096354", \ - "2.322425,2.430122,2.464844,2.541466,2.664582,2.807347,2.945229", \ - "5.204842,4.810303,4.453740,4.011297,3.696640,3.441933,3.288353", \ - "11.930300,11.335290,10.633770,9.410953,7.745003,6.213673,5.115974", \ - "21.577370,21.052500,20.234980,18.802250,16.159540,12.577710,9.418155", \ - "34.011350,33.399370,32.704030,31.350280,28.550550,23.509010,17.309680"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("23.235610,23.280820,23.396820,23.485870,23.411170,23.587040,23.781520", \ - "23.001060,23.028840,23.201490,23.371500,23.378880,23.632650,23.226430", \ - "24.107950,24.204860,24.173720,24.106730,23.825720,23.885000,23.470510", \ - "27.820540,27.817560,27.746860,27.874000,27.235000,26.158130,25.186820", \ - "35.347570,35.078760,35.003290,34.596000,33.827910,32.065590,30.401240", \ - "46.487040,46.575420,46.262560,45.895000,44.345160,41.975850,38.791050", \ - "61.513340,61.447360,61.628910,61.292070,59.920950,57.003970,52.079630"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("5.771240,5.896120,5.915442,6.026628,6.104689,6.186898,6.271830", \ - "5.465490,5.580360,5.656252,5.779698,5.930838,6.075650,6.188155", \ - "5.125494,5.195189,5.295331,5.404980,5.599609,5.818344,6.022333", \ - "7.015389,6.815665,6.593124,6.424056,6.300522,6.246776,6.253440", \ - "13.449230,12.939480,12.423940,11.464040,10.078070,8.849607,7.983483", \ - "23.259940,22.880420,22.351410,21.131660,18.894240,15.488900,12.466000", \ - "36.651970,36.140640,35.464620,34.446350,32.142610,27.469170,21.067340"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("28.162850,28.174180,28.349500,28.293010,28.540350,28.748360,29.078070", \ - "27.870230,27.853870,28.126790,28.189190,28.265470,28.549250,28.747840", \ - "29.031480,28.978560,28.981330,28.887740,29.021140,28.887410,28.699240", \ - "33.307870,33.433620,33.384420,32.861890,32.247900,31.499690,30.132150", \ - "41.810850,41.551940,41.228630,40.452480,39.569370,37.435240,35.435470", \ - "54.779900,54.214970,53.913970,52.849660,51.258510,48.161190,43.868280", \ - "71.963800,71.632670,71.057900,70.028820,68.081160,64.338190,57.986790"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("2.959831,2.996957,3.047629,3.078942,3.123292,3.139728,3.145533", \ - "2.512379,2.621932,2.705413,2.812363,2.923681,3.029279,3.067923", \ - "2.125550,2.232631,2.296331,2.404203,2.548581,2.743139,2.894415", \ - "4.809850,4.464860,4.115361,3.634460,3.388163,3.221748,3.136139", \ - "11.892450,11.270570,10.583120,9.438862,7.688812,6.039236,4.959572", \ - "21.963570,21.536680,20.788170,19.522140,17.040250,13.137430,9.594244", \ - "35.877610,35.138520,34.424560,33.039370,30.486510,25.566790,18.565100"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("24.379380,24.425240,24.691940,24.820280,24.966060,25.156410,25.305160", \ - "24.077140,24.224930,24.393120,24.537120,24.904300,24.953720,24.723260", \ - "25.184600,25.436510,25.349060,25.471030,25.325790,25.557830,25.086460", \ - "29.548040,29.505400,29.407700,29.314570,28.648570,27.730560,27.260770", \ - "37.842640,37.600510,37.365920,36.790400,35.590780,34.272730,31.586840", \ - "50.429300,50.246580,49.930040,49.035900,47.560880,44.577290,40.498520", \ - "66.924510,66.965890,66.822890,66.160960,64.414710,60.614810,54.344140"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("2.990058,2.988972,3.066534,3.104583,3.135810,3.156724,3.161393", \ - "2.522170,2.611879,2.685654,2.820346,2.926723,3.029466,3.092594", \ - "2.163425,2.259012,2.313386,2.429958,2.568814,2.758365,2.913179", \ - "4.966688,4.624293,4.277796,3.787887,3.475132,3.289751,3.199422", \ - "12.035040,11.451160,10.923760,9.757716,8.028170,6.247949,5.109784", \ - "22.393140,21.813680,21.071330,19.892220,17.491200,13.653220,9.900970", \ - "35.481030,34.916420,34.439830,33.085950,30.901300,26.237710,19.247390"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("26.127390,26.429540,26.501600,26.638180,26.821430,27.025340,26.867640", \ - "26.022640,26.115360,26.253640,26.388650,26.621820,26.904210,26.937430", \ - "27.318390,27.386970,27.400140,27.250850,27.124910,27.135500,27.129350", \ - "31.514900,31.561320,31.450890,31.386980,30.893610,29.900600,29.426870", \ - "39.935880,39.711460,39.527510,39.059780,38.049190,36.158280,34.441270", \ - "52.705020,52.714550,52.365400,51.549140,50.041000,47.390870,43.298840", \ - "69.912160,69.823510,69.728440,69.163220,67.492180,63.640920,57.915060"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("3.326714,3.350583,3.400709,3.478975,3.525085,3.553169,3.565240", \ - "3.251835,3.304843,3.358169,3.460523,3.577924,3.644350,3.702621", \ - "3.771793,3.741651,3.719206,3.715964,3.733502,3.762287,3.787845", \ - "7.132347,6.638499,6.201187,5.657048,5.181508,4.754098,4.427925", \ - "13.168160,12.681480,12.049670,10.913560,9.240583,7.705415,6.448501", \ - "21.280310,20.932310,20.385300,19.344180,17.043660,13.664890,10.695360", \ - "31.434680,31.107420,30.932020,30.160830,28.090520,23.655120,18.002690"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("14.997330,15.083900,15.142150,15.297090,15.169480,15.073870,15.320010", \ - "14.925970,14.950770,15.074660,15.051090,15.358980,15.319260,14.633950", \ - "15.890880,15.878960,15.765970,15.678300,15.522320,15.739820,15.402040", \ - "18.897100,19.062710,18.808250,18.311770,17.845090,17.298510,16.801980", \ - "24.775840,24.423960,24.158360,23.561440,22.706490,21.141450,19.461020", \ - "34.132380,33.640990,33.090600,32.213470,30.633950,28.277280,25.146080", \ - "46.398310,45.889920,45.456420,44.411420,42.114880,38.732200,34.042540"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("5.609776,5.667031,5.721710,5.760889,5.830602,5.888001,5.939230", \ - "5.371371,5.406299,5.453232,5.514461,5.573209,5.662898,5.722452", \ - "5.698542,5.757274,5.752167,5.712675,5.728738,5.727535,5.729435", \ - "8.661652,8.297351,8.017033,7.699421,7.274186,6.840539,6.455396", \ - "14.777080,14.312920,13.777580,12.840500,11.375360,10.000410,8.657196", \ - "23.556770,23.139950,22.568260,21.458620,19.346220,16.257290,13.266190", \ - "34.638040,34.469590,33.997360,33.064490,30.836020,26.675250,21.177380"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("19.232410,19.372220,19.331090,19.496350,19.376450,19.344600,19.334170", \ - "18.998730,19.124040,19.163450,19.213490,19.333790,19.240230,19.156790", \ - "18.776350,18.945710,19.014220,18.992890,19.020010,19.416730,19.244640", \ - "20.464080,20.849220,20.602320,20.376880,20.189080,20.074190,19.126750", \ - "25.095560,24.722860,24.476430,24.164040,23.688570,22.461300,21.747520", \ - "32.897940,32.530970,32.142770,31.145270,29.944050,28.129530,25.843270", \ - "43.331750,43.008420,42.730630,41.603740,39.915630,36.962240,33.244630"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI221_X1 - Cell Description : Combinational cell (OAI221_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI221_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 104.265643; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 19.320250; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 14.572571; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 14.572573; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 4.056523; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 59.447250; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 63.296542; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 63.296544; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 53.557753; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 59.447375; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 63.296542; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 63.296544; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 53.557753; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 99.297875; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 103.147916; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 103.147919; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 93.409128; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 22.673825; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 31.665028; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 31.665030; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 21.505132; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 156.514500; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 163.430500; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 183.687750; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 196.140125; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 156.515125; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 183.688125; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 203.939750; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 216.391875; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 196.409750; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 196.191500; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 216.453750; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 228.907750; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.638916; - fall_capacitance : 1.610809; - rise_capacitance : 1.638916; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.708691; - fall_capacitance : 1.432263; - rise_capacitance : 1.708691; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.640512; - fall_capacitance : 1.593456; - rise_capacitance : 1.640512; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.578658; - fall_capacitance : 1.464601; - rise_capacitance : 1.578658; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.647590; - fall_capacitance : 1.647590; - rise_capacitance : 1.556490; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 22.163400; - function : "!(((C1 | C2) & A) & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.016990,0.017832,0.019479,0.022689,0.028925,0.041079,0.064909", \ - "0.017569,0.018417,0.020076,0.023302,0.029566,0.041754,0.065614", \ - "0.019467,0.020307,0.021959,0.025183,0.031455,0.043669,0.067571", \ - "0.022803,0.023737,0.025545,0.029002,0.035503,0.047684,0.071504", \ - "0.026553,0.027634,0.029723,0.033656,0.040897,0.054036,0.078220", \ - "0.030111,0.031367,0.033812,0.038369,0.046647,0.061330,0.087271", \ - "0.033117,0.034570,0.037400,0.042662,0.052141,0.068743,0.097258"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007895,0.008412,0.009428,0.011418,0.015318,0.022979,0.038081", \ - "0.008824,0.009340,0.010356,0.012346,0.016249,0.023915,0.039024", \ - "0.012614,0.013197,0.014300,0.016304,0.020061,0.027586,0.042572", \ - "0.017123,0.017896,0.019372,0.022116,0.027046,0.035460,0.050075", \ - "0.022024,0.022976,0.024798,0.028165,0.034217,0.044738,0.062272", \ - "0.027312,0.028437,0.030606,0.034616,0.041798,0.054195,0.075029", \ - "0.033072,0.034353,0.036818,0.041429,0.049747,0.064077,0.087954"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.009958,0.010654,0.012026,0.014732,0.020064,0.030580,0.051348", \ - "0.009956,0.010655,0.012028,0.014735,0.020064,0.030581,0.051355", \ - "0.010052,0.010715,0.012025,0.014726,0.020063,0.030581,0.051348", \ - "0.012087,0.012703,0.013902,0.016311,0.020954,0.030795,0.051345", \ - "0.016156,0.016735,0.017868,0.020094,0.024530,0.033496,0.052156", \ - "0.021954,0.022591,0.023790,0.026075,0.030413,0.038892,0.056287", \ - "0.029031,0.029763,0.031116,0.033658,0.038319,0.046828,0.063432"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.008333,0.008848,0.009862,0.011832,0.015620,0.022889,0.036969", \ - "0.008331,0.008848,0.009862,0.011832,0.015621,0.022888,0.036965", \ - "0.010028,0.010366,0.011075,0.012579,0.015815,0.022890,0.036966", \ - "0.015844,0.016157,0.016773,0.018001,0.020329,0.025217,0.037200", \ - "0.022878,0.023222,0.023892,0.025276,0.028000,0.033139,0.042478", \ - "0.031357,0.031736,0.032478,0.034002,0.037016,0.042810,0.053419", \ - "0.041250,0.041677,0.042525,0.044261,0.047663,0.054069,0.065883"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.013740,0.014571,0.016196,0.019363,0.025528,0.037588,0.061308", \ - "0.014275,0.015115,0.016758,0.019951,0.026156,0.038257,0.062011", \ - "0.016166,0.017005,0.018636,0.021816,0.028025,0.040157,0.063958", \ - "0.018891,0.019877,0.021770,0.025332,0.031939,0.044191,0.067896", \ - "0.021854,0.023024,0.025262,0.029412,0.036924,0.050311,0.074647", \ - "0.024504,0.025882,0.028525,0.033390,0.042083,0.057193,0.083470", \ - "0.026543,0.028143,0.031217,0.036859,0.046876,0.064072,0.093122"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007629,0.008146,0.009159,0.011142,0.015023,0.022642,0.037664", \ - "0.008557,0.009073,0.010085,0.012070,0.015954,0.023578,0.038605", \ - "0.012274,0.012867,0.013990,0.016033,0.019769,0.027250,0.042155", \ - "0.016567,0.017355,0.018861,0.021643,0.026621,0.035088,0.049662", \ - "0.021135,0.022118,0.023995,0.027440,0.033573,0.044166,0.061766", \ - "0.025891,0.027078,0.029348,0.033493,0.040831,0.053365,0.074299", \ - "0.030848,0.032236,0.034885,0.039731,0.048317,0.062894,0.086951"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007924,0.008611,0.009963,0.012638,0.017930,0.028389,0.049075", \ - "0.007925,0.008607,0.009964,0.012637,0.017928,0.028390,0.049078", \ - "0.008290,0.008906,0.010152,0.012676,0.017925,0.028387,0.049071", \ - "0.010720,0.011291,0.012419,0.014697,0.019237,0.028761,0.049076", \ - "0.015114,0.015671,0.016744,0.018857,0.023090,0.031810,0.050106", \ - "0.020952,0.021579,0.022763,0.025014,0.029256,0.037462,0.054547", \ - "0.027967,0.028699,0.030060,0.032611,0.037243,0.045597,0.061893"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.006234,0.006741,0.007742,0.009703,0.013494,0.020756,0.034817", \ - "0.006228,0.006738,0.007741,0.009703,0.013494,0.020754,0.034821", \ - "0.008058,0.008359,0.009020,0.010484,0.013702,0.020756,0.034821", \ - "0.012941,0.013339,0.014111,0.015573,0.018213,0.023129,0.035065", \ - "0.019093,0.019548,0.020407,0.022071,0.025180,0.030765,0.040381", \ - "0.026559,0.027072,0.028061,0.029965,0.033496,0.039839,0.051013", \ - "0.035297,0.035894,0.037048,0.039263,0.043320,0.050483,0.062991"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.011967,0.012672,0.014049,0.016728,0.021939,0.032120,0.052128", \ - "0.012584,0.013297,0.014688,0.017391,0.022636,0.032852,0.052889", \ - "0.015191,0.015935,0.017320,0.020004,0.025250,0.035493,0.055569", \ - "0.018325,0.019266,0.021066,0.024421,0.030461,0.041056,0.061038", \ - "0.021223,0.022352,0.024522,0.028544,0.035791,0.048473,0.070090", \ - "0.023677,0.025012,0.027586,0.032328,0.040797,0.055477,0.080461", \ - "0.025423,0.026977,0.029973,0.035482,0.045277,0.062088,0.090316"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.008287,0.008797,0.009801,0.011772,0.015641,0.023250,0.038267", \ - "0.009224,0.009733,0.010736,0.012709,0.016583,0.024201,0.039226", \ - "0.013058,0.013626,0.014704,0.016662,0.020401,0.027880,0.042786", \ - "0.017677,0.018437,0.019893,0.022597,0.027468,0.035795,0.050327", \ - "0.022648,0.023597,0.025406,0.028748,0.034746,0.045184,0.062597", \ - "0.027863,0.029013,0.031202,0.035230,0.042410,0.054751,0.075479", \ - "0.033315,0.034657,0.037224,0.041948,0.050363,0.064729,0.088545"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007317,0.007882,0.009003,0.011225,0.015629,0.024348,0.041599", \ - "0.007315,0.007880,0.009001,0.011225,0.015631,0.024345,0.041597", \ - "0.007850,0.008320,0.009287,0.011310,0.015625,0.024346,0.041595", \ - "0.011077,0.011548,0.012474,0.014300,0.017817,0.025052,0.041599", \ - "0.015835,0.016331,0.017285,0.019146,0.022789,0.029852,0.043725", \ - "0.021955,0.022518,0.023586,0.025637,0.029472,0.036737,0.050682", \ - "0.029267,0.029928,0.031150,0.033487,0.037779,0.045483,0.059775"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.006543,0.007058,0.008073,0.010050,0.013848,0.021122,0.035186", \ - "0.006543,0.007060,0.008072,0.010050,0.013852,0.021115,0.035195", \ - "0.008110,0.008448,0.009168,0.010701,0.014000,0.021122,0.035187", \ - "0.012923,0.013345,0.014141,0.015634,0.018297,0.023313,0.035392", \ - "0.018914,0.019376,0.020272,0.021979,0.025156,0.030798,0.040494", \ - "0.026237,0.026759,0.027770,0.029698,0.033260,0.039701,0.050959", \ - "0.034858,0.035461,0.036618,0.038837,0.042896,0.050097,0.062704"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.013740,0.014571,0.016196,0.019363,0.025528,0.037588,0.061308", \ - "0.014275,0.015115,0.016758,0.019951,0.026156,0.038257,0.062011", \ - "0.016166,0.017005,0.018636,0.021816,0.028025,0.040157,0.063958", \ - "0.018891,0.019877,0.021770,0.025332,0.031939,0.044191,0.067896", \ - "0.021854,0.023024,0.025262,0.029412,0.036924,0.050311,0.074647", \ - "0.024504,0.025882,0.028525,0.033390,0.042083,0.057193,0.083470", \ - "0.026543,0.028143,0.031217,0.036859,0.046876,0.064072,0.093122"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007629,0.008146,0.009159,0.011142,0.015023,0.022642,0.037664", \ - "0.008557,0.009073,0.010085,0.012070,0.015954,0.023578,0.038605", \ - "0.012274,0.012867,0.013990,0.016033,0.019769,0.027250,0.042155", \ - "0.016567,0.017355,0.018861,0.021643,0.026621,0.035088,0.049662", \ - "0.021135,0.022118,0.023995,0.027440,0.033573,0.044166,0.061766", \ - "0.025891,0.027078,0.029348,0.033493,0.040831,0.053365,0.074299", \ - "0.030848,0.032236,0.034885,0.039731,0.048317,0.062894,0.086951"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007924,0.008611,0.009963,0.012638,0.017930,0.028389,0.049075", \ - "0.007925,0.008607,0.009964,0.012637,0.017928,0.028390,0.049078", \ - "0.008290,0.008906,0.010152,0.012676,0.017925,0.028387,0.049071", \ - "0.010720,0.011291,0.012419,0.014697,0.019237,0.028761,0.049076", \ - "0.015114,0.015671,0.016744,0.018857,0.023090,0.031810,0.050106", \ - "0.020952,0.021579,0.022763,0.025014,0.029256,0.037462,0.054547", \ - "0.027967,0.028699,0.030060,0.032611,0.037243,0.045597,0.061893"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.006234,0.006741,0.007742,0.009703,0.013494,0.020756,0.034817", \ - "0.006228,0.006738,0.007741,0.009703,0.013494,0.020754,0.034821", \ - "0.008058,0.008359,0.009020,0.010484,0.013702,0.020756,0.034821", \ - "0.012941,0.013339,0.014111,0.015573,0.018213,0.023129,0.035065", \ - "0.019093,0.019548,0.020407,0.022071,0.025180,0.030765,0.040381", \ - "0.026559,0.027072,0.028061,0.029965,0.033496,0.039839,0.051013", \ - "0.035297,0.035894,0.037048,0.039263,0.043320,0.050483,0.062991"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010770,0.011566,0.013131,0.016208,0.022263,0.034215,0.057857", \ - "0.011234,0.012047,0.013641,0.016762,0.022872,0.034875,0.058558", \ - "0.012804,0.013691,0.015406,0.018594,0.024714,0.036757,0.060494", \ - "0.014773,0.015847,0.017875,0.021618,0.028401,0.040800,0.064428", \ - "0.016764,0.018082,0.020540,0.025004,0.032882,0.046615,0.071176", \ - "0.018354,0.019933,0.022865,0.028159,0.037382,0.053044,0.079748", \ - "0.019292,0.021136,0.024561,0.030729,0.041431,0.059358,0.089058"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007372,0.007888,0.008899,0.010875,0.014738,0.022320,0.037267", \ - "0.008301,0.008816,0.009826,0.011802,0.015668,0.023257,0.038209", \ - "0.011940,0.012544,0.013686,0.015759,0.019487,0.026929,0.041761", \ - "0.016030,0.016835,0.018370,0.021187,0.026210,0.034729,0.049270", \ - "0.020300,0.021312,0.023238,0.026749,0.032958,0.043617,0.061281", \ - "0.024615,0.025850,0.028200,0.032455,0.039924,0.052579,0.073595", \ - "0.028949,0.030414,0.033191,0.038209,0.047001,0.061781,0.085991"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.005827,0.006507,0.007860,0.010528,0.015809,0.026252,0.046920", \ - "0.005831,0.006511,0.007858,0.010528,0.015814,0.026257,0.046925", \ - "0.006755,0.007305,0.008422,0.010795,0.015833,0.026259,0.046929", \ - "0.009600,0.010103,0.011127,0.013241,0.017663,0.026842,0.046925", \ - "0.014287,0.014799,0.015810,0.017804,0.021801,0.030231,0.048211", \ - "0.020182,0.020756,0.021906,0.024103,0.028200,0.036161,0.052929", \ - "0.027260,0.027893,0.029194,0.031697,0.036263,0.044489,0.060475"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.004985,0.005438,0.006339,0.008127,0.011680,0.018725,0.032696", \ - "0.004983,0.005436,0.006339,0.008127,0.011678,0.018725,0.032692", \ - "0.006723,0.007062,0.007659,0.008944,0.011903,0.018726,0.032686", \ - "0.010420,0.010882,0.011761,0.013364,0.016206,0.021144,0.032940", \ - "0.015208,0.015797,0.016886,0.018860,0.022351,0.028368,0.038304", \ - "0.021137,0.021878,0.023232,0.025660,0.029818,0.036809,0.048582", \ - "0.028260,0.029168,0.030818,0.033758,0.038712,0.046788,0.060067"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.009513,0.010184,0.011502,0.014092,0.019189,0.029243,0.049129", \ - "0.010070,0.010755,0.012097,0.014726,0.019869,0.029968,0.049888", \ - "0.012243,0.013042,0.014552,0.017307,0.022465,0.032597,0.052562", \ - "0.014347,0.015379,0.017325,0.020899,0.027244,0.038164,0.058039", \ - "0.016245,0.017521,0.019900,0.024231,0.031859,0.044973,0.067018", \ - "0.017641,0.019170,0.022018,0.027170,0.036162,0.051393,0.076903", \ - "0.018287,0.020080,0.023406,0.029421,0.039873,0.057401,0.086283"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.008029,0.008539,0.009539,0.011502,0.015353,0.022926,0.037867", \ - "0.008966,0.009474,0.010474,0.012438,0.016294,0.023876,0.038824", \ - "0.012738,0.013316,0.014411,0.016399,0.020116,0.027554,0.042386", \ - "0.017174,0.017945,0.019422,0.022157,0.027065,0.035440,0.049928", \ - "0.021875,0.022847,0.024697,0.028093,0.034152,0.044644,0.062116", \ - "0.026700,0.027887,0.030143,0.034261,0.041546,0.053987,0.074794", \ - "0.031587,0.033004,0.035664,0.040532,0.049127,0.063669,0.087618"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.005502,0.006072,0.007198,0.009420,0.013818,0.022520,0.039718", \ - "0.005503,0.006071,0.007197,0.009421,0.013819,0.022513,0.039715", \ - "0.006755,0.007164,0.007997,0.009810,0.013841,0.022510,0.039707", \ - "0.010156,0.010586,0.011457,0.013206,0.016675,0.023599,0.039720", \ - "0.015147,0.015594,0.016487,0.018250,0.021744,0.028678,0.042300", \ - "0.021335,0.021840,0.022857,0.024834,0.028578,0.035641,0.049446", \ - "0.028744,0.029292,0.030429,0.032695,0.036904,0.044493,0.058591"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.005288,0.005747,0.006654,0.008455,0.012025,0.019090,0.033071", \ - "0.005285,0.005745,0.006654,0.008458,0.012024,0.019090,0.033065", \ - "0.006857,0.007167,0.007790,0.009144,0.012189,0.019088,0.033060", \ - "0.010516,0.010985,0.011863,0.013477,0.016323,0.021320,0.033269", \ - "0.015216,0.015798,0.016880,0.018857,0.022376,0.028424,0.038416", \ - "0.021069,0.021792,0.023122,0.025520,0.029660,0.036699,0.048538", \ - "0.028127,0.029010,0.030610,0.033490,0.038375,0.046424,0.059792"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.011758,0.012473,0.013872,0.016592,0.021881,0.032214,0.052530", \ - "0.012346,0.013070,0.014482,0.017225,0.022546,0.032914,0.053259", \ - "0.014136,0.014892,0.016348,0.019077,0.024393,0.034784,0.055165", \ - "0.016362,0.017249,0.018941,0.022106,0.027923,0.038649,0.058945", \ - "0.018265,0.019341,0.021398,0.025186,0.031967,0.043878,0.065213", \ - "0.019354,0.020636,0.023095,0.027602,0.035597,0.049325,0.072732", \ - "0.019378,0.020871,0.023742,0.029003,0.038303,0.054143,0.080471"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007586,0.008103,0.009116,0.011099,0.014980,0.022600,0.037622", \ - "0.008492,0.009007,0.010019,0.012000,0.015884,0.023507,0.038536", \ - "0.012297,0.012884,0.013997,0.016028,0.019748,0.027211,0.042105", \ - "0.016934,0.017702,0.019171,0.021897,0.026801,0.035190,0.049709", \ - "0.022206,0.023156,0.024967,0.028297,0.034263,0.044654,0.062059", \ - "0.028064,0.029205,0.031383,0.035368,0.042442,0.054606,0.075142", \ - "0.034557,0.035879,0.038416,0.043062,0.051304,0.065354,0.088738"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.006621,0.007205,0.008360,0.010641,0.015159,0.024087,0.041771", \ - "0.006618,0.007204,0.008356,0.010642,0.015156,0.024090,0.041766", \ - "0.007133,0.007638,0.008668,0.010781,0.015154,0.024088,0.041768", \ - "0.009646,0.010121,0.011054,0.012945,0.016805,0.024708,0.041768", \ - "0.014037,0.014527,0.015465,0.017276,0.020811,0.028086,0.043367", \ - "0.019693,0.020265,0.021339,0.023360,0.027064,0.034008,0.048263", \ - "0.026443,0.027116,0.028370,0.030710,0.034895,0.042245,0.055957"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.006234,0.006741,0.007743,0.009704,0.013494,0.020753,0.034819", \ - "0.006230,0.006738,0.007741,0.009703,0.013494,0.020753,0.034821", \ - "0.008045,0.008348,0.009016,0.010487,0.013706,0.020757,0.034821", \ - "0.012715,0.013123,0.013916,0.015416,0.018105,0.023086,0.035058", \ - "0.018525,0.018984,0.019862,0.021569,0.024759,0.030480,0.040245", \ - "0.025634,0.026146,0.027132,0.029038,0.032600,0.039104,0.050529", \ - "0.034011,0.034600,0.035724,0.037894,0.041934,0.049143,0.061927"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.009303,0.009983,0.011321,0.013954,0.019137,0.029369,0.049613", \ - "0.009833,0.010526,0.011889,0.014558,0.019786,0.030062,0.050338", \ - "0.011264,0.012044,0.013538,0.016361,0.021610,0.031914,0.052233", \ - "0.012682,0.013659,0.015493,0.018850,0.024858,0.035718,0.056007", \ - "0.013597,0.014820,0.017094,0.021197,0.028363,0.040636,0.062194", \ - "0.013659,0.015133,0.017866,0.022786,0.031313,0.045602,0.069465", \ - "0.012628,0.014365,0.017566,0.023323,0.033281,0.049845,0.076841"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007329,0.007845,0.008856,0.010832,0.014695,0.022278,0.037230", \ - "0.008235,0.008750,0.009759,0.011734,0.015599,0.023186,0.038142", \ - "0.011963,0.012563,0.013695,0.015755,0.019467,0.026892,0.041713", \ - "0.016413,0.017197,0.018689,0.021450,0.026395,0.034833,0.049317", \ - "0.021405,0.022384,0.024241,0.027628,0.033663,0.044109,0.061576", \ - "0.026857,0.028044,0.030295,0.034383,0.041572,0.053842,0.074449", \ - "0.032762,0.034161,0.036814,0.041630,0.050055,0.064287,0.087803"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.004843,0.005422,0.006573,0.008855,0.013362,0.022282,0.039947", \ - "0.004847,0.005426,0.006576,0.008855,0.013365,0.022283,0.039947", \ - "0.005848,0.006343,0.007296,0.009248,0.013432,0.022284,0.039950", \ - "0.008788,0.009223,0.010071,0.011815,0.015473,0.023155,0.039978", \ - "0.013367,0.013830,0.014728,0.016453,0.019825,0.026826,0.041846", \ - "0.019044,0.019571,0.020619,0.022606,0.026234,0.033003,0.046958", \ - "0.025833,0.026425,0.027631,0.029932,0.034088,0.041355,0.054854"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.004984,0.005438,0.006339,0.008127,0.011681,0.018721,0.032687", \ - "0.004981,0.005436,0.006339,0.008128,0.011678,0.018726,0.032693", \ - "0.006713,0.007053,0.007654,0.008948,0.011906,0.018726,0.032692", \ - "0.010229,0.010695,0.011582,0.013220,0.016108,0.021101,0.032937", \ - "0.014754,0.015337,0.016420,0.018413,0.021960,0.028092,0.038162", \ - "0.020401,0.021125,0.022452,0.024849,0.029001,0.036105,0.048108", \ - "0.027236,0.028112,0.029707,0.032563,0.037450,0.045505,0.059028"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.008020,0.008567,0.009642,0.011756,0.015916,0.024123,0.040356", \ - "0.008676,0.009234,0.010329,0.012473,0.016670,0.024913,0.041174", \ - "0.010701,0.011407,0.012733,0.015151,0.019440,0.027709,0.044004", \ - "0.012176,0.013111,0.014865,0.018063,0.023688,0.033238,0.049701", \ - "0.012945,0.014124,0.016320,0.020291,0.027210,0.038909,0.058291", \ - "0.012756,0.014177,0.016824,0.021599,0.029888,0.043748,0.066504", \ - "0.011361,0.013040,0.016142,0.021737,0.031442,0.047592,0.073771"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007986,0.008495,0.009496,0.011458,0.015309,0.022882,0.037823", \ - "0.008899,0.009406,0.010405,0.012368,0.016223,0.023804,0.038754", \ - "0.012765,0.013337,0.014423,0.016399,0.020100,0.027521,0.042336", \ - "0.017552,0.018306,0.019749,0.022432,0.027270,0.035565,0.049995", \ - "0.022977,0.023913,0.025696,0.028986,0.034889,0.045190,0.062461", \ - "0.028931,0.030074,0.032234,0.036193,0.043228,0.055318,0.075734", \ - "0.035402,0.036754,0.039300,0.043962,0.052212,0.066242,0.089552"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.004309,0.004772,0.005686,0.007500,0.011080,0.018159,0.032182", \ - "0.004313,0.004773,0.005687,0.007499,0.011081,0.018163,0.032180", \ - "0.005823,0.006182,0.006873,0.008209,0.011263,0.018163,0.032178", \ - "0.009285,0.009644,0.010364,0.011785,0.014585,0.019995,0.032336", \ - "0.014142,0.014536,0.015318,0.016844,0.019772,0.025424,0.036272", \ - "0.020097,0.020554,0.021470,0.023242,0.026525,0.032524,0.043818", \ - "0.027206,0.027705,0.028755,0.030816,0.034611,0.041295,0.053134"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.005287,0.005747,0.006653,0.008456,0.012024,0.019089,0.033057", \ - "0.005285,0.005745,0.006655,0.008457,0.012021,0.019083,0.033059", \ - "0.006844,0.007155,0.007783,0.009143,0.012189,0.019088,0.033060", \ - "0.010318,0.010789,0.011683,0.013330,0.016214,0.021269,0.033258", \ - "0.014739,0.015313,0.016399,0.018393,0.021966,0.028123,0.038255", \ - "0.020299,0.021002,0.022309,0.024673,0.028810,0.035945,0.048023", \ - "0.027067,0.027908,0.029464,0.032263,0.037057,0.045102,0.058688"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.015462,0.016294,0.017920,0.021086,0.027252,0.039312,0.063032", \ - "0.016084,0.016927,0.018573,0.021773,0.027981,0.040084,0.063838", \ - "0.016873,0.017719,0.019370,0.022584,0.028833,0.041001,0.064825", \ - "0.017276,0.018195,0.019968,0.023362,0.029831,0.042133,0.065902", \ - "0.016741,0.017855,0.019969,0.023870,0.030904,0.043736,0.067956", \ - "0.013988,0.015351,0.017947,0.022687,0.031021,0.045295,0.070501", \ - "0.008768,0.010372,0.013448,0.019059,0.028903,0.045481,0.073013"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.017771,0.018786,0.020778,0.024667,0.032240,0.047029,0.076062", \ - "0.018250,0.019269,0.021266,0.025166,0.032753,0.047554,0.076598", \ - "0.021567,0.022545,0.024476,0.028272,0.035734,0.050409,0.079336", \ - "0.029165,0.030213,0.032230,0.036051,0.043115,0.057250,0.085637", \ - "0.038636,0.039833,0.042162,0.046577,0.054791,0.069577,0.096944", \ - "0.050242,0.051596,0.054219,0.059140,0.068292,0.084972,0.114421", \ - "0.064144,0.065661,0.068619,0.074106,0.084193,0.102424,0.134973"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007924,0.008608,0.009962,0.012638,0.017931,0.028387,0.049072", \ - "0.007923,0.008609,0.009963,0.012638,0.017932,0.028385,0.049080", \ - "0.008104,0.008754,0.010047,0.012649,0.017930,0.028389,0.049082", \ - "0.009656,0.010240,0.011429,0.013855,0.018793,0.028697,0.049070", \ - "0.013588,0.014112,0.015129,0.017187,0.021432,0.030611,0.049947", \ - "0.019299,0.019854,0.020914,0.022957,0.026945,0.035009,0.052807", \ - "0.026195,0.026829,0.028018,0.030287,0.034493,0.042395,0.058492"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.016312,0.017191,0.018933,0.022376,0.029165,0.042555,0.069050", \ - "0.016311,0.017192,0.018933,0.022375,0.029161,0.042555,0.069057", \ - "0.016274,0.017128,0.018887,0.022369,0.029162,0.042548,0.069054", \ - "0.019346,0.020005,0.021349,0.024117,0.029922,0.042550,0.069052", \ - "0.024341,0.025137,0.026675,0.029638,0.035157,0.045700,0.069353", \ - "0.030295,0.031156,0.032800,0.036029,0.042185,0.053506,0.074428", \ - "0.037517,0.038431,0.040186,0.043615,0.050173,0.062493,0.084695"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012488,0.013285,0.014851,0.017929,0.023986,0.035939,0.059582", \ - "0.013015,0.013837,0.015443,0.018576,0.024695,0.036703,0.060384", \ - "0.013595,0.014464,0.016151,0.019332,0.025507,0.037596,0.061361", \ - "0.013522,0.014509,0.016375,0.019854,0.026363,0.038726,0.062435", \ - "0.011829,0.013101,0.015472,0.019730,0.027130,0.040162,0.064453", \ - "0.007813,0.009381,0.012314,0.017559,0.026528,0.041395,0.066891", \ - "0.001410,0.003258,0.006722,0.012922,0.023553,0.040973,0.069169"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.017096,0.018111,0.020098,0.023966,0.031489,0.046168,0.074971", \ - "0.017574,0.018593,0.020586,0.024464,0.032002,0.046695,0.075505", \ - "0.020904,0.021881,0.023802,0.027577,0.034984,0.049547,0.078252", \ - "0.028345,0.029408,0.031453,0.035312,0.042379,0.056390,0.084551", \ - "0.037516,0.038738,0.041102,0.045558,0.053823,0.068664,0.095852", \ - "0.048735,0.050127,0.052813,0.057801,0.067021,0.083751,0.113248", \ - "0.062110,0.063686,0.066737,0.072339,0.082551,0.100874,0.133472"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.005826,0.006506,0.007859,0.010530,0.015814,0.026250,0.046919", \ - "0.005828,0.006509,0.007858,0.010531,0.015811,0.026251,0.046922", \ - "0.006306,0.006913,0.008148,0.010656,0.015819,0.026248,0.046924", \ - "0.008367,0.008879,0.009933,0.012164,0.016927,0.026706,0.046923", \ - "0.012800,0.013269,0.014215,0.016102,0.020043,0.028829,0.047954", \ - "0.018727,0.019232,0.020220,0.022166,0.025947,0.033676,0.051010", \ - "0.025697,0.026276,0.027386,0.029563,0.033660,0.041313,0.057036"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012278,0.013151,0.014881,0.018296,0.025059,0.038456,0.064986", \ - "0.012276,0.013154,0.014879,0.018295,0.025058,0.038455,0.064979", \ - "0.012255,0.013095,0.014820,0.018289,0.025057,0.038454,0.064986", \ - "0.015209,0.015981,0.017389,0.020104,0.025848,0.038441,0.064975", \ - "0.019185,0.020081,0.021803,0.025040,0.030953,0.041659,0.065294", \ - "0.024102,0.025115,0.027015,0.030610,0.037256,0.049182,0.070394", \ - "0.030130,0.031268,0.033367,0.037312,0.044519,0.057554,0.080516"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.011217,0.011889,0.013208,0.015799,0.020896,0.030951,0.050837", \ - "0.011786,0.012478,0.013831,0.016469,0.021618,0.031721,0.051640", \ - "0.012619,0.013369,0.014819,0.017558,0.022763,0.032937,0.052927", \ - "0.012802,0.013732,0.015478,0.018673,0.024446,0.035079,0.055091", \ - "0.011062,0.012289,0.014576,0.018677,0.025722,0.037615,0.058693", \ - "0.006907,0.008427,0.011275,0.016374,0.025086,0.039343,0.062602", \ - "0.000290,0.002083,0.005454,0.011503,0.021884,0.038853,0.065716"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.018594,0.019582,0.021523,0.025328,0.032776,0.047385,0.076118", \ - "0.019085,0.020077,0.022026,0.025842,0.033305,0.047929,0.076684", \ - "0.022437,0.023391,0.025276,0.028994,0.036329,0.050818,0.079458", \ - "0.030066,0.031092,0.033072,0.036822,0.043794,0.057753,0.085842", \ - "0.039574,0.040760,0.043064,0.047429,0.055561,0.070212,0.097314", \ - "0.051200,0.052535,0.055148,0.060031,0.069131,0.085720,0.114987", \ - "0.065089,0.066597,0.069552,0.075016,0.085073,0.103259,0.135717"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.005504,0.006072,0.007198,0.009420,0.013818,0.022516,0.039720", \ - "0.005504,0.006074,0.007198,0.009422,0.013818,0.022514,0.039716", \ - "0.006124,0.006608,0.007592,0.009630,0.013840,0.022520,0.039707", \ - "0.008752,0.009154,0.009971,0.011690,0.015396,0.023214,0.039751", \ - "0.013574,0.013963,0.014742,0.016284,0.019431,0.026250,0.041382", \ - "0.019772,0.020195,0.021026,0.022690,0.025911,0.032201,0.045722", \ - "0.026997,0.027468,0.028420,0.030312,0.033928,0.040554,0.053286"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012804,0.013692,0.015442,0.018896,0.025710,0.039171,0.065732", \ - "0.012806,0.013689,0.015441,0.018895,0.025710,0.039159,0.065734", \ - "0.012771,0.013661,0.015435,0.018894,0.025706,0.039157,0.065733", \ - "0.015557,0.016314,0.017684,0.020489,0.026365,0.039151,0.065728", \ - "0.019492,0.020395,0.022115,0.025363,0.031280,0.042088,0.065960", \ - "0.024273,0.025280,0.027186,0.030796,0.037478,0.049430,0.070775", \ - "0.030144,0.031268,0.033352,0.037286,0.044526,0.057631,0.080639"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.018332,0.019165,0.020800,0.023989,0.030203,0.042338,0.066155", \ - "0.018860,0.019697,0.021338,0.024537,0.030763,0.042908,0.066732", \ - "0.019744,0.020584,0.022229,0.025438,0.031681,0.043850,0.067702", \ - "0.020392,0.021287,0.023026,0.026377,0.032797,0.045037,0.068824", \ - "0.020750,0.021776,0.023735,0.027422,0.034226,0.046890,0.070980", \ - "0.019704,0.020925,0.023268,0.027607,0.035394,0.049096,0.073904", \ - "0.016892,0.018307,0.021054,0.026106,0.035122,0.050677,0.077237"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.019955,0.020969,0.022960,0.026844,0.034414,0.049204,0.078231", \ - "0.020835,0.021852,0.023845,0.027738,0.035317,0.050114,0.079152", \ - "0.023460,0.024477,0.026467,0.030354,0.037938,0.052740,0.081790", \ - "0.028712,0.029785,0.031858,0.035836,0.043313,0.057921,0.086781", \ - "0.036167,0.037323,0.039562,0.043846,0.051959,0.067110,0.095530", \ - "0.045844,0.047111,0.049592,0.054239,0.062914,0.079020,0.108795", \ - "0.057407,0.058837,0.061628,0.066804,0.076283,0.093462,0.124812"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.009961,0.010652,0.012025,0.014732,0.020065,0.030582,0.051348", \ - "0.009959,0.010651,0.012028,0.014733,0.020067,0.030580,0.051355", \ - "0.010065,0.010737,0.012064,0.014735,0.020064,0.030581,0.051347", \ - "0.011336,0.011966,0.013232,0.015759,0.020774,0.030837,0.051343", \ - "0.014750,0.015301,0.016396,0.018585,0.023095,0.032568,0.052123", \ - "0.020306,0.020865,0.021939,0.024019,0.028119,0.036531,0.054789", \ - "0.027261,0.027889,0.029066,0.031311,0.035503,0.043498,0.060048"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.016311,0.017190,0.018933,0.022375,0.029160,0.042554,0.069056", \ - "0.016310,0.017190,0.018933,0.022375,0.029163,0.042554,0.069049", \ - "0.016282,0.017161,0.018913,0.022369,0.029161,0.042555,0.069049", \ - "0.018404,0.019114,0.020565,0.023543,0.029706,0.042565,0.069052", \ - "0.022237,0.022992,0.024473,0.027421,0.033213,0.044693,0.069354", \ - "0.027286,0.028042,0.029526,0.032475,0.038331,0.049911,0.072684", \ - "0.033580,0.034370,0.035890,0.038909,0.044831,0.056476,0.079464"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.015131,0.015952,0.017564,0.020713,0.026866,0.038931,0.062690", \ - "0.015642,0.016470,0.018090,0.021253,0.027422,0.039500,0.063266", \ - "0.016452,0.017305,0.018950,0.022131,0.028324,0.040433,0.064230", \ - "0.016750,0.017680,0.019469,0.022871,0.029327,0.041619,0.065351", \ - "0.016309,0.017439,0.019578,0.023503,0.030546,0.043341,0.067488", \ - "0.014288,0.015648,0.018230,0.022932,0.031184,0.045315,0.070331", \ - "0.010583,0.012151,0.015161,0.020630,0.030225,0.046424,0.073490"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.019281,0.020295,0.022278,0.026143,0.033665,0.048346,0.077145", \ - "0.020159,0.021176,0.023164,0.027037,0.034567,0.049254,0.078064", \ - "0.022786,0.023800,0.025786,0.029654,0.037185,0.051882,0.080697", \ - "0.027935,0.029018,0.031104,0.035096,0.042565,0.057052,0.085696", \ - "0.035210,0.036379,0.038647,0.042950,0.051067,0.066188,0.094432", \ - "0.044601,0.045900,0.048430,0.053137,0.061840,0.077933,0.107621", \ - "0.055761,0.057236,0.060100,0.065389,0.074957,0.092172,0.123462"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007945,0.008629,0.009985,0.012671,0.017974,0.028453,0.049194", \ - "0.007943,0.008631,0.009988,0.012667,0.017975,0.028460,0.049196", \ - "0.008233,0.008875,0.010159,0.012731,0.017977,0.028459,0.049190", \ - "0.009832,0.010413,0.011585,0.013982,0.018905,0.028826,0.049192", \ - "0.013834,0.014336,0.015337,0.017351,0.021586,0.030743,0.050114", \ - "0.019635,0.020165,0.021179,0.023159,0.027050,0.035103,0.052955", \ - "0.026578,0.027207,0.028352,0.030545,0.034627,0.042381,0.058518"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012277,0.013151,0.014879,0.018296,0.025057,0.038461,0.064976", \ - "0.012278,0.013149,0.014877,0.018295,0.025057,0.038463,0.064977", \ - "0.012252,0.013120,0.014854,0.018285,0.025058,0.038456,0.064984", \ - "0.014279,0.015063,0.016575,0.019509,0.025616,0.038458,0.064981", \ - "0.017486,0.018310,0.019903,0.023006,0.029028,0.040626,0.065279", \ - "0.021788,0.022659,0.024323,0.027538,0.033707,0.045646,0.068627", \ - "0.027124,0.028083,0.029877,0.033310,0.039730,0.051845,0.075273"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.013360,0.014055,0.015417,0.018076,0.023266,0.033429,0.053424", \ - "0.013912,0.014612,0.015982,0.018653,0.023856,0.034031,0.054034", \ - "0.014990,0.015726,0.017146,0.019848,0.025074,0.035276,0.055306", \ - "0.015781,0.016638,0.018270,0.021318,0.026963,0.037497,0.057507", \ - "0.015365,0.016454,0.018509,0.022264,0.028876,0.040390,0.061254", \ - "0.013183,0.014500,0.017015,0.021586,0.029576,0.043014,0.065617", \ - "0.009227,0.010756,0.013688,0.019024,0.028393,0.044122,0.069724"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.020787,0.021772,0.023710,0.027510,0.034955,0.049560,0.078293", \ - "0.021677,0.022665,0.024610,0.028420,0.035877,0.050492,0.079234", \ - "0.024311,0.025301,0.027238,0.031042,0.038497,0.053122,0.081885", \ - "0.029641,0.030682,0.032706,0.036597,0.043939,0.058352,0.086925", \ - "0.037223,0.038356,0.040545,0.044745,0.052730,0.067678,0.095801", \ - "0.047067,0.048310,0.050751,0.055322,0.063873,0.079785,0.109268", \ - "0.058795,0.060210,0.062978,0.068092,0.077451,0.094459,0.125543"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007318,0.007883,0.009003,0.011224,0.015626,0.024347,0.041602", \ - "0.007317,0.007883,0.009003,0.011223,0.015630,0.024345,0.041595", \ - "0.007697,0.008213,0.009250,0.011354,0.015636,0.024346,0.041599", \ - "0.009905,0.010342,0.011238,0.013094,0.016950,0.024922,0.041619", \ - "0.014449,0.014855,0.015663,0.017268,0.020599,0.027703,0.043082", \ - "0.020576,0.021019,0.021869,0.023540,0.026774,0.033246,0.047181", \ - "0.027784,0.028315,0.029296,0.031204,0.034764,0.041331,0.054305"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012802,0.013690,0.015443,0.018896,0.025712,0.039161,0.065735", \ - "0.012803,0.013690,0.015442,0.018898,0.025713,0.039165,0.065731", \ - "0.012798,0.013686,0.015439,0.018894,0.025707,0.039164,0.065736", \ - "0.014676,0.015465,0.016976,0.019979,0.026189,0.039157,0.065732", \ - "0.017752,0.018590,0.020220,0.023382,0.029469,0.041173,0.065991", \ - "0.021849,0.022729,0.024425,0.027693,0.033981,0.046050,0.069177", \ - "0.026993,0.027953,0.029763,0.033222,0.039716,0.052019,0.075640"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.011121,0.011956,0.013588,0.016766,0.022950,0.035040,0.058812", \ - "0.011784,0.012621,0.014258,0.017447,0.023652,0.035767,0.059562", \ - "0.014580,0.015404,0.016932,0.020007,0.026130,0.038177,0.061930", \ - "0.017806,0.018880,0.020924,0.024735,0.031572,0.043507,0.066903", \ - "0.020025,0.021341,0.023865,0.028506,0.036823,0.051297,0.075669", \ - "0.020940,0.022522,0.025550,0.031112,0.040969,0.057897,0.086515", \ - "0.020366,0.022218,0.025757,0.032253,0.043744,0.063299,0.095857"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010596,0.011589,0.013535,0.017341,0.024785,0.039426,0.068316", \ - "0.011253,0.012238,0.014181,0.017998,0.025479,0.040171,0.069113", \ - "0.014818,0.015828,0.017633,0.021262,0.028546,0.043075,0.071916", \ - "0.019822,0.021081,0.023475,0.027906,0.035799,0.049844,0.078129", \ - "0.026036,0.027546,0.030388,0.035603,0.044947,0.061229,0.089195", \ - "0.033258,0.035047,0.038412,0.044526,0.055294,0.073899,0.105555", \ - "0.041460,0.043524,0.047398,0.054473,0.066859,0.087845,0.123291"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007944,0.008629,0.009985,0.012668,0.017973,0.028456,0.049195", \ - "0.007941,0.008630,0.009985,0.012671,0.017976,0.028453,0.049192", \ - "0.008414,0.008991,0.010171,0.012648,0.017951,0.028457,0.049195", \ - "0.011909,0.012516,0.013698,0.015981,0.020234,0.028989,0.049193", \ - "0.017377,0.018063,0.019348,0.021746,0.026233,0.034678,0.051135", \ - "0.024465,0.025297,0.026818,0.029584,0.034480,0.043243,0.059616", \ - "0.033052,0.034059,0.035868,0.039142,0.044779,0.054279,0.071202"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010839,0.011780,0.013618,0.017176,0.024061,0.037482,0.063913", \ - "0.010837,0.011779,0.013617,0.017176,0.024060,0.037479,0.063909", \ - "0.011907,0.012631,0.014121,0.017265,0.024061,0.037475,0.063914", \ - "0.016527,0.017200,0.018544,0.021032,0.026095,0.037728,0.063925", \ - "0.022723,0.023336,0.024600,0.027224,0.032521,0.042435,0.064724", \ - "0.030852,0.031441,0.032666,0.035236,0.040543,0.051190,0.071177", \ - "0.040609,0.041225,0.042498,0.045171,0.050607,0.061479,0.082635"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.008122,0.008918,0.010487,0.013570,0.019632,0.031588,0.055230", \ - "0.008742,0.009541,0.011120,0.014223,0.020318,0.032308,0.055977", \ - "0.011204,0.012107,0.013812,0.016878,0.022823,0.034726,0.058348", \ - "0.013211,0.014397,0.016628,0.020700,0.027909,0.040155,0.063361", \ - "0.014148,0.015640,0.018443,0.023493,0.032289,0.047297,0.072233", \ - "0.013744,0.015553,0.018943,0.025035,0.035557,0.053185,0.082454", \ - "0.011877,0.013983,0.017961,0.025081,0.037393,0.057855,0.091226"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010111,0.011093,0.013022,0.016790,0.024164,0.038665,0.067308", \ - "0.010769,0.011743,0.013667,0.017446,0.024856,0.039412,0.068100", \ - "0.014263,0.015288,0.017134,0.020722,0.027929,0.042315,0.070902", \ - "0.019004,0.020287,0.022710,0.027179,0.035120,0.049093,0.077119", \ - "0.024815,0.026381,0.029288,0.034579,0.043989,0.060325,0.088189", \ - "0.031410,0.033296,0.036784,0.043061,0.053981,0.072680,0.104390", \ - "0.038692,0.040914,0.045000,0.052357,0.065031,0.086236,0.121780"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.005796,0.006487,0.007848,0.010526,0.015809,0.026261,0.046931", \ - "0.005753,0.006459,0.007833,0.010522,0.015812,0.026262,0.046923", \ - "0.007061,0.007647,0.008651,0.010840,0.015749,0.026253,0.046915", \ - "0.010786,0.011361,0.012478,0.014654,0.018917,0.027213,0.046927", \ - "0.016326,0.017015,0.018297,0.020649,0.024988,0.033302,0.049397", \ - "0.023329,0.024174,0.025729,0.028522,0.033375,0.041976,0.058202", \ - "0.031813,0.032847,0.034680,0.038001,0.043683,0.053130,0.069842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007391,0.008261,0.009978,0.013377,0.020104,0.033445,0.059839", \ - "0.007388,0.008254,0.009977,0.013375,0.020112,0.033446,0.059841", \ - "0.008544,0.009177,0.010535,0.013490,0.020113,0.033431,0.059847", \ - "0.012023,0.012793,0.014287,0.017134,0.022215,0.033700,0.059857", \ - "0.016925,0.017730,0.019289,0.022308,0.028081,0.038465,0.060669", \ - "0.023393,0.024298,0.026013,0.029258,0.035292,0.046661,0.067163", \ - "0.031319,0.032340,0.034276,0.037902,0.044438,0.056296,0.078295"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007331,0.008013,0.009355,0.011992,0.017181,0.027417,0.047661", \ - "0.007983,0.008668,0.010019,0.012672,0.017886,0.028150,0.048416", \ - "0.010207,0.011040,0.012604,0.015434,0.020525,0.030696,0.050905", \ - "0.011556,0.012668,0.014754,0.018530,0.025147,0.036316,0.056153", \ - "0.011505,0.012916,0.015558,0.020305,0.028520,0.042335,0.065094", \ - "0.009782,0.011491,0.014699,0.020452,0.030368,0.046830,0.073737", \ - "0.006260,0.008257,0.012013,0.018751,0.030375,0.049635,0.080679"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010100,0.011086,0.013013,0.016782,0.024157,0.038660,0.067308", \ - "0.010710,0.011685,0.013609,0.017391,0.024806,0.039365,0.068065", \ - "0.014261,0.015281,0.017118,0.020692,0.027884,0.042260,0.070846", \ - "0.019318,0.020581,0.022970,0.027388,0.035263,0.049180,0.077161", \ - "0.025716,0.027241,0.030084,0.035274,0.044552,0.060738,0.088477", \ - "0.033196,0.035029,0.038414,0.044534,0.055232,0.073673,0.105116", \ - "0.041710,0.043836,0.047798,0.054948,0.067302,0.088099,0.123232"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.004835,0.005418,0.006572,0.008852,0.013360,0.022285,0.039950", \ - "0.004815,0.005405,0.006566,0.008854,0.013364,0.022285,0.039953", \ - "0.006429,0.006911,0.007817,0.009523,0.013442,0.022282,0.039952", \ - "0.010262,0.010773,0.011757,0.013632,0.017216,0.023964,0.039948", \ - "0.015725,0.016362,0.017545,0.019686,0.023524,0.030633,0.043870", \ - "0.022628,0.023426,0.024872,0.027473,0.031914,0.039544,0.053445", \ - "0.031005,0.031975,0.033704,0.036823,0.042086,0.050721,0.065398"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007397,0.008257,0.009972,0.013371,0.020103,0.033447,0.059839", \ - "0.007388,0.008260,0.009974,0.013378,0.020103,0.033428,0.059840", \ - "0.008544,0.009180,0.010542,0.013489,0.020099,0.033426,0.059844", \ - "0.011877,0.012655,0.014172,0.017051,0.022159,0.033690,0.059857", \ - "0.016549,0.017352,0.018910,0.021961,0.027809,0.038303,0.060631", \ - "0.022781,0.023659,0.025357,0.028576,0.034659,0.046189,0.066886", \ - "0.030507,0.031473,0.033351,0.036899,0.043374,0.055335,0.077639"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.013976,0.014810,0.016445,0.019635,0.025849,0.037983,0.061798", \ - "0.014471,0.015305,0.016942,0.020135,0.026355,0.038494,0.062313", \ - "0.017121,0.017925,0.019523,0.022666,0.028815,0.040878,0.064635", \ - "0.021561,0.022551,0.024452,0.028025,0.034500,0.046261,0.069657", \ - "0.025333,0.026515,0.028799,0.033080,0.040887,0.054735,0.078516", \ - "0.028517,0.029906,0.032586,0.037583,0.046632,0.062575,0.090180", \ - "0.031041,0.032637,0.035727,0.041460,0.051794,0.069851,0.100831"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012911,0.013890,0.015814,0.019590,0.027009,0.041626,0.070505", \ - "0.013758,0.014755,0.016712,0.020544,0.028036,0.042727,0.071664", \ - "0.016390,0.017381,0.019302,0.023086,0.030559,0.045284,0.074284", \ - "0.020156,0.021346,0.023623,0.027910,0.035844,0.050421,0.079230", \ - "0.025111,0.026514,0.029168,0.034047,0.042886,0.058874,0.087769", \ - "0.030748,0.032428,0.035578,0.041293,0.051353,0.068909,0.100066", \ - "0.036775,0.038745,0.042438,0.049114,0.060735,0.080400,0.114032"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.009958,0.010657,0.012027,0.014735,0.020065,0.030580,0.051350", \ - "0.009961,0.010655,0.012026,0.014732,0.020063,0.030581,0.051354", \ - "0.010140,0.010777,0.012058,0.014685,0.020067,0.030580,0.051350", \ - "0.013426,0.014043,0.015243,0.017541,0.021797,0.030917,0.051344", \ - "0.018588,0.019275,0.020584,0.023041,0.027629,0.036146,0.052956", \ - "0.025353,0.026166,0.027659,0.030410,0.035397,0.044430,0.060999", \ - "0.033561,0.034527,0.036289,0.039479,0.045100,0.054826,0.072245"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010840,0.011781,0.013616,0.017177,0.024062,0.037477,0.063921", \ - "0.010841,0.011782,0.013616,0.017175,0.024058,0.037480,0.063922", \ - "0.011424,0.012236,0.013874,0.017217,0.024063,0.037479,0.063914", \ - "0.014854,0.015538,0.016929,0.019691,0.025347,0.037672,0.063916", \ - "0.019998,0.020574,0.021765,0.024314,0.029721,0.040701,0.064535", \ - "0.026765,0.027319,0.028452,0.030853,0.035894,0.046634,0.068663", \ - "0.034705,0.035279,0.036457,0.038964,0.044049,0.054444,0.076207"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010748,0.011569,0.013178,0.016321,0.022462,0.034499,0.058201", \ - "0.011233,0.012056,0.013669,0.016818,0.022966,0.035009,0.058717", \ - "0.013978,0.014806,0.016361,0.019402,0.025457,0.037409,0.061044", \ - "0.017344,0.018410,0.020444,0.024219,0.031009,0.042878,0.066105", \ - "0.020161,0.021449,0.023913,0.028455,0.036610,0.050877,0.075064", \ - "0.022366,0.023889,0.026802,0.032149,0.041643,0.058098,0.086231", \ - "0.023901,0.025657,0.029019,0.035176,0.046074,0.064789,0.096370"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012430,0.013400,0.015305,0.019044,0.026387,0.040869,0.069494", \ - "0.013272,0.014258,0.016198,0.019990,0.027412,0.041968,0.070652", \ - "0.015869,0.016872,0.018788,0.022537,0.029936,0.044522,0.073272", \ - "0.019466,0.020664,0.022955,0.027249,0.035172,0.049659,0.078216", \ - "0.024134,0.025571,0.028268,0.033197,0.042062,0.058013,0.086763", \ - "0.029328,0.031066,0.034302,0.040129,0.050294,0.067874,0.098943", \ - "0.034748,0.036813,0.040644,0.047507,0.059318,0.079112,0.112723"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007927,0.008613,0.009963,0.012637,0.017929,0.028387,0.049075", \ - "0.007929,0.008611,0.009963,0.012642,0.017931,0.028388,0.049078", \ - "0.008637,0.009197,0.010337,0.012740,0.017920,0.028390,0.049073", \ - "0.012186,0.012781,0.013942,0.016177,0.020402,0.029047,0.049072", \ - "0.017415,0.018103,0.019394,0.021811,0.026324,0.034754,0.051163", \ - "0.024068,0.024904,0.026433,0.029206,0.034162,0.043084,0.059562", \ - "0.032059,0.033075,0.034915,0.038199,0.043873,0.053534,0.070812"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007397,0.008261,0.009973,0.013374,0.020107,0.033432,0.059849", \ - "0.007392,0.008259,0.009977,0.013370,0.020103,0.033430,0.059849", \ - "0.008020,0.008753,0.010259,0.013421,0.020106,0.033445,0.059851", \ - "0.010745,0.011471,0.012919,0.015818,0.021433,0.033638,0.059836", \ - "0.014962,0.015663,0.017041,0.019814,0.025465,0.036715,0.060491", \ - "0.020432,0.021210,0.022699,0.025574,0.031139,0.042287,0.064644", \ - "0.026909,0.027787,0.029452,0.032649,0.038575,0.049691,0.071948"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.009497,0.010204,0.011588,0.014288,0.019555,0.029869,0.050168", \ - "0.010033,0.010741,0.012128,0.014832,0.020104,0.030422,0.050724", \ - "0.012706,0.013467,0.014903,0.017541,0.022721,0.032946,0.053174", \ - "0.015359,0.016353,0.018239,0.021722,0.027952,0.038625,0.058459", \ - "0.017147,0.018364,0.020683,0.024940,0.032508,0.045613,0.067623", \ - "0.017974,0.019415,0.022172,0.027220,0.036143,0.051432,0.077218", \ - "0.017770,0.019428,0.022623,0.028448,0.038742,0.056305,0.085548"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012422,0.013390,0.015297,0.019037,0.026381,0.040864,0.069493", \ - "0.013222,0.014208,0.016148,0.019941,0.027365,0.041925,0.070615", \ - "0.015808,0.016810,0.018720,0.022461,0.029855,0.044441,0.073200", \ - "0.019590,0.020775,0.023041,0.027302,0.035187,0.049639,0.078172", \ - "0.024802,0.026203,0.028842,0.033673,0.042419,0.058247,0.086895", \ - "0.030847,0.032537,0.035685,0.041362,0.051305,0.068624,0.099455", \ - "0.037421,0.039426,0.043144,0.049816,0.061322,0.080698,0.113877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.006627,0.007209,0.008360,0.010643,0.015155,0.024091,0.041768", \ - "0.006632,0.007213,0.008364,0.010644,0.015156,0.024088,0.041773", \ - "0.007768,0.008203,0.009098,0.010998,0.015171,0.024089,0.041769", \ - "0.011480,0.011997,0.012998,0.014896,0.018483,0.025397,0.041768", \ - "0.016763,0.017381,0.018540,0.020683,0.024621,0.031812,0.045228", \ - "0.023443,0.024212,0.025619,0.028148,0.032586,0.040403,0.054526", \ - "0.031481,0.032441,0.034136,0.037180,0.042362,0.051026,0.066066"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007392,0.008259,0.009973,0.013380,0.020107,0.033438,0.059847", \ - "0.007396,0.008260,0.009975,0.013373,0.020113,0.033431,0.059847", \ - "0.008036,0.008767,0.010270,0.013424,0.020111,0.033445,0.059855", \ - "0.010706,0.011439,0.012895,0.015804,0.021435,0.033640,0.059836", \ - "0.014778,0.015477,0.016860,0.019649,0.025348,0.036654,0.060482", \ - "0.020108,0.020865,0.022337,0.025203,0.030781,0.042037,0.064527", \ - "0.026485,0.027317,0.028952,0.032099,0.037961,0.049125,0.071598"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("2.074538,2.062791,2.094269,2.117724,2.156817,2.200108,2.238756", \ - "1.993026,2.000057,2.040513,2.067336,2.111625,2.162585,2.213944", \ - "1.953299,1.963769,1.969553,2.012631,2.053692,2.110951,2.174498", \ - "2.323308,2.302674,2.290905,2.272104,2.266025,2.246033,2.263312", \ - "3.637551,3.586435,3.493901,3.322669,3.097209,2.882672,2.700713", \ - "5.879604,5.816316,5.665355,5.419549,4.969042,4.343771,3.758300", \ - "8.835697,8.772455,8.648849,8.420272,7.867530,6.914863,5.683819"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("6.879302,6.882594,6.951408,6.884503,6.984664,6.988781,6.945110", \ - "6.849982,6.910968,6.899952,6.923585,6.977572,6.915838,6.888391", \ - "7.152913,7.133721,7.131725,7.148285,7.107236,7.107632,7.053445", \ - "8.193902,8.267338,8.196125,8.090872,7.893572,7.738112,7.473675", \ - "10.092800,10.015250,9.965311,9.847198,9.580875,9.185355,8.588718", \ - "13.024500,13.006780,12.902600,12.698810,12.297200,11.657620,10.740780", \ - "16.917340,16.889860,16.764060,16.511250,16.167370,15.387370,14.209440"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.348628,1.344759,1.373009,1.388339,1.405384,1.439909,1.457976", \ - "1.244208,1.269785,1.293735,1.326202,1.364918,1.400721,1.433128", \ - "1.211519,1.224947,1.230890,1.262764,1.292405,1.342975,1.398132", \ - "1.707977,1.677884,1.628924,1.571916,1.537638,1.497156,1.484782", \ - "3.194647,3.130033,3.008742,2.780417,2.479673,2.186171,1.952251", \ - "5.508418,5.455262,5.285835,5.006542,4.472778,3.734113,3.053765", \ - "8.542021,8.470503,8.331911,8.037780,7.495239,6.430261,5.055226"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("6.033425,6.027926,6.039103,6.017791,6.057859,6.068177,6.180683", \ - "5.998742,5.984561,5.992154,6.043955,6.098661,6.025527,6.073490", \ - "6.306853,6.299647,6.267968,6.226424,6.181293,6.171893,6.078719", \ - "7.221635,7.292134,7.229143,7.216993,7.071317,6.811983,6.675873", \ - "9.160670,9.078401,9.015375,8.891179,8.684810,8.343851,7.807201", \ - "12.057600,11.960380,11.937240,11.734680,11.434990,10.771240,10.065700", \ - "15.795430,15.777450,15.729130,15.595730,15.164820,14.493820,13.316380"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.346728,1.342843,1.371880,1.380689,1.405654,1.434613,1.457421", \ - "1.264329,1.263834,1.292232,1.315621,1.358984,1.401838,1.435890", \ - "1.222234,1.216563,1.239954,1.257621,1.299874,1.350398,1.393592", \ - "1.749175,1.716919,1.662693,1.607131,1.551426,1.518122,1.497803", \ - "3.266924,3.207163,3.070360,2.852490,2.548061,2.231699,1.983715", \ - "5.615387,5.549619,5.419627,5.124292,4.602403,3.843604,3.117872", \ - "8.674237,8.609985,8.484558,8.181288,7.626901,6.608538,5.211704"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("6.459140,6.488394,6.474529,6.497228,6.560178,6.518456,6.509062", \ - "6.444593,6.442603,6.446284,6.485676,6.449027,6.526805,6.617029", \ - "6.747277,6.699266,6.739744,6.694016,6.629422,6.655742,6.694926", \ - "7.770837,7.738527,7.762553,7.685632,7.547296,7.306752,7.043557", \ - "9.644163,9.601946,9.507888,9.426676,9.217091,8.857174,8.257319", \ - "12.598300,12.572960,12.471970,12.339890,11.988760,11.398370,10.659100", \ - "16.484520,16.448580,16.415280,16.282430,15.937170,15.166730,13.862310"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.348628,1.344759,1.373009,1.388339,1.405384,1.439909,1.457976", \ - "1.244208,1.269785,1.293735,1.326202,1.364918,1.400721,1.433128", \ - "1.211519,1.224947,1.230890,1.262764,1.292405,1.342975,1.398132", \ - "1.707977,1.677884,1.628924,1.571916,1.537638,1.497156,1.484782", \ - "3.194647,3.130033,3.008742,2.780417,2.479673,2.186171,1.952251", \ - "5.508418,5.455262,5.285835,5.006542,4.472778,3.734113,3.053765", \ - "8.542021,8.470503,8.331911,8.037780,7.495239,6.430261,5.055226"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("6.033425,6.027926,6.039103,6.017791,6.057859,6.068177,6.180683", \ - "5.998742,5.984561,5.992154,6.043955,6.098661,6.025527,6.073490", \ - "6.306853,6.299647,6.267968,6.226424,6.181293,6.171893,6.078719", \ - "7.221635,7.292134,7.229143,7.216993,7.071317,6.811983,6.675873", \ - "9.160670,9.078401,9.015375,8.891179,8.684810,8.343851,7.807201", \ - "12.057600,11.960380,11.937240,11.734680,11.434990,10.771240,10.065700", \ - "15.795430,15.777450,15.729130,15.595730,15.164820,14.493820,13.316380"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.636671,0.632495,0.647563,0.663708,0.672356,0.682805,0.685401", \ - "0.507970,0.524153,0.555437,0.584357,0.612057,0.644015,0.661129", \ - "0.484691,0.488708,0.495469,0.516868,0.542147,0.578636,0.617385", \ - "1.174386,1.121168,1.023834,0.910494,0.817305,0.750275,0.707587", \ - "2.828048,2.747364,2.591625,2.301434,1.892556,1.493436,1.200581", \ - "5.211205,5.112368,4.967211,4.656633,4.037054,3.154632,2.346533", \ - "8.301825,8.215187,8.052049,7.711022,7.109058,5.968305,4.431936"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("5.155152,5.121379,5.150532,5.200291,5.221125,5.246205,5.224917", \ - "5.105118,5.128309,5.143375,5.164270,5.131969,5.195222,5.222492", \ - "5.417945,5.398184,5.365198,5.389468,5.356670,5.254066,5.292197", \ - "6.291671,6.297697,6.285631,6.294606,6.199286,6.010940,5.747314", \ - "8.170033,8.080732,8.103916,7.958455,7.785888,7.446444,6.954882", \ - "10.920690,10.909250,10.891620,10.703810,10.402510,9.885501,9.041641", \ - "14.545370,14.532910,14.592140,14.542290,14.271780,13.534980,12.433820"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.637780,0.641943,0.650747,0.662976,0.669151,0.682997,0.688680", \ - "0.509591,0.534743,0.556734,0.583938,0.615220,0.648751,0.662537", \ - "0.495583,0.500638,0.505745,0.519679,0.552253,0.584821,0.624505", \ - "1.212064,1.160245,1.067222,0.943602,0.851833,0.775963,0.729503", \ - "2.899941,2.824704,2.649732,2.382597,1.968382,1.548690,1.245571", \ - "5.312019,5.268356,5.089038,4.772344,4.168817,3.283401,2.432799", \ - "8.425069,8.346873,8.199052,7.915036,7.272164,6.153006,4.602951"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("5.596500,5.586866,5.597559,5.603174,5.662094,5.658359,5.693756", \ - "5.552248,5.533810,5.569545,5.618230,5.657300,5.643139,5.649403", \ - "5.855734,5.821884,5.834455,5.836324,5.832811,5.716302,5.803364", \ - "6.781524,6.746074,6.770380,6.784800,6.641244,6.389537,6.303180", \ - "8.685804,8.661669,8.595190,8.476831,8.266965,8.037432,7.538004", \ - "11.539130,11.443430,11.435850,11.345810,11.101000,10.418610,9.783826", \ - "15.235560,15.226440,15.203260,15.141930,14.947430,14.093680,12.980590"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.335940,1.342161,1.358793,1.377240,1.403956,1.427878,1.452474", \ - "1.240951,1.264643,1.286564,1.315561,1.350676,1.395672,1.433272", \ - "1.223516,1.223303,1.240291,1.262905,1.305523,1.346940,1.399494", \ - "1.845309,1.812721,1.753472,1.657289,1.598243,1.544458,1.514540", \ - "3.483487,3.386097,3.290257,3.055000,2.712074,2.329424,2.053107", \ - "5.853307,5.774851,5.693382,5.442692,4.938033,4.129336,3.294556", \ - "8.926940,8.843682,8.764350,8.567959,8.119352,7.102775,5.601399"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("6.009559,6.004218,5.989161,6.046687,6.083057,6.051838,6.127818", \ - "5.964404,5.935171,5.976969,5.952204,6.012024,6.062428,6.023380", \ - "6.260072,6.302919,6.267232,6.254508,6.225484,6.167592,6.155723", \ - "7.440467,7.400745,7.329841,7.331034,7.155944,6.892650,6.543714", \ - "9.458328,9.436296,9.369572,9.194942,8.932473,8.579325,7.940120", \ - "12.629170,12.610220,12.498170,12.318070,11.948490,11.160500,10.464090", \ - "16.797380,16.772170,16.647610,16.512820,16.046860,15.236100,13.873750"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.630036,0.638097,0.649385,0.665286,0.672342,0.679054,0.683804", \ - "0.518715,0.531442,0.556495,0.586947,0.617067,0.642275,0.663415", \ - "0.507762,0.514861,0.521854,0.536829,0.554289,0.592138,0.625166", \ - "1.338918,1.278990,1.174609,1.029938,0.898363,0.806891,0.751533", \ - "3.070795,3.007774,2.877371,2.584781,2.147897,1.655969,1.313347", \ - "5.539642,5.467276,5.335673,5.063433,4.508918,3.581978,2.612550", \ - "8.652296,8.594915,8.487947,8.211470,7.708263,6.659623,5.012660"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("5.108329,5.098597,5.152923,5.170090,5.192834,5.169255,5.194789", \ - "5.059052,5.044983,5.096898,5.124612,5.130961,5.089949,5.215211", \ - "5.431008,5.401570,5.367972,5.375964,5.326034,5.235942,5.308003", \ - "6.420917,6.406086,6.405227,6.374873,6.276142,6.041290,5.646210", \ - "8.485965,8.461099,8.354922,8.249519,8.034042,7.630695,7.168384", \ - "11.568380,11.556030,11.473480,11.330610,11.037450,10.282460,9.549687", \ - "15.538770,15.525650,15.559770,15.444800,15.143700,14.442530,12.984140"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.623880,0.644858,0.644669,0.658580,0.673734,0.684632,0.686721", \ - "0.511009,0.523131,0.551518,0.580094,0.618459,0.650384,0.669269", \ - "0.528625,0.524309,0.523278,0.546893,0.562468,0.600387,0.634642", \ - "1.388706,1.334704,1.235896,1.081470,0.930896,0.837979,0.772937", \ - "3.172259,3.103147,2.958575,2.686823,2.248754,1.733270,1.367186", \ - "5.675161,5.558293,5.468417,5.154297,4.639273,3.727972,2.718235", \ - "8.759355,8.672872,8.590925,8.326095,7.879493,6.855863,5.226550"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("5.550189,5.563223,5.590374,5.563387,5.638542,5.628886,5.674292", \ - "5.509771,5.495324,5.546503,5.566339,5.535427,5.548041,5.614181", \ - "5.855001,5.831805,5.836557,5.826688,5.782507,5.709786,5.770912", \ - "6.900074,6.873055,6.879112,6.880964,6.728870,6.582299,6.335049", \ - "9.026790,9.012343,8.914045,8.766804,8.603159,8.220933,7.529605", \ - "12.128830,12.147910,12.101880,11.985850,11.629260,11.079520,10.118740", \ - "16.270780,16.261350,16.238640,16.166210,15.907650,15.040270,13.951460"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.435356,1.443823,1.466639,1.485859,1.507522,1.528996,1.553584", \ - "1.398399,1.406405,1.429550,1.470250,1.508909,1.551794,1.583101", \ - "1.276332,1.291907,1.321527,1.358514,1.425202,1.484297,1.550136", \ - "1.598675,1.577463,1.536277,1.520490,1.519640,1.541833,1.582823", \ - "2.748592,2.719427,2.653530,2.516746,2.278601,2.039288,1.914769", \ - "4.482017,4.467877,4.410210,4.291492,3.994965,3.418807,2.812297", \ - "6.686116,6.672290,6.633394,6.576516,6.384685,5.758350,4.639700"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("7.358778,7.379413,7.350106,7.393137,7.463940,7.501416,7.354576", \ - "7.291324,7.290878,7.318592,7.322629,7.332164,7.456736,7.386429", \ - "7.410270,7.457786,7.441809,7.426757,7.454668,7.449794,7.466455", \ - "8.253383,8.281741,8.230325,8.157617,7.972327,7.958976,7.658954", \ - "9.934410,9.895926,9.854376,9.682160,9.455070,9.049462,8.572119", \ - "12.344890,12.273340,12.196570,12.013900,11.778560,11.238810,10.490170", \ - "15.783700,15.695430,15.579050,15.412800,14.938530,14.208710,13.055700"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.715480,0.733925,0.746837,0.756795,0.767941,0.772372,0.779176", \ - "0.640910,0.662518,0.681190,0.723445,0.755140,0.786888,0.807307", \ - "0.500562,0.525808,0.557482,0.600849,0.659161,0.718905,0.765612", \ - "0.982508,0.948618,0.888212,0.807943,0.780671,0.778418,0.804126", \ - "2.230353,2.168034,2.103884,1.944911,1.650315,1.326373,1.154069", \ - "3.974562,3.947516,3.862671,3.755981,3.441408,2.804317,2.092098", \ - "6.192561,6.137625,6.149267,6.069397,5.838016,5.231016,4.001667"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("6.408303,6.407959,6.470959,6.493765,6.538201,6.615599,6.531940", \ - "6.342292,6.343933,6.342817,6.418366,6.502883,6.490534,6.568904", \ - "6.520545,6.514281,6.500588,6.553510,6.535115,6.537954,6.658459", \ - "7.371700,7.356195,7.321092,7.233833,7.162218,7.008520,6.918739", \ - "8.853287,8.894323,8.858838,8.796861,8.541384,8.256247,7.881401", \ - "11.300650,11.280400,11.197150,11.039450,10.844330,10.317900,9.607452", \ - "14.709180,14.678630,14.623570,14.403140,13.983400,13.414560,12.293900"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.731255,0.738213,0.748730,0.758006,0.769806,0.778579,0.779369", \ - "0.656349,0.670517,0.691706,0.727901,0.763146,0.789605,0.812907", \ - "0.527275,0.530814,0.565773,0.600884,0.663671,0.724303,0.777904", \ - "1.011292,0.972848,0.926015,0.845425,0.796614,0.801041,0.814284", \ - "2.240860,2.229376,2.151994,1.993191,1.714967,1.376513,1.186602", \ - "4.017264,3.968116,3.931849,3.783237,3.506967,2.908566,2.165475", \ - "6.192364,6.170865,6.135349,6.094607,5.872630,5.296124,4.140266"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("6.840342,6.901824,6.895760,6.952541,6.948736,6.964650,7.048642", \ - "6.805697,6.811490,6.837299,6.898821,6.908473,6.994689,7.088846", \ - "6.967222,6.957788,7.011342,7.014985,6.982258,7.011477,6.935688", \ - "7.820521,7.829254,7.812412,7.694656,7.639244,7.564291,7.334491", \ - "9.369031,9.392637,9.388299,9.281752,9.116909,8.705742,8.243377", \ - "11.815500,11.801670,11.739700,11.639460,11.422140,10.823830,10.009070", \ - "15.285440,15.243160,15.179220,15.008980,14.641970,14.067420,13.010170"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("2.014215,2.025460,2.027076,2.057291,2.081542,2.110278,2.149735", \ - "1.942391,1.956525,1.963715,1.988531,2.014511,2.058687,2.089310", \ - "1.872699,1.872839,1.890831,1.919013,1.952205,2.005021,2.056853", \ - "2.092417,2.076127,2.074197,2.059442,2.074029,2.082282,2.107166", \ - "3.192584,3.153891,3.097950,2.974792,2.796543,2.619480,2.471598", \ - "5.026009,4.999430,4.942991,4.800332,4.508262,4.011042,3.447543", \ - "7.487084,7.467983,7.427564,7.316799,7.032771,6.411559,5.379127"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("8.387905,8.415115,8.437834,8.463079,8.418331,8.504332,8.608303", \ - "8.311757,8.309345,8.363344,8.385581,8.400045,8.447117,8.300598", \ - "8.311577,8.330721,8.325598,8.371791,8.337317,8.434849,8.340810", \ - "8.736003,8.709800,8.677225,8.681382,8.652040,8.606679,8.641311", \ - "9.953902,9.931088,9.893397,9.780474,9.675523,9.456034,9.058801", \ - "11.989940,11.961110,11.856170,11.699670,11.488280,10.933900,10.311780", \ - "14.945200,14.926130,14.843530,14.622030,14.126770,13.558030,12.665750"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.301778,1.304259,1.302836,1.315311,1.340155,1.349582,1.371487", \ - "1.219744,1.228912,1.229401,1.255457,1.272207,1.295534,1.313715", \ - "1.134602,1.138314,1.140494,1.176318,1.207161,1.239323,1.275849", \ - "1.443919,1.416140,1.384634,1.352257,1.340752,1.328405,1.331068", \ - "2.642226,2.585746,2.522912,2.381150,2.154140,1.902481,1.716573", \ - "4.526426,4.495271,4.433936,4.275679,3.946287,3.385988,2.728351", \ - "6.975534,7.001240,6.945297,6.803728,6.508097,5.860226,4.737164"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("7.435995,7.449629,7.497763,7.545755,7.533659,7.579882,7.676170", \ - "7.358442,7.422735,7.421989,7.492289,7.471707,7.609439,7.607884", \ - "7.358513,7.386061,7.383587,7.435670,7.510557,7.511704,7.649692", \ - "7.781189,7.804986,7.800216,7.771517,7.754380,7.759713,7.723221", \ - "8.905666,8.926137,8.882994,8.852192,8.774526,8.415906,8.320913", \ - "10.957800,10.939190,10.856820,10.768400,10.550460,10.217940,9.551217", \ - "13.879860,13.871740,13.802220,13.646960,13.325030,12.737020,11.851540"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.303403,1.307977,1.314034,1.319562,1.335537,1.350905,1.365981", \ - "1.213014,1.208339,1.230228,1.243879,1.269462,1.290028,1.308809", \ - "1.136717,1.137173,1.149536,1.178002,1.200427,1.235511,1.275001", \ - "1.470388,1.448601,1.408928,1.370093,1.346861,1.337534,1.330189", \ - "2.689162,2.651886,2.575551,2.443846,2.220203,1.928010,1.739156", \ - "4.616404,4.574863,4.504420,4.332066,4.024608,3.477523,2.787747", \ - "7.104318,7.077143,7.029680,6.898354,6.605308,5.959175,4.861838"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("7.932960,7.930471,7.944175,7.996113,8.029057,8.092612,8.039962", \ - "7.858567,7.856614,7.857967,7.941100,7.960090,8.039899,8.008834", \ - "7.823062,7.820171,7.877996,7.910976,7.869225,7.928689,7.971307", \ - "8.281967,8.268142,8.246297,8.237356,8.219032,8.231075,8.142774", \ - "9.397884,9.382354,9.425631,9.425896,9.252082,9.087659,8.740825", \ - "11.463540,11.409180,11.371960,11.293740,11.014830,10.747790,9.970296", \ - "14.413280,14.394250,14.331120,14.214740,13.889500,13.246580,12.442830"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.430462,1.442375,1.450371,1.483287,1.497893,1.527197,1.549676", \ - "1.434636,1.446600,1.460444,1.489091,1.521280,1.553590,1.588782", \ - "1.529279,1.531051,1.527718,1.539263,1.561863,1.586270,1.610654", \ - "2.166092,2.126688,2.054530,1.989395,1.910158,1.838318,1.781107", \ - "3.601089,3.530960,3.414119,3.210565,2.894277,2.589082,2.308294", \ - "5.607539,5.586629,5.481809,5.271990,4.809884,4.105648,3.428381", \ - "8.179315,8.115563,8.103443,7.957000,7.538823,6.622326,5.344006"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.479581,4.480654,4.534484,4.568903,4.576181,4.564047,4.576932", \ - "4.458228,4.458518,4.512872,4.530478,4.570410,4.628525,4.607715", \ - "4.704561,4.694642,4.662507,4.673541,4.666528,4.572279,4.668117", \ - "5.550977,5.509882,5.474894,5.369085,5.261306,5.054484,4.867849", \ - "7.019051,6.982695,6.894833,6.767062,6.511812,6.158861,5.708931", \ - "9.328236,9.287338,9.164646,8.877284,8.562227,8.047418,7.177545", \ - "12.504840,12.456800,12.312830,12.029060,11.464160,10.760580,9.494916"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.724724,0.728937,0.742745,0.753993,0.760700,0.769023,0.777133", \ - "0.698542,0.713377,0.725759,0.751613,0.776152,0.792669,0.813708", \ - "0.829232,0.828462,0.827260,0.815959,0.821816,0.828737,0.835058", \ - "1.661341,1.602915,1.491098,1.338389,1.212055,1.102062,1.013092", \ - "3.180520,3.107813,2.989769,2.728755,2.320099,1.904780,1.572216", \ - "5.217382,5.146585,5.080970,4.831046,4.337562,3.522086,2.729796", \ - "7.759280,7.744780,7.702466,7.519017,7.111872,6.127156,4.720102"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.596483,3.595661,3.647578,3.649207,3.696976,3.683953,3.708762", \ - "3.575777,3.615918,3.626237,3.665525,3.697740,3.709618,3.596162", \ - "3.827249,3.824188,3.826638,3.822319,3.732131,3.791406,3.660420", \ - "4.649814,4.653159,4.609347,4.460181,4.333844,4.209549,4.106572", \ - "6.088376,6.013007,5.933756,5.831359,5.668474,5.203280,4.905764", \ - "8.413007,8.320718,8.253393,8.004152,7.660690,7.100210,6.451160", \ - "11.465690,11.425850,11.349700,11.111130,10.611600,9.830458,8.788161"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.713317,0.727783,0.742211,0.750746,0.763762,0.777871,0.779024", \ - "0.699566,0.715100,0.731785,0.754992,0.776891,0.802681,0.812619", \ - "0.846999,0.856517,0.843821,0.837823,0.838429,0.837007,0.845603", \ - "1.771057,1.713517,1.604875,1.436122,1.274087,1.146347,1.048612", \ - "3.301424,3.256060,3.143101,2.902683,2.500875,2.017653,1.656795", \ - "5.354503,5.315842,5.248841,5.048522,4.608897,3.810456,2.916601", \ - "7.915727,7.859641,7.884871,7.772240,7.443324,6.574224,5.120947"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.614054,3.627487,3.644838,3.652482,3.710800,3.688583,3.709086", \ - "3.558846,3.555272,3.609935,3.630107,3.689710,3.586277,3.720781", \ - "3.843169,3.817103,3.819889,3.806667,3.799236,3.793216,3.747451", \ - "4.707226,4.721299,4.672031,4.514070,4.423254,4.190003,4.115365", \ - "6.220949,6.201310,6.121310,5.983296,5.764840,5.414995,4.978226", \ - "8.708407,8.670342,8.541070,8.296445,7.936471,7.443466,6.660268", \ - "12.012020,11.970650,11.831730,11.607450,11.108010,10.267980,9.217557"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("2.017752,2.021494,2.038343,2.054997,2.076348,2.115607,2.145979", \ - "1.942284,1.946099,1.972959,1.996040,2.018818,2.052908,2.091639", \ - "2.021166,2.033783,2.038207,2.048983,2.048669,2.073650,2.097763", \ - "2.602515,2.576232,2.536491,2.503255,2.427868,2.359439,2.289060", \ - "4.035173,3.980869,3.881515,3.706049,3.430773,3.154783,2.862469", \ - "6.197040,6.145877,6.025407,5.815531,5.391157,4.753511,4.079541", \ - "8.992704,8.972208,8.886266,8.701124,8.236489,7.376024,6.139197"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("5.537786,5.589398,5.584055,5.597768,5.648805,5.558788,5.557660", \ - "5.451236,5.507992,5.521067,5.568634,5.579554,5.631308,5.575835", \ - "5.468410,5.465131,5.456888,5.496234,5.544132,5.569414,5.494579", \ - "5.984036,5.957925,5.912019,5.864571,5.813536,5.754490,5.715504", \ - "7.093788,7.048685,6.971931,6.932779,6.689846,6.516319,6.120092", \ - "9.087347,9.013912,8.873263,8.672316,8.343848,7.946761,7.279925", \ - "11.801370,11.720340,11.617820,11.355990,10.838160,10.161110,9.119895"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.299244,1.295445,1.312388,1.323289,1.334035,1.350331,1.369408", \ - "1.215077,1.222935,1.245349,1.259212,1.274176,1.294161,1.314895", \ - "1.337873,1.332428,1.326704,1.317297,1.319612,1.315928,1.321375", \ - "2.046956,2.001371,1.926592,1.834465,1.738557,1.618173,1.522414", \ - "3.595497,3.532386,3.402326,3.194128,2.849077,2.479442,2.127422", \ - "5.790090,5.735995,5.616279,5.378391,4.908669,4.168053,3.380163", \ - "8.574995,8.555660,8.468828,8.301326,7.807501,6.874776,5.518010"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.680273,4.707883,4.695413,4.737182,4.761305,4.740159,4.755121", \ - "4.623089,4.638884,4.620287,4.654061,4.749775,4.755792,4.797152", \ - "4.595159,4.581772,4.604272,4.578260,4.673255,4.691669,4.530418", \ - "5.021489,5.070255,5.052586,4.963776,4.928543,4.801083,4.853882", \ - "6.115576,6.063766,6.052374,5.941480,5.881307,5.585255,5.169106", \ - "8.073424,8.064036,7.928987,7.744374,7.370342,7.120178,6.393942", \ - "10.753400,10.681770,10.597940,10.457250,9.919103,9.188252,8.435097"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.280816,1.271188,1.287183,1.313368,1.324072,1.350524,1.364544", \ - "1.213227,1.204271,1.219053,1.249921,1.263918,1.287244,1.310167", \ - "1.331594,1.337149,1.324347,1.315107,1.316252,1.315516,1.321225", \ - "2.131722,2.087871,2.017408,1.890470,1.779384,1.650804,1.541421", \ - "3.749208,3.684707,3.573487,3.365534,3.015450,2.580586,2.206656", \ - "5.986863,5.971876,5.862869,5.651544,5.201603,4.451382,3.579259", \ - "8.855075,8.824771,8.762120,8.653677,8.217226,7.333304,5.937118"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.656373,4.705210,4.692974,4.726355,4.754084,4.751386,4.727690", \ - "4.576958,4.610390,4.606579,4.657414,4.640463,4.739743,4.787175", \ - "4.573163,4.565505,4.548161,4.590772,4.596990,4.660283,4.708745", \ - "5.043485,5.084649,5.067453,5.030430,4.903564,4.796751,4.837767", \ - "6.280598,6.223756,6.127371,6.040995,5.948888,5.643952,5.446657", \ - "8.398629,8.326630,8.240881,8.031235,7.672455,7.260207,6.681053", \ - "11.211290,11.195270,11.104410,10.871000,10.358830,9.628118,8.619630"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI221_X2 - Cell Description : Combinational cell (OAI221_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI221_X2) { - - drive_strength : 2; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 208.530795; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 38.640375; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 29.145145; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 29.145270; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 8.113071; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 118.894125; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 126.592463; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 126.592463; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 107.114883; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 118.894625; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 126.592963; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 126.593088; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 107.115383; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 198.595250; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 206.295088; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 206.295213; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 186.817635; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 45.347650; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 63.329933; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 63.330179; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 43.010137; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 313.027000; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 326.860500; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 367.376500; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 392.279250; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 313.028750; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 367.375125; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 407.879125; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 432.782500; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 392.817500; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 392.382500; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 432.907250; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 457.814500; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.552733; - fall_capacitance : 3.504026; - rise_capacitance : 3.552733; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.570648; - fall_capacitance : 3.019339; - rise_capacitance : 3.570648; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.202387; - fall_capacitance : 3.078857; - rise_capacitance : 3.202387; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.020414; - fall_capacitance : 2.791470; - rise_capacitance : 3.020414; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.372254; - fall_capacitance : 3.372254; - rise_capacitance : 3.201779; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 43.869000; - function : "!(((C1 | C2) & A) & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.017228,0.018408,0.019997,0.023120,0.029250,0.041306,0.065162", \ - "0.017817,0.019004,0.020604,0.023745,0.029903,0.041992,0.065876", \ - "0.019709,0.020889,0.022482,0.025622,0.031790,0.043910,0.067842", \ - "0.023019,0.024326,0.026065,0.029415,0.035800,0.047889,0.071741", \ - "0.026729,0.028252,0.030256,0.034067,0.041160,0.054190,0.078410", \ - "0.030270,0.032055,0.034386,0.038788,0.046899,0.061441,0.087406", \ - "0.033271,0.035341,0.038043,0.043111,0.052391,0.068827,0.097344"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.008050,0.008779,0.009763,0.011706,0.015548,0.023155,0.038283", \ - "0.008970,0.009699,0.010682,0.012627,0.016471,0.024085,0.039218", \ - "0.012783,0.013592,0.014640,0.016563,0.020270,0.027747,0.042761", \ - "0.017359,0.018435,0.019836,0.022481,0.027298,0.035617,0.050251", \ - "0.022325,0.023652,0.025375,0.028615,0.034527,0.044932,0.062452", \ - "0.027677,0.029247,0.031290,0.035150,0.042160,0.054416,0.075234", \ - "0.033484,0.035279,0.037616,0.042056,0.050174,0.064327,0.088176"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010049,0.011021,0.012346,0.014981,0.020225,0.030660,0.051466", \ - "0.010047,0.011021,0.012347,0.014980,0.020223,0.030659,0.051467", \ - "0.010132,0.011058,0.012344,0.014975,0.020223,0.030659,0.051467", \ - "0.012166,0.013019,0.014186,0.016519,0.021092,0.030872,0.051461", \ - "0.016249,0.017053,0.018137,0.020299,0.024652,0.033561,0.052266", \ - "0.022083,0.022947,0.024087,0.026295,0.030533,0.038943,0.056382", \ - "0.029218,0.030184,0.031467,0.033921,0.038475,0.046887,0.063502"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.008501,0.009218,0.010189,0.012097,0.015812,0.023022,0.037122", \ - "0.008498,0.009217,0.010189,0.012098,0.015815,0.023020,0.037123", \ - "0.010122,0.010606,0.011304,0.012784,0.015988,0.023022,0.037124", \ - "0.015923,0.016355,0.016953,0.018152,0.020428,0.025316,0.037348", \ - "0.022947,0.023417,0.024084,0.025435,0.028113,0.033216,0.042591", \ - "0.031413,0.031933,0.032670,0.034170,0.037147,0.042896,0.053518", \ - "0.041316,0.041882,0.042722,0.044429,0.047787,0.054156,0.065984"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.013974,0.015140,0.016706,0.019787,0.025848,0.037811,0.061551", \ - "0.014519,0.015697,0.017279,0.020387,0.026486,0.038489,0.062264", \ - "0.016405,0.017579,0.019149,0.022248,0.028355,0.040395,0.064219", \ - "0.019105,0.020483,0.022297,0.025749,0.032234,0.044390,0.068127", \ - "0.022035,0.023675,0.025814,0.029832,0.037187,0.050462,0.074830", \ - "0.024671,0.026610,0.029131,0.033830,0.042339,0.057303,0.083599", \ - "0.026713,0.028968,0.031894,0.037337,0.047137,0.064154,0.093207"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007778,0.008506,0.009487,0.011424,0.015245,0.022808,0.037846", \ - "0.008698,0.009425,0.010406,0.012343,0.016168,0.023737,0.038782", \ - "0.012441,0.013265,0.014330,0.016287,0.019971,0.027401,0.042328", \ - "0.016799,0.017897,0.019325,0.022007,0.026867,0.035237,0.049823", \ - "0.021427,0.022801,0.024573,0.027885,0.033873,0.044347,0.061930", \ - "0.026246,0.027907,0.030042,0.034026,0.041183,0.053570,0.074485", \ - "0.031259,0.033198,0.035694,0.040352,0.048724,0.063122,0.087152"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007997,0.008953,0.010262,0.012871,0.018076,0.028457,0.049183", \ - "0.007995,0.008956,0.010259,0.012873,0.018076,0.028454,0.049184", \ - "0.008344,0.009215,0.010425,0.012895,0.018075,0.028457,0.049177", \ - "0.010785,0.011581,0.012674,0.014891,0.019351,0.028820,0.049178", \ - "0.015198,0.015960,0.016996,0.019052,0.023203,0.031859,0.050208", \ - "0.021088,0.021939,0.023069,0.025237,0.029361,0.037509,0.054629", \ - "0.028164,0.029134,0.030420,0.032878,0.037388,0.045650,0.061962"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.006376,0.007084,0.008049,0.009955,0.013674,0.020881,0.034966", \ - "0.006372,0.007083,0.008049,0.009954,0.013675,0.020879,0.034967", \ - "0.008121,0.008557,0.009219,0.010676,0.013864,0.020880,0.034968", \ - "0.013027,0.013579,0.014317,0.015733,0.018318,0.023217,0.035205", \ - "0.019183,0.019796,0.020629,0.022252,0.025300,0.030838,0.040486", \ - "0.026634,0.027340,0.028301,0.030157,0.033619,0.039919,0.051108", \ - "0.035375,0.036186,0.037301,0.039467,0.043458,0.050562,0.063081"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.012175,0.013162,0.014488,0.017095,0.022215,0.032309,0.052325", \ - "0.012792,0.013791,0.015130,0.017760,0.022913,0.033043,0.053087", \ - "0.015385,0.016409,0.017739,0.020353,0.025512,0.035672,0.055759", \ - "0.018500,0.019815,0.021537,0.024784,0.030698,0.041200,0.061202", \ - "0.021374,0.022962,0.025033,0.028922,0.036020,0.048582,0.070213", \ - "0.023821,0.025704,0.028155,0.032734,0.041025,0.055556,0.080544", \ - "0.025576,0.027768,0.030617,0.035929,0.045511,0.062147,0.090370"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.008456,0.009174,0.010144,0.012067,0.015875,0.023428,0.038459", \ - "0.009386,0.010102,0.011073,0.012998,0.016811,0.024373,0.039413", \ - "0.013237,0.014026,0.015050,0.016932,0.020618,0.028044,0.042970", \ - "0.017923,0.018982,0.020361,0.022969,0.027725,0.035955,0.050502", \ - "0.022959,0.024279,0.025990,0.029204,0.035060,0.045377,0.062773", \ - "0.028243,0.029843,0.031908,0.035780,0.042779,0.054971,0.075677", \ - "0.033751,0.035631,0.038049,0.042593,0.050793,0.064978,0.088761"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007410,0.008203,0.009285,0.011448,0.015784,0.024433,0.041714", \ - "0.007409,0.008202,0.009285,0.011447,0.015781,0.024433,0.041712", \ - "0.007922,0.008586,0.009533,0.011518,0.015778,0.024432,0.041716", \ - "0.011165,0.011826,0.012712,0.014480,0.017929,0.025128,0.041716", \ - "0.015957,0.016633,0.017546,0.019349,0.022927,0.029917,0.043825", \ - "0.022136,0.022897,0.023911,0.025881,0.029623,0.036800,0.050768", \ - "0.029513,0.030384,0.031540,0.033788,0.037969,0.045559,0.059853"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.006687,0.007410,0.008384,0.010305,0.014039,0.021252,0.035348", \ - "0.006688,0.007410,0.008386,0.010307,0.014040,0.021248,0.035345", \ - "0.008187,0.008678,0.009388,0.010907,0.014172,0.021252,0.035345", \ - "0.013015,0.013590,0.014355,0.015802,0.018410,0.023410,0.035535", \ - "0.018999,0.019643,0.020507,0.022170,0.025278,0.030876,0.040608", \ - "0.026311,0.027035,0.028012,0.029896,0.033396,0.039784,0.051060", \ - "0.034948,0.035750,0.036883,0.039042,0.043039,0.050175,0.062816"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.013974,0.015140,0.016706,0.019787,0.025848,0.037811,0.061551", \ - "0.014519,0.015697,0.017279,0.020387,0.026486,0.038489,0.062264", \ - "0.016405,0.017579,0.019149,0.022248,0.028355,0.040395,0.064219", \ - "0.019105,0.020483,0.022297,0.025749,0.032234,0.044390,0.068127", \ - "0.022035,0.023675,0.025814,0.029832,0.037187,0.050462,0.074830", \ - "0.024671,0.026610,0.029131,0.033830,0.042339,0.057303,0.083599", \ - "0.026713,0.028968,0.031894,0.037337,0.047137,0.064154,0.093207"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007778,0.008506,0.009487,0.011424,0.015245,0.022808,0.037846", \ - "0.008698,0.009425,0.010406,0.012343,0.016168,0.023737,0.038782", \ - "0.012441,0.013265,0.014330,0.016287,0.019971,0.027401,0.042328", \ - "0.016799,0.017897,0.019325,0.022007,0.026867,0.035237,0.049823", \ - "0.021427,0.022801,0.024573,0.027885,0.033873,0.044347,0.061930", \ - "0.026246,0.027907,0.030042,0.034026,0.041183,0.053570,0.074485", \ - "0.031259,0.033198,0.035694,0.040352,0.048724,0.063122,0.087152"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007997,0.008953,0.010262,0.012871,0.018076,0.028457,0.049183", \ - "0.007995,0.008956,0.010259,0.012873,0.018076,0.028454,0.049184", \ - "0.008344,0.009215,0.010425,0.012895,0.018075,0.028457,0.049177", \ - "0.010785,0.011581,0.012674,0.014891,0.019351,0.028820,0.049178", \ - "0.015198,0.015960,0.016996,0.019052,0.023203,0.031859,0.050208", \ - "0.021088,0.021939,0.023069,0.025237,0.029361,0.037509,0.054629", \ - "0.028164,0.029134,0.030420,0.032878,0.037388,0.045650,0.061962"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.006376,0.007084,0.008049,0.009955,0.013674,0.020881,0.034966", \ - "0.006372,0.007083,0.008049,0.009954,0.013675,0.020879,0.034967", \ - "0.008121,0.008557,0.009219,0.010676,0.013864,0.020880,0.034968", \ - "0.013027,0.013579,0.014317,0.015733,0.018318,0.023217,0.035205", \ - "0.019183,0.019796,0.020629,0.022252,0.025300,0.030838,0.040486", \ - "0.026634,0.027340,0.028301,0.030157,0.033619,0.039919,0.051108", \ - "0.035375,0.036186,0.037301,0.039467,0.043458,0.050562,0.063081"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.011004,0.012119,0.013628,0.016623,0.022573,0.034423,0.058074", \ - "0.011478,0.012616,0.014152,0.017189,0.023192,0.035093,0.058784", \ - "0.013051,0.014291,0.015937,0.019016,0.025035,0.036978,0.060727", \ - "0.014982,0.016476,0.018414,0.022037,0.028690,0.040985,0.064634", \ - "0.016954,0.018776,0.021114,0.025428,0.033144,0.046755,0.071339", \ - "0.018546,0.020724,0.023506,0.028611,0.037635,0.053147,0.079864", \ - "0.019490,0.022039,0.025284,0.031226,0.041687,0.059435,0.089131"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007519,0.008246,0.009224,0.011154,0.014957,0.022483,0.037444", \ - "0.008439,0.009165,0.010143,0.012074,0.015880,0.023412,0.038381", \ - "0.012109,0.012948,0.014029,0.016023,0.019686,0.027078,0.041927", \ - "0.016265,0.017386,0.018839,0.021554,0.026456,0.034875,0.049421", \ - "0.020595,0.022010,0.023829,0.027199,0.033257,0.043792,0.061436", \ - "0.024980,0.026707,0.028917,0.032999,0.040278,0.052780,0.073773", \ - "0.029376,0.031416,0.034031,0.038846,0.047409,0.062006,0.086183"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.005880,0.006835,0.008141,0.010742,0.015935,0.026297,0.046995", \ - "0.005884,0.006841,0.008139,0.010743,0.015936,0.026306,0.046996", \ - "0.006780,0.007551,0.008650,0.010983,0.015957,0.026306,0.047002", \ - "0.009635,0.010346,0.011348,0.013413,0.017762,0.026883,0.047001", \ - "0.014357,0.015073,0.016047,0.017977,0.021904,0.030265,0.048274", \ - "0.020304,0.021111,0.022203,0.024312,0.028311,0.036205,0.052980", \ - "0.027424,0.028319,0.029562,0.031969,0.036410,0.044540,0.060527"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.005109,0.005743,0.006612,0.008352,0.011844,0.018841,0.032833", \ - "0.005103,0.005742,0.006610,0.008352,0.011845,0.018838,0.032827", \ - "0.006799,0.007251,0.007830,0.009110,0.012048,0.018840,0.032824", \ - "0.010514,0.011154,0.011983,0.013534,0.016312,0.021220,0.033071", \ - "0.015318,0.016122,0.017154,0.019066,0.022477,0.028436,0.038395", \ - "0.021258,0.022262,0.023544,0.025886,0.029955,0.036878,0.048665", \ - "0.028389,0.029600,0.031167,0.034006,0.038854,0.046855,0.060148"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.009721,0.010660,0.011931,0.014454,0.019462,0.029431,0.049325", \ - "0.010277,0.011237,0.012531,0.015090,0.020144,0.030157,0.050084", \ - "0.012445,0.013555,0.014994,0.017653,0.022724,0.032774,0.052750", \ - "0.014527,0.015960,0.017817,0.021273,0.027487,0.038306,0.058200", \ - "0.016415,0.018175,0.020441,0.024622,0.032090,0.045081,0.067138", \ - "0.017815,0.019925,0.022627,0.027595,0.036391,0.051473,0.076986", \ - "0.018473,0.020950,0.024101,0.029893,0.040111,0.057461,0.086335"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.008193,0.008909,0.009876,0.011790,0.015578,0.023091,0.038041", \ - "0.009123,0.009838,0.010804,0.012720,0.016514,0.024037,0.038998", \ - "0.012916,0.013719,0.014755,0.016662,0.020325,0.027709,0.042551", \ - "0.017417,0.018491,0.019890,0.022526,0.027317,0.035591,0.050087", \ - "0.022184,0.023537,0.025282,0.028546,0.034458,0.044826,0.062275", \ - "0.027079,0.028732,0.030850,0.034805,0.041908,0.054197,0.074972", \ - "0.032033,0.033993,0.036495,0.041167,0.049540,0.063898,0.087808"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.005575,0.006374,0.007460,0.009629,0.013954,0.022585,0.039826", \ - "0.005577,0.006374,0.007459,0.009630,0.013956,0.022597,0.039819", \ - "0.006802,0.007371,0.008191,0.009987,0.013979,0.022595,0.039819", \ - "0.010227,0.010828,0.011666,0.013372,0.016778,0.023657,0.039829", \ - "0.015257,0.015882,0.016731,0.018442,0.021864,0.028732,0.042384", \ - "0.021505,0.022205,0.023172,0.025070,0.028707,0.035709,0.049525", \ - "0.028968,0.029725,0.030824,0.032998,0.037080,0.044573,0.058665"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.005405,0.006050,0.006928,0.008681,0.012192,0.019204,0.033207", \ - "0.005406,0.006050,0.006928,0.008684,0.012192,0.019207,0.033203", \ - "0.006928,0.007357,0.007971,0.009314,0.012336,0.019204,0.033210", \ - "0.010609,0.011254,0.012088,0.013650,0.016430,0.021405,0.033400", \ - "0.015325,0.016118,0.017150,0.019060,0.022502,0.028495,0.038515", \ - "0.021188,0.022166,0.023434,0.025747,0.029801,0.036766,0.048627", \ - "0.028259,0.029437,0.030967,0.033747,0.038527,0.046506,0.059884"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.011953,0.012957,0.014305,0.016953,0.022155,0.032412,0.052755", \ - "0.012555,0.013569,0.014929,0.017600,0.022834,0.033126,0.053499", \ - "0.014349,0.015408,0.016791,0.019448,0.024680,0.034998,0.055409", \ - "0.016550,0.017789,0.019410,0.022477,0.028182,0.038826,0.059159", \ - "0.018433,0.019944,0.021905,0.025566,0.032209,0.044014,0.065382", \ - "0.019511,0.021318,0.023658,0.028010,0.035834,0.049427,0.072853", \ - "0.019536,0.021642,0.024375,0.029449,0.038548,0.054229,0.080554"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007733,0.008461,0.009442,0.011378,0.015199,0.022764,0.037804", \ - "0.008631,0.009357,0.010337,0.012274,0.016098,0.023666,0.038713", \ - "0.012461,0.013278,0.014334,0.016280,0.019948,0.027363,0.042274", \ - "0.017159,0.018231,0.019624,0.022254,0.027044,0.035337,0.049870", \ - "0.022488,0.023811,0.025522,0.028729,0.034554,0.044831,0.062220", \ - "0.028402,0.029993,0.032046,0.035878,0.042781,0.054803,0.075320", \ - "0.034943,0.036799,0.039186,0.043651,0.051692,0.065569,0.088928"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.006681,0.007498,0.008613,0.010840,0.015286,0.024157,0.041878", \ - "0.006680,0.007498,0.008613,0.010839,0.015286,0.024158,0.041878", \ - "0.007171,0.007889,0.008891,0.010964,0.015283,0.024157,0.041881", \ - "0.009693,0.010351,0.011258,0.013106,0.016905,0.024769,0.041879", \ - "0.014109,0.014778,0.015680,0.017432,0.020925,0.028127,0.043463", \ - "0.019818,0.020584,0.021608,0.023546,0.027174,0.034040,0.048339", \ - "0.026617,0.027520,0.028708,0.030955,0.035030,0.042294,0.056013"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.006377,0.007084,0.008048,0.009955,0.013675,0.020878,0.034970", \ - "0.006372,0.007083,0.008047,0.009954,0.013673,0.020879,0.034972", \ - "0.008109,0.008550,0.009217,0.010678,0.013866,0.020881,0.034968", \ - "0.012801,0.013374,0.014129,0.015580,0.018214,0.023175,0.035197", \ - "0.018618,0.019245,0.020099,0.021752,0.024886,0.030555,0.040351", \ - "0.025720,0.026422,0.027374,0.029233,0.032735,0.039187,0.050629", \ - "0.034094,0.034886,0.035978,0.038103,0.042069,0.049226,0.062032"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.009498,0.010451,0.011743,0.014306,0.019401,0.029551,0.049811", \ - "0.010039,0.011012,0.012326,0.014925,0.020064,0.030257,0.050550", \ - "0.011480,0.012566,0.013996,0.016728,0.021888,0.032112,0.052450", \ - "0.012871,0.014229,0.015979,0.019224,0.025113,0.035885,0.056198", \ - "0.013775,0.015463,0.017624,0.021588,0.028602,0.040764,0.062343", \ - "0.013840,0.015873,0.018464,0.023209,0.031549,0.045699,0.069572", \ - "0.012825,0.015211,0.018240,0.023787,0.033525,0.049922,0.076912"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007474,0.008201,0.009179,0.011108,0.014912,0.022438,0.037403", \ - "0.008372,0.009097,0.010075,0.012004,0.015810,0.023341,0.038311", \ - "0.012131,0.012963,0.014034,0.016016,0.019665,0.027039,0.041875", \ - "0.016639,0.017730,0.019148,0.021808,0.026636,0.034977,0.049470", \ - "0.021694,0.023054,0.024804,0.028068,0.033953,0.044285,0.061729", \ - "0.027202,0.028855,0.030978,0.034901,0.041913,0.054035,0.074622", \ - "0.033168,0.035119,0.037614,0.042229,0.050446,0.064502,0.087986"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.004888,0.005703,0.006815,0.009039,0.013470,0.022329,0.040030", \ - "0.004893,0.005706,0.006815,0.009036,0.013475,0.022327,0.040033", \ - "0.005875,0.006572,0.007479,0.009398,0.013532,0.022327,0.040029", \ - "0.008815,0.009420,0.010245,0.011946,0.015552,0.023190,0.040057", \ - "0.013430,0.014070,0.014930,0.016602,0.019907,0.026846,0.041909", \ - "0.019151,0.019893,0.020890,0.022795,0.026342,0.033031,0.047009", \ - "0.025988,0.026819,0.027970,0.030181,0.034238,0.041401,0.054894"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.005110,0.005743,0.006612,0.008353,0.011844,0.018838,0.032827", \ - "0.005103,0.005741,0.006611,0.008352,0.011843,0.018839,0.032829", \ - "0.006790,0.007247,0.007826,0.009112,0.012051,0.018839,0.032828", \ - "0.010330,0.010975,0.011815,0.013397,0.016216,0.021178,0.033064", \ - "0.014859,0.015659,0.016698,0.018617,0.022091,0.028164,0.038258", \ - "0.020519,0.021499,0.022765,0.025073,0.029142,0.036178,0.048198", \ - "0.027357,0.028537,0.030048,0.032817,0.037593,0.045580,0.059120"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.008188,0.008955,0.009993,0.012053,0.016143,0.024285,0.040534", \ - "0.008845,0.009628,0.010685,0.012773,0.016900,0.025077,0.041353", \ - "0.010874,0.011854,0.013116,0.015449,0.019654,0.027861,0.044173", \ - "0.012336,0.013634,0.015306,0.018394,0.023899,0.033359,0.049841", \ - "0.013100,0.014728,0.016816,0.020648,0.027417,0.039005,0.058394", \ - "0.012912,0.014879,0.017389,0.021994,0.030100,0.043821,0.066580", \ - "0.011545,0.013852,0.016790,0.022178,0.031664,0.047649,0.073822"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.008148,0.008864,0.009830,0.011744,0.015532,0.023046,0.037996", \ - "0.009054,0.009768,0.010734,0.012649,0.016442,0.023964,0.038927", \ - "0.012942,0.013735,0.014765,0.016660,0.020307,0.027674,0.042503", \ - "0.017788,0.018838,0.020207,0.022793,0.027518,0.035713,0.050154", \ - "0.023271,0.024572,0.026259,0.029422,0.035184,0.045367,0.062618", \ - "0.029292,0.030872,0.032910,0.036715,0.043573,0.055515,0.075909", \ - "0.035830,0.037694,0.040093,0.044571,0.052612,0.066461,0.089733"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.004367,0.005015,0.005900,0.007668,0.011195,0.018235,0.032294", \ - "0.004371,0.005018,0.005903,0.007668,0.011198,0.018233,0.032291", \ - "0.005860,0.006360,0.007009,0.008331,0.011366,0.018238,0.032294", \ - "0.009338,0.009841,0.010529,0.011913,0.014664,0.020046,0.032443", \ - "0.014240,0.014794,0.015535,0.017005,0.019870,0.025469,0.036350", \ - "0.020251,0.020884,0.021757,0.023462,0.026657,0.032579,0.043880", \ - "0.027399,0.028113,0.029115,0.031096,0.034789,0.041371,0.053191"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.005404,0.006049,0.006927,0.008682,0.012191,0.019194,0.033210", \ - "0.005406,0.006049,0.006928,0.008682,0.012193,0.019197,0.033199", \ - "0.006915,0.007345,0.007967,0.009314,0.012339,0.019204,0.033201", \ - "0.010420,0.011073,0.011920,0.013507,0.016326,0.021350,0.033396", \ - "0.014851,0.015643,0.016674,0.018601,0.022094,0.028201,0.038357", \ - "0.020418,0.021378,0.022621,0.024901,0.028957,0.036029,0.048118", \ - "0.027191,0.028335,0.029819,0.032516,0.037214,0.045179,0.058790"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.015592,0.016757,0.018323,0.021405,0.027466,0.039430,0.063170", \ - "0.016199,0.017380,0.018966,0.022079,0.028181,0.040187,0.063962", \ - "0.016970,0.018155,0.019743,0.022872,0.029015,0.041087,0.064933", \ - "0.017363,0.018646,0.020350,0.023641,0.030000,0.042204,0.065999", \ - "0.016827,0.018380,0.020398,0.024167,0.031057,0.043782,0.068030", \ - "0.014071,0.015984,0.018454,0.023026,0.031182,0.045315,0.070543", \ - "0.008839,0.011103,0.014031,0.019442,0.029072,0.045472,0.073019"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.017893,0.019319,0.021236,0.025021,0.032461,0.047135,0.076190", \ - "0.018376,0.019804,0.021729,0.025524,0.032980,0.047667,0.076731", \ - "0.021685,0.023058,0.024923,0.028624,0.035957,0.050516,0.079474", \ - "0.029289,0.030750,0.032689,0.036385,0.043320,0.057352,0.085762", \ - "0.038776,0.040456,0.042680,0.046957,0.055008,0.069666,0.097061", \ - "0.050406,0.052319,0.054800,0.059563,0.068530,0.085057,0.114526", \ - "0.064341,0.066492,0.069278,0.074581,0.084457,0.102515,0.135074"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007996,0.008956,0.010263,0.012873,0.018072,0.028456,0.049180", \ - "0.007997,0.008957,0.010261,0.012873,0.018073,0.028455,0.049179", \ - "0.008173,0.009084,0.010333,0.012881,0.018072,0.028458,0.049184", \ - "0.009712,0.010540,0.011693,0.014065,0.018926,0.028766,0.049178", \ - "0.013637,0.014362,0.015353,0.017352,0.021540,0.030673,0.050057", \ - "0.019367,0.020125,0.021139,0.023124,0.027046,0.035055,0.052904", \ - "0.026293,0.027147,0.028280,0.030476,0.034594,0.042424,0.058576"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.016432,0.017666,0.019348,0.022701,0.029374,0.042666,0.069203", \ - "0.016432,0.017666,0.019348,0.022701,0.029374,0.042668,0.069213", \ - "0.016388,0.017591,0.019310,0.022695,0.029375,0.042665,0.069210", \ - "0.019437,0.020365,0.021673,0.024386,0.030112,0.042662,0.069208", \ - "0.024443,0.025546,0.027027,0.029908,0.035316,0.045795,0.069510", \ - "0.030405,0.031574,0.033173,0.036315,0.042361,0.053598,0.074566", \ - "0.037630,0.038882,0.040570,0.043915,0.050371,0.062583,0.084823"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.012616,0.013733,0.015243,0.018239,0.024191,0.036042,0.059694", \ - "0.013130,0.014280,0.015827,0.018874,0.024885,0.036790,0.060481", \ - "0.013693,0.014909,0.016520,0.019613,0.025680,0.037668,0.061443", \ - "0.013616,0.014987,0.016768,0.020135,0.026523,0.038784,0.062505", \ - "0.011926,0.013692,0.015942,0.020044,0.027283,0.040197,0.064503", \ - "0.007904,0.010091,0.012872,0.017923,0.026688,0.041407,0.066916", \ - "0.001490,0.004073,0.007354,0.013330,0.023719,0.040964,0.069161"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.017219,0.018640,0.020553,0.024317,0.031708,0.046265,0.075092", \ - "0.017700,0.019126,0.021044,0.024819,0.032225,0.046796,0.075628", \ - "0.021022,0.022391,0.024248,0.027924,0.035204,0.049647,0.078374", \ - "0.028468,0.029954,0.031915,0.035647,0.042582,0.056484,0.084659", \ - "0.037658,0.039374,0.041625,0.045946,0.054043,0.068748,0.095959", \ - "0.048918,0.050875,0.053404,0.058230,0.067262,0.083835,0.113341", \ - "0.062313,0.064545,0.067416,0.072818,0.082821,0.100964,0.133560"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.005879,0.006838,0.008140,0.010743,0.015939,0.026305,0.046996", \ - "0.005882,0.006839,0.008141,0.010742,0.015934,0.026302,0.046993", \ - "0.006352,0.007212,0.008406,0.010858,0.015944,0.026298,0.046996", \ - "0.008401,0.009122,0.010155,0.012341,0.017048,0.026752,0.047001", \ - "0.012831,0.013489,0.014399,0.016241,0.020138,0.028866,0.048032", \ - "0.018781,0.019474,0.020426,0.022308,0.026016,0.033704,0.051074", \ - "0.025788,0.026559,0.027629,0.029737,0.033739,0.041341,0.057097"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.012390,0.013611,0.015279,0.018607,0.025257,0.038549,0.065111", \ - "0.012387,0.013612,0.015276,0.018605,0.025254,0.038550,0.065107", \ - "0.012355,0.013539,0.015233,0.018599,0.025252,0.038556,0.065111", \ - "0.015302,0.016372,0.017695,0.020354,0.026024,0.038540,0.065115", \ - "0.019288,0.020530,0.022169,0.025312,0.031116,0.041735,0.065420", \ - "0.024227,0.025598,0.027414,0.030903,0.037427,0.049252,0.070511", \ - "0.030260,0.031780,0.033799,0.037622,0.044703,0.057621,0.080614"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.011317,0.012257,0.013529,0.016052,0.021061,0.031031,0.050925", \ - "0.011870,0.012839,0.014143,0.016709,0.021769,0.031785,0.051712", \ - "0.012687,0.013733,0.015124,0.017779,0.022893,0.032981,0.052980", \ - "0.012876,0.014166,0.015827,0.018910,0.024567,0.035109,0.055132", \ - "0.011147,0.012847,0.015018,0.018967,0.025851,0.037624,0.058713", \ - "0.006990,0.009109,0.011811,0.016721,0.025231,0.039338,0.062596", \ - "0.000368,0.002877,0.006071,0.011899,0.022043,0.038835,0.065687"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.018728,0.020111,0.021981,0.025684,0.033000,0.047481,0.076240", \ - "0.019223,0.020611,0.022488,0.026200,0.033533,0.048033,0.076807", \ - "0.022566,0.023904,0.025724,0.029344,0.036554,0.050922,0.079581", \ - "0.030198,0.031629,0.033529,0.037157,0.043999,0.057853,0.085966", \ - "0.039727,0.041391,0.043582,0.047812,0.055780,0.070291,0.097424", \ - "0.051374,0.053273,0.055730,0.060459,0.069374,0.085807,0.115076", \ - "0.065292,0.067446,0.070208,0.075487,0.085338,0.103348,0.135805"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.005575,0.006374,0.007459,0.009630,0.013954,0.022597,0.039819", \ - "0.005577,0.006373,0.007460,0.009629,0.013957,0.022590,0.039826", \ - "0.006189,0.006874,0.007830,0.009827,0.013977,0.022588,0.039821", \ - "0.008802,0.009365,0.010163,0.011854,0.015520,0.023290,0.039862", \ - "0.013630,0.014174,0.014922,0.016427,0.019531,0.026309,0.041485", \ - "0.019856,0.020433,0.021239,0.022852,0.026008,0.032257,0.045815", \ - "0.027111,0.027761,0.028669,0.030505,0.034028,0.040596,0.053363"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.012922,0.014165,0.015854,0.019218,0.025917,0.039265,0.065875", \ - "0.012923,0.014166,0.015853,0.019217,0.025918,0.039266,0.065876", \ - "0.012885,0.014147,0.015849,0.019215,0.025913,0.039263,0.065872", \ - "0.015661,0.016681,0.018013,0.020752,0.026550,0.039257,0.065879", \ - "0.019610,0.020851,0.022500,0.025649,0.031448,0.042179,0.066109", \ - "0.024406,0.025785,0.027603,0.031111,0.037671,0.049517,0.070904", \ - "0.030298,0.031812,0.033811,0.037623,0.044725,0.057716,0.080751"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.018509,0.019679,0.021254,0.024361,0.030470,0.042509,0.066350", \ - "0.019022,0.020196,0.021779,0.024894,0.031014,0.043064,0.066916", \ - "0.019892,0.021069,0.022653,0.025778,0.031917,0.043991,0.067868", \ - "0.020532,0.021784,0.023456,0.026710,0.033019,0.045164,0.068977", \ - "0.020887,0.022316,0.024195,0.027765,0.034431,0.046993,0.071109", \ - "0.019833,0.021549,0.023786,0.027978,0.035602,0.049173,0.074005", \ - "0.017007,0.019022,0.021637,0.026510,0.035333,0.050731,0.077306"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.020136,0.021557,0.023474,0.027256,0.034696,0.049367,0.078424", \ - "0.021017,0.022442,0.024363,0.028152,0.035598,0.050278,0.079338", \ - "0.023644,0.025064,0.026982,0.030770,0.038221,0.052909,0.081983", \ - "0.028904,0.030395,0.032386,0.036248,0.043589,0.058083,0.086971", \ - "0.036368,0.037986,0.040126,0.044279,0.052242,0.067263,0.095708", \ - "0.046064,0.047865,0.050212,0.054708,0.063213,0.079173,0.108969", \ - "0.057657,0.059696,0.062335,0.067324,0.076602,0.093617,0.124983"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010049,0.011025,0.012347,0.014981,0.020222,0.030660,0.051465", \ - "0.010049,0.011022,0.012346,0.014980,0.020225,0.030659,0.051465", \ - "0.010151,0.011093,0.012376,0.014984,0.020224,0.030660,0.051465", \ - "0.011419,0.012305,0.013531,0.015996,0.020925,0.030918,0.051460", \ - "0.014823,0.015598,0.016644,0.018789,0.023224,0.032643,0.052238", \ - "0.020396,0.021165,0.022189,0.024210,0.028245,0.036591,0.054898", \ - "0.027383,0.028227,0.029348,0.031517,0.035624,0.043550,0.060140"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.016431,0.017666,0.019347,0.022701,0.029373,0.042664,0.069213", \ - "0.016431,0.017666,0.019347,0.022702,0.029375,0.042667,0.069208", \ - "0.016402,0.017638,0.019330,0.022695,0.029374,0.042668,0.069208", \ - "0.018498,0.019505,0.020914,0.023832,0.029904,0.042677,0.069209", \ - "0.022342,0.023389,0.024823,0.027697,0.033395,0.044795,0.069509", \ - "0.027403,0.028444,0.029876,0.032748,0.038515,0.050009,0.072827", \ - "0.033718,0.034774,0.036249,0.039192,0.045008,0.056575,0.079606"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.015305,0.016457,0.018010,0.021076,0.027123,0.039087,0.062853", \ - "0.015802,0.016962,0.018523,0.021601,0.027663,0.039640,0.063417", \ - "0.016599,0.017789,0.019368,0.022464,0.028550,0.040558,0.064365", \ - "0.016892,0.018192,0.019904,0.023202,0.029541,0.041731,0.065476", \ - "0.016451,0.018026,0.020066,0.023856,0.030749,0.043432,0.067595", \ - "0.014419,0.016327,0.018785,0.023320,0.031393,0.045384,0.070413", \ - "0.010700,0.012921,0.015776,0.021048,0.030435,0.046466,0.073546"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.019460,0.020881,0.022791,0.026552,0.033943,0.048498,0.077325", \ - "0.020341,0.021764,0.023680,0.027448,0.034847,0.049409,0.078240", \ - "0.022970,0.024387,0.026299,0.030065,0.037465,0.052039,0.080877", \ - "0.028130,0.029631,0.031634,0.035507,0.042836,0.057209,0.085868", \ - "0.035412,0.037059,0.039213,0.043386,0.051349,0.066335,0.094605", \ - "0.044828,0.046663,0.049065,0.053604,0.062140,0.078082,0.107784", \ - "0.056021,0.058122,0.060831,0.065912,0.075272,0.092322,0.123620"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.008017,0.008975,0.010288,0.012899,0.018113,0.028512,0.049275", \ - "0.008017,0.008975,0.010285,0.012899,0.018112,0.028514,0.049274", \ - "0.008300,0.009202,0.010446,0.012955,0.018112,0.028512,0.049273", \ - "0.009888,0.010709,0.011843,0.014193,0.019037,0.028882,0.049274", \ - "0.013889,0.014588,0.015554,0.017521,0.021690,0.030795,0.050201", \ - "0.019712,0.020438,0.021409,0.023322,0.027142,0.035137,0.053031", \ - "0.026701,0.027528,0.028627,0.030749,0.034734,0.042413,0.058588"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.012391,0.013613,0.015279,0.018606,0.025255,0.038548,0.065115", \ - "0.012389,0.013611,0.015278,0.018605,0.025256,0.038553,0.065107", \ - "0.012358,0.013580,0.015256,0.018597,0.025252,0.038552,0.065118", \ - "0.014377,0.015467,0.016915,0.019780,0.025799,0.038557,0.065117", \ - "0.017588,0.018730,0.020255,0.023283,0.029201,0.040709,0.065416", \ - "0.021906,0.023096,0.024694,0.027810,0.033883,0.045727,0.068760", \ - "0.027259,0.028552,0.030284,0.033602,0.039887,0.051923,0.075394"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.013504,0.014479,0.015792,0.018381,0.023482,0.033560,0.053563", \ - "0.014042,0.015023,0.016343,0.018943,0.024056,0.034146,0.054157", \ - "0.015104,0.016133,0.017493,0.020119,0.025255,0.035372,0.055411", \ - "0.015899,0.017092,0.018650,0.021600,0.027136,0.037580,0.057602", \ - "0.015491,0.017006,0.018967,0.022587,0.029054,0.040454,0.061329", \ - "0.013308,0.015158,0.017547,0.021955,0.029766,0.043064,0.065669", \ - "0.009340,0.011501,0.014282,0.019430,0.028592,0.044159,0.069755"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.020976,0.022357,0.024224,0.027923,0.035238,0.049716,0.078471", \ - "0.021866,0.023253,0.025126,0.028834,0.036159,0.050649,0.079412", \ - "0.024505,0.025887,0.027754,0.031458,0.038783,0.053283,0.082063", \ - "0.029841,0.031291,0.033234,0.037009,0.044217,0.058513,0.087099", \ - "0.037429,0.039016,0.041105,0.045180,0.053013,0.067830,0.095970", \ - "0.047293,0.049067,0.051369,0.055786,0.064167,0.079934,0.109429", \ - "0.059064,0.061083,0.063687,0.068610,0.077773,0.094610,0.125700"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007410,0.008202,0.009282,0.011449,0.015778,0.024430,0.041718", \ - "0.007409,0.008200,0.009283,0.011448,0.015783,0.024430,0.041713", \ - "0.007785,0.008511,0.009516,0.011568,0.015788,0.024429,0.041715", \ - "0.009971,0.010598,0.011466,0.013280,0.017088,0.025006,0.041742", \ - "0.014533,0.015100,0.015866,0.017437,0.020700,0.027773,0.043203", \ - "0.020689,0.021286,0.022100,0.023714,0.026889,0.033310,0.047286", \ - "0.027943,0.028644,0.029584,0.031418,0.034886,0.041397,0.054386"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.012922,0.014167,0.015854,0.019219,0.025919,0.039260,0.065876", \ - "0.012926,0.014168,0.015855,0.019219,0.025918,0.039258,0.065874", \ - "0.012917,0.014161,0.015851,0.019216,0.025914,0.039266,0.065874", \ - "0.014787,0.015882,0.017326,0.020266,0.026385,0.039260,0.065865", \ - "0.017865,0.019031,0.020595,0.023669,0.029647,0.041269,0.066132", \ - "0.021983,0.023191,0.024815,0.027985,0.034161,0.046142,0.069311", \ - "0.027141,0.028442,0.030175,0.033531,0.039901,0.052113,0.075767"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.011137,0.012307,0.013879,0.016971,0.023047,0.035035,0.058817", \ - "0.011802,0.012975,0.014552,0.017656,0.023751,0.035764,0.059568", \ - "0.014613,0.015759,0.017217,0.020217,0.026233,0.038179,0.061942", \ - "0.017879,0.019375,0.021330,0.025007,0.031700,0.043514,0.066923", \ - "0.020129,0.021979,0.024379,0.028866,0.036992,0.051327,0.075696", \ - "0.021078,0.023302,0.026192,0.031553,0.041193,0.057946,0.086566", \ - "0.020543,0.023149,0.026521,0.032788,0.044010,0.063372,0.095935"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010627,0.012017,0.013888,0.017586,0.024893,0.039395,0.068266", \ - "0.011292,0.012673,0.014542,0.018251,0.025596,0.040147,0.069069", \ - "0.014865,0.016244,0.017981,0.021518,0.028665,0.043054,0.071875", \ - "0.019867,0.021622,0.023906,0.028190,0.035916,0.049822,0.078093", \ - "0.026077,0.028180,0.030884,0.035921,0.045070,0.061196,0.089150", \ - "0.033294,0.035786,0.038979,0.044882,0.055421,0.073841,0.105495", \ - "0.041489,0.044351,0.048036,0.054870,0.066985,0.087766,0.123199"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.008018,0.008976,0.010289,0.012901,0.018114,0.028513,0.049278", \ - "0.008013,0.008974,0.010286,0.012898,0.018113,0.028511,0.049274", \ - "0.008455,0.009271,0.010426,0.012855,0.018087,0.028511,0.049275", \ - "0.011941,0.012787,0.013924,0.016143,0.020321,0.029031,0.049277", \ - "0.017412,0.018358,0.019580,0.021901,0.026298,0.034696,0.051202", \ - "0.024510,0.025639,0.027087,0.029760,0.034552,0.043234,0.059654", \ - "0.033104,0.034444,0.036169,0.039342,0.044855,0.054274,0.071210"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010858,0.012173,0.013938,0.017393,0.024149,0.037443,0.063857", \ - "0.010855,0.012173,0.013938,0.017394,0.024151,0.037443,0.063857", \ - "0.011921,0.012941,0.014394,0.017467,0.024151,0.037442,0.063860", \ - "0.016547,0.017492,0.018783,0.021182,0.026168,0.037694,0.063861", \ - "0.022756,0.023614,0.024842,0.027405,0.032596,0.042415,0.064667", \ - "0.030894,0.031717,0.032915,0.035418,0.040627,0.051175,0.071145", \ - "0.040664,0.041522,0.042768,0.045381,0.050712,0.061478,0.082620"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.008137,0.009254,0.010767,0.013768,0.019725,0.031579,0.055233", \ - "0.008762,0.009883,0.011404,0.014426,0.020414,0.032301,0.055981", \ - "0.011251,0.012508,0.014125,0.017079,0.022923,0.034726,0.058357", \ - "0.013292,0.014941,0.017066,0.020992,0.028041,0.040161,0.063376", \ - "0.014263,0.016343,0.019005,0.023870,0.032471,0.047323,0.072258", \ - "0.013892,0.016422,0.019635,0.025497,0.035787,0.053240,0.082503", \ - "0.012069,0.015025,0.018778,0.025636,0.037668,0.057930,0.091300"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010142,0.011520,0.013373,0.017036,0.024271,0.038629,0.067246", \ - "0.010810,0.012176,0.014027,0.017700,0.024971,0.039382,0.068047", \ - "0.014313,0.015737,0.017481,0.020974,0.028046,0.042291,0.070851", \ - "0.019052,0.020837,0.023146,0.027466,0.035237,0.049069,0.077071", \ - "0.024858,0.027031,0.029793,0.034901,0.044112,0.060286,0.088137", \ - "0.031438,0.034057,0.037368,0.043423,0.054105,0.072617,0.104317", \ - "0.038712,0.041776,0.045665,0.052760,0.065153,0.086146,0.121676"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.005846,0.006815,0.008129,0.010739,0.015938,0.026298,0.046994", \ - "0.005795,0.006784,0.008115,0.010736,0.015936,0.026301,0.046994", \ - "0.007076,0.007866,0.008851,0.011013,0.015869,0.026298,0.046994", \ - "0.010807,0.011606,0.012674,0.014793,0.018993,0.027242,0.046997", \ - "0.016353,0.017296,0.018516,0.020791,0.025058,0.033309,0.049458", \ - "0.023365,0.024512,0.025988,0.028677,0.033438,0.041967,0.058238", \ - "0.031876,0.033217,0.034972,0.038186,0.043736,0.053112,0.069847"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007420,0.008633,0.010279,0.013585,0.020190,0.033397,0.059789", \ - "0.007411,0.008628,0.010283,0.013592,0.020187,0.033389,0.059781", \ - "0.008561,0.009463,0.010784,0.013682,0.020195,0.033390,0.059784", \ - "0.012048,0.013117,0.014555,0.017312,0.022280,0.033663,0.059788", \ - "0.016970,0.018080,0.019572,0.022501,0.028156,0.038445,0.060629", \ - "0.023446,0.024678,0.026325,0.029469,0.035385,0.046635,0.067136", \ - "0.031382,0.032767,0.034623,0.038140,0.044542,0.056276,0.078265"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007361,0.008318,0.009613,0.012183,0.017283,0.027437,0.047698", \ - "0.008017,0.008978,0.010280,0.012865,0.017990,0.028170,0.048453", \ - "0.010267,0.011424,0.012911,0.015636,0.020632,0.030720,0.050946", \ - "0.011652,0.013198,0.015179,0.018817,0.025291,0.036348,0.056201", \ - "0.011631,0.013596,0.016106,0.020681,0.028712,0.042389,0.065153", \ - "0.009941,0.012335,0.015374,0.020914,0.030607,0.046908,0.073819", \ - "0.006452,0.009260,0.012805,0.019296,0.030668,0.049737,0.080786"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010133,0.011509,0.013365,0.017027,0.024265,0.038625,0.067246", \ - "0.010750,0.012119,0.013971,0.017645,0.024921,0.039337,0.068009", \ - "0.014309,0.015726,0.017463,0.020942,0.028002,0.042237,0.070797", \ - "0.019365,0.021122,0.023398,0.027669,0.035378,0.049155,0.077111", \ - "0.025756,0.027877,0.030576,0.035589,0.044673,0.060698,0.088422", \ - "0.033220,0.035763,0.038983,0.044887,0.055351,0.073609,0.105039", \ - "0.041710,0.044674,0.048446,0.055338,0.067423,0.088006,0.123122"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.004879,0.005698,0.006814,0.009034,0.013473,0.022330,0.040030", \ - "0.004854,0.005685,0.006807,0.009032,0.013470,0.022326,0.040032", \ - "0.006447,0.007119,0.007973,0.009659,0.013540,0.022330,0.040031", \ - "0.010277,0.010991,0.011938,0.013757,0.017279,0.023994,0.040027", \ - "0.015750,0.016629,0.017752,0.019820,0.023586,0.030640,0.043932", \ - "0.022661,0.023733,0.025120,0.027623,0.031981,0.039540,0.053477", \ - "0.031067,0.032334,0.033983,0.036986,0.042146,0.050710,0.065404"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007421,0.008630,0.010282,0.013586,0.020191,0.033397,0.059792", \ - "0.007416,0.008627,0.010280,0.013591,0.020189,0.033395,0.059782", \ - "0.008562,0.009468,0.010789,0.013685,0.020189,0.033395,0.059782", \ - "0.011907,0.012989,0.014443,0.017224,0.022228,0.033654,0.059795", \ - "0.016596,0.017700,0.019204,0.022162,0.027893,0.038279,0.060586", \ - "0.022846,0.024047,0.025669,0.028793,0.034756,0.046168,0.066857", \ - "0.030574,0.031903,0.033702,0.037136,0.043481,0.055320,0.077610"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.013961,0.015130,0.016706,0.019813,0.025923,0.037961,0.061800", \ - "0.014459,0.015630,0.017209,0.020318,0.026433,0.038476,0.062319", \ - "0.017115,0.018245,0.019790,0.022852,0.028898,0.040867,0.064647", \ - "0.021595,0.022980,0.024801,0.028256,0.034599,0.046257,0.069680", \ - "0.025395,0.027060,0.029252,0.033391,0.041038,0.054752,0.078546", \ - "0.028621,0.030575,0.033150,0.037978,0.046833,0.062623,0.090246", \ - "0.031188,0.033445,0.036402,0.041939,0.052055,0.069934,0.100926"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.012919,0.014291,0.016144,0.019814,0.027095,0.041571,0.070431", \ - "0.013774,0.015169,0.017052,0.020776,0.028125,0.042678,0.071592", \ - "0.016406,0.017788,0.019638,0.023318,0.030653,0.045236,0.074215", \ - "0.020167,0.021829,0.024003,0.028160,0.035936,0.050370,0.079157", \ - "0.025109,0.027068,0.029592,0.034308,0.042972,0.058809,0.087698", \ - "0.030727,0.033068,0.036058,0.041577,0.051431,0.068819,0.099973", \ - "0.036733,0.039487,0.042989,0.049432,0.060806,0.080282,0.113912"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010049,0.011025,0.012346,0.014981,0.020224,0.030658,0.051463", \ - "0.010051,0.011024,0.012347,0.014980,0.020224,0.030660,0.051466", \ - "0.010210,0.011107,0.012348,0.014925,0.020224,0.030659,0.051467", \ - "0.013469,0.014338,0.015486,0.017721,0.021912,0.030986,0.051462", \ - "0.018635,0.019590,0.020825,0.023208,0.027719,0.036188,0.053057", \ - "0.025400,0.026507,0.027917,0.030588,0.035497,0.044445,0.061069", \ - "0.033616,0.034915,0.036578,0.039677,0.045185,0.054819,0.072282"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010859,0.012174,0.013937,0.017393,0.024149,0.037441,0.063856", \ - "0.010860,0.012174,0.013937,0.017395,0.024151,0.037441,0.063859", \ - "0.011441,0.012582,0.014168,0.017431,0.024151,0.037442,0.063858", \ - "0.014868,0.015833,0.017178,0.019859,0.025426,0.037638,0.063859", \ - "0.020019,0.020829,0.021991,0.024483,0.029797,0.040675,0.064487", \ - "0.026799,0.027563,0.028676,0.031017,0.035974,0.046613,0.068618", \ - "0.034747,0.035536,0.036703,0.039133,0.044141,0.054430,0.076182"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010730,0.011881,0.013432,0.016493,0.022531,0.034473,0.058197", \ - "0.011219,0.012373,0.013928,0.016995,0.023039,0.034987,0.058716", \ - "0.013981,0.015131,0.016613,0.019581,0.025535,0.037393,0.061050", \ - "0.017381,0.018868,0.020813,0.024467,0.031109,0.042869,0.066122", \ - "0.020230,0.022039,0.024390,0.028780,0.036757,0.050893,0.075091", \ - "0.022472,0.024612,0.027392,0.032554,0.041856,0.058139,0.086288", \ - "0.024046,0.026519,0.029728,0.035673,0.046345,0.064863,0.096461"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.012437,0.013794,0.015630,0.019263,0.026470,0.040807,0.069409", \ - "0.013288,0.014670,0.016534,0.020221,0.027501,0.041913,0.070572", \ - "0.015888,0.017280,0.019120,0.022766,0.030027,0.044470,0.073195", \ - "0.019478,0.021149,0.023337,0.027497,0.035263,0.049609,0.078137", \ - "0.024133,0.026133,0.028698,0.033462,0.042147,0.057945,0.086682", \ - "0.029307,0.031727,0.034798,0.040420,0.050371,0.067780,0.098840", \ - "0.034704,0.037575,0.041211,0.047830,0.059384,0.078984,0.112590"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.008000,0.008957,0.010262,0.012869,0.018075,0.028455,0.049181", \ - "0.008000,0.008957,0.010266,0.012872,0.018072,0.028458,0.049181", \ - "0.008678,0.009471,0.010587,0.012941,0.018059,0.028457,0.049179", \ - "0.012218,0.013053,0.014160,0.016336,0.020495,0.029104,0.049179", \ - "0.017447,0.018399,0.019625,0.021969,0.026389,0.034779,0.051242", \ - "0.024102,0.025239,0.026687,0.029386,0.034236,0.043085,0.059618", \ - "0.032101,0.033475,0.035201,0.038379,0.043949,0.053528,0.070838"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007416,0.008627,0.010285,0.013584,0.020195,0.033388,0.059782", \ - "0.007418,0.008626,0.010284,0.013583,0.020187,0.033387,0.059790", \ - "0.008041,0.009069,0.010535,0.013632,0.020189,0.033388,0.059791", \ - "0.010766,0.011777,0.013179,0.015999,0.021508,0.033600,0.059790", \ - "0.014984,0.015950,0.017286,0.019990,0.025539,0.036686,0.060426", \ - "0.020466,0.021530,0.022957,0.025755,0.031211,0.042257,0.064586", \ - "0.026954,0.028142,0.029749,0.032850,0.038661,0.049670,0.071912"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.009494,0.010486,0.011821,0.014451,0.019632,0.029871,0.050200", \ - "0.010033,0.011027,0.012365,0.014999,0.020185,0.030427,0.050760", \ - "0.012724,0.013782,0.015150,0.017708,0.022805,0.032956,0.053214", \ - "0.015408,0.016791,0.018594,0.021967,0.028067,0.038640,0.058507", \ - "0.017227,0.018935,0.021147,0.025263,0.032674,0.045654,0.067683", \ - "0.018095,0.020125,0.022750,0.027622,0.036362,0.051510,0.077313", \ - "0.017935,0.020288,0.023325,0.028945,0.039023,0.056411,0.085679"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.012429,0.013786,0.015622,0.019257,0.026465,0.040803,0.069409", \ - "0.013240,0.014621,0.016485,0.020173,0.027454,0.041871,0.070536", \ - "0.015827,0.017218,0.019053,0.022692,0.029948,0.044390,0.073123", \ - "0.019601,0.021252,0.023417,0.027547,0.035276,0.049589,0.078093", \ - "0.024796,0.026748,0.029256,0.033931,0.042499,0.058176,0.086812", \ - "0.030819,0.033172,0.036160,0.041641,0.051375,0.068526,0.099348", \ - "0.037367,0.040154,0.043684,0.050119,0.061379,0.080566,0.113738"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.006689,0.007502,0.008616,0.010841,0.015283,0.024158,0.041879", \ - "0.006693,0.007508,0.008620,0.010844,0.015284,0.024158,0.041879", \ - "0.007802,0.008418,0.009293,0.011165,0.015291,0.024159,0.041879", \ - "0.011508,0.012237,0.013185,0.015034,0.018560,0.025450,0.041878", \ - "0.016797,0.017651,0.018752,0.020834,0.024680,0.031839,0.045312", \ - "0.023478,0.024526,0.025853,0.028304,0.032661,0.040408,0.054583", \ - "0.031531,0.032799,0.034416,0.037354,0.042429,0.051029,0.066098"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007419,0.008626,0.010285,0.013592,0.020191,0.033388,0.059782", \ - "0.007419,0.008628,0.010283,0.013589,0.020191,0.033395,0.059791", \ - "0.008058,0.009082,0.010545,0.013630,0.020192,0.033389,0.059791", \ - "0.010729,0.011748,0.013155,0.015986,0.021505,0.033603,0.059791", \ - "0.014810,0.015777,0.017110,0.019833,0.025425,0.036625,0.060426", \ - "0.020145,0.021184,0.022604,0.025386,0.030854,0.042008,0.064485", \ - "0.026531,0.027674,0.029247,0.032296,0.038051,0.049100,0.071566"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("4.375849,4.407103,4.422604,4.482665,4.544250,4.617667,4.686277", \ - "4.243413,4.261139,4.309227,4.354559,4.463629,4.556976,4.656743", \ - "4.090785,4.143928,4.172458,4.223696,4.325604,4.447848,4.569920", \ - "4.822831,4.806528,4.771107,4.739153,4.720182,4.708481,4.722259", \ - "7.430286,7.255800,7.095465,6.787056,6.348766,5.959952,5.589148", \ - "11.854790,11.691680,11.434050,10.943200,10.060800,8.827576,7.689973", \ - "17.838690,17.597770,17.370040,16.914630,15.877190,13.943840,11.502330"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("14.268240,14.416340,14.357510,14.488370,14.458230,14.528420,14.223000", \ - "14.298490,14.341530,14.272040,14.426860,14.386610,14.384340,14.228640", \ - "14.758530,14.824520,14.777330,14.717960,14.776350,14.538640,14.435260", \ - "17.043720,16.957130,16.796070,16.687280,16.235940,16.047870,15.332300", \ - "20.606700,20.621120,20.431570,20.023300,19.671570,18.928180,17.942710", \ - "26.530380,26.439510,26.178050,25.852820,24.923720,23.672410,22.148140", \ - "34.221030,34.179470,33.951640,33.496230,32.844380,31.171860,28.800260"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.915300,2.935897,2.962887,3.005295,3.051934,3.102347,3.130878", \ - "2.750710,2.782772,2.820962,2.876477,2.952789,3.024665,3.093301", \ - "2.637385,2.664062,2.691454,2.746406,2.810727,2.918816,3.020384", \ - "3.596667,3.499895,3.409723,3.332961,3.264987,3.194645,3.184091", \ - "6.556875,6.355923,6.115175,5.698891,5.099365,4.552084,4.088429", \ - "11.166260,10.980410,10.643540,10.128030,9.088168,7.624829,6.262348", \ - "17.165020,16.988310,16.775930,16.162230,15.071290,12.984380,10.245430"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("12.565630,12.635570,12.626070,12.679190,12.763220,12.829080,12.773110", \ - "12.425760,12.522680,12.555410,12.604800,12.597300,12.649780,12.564780", \ - "13.097200,12.978050,13.070320,12.940620,13.036780,12.854870,12.804520", \ - "15.086800,14.965400,15.028460,14.835600,14.631900,14.282750,13.861320", \ - "18.759700,18.701840,18.562860,18.268190,17.773490,17.140900,16.223210", \ - "24.515770,24.463740,24.321080,23.958290,23.286410,22.130240,20.469850", \ - "32.119060,32.036510,31.881830,31.515100,30.666690,29.174520,27.103850"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.922036,2.929930,2.952938,3.006242,3.046909,3.099841,3.140525", \ - "2.757881,2.778276,2.827776,2.875533,2.949085,3.028386,3.096226", \ - "2.655762,2.657649,2.692986,2.739969,2.816688,2.914316,3.020925", \ - "3.653781,3.566827,3.489667,3.398389,3.312615,3.229473,3.197739", \ - "6.652129,6.502944,6.258095,5.841545,5.245667,4.632074,4.163327", \ - "11.422770,11.165550,10.922480,10.359270,9.325995,7.854168,6.410126", \ - "17.436510,17.278960,17.069180,16.501690,15.444970,13.342420,10.565830"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("13.465120,13.483190,13.507010,13.511010,13.486030,13.487100,13.456750", \ - "13.387770,13.451960,13.470710,13.540040,13.457610,13.692550,13.648070", \ - "13.933830,13.994210,13.964850,13.907160,13.966610,13.897530,13.800460", \ - "15.894530,15.957790,16.027720,15.849080,15.508000,15.263850,14.938390", \ - "19.731360,19.603020,19.547530,19.305450,18.830040,18.310490,17.129300", \ - "25.581580,25.581400,25.403230,25.102760,24.497300,23.268030,21.442240", \ - "33.423980,33.310520,33.264430,33.006560,32.222440,30.957410,28.248560"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.915300,2.935897,2.962887,3.005295,3.051934,3.102347,3.130878", \ - "2.750710,2.782772,2.820962,2.876477,2.952789,3.024665,3.093301", \ - "2.637385,2.664062,2.691454,2.746406,2.810727,2.918816,3.020384", \ - "3.596667,3.499895,3.409723,3.332961,3.264987,3.194645,3.184091", \ - "6.556875,6.355923,6.115175,5.698891,5.099365,4.552084,4.088429", \ - "11.166260,10.980410,10.643540,10.128030,9.088168,7.624829,6.262348", \ - "17.165020,16.988310,16.775930,16.162230,15.071290,12.984380,10.245430"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("12.565630,12.635570,12.626070,12.679190,12.763220,12.829080,12.773110", \ - "12.425760,12.522680,12.555410,12.604800,12.597300,12.649780,12.564780", \ - "13.097200,12.978050,13.070320,12.940620,13.036780,12.854870,12.804520", \ - "15.086800,14.965400,15.028460,14.835600,14.631900,14.282750,13.861320", \ - "18.759700,18.701840,18.562860,18.268190,17.773490,17.140900,16.223210", \ - "24.515770,24.463740,24.321080,23.958290,23.286410,22.130240,20.469850", \ - "32.119060,32.036510,31.881830,31.515100,30.666690,29.174520,27.103850"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.482019,1.509524,1.524022,1.552589,1.567373,1.586262,1.587798", \ - "1.266070,1.299265,1.347666,1.384983,1.450074,1.505712,1.546115", \ - "1.185198,1.194854,1.213984,1.242774,1.305461,1.377558,1.453034", \ - "2.506182,2.356765,2.194233,1.993084,1.834050,1.699384,1.625603", \ - "5.825116,5.583290,5.263669,4.702385,3.928393,3.162779,2.592671", \ - "10.577220,10.362880,10.032670,9.380803,8.198576,6.464966,4.859457", \ - "16.675740,16.522220,16.168090,15.590430,14.377320,12.057990,9.005236"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("10.806360,10.827550,10.855910,10.908560,10.893560,11.038230,10.838430", \ - "10.665860,10.708100,10.747480,10.845360,10.839460,10.928250,11.057910", \ - "11.273120,11.301430,11.303260,11.261800,11.175940,11.176260,11.197970", \ - "13.044690,13.039220,12.969570,13.054480,12.802040,12.285680,11.826940", \ - "16.751750,16.616650,16.651000,16.344160,15.935160,15.341000,14.305770", \ - "22.241320,22.245440,22.232800,21.910300,21.256870,20.240960,18.437230", \ - "29.560520,29.645480,29.620160,29.442440,29.021150,27.462280,25.244290"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.492867,1.518408,1.530608,1.557995,1.574219,1.589904,1.592502", \ - "1.275457,1.305764,1.350253,1.399706,1.460914,1.518084,1.547737", \ - "1.197926,1.217520,1.233518,1.266162,1.309909,1.396676,1.473802", \ - "2.579510,2.439954,2.279558,2.057775,1.883450,1.745210,1.660485", \ - "5.964849,5.717443,5.417417,4.865106,4.077462,3.263810,2.670909", \ - "10.826360,10.558940,10.253260,9.614802,8.436355,6.711165,5.035284", \ - "17.027110,16.734370,16.473150,15.901120,14.687390,12.436120,9.350200"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("11.671680,11.655560,11.738370,11.771370,11.807410,11.913120,12.020720", \ - "11.614500,11.675010,11.696020,11.724790,11.768390,11.859200,11.854530", \ - "12.225750,12.238240,12.177740,12.208150,12.153820,12.139410,12.074260", \ - "14.045550,14.002860,13.966330,13.962950,13.727520,13.330120,13.002050", \ - "17.829930,17.788080,17.682900,17.470190,17.138270,16.421820,15.317750", \ - "23.485360,23.497940,23.314280,23.119070,22.682420,21.517210,19.735320", \ - "30.863880,30.870910,31.017830,30.888890,30.389170,29.118280,26.647850"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.904168,2.922258,2.957059,2.985002,3.030462,3.094248,3.134922", \ - "2.700076,2.769124,2.804987,2.863341,2.941971,3.013866,3.096251", \ - "2.652587,2.669418,2.709157,2.740189,2.830019,2.912801,3.023028", \ - "3.842397,3.767483,3.654571,3.495413,3.370129,3.298610,3.234884", \ - "7.062779,6.877188,6.679477,6.246191,5.570408,4.831617,4.298975", \ - "11.847590,11.704260,11.463780,10.966120,10.001710,8.413803,6.752584", \ - "18.002050,17.910310,17.659190,17.284130,16.354590,14.356180,11.345230"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("12.483700,12.451210,12.576110,12.618780,12.704590,12.594840,12.729510", \ - "12.347110,12.444470,12.435950,12.514480,12.618270,12.544890,12.783040", \ - "13.089460,12.984320,13.059610,13.002110,13.001820,12.783140,12.679530", \ - "15.355260,15.334400,15.227420,15.097500,14.760850,14.396340,13.774330", \ - "19.448820,19.366370,19.223270,18.921990,18.409080,17.566480,16.612080", \ - "25.652070,25.635420,25.436590,25.064680,24.384740,23.167160,21.176050", \ - "33.987220,33.938920,33.711340,33.339100,32.671690,31.157520,28.598110"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.467797,1.497921,1.529569,1.552921,1.574266,1.591508,1.590650", \ - "1.258320,1.300466,1.349898,1.394594,1.463436,1.517627,1.549988", \ - "1.223183,1.242735,1.251661,1.283258,1.329252,1.406148,1.472462", \ - "2.831155,2.660249,2.488105,2.215912,1.981183,1.818618,1.705621", \ - "6.328043,6.115787,5.816821,5.289272,4.442381,3.484584,2.817053", \ - "11.147240,11.019080,10.777390,10.220280,9.132796,7.309717,5.386247", \ - "17.372230,17.247690,17.070080,16.593980,15.569060,13.449370,10.165010"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("10.754390,10.779570,10.799710,10.822560,10.897670,10.849510,11.011980", \ - "10.614900,10.632580,10.663010,10.762710,10.732680,10.791510,10.987560", \ - "11.284270,11.327570,11.300740,11.263550,11.161210,11.068110,11.142890", \ - "13.337200,13.262940,13.325220,13.291190,13.045420,12.584290,11.872140", \ - "17.461550,17.411480,17.278800,17.019530,16.618860,15.812350,14.749250", \ - "23.530430,23.531960,23.456670,23.129680,22.397870,21.081910,19.501840", \ - "31.469190,31.457400,31.424310,31.398340,30.769640,29.305090,26.378090"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.496068,1.512374,1.530507,1.557094,1.571327,1.591794,1.597215", \ - "1.277248,1.306910,1.348509,1.403302,1.460299,1.515326,1.563285", \ - "1.242178,1.268273,1.273186,1.297295,1.355092,1.412056,1.487568", \ - "2.929773,2.784612,2.604823,2.331099,2.051677,1.871013,1.754597", \ - "6.513644,6.292483,6.022131,5.496114,4.634261,3.634058,2.922802", \ - "11.436850,11.303590,10.941640,10.465510,9.421924,7.618448,5.602189", \ - "17.748060,17.585750,17.354370,16.854050,15.930550,13.860740,10.593350"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("11.614010,11.609380,11.692010,11.739260,11.709690,11.751350,11.864550", \ - "11.519580,11.576540,11.620200,11.633070,11.692830,11.701290,11.852610", \ - "12.262360,12.253340,12.183970,12.137490,12.063610,11.972410,11.802050", \ - "14.331680,14.255330,14.324610,14.319320,14.065170,13.627410,13.064800", \ - "18.466750,18.440900,18.317930,18.152240,17.712720,17.024440,15.673990", \ - "24.827060,24.755720,24.653370,24.401210,23.787300,22.562880,20.591980", \ - "32.957720,32.933010,32.966610,32.743990,32.236900,30.899900,28.269380"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("3.115483,3.136868,3.167935,3.190382,3.248280,3.295333,3.326207", \ - "3.027504,3.073224,3.095053,3.161368,3.238559,3.315434,3.396641", \ - "2.792487,2.811776,2.883924,2.958028,3.067764,3.207735,3.318893", \ - "3.401739,3.355256,3.290160,3.253258,3.266644,3.303869,3.383843", \ - "5.743881,5.617762,5.490631,5.217024,4.753800,4.306937,4.046192", \ - "9.136008,9.098938,8.944637,8.729157,8.162284,7.033418,5.840203", \ - "13.531340,13.502930,13.503660,13.332750,12.886170,11.754710,9.476486"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("15.056680,15.083440,15.072940,15.217500,15.267950,15.313600,15.333380", \ - "14.924390,14.984500,15.034230,15.048080,15.136470,15.190410,15.336540", \ - "15.270840,15.267900,15.291940,15.291760,15.228750,15.327150,15.260680", \ - "16.948620,16.892040,16.812690,16.720290,16.532430,16.112610,15.900620", \ - "20.189540,20.108070,19.991040,19.702350,19.217370,18.566510,17.886710", \ - "24.998580,24.826850,24.753280,24.380210,23.916630,22.588290,21.280990", \ - "31.865170,31.748690,31.538880,30.988980,30.314590,28.834340,26.547210"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.689663,1.697298,1.723874,1.741460,1.760148,1.771141,1.785403", \ - "1.528474,1.570183,1.605318,1.685600,1.738692,1.797489,1.844058", \ - "1.264171,1.281503,1.335230,1.434013,1.539902,1.669158,1.765945", \ - "2.159474,2.084140,1.971596,1.828819,1.776200,1.782578,1.827923", \ - "4.646346,4.525282,4.392753,4.060420,3.495102,2.874065,2.523681", \ - "8.118089,8.063933,7.933063,7.655552,7.058689,5.807664,4.393745", \ - "12.622550,12.565250,12.482110,12.247470,11.826720,10.647560,8.199248"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("13.156110,13.271280,13.318220,13.304320,13.390520,13.417090,13.760740", \ - "13.026760,13.053410,13.085500,13.245890,13.238490,13.300860,13.190990", \ - "13.380290,13.385210,13.430130,13.404520,13.436210,13.384980,13.284190", \ - "15.074050,15.024020,14.948190,14.876350,14.647330,14.323470,14.303170", \ - "18.155350,18.092780,18.053900,17.953790,17.501720,16.726770,15.931430", \ - "23.030930,22.926850,22.789270,22.465600,21.949400,20.934480,19.539590", \ - "29.720260,29.613980,29.521740,29.122290,28.416850,27.127430,24.616600"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.655075,1.701840,1.707440,1.741491,1.763954,1.781397,1.791745", \ - "1.541679,1.584710,1.619323,1.677415,1.755415,1.803121,1.844906", \ - "1.277631,1.305980,1.360560,1.428879,1.552151,1.679756,1.778046", \ - "2.225353,2.144301,2.049994,1.906909,1.827360,1.824930,1.850894", \ - "4.745211,4.632044,4.479422,4.186809,3.641660,2.963940,2.587864", \ - "8.257033,8.114106,8.057284,7.778234,7.199269,6.018407,4.540823", \ - "12.682370,12.634900,12.518520,12.329100,11.934640,10.823050,8.477300"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("14.151060,14.167500,14.170100,14.310390,14.372780,14.311050,14.397030", \ - "13.904270,14.048580,14.056420,14.157040,14.229730,14.306410,14.479140", \ - "14.277520,14.287990,14.388160,14.422550,14.386260,14.447480,14.333030", \ - "16.054540,16.007290,15.983960,15.841700,15.641320,15.299140,15.082840", \ - "19.190440,19.126990,19.118170,18.922490,18.587250,17.942850,16.943940", \ - "24.061490,24.006040,23.877880,23.632270,23.078250,22.247590,20.549100", \ - "30.930140,30.833490,30.634090,30.344850,29.517960,28.482230,26.463920"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("4.261370,4.281772,4.285561,4.336633,4.398750,4.457323,4.525284", \ - "4.093429,4.146138,4.159695,4.209446,4.264105,4.338394,4.405038", \ - "3.969980,3.997003,4.001821,4.076749,4.143525,4.244455,4.328842", \ - "4.389112,4.366269,4.360764,4.357834,4.386390,4.401086,4.437765", \ - "6.583559,6.473327,6.384320,6.172793,5.803155,5.449776,5.167978", \ - "10.234250,10.162260,10.059490,9.774684,9.185528,8.217279,7.109802", \ - "15.134910,15.129980,15.015710,14.812060,14.244860,13.010950,10.943770"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("17.107640,17.216220,17.239600,17.298940,17.274560,17.383070,17.508180", \ - "17.068850,17.087190,17.112100,17.086270,17.225060,17.319000,17.298580", \ - "16.999410,17.014040,17.024550,17.109160,17.172910,17.190150,17.228810", \ - "17.782570,17.773600,17.827030,17.791210,17.750630,17.595220,17.576920", \ - "20.227130,20.189680,20.134770,19.904100,19.588270,19.200050,18.653330", \ - "24.346640,24.215890,24.024610,23.767290,23.242140,22.503470,21.405810", \ - "30.299710,30.125160,30.000470,29.592880,28.840830,27.598290,25.755810"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.827797,2.836650,2.864437,2.885182,2.911037,2.941910,2.965439", \ - "2.674360,2.690385,2.698061,2.743345,2.776755,2.823603,2.862454", \ - "2.461116,2.511830,2.525614,2.584664,2.641715,2.721852,2.782485", \ - "3.091562,3.046276,2.974278,2.909415,2.906199,2.892245,2.887261", \ - "5.471613,5.377274,5.234823,4.964387,4.509671,4.011715,3.644353", \ - "9.219718,9.148379,9.032011,8.693838,8.067757,6.966815,5.680009", \ - "14.216830,14.156800,14.061620,13.846190,13.261910,11.918660,9.660012"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("15.243170,15.351900,15.363600,15.457880,15.492110,15.505260,15.482130", \ - "15.176460,15.202850,15.216040,15.297910,15.448960,15.384180,15.503740", \ - "15.105710,15.128330,15.138090,15.225920,15.318580,15.326080,15.589290", \ - "15.895500,15.992550,15.959230,15.862730,15.899650,15.738390,15.790910", \ - "18.221880,18.181560,18.139570,18.169770,17.875310,17.350310,17.042510", \ - "22.229450,22.219350,22.097040,21.845770,21.411370,20.740320,19.505630", \ - "28.181130,28.078990,27.905100,27.610230,26.902700,25.771400,23.633840"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.836747,2.836008,2.860625,2.870801,2.901514,2.924481,2.969266", \ - "2.665651,2.658795,2.710782,2.738827,2.767522,2.814575,2.857218", \ - "2.485565,2.525459,2.540078,2.585363,2.631737,2.704096,2.773778", \ - "3.162244,3.094166,3.031051,2.950816,2.924037,2.913219,2.889226", \ - "5.600410,5.486118,5.339187,5.073067,4.633029,4.088423,3.709225", \ - "9.420753,9.299255,9.178789,8.836925,8.247083,7.152482,5.800186", \ - "14.362350,14.333520,14.238580,13.947060,13.393370,12.110580,9.916589"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("16.201760,16.216020,16.238770,16.393360,16.483050,16.371870,16.427380", \ - "16.056130,16.101480,16.205070,16.185320,16.277270,16.324040,16.365420", \ - "15.985600,16.042380,16.144050,16.197320,16.298420,16.256160,16.335310", \ - "16.897520,16.889590,16.860220,16.827030,16.876410,16.713790,16.576120", \ - "19.120080,19.219780,19.164250,19.194760,18.853410,18.361310,17.773090", \ - "23.243600,23.245140,23.074910,22.857740,22.495930,21.709200,20.552280", \ - "29.225890,29.078430,28.965220,28.754550,28.049330,27.043030,25.237080"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("3.097175,3.125133,3.135884,3.189548,3.241125,3.288616,3.325437", \ - "3.094939,3.134609,3.146863,3.215813,3.262243,3.346019,3.401106", \ - "3.276004,3.299287,3.303783,3.333748,3.365982,3.396605,3.454469", \ - "4.552629,4.446267,4.322735,4.205547,4.060748,3.910254,3.783110", \ - "7.412761,7.239163,7.042364,6.620957,6.012883,5.396919,4.848201", \ - "11.445820,11.344160,11.115830,10.726360,9.814971,8.427501,7.074968", \ - "16.584800,16.501100,16.376130,16.051550,15.271010,13.457030,10.908000"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("9.248211,9.271669,9.302649,9.438623,9.414557,9.476479,9.366349", \ - "9.210651,9.231972,9.242211,9.326008,9.394617,9.493111,9.461121", \ - "9.687711,9.720236,9.633865,9.695315,9.582883,9.599678,9.653252", \ - "11.428310,11.303890,11.222010,11.017200,10.764480,10.369580,10.008190", \ - "14.295690,14.227480,14.031250,13.899770,13.219440,12.651580,11.680290", \ - "18.941840,18.805850,18.590940,18.084880,17.302080,16.322150,14.547770", \ - "25.288200,25.130830,24.872500,24.302650,23.177410,21.821510,19.452650"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.652310,1.696045,1.702283,1.739014,1.760555,1.779058,1.783456", \ - "1.617742,1.652638,1.681716,1.736756,1.778272,1.828583,1.851820", \ - "1.880466,1.893775,1.882519,1.865297,1.872578,1.882377,1.897902", \ - "3.547855,3.371423,3.183661,2.897067,2.665261,2.433729,2.254415", \ - "6.585331,6.389964,6.156748,5.649415,4.854409,4.028236,3.368922", \ - "10.639880,10.547650,10.335030,9.889163,8.888071,7.265053,5.680017", \ - "15.711640,15.646410,15.518810,15.265910,14.415120,12.484480,9.653226"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("7.540734,7.571073,7.620189,7.663013,7.714824,7.722740,7.629032", \ - "7.445373,7.457855,7.582004,7.626453,7.620401,7.615367,7.438902", \ - "7.947885,7.989693,7.979494,7.940549,7.865496,7.742490,7.636480", \ - "9.539680,9.631109,9.416801,9.233292,8.994047,8.609550,8.527254", \ - "12.466120,12.358960,12.152690,11.998500,11.547890,10.711670,10.123140", \ - "17.109810,16.984080,16.766740,16.328680,15.500310,14.450190,13.208550", \ - "23.205020,23.071730,22.948410,22.552220,21.460440,20.047870,17.877500"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.662914,1.676763,1.700579,1.738238,1.754219,1.778012,1.785730", \ - "1.621698,1.648337,1.692305,1.725855,1.778907,1.828903,1.855723", \ - "1.945698,1.937803,1.902357,1.905667,1.904544,1.902772,1.915200", \ - "3.769861,3.597430,3.399796,3.079207,2.772704,2.517429,2.323862", \ - "6.820979,6.698877,6.454887,6.015993,5.211650,4.258155,3.544641", \ - "10.879910,10.805130,10.683670,10.322190,9.444980,7.830675,6.052476", \ - "16.019080,16.026770,15.963460,15.724270,15.067800,13.353340,10.450580"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("7.527937,7.540056,7.624509,7.653973,7.652156,7.698918,7.462455", \ - "7.443892,7.425638,7.550261,7.599857,7.626667,7.698494,7.783786", \ - "7.983147,7.975229,7.889603,7.921010,7.778808,7.725287,7.818856", \ - "9.657515,9.749236,9.626460,9.338064,9.083136,8.663970,8.544512", \ - "12.777060,12.653080,12.525880,12.274140,11.886090,10.954290,10.306460", \ - "17.700220,17.566060,17.339270,16.900760,16.117850,15.188340,13.646870", \ - "24.298670,24.185390,23.909610,23.421190,22.451230,20.789000,18.477180"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("4.267091,4.262290,4.305012,4.335522,4.388921,4.453902,4.515206", \ - "4.139079,4.133656,4.172563,4.206872,4.269503,4.340847,4.418729", \ - "4.294288,4.305937,4.290580,4.320423,4.343152,4.383961,4.417492", \ - "5.404570,5.356413,5.295381,5.227809,5.094858,4.940814,4.798349", \ - "8.279988,8.136315,7.950341,7.612461,7.084268,6.542695,5.957165", \ - "12.604580,12.452740,12.223930,11.808360,10.979070,9.710355,8.372181", \ - "18.205950,18.134550,17.943410,17.573330,16.654930,14.937830,12.480210"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("11.438070,11.467130,11.495260,11.480520,11.551990,11.554150,11.382650", \ - "11.303490,11.336250,11.357700,11.365140,11.452070,11.612950,11.498770", \ - "11.237250,11.247540,11.278220,11.310140,11.320240,11.463190,11.492700", \ - "12.266420,12.215500,12.151740,12.041060,11.905560,11.838370,11.655280", \ - "14.479780,14.380230,14.255690,14.004220,13.662030,13.347720,12.723920", \ - "18.461140,18.276380,18.025010,17.521610,17.047900,16.120890,14.742210", \ - "23.882960,23.676840,23.498890,22.982810,21.925090,20.669560,18.564510"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.819220,2.821174,2.855804,2.878236,2.894805,2.926319,2.972265", \ - "2.694087,2.689219,2.694803,2.747785,2.770162,2.825759,2.863115", \ - "2.893716,2.888311,2.882475,2.880741,2.858214,2.870001,2.875545", \ - "4.329169,4.193108,4.053153,3.891374,3.705303,3.471139,3.268870", \ - "7.398894,7.220076,6.986981,6.572169,5.897677,5.170811,4.486637", \ - "11.775980,11.659100,11.411150,10.918990,10.006100,8.547598,6.987195", \ - "17.373200,17.321910,17.157390,16.770850,15.808260,13.945680,11.248090"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("9.640511,9.725808,9.738248,9.738215,9.817398,9.764268,9.864053", \ - "9.542636,9.567823,9.610605,9.712140,9.725720,9.699454,9.909666", \ - "9.477885,9.520396,9.544869,9.586467,9.569570,9.595509,9.513926", \ - "10.352200,10.475650,10.408810,10.292950,10.096620,10.005130,10.053780", \ - "12.524040,12.482010,12.382260,12.224780,12.027380,11.385320,11.116020", \ - "16.434100,16.380960,16.138800,15.779210,15.036950,14.436610,13.367910", \ - "21.787650,21.607360,21.476680,21.078460,20.141770,18.762250,17.110790"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.778566,2.821075,2.816378,2.862384,2.877369,2.929745,2.962072", \ - "2.646009,2.688196,2.691767,2.717862,2.770152,2.808220,2.856882", \ - "2.880291,2.895829,2.892946,2.882768,2.859719,2.862247,2.875550", \ - "4.500939,4.367939,4.224752,3.987662,3.786454,3.538738,3.310083", \ - "7.707859,7.562669,7.332248,6.910004,6.233777,5.392821,4.645983", \ - "12.240970,12.109940,11.907920,11.484570,10.586920,9.106886,7.362433", \ - "17.893690,17.886540,17.772590,17.463160,16.624570,14.853840,12.077670"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("9.652222,9.721003,9.733548,9.732349,9.700012,9.619218,9.868529", \ - "9.515731,9.556356,9.589430,9.590931,9.726371,9.717180,9.890054", \ - "9.446674,9.446913,9.433520,9.539360,9.539252,9.534304,9.473062", \ - "10.456140,10.534770,10.440570,10.332870,10.155810,9.996619,10.023010", \ - "12.844750,12.721390,12.560280,12.448280,12.196910,11.677840,10.935190", \ - "17.081810,16.902090,16.645570,16.305140,15.598160,14.757750,13.674970", \ - "22.819870,22.628280,22.353730,21.893100,21.050380,19.559540,17.662450"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI221_X4 - Cell Description : Combinational cell (OAI221_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI221_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 409.518209; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 307.743750; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 303.006196; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 303.006197; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 292.487369; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 347.882500; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 351.758294; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 351.758294; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 342.014753; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 347.881250; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 351.758294; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 351.758294; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 342.014753; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 387.732500; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 391.609669; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 391.609669; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 381.866129; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 311.129937; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 320.206978; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 320.206979; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 310.040012; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 445.096875; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 511.544625; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 531.831750; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 544.268500; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 445.096875; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 531.831875; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 552.113000; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 564.545125; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 484.992125; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 544.259500; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 564.547000; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 576.983625; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.654824; - fall_capacitance : 1.625800; - rise_capacitance : 1.654824; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.719216; - fall_capacitance : 1.450689; - rise_capacitance : 1.719216; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.693047; - fall_capacitance : 1.628113; - rise_capacitance : 1.693047; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.563536; - fall_capacitance : 1.447083; - rise_capacitance : 1.563536; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.642563; - fall_capacitance : 1.642563; - rise_capacitance : 1.575767; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "!(!(!(((C1 | C2) & A) & (B1 | B2))))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040881,0.043664,0.046065,0.050266,0.057934,0.072816,0.102499", \ - "0.041504,0.044286,0.046687,0.050888,0.058556,0.073438,0.103121", \ - "0.043390,0.046173,0.048573,0.052775,0.060443,0.075325,0.105006", \ - "0.047473,0.050257,0.052658,0.056860,0.064527,0.079409,0.109091", \ - "0.053110,0.055914,0.058326,0.062540,0.070212,0.085092,0.114769", \ - "0.059652,0.062497,0.064935,0.069175,0.076856,0.091728,0.121392", \ - "0.066363,0.069287,0.071778,0.076077,0.083782,0.098642,0.128295"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.025961,0.028968,0.031678,0.036856,0.047144,0.067741,0.108940", \ - "0.026886,0.029892,0.032603,0.037781,0.048068,0.068666,0.109866", \ - "0.030718,0.033726,0.036436,0.041611,0.051896,0.072493,0.113692", \ - "0.037632,0.040672,0.043380,0.048522,0.058761,0.079323,0.120507", \ - "0.045176,0.048324,0.051079,0.056203,0.066373,0.086875,0.128012", \ - "0.053021,0.056294,0.059146,0.064293,0.074397,0.094814,0.135890", \ - "0.061031,0.064436,0.067426,0.072657,0.082716,0.103054,0.144048"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003298,0.004543,0.005849,0.008573,0.014477,0.027056,0.052704", \ - "0.003298,0.004544,0.005849,0.008572,0.014477,0.027056,0.052705", \ - "0.003298,0.004544,0.005850,0.008573,0.014477,0.027055,0.052706", \ - "0.003312,0.004556,0.005859,0.008579,0.014480,0.027056,0.052705", \ - "0.003409,0.004643,0.005935,0.008633,0.014506,0.027066,0.052707", \ - "0.003607,0.004822,0.006090,0.008745,0.014560,0.027081,0.052711", \ - "0.003934,0.005133,0.006371,0.008956,0.014669,0.027118,0.052728"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002977,0.004836,0.006909,0.011444,0.020957,0.040188,0.078703", \ - "0.002977,0.004835,0.006909,0.011443,0.020956,0.040181,0.078716", \ - "0.002985,0.004841,0.006913,0.011446,0.020959,0.040183,0.078717", \ - "0.003165,0.004982,0.007000,0.011479,0.020964,0.040184,0.078706", \ - "0.003576,0.005349,0.007259,0.011593,0.021001,0.040198,0.078711", \ - "0.004097,0.005836,0.007664,0.011799,0.021064,0.040218,0.078722", \ - "0.004732,0.006428,0.008218,0.012141,0.021198,0.040249,0.078715"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.036976,0.039745,0.042136,0.046328,0.053992,0.068876,0.098558", \ - "0.037574,0.040342,0.042733,0.046925,0.054589,0.069473,0.099156", \ - "0.039442,0.042210,0.044602,0.048794,0.056458,0.071342,0.101024", \ - "0.043411,0.046184,0.048578,0.052771,0.060436,0.075318,0.105001", \ - "0.048699,0.051494,0.053901,0.058109,0.065778,0.080656,0.110335", \ - "0.054748,0.057594,0.060033,0.064274,0.071956,0.086827,0.116492", \ - "0.060786,0.063722,0.066222,0.070531,0.078243,0.093108,0.122760"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.024982,0.027950,0.030656,0.035847,0.046155,0.066765,0.107975", \ - "0.025907,0.028874,0.031580,0.036772,0.047079,0.067691,0.108903", \ - "0.029746,0.032714,0.035419,0.040608,0.050913,0.071521,0.112731", \ - "0.036492,0.039487,0.042185,0.047342,0.057605,0.078187,0.119382", \ - "0.043723,0.046805,0.049526,0.054652,0.064856,0.085386,0.126547", \ - "0.051223,0.054422,0.057212,0.062340,0.072474,0.092932,0.134044", \ - "0.058830,0.062156,0.065065,0.070243,0.080319,0.100711,0.141751"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003229,0.004480,0.005795,0.008534,0.014458,0.027048,0.052702", \ - "0.003229,0.004480,0.005795,0.008533,0.014458,0.027048,0.052702", \ - "0.003229,0.004480,0.005795,0.008534,0.014458,0.027048,0.052703", \ - "0.003266,0.004512,0.005821,0.008550,0.014465,0.027050,0.052703", \ - "0.003374,0.004609,0.005905,0.008611,0.014494,0.027060,0.052706", \ - "0.003612,0.004825,0.006093,0.008746,0.014561,0.027081,0.052711", \ - "0.003973,0.005171,0.006406,0.008983,0.014684,0.027122,0.052731"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002827,0.004721,0.006840,0.011414,0.020942,0.040184,0.078713", \ - "0.002827,0.004720,0.006840,0.011414,0.020945,0.040177,0.078705", \ - "0.002833,0.004725,0.006842,0.011416,0.020946,0.040184,0.078709", \ - "0.002995,0.004844,0.006914,0.011444,0.020950,0.040179,0.078695", \ - "0.003331,0.005130,0.007100,0.011521,0.020977,0.040192,0.078704", \ - "0.003773,0.005538,0.007412,0.011667,0.021025,0.040199,0.078716", \ - "0.004315,0.006054,0.007870,0.011926,0.021113,0.040229,0.078708"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033696,0.036444,0.038822,0.043000,0.050655,0.065537,0.095223", \ - "0.034362,0.037110,0.039488,0.043666,0.051321,0.066204,0.095888", \ - "0.036965,0.039713,0.042092,0.046270,0.053925,0.068808,0.098493", \ - "0.042123,0.044877,0.047258,0.051439,0.059093,0.073974,0.103656", \ - "0.047892,0.050673,0.053070,0.057265,0.064923,0.079798,0.109476", \ - "0.053944,0.056788,0.059222,0.063454,0.071128,0.085993,0.115653", \ - "0.059775,0.062722,0.065226,0.069536,0.077249,0.092100,0.121745"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.025629,0.028597,0.031303,0.036493,0.046798,0.067409,0.108615", \ - "0.026564,0.029533,0.032238,0.037428,0.047734,0.068344,0.109550", \ - "0.030419,0.033388,0.036093,0.041281,0.051584,0.072192,0.113401", \ - "0.037366,0.040359,0.043056,0.048212,0.058476,0.079057,0.120254", \ - "0.044906,0.047985,0.050704,0.055831,0.066035,0.086565,0.127728", \ - "0.052787,0.055978,0.058763,0.063887,0.074019,0.094482,0.135593", \ - "0.060839,0.064156,0.067055,0.072226,0.082308,0.102691,0.143738"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003151,0.004409,0.005730,0.008485,0.014431,0.027038,0.052699", \ - "0.003152,0.004408,0.005730,0.008485,0.014432,0.027038,0.052701", \ - "0.003152,0.004408,0.005730,0.008485,0.014431,0.027038,0.052700", \ - "0.003204,0.004451,0.005765,0.008508,0.014441,0.027040,0.052701", \ - "0.003348,0.004580,0.005874,0.008584,0.014476,0.027051,0.052702", \ - "0.003630,0.004834,0.006095,0.008740,0.014552,0.027073,0.052709", \ - "0.004030,0.005220,0.006445,0.009005,0.014687,0.027118,0.052726"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002835,0.004727,0.006844,0.011416,0.020944,0.040176,0.078711", \ - "0.002836,0.004727,0.006844,0.011415,0.020946,0.040180,0.078710", \ - "0.002841,0.004731,0.006846,0.011417,0.020946,0.040181,0.078714", \ - "0.002989,0.004840,0.006911,0.011441,0.020953,0.040184,0.078708", \ - "0.003319,0.005117,0.007092,0.011517,0.020975,0.040185,0.078705", \ - "0.003748,0.005513,0.007391,0.011656,0.021019,0.040197,0.078712", \ - "0.004282,0.006019,0.007837,0.011907,0.021105,0.040223,0.078711"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.036976,0.039745,0.042136,0.046328,0.053992,0.068876,0.098558", \ - "0.037574,0.040342,0.042733,0.046925,0.054589,0.069473,0.099156", \ - "0.039442,0.042210,0.044602,0.048794,0.056458,0.071342,0.101024", \ - "0.043411,0.046184,0.048578,0.052771,0.060436,0.075318,0.105001", \ - "0.048699,0.051494,0.053901,0.058109,0.065778,0.080656,0.110335", \ - "0.054748,0.057594,0.060033,0.064274,0.071956,0.086827,0.116492", \ - "0.060786,0.063722,0.066222,0.070531,0.078243,0.093108,0.122760"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.024982,0.027950,0.030656,0.035847,0.046155,0.066765,0.107975", \ - "0.025907,0.028874,0.031580,0.036772,0.047079,0.067691,0.108903", \ - "0.029746,0.032714,0.035419,0.040608,0.050913,0.071521,0.112731", \ - "0.036492,0.039487,0.042185,0.047342,0.057605,0.078187,0.119382", \ - "0.043723,0.046805,0.049526,0.054652,0.064856,0.085386,0.126547", \ - "0.051223,0.054422,0.057212,0.062340,0.072474,0.092932,0.134044", \ - "0.058830,0.062156,0.065065,0.070243,0.080319,0.100711,0.141751"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003229,0.004480,0.005795,0.008534,0.014458,0.027048,0.052702", \ - "0.003229,0.004480,0.005795,0.008533,0.014458,0.027048,0.052702", \ - "0.003229,0.004480,0.005795,0.008534,0.014458,0.027048,0.052703", \ - "0.003266,0.004512,0.005821,0.008550,0.014465,0.027050,0.052703", \ - "0.003374,0.004609,0.005905,0.008611,0.014494,0.027060,0.052706", \ - "0.003612,0.004825,0.006093,0.008746,0.014561,0.027081,0.052711", \ - "0.003973,0.005171,0.006406,0.008983,0.014684,0.027122,0.052731"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002827,0.004721,0.006840,0.011414,0.020942,0.040184,0.078713", \ - "0.002827,0.004720,0.006840,0.011414,0.020945,0.040177,0.078705", \ - "0.002833,0.004725,0.006842,0.011416,0.020946,0.040184,0.078709", \ - "0.002995,0.004844,0.006914,0.011444,0.020950,0.040179,0.078695", \ - "0.003331,0.005130,0.007100,0.011521,0.020977,0.040192,0.078704", \ - "0.003773,0.005538,0.007412,0.011667,0.021025,0.040199,0.078716", \ - "0.004315,0.006054,0.007870,0.011926,0.021113,0.040229,0.078708"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033183,0.035936,0.038318,0.042501,0.050161,0.065046,0.094731", \ - "0.033744,0.036498,0.038880,0.043062,0.050722,0.065607,0.095291", \ - "0.035586,0.038339,0.040721,0.044904,0.052564,0.067448,0.097134", \ - "0.039317,0.042084,0.044473,0.048662,0.056323,0.071206,0.100889", \ - "0.044230,0.047019,0.049422,0.053625,0.061292,0.076170,0.105848", \ - "0.049693,0.052547,0.054990,0.059235,0.066922,0.081793,0.111457", \ - "0.055001,0.057956,0.060470,0.064796,0.072527,0.087389,0.117039"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.023998,0.026933,0.029639,0.034846,0.045170,0.065793,0.107009", \ - "0.024923,0.027857,0.030564,0.035771,0.046095,0.066716,0.107936", \ - "0.028769,0.031704,0.034409,0.039613,0.049934,0.070556,0.111775", \ - "0.035327,0.038276,0.040969,0.046142,0.056428,0.077026,0.118234", \ - "0.042230,0.045241,0.047937,0.053074,0.063311,0.083869,0.125053", \ - "0.049364,0.052474,0.055207,0.060326,0.070498,0.090998,0.132139", \ - "0.056554,0.059782,0.062599,0.067736,0.077844,0.098279,0.139366"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003154,0.004415,0.005738,0.008493,0.014438,0.027041,0.052701", \ - "0.003155,0.004415,0.005738,0.008493,0.014438,0.027041,0.052701", \ - "0.003155,0.004415,0.005739,0.008494,0.014438,0.027042,0.052700", \ - "0.003222,0.004473,0.005786,0.008525,0.014452,0.027045,0.052700", \ - "0.003352,0.004589,0.005887,0.008597,0.014487,0.027057,0.052702", \ - "0.003635,0.004847,0.006113,0.008760,0.014568,0.027084,0.052710", \ - "0.004035,0.005233,0.006464,0.009031,0.014708,0.027131,0.052733"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002678,0.004618,0.006779,0.011387,0.020931,0.040172,0.078701", \ - "0.002678,0.004618,0.006779,0.011387,0.020928,0.040171,0.078696", \ - "0.002683,0.004621,0.006781,0.011389,0.020932,0.040170,0.078700", \ - "0.002820,0.004713,0.006836,0.011410,0.020936,0.040174,0.078711", \ - "0.003083,0.004914,0.006958,0.011462,0.020952,0.040179,0.078702", \ - "0.003436,0.005227,0.007173,0.011556,0.020987,0.040186,0.078702", \ - "0.003877,0.005649,0.007515,0.011728,0.021041,0.040205,0.078704"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030511,0.033246,0.035616,0.039785,0.047437,0.062322,0.092008", \ - "0.031147,0.033883,0.036253,0.040422,0.048074,0.062958,0.092646", \ - "0.033722,0.036457,0.038828,0.042997,0.050650,0.065534,0.095221", \ - "0.038420,0.041169,0.043547,0.047723,0.055377,0.070257,0.099941", \ - "0.043614,0.046394,0.048788,0.052981,0.060639,0.075511,0.105190", \ - "0.049025,0.051879,0.054321,0.058560,0.066237,0.081102,0.110760", \ - "0.054110,0.057077,0.059596,0.063927,0.071648,0.086498,0.116141"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.024649,0.027584,0.030289,0.035495,0.045817,0.066438,0.107656", \ - "0.025584,0.028519,0.031225,0.036430,0.046751,0.067372,0.108592", \ - "0.029447,0.032382,0.035086,0.040289,0.050609,0.071230,0.112446", \ - "0.036229,0.039177,0.041870,0.047043,0.057329,0.077925,0.119136", \ - "0.043460,0.046468,0.049163,0.054302,0.064539,0.085096,0.126281", \ - "0.051003,0.054106,0.056835,0.061952,0.072119,0.092620,0.133767", \ - "0.058669,0.061888,0.064698,0.069830,0.079942,0.100373,0.141462"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003085,0.004350,0.005680,0.008450,0.014414,0.027031,0.052697", \ - "0.003085,0.004349,0.005680,0.008450,0.014414,0.027031,0.052696", \ - "0.003086,0.004351,0.005681,0.008451,0.014414,0.027031,0.052697", \ - "0.003168,0.004423,0.005741,0.008490,0.014431,0.027035,0.052697", \ - "0.003342,0.004572,0.005867,0.008578,0.014473,0.027048,0.052701", \ - "0.003667,0.004868,0.006124,0.008761,0.014562,0.027076,0.052709", \ - "0.004098,0.005289,0.006511,0.009059,0.014716,0.027127,0.052730"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002686,0.004623,0.006782,0.011389,0.020929,0.040173,0.078714", \ - "0.002687,0.004623,0.006783,0.011387,0.020928,0.040169,0.078707", \ - "0.002690,0.004626,0.006784,0.011389,0.020931,0.040171,0.078704", \ - "0.002818,0.004711,0.006835,0.011409,0.020934,0.040173,0.078698", \ - "0.003074,0.004906,0.006953,0.011461,0.020957,0.040177,0.078702", \ - "0.003417,0.005209,0.007159,0.011548,0.020985,0.040186,0.078702", \ - "0.003852,0.005622,0.007490,0.011713,0.021037,0.040203,0.078704"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033162,0.035890,0.038255,0.042419,0.050068,0.064950,0.094637", \ - "0.033806,0.036533,0.038898,0.043062,0.050711,0.065593,0.095280", \ - "0.035654,0.038382,0.040747,0.044911,0.052560,0.067444,0.097131", \ - "0.039233,0.041970,0.044340,0.048507,0.056156,0.071038,0.100723", \ - "0.043672,0.046431,0.048813,0.052993,0.060645,0.075521,0.105203", \ - "0.048222,0.051037,0.053453,0.057668,0.065335,0.080204,0.109872", \ - "0.052154,0.055060,0.057537,0.061817,0.069517,0.084380,0.114038"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.024938,0.027906,0.030612,0.035804,0.046111,0.066721,0.107928", \ - "0.025838,0.028805,0.031511,0.036703,0.047010,0.067622,0.108829", \ - "0.029738,0.032705,0.035411,0.040600,0.050905,0.071515,0.112724", \ - "0.036711,0.039705,0.042403,0.047560,0.057824,0.078407,0.119603", \ - "0.044476,0.047553,0.050272,0.055401,0.065610,0.086143,0.127308", \ - "0.052922,0.056109,0.058890,0.064016,0.074159,0.094626,0.135743", \ - "0.061948,0.065256,0.068147,0.073315,0.083405,0.103794,0.144845"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003065,0.004331,0.005663,0.008437,0.014406,0.027027,0.052698", \ - "0.003065,0.004331,0.005663,0.008437,0.014406,0.027027,0.052697", \ - "0.003065,0.004330,0.005663,0.008437,0.014406,0.027026,0.052695", \ - "0.003115,0.004374,0.005698,0.008460,0.014415,0.027028,0.052696", \ - "0.003238,0.004480,0.005789,0.008523,0.014446,0.027038,0.052698", \ - "0.003496,0.004713,0.005990,0.008666,0.014516,0.027063,0.052706", \ - "0.003858,0.005056,0.006298,0.008896,0.014633,0.027103,0.052726"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002827,0.004721,0.006840,0.011413,0.020945,0.040176,0.078706", \ - "0.002827,0.004720,0.006840,0.011415,0.020946,0.040176,0.078702", \ - "0.002833,0.004725,0.006842,0.011415,0.020944,0.040176,0.078711", \ - "0.002989,0.004840,0.006911,0.011442,0.020951,0.040179,0.078702", \ - "0.003311,0.005110,0.007086,0.011517,0.020974,0.040184,0.078702", \ - "0.003723,0.005492,0.007374,0.011648,0.021016,0.040201,0.078712", \ - "0.004235,0.005979,0.007801,0.011883,0.021097,0.040222,0.078710"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.029954,0.032670,0.035028,0.039184,0.046829,0.061714,0.091402", \ - "0.030568,0.033283,0.035641,0.039797,0.047442,0.062327,0.092016", \ - "0.032386,0.035103,0.037460,0.041617,0.049262,0.064145,0.093834", \ - "0.035689,0.038416,0.040781,0.044945,0.052592,0.067473,0.097157", \ - "0.039700,0.042458,0.044838,0.049016,0.056668,0.071544,0.101224", \ - "0.043625,0.046448,0.048870,0.053091,0.060762,0.075631,0.105298", \ - "0.046839,0.049764,0.052255,0.056552,0.064252,0.079121,0.108774"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.023954,0.026889,0.029595,0.034802,0.045127,0.065750,0.106968", \ - "0.024854,0.027788,0.030495,0.035702,0.046026,0.066648,0.107867", \ - "0.028762,0.031696,0.034401,0.039606,0.049928,0.070548,0.111766", \ - "0.035553,0.038501,0.041194,0.046368,0.056654,0.077255,0.118462", \ - "0.043006,0.046012,0.048708,0.053849,0.064090,0.084650,0.125835", \ - "0.051109,0.054207,0.056935,0.062055,0.072235,0.092741,0.133889", \ - "0.059736,0.062948,0.065751,0.070884,0.081005,0.101447,0.142542"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003000,0.004274,0.005615,0.008404,0.014390,0.027021,0.052695", \ - "0.003000,0.004274,0.005615,0.008404,0.014390,0.027021,0.052694", \ - "0.003002,0.004275,0.005616,0.008404,0.014390,0.027021,0.052696", \ - "0.003071,0.004336,0.005667,0.008440,0.014405,0.027025,0.052696", \ - "0.003231,0.004473,0.005782,0.008517,0.014442,0.027036,0.052699", \ - "0.003528,0.004741,0.006015,0.008684,0.014526,0.027067,0.052707", \ - "0.003923,0.005120,0.006358,0.008942,0.014657,0.027110,0.052729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002679,0.004618,0.006779,0.011387,0.020927,0.040176,0.078707", \ - "0.002678,0.004618,0.006779,0.011388,0.020932,0.040179,0.078695", \ - "0.002683,0.004621,0.006781,0.011389,0.020931,0.040178,0.078698", \ - "0.002816,0.004710,0.006834,0.011408,0.020933,0.040173,0.078711", \ - "0.003065,0.004899,0.006949,0.011457,0.020952,0.040181,0.078702", \ - "0.003396,0.005190,0.007146,0.011543,0.020981,0.040184,0.078711", \ - "0.003812,0.005587,0.007460,0.011699,0.021032,0.040202,0.078705"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.027041,0.029737,0.032080,0.036223,0.043859,0.058743,0.088434", \ - "0.027762,0.030457,0.032801,0.036943,0.044579,0.059463,0.089154", \ - "0.030484,0.033180,0.035524,0.039666,0.047302,0.062186,0.091877", \ - "0.034724,0.037431,0.039782,0.043932,0.051570,0.066448,0.096136", \ - "0.038932,0.041676,0.044047,0.048213,0.055855,0.070725,0.100406", \ - "0.042723,0.045547,0.047967,0.052181,0.059842,0.074702,0.104366", \ - "0.045631,0.048568,0.051065,0.055365,0.063070,0.077922,0.107567"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.024604,0.027539,0.030245,0.035450,0.045772,0.066394,0.107609", \ - "0.025514,0.028448,0.031154,0.036359,0.046681,0.067302,0.108521", \ - "0.029443,0.032378,0.035082,0.040285,0.050606,0.071225,0.112442", \ - "0.036471,0.039418,0.042112,0.047285,0.057572,0.078170,0.119380", \ - "0.044253,0.047257,0.049951,0.055093,0.065333,0.085893,0.127081", \ - "0.052760,0.055852,0.058576,0.063695,0.073871,0.094380,0.135529", \ - "0.061870,0.065073,0.067869,0.072996,0.083117,0.103562,0.144659"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002909,0.004193,0.005544,0.008353,0.014362,0.027011,0.052694", \ - "0.002909,0.004193,0.005544,0.008353,0.014362,0.027011,0.052693", \ - "0.002912,0.004195,0.005546,0.008354,0.014363,0.027011,0.052693", \ - "0.003011,0.004278,0.005615,0.008400,0.014382,0.027015,0.052694", \ - "0.003216,0.004452,0.005757,0.008495,0.014426,0.027027,0.052697", \ - "0.003558,0.004759,0.006024,0.008682,0.014517,0.027057,0.052704", \ - "0.003984,0.005173,0.006400,0.008968,0.014663,0.027104,0.052723"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002686,0.004623,0.006782,0.011389,0.020929,0.040178,0.078705", \ - "0.002686,0.004623,0.006783,0.011388,0.020930,0.040177,0.078706", \ - "0.002689,0.004626,0.006784,0.011390,0.020928,0.040170,0.078699", \ - "0.002813,0.004709,0.006834,0.011409,0.020938,0.040179,0.078697", \ - "0.003056,0.004892,0.006944,0.011456,0.020953,0.040175,0.078702", \ - "0.003377,0.005172,0.007132,0.011537,0.020981,0.040183,0.078705", \ - "0.003786,0.005560,0.007437,0.011686,0.021027,0.040209,0.078705"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038682,0.041450,0.043842,0.048034,0.055698,0.070581,0.100265", \ - "0.039369,0.042137,0.044529,0.048721,0.056385,0.071269,0.100951", \ - "0.040189,0.042957,0.045348,0.049540,0.057204,0.072088,0.101772", \ - "0.041288,0.044062,0.046457,0.050651,0.058315,0.073197,0.102879", \ - "0.042712,0.045509,0.047918,0.052127,0.059799,0.074678,0.104358", \ - "0.043438,0.046285,0.048729,0.052977,0.060672,0.075550,0.105219", \ - "0.042275,0.045205,0.047704,0.052017,0.059741,0.074614,0.104273"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041800,0.044971,0.047753,0.052914,0.063118,0.083642,0.124799", \ - "0.042302,0.045473,0.048256,0.053417,0.063620,0.084145,0.125299", \ - "0.045392,0.048563,0.051345,0.056506,0.066710,0.087234,0.128388", \ - "0.052987,0.056160,0.058943,0.064100,0.074297,0.094815,0.135967", \ - "0.064455,0.067679,0.070495,0.075648,0.085800,0.106266,0.147382", \ - "0.077986,0.081290,0.084180,0.089359,0.099468,0.119870,0.160938", \ - "0.093874,0.097266,0.100249,0.105486,0.115570,0.135900,0.176906"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003228,0.004480,0.005794,0.008534,0.014458,0.027049,0.052702", \ - "0.003229,0.004480,0.005794,0.008534,0.014458,0.027048,0.052704", \ - "0.003228,0.004480,0.005794,0.008534,0.014458,0.027048,0.052702", \ - "0.003262,0.004508,0.005817,0.008549,0.014464,0.027049,0.052703", \ - "0.003364,0.004602,0.005900,0.008608,0.014494,0.027059,0.052706", \ - "0.003595,0.004819,0.006093,0.008751,0.014568,0.027089,0.052716", \ - "0.003927,0.005136,0.006382,0.008975,0.014686,0.027129,0.052738"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003564,0.005374,0.007300,0.011633,0.021025,0.040213,0.078725", \ - "0.003564,0.005374,0.007299,0.011632,0.021024,0.040213,0.078713", \ - "0.003564,0.005374,0.007299,0.011633,0.021024,0.040215,0.078714", \ - "0.003578,0.005386,0.007308,0.011638,0.021025,0.040214,0.078715", \ - "0.003826,0.005608,0.007485,0.011723,0.021051,0.040218,0.078726", \ - "0.004192,0.005949,0.007782,0.011890,0.021115,0.040233,0.078729", \ - "0.004626,0.006350,0.008161,0.012127,0.021212,0.040261,0.078737"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034886,0.037640,0.040022,0.044205,0.051864,0.066750,0.096436", \ - "0.035534,0.038287,0.040670,0.044852,0.052511,0.067397,0.097083", \ - "0.036304,0.039056,0.041438,0.045621,0.053281,0.068167,0.097851", \ - "0.037284,0.040048,0.042436,0.046624,0.054285,0.069168,0.098852", \ - "0.038437,0.041228,0.043634,0.047841,0.055510,0.070390,0.100068", \ - "0.038481,0.041337,0.043784,0.048036,0.055731,0.070608,0.100276", \ - "0.036505,0.039452,0.041963,0.046289,0.054023,0.068894,0.098551"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040132,0.043214,0.045946,0.051098,0.061332,0.081889,0.123064", \ - "0.040634,0.043716,0.046448,0.051600,0.061834,0.082392,0.123570", \ - "0.043729,0.046811,0.049543,0.054694,0.064928,0.085486,0.126663", \ - "0.051340,0.054424,0.057155,0.062302,0.072527,0.093079,0.134256", \ - "0.062562,0.065693,0.068443,0.073576,0.083758,0.104267,0.145414", \ - "0.075783,0.078984,0.081782,0.086919,0.097049,0.117503,0.158612", \ - "0.091337,0.094620,0.097489,0.102653,0.112740,0.133133,0.174189"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003155,0.004415,0.005738,0.008493,0.014438,0.027041,0.052698", \ - "0.003155,0.004415,0.005738,0.008493,0.014438,0.027041,0.052699", \ - "0.003155,0.004415,0.005738,0.008493,0.014438,0.027041,0.052700", \ - "0.003204,0.004458,0.005776,0.008519,0.014449,0.027044,0.052701", \ - "0.003341,0.004582,0.005881,0.008595,0.014487,0.027056,0.052706", \ - "0.003613,0.004835,0.006107,0.008762,0.014574,0.027091,0.052717", \ - "0.003983,0.005191,0.006432,0.009014,0.014707,0.027136,0.052741"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003255,0.005085,0.007082,0.011523,0.020986,0.040202,0.078710", \ - "0.003256,0.005086,0.007082,0.011524,0.020986,0.040200,0.078718", \ - "0.003255,0.005086,0.007082,0.011523,0.020987,0.040202,0.078704", \ - "0.003268,0.005097,0.007089,0.011528,0.020989,0.040196,0.078706", \ - "0.003474,0.005277,0.007219,0.011587,0.021004,0.040204,0.078709", \ - "0.003758,0.005542,0.007428,0.011690,0.021037,0.040214,0.078715", \ - "0.004106,0.005871,0.007715,0.011850,0.021093,0.040230,0.078711"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032199,0.034934,0.037304,0.041474,0.049126,0.064010,0.093695", \ - "0.032868,0.035604,0.037974,0.042144,0.049796,0.064680,0.094367", \ - "0.033963,0.036698,0.039069,0.043238,0.050891,0.065774,0.095462", \ - "0.035735,0.038480,0.040857,0.045033,0.052688,0.067568,0.097251", \ - "0.037394,0.040173,0.042569,0.046764,0.054426,0.069304,0.098982", \ - "0.037534,0.040384,0.042824,0.047066,0.054750,0.069621,0.099287", \ - "0.035439,0.038390,0.040901,0.045224,0.052948,0.067811,0.097460"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041475,0.044560,0.047292,0.052440,0.062669,0.083224,0.124398", \ - "0.041992,0.045077,0.047809,0.052958,0.063187,0.083741,0.124914", \ - "0.045127,0.048212,0.050943,0.056092,0.066320,0.086875,0.128049", \ - "0.052805,0.055890,0.058622,0.063768,0.073989,0.094535,0.135708", \ - "0.064329,0.067459,0.070209,0.075340,0.085516,0.106021,0.147166", \ - "0.077879,0.081079,0.083875,0.089009,0.099144,0.119591,0.160699", \ - "0.093822,0.097101,0.099967,0.105126,0.115210,0.135601,0.176657"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003086,0.004350,0.005680,0.008451,0.014414,0.027032,0.052698", \ - "0.003086,0.004350,0.005680,0.008450,0.014414,0.027032,0.052697", \ - "0.003086,0.004351,0.005681,0.008451,0.014414,0.027031,0.052696", \ - "0.003148,0.004405,0.005727,0.008482,0.014429,0.027035,0.052699", \ - "0.003317,0.004554,0.005854,0.008570,0.014472,0.027048,0.052701", \ - "0.003623,0.004834,0.006100,0.008750,0.014562,0.027083,0.052712", \ - "0.004028,0.005225,0.006456,0.009023,0.014704,0.027128,0.052736"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003272,0.005100,0.007091,0.011528,0.020991,0.040200,0.078716", \ - "0.003272,0.005099,0.007091,0.011528,0.020990,0.040201,0.078708", \ - "0.003273,0.005100,0.007092,0.011527,0.020990,0.040203,0.078706", \ - "0.003282,0.005108,0.007097,0.011532,0.020989,0.040204,0.078716", \ - "0.003477,0.005280,0.007221,0.011587,0.021002,0.040201,0.078709", \ - "0.003761,0.005542,0.007428,0.011689,0.021035,0.040211,0.078713", \ - "0.004103,0.005863,0.007706,0.011844,0.021093,0.040228,0.078727"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042167,0.044950,0.047350,0.051552,0.059220,0.074103,0.103782", \ - "0.042712,0.045495,0.047895,0.052097,0.059765,0.074647,0.104329", \ - "0.043619,0.046402,0.048802,0.053004,0.060672,0.075555,0.105237", \ - "0.044797,0.047583,0.049985,0.054188,0.061857,0.076738,0.106418", \ - "0.046532,0.049335,0.051749,0.055963,0.063637,0.078516,0.108193", \ - "0.048289,0.051134,0.053573,0.057815,0.065502,0.080377,0.110045", \ - "0.048994,0.051906,0.054391,0.058685,0.066398,0.081266,0.110924"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043980,0.047152,0.049934,0.055095,0.065298,0.085823,0.126981", \ - "0.044875,0.048047,0.050829,0.055990,0.066194,0.086720,0.127876", \ - "0.047502,0.050674,0.053456,0.058617,0.068821,0.089345,0.130502", \ - "0.052929,0.056102,0.058885,0.064042,0.074238,0.094756,0.135910", \ - "0.061541,0.064754,0.067563,0.072719,0.082888,0.103372,0.144502", \ - "0.072572,0.075842,0.078701,0.083875,0.094024,0.114469,0.155565", \ - "0.085929,0.089266,0.092195,0.097403,0.107514,0.127911,0.168964"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003298,0.004544,0.005849,0.008573,0.014477,0.027055,0.052705", \ - "0.003298,0.004544,0.005849,0.008573,0.014477,0.027056,0.052706", \ - "0.003298,0.004543,0.005849,0.008573,0.014477,0.027055,0.052705", \ - "0.003319,0.004561,0.005865,0.008583,0.014482,0.027057,0.052705", \ - "0.003399,0.004636,0.005929,0.008629,0.014504,0.027064,0.052709", \ - "0.003580,0.004801,0.006076,0.008737,0.014559,0.027083,0.052713", \ - "0.003865,0.005071,0.006320,0.008923,0.014657,0.027117,0.052727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003565,0.005374,0.007299,0.011633,0.021024,0.040213,0.078720", \ - "0.003564,0.005374,0.007299,0.011632,0.021026,0.040213,0.078710", \ - "0.003564,0.005374,0.007299,0.011633,0.021025,0.040213,0.078720", \ - "0.003576,0.005385,0.007307,0.011636,0.021026,0.040220,0.078710", \ - "0.003756,0.005549,0.007438,0.011702,0.021048,0.040219,0.078721", \ - "0.004008,0.005784,0.007641,0.011815,0.021093,0.040232,0.078728", \ - "0.004333,0.006090,0.007921,0.011985,0.021164,0.040254,0.078723"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038311,0.041080,0.043472,0.047664,0.055328,0.070212,0.099896", \ - "0.038848,0.041617,0.044009,0.048201,0.055866,0.070750,0.100431", \ - "0.039734,0.042503,0.044894,0.049087,0.056751,0.071634,0.101317", \ - "0.040815,0.043591,0.045987,0.050182,0.057848,0.072730,0.102411", \ - "0.042371,0.045167,0.047575,0.051784,0.059455,0.074335,0.104014", \ - "0.043656,0.046501,0.048940,0.053183,0.060870,0.075746,0.105414", \ - "0.043736,0.046656,0.049147,0.053450,0.061174,0.076038,0.105695"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042313,0.045395,0.048127,0.053278,0.063512,0.084070,0.125246", \ - "0.043208,0.046290,0.049022,0.054174,0.064406,0.084965,0.126140", \ - "0.045834,0.048916,0.051648,0.056800,0.067033,0.087591,0.128766", \ - "0.051266,0.054349,0.057081,0.062226,0.072452,0.093005,0.134180", \ - "0.059734,0.062855,0.065603,0.070742,0.080941,0.101464,0.142618", \ - "0.070581,0.073754,0.076535,0.081677,0.091848,0.112336,0.153469", \ - "0.083686,0.086925,0.089757,0.094914,0.105037,0.125475,0.166571"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003231,0.004482,0.005796,0.008535,0.014458,0.027049,0.052703", \ - "0.003230,0.004482,0.005796,0.008535,0.014459,0.027049,0.052704", \ - "0.003230,0.004482,0.005797,0.008535,0.014458,0.027049,0.052703", \ - "0.003267,0.004512,0.005822,0.008552,0.014467,0.027051,0.052704", \ - "0.003363,0.004602,0.005900,0.008608,0.014494,0.027059,0.052707", \ - "0.003579,0.004800,0.006075,0.008736,0.014559,0.027082,0.052713", \ - "0.003895,0.005102,0.006348,0.008945,0.014668,0.027121,0.052729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003255,0.005085,0.007082,0.011525,0.020985,0.040201,0.078715", \ - "0.003255,0.005085,0.007082,0.011523,0.020989,0.040201,0.078715", \ - "0.003255,0.005085,0.007082,0.011523,0.020986,0.040200,0.078703", \ - "0.003268,0.005095,0.007089,0.011527,0.020989,0.040198,0.078707", \ - "0.003420,0.005232,0.007187,0.011571,0.021003,0.040204,0.078706", \ - "0.003623,0.005423,0.007336,0.011649,0.021029,0.040209,0.078708", \ - "0.003891,0.005678,0.007551,0.011766,0.021069,0.040224,0.078714"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.035029,0.037777,0.040155,0.044333,0.051989,0.066872,0.096557", \ - "0.035604,0.038351,0.040729,0.044907,0.052563,0.067446,0.097130", \ - "0.036798,0.039546,0.041925,0.046102,0.053758,0.068640,0.098327", \ - "0.038744,0.041502,0.043885,0.048067,0.055724,0.070605,0.100288", \ - "0.040995,0.043775,0.046172,0.050368,0.058031,0.072908,0.102585", \ - "0.042468,0.045304,0.047735,0.051966,0.059645,0.074514,0.104180", \ - "0.042451,0.045374,0.047863,0.052158,0.059866,0.074723,0.104374"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043659,0.046745,0.049477,0.054626,0.064854,0.085408,0.126584", \ - "0.044570,0.047655,0.050387,0.055536,0.065765,0.086317,0.127495", \ - "0.047204,0.050289,0.053021,0.058170,0.068398,0.088953,0.130128", \ - "0.052721,0.055807,0.058539,0.063683,0.073905,0.094453,0.135626", \ - "0.061454,0.064576,0.067323,0.072461,0.082652,0.103173,0.144328", \ - "0.072644,0.075816,0.078594,0.083734,0.093902,0.114387,0.155517", \ - "0.086201,0.089435,0.092262,0.097415,0.107537,0.127973,0.169070"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003152,0.004408,0.005730,0.008485,0.014432,0.027037,0.052698", \ - "0.003151,0.004409,0.005730,0.008485,0.014431,0.027038,0.052700", \ - "0.003151,0.004409,0.005730,0.008486,0.014431,0.027038,0.052700", \ - "0.003197,0.004448,0.005764,0.008508,0.014442,0.027041,0.052700", \ - "0.003324,0.004561,0.005860,0.008575,0.014474,0.027050,0.052703", \ - "0.003576,0.004788,0.006058,0.008718,0.014545,0.027074,0.052708", \ - "0.003929,0.005124,0.006362,0.008946,0.014662,0.027114,0.052724"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003271,0.005100,0.007092,0.011527,0.020990,0.040198,0.078704", \ - "0.003272,0.005100,0.007092,0.011527,0.020986,0.040198,0.078708", \ - "0.003273,0.005100,0.007092,0.011528,0.020990,0.040201,0.078707", \ - "0.003282,0.005107,0.007097,0.011530,0.020990,0.040198,0.078719", \ - "0.003427,0.005238,0.007190,0.011574,0.021002,0.040205,0.078709", \ - "0.003624,0.005422,0.007334,0.011646,0.021027,0.040211,0.078721", \ - "0.003881,0.005666,0.007539,0.011757,0.021069,0.040223,0.078711"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034243,0.037012,0.039404,0.043597,0.051261,0.066145,0.095828", \ - "0.034931,0.037699,0.040091,0.044284,0.051948,0.066832,0.096515", \ - "0.037491,0.040260,0.042652,0.046844,0.054508,0.069393,0.099074", \ - "0.042892,0.045664,0.048058,0.052252,0.059916,0.074798,0.104481", \ - "0.048525,0.051322,0.053729,0.057935,0.065601,0.080478,0.110156", \ - "0.053809,0.056675,0.059124,0.063371,0.071044,0.085907,0.115564", \ - "0.058111,0.061101,0.063634,0.067980,0.075686,0.090524,0.120159"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033866,0.036993,0.039751,0.044921,0.055161,0.075719,0.116899", \ - "0.034547,0.037674,0.040432,0.045602,0.055841,0.076401,0.117576", \ - "0.037781,0.040907,0.043666,0.048835,0.059074,0.079633,0.120810", \ - "0.044882,0.048020,0.050781,0.055937,0.066150,0.086687,0.127853", \ - "0.053901,0.057124,0.059941,0.065102,0.075267,0.095750,0.136874", \ - "0.064229,0.067554,0.070467,0.075665,0.085792,0.106197,0.147265", \ - "0.075618,0.079069,0.082113,0.087411,0.097530,0.117828,0.158814"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003231,0.004482,0.005796,0.008535,0.014458,0.027048,0.052702", \ - "0.003230,0.004482,0.005796,0.008535,0.014458,0.027049,0.052702", \ - "0.003230,0.004482,0.005796,0.008535,0.014458,0.027048,0.052704", \ - "0.003268,0.004514,0.005822,0.008552,0.014466,0.027050,0.052701", \ - "0.003401,0.004633,0.005925,0.008624,0.014499,0.027063,0.052706", \ - "0.003721,0.004920,0.006172,0.008797,0.014581,0.027084,0.052714", \ - "0.004190,0.005377,0.006589,0.009118,0.014743,0.027132,0.052730"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003364,0.005192,0.007161,0.011565,0.021001,0.040206,0.078722", \ - "0.003365,0.005192,0.007161,0.011564,0.021000,0.040205,0.078707", \ - "0.003365,0.005193,0.007162,0.011565,0.021002,0.040207,0.078710", \ - "0.003445,0.005262,0.007211,0.011585,0.021009,0.040208,0.078721", \ - "0.003794,0.005582,0.007465,0.011713,0.021052,0.040223,0.078715", \ - "0.004257,0.006016,0.007847,0.011930,0.021127,0.040243,0.078716", \ - "0.004853,0.006575,0.008391,0.012293,0.021293,0.040280,0.078728"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030410,0.033163,0.035546,0.039728,0.047387,0.062273,0.091959", \ - "0.031070,0.033824,0.036206,0.040389,0.048048,0.062933,0.092620", \ - "0.033694,0.036447,0.038830,0.043013,0.050672,0.065557,0.095243", \ - "0.038623,0.041392,0.043781,0.047970,0.055631,0.070514,0.100198", \ - "0.043553,0.046346,0.048750,0.052952,0.060616,0.075491,0.105169", \ - "0.048001,0.050881,0.053337,0.057594,0.065269,0.080129,0.109786", \ - "0.051373,0.054388,0.056941,0.061312,0.069033,0.083874,0.113505"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032254,0.035295,0.038016,0.043186,0.053457,0.074043,0.115238", \ - "0.032934,0.035975,0.038695,0.043865,0.054137,0.074724,0.115917", \ - "0.036178,0.039218,0.041939,0.047108,0.057378,0.077965,0.119157", \ - "0.043204,0.046255,0.048974,0.054126,0.064370,0.084937,0.126124", \ - "0.051848,0.054971,0.057720,0.062860,0.073059,0.093584,0.134742", \ - "0.061775,0.064993,0.067807,0.072959,0.083108,0.103569,0.144679", \ - "0.072667,0.076001,0.078926,0.084135,0.094256,0.114612,0.155664"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003155,0.004414,0.005738,0.008494,0.014438,0.027041,0.052700", \ - "0.003155,0.004414,0.005738,0.008493,0.014438,0.027041,0.052699", \ - "0.003154,0.004414,0.005738,0.008493,0.014438,0.027041,0.052700", \ - "0.003226,0.004478,0.005792,0.008529,0.014454,0.027045,0.052701", \ - "0.003390,0.004620,0.005911,0.008613,0.014493,0.027058,0.052705", \ - "0.003761,0.004958,0.006205,0.008821,0.014592,0.027087,0.052712", \ - "0.004263,0.005457,0.006667,0.009183,0.014780,0.027143,0.052733"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003078,0.004931,0.006977,0.011477,0.020970,0.040189,0.078705", \ - "0.003078,0.004932,0.006977,0.011478,0.020973,0.040189,0.078708", \ - "0.003079,0.004933,0.006978,0.011478,0.020971,0.040190,0.078714", \ - "0.003161,0.004997,0.007019,0.011493,0.020975,0.040192,0.078717", \ - "0.003421,0.005233,0.007187,0.011569,0.020998,0.040209,0.078709", \ - "0.003789,0.005579,0.007464,0.011711,0.021047,0.040213,0.078727", \ - "0.004276,0.006047,0.007889,0.011968,0.021144,0.040239,0.078732"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.027888,0.030603,0.032961,0.037117,0.044762,0.059646,0.089335", \ - "0.028574,0.031288,0.033646,0.037803,0.045448,0.060332,0.090021", \ - "0.031310,0.034027,0.036385,0.040541,0.048186,0.063071,0.092761", \ - "0.035816,0.038545,0.040911,0.045076,0.052723,0.067603,0.097288", \ - "0.039871,0.042635,0.045019,0.049198,0.056847,0.071717,0.101397", \ - "0.042941,0.045800,0.048241,0.052477,0.060140,0.074996,0.104655", \ - "0.044519,0.047514,0.050051,0.054400,0.062111,0.076951,0.106583"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032247,0.035287,0.038008,0.043178,0.053449,0.074037,0.115233", \ - "0.032880,0.035920,0.038641,0.043811,0.054081,0.074670,0.115862", \ - "0.036145,0.039185,0.041906,0.047075,0.057345,0.077931,0.119124", \ - "0.043388,0.046439,0.049158,0.054310,0.064554,0.085122,0.126307", \ - "0.052469,0.055589,0.058336,0.063478,0.073677,0.094207,0.135366", \ - "0.063106,0.066315,0.069122,0.074272,0.084414,0.104882,0.146000", \ - "0.075054,0.078375,0.081287,0.086489,0.096615,0.117013,0.158072"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003000,0.004274,0.005615,0.008404,0.014390,0.027022,0.052696", \ - "0.003000,0.004273,0.005615,0.008403,0.014390,0.027021,0.052695", \ - "0.003002,0.004275,0.005616,0.008404,0.014390,0.027021,0.052694", \ - "0.003090,0.004354,0.005683,0.008450,0.014410,0.027026,0.052697", \ - "0.003297,0.004528,0.005825,0.008545,0.014453,0.027038,0.052701", \ - "0.003690,0.004884,0.006134,0.008762,0.014556,0.027070,0.052707", \ - "0.004191,0.005379,0.006593,0.009119,0.014740,0.027124,0.052726"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003078,0.004932,0.006977,0.011478,0.020972,0.040196,0.078711", \ - "0.003078,0.004932,0.006977,0.011478,0.020973,0.040196,0.078716", \ - "0.003079,0.004933,0.006978,0.011476,0.020973,0.040198,0.078702", \ - "0.003156,0.004995,0.007017,0.011492,0.020975,0.040199,0.078702", \ - "0.003408,0.005221,0.007178,0.011567,0.020999,0.040201,0.078703", \ - "0.003755,0.005548,0.007438,0.011698,0.021038,0.040209,0.078720", \ - "0.004219,0.005995,0.007840,0.011936,0.021132,0.040241,0.078728"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.037704,0.040486,0.042887,0.047088,0.054756,0.069638,0.099320", \ - "0.038205,0.040989,0.043389,0.047590,0.055258,0.070141,0.099822", \ - "0.040738,0.043520,0.045920,0.050122,0.057790,0.072672,0.102354", \ - "0.046478,0.049262,0.051663,0.055865,0.063533,0.078416,0.108097", \ - "0.053215,0.056019,0.058430,0.062642,0.070311,0.085188,0.114864", \ - "0.060017,0.062870,0.065309,0.069547,0.077219,0.092082,0.121741", \ - "0.066658,0.069607,0.072109,0.076414,0.084087,0.098916,0.128555"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.036121,0.039247,0.042006,0.047176,0.057416,0.077977,0.119150", \ - "0.037094,0.040221,0.042979,0.048149,0.058388,0.078948,0.120124", \ - "0.039646,0.042772,0.045531,0.050700,0.060939,0.081499,0.122676", \ - "0.044809,0.047945,0.050705,0.055864,0.066085,0.086631,0.127798", \ - "0.051800,0.054996,0.057796,0.062959,0.073151,0.093658,0.134801", \ - "0.060175,0.063449,0.066316,0.071500,0.081662,0.102118,0.143223", \ - "0.069305,0.072679,0.075647,0.080892,0.091041,0.111433,0.152486"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003298,0.004543,0.005849,0.008573,0.014477,0.027055,0.052706", \ - "0.003298,0.004543,0.005849,0.008573,0.014477,0.027055,0.052706", \ - "0.003299,0.004543,0.005850,0.008573,0.014477,0.027055,0.052705", \ - "0.003315,0.004558,0.005862,0.008581,0.014481,0.027056,0.052705", \ - "0.003426,0.004658,0.005947,0.008641,0.014509,0.027067,0.052707", \ - "0.003671,0.004872,0.006129,0.008767,0.014567,0.027080,0.052712", \ - "0.004052,0.005235,0.006453,0.009007,0.014683,0.027114,0.052718"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003365,0.005192,0.007161,0.011565,0.021001,0.040211,0.078712", \ - "0.003365,0.005191,0.007161,0.011565,0.021002,0.040213,0.078719", \ - "0.003366,0.005192,0.007162,0.011565,0.021004,0.040212,0.078725", \ - "0.003426,0.005244,0.007198,0.011580,0.021009,0.040209,0.078708", \ - "0.003662,0.005465,0.007372,0.011669,0.021038,0.040223,0.078713", \ - "0.003999,0.005785,0.007646,0.011822,0.021097,0.040231,0.078724", \ - "0.004457,0.006217,0.008050,0.012076,0.021211,0.040273,0.078739"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033811,0.036579,0.038970,0.043163,0.050826,0.065710,0.095394", \ - "0.034310,0.037078,0.039469,0.043661,0.051325,0.066208,0.095891", \ - "0.036897,0.039663,0.042055,0.046247,0.053911,0.068795,0.098477", \ - "0.042432,0.045206,0.047600,0.051795,0.059460,0.074343,0.104025", \ - "0.048506,0.051302,0.053708,0.057914,0.065579,0.080456,0.110132", \ - "0.054661,0.057517,0.059957,0.064197,0.071864,0.086727,0.116386", \ - "0.060576,0.063538,0.066050,0.070368,0.078057,0.092899,0.122537"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034510,0.037551,0.040272,0.045441,0.055713,0.076298,0.117494", \ - "0.035481,0.038521,0.041242,0.046412,0.056683,0.077270,0.118466", \ - "0.038034,0.041073,0.043794,0.048963,0.059234,0.079822,0.121017", \ - "0.043146,0.046196,0.048917,0.054073,0.064326,0.084899,0.126088", \ - "0.049934,0.053037,0.055778,0.060928,0.071150,0.091696,0.132865", \ - "0.058034,0.061212,0.063998,0.069151,0.079336,0.099838,0.140979", \ - "0.066785,0.070057,0.072923,0.078109,0.088267,0.108709,0.149810"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003229,0.004480,0.005794,0.008533,0.014458,0.027048,0.052702", \ - "0.003228,0.004480,0.005795,0.008534,0.014458,0.027048,0.052703", \ - "0.003229,0.004480,0.005794,0.008534,0.014458,0.027048,0.052703", \ - "0.003272,0.004517,0.005826,0.008554,0.014467,0.027050,0.052702", \ - "0.003396,0.004629,0.005921,0.008621,0.014498,0.027062,0.052706", \ - "0.003678,0.004879,0.006134,0.008769,0.014566,0.027079,0.052710", \ - "0.004088,0.005272,0.006490,0.009035,0.014698,0.027117,0.052719"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003078,0.004932,0.006977,0.011477,0.020973,0.040198,0.078704", \ - "0.003078,0.004932,0.006977,0.011476,0.020972,0.040190,0.078703", \ - "0.003079,0.004933,0.006977,0.011476,0.020970,0.040189,0.078705", \ - "0.003139,0.004981,0.007008,0.011489,0.020974,0.040191,0.078706", \ - "0.003327,0.005151,0.007129,0.011545,0.020992,0.040198,0.078707", \ - "0.003605,0.005415,0.007334,0.011649,0.021028,0.040209,0.078709", \ - "0.003980,0.005775,0.007646,0.011831,0.021101,0.040236,0.078722"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030763,0.033490,0.035855,0.040019,0.047668,0.062551,0.092239", \ - "0.031308,0.034036,0.036400,0.040564,0.048213,0.063096,0.092783", \ - "0.034011,0.036739,0.039104,0.043267,0.050917,0.065799,0.095487", \ - "0.039228,0.041966,0.044337,0.048505,0.056154,0.071034,0.100719", \ - "0.044415,0.047178,0.049561,0.053740,0.061389,0.076261,0.105942", \ - "0.049231,0.052061,0.054484,0.058700,0.066361,0.081220,0.110878", \ - "0.053373,0.056314,0.058810,0.063107,0.070781,0.085625,0.115263"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034503,0.037544,0.040265,0.045435,0.055706,0.076294,0.117486", \ - "0.035432,0.038472,0.041193,0.046363,0.056633,0.077222,0.118417", \ - "0.037958,0.040998,0.043718,0.048888,0.059159,0.079745,0.120939", \ - "0.043191,0.046240,0.048960,0.054117,0.064369,0.084943,0.126132", \ - "0.050363,0.053465,0.056205,0.061355,0.071578,0.092126,0.133295", \ - "0.059164,0.062337,0.065120,0.070273,0.080461,0.100968,0.142109", \ - "0.068950,0.072215,0.075075,0.080258,0.090421,0.110869,0.151969"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003065,0.004331,0.005663,0.008437,0.014406,0.027027,0.052696", \ - "0.003065,0.004331,0.005663,0.008437,0.014406,0.027027,0.052696", \ - "0.003066,0.004332,0.005664,0.008437,0.014406,0.027027,0.052697", \ - "0.003132,0.004388,0.005711,0.008468,0.014420,0.027030,0.052698", \ - "0.003289,0.004521,0.005821,0.008543,0.014453,0.027040,0.052701", \ - "0.003596,0.004796,0.006054,0.008703,0.014527,0.027060,0.052704", \ - "0.004015,0.005200,0.006418,0.008975,0.014661,0.027098,0.052713"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003078,0.004932,0.006977,0.011477,0.020974,0.040188,0.078705", \ - "0.003078,0.004932,0.006977,0.011476,0.020973,0.040188,0.078703", \ - "0.003079,0.004933,0.006977,0.011476,0.020970,0.040193,0.078701", \ - "0.003140,0.004980,0.007008,0.011489,0.020974,0.040199,0.078718", \ - "0.003321,0.005145,0.007125,0.011543,0.020995,0.040203,0.078702", \ - "0.003588,0.005398,0.007320,0.011642,0.021027,0.040209,0.078705", \ - "0.003950,0.005748,0.007621,0.011816,0.021094,0.040233,0.078719"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.740040,18.115840,18.566060,19.029400,19.313580,19.454160,19.508680", \ - "17.704330,18.058890,18.492980,18.972760,19.266590,19.401370,19.452950", \ - "17.631170,18.050380,18.460590,18.918540,19.202770,19.342750,19.399320", \ - "18.252300,18.587840,19.029570,19.477760,19.782030,19.910690,19.966870", \ - "20.107130,20.423650,20.830260,21.216240,21.527780,21.671450,21.724200", \ - "23.576120,23.848480,24.233470,24.634020,24.912040,24.987330,25.039720", \ - "28.321530,28.582920,28.937530,29.480760,29.958280,30.196190,30.191980"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.191780,23.126380,23.399990,23.741050,23.708640,23.873590,24.140640", \ - "22.111830,23.033850,23.543720,23.733320,23.806160,24.024360,23.555550", \ - "22.462060,23.363270,23.837820,24.201410,24.167200,24.137550,24.448500", \ - "24.447960,25.411820,25.721750,25.973070,25.916710,26.311190,24.772510", \ - "27.780500,28.733910,29.287780,29.754070,29.795260,29.916440,29.599550", \ - "32.519830,33.666130,34.349010,34.846580,34.895230,35.268130,34.323770", \ - "39.221020,40.108430,40.789120,41.396750,41.941700,42.046360,41.052690"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.581130,16.982500,17.420080,17.884250,18.162450,18.270030,18.311040", \ - "16.526810,16.919920,17.358930,17.803630,18.091250,18.205410,18.237960", \ - "16.469150,16.865760,17.332530,17.781940,18.042440,18.158460,18.198340", \ - "17.117670,17.595690,18.012150,18.455900,18.740130,18.850300,18.883430", \ - "19.295280,19.618830,20.003110,20.418360,20.669820,20.792150,20.823220", \ - "22.968280,23.231990,23.610220,24.021910,24.309080,24.348650,24.376520", \ - "27.693810,27.965960,28.352270,28.922520,29.470050,29.758980,29.731850"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.197250,22.033030,22.391620,22.641540,22.366680,22.276780,22.615250", \ - "21.166960,21.990890,22.376560,22.628140,22.577150,21.756060,21.234200", \ - "21.499560,22.277370,22.684770,22.875690,23.041190,22.514420,22.631680", \ - "23.436040,24.232280,24.633590,24.806310,24.819680,23.771070,23.214180", \ - "26.555250,27.373880,27.810600,28.150150,27.843340,27.841400,26.890170", \ - "31.171070,32.221470,32.513160,33.144620,33.317520,32.887570,31.018200", \ - "37.223030,38.362300,38.978130,39.539360,39.897000,39.985260,39.854180"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.175180,16.733310,17.151170,17.598280,17.861940,17.960520,18.010330", \ - "16.244070,16.686560,17.110270,17.545170,17.801240,17.905580,17.947380", \ - "16.161990,16.599900,17.080390,17.511980,17.761750,17.866990,17.903020", \ - "17.102140,17.572440,17.966510,18.406870,18.645030,18.751530,18.796030", \ - "19.476120,19.800410,20.147520,20.514640,20.762450,20.874020,20.907570", \ - "23.172530,23.498740,23.888250,24.300910,24.552430,24.576270,24.603830", \ - "28.054750,28.417980,28.794860,29.331080,29.873030,30.143970,30.104180"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.683250,22.573830,22.914020,23.022660,23.139600,22.378520,23.463580", \ - "21.720470,22.473240,22.880290,22.871220,23.148170,23.234190,22.487870", \ - "21.958510,22.764780,23.136160,23.414190,23.439170,23.077030,23.261700", \ - "23.979000,24.822180,24.947300,25.055800,25.221990,24.859420,23.132610", \ - "27.029850,27.990110,28.334860,28.760880,28.447330,27.519470,28.648820", \ - "31.638130,32.697500,33.020050,33.514950,33.694830,33.681550,33.130910", \ - "37.960290,38.881220,39.485610,40.142990,40.287080,40.100190,39.162380"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.581130,16.982500,17.420080,17.884250,18.162450,18.270030,18.311040", \ - "16.526810,16.919920,17.358930,17.803630,18.091250,18.205410,18.237960", \ - "16.469150,16.865760,17.332530,17.781940,18.042440,18.158460,18.198340", \ - "17.117670,17.595690,18.012150,18.455900,18.740130,18.850300,18.883430", \ - "19.295280,19.618830,20.003110,20.418360,20.669820,20.792150,20.823220", \ - "22.968280,23.231990,23.610220,24.021910,24.309080,24.348650,24.376520", \ - "27.693810,27.965960,28.352270,28.922520,29.470050,29.758980,29.731850"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.197250,22.033030,22.391620,22.641540,22.366680,22.276780,22.615250", \ - "21.166960,21.990890,22.376560,22.628140,22.577150,21.756060,21.234200", \ - "21.499560,22.277370,22.684770,22.875690,23.041190,22.514420,22.631680", \ - "23.436040,24.232280,24.633590,24.806310,24.819680,23.771070,23.214180", \ - "26.555250,27.373880,27.810600,28.150150,27.843340,27.841400,26.890170", \ - "31.171070,32.221470,32.513160,33.144620,33.317520,32.887570,31.018200", \ - "37.223030,38.362300,38.978130,39.539360,39.897000,39.985260,39.854180"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.374790,15.898810,16.337280,16.748100,17.013900,17.106740,17.122750", \ - "15.352960,15.829390,16.250440,16.696220,16.935420,17.025050,17.038070", \ - "15.356810,15.812750,16.236060,16.660690,16.910900,17.003080,17.019640", \ - "16.225670,16.678640,17.058710,17.512560,17.760430,17.830310,17.855730", \ - "18.615900,18.961210,19.318120,19.683850,19.922270,19.993950,20.007710", \ - "22.389590,22.690280,23.095580,23.509590,23.791130,23.826070,23.828610", \ - "27.213970,27.456450,27.858780,28.467830,29.078130,29.427680,29.390610"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.276200,20.953270,21.149580,21.205460,21.420100,21.588930,20.779640", \ - "20.251040,21.030880,21.097290,21.350480,21.390350,21.611750,21.628830", \ - "20.662420,21.308940,21.494130,21.625760,21.639740,21.635800,21.926720", \ - "22.357140,23.055600,23.342180,23.411680,23.250550,22.927720,22.997570", \ - "25.293240,26.106400,26.410180,26.403580,26.471830,26.081820,25.278050", \ - "29.817310,30.516050,30.975190,30.981270,31.162960,31.224880,30.204010", \ - "35.377730,36.380270,36.794540,37.362990,37.550070,37.690260,35.999020"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.132270,15.698610,16.132460,16.556100,16.792380,16.874850,16.891480", \ - "15.115120,15.621620,16.069970,16.491110,16.715190,16.796470,16.813830", \ - "15.165500,15.632180,16.067050,16.482880,16.713010,16.794770,16.810840", \ - "16.161220,16.694180,17.097740,17.518000,17.732250,17.816660,17.828360", \ - "18.757230,19.141130,19.483660,19.843980,20.049130,20.121330,20.133530", \ - "22.693290,23.013300,23.394520,23.804200,24.073880,24.078540,24.065090", \ - "27.581650,27.881200,28.319080,28.903210,29.506490,29.841390,29.785460"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.782370,21.506230,21.733000,21.771180,21.767580,21.921570,21.870000", \ - "20.739400,21.432250,21.718590,21.621020,21.558710,21.983420,19.893490", \ - "21.033120,21.788040,21.986620,22.137110,21.919370,21.907440,21.665120", \ - "22.965760,23.570940,23.726230,23.907290,23.882110,23.978810,22.532590", \ - "25.818880,26.605780,26.778840,26.903440,26.985350,26.824370,24.970110", \ - "30.339520,31.188310,31.368430,31.514370,31.445890,31.550680,31.054110", \ - "36.119250,36.962170,37.412450,37.942830,38.096160,37.672050,36.998500"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.155520,16.678150,17.118090,17.552990,17.790170,17.876230,17.927630", \ - "16.024330,16.601720,17.035150,17.495220,17.720820,17.826730,17.858060", \ - "16.085890,16.605860,17.024870,17.472320,17.693040,17.801510,17.837760", \ - "16.944690,17.398680,17.841850,18.240740,18.478470,18.573930,18.608370", \ - "19.196940,19.613880,19.989120,20.391530,20.576620,20.683460,20.713670", \ - "22.963530,23.296760,23.762220,24.226630,24.492930,24.533840,24.549050", \ - "27.579950,28.064940,28.497910,29.162240,29.820060,30.243950,30.231800"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.141830,21.983820,22.350090,22.316040,22.568180,22.595360,21.473030", \ - "21.122530,21.964320,22.203860,22.303180,22.115590,22.238330,21.908110", \ - "21.497230,22.271760,22.665900,22.872430,22.688640,22.159390,23.171100", \ - "23.506340,24.353670,24.550430,24.604100,24.607010,24.457910,23.766980", \ - "26.728070,27.665830,28.082860,28.461670,28.068020,27.724280,26.047130", \ - "31.615160,32.512390,33.033440,33.361390,33.614820,32.732900,32.866080", \ - "37.760630,38.890070,39.495970,40.039300,40.207280,40.115640,40.288620"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.016520,15.652090,16.102100,16.500480,16.710290,16.796060,16.817990", \ - "15.065330,15.578990,16.026650,16.429550,16.645880,16.721520,16.744440", \ - "15.039170,15.592470,16.028670,16.445900,16.659110,16.733620,16.746640", \ - "15.930200,16.517710,16.952260,17.342000,17.560680,17.635480,17.653040", \ - "18.574210,18.954350,19.353170,19.744950,19.907300,19.981910,19.990160", \ - "22.442420,22.807960,23.264630,23.725550,24.047010,24.072160,24.066330", \ - "27.180440,27.564420,28.009010,28.707510,29.443910,29.947170,29.950080"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.254440,20.925210,21.199840,21.139330,21.384230,21.530900,21.655780", \ - "20.205210,20.952700,21.031080,21.069910,21.347970,21.008780,21.580040", \ - "20.659440,21.242980,21.485790,21.622640,21.696780,21.349480,21.236600", \ - "22.477450,23.135200,23.417700,23.275820,22.954030,22.579870,22.554260", \ - "25.452290,26.277300,26.455880,26.589970,26.672650,26.319690,25.508670", \ - "30.185290,30.882400,31.262070,31.400740,31.235960,31.760590,30.331510", \ - "36.186560,36.981240,37.463440,37.808950,37.895980,37.817310,37.738600"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.872550,15.408470,15.867930,16.272520,16.486740,16.559700,16.575560", \ - "14.795640,15.332670,15.790760,16.209410,16.409890,16.477960,16.503830", \ - "14.864910,15.425080,15.879790,16.269270,16.470690,16.532120,16.554510", \ - "15.985630,16.548740,16.970220,17.371160,17.558210,17.632870,17.650900", \ - "18.683050,19.175840,19.547530,19.912430,20.048910,20.109500,20.121600", \ - "22.664670,23.099950,23.549250,24.067490,24.339100,24.356170,24.337160", \ - "27.593660,27.943690,28.402260,29.132890,29.880660,30.373570,30.370460"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.783070,21.484360,21.730430,21.769410,21.601240,21.897010,20.945350", \ - "20.682930,21.456390,21.659290,21.617430,21.520040,21.614380,19.892770", \ - "21.041300,21.769180,22.016960,22.050680,22.229320,21.472450,21.364280", \ - "23.048620,23.643870,23.898270,23.997880,23.896140,23.771550,22.475750", \ - "26.017200,26.807520,26.993230,27.207830,27.294500,27.261410,25.898670", \ - "30.652240,31.448850,31.786770,32.036130,31.969440,32.262630,31.713690", \ - "36.765910,37.720240,38.182310,38.403750,38.765390,38.648170,36.931170"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.623930,17.078170,17.519260,17.975190,18.251240,18.368730,18.397960", \ - "16.661320,17.058750,17.508820,17.956400,18.237010,18.346070,18.383600", \ - "16.491820,16.974740,17.398840,17.868240,18.147060,18.256780,18.287360", \ - "16.984300,17.382290,17.800630,18.227450,18.519950,18.631040,18.659940", \ - "18.639590,18.980270,19.380420,19.795510,20.026100,20.139360,20.172890", \ - "21.644830,21.965560,22.382790,22.897710,23.260890,23.340530,23.355790", \ - "25.484550,25.819850,26.211220,26.879680,27.580550,28.148140,28.216290"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.649550,25.432950,25.907980,26.231160,26.607960,26.888750,26.106850", \ - "24.477080,25.410490,25.910800,26.194960,26.307060,26.763000,26.821270", \ - "24.553030,25.510490,26.036150,26.514510,26.615730,26.992020,26.185280", \ - "25.797490,26.640130,27.115720,27.337910,27.932490,28.055830,26.819980", \ - "28.833090,29.756750,30.106100,30.630720,30.872610,30.233330,28.545890", \ - "32.843790,33.918420,34.349450,34.900520,35.343420,35.323490,34.696630", \ - "38.574240,39.313580,39.818340,40.379540,40.749650,41.008980,40.699070"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.560580,15.997210,16.433240,16.842120,17.108470,17.195400,17.211390", \ - "15.427950,15.967640,16.409200,16.830480,17.081690,17.165350,17.189830", \ - "15.321400,15.861100,16.299550,16.707000,16.979580,17.065100,17.075650", \ - "15.833860,16.319740,16.740140,17.182690,17.431870,17.531310,17.547660", \ - "17.850360,18.217260,18.604470,18.997430,19.200340,19.279060,19.297390", \ - "20.987400,21.304510,21.688500,22.229420,22.625850,22.729540,22.714790", \ - "24.817760,25.129630,25.561990,26.261860,27.003130,27.655340,27.762680"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.371720,24.152940,24.395990,24.553800,24.893810,24.986970,23.839960", \ - "23.183060,24.042720,24.317310,24.615470,24.813370,25.069000,23.149070", \ - "23.287770,24.069090,24.433650,24.747400,24.999340,24.996120,24.017080", \ - "24.535750,25.294080,25.528690,25.773920,26.076560,25.615800,24.678060", \ - "27.313590,28.168600,28.510220,28.773340,28.915600,27.960330,27.393550", \ - "31.329960,32.033270,32.457980,32.678230,33.136260,33.066960,31.724270", \ - "36.705860,37.480570,37.834310,38.118830,38.344910,37.772770,37.102350"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.341860,15.793860,16.210370,16.659500,16.885360,16.967860,16.984040", \ - "15.252590,15.752390,16.178210,16.632820,16.855950,16.942520,16.953930", \ - "15.135940,15.668570,16.090920,16.540290,16.774210,16.848760,16.865100", \ - "15.770770,16.261280,16.706880,17.116290,17.344570,17.420780,17.431390", \ - "17.906880,18.254800,18.683510,19.076240,19.246870,19.330730,19.340570", \ - "21.098660,21.459550,21.897170,22.414180,22.802850,22.868610,22.846430", \ - "25.047890,25.346070,25.790750,26.481340,27.240790,27.880240,27.982310"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.946590,24.720280,24.985570,25.299710,25.535870,24.528250,25.093980", \ - "23.879550,24.684430,24.917230,25.366400,25.522680,25.545180,24.606240", \ - "24.005690,24.814020,25.044460,25.476360,25.427920,25.536030,24.625360", \ - "25.005810,25.890850,26.164380,26.431460,26.574890,26.254680,26.179160", \ - "27.896210,28.805440,29.151080,29.276730,28.974050,29.556900,28.432550", \ - "31.951290,32.733370,32.939480,33.262580,33.527420,32.718830,32.901890", \ - "37.224950,38.022120,38.269740,38.803670,39.034880,38.822090,38.904720"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.673590,18.104710,18.500090,18.958190,19.247440,19.385130,19.446180", \ - "17.605440,17.977450,18.432190,18.864370,19.184290,19.319110,19.371370", \ - "17.546360,17.923460,18.360990,18.829590,19.125180,19.257230,19.311490", \ - "17.919860,18.325660,18.737370,19.160960,19.465400,19.607320,19.666760", \ - "19.451420,19.802010,20.144040,20.580020,20.855990,20.990210,21.053000", \ - "22.483490,22.765400,23.163870,23.580650,23.878380,23.955540,24.017600", \ - "26.658730,26.949390,27.311090,27.846970,28.326640,28.560170,28.541740"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.563470,26.471520,27.009600,27.307360,27.460530,27.897210,26.350990", \ - "25.561970,26.443820,26.958310,27.427150,27.391250,27.530690,27.212130", \ - "25.594630,26.370870,26.916830,27.327670,27.834550,27.908630,27.181360", \ - "26.079280,27.050580,27.395460,27.965240,27.922800,28.116790,28.099960", \ - "28.246380,29.103100,29.502020,30.108190,30.384590,30.514700,29.306230", \ - "31.379670,32.268660,32.775010,33.118990,33.853270,33.930030,33.676480", \ - "35.843130,36.894980,37.442750,38.000840,38.405120,38.594840,38.386490"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.522920,16.970590,17.356440,17.831200,18.096530,18.215290,18.244220", \ - "16.463890,16.849620,17.293330,17.743010,18.019630,18.137800,18.172370", \ - "16.392440,16.848240,17.235690,17.692330,17.971470,18.082210,18.111540", \ - "16.805400,17.272510,17.647240,18.119180,18.373280,18.499190,18.534690", \ - "18.577640,18.897860,19.321610,19.715250,19.943410,20.074950,20.111820", \ - "21.802100,22.069930,22.469760,22.925530,23.201930,23.259240,23.277010", \ - "26.010000,26.315020,26.676440,27.269960,27.750780,28.010270,27.980950"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.286840,25.193590,25.537310,25.849440,25.907850,26.065030,25.014500", \ - "24.219220,25.142410,25.368560,25.772090,25.884050,26.005810,26.200350", \ - "24.314340,25.098270,25.322770,25.719870,25.839430,25.169740,26.033860", \ - "24.846730,25.713710,25.933380,26.063130,26.437700,25.497600,25.681720", \ - "26.799370,27.574460,27.915130,28.200640,28.429000,27.792320,28.593630", \ - "29.762870,30.666360,31.115320,31.446690,31.698400,31.211970,30.818880", \ - "34.293270,35.137370,35.428900,35.910930,36.103070,36.435230,36.529420"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.144010,16.673720,17.123280,17.531480,17.798290,17.904500,17.938750", \ - "16.164190,16.595010,17.045110,17.476650,17.727090,17.830990,17.876320", \ - "16.065950,16.559250,16.983950,17.423700,17.677370,17.786980,17.820330", \ - "16.604500,17.066080,17.506070,17.941360,18.180100,18.299050,18.335710", \ - "18.593260,18.913310,19.308930,19.720050,19.931360,20.055200,20.097710", \ - "21.915680,22.231440,22.616690,23.049280,23.323060,23.360730,23.381180", \ - "26.295690,26.543870,26.915410,27.470580,27.968580,28.206200,28.166520"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.995440,25.770980,26.010090,26.238150,26.533010,25.663850,25.578270", \ - "24.847200,25.735410,25.965790,26.335220,26.462140,25.494840,25.498370", \ - "24.899760,25.705670,26.000820,26.267680,26.486180,26.511650,25.738230", \ - "25.502040,26.299810,26.587110,26.897820,27.015950,26.730760,25.047310", \ - "27.473740,28.281220,28.641480,28.966180,28.901850,28.873570,28.064100", \ - "30.294140,31.157220,31.675440,32.054230,32.392000,31.788700,32.022980", \ - "34.755270,35.557780,36.030340,36.507050,36.449410,37.013920,35.391520"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.676130,17.130980,17.548710,17.977980,18.258130,18.366060,18.399570", \ - "16.686170,17.138420,17.517560,17.986290,18.250040,18.378220,18.406200", \ - "16.759010,17.158830,17.625910,18.065320,18.333890,18.449700,18.481220", \ - "17.907590,18.300820,18.704220,19.156690,19.416950,19.539120,19.571140", \ - "20.461280,20.756320,21.145710,21.508040,21.772480,21.871270,21.920460", \ - "24.480920,24.772900,25.079820,25.466930,25.728070,25.754800,25.775220", \ - "29.526350,29.801650,30.169570,30.657540,31.130930,31.382690,31.332650"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.732400,21.470240,21.894560,22.151890,22.253130,21.813970,20.643280", \ - "20.670550,21.436800,21.866870,22.269160,22.714360,22.712800,21.781810", \ - "20.792860,21.619170,22.101360,22.327160,22.606150,22.914350,22.640970", \ - "22.432850,23.164730,23.458140,23.807710,24.097650,23.273610,23.544390", \ - "25.278310,26.100360,26.613580,27.057040,26.884590,26.147770,26.004790", \ - "29.646220,30.383710,30.817960,31.277210,31.430460,31.621720,31.086820", \ - "35.299790,36.009300,36.706130,37.153790,37.375170,36.803020,37.281120"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.520490,16.013000,16.427870,16.854450,17.105240,17.189130,17.206080", \ - "15.551680,15.999300,16.427830,16.861600,17.100480,17.187950,17.204810", \ - "15.593300,16.133490,16.553020,16.989680,17.228930,17.315280,17.334460", \ - "16.989980,17.420820,17.799030,18.251890,18.489560,18.573870,18.590050", \ - "19.792230,20.109340,20.427120,20.797420,20.998520,21.093450,21.101790", \ - "23.868310,24.148420,24.489180,24.899120,25.175370,25.180410,25.166550", \ - "29.089420,29.231290,29.580710,30.111100,30.629430,30.947580,30.907500"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("19.482640,20.172540,20.563630,20.705920,20.508780,20.932460,19.827790", \ - "19.502680,20.188080,20.350890,20.593540,20.815830,20.757060,20.491120", \ - "19.594180,20.382940,20.536250,20.863880,20.671570,20.960760,20.837270", \ - "21.138420,21.791900,22.149600,22.190570,22.307630,21.478410,20.108600", \ - "23.687950,24.591900,24.871900,25.086220,25.335040,24.702470,23.842120", \ - "27.769210,28.605000,28.804990,29.155660,29.492970,29.060760,29.294830", \ - "33.106330,33.871860,34.353920,34.815300,35.098350,35.068680,34.900180"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.174610,15.746050,16.184350,16.591500,16.800630,16.880990,16.901630", \ - "15.141570,15.756890,16.184190,16.591150,16.800730,16.883670,16.901450", \ - "15.384180,15.938300,16.380810,16.785720,16.998820,17.080490,17.099540", \ - "16.770670,17.299940,17.733520,18.130160,18.329890,18.413890,18.430810", \ - "19.706790,20.115260,20.454680,20.819380,20.966240,21.032580,21.045130", \ - "23.807580,24.178110,24.561530,24.976530,25.274500,25.269810,25.252590", \ - "28.807560,29.164530,29.565280,30.152260,30.761450,31.183600,31.166850"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("19.486540,20.200960,20.448850,20.590340,20.703150,20.806240,20.296360", \ - "19.406100,20.202680,20.332260,20.472710,20.677820,20.742660,20.507740", \ - "19.583220,20.352410,20.587140,20.665810,20.859580,20.651230,20.846680", \ - "21.176490,21.798490,22.180180,22.164200,22.346890,21.964650,22.049820", \ - "23.782330,24.588290,24.965150,25.271950,24.939800,25.155280,24.302370", \ - "27.809590,28.681930,28.893510,29.351630,29.476160,29.538870,28.543010", \ - "33.307900,34.072600,34.541130,34.878900,35.274360,34.762430,34.248510"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.685630,18.046420,18.510940,18.961330,19.244470,19.385750,19.438550", \ - "17.609740,18.028660,18.415590,18.867250,19.182190,19.315510,19.377790", \ - "17.626840,18.099650,18.481570,18.961490,19.253170,19.386640,19.446620", \ - "18.723580,19.138670,19.537530,19.978970,20.271720,20.401630,20.458040", \ - "21.265670,21.533970,21.924760,22.326130,22.615850,22.749480,22.807610", \ - "25.277530,25.478500,25.814530,26.168460,26.408820,26.471450,26.528690", \ - "30.426280,30.616370,30.990060,31.391480,31.690270,31.793690,31.764010"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.710910,22.513640,22.972140,23.154190,23.643210,23.749030,23.323190", \ - "21.701870,22.475520,22.917840,23.358620,23.493540,23.253340,23.767690", \ - "21.621900,22.417220,22.856960,23.231260,23.403990,23.540800,23.769910", \ - "22.492550,23.345940,23.816010,24.130340,24.256130,23.529130,23.826570", \ - "24.414300,25.449990,25.741360,26.219930,26.404320,26.268210,25.587240", \ - "27.596450,28.633350,29.093340,29.757970,30.002050,30.004500,29.632580", \ - "32.205670,33.186320,33.751250,34.310630,34.954570,34.869540,34.666870"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.553730,16.955670,17.350780,17.822830,18.090240,18.206960,18.245670", \ - "16.445070,16.874920,17.321430,17.753900,18.020370,18.141550,18.173500", \ - "16.574060,16.982540,17.384680,17.852960,18.116310,18.240990,18.272340", \ - "17.790800,18.236190,18.618440,19.071330,19.328220,19.441220,19.480570", \ - "20.482890,20.829630,21.151440,21.540690,21.798990,21.900850,21.942240", \ - "24.563170,24.832000,25.173940,25.530850,25.754320,25.767870,25.800300", \ - "29.808320,30.112620,30.381600,30.807170,31.127070,31.210620,31.131220"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.497410,21.291970,21.550070,21.781230,22.023830,21.516700,20.942030", \ - "20.458480,21.222930,21.395040,21.726260,21.638860,21.059060,21.341970", \ - "20.408050,21.195830,21.363170,21.707300,21.959480,21.141680,21.404110", \ - "21.344930,22.068100,22.250460,22.331890,22.577850,22.743850,21.268600", \ - "23.068040,23.819840,24.138730,24.690020,24.223880,24.514140,24.537730", \ - "26.205250,27.005270,27.392620,27.777050,28.103490,27.736220,27.241560", \ - "30.321160,31.212880,31.670060,32.212780,32.586410,32.743160,31.972730"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.136940,16.622120,17.051970,17.489980,17.718320,17.823460,17.856540", \ - "16.074630,16.557940,16.988200,17.426380,17.652040,17.756310,17.791650", \ - "16.174290,16.717140,17.123540,17.569980,17.800630,17.890480,17.946660", \ - "17.602210,18.039280,18.483250,18.890550,19.110700,19.215270,19.263250", \ - "20.380920,20.794260,21.134190,21.486930,21.686250,21.793140,21.828870", \ - "24.569030,24.906310,25.271880,25.613160,25.799990,25.792970,25.830670", \ - "29.945540,30.214380,30.509820,30.985430,31.332920,31.430270,31.355020"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.550750,21.310920,21.552140,21.783180,21.888060,21.564460,20.731660", \ - "20.441220,21.140290,21.566900,21.618470,21.726020,21.294190,19.879460", \ - "20.387280,21.155320,21.344690,21.630640,21.357220,21.690710,21.876100", \ - "21.342640,22.000960,22.246770,22.439950,22.457240,22.209730,22.647210", \ - "23.139890,23.882530,24.191860,24.551720,24.866430,24.787740,24.329460", \ - "26.279830,27.065980,27.433100,27.860600,27.962730,28.172110,27.051230", \ - "30.628560,31.467660,31.946170,32.460720,32.952600,33.114230,32.945970"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI222_X1 - Cell Description : Combinational cell (OAI222_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI222_X1) { - - drive_strength : 1; - - area : 2.128000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 130.264648; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 19.780125; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 60.378750; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 60.378750; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 100.229375; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 22.964550; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 156.753375; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 156.753875; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 196.648500; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 22.964550; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 156.753375; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 156.753875; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 196.648500; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 23.758500; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 196.577875; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 196.578625; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 236.497125; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 16.469924; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 74.325480; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 74.325605; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 114.178730; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 34.308030; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 143.386500; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 163.649750; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 176.142125; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 34.308155; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 163.649750; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 183.907250; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 196.410750; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 32.481904; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 176.116625; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 196.380250; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 208.880450; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 16.470046; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 74.325480; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 74.325603; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 114.178855; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 34.308152; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 163.649875; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 183.907375; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 196.410875; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 34.308152; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 183.907125; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 204.158875; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 216.672750; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 32.481904; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 196.380250; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 216.637250; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 229.147125; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 6.208763; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 65.352247; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 65.352372; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 105.205874; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 24.364353; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 176.101000; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 196.359500; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 208.865975; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 24.364353; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 196.359250; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 216.610875; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 229.127125; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 22.529450; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 208.831525; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 229.087750; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 241.606341; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.599136; - fall_capacitance : 1.468707; - rise_capacitance : 1.599136; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.656554; - fall_capacitance : 1.656554; - rise_capacitance : 1.577673; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.646752; - fall_capacitance : 1.462032; - rise_capacitance : 1.646752; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.653075; - fall_capacitance : 1.653075; - rise_capacitance : 1.635825; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.646883; - fall_capacitance : 1.389312; - rise_capacitance : 1.646883; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.601546; - fall_capacitance : 1.559410; - rise_capacitance : 1.601546; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 20.065300; - function : "!(((A1 | A2) & (B1 | B2)) & (C1 | C2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.013996,0.014814,0.016387,0.019402,0.025163,0.036203,0.057477", \ - "0.014708,0.015526,0.017100,0.020120,0.025893,0.036949,0.058242", \ - "0.017384,0.018161,0.019691,0.022665,0.028382,0.039383,0.060634", \ - "0.021838,0.022794,0.024609,0.027969,0.033994,0.044691,0.065627", \ - "0.025166,0.026328,0.028540,0.032624,0.039965,0.052799,0.074425", \ - "0.027243,0.028622,0.031276,0.036127,0.044786,0.059766,0.085200", \ - "0.027848,0.029461,0.032552,0.038204,0.048254,0.065530,0.094454"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.011073,0.012042,0.013909,0.017513,0.024439,0.037835,0.063802", \ - "0.011757,0.012717,0.014580,0.018188,0.025153,0.038602,0.064613", \ - "0.015433,0.016350,0.018070,0.021508,0.028278,0.041555,0.067454", \ - "0.020722,0.021922,0.024164,0.028296,0.035586,0.048396,0.073753", \ - "0.027409,0.028807,0.031434,0.036246,0.044856,0.059771,0.084962", \ - "0.035469,0.037072,0.040093,0.045648,0.055452,0.072427,0.101179", \ - "0.045014,0.046769,0.050119,0.056354,0.067468,0.086498,0.118572"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010117,0.010791,0.012102,0.014645,0.019564,0.029106,0.047644", \ - "0.010114,0.010793,0.012099,0.014641,0.019565,0.029109,0.047646", \ - "0.010060,0.010701,0.011966,0.014493,0.019551,0.029108,0.047641", \ - "0.013213,0.013824,0.014977,0.017170,0.021140,0.029426,0.047634", \ - "0.018587,0.019246,0.020466,0.022743,0.026980,0.034769,0.049601", \ - "0.025799,0.026574,0.027975,0.030525,0.035073,0.043170,0.058017", \ - "0.034550,0.035496,0.037145,0.040141,0.045317,0.054050,0.069412"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.015119,0.015993,0.017686,0.020955,0.027256,0.039411,0.062957", \ - "0.015118,0.015992,0.017686,0.020956,0.027258,0.039405,0.062949", \ - "0.016091,0.016770,0.018153,0.021045,0.027258,0.039404,0.062945", \ - "0.021121,0.021675,0.022712,0.024764,0.029406,0.039792,0.062964", \ - "0.028111,0.028597,0.029613,0.031772,0.036223,0.044817,0.064205", \ - "0.037288,0.037701,0.038600,0.040592,0.044952,0.054021,0.071306", \ - "0.048334,0.048736,0.049597,0.051541,0.055814,0.064885,0.083108"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010740,0.011548,0.013103,0.016081,0.021782,0.032738,0.053915", \ - "0.011424,0.012233,0.013792,0.016780,0.022499,0.033478,0.054677", \ - "0.014313,0.015100,0.016547,0.019381,0.025011,0.035919,0.057073", \ - "0.017612,0.018642,0.020583,0.024141,0.030470,0.041318,0.062104", \ - "0.019869,0.021140,0.023533,0.027887,0.035595,0.048862,0.071021", \ - "0.020805,0.022335,0.025219,0.030436,0.039589,0.055144,0.081147", \ - "0.020258,0.022045,0.025411,0.031511,0.042193,0.060189,0.089838"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010554,0.011514,0.013366,0.016929,0.023787,0.037045,0.062767", \ - "0.011240,0.012191,0.014038,0.017607,0.024495,0.037800,0.063579", \ - "0.014853,0.015830,0.017543,0.020935,0.027623,0.040757,0.066417", \ - "0.019837,0.021059,0.023342,0.027512,0.034858,0.047608,0.072723", \ - "0.026017,0.027486,0.030199,0.035112,0.043801,0.058789,0.083939", \ - "0.033213,0.034962,0.038170,0.043932,0.053962,0.071076,0.099915", \ - "0.041416,0.043419,0.047118,0.053785,0.065323,0.084673,0.116918"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008059,0.008728,0.010021,0.012541,0.017429,0.026930,0.045399", \ - "0.008037,0.008714,0.010016,0.012537,0.017434,0.026925,0.045395", \ - "0.008409,0.008980,0.010124,0.012458,0.017361,0.026930,0.045400", \ - "0.011923,0.012506,0.013620,0.015755,0.019727,0.027586,0.045386", \ - "0.017415,0.018075,0.019295,0.021539,0.025667,0.033373,0.047897", \ - "0.024516,0.025318,0.026764,0.029368,0.033897,0.041883,0.056597", \ - "0.033112,0.034082,0.035808,0.038889,0.044136,0.052870,0.068062"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010851,0.011760,0.013508,0.016843,0.023188,0.035345,0.058868", \ - "0.010848,0.011759,0.013508,0.016843,0.023187,0.035343,0.058871", \ - "0.011906,0.012606,0.014026,0.016957,0.023188,0.035339,0.058870", \ - "0.016519,0.017172,0.018454,0.020806,0.025404,0.035751,0.058876", \ - "0.022713,0.023302,0.024505,0.026961,0.031841,0.040837,0.060165", \ - "0.030862,0.031408,0.032567,0.034975,0.039850,0.049512,0.067298", \ - "0.040629,0.041172,0.042397,0.044899,0.049908,0.059737,0.078711"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.009118,0.009810,0.011142,0.013689,0.018557,0.027900,0.045944", \ - "0.009853,0.010545,0.011877,0.014430,0.019312,0.028672,0.046733", \ - "0.012815,0.013531,0.014865,0.017263,0.022005,0.031289,0.049295", \ - "0.015487,0.016438,0.018225,0.021483,0.027251,0.037034,0.054643", \ - "0.016754,0.017951,0.020195,0.024263,0.031394,0.043559,0.063698", \ - "0.016340,0.017782,0.020501,0.025420,0.034003,0.048474,0.072329", \ - "0.014093,0.015772,0.018956,0.024716,0.034785,0.051692,0.079231"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010508,0.011467,0.013319,0.016883,0.023741,0.037004,0.062733", \ - "0.011165,0.012115,0.013959,0.017527,0.024419,0.037732,0.063514", \ - "0.014864,0.015834,0.017539,0.020917,0.027590,0.040707,0.066360", \ - "0.020125,0.021331,0.023588,0.027718,0.035011,0.047709,0.072772", \ - "0.026844,0.028274,0.030932,0.035762,0.044349,0.059210,0.084240", \ - "0.034894,0.036576,0.039696,0.045322,0.055160,0.072059,0.100662", \ - "0.044258,0.046179,0.049764,0.056245,0.067504,0.086500,0.118382"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006740,0.007316,0.008431,0.010583,0.014757,0.022852,0.038577", \ - "0.006690,0.007276,0.008405,0.010577,0.014757,0.022847,0.038576", \ - "0.007484,0.007908,0.008796,0.010668,0.014628,0.022838,0.038579", \ - "0.011191,0.011700,0.012656,0.014459,0.017822,0.024139,0.038533", \ - "0.016688,0.017295,0.018406,0.020420,0.024015,0.030581,0.042516", \ - "0.023711,0.024458,0.025801,0.028203,0.032327,0.039373,0.051951", \ - "0.032189,0.033094,0.034718,0.037602,0.042476,0.050428,0.063748"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010852,0.011761,0.013508,0.016844,0.023189,0.035344,0.058878", \ - "0.010849,0.011759,0.013508,0.016843,0.023189,0.035344,0.058870", \ - "0.011902,0.012606,0.014028,0.016959,0.023189,0.035344,0.058872", \ - "0.016355,0.017020,0.018322,0.020702,0.025350,0.035737,0.058884", \ - "0.022244,0.022852,0.024083,0.026592,0.031564,0.040654,0.060108", \ - "0.030052,0.030622,0.031790,0.034215,0.039166,0.049004,0.066991", \ - "0.039508,0.040087,0.041286,0.043750,0.048755,0.058725,0.077997"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010740,0.011548,0.013103,0.016081,0.021782,0.032738,0.053915", \ - "0.011424,0.012233,0.013792,0.016780,0.022499,0.033478,0.054677", \ - "0.014313,0.015100,0.016547,0.019381,0.025011,0.035919,0.057073", \ - "0.017612,0.018642,0.020583,0.024141,0.030470,0.041318,0.062104", \ - "0.019869,0.021140,0.023533,0.027887,0.035595,0.048862,0.071021", \ - "0.020805,0.022335,0.025219,0.030436,0.039589,0.055144,0.081147", \ - "0.020258,0.022045,0.025411,0.031511,0.042193,0.060189,0.089838"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010554,0.011514,0.013366,0.016929,0.023787,0.037045,0.062767", \ - "0.011240,0.012191,0.014038,0.017607,0.024495,0.037800,0.063579", \ - "0.014853,0.015830,0.017543,0.020935,0.027623,0.040757,0.066417", \ - "0.019837,0.021059,0.023342,0.027512,0.034858,0.047608,0.072723", \ - "0.026017,0.027486,0.030199,0.035112,0.043801,0.058789,0.083939", \ - "0.033213,0.034962,0.038170,0.043932,0.053962,0.071076,0.099915", \ - "0.041416,0.043419,0.047118,0.053785,0.065323,0.084673,0.116918"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008059,0.008728,0.010021,0.012541,0.017429,0.026930,0.045399", \ - "0.008037,0.008714,0.010016,0.012537,0.017434,0.026925,0.045395", \ - "0.008409,0.008980,0.010124,0.012458,0.017361,0.026930,0.045400", \ - "0.011923,0.012506,0.013620,0.015755,0.019727,0.027586,0.045386", \ - "0.017415,0.018075,0.019295,0.021539,0.025667,0.033373,0.047897", \ - "0.024516,0.025318,0.026764,0.029368,0.033897,0.041883,0.056597", \ - "0.033112,0.034082,0.035808,0.038889,0.044136,0.052870,0.068062"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010851,0.011760,0.013508,0.016843,0.023188,0.035345,0.058868", \ - "0.010848,0.011759,0.013508,0.016843,0.023187,0.035343,0.058871", \ - "0.011906,0.012606,0.014026,0.016957,0.023188,0.035339,0.058870", \ - "0.016519,0.017172,0.018454,0.020806,0.025404,0.035751,0.058876", \ - "0.022713,0.023302,0.024505,0.026961,0.031841,0.040837,0.060165", \ - "0.030862,0.031408,0.032567,0.034975,0.039850,0.049512,0.067298", \ - "0.040629,0.041172,0.042397,0.044899,0.049908,0.059737,0.078711"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007759,0.008528,0.010021,0.012912,0.018506,0.029352,0.050439", \ - "0.008415,0.009183,0.010677,0.013584,0.019207,0.030086,0.051198", \ - "0.010993,0.011860,0.013467,0.016337,0.021754,0.032539,0.053596", \ - "0.013069,0.014213,0.016329,0.020147,0.026825,0.038077,0.058679", \ - "0.014057,0.015495,0.018163,0.022909,0.031091,0.044881,0.067634", \ - "0.013699,0.015445,0.018673,0.024405,0.034205,0.050459,0.077124", \ - "0.011882,0.013915,0.017702,0.024412,0.035874,0.054773,0.085257"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010069,0.011020,0.012854,0.016383,0.023175,0.036308,0.061804", \ - "0.010757,0.011698,0.013525,0.017060,0.023884,0.037066,0.062613", \ - "0.014301,0.015292,0.017047,0.020397,0.027017,0.040023,0.065451", \ - "0.019017,0.020260,0.022573,0.026781,0.034174,0.046879,0.071759", \ - "0.024795,0.026307,0.029085,0.034071,0.042831,0.057872,0.082976", \ - "0.031362,0.033190,0.036516,0.042434,0.052619,0.069835,0.098729", \ - "0.038641,0.040775,0.044668,0.051606,0.063436,0.083012,0.115373"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.005849,0.006539,0.007860,0.010401,0.015297,0.024775,0.043213", \ - "0.005763,0.006468,0.007821,0.010384,0.015291,0.024774,0.043216", \ - "0.007030,0.007601,0.008583,0.010657,0.015184,0.024770,0.043222", \ - "0.010813,0.011368,0.012426,0.014452,0.018389,0.025904,0.043175", \ - "0.016376,0.017039,0.018258,0.020469,0.024474,0.032028,0.046334", \ - "0.023395,0.024214,0.025690,0.028325,0.032840,0.040688,0.055248", \ - "0.031892,0.032889,0.034634,0.037776,0.043050,0.051768,0.066784"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007411,0.008242,0.009879,0.013056,0.019253,0.031304,0.054821", \ - "0.007401,0.008241,0.009877,0.013051,0.019247,0.031312,0.054812", \ - "0.008543,0.009159,0.010443,0.013192,0.019240,0.031313,0.054821", \ - "0.012019,0.012762,0.014194,0.016872,0.021536,0.031747,0.054808", \ - "0.016919,0.017700,0.019186,0.022019,0.027360,0.036876,0.056119", \ - "0.023396,0.024266,0.025907,0.028956,0.034554,0.044907,0.063298", \ - "0.031351,0.032315,0.034159,0.037577,0.043648,0.054463,0.074263"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006674,0.007322,0.008583,0.011035,0.015793,0.025019,0.042951", \ - "0.007407,0.008047,0.009303,0.011759,0.016534,0.025784,0.043737", \ - "0.009826,0.010614,0.012074,0.014688,0.019280,0.028415,0.046305", \ - "0.011274,0.012338,0.014307,0.017828,0.023931,0.034144,0.051696", \ - "0.011279,0.012634,0.015145,0.019596,0.027213,0.039885,0.060577", \ - "0.009609,0.011257,0.014302,0.019706,0.028924,0.044083,0.068577", \ - "0.006137,0.008065,0.011631,0.017953,0.028767,0.046539,0.074907"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010023,0.010973,0.012807,0.016336,0.023131,0.036265,0.061768", \ - "0.010680,0.011621,0.013447,0.016981,0.023806,0.036992,0.062547", \ - "0.014314,0.015297,0.017043,0.020380,0.026982,0.039971,0.065395", \ - "0.019321,0.020547,0.022830,0.026995,0.034330,0.046980,0.071813", \ - "0.025666,0.027143,0.029859,0.034755,0.043398,0.058305,0.083282", \ - "0.033117,0.034895,0.038122,0.043891,0.053867,0.070848,0.099496", \ - "0.041625,0.043676,0.047454,0.054190,0.065717,0.084910,0.116879"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.004819,0.005407,0.006548,0.008734,0.012916,0.021001,0.036675", \ - "0.004718,0.005325,0.006490,0.008705,0.012911,0.021001,0.036682", \ - "0.006371,0.006827,0.007707,0.009306,0.012926,0.020962,0.036679", \ - "0.010289,0.010786,0.011709,0.013441,0.016721,0.022855,0.036707", \ - "0.015794,0.016405,0.017527,0.019535,0.023066,0.029499,0.041322", \ - "0.022718,0.023486,0.024861,0.027295,0.031442,0.038393,0.050838", \ - "0.031119,0.032049,0.033693,0.036619,0.041534,0.049479,0.062702"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007408,0.008245,0.009873,0.013051,0.019244,0.031303,0.054821", \ - "0.007404,0.008242,0.009872,0.013051,0.019246,0.031303,0.054823", \ - "0.008538,0.009157,0.010451,0.013197,0.019240,0.031315,0.054816", \ - "0.011869,0.012627,0.014077,0.016780,0.021473,0.031731,0.054810", \ - "0.016534,0.017318,0.018811,0.021674,0.027085,0.036693,0.056067", \ - "0.022786,0.023627,0.025249,0.028278,0.033908,0.044406,0.062988", \ - "0.030514,0.031453,0.033243,0.036571,0.042585,0.053476,0.073556"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.009118,0.009810,0.011142,0.013689,0.018557,0.027900,0.045944", \ - "0.009853,0.010545,0.011877,0.014430,0.019312,0.028672,0.046733", \ - "0.012815,0.013531,0.014865,0.017263,0.022005,0.031289,0.049295", \ - "0.015487,0.016438,0.018225,0.021483,0.027251,0.037034,0.054643", \ - "0.016754,0.017951,0.020195,0.024263,0.031394,0.043559,0.063698", \ - "0.016340,0.017782,0.020501,0.025420,0.034003,0.048474,0.072329", \ - "0.014093,0.015772,0.018956,0.024716,0.034785,0.051692,0.079231"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010508,0.011467,0.013319,0.016883,0.023741,0.037004,0.062733", \ - "0.011165,0.012115,0.013959,0.017527,0.024419,0.037732,0.063514", \ - "0.014864,0.015834,0.017539,0.020917,0.027590,0.040707,0.066360", \ - "0.020125,0.021331,0.023588,0.027718,0.035011,0.047709,0.072772", \ - "0.026844,0.028274,0.030932,0.035762,0.044349,0.059210,0.084240", \ - "0.034894,0.036576,0.039696,0.045322,0.055160,0.072059,0.100662", \ - "0.044258,0.046179,0.049764,0.056245,0.067504,0.086500,0.118382"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006740,0.007316,0.008431,0.010583,0.014757,0.022852,0.038577", \ - "0.006690,0.007276,0.008405,0.010577,0.014757,0.022847,0.038576", \ - "0.007484,0.007908,0.008796,0.010668,0.014628,0.022838,0.038579", \ - "0.011191,0.011700,0.012656,0.014459,0.017822,0.024139,0.038533", \ - "0.016688,0.017295,0.018406,0.020420,0.024015,0.030581,0.042516", \ - "0.023711,0.024458,0.025801,0.028203,0.032327,0.039373,0.051951", \ - "0.032189,0.033094,0.034718,0.037602,0.042476,0.050428,0.063748"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010852,0.011761,0.013508,0.016844,0.023189,0.035344,0.058878", \ - "0.010849,0.011759,0.013508,0.016843,0.023189,0.035344,0.058870", \ - "0.011902,0.012606,0.014028,0.016959,0.023189,0.035344,0.058872", \ - "0.016355,0.017020,0.018322,0.020702,0.025350,0.035737,0.058884", \ - "0.022244,0.022852,0.024083,0.026592,0.031564,0.040654,0.060108", \ - "0.030052,0.030622,0.031790,0.034215,0.039166,0.049004,0.066991", \ - "0.039508,0.040087,0.041286,0.043750,0.048755,0.058725,0.077997"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006674,0.007322,0.008583,0.011035,0.015793,0.025019,0.042951", \ - "0.007407,0.008047,0.009303,0.011759,0.016534,0.025784,0.043737", \ - "0.009826,0.010614,0.012074,0.014688,0.019280,0.028415,0.046305", \ - "0.011274,0.012338,0.014307,0.017828,0.023931,0.034144,0.051696", \ - "0.011279,0.012634,0.015145,0.019596,0.027213,0.039885,0.060577", \ - "0.009609,0.011257,0.014302,0.019706,0.028924,0.044083,0.068577", \ - "0.006137,0.008065,0.011631,0.017953,0.028767,0.046539,0.074907"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010023,0.010973,0.012807,0.016336,0.023131,0.036265,0.061768", \ - "0.010680,0.011621,0.013447,0.016981,0.023806,0.036992,0.062547", \ - "0.014314,0.015297,0.017043,0.020380,0.026982,0.039971,0.065395", \ - "0.019321,0.020547,0.022830,0.026995,0.034330,0.046980,0.071813", \ - "0.025666,0.027143,0.029859,0.034755,0.043398,0.058305,0.083282", \ - "0.033117,0.034895,0.038122,0.043891,0.053867,0.070848,0.099496", \ - "0.041625,0.043676,0.047454,0.054190,0.065717,0.084910,0.116879"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.004819,0.005407,0.006548,0.008734,0.012916,0.021001,0.036675", \ - "0.004718,0.005325,0.006490,0.008705,0.012911,0.021001,0.036682", \ - "0.006371,0.006827,0.007707,0.009306,0.012926,0.020962,0.036679", \ - "0.010289,0.010786,0.011709,0.013441,0.016721,0.022855,0.036707", \ - "0.015794,0.016405,0.017527,0.019535,0.023066,0.029499,0.041322", \ - "0.022718,0.023486,0.024861,0.027295,0.031442,0.038393,0.050838", \ - "0.031119,0.032049,0.033693,0.036619,0.041534,0.049479,0.062702"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007408,0.008245,0.009873,0.013051,0.019244,0.031303,0.054821", \ - "0.007404,0.008242,0.009872,0.013051,0.019246,0.031303,0.054823", \ - "0.008538,0.009157,0.010451,0.013197,0.019240,0.031315,0.054816", \ - "0.011869,0.012627,0.014077,0.016780,0.021473,0.031731,0.054810", \ - "0.016534,0.017318,0.018811,0.021674,0.027085,0.036693,0.056067", \ - "0.022786,0.023627,0.025249,0.028278,0.033908,0.044406,0.062988", \ - "0.030514,0.031453,0.033243,0.036571,0.042585,0.053476,0.073556"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006068,0.006610,0.007659,0.009691,0.013622,0.021249,0.036078", \ - "0.006817,0.007356,0.008405,0.010444,0.014390,0.022034,0.036880", \ - "0.008845,0.009566,0.010898,0.013269,0.017290,0.024823,0.039599", \ - "0.009512,0.010503,0.012329,0.015573,0.021134,0.030351,0.045297", \ - "0.008379,0.009651,0.011997,0.016150,0.023211,0.034803,0.053463", \ - "0.005221,0.006762,0.009613,0.014670,0.023274,0.037338,0.059703", \ - "-0.000123,0.001678,0.005020,0.010944,0.021058,0.037629,0.063854"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010015,0.010965,0.012799,0.016328,0.023124,0.036259,0.061768", \ - "0.010617,0.011557,0.013383,0.016917,0.023746,0.036936,0.062500", \ - "0.014314,0.015291,0.017028,0.020348,0.026929,0.039904,0.065321", \ - "0.019684,0.020889,0.023136,0.027249,0.034515,0.047100,0.071875", \ - "0.026674,0.028107,0.030754,0.035551,0.044068,0.058827,0.083659", \ - "0.035098,0.036808,0.039932,0.045542,0.055295,0.072031,0.100415", \ - "0.044948,0.046913,0.050555,0.057067,0.068259,0.087048,0.118627"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.003920,0.004384,0.005294,0.007054,0.010474,0.017119,0.030064", \ - "0.003878,0.004352,0.005274,0.007045,0.010471,0.017121,0.030064", \ - "0.005830,0.006203,0.006913,0.008190,0.010856,0.017106,0.030065", \ - "0.009712,0.010157,0.010974,0.012474,0.015218,0.020128,0.030576", \ - "0.015083,0.015650,0.016677,0.018491,0.021622,0.027092,0.036797", \ - "0.021866,0.022581,0.023852,0.026100,0.029857,0.036041,0.046603", \ - "0.030153,0.031002,0.032525,0.035227,0.039739,0.046978,0.058601"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007404,0.008244,0.009881,0.013056,0.019243,0.031300,0.054814", \ - "0.007401,0.008240,0.009874,0.013051,0.019243,0.031307,0.054809", \ - "0.008540,0.009159,0.010448,0.013202,0.019241,0.031301,0.054813", \ - "0.011714,0.012477,0.013939,0.016671,0.021412,0.031708,0.054807", \ - "0.016112,0.016891,0.018389,0.021289,0.026777,0.036474,0.055999", \ - "0.022094,0.022925,0.024509,0.027515,0.033185,0.043858,0.062647", \ - "0.029588,0.030491,0.032204,0.035438,0.041386,0.052378,0.072766"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016965,0.017775,0.019341,0.022352,0.028123,0.039184,0.060486", \ - "0.017480,0.018292,0.019860,0.022873,0.028643,0.039710,0.061016", \ - "0.020068,0.020868,0.022413,0.025390,0.031110,0.042113,0.063360", \ - "0.025309,0.026206,0.027909,0.031076,0.036810,0.047477,0.068407", \ - "0.029996,0.031064,0.033103,0.036925,0.043879,0.056186,0.077300", \ - "0.034090,0.035332,0.037715,0.042154,0.050184,0.064369,0.088919", \ - "0.037539,0.038974,0.041702,0.046772,0.055912,0.071965,0.099516"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.013389,0.014347,0.016197,0.019766,0.026675,0.040042,0.065996", \ - "0.014263,0.015235,0.017114,0.020737,0.027707,0.041150,0.067164", \ - "0.016948,0.017900,0.019740,0.023316,0.030265,0.043726,0.069796", \ - "0.020934,0.022073,0.024225,0.028241,0.035594,0.048916,0.074781", \ - "0.026206,0.027527,0.029995,0.034528,0.042703,0.057346,0.083398", \ - "0.032388,0.033930,0.036819,0.042060,0.051303,0.067358,0.095555", \ - "0.039240,0.041006,0.044325,0.050365,0.060945,0.078874,0.109317"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012122,0.012806,0.014135,0.016699,0.021658,0.031234,0.049804", \ - "0.012121,0.012805,0.014134,0.016697,0.021656,0.031233,0.049805", \ - "0.012019,0.012691,0.014025,0.016665,0.021652,0.031233,0.049801", \ - "0.014807,0.015415,0.016574,0.018731,0.022814,0.031409,0.049800", \ - "0.019916,0.020580,0.021822,0.024143,0.028438,0.036262,0.051423", \ - "0.026768,0.027526,0.028918,0.031479,0.036120,0.044431,0.059419", \ - "0.035156,0.036051,0.037668,0.040601,0.045755,0.054701,0.070469"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.015121,0.015994,0.017684,0.020956,0.027258,0.039410,0.062963", \ - "0.015122,0.015995,0.017684,0.020956,0.027257,0.039408,0.062960", \ - "0.015650,0.016410,0.017924,0.020997,0.027258,0.039411,0.062960", \ - "0.019206,0.019811,0.021022,0.023407,0.028610,0.039690,0.062948", \ - "0.024799,0.025289,0.026316,0.028529,0.033248,0.042921,0.063865", \ - "0.032374,0.032789,0.033668,0.035612,0.039897,0.049199,0.068412", \ - "0.041402,0.041785,0.042639,0.044533,0.048647,0.057458,0.076272"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.013605,0.014413,0.015970,0.018959,0.024689,0.035690,0.056918", \ - "0.014116,0.014923,0.016483,0.019475,0.025210,0.036216,0.057447", \ - "0.016819,0.017584,0.019095,0.022036,0.027702,0.038632,0.059797", \ - "0.021356,0.022309,0.024110,0.027448,0.033435,0.044080,0.064882", \ - "0.025178,0.026319,0.028486,0.032499,0.039720,0.052390,0.073882", \ - "0.028401,0.029738,0.032288,0.036982,0.045359,0.059967,0.084996", \ - "0.030964,0.032495,0.035436,0.040814,0.050395,0.066989,0.095072"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012874,0.013820,0.015652,0.019187,0.026015,0.039250,0.064959", \ - "0.013744,0.014706,0.016564,0.020153,0.027051,0.040358,0.066126", \ - "0.016410,0.017367,0.019194,0.022731,0.029604,0.042932,0.068758", \ - "0.020193,0.021345,0.023511,0.027536,0.034880,0.048118,0.073742", \ - "0.025131,0.026492,0.029016,0.033604,0.041810,0.056428,0.082366", \ - "0.030748,0.032373,0.035381,0.040759,0.050124,0.066235,0.094366", \ - "0.036768,0.038673,0.042198,0.048492,0.059315,0.077435,0.107917"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010094,0.010769,0.012078,0.014618,0.019532,0.029060,0.047573", \ - "0.010096,0.010768,0.012075,0.014614,0.019534,0.029065,0.047573", \ - "0.010183,0.010805,0.012038,0.014514,0.019516,0.029062,0.047571", \ - "0.013425,0.014023,0.015165,0.017326,0.021301,0.029492,0.047563", \ - "0.018621,0.019287,0.020528,0.022823,0.027065,0.034862,0.049667", \ - "0.025408,0.026192,0.027611,0.030193,0.034809,0.043055,0.057990", \ - "0.033615,0.034556,0.036236,0.039247,0.044449,0.053388,0.069045"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010852,0.011762,0.013508,0.016843,0.023186,0.035342,0.058871", \ - "0.010853,0.011761,0.013507,0.016843,0.023189,0.035347,0.058875", \ - "0.011432,0.012217,0.013775,0.016892,0.023189,0.035346,0.058872", \ - "0.014843,0.015507,0.016833,0.019432,0.024589,0.035641,0.058874", \ - "0.019965,0.020527,0.021668,0.024047,0.029006,0.038923,0.059807", \ - "0.026735,0.027268,0.028354,0.030589,0.035225,0.044867,0.064391", \ - "0.034671,0.035229,0.036356,0.038694,0.043380,0.052735,0.071991"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.011503,0.012196,0.013532,0.016092,0.020990,0.030375,0.048465", \ - "0.012081,0.012776,0.014113,0.016676,0.021576,0.030965,0.049055", \ - "0.015045,0.015706,0.016942,0.019413,0.024241,0.033555,0.051578", \ - "0.018879,0.019756,0.021407,0.024462,0.029919,0.039301,0.056965", \ - "0.021712,0.022781,0.024805,0.028531,0.035190,0.046768,0.066264", \ - "0.023548,0.024813,0.027221,0.031623,0.039463,0.052991,0.075870", \ - "0.024353,0.025809,0.028583,0.033665,0.042693,0.058219,0.084195"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012830,0.013777,0.015608,0.019145,0.025973,0.039210,0.064926", \ - "0.013677,0.014637,0.016495,0.020081,0.026980,0.040290,0.066069", \ - "0.016357,0.017311,0.019131,0.022662,0.029522,0.042848,0.068676", \ - "0.020318,0.021458,0.023603,0.027600,0.034909,0.048110,0.073706", \ - "0.025761,0.027086,0.029563,0.034067,0.042168,0.056677,0.082512", \ - "0.032198,0.033779,0.036700,0.041945,0.051117,0.066990,0.094902", \ - "0.039344,0.041194,0.044605,0.050719,0.061279,0.079019,0.109098"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008480,0.009057,0.010174,0.012339,0.016531,0.024648,0.040413", \ - "0.008468,0.009045,0.010170,0.012340,0.016532,0.024651,0.040408", \ - "0.008795,0.009300,0.010295,0.012305,0.016453,0.024648,0.040408", \ - "0.012457,0.012965,0.013935,0.015749,0.019087,0.025623,0.040364", \ - "0.017770,0.018369,0.019469,0.021493,0.025160,0.031790,0.043875", \ - "0.024614,0.025330,0.026621,0.028965,0.033076,0.040273,0.053056", \ - "0.032879,0.033750,0.035305,0.038066,0.042821,0.050793,0.064438"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010853,0.011762,0.013509,0.016843,0.023190,0.035347,0.058882", \ - "0.010853,0.011763,0.013509,0.016842,0.023190,0.035347,0.058879", \ - "0.011446,0.012229,0.013785,0.016897,0.023191,0.035347,0.058878", \ - "0.014794,0.015464,0.016799,0.019411,0.024582,0.035643,0.058876", \ - "0.019729,0.020295,0.021448,0.023863,0.028882,0.038855,0.059791", \ - "0.026301,0.026830,0.027915,0.030156,0.034821,0.044604,0.064252", \ - "0.034098,0.034624,0.035743,0.038033,0.042701,0.052132,0.071594"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.013605,0.014413,0.015970,0.018959,0.024689,0.035690,0.056918", \ - "0.014116,0.014923,0.016483,0.019475,0.025210,0.036216,0.057447", \ - "0.016819,0.017584,0.019095,0.022036,0.027702,0.038632,0.059797", \ - "0.021356,0.022309,0.024110,0.027448,0.033435,0.044080,0.064882", \ - "0.025178,0.026319,0.028486,0.032499,0.039720,0.052390,0.073882", \ - "0.028401,0.029738,0.032288,0.036982,0.045359,0.059967,0.084996", \ - "0.030964,0.032495,0.035436,0.040814,0.050395,0.066989,0.095072"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012874,0.013820,0.015652,0.019187,0.026015,0.039250,0.064959", \ - "0.013744,0.014706,0.016564,0.020153,0.027051,0.040358,0.066126", \ - "0.016410,0.017367,0.019194,0.022731,0.029604,0.042932,0.068758", \ - "0.020193,0.021345,0.023511,0.027536,0.034880,0.048118,0.073742", \ - "0.025131,0.026492,0.029016,0.033604,0.041810,0.056428,0.082366", \ - "0.030748,0.032373,0.035381,0.040759,0.050124,0.066235,0.094366", \ - "0.036768,0.038673,0.042198,0.048492,0.059315,0.077435,0.107917"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010094,0.010769,0.012078,0.014618,0.019532,0.029060,0.047573", \ - "0.010096,0.010768,0.012075,0.014614,0.019534,0.029065,0.047573", \ - "0.010183,0.010805,0.012038,0.014514,0.019516,0.029062,0.047571", \ - "0.013425,0.014023,0.015165,0.017326,0.021301,0.029492,0.047563", \ - "0.018621,0.019287,0.020528,0.022823,0.027065,0.034862,0.049667", \ - "0.025408,0.026192,0.027611,0.030193,0.034809,0.043055,0.057990", \ - "0.033615,0.034556,0.036236,0.039247,0.044449,0.053388,0.069045"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010852,0.011762,0.013508,0.016843,0.023186,0.035342,0.058871", \ - "0.010853,0.011761,0.013507,0.016843,0.023189,0.035347,0.058875", \ - "0.011432,0.012217,0.013775,0.016892,0.023189,0.035346,0.058872", \ - "0.014843,0.015507,0.016833,0.019432,0.024589,0.035641,0.058874", \ - "0.019965,0.020527,0.021668,0.024047,0.029006,0.038923,0.059807", \ - "0.026735,0.027268,0.028354,0.030589,0.035225,0.044867,0.064391", \ - "0.034671,0.035229,0.036356,0.038694,0.043380,0.052735,0.071991"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010390,0.011187,0.012722,0.015674,0.021344,0.032274,0.053430", \ - "0.010892,0.011690,0.013229,0.016186,0.021863,0.032798,0.053961", \ - "0.013738,0.014529,0.016007,0.018812,0.024391,0.035232,0.056319", \ - "0.017192,0.018219,0.020147,0.023680,0.029970,0.040780,0.061453", \ - "0.020073,0.021316,0.023656,0.027925,0.035487,0.048571,0.070569", \ - "0.022332,0.023802,0.026571,0.031597,0.040428,0.055536,0.081092", \ - "0.023925,0.025622,0.028821,0.034608,0.044750,0.061977,0.090691"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012393,0.013334,0.015144,0.018642,0.025406,0.038512,0.063994", \ - "0.013259,0.014213,0.016055,0.019605,0.026438,0.039621,0.065165", \ - "0.015892,0.016861,0.018681,0.022186,0.028992,0.042196,0.067795", \ - "0.019501,0.020662,0.022843,0.026874,0.034212,0.047380,0.072778", \ - "0.024147,0.025536,0.028110,0.032747,0.040979,0.055572,0.081401", \ - "0.029316,0.030999,0.034084,0.039573,0.049042,0.065191,0.093254", \ - "0.034710,0.036706,0.040364,0.046832,0.057851,0.076111,0.106601"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008058,0.008723,0.010021,0.012543,0.017432,0.026925,0.045397", \ - "0.008038,0.008710,0.010011,0.012537,0.017433,0.026929,0.045393", \ - "0.008647,0.009196,0.010305,0.012572,0.017363,0.026924,0.045392", \ - "0.012210,0.012785,0.013881,0.015977,0.019932,0.027718,0.045384", \ - "0.017469,0.018131,0.019361,0.021618,0.025777,0.033492,0.048033", \ - "0.024137,0.024945,0.026400,0.029014,0.033622,0.041745,0.056613", \ - "0.032140,0.033121,0.034869,0.037963,0.043241,0.052148,0.067694"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007409,0.008243,0.009875,0.013061,0.019251,0.031312,0.054806", \ - "0.007404,0.008247,0.009873,0.013063,0.019244,0.031305,0.054806", \ - "0.008029,0.008740,0.010167,0.013116,0.019256,0.031306,0.054815", \ - "0.010738,0.011444,0.012825,0.015540,0.020698,0.031627,0.054815", \ - "0.014944,0.015617,0.016942,0.019534,0.024729,0.034950,0.055746", \ - "0.020416,0.021163,0.022588,0.025286,0.030419,0.040475,0.060374", \ - "0.026908,0.027746,0.029337,0.032349,0.037837,0.047921,0.067676"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008807,0.009489,0.010801,0.013322,0.018157,0.027461,0.045460", \ - "0.009385,0.010066,0.011380,0.013903,0.018742,0.028050,0.046051", \ - "0.012261,0.012983,0.014327,0.016747,0.021444,0.030657,0.048580", \ - "0.015041,0.015993,0.017776,0.021023,0.026757,0.036505,0.054012", \ - "0.016911,0.018084,0.020280,0.024268,0.031272,0.043254,0.063222", \ - "0.017800,0.019192,0.021805,0.026538,0.034821,0.048852,0.072242", \ - "0.017657,0.019256,0.022292,0.027756,0.037316,0.053463,0.080070"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012348,0.013288,0.015100,0.018600,0.025363,0.038473,0.063957", \ - "0.013193,0.014144,0.015984,0.019533,0.026365,0.039553,0.065102", \ - "0.015837,0.016804,0.018619,0.022113,0.028912,0.042110,0.067713", \ - "0.019633,0.020781,0.022939,0.026940,0.034241,0.047371,0.072741", \ - "0.024806,0.026161,0.028678,0.033229,0.041349,0.055827,0.081552", \ - "0.030822,0.032454,0.035456,0.040805,0.050068,0.065969,0.093803", \ - "0.037382,0.039320,0.042859,0.049144,0.059877,0.077746,0.107812"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006729,0.007298,0.008413,0.010561,0.014726,0.022805,0.038512", \ - "0.006679,0.007263,0.008387,0.010554,0.014725,0.022808,0.038509", \ - "0.007714,0.008137,0.008998,0.010807,0.014664,0.022794,0.038508", \ - "0.011501,0.011995,0.012938,0.014696,0.017997,0.024266,0.038497", \ - "0.016827,0.017422,0.018524,0.020518,0.024129,0.030674,0.042620", \ - "0.023540,0.024283,0.025620,0.027987,0.032107,0.039209,0.051907", \ - "0.031600,0.032527,0.034134,0.036993,0.041807,0.049780,0.063314"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007411,0.008242,0.009875,0.013063,0.019243,0.031315,0.054807", \ - "0.007408,0.008244,0.009874,0.013062,0.019250,0.031312,0.054809", \ - "0.008043,0.008753,0.010176,0.013114,0.019246,0.031305,0.054818", \ - "0.010692,0.011403,0.012800,0.015523,0.020685,0.031623,0.054815", \ - "0.014743,0.015424,0.016744,0.019367,0.024605,0.034882,0.055733", \ - "0.020076,0.020812,0.022214,0.024904,0.030041,0.040220,0.060234", \ - "0.026461,0.027277,0.028834,0.031776,0.037207,0.047340,0.067275"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.011503,0.012196,0.013532,0.016092,0.020990,0.030375,0.048465", \ - "0.012081,0.012776,0.014113,0.016676,0.021576,0.030965,0.049055", \ - "0.015045,0.015706,0.016942,0.019413,0.024241,0.033555,0.051578", \ - "0.018879,0.019756,0.021407,0.024462,0.029919,0.039301,0.056965", \ - "0.021712,0.022781,0.024805,0.028531,0.035190,0.046768,0.066264", \ - "0.023548,0.024813,0.027221,0.031623,0.039463,0.052991,0.075870", \ - "0.024353,0.025809,0.028583,0.033665,0.042693,0.058219,0.084195"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012830,0.013777,0.015608,0.019145,0.025973,0.039210,0.064926", \ - "0.013677,0.014637,0.016495,0.020081,0.026980,0.040290,0.066069", \ - "0.016357,0.017311,0.019131,0.022662,0.029522,0.042848,0.068676", \ - "0.020318,0.021458,0.023603,0.027600,0.034909,0.048110,0.073706", \ - "0.025761,0.027086,0.029563,0.034067,0.042168,0.056677,0.082512", \ - "0.032198,0.033779,0.036700,0.041945,0.051117,0.066990,0.094902", \ - "0.039344,0.041194,0.044605,0.050719,0.061279,0.079019,0.109098"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008480,0.009057,0.010174,0.012339,0.016531,0.024648,0.040413", \ - "0.008468,0.009045,0.010170,0.012340,0.016532,0.024651,0.040408", \ - "0.008795,0.009300,0.010295,0.012305,0.016453,0.024648,0.040408", \ - "0.012457,0.012965,0.013935,0.015749,0.019087,0.025623,0.040364", \ - "0.017770,0.018369,0.019469,0.021493,0.025160,0.031790,0.043875", \ - "0.024614,0.025330,0.026621,0.028965,0.033076,0.040273,0.053056", \ - "0.032879,0.033750,0.035305,0.038066,0.042821,0.050793,0.064438"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010853,0.011762,0.013509,0.016843,0.023190,0.035347,0.058882", \ - "0.010853,0.011763,0.013509,0.016842,0.023190,0.035347,0.058879", \ - "0.011446,0.012229,0.013785,0.016897,0.023191,0.035347,0.058878", \ - "0.014794,0.015464,0.016799,0.019411,0.024582,0.035643,0.058876", \ - "0.019729,0.020295,0.021448,0.023863,0.028882,0.038855,0.059791", \ - "0.026301,0.026830,0.027915,0.030156,0.034821,0.044604,0.064252", \ - "0.034098,0.034624,0.035743,0.038033,0.042701,0.052132,0.071594"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008807,0.009489,0.010801,0.013322,0.018157,0.027461,0.045460", \ - "0.009385,0.010066,0.011380,0.013903,0.018742,0.028050,0.046051", \ - "0.012261,0.012983,0.014327,0.016747,0.021444,0.030657,0.048580", \ - "0.015041,0.015993,0.017776,0.021023,0.026757,0.036505,0.054012", \ - "0.016911,0.018084,0.020280,0.024268,0.031272,0.043254,0.063222", \ - "0.017800,0.019192,0.021805,0.026538,0.034821,0.048852,0.072242", \ - "0.017657,0.019256,0.022292,0.027756,0.037316,0.053463,0.080070"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012348,0.013288,0.015100,0.018600,0.025363,0.038473,0.063957", \ - "0.013193,0.014144,0.015984,0.019533,0.026365,0.039553,0.065102", \ - "0.015837,0.016804,0.018619,0.022113,0.028912,0.042110,0.067713", \ - "0.019633,0.020781,0.022939,0.026940,0.034241,0.047371,0.072741", \ - "0.024806,0.026161,0.028678,0.033229,0.041349,0.055827,0.081552", \ - "0.030822,0.032454,0.035456,0.040805,0.050068,0.065969,0.093803", \ - "0.037382,0.039320,0.042859,0.049144,0.059877,0.077746,0.107812"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006729,0.007298,0.008413,0.010561,0.014726,0.022805,0.038512", \ - "0.006679,0.007263,0.008387,0.010554,0.014725,0.022808,0.038509", \ - "0.007714,0.008137,0.008998,0.010807,0.014664,0.022794,0.038508", \ - "0.011501,0.011995,0.012938,0.014696,0.017997,0.024266,0.038497", \ - "0.016827,0.017422,0.018524,0.020518,0.024129,0.030674,0.042620", \ - "0.023540,0.024283,0.025620,0.027987,0.032107,0.039209,0.051907", \ - "0.031600,0.032527,0.034134,0.036993,0.041807,0.049780,0.063314"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007411,0.008242,0.009875,0.013063,0.019243,0.031315,0.054807", \ - "0.007408,0.008244,0.009874,0.013062,0.019250,0.031312,0.054809", \ - "0.008043,0.008753,0.010176,0.013114,0.019246,0.031305,0.054818", \ - "0.010692,0.011403,0.012800,0.015523,0.020685,0.031623,0.054815", \ - "0.014743,0.015424,0.016744,0.019367,0.024605,0.034882,0.055733", \ - "0.020076,0.020812,0.022214,0.024904,0.030041,0.040220,0.060234", \ - "0.026461,0.027277,0.028834,0.031776,0.037207,0.047340,0.067275"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007758,0.008326,0.009419,0.011513,0.015521,0.023224,0.038114", \ - "0.008389,0.008958,0.010053,0.012148,0.016158,0.023863,0.038755", \ - "0.011013,0.011672,0.012897,0.015096,0.019014,0.026627,0.041441", \ - "0.012935,0.013819,0.015466,0.018444,0.023660,0.032446,0.047167", \ - "0.013592,0.014692,0.016748,0.020460,0.026923,0.037850,0.055828", \ - "0.012887,0.014196,0.016651,0.021082,0.028798,0.041786,0.063057", \ - "0.010750,0.012256,0.015103,0.020229,0.029179,0.044229,0.068745"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012340,0.013280,0.015092,0.018590,0.025357,0.038467,0.063956", \ - "0.013141,0.014091,0.015929,0.019477,0.026309,0.039500,0.065054", \ - "0.015771,0.016737,0.018547,0.022033,0.028818,0.042011,0.067619", \ - "0.019782,0.020912,0.023047,0.027011,0.034269,0.047357,0.072689", \ - "0.025576,0.026895,0.029346,0.033799,0.041793,0.056137,0.081736", \ - "0.032543,0.034123,0.037032,0.042226,0.051265,0.066896,0.094471", \ - "0.040410,0.042275,0.045694,0.051772,0.062189,0.079632,0.109252"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.005413,0.005875,0.006773,0.008529,0.011947,0.018601,0.031556", \ - "0.005396,0.005865,0.006771,0.008530,0.011947,0.018601,0.031552", \ - "0.006916,0.007279,0.007941,0.009258,0.012149,0.018603,0.031554", \ - "0.010794,0.011218,0.012031,0.013524,0.016251,0.021134,0.031929", \ - "0.016101,0.016639,0.017624,0.019383,0.022524,0.028034,0.037716", \ - "0.022809,0.023487,0.024704,0.026847,0.030516,0.036702,0.047416", \ - "0.030916,0.031765,0.033243,0.035854,0.040216,0.047305,0.059005"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007411,0.008242,0.009875,0.013061,0.019249,0.031299,0.054807", \ - "0.007409,0.008244,0.009874,0.013054,0.019244,0.031303,0.054815", \ - "0.008061,0.008766,0.010188,0.013124,0.019251,0.031309,0.054810", \ - "0.010652,0.011363,0.012766,0.015499,0.020677,0.031627,0.054815", \ - "0.014528,0.015201,0.016524,0.019163,0.024461,0.034800,0.055716", \ - "0.019696,0.020411,0.021792,0.024451,0.029627,0.039905,0.060068", \ - "0.025972,0.026756,0.028252,0.031124,0.036498,0.046640,0.066833"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017838,0.018653,0.020224,0.023236,0.028994,0.040029,0.061300", \ - "0.018579,0.019400,0.020983,0.024014,0.029800,0.040868,0.062168", \ - "0.020493,0.021317,0.022905,0.025947,0.031758,0.042869,0.064222", \ - "0.023530,0.024453,0.026203,0.029488,0.035569,0.046720,0.068054", \ - "0.026156,0.027268,0.029366,0.033233,0.040182,0.052451,0.074426", \ - "0.027405,0.028739,0.031274,0.035911,0.044137,0.058312,0.082380", \ - "0.026890,0.028450,0.031451,0.036916,0.046574,0.063066,0.090334"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016301,0.017261,0.019122,0.022716,0.029650,0.043076,0.069066", \ - "0.016851,0.017817,0.019687,0.023301,0.030272,0.043719,0.069739", \ - "0.020154,0.021077,0.022886,0.026403,0.033243,0.046580,0.072508", \ - "0.027151,0.028201,0.030197,0.033903,0.040558,0.053391,0.078806", \ - "0.035653,0.036869,0.039190,0.043501,0.051402,0.065394,0.090086", \ - "0.045969,0.047349,0.049974,0.054848,0.063742,0.079594,0.107066", \ - "0.058097,0.059659,0.062603,0.068076,0.078011,0.095536,0.126015"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010111,0.010788,0.012097,0.014639,0.019562,0.029105,0.047638", \ - "0.010110,0.010788,0.012100,0.014636,0.019559,0.029102,0.047641", \ - "0.010153,0.010801,0.012100,0.014642,0.019558,0.029106,0.047636", \ - "0.012209,0.012794,0.013933,0.016177,0.020447,0.029347,0.047633", \ - "0.016643,0.017197,0.018250,0.020283,0.024275,0.032257,0.048662", \ - "0.022938,0.023552,0.024692,0.026823,0.030762,0.038225,0.053317", \ - "0.030494,0.031211,0.032524,0.034957,0.039299,0.046985,0.061309"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018597,0.019452,0.021112,0.024344,0.030619,0.042773,0.066387", \ - "0.018597,0.019451,0.021112,0.024346,0.030619,0.042780,0.066386", \ - "0.018760,0.019544,0.021109,0.024342,0.030620,0.042779,0.066392", \ - "0.022474,0.023072,0.024272,0.026723,0.031835,0.042874,0.066386", \ - "0.028712,0.029366,0.030623,0.033101,0.037825,0.046910,0.067162", \ - "0.036358,0.037010,0.038302,0.040868,0.045915,0.055526,0.073396", \ - "0.045628,0.046307,0.047622,0.050260,0.055486,0.065617,0.084590"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014581,0.015388,0.016939,0.019913,0.025608,0.036559,0.057733", \ - "0.015271,0.016088,0.017659,0.020662,0.026397,0.037391,0.058600", \ - "0.017149,0.017970,0.019546,0.022562,0.028328,0.039374,0.060645", \ - "0.019512,0.020495,0.022345,0.025759,0.031968,0.043228,0.064477", \ - "0.021096,0.022314,0.024599,0.028749,0.036051,0.048652,0.070836", \ - "0.021164,0.022642,0.025427,0.030451,0.039209,0.053954,0.078498", \ - "0.019481,0.021216,0.024503,0.030428,0.040734,0.057986,0.085993"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.015668,0.016621,0.018468,0.022033,0.028908,0.042198,0.067963", \ - "0.016215,0.017177,0.019035,0.022618,0.029524,0.042845,0.068630", \ - "0.019533,0.020451,0.022240,0.025722,0.032504,0.045708,0.071403", \ - "0.026296,0.027363,0.029386,0.033128,0.039827,0.052523,0.077703", \ - "0.034425,0.035664,0.038029,0.042398,0.050361,0.064412,0.088994", \ - "0.044158,0.045596,0.048317,0.053311,0.062315,0.078262,0.105797", \ - "0.055430,0.057088,0.060207,0.065905,0.076071,0.093787,0.124375"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008069,0.008732,0.010025,0.012542,0.017426,0.026925,0.045398", \ - "0.008068,0.008734,0.010030,0.012543,0.017428,0.026926,0.045393", \ - "0.008347,0.008955,0.010161,0.012570,0.017428,0.026927,0.045395", \ - "0.010869,0.011412,0.012467,0.014587,0.018751,0.027337,0.045394", \ - "0.015644,0.016179,0.017195,0.019133,0.022930,0.030637,0.046683", \ - "0.021965,0.022578,0.023715,0.025829,0.029690,0.036930,0.051678", \ - "0.029405,0.030141,0.031465,0.033920,0.038276,0.045876,0.059923"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014334,0.015212,0.016914,0.020195,0.026509,0.038679,0.062286", \ - "0.014335,0.015212,0.016913,0.020195,0.026511,0.038686,0.062294", \ - "0.014526,0.015327,0.016923,0.020193,0.026509,0.038683,0.062289", \ - "0.018315,0.019003,0.020190,0.022650,0.027773,0.038789,0.062283", \ - "0.023585,0.024340,0.025769,0.028513,0.033609,0.042881,0.063093", \ - "0.030307,0.031090,0.032589,0.035485,0.040990,0.051144,0.069372", \ - "0.038469,0.039320,0.040915,0.043998,0.049796,0.060598,0.080278"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012513,0.013208,0.014542,0.017096,0.021982,0.031367,0.049504", \ - "0.013246,0.013950,0.015300,0.017879,0.022798,0.032219,0.050386", \ - "0.015086,0.015824,0.017192,0.019777,0.024715,0.034177,0.052393", \ - "0.016906,0.017793,0.019457,0.022504,0.027987,0.037865,0.056075", \ - "0.017407,0.018534,0.020642,0.024448,0.031089,0.042380,0.061885", \ - "0.015928,0.017306,0.019898,0.024564,0.032659,0.046162,0.068224", \ - "0.012256,0.013882,0.016952,0.022483,0.032078,0.048052,0.073675"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.015598,0.016552,0.018398,0.021960,0.028836,0.042128,0.067897", \ - "0.016082,0.017043,0.018900,0.022484,0.029390,0.042709,0.068500", \ - "0.019509,0.020422,0.022199,0.025666,0.032425,0.045607,0.071287", \ - "0.026581,0.027632,0.029630,0.033333,0.039974,0.052617,0.077728", \ - "0.035245,0.036463,0.038785,0.043091,0.050960,0.064872,0.089322", \ - "0.045847,0.047246,0.049900,0.054776,0.063619,0.079364,0.106635", \ - "0.058381,0.059975,0.063008,0.068531,0.078437,0.095826,0.126038"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006708,0.007275,0.008377,0.010524,0.014694,0.022800,0.038583", \ - "0.006710,0.007275,0.008376,0.010520,0.014691,0.022800,0.038578", \ - "0.007127,0.007628,0.008623,0.010626,0.014695,0.022803,0.038586", \ - "0.009755,0.010200,0.011086,0.012829,0.016356,0.023477,0.038598", \ - "0.014421,0.014899,0.015792,0.017481,0.020693,0.027105,0.040502", \ - "0.020417,0.020982,0.022019,0.023940,0.027383,0.033603,0.045919", \ - "0.027469,0.028147,0.029367,0.031632,0.035607,0.042402,0.054440"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014335,0.015212,0.016914,0.020195,0.026509,0.038680,0.062285", \ - "0.014335,0.015212,0.016913,0.020194,0.026509,0.038677,0.062292", \ - "0.014530,0.015331,0.016927,0.020191,0.026509,0.038682,0.062293", \ - "0.018177,0.018875,0.020076,0.022572,0.027732,0.038785,0.062283", \ - "0.023132,0.023897,0.025362,0.028159,0.033331,0.042712,0.063048", \ - "0.029403,0.030193,0.031724,0.034676,0.040285,0.050629,0.069057", \ - "0.037101,0.037952,0.039534,0.042634,0.048503,0.059522,0.079519"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014581,0.015388,0.016939,0.019913,0.025608,0.036559,0.057733", \ - "0.015271,0.016088,0.017659,0.020662,0.026397,0.037391,0.058600", \ - "0.017149,0.017970,0.019546,0.022562,0.028328,0.039374,0.060645", \ - "0.019512,0.020495,0.022345,0.025759,0.031968,0.043228,0.064477", \ - "0.021096,0.022314,0.024599,0.028749,0.036051,0.048652,0.070836", \ - "0.021164,0.022642,0.025427,0.030451,0.039209,0.053954,0.078498", \ - "0.019481,0.021216,0.024503,0.030428,0.040734,0.057986,0.085993"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.015668,0.016621,0.018468,0.022033,0.028908,0.042198,0.067963", \ - "0.016215,0.017177,0.019035,0.022618,0.029524,0.042845,0.068630", \ - "0.019533,0.020451,0.022240,0.025722,0.032504,0.045708,0.071403", \ - "0.026296,0.027363,0.029386,0.033128,0.039827,0.052523,0.077703", \ - "0.034425,0.035664,0.038029,0.042398,0.050361,0.064412,0.088994", \ - "0.044158,0.045596,0.048317,0.053311,0.062315,0.078262,0.105797", \ - "0.055430,0.057088,0.060207,0.065905,0.076071,0.093787,0.124375"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008069,0.008732,0.010025,0.012542,0.017426,0.026925,0.045398", \ - "0.008068,0.008734,0.010030,0.012543,0.017428,0.026926,0.045393", \ - "0.008347,0.008955,0.010161,0.012570,0.017428,0.026927,0.045395", \ - "0.010869,0.011412,0.012467,0.014587,0.018751,0.027337,0.045394", \ - "0.015644,0.016179,0.017195,0.019133,0.022930,0.030637,0.046683", \ - "0.021965,0.022578,0.023715,0.025829,0.029690,0.036930,0.051678", \ - "0.029405,0.030141,0.031465,0.033920,0.038276,0.045876,0.059923"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014334,0.015212,0.016914,0.020195,0.026509,0.038679,0.062286", \ - "0.014335,0.015212,0.016913,0.020195,0.026511,0.038686,0.062294", \ - "0.014526,0.015327,0.016923,0.020193,0.026509,0.038683,0.062289", \ - "0.018315,0.019003,0.020190,0.022650,0.027773,0.038789,0.062283", \ - "0.023585,0.024340,0.025769,0.028513,0.033609,0.042881,0.063093", \ - "0.030307,0.031090,0.032589,0.035485,0.040990,0.051144,0.069372", \ - "0.038469,0.039320,0.040915,0.043998,0.049796,0.060598,0.080278"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.011585,0.012359,0.013855,0.016745,0.022334,0.033177,0.054262", \ - "0.012183,0.012979,0.014514,0.017455,0.023103,0.033999,0.055125", \ - "0.013773,0.014645,0.016290,0.019296,0.024996,0.035960,0.057159", \ - "0.015186,0.016277,0.018296,0.021933,0.028358,0.039802,0.060987", \ - "0.015458,0.016860,0.019426,0.023979,0.031770,0.044824,0.067272", \ - "0.014183,0.015901,0.019034,0.024585,0.034024,0.049495,0.074630", \ - "0.011175,0.013206,0.016900,0.023449,0.034579,0.052757,0.081629"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.015078,0.016028,0.017863,0.021401,0.028222,0.041401,0.066944", \ - "0.015625,0.016581,0.018428,0.021987,0.028837,0.042048,0.067621", \ - "0.018955,0.019867,0.021643,0.025098,0.031820,0.044909,0.070392", \ - "0.025499,0.026580,0.028627,0.032403,0.039151,0.051730,0.076691", \ - "0.033316,0.034582,0.036984,0.041394,0.049400,0.063503,0.087983", \ - "0.042595,0.044075,0.046870,0.051945,0.061031,0.077038,0.104622", \ - "0.053215,0.054937,0.058172,0.064021,0.074354,0.092205,0.122859"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.005959,0.006621,0.007911,0.010420,0.015297,0.024783,0.043224", \ - "0.005964,0.006623,0.007914,0.010420,0.015297,0.024783,0.043223", \ - "0.006730,0.007273,0.008373,0.010631,0.015320,0.024772,0.043209", \ - "0.009753,0.010236,0.011200,0.013146,0.017175,0.025428,0.043230", \ - "0.014845,0.015344,0.016300,0.018138,0.021711,0.029121,0.044827", \ - "0.021225,0.021786,0.022888,0.024957,0.028741,0.035732,0.050123", \ - "0.028633,0.029290,0.030576,0.033002,0.037335,0.044853,0.058635"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010544,0.011384,0.013030,0.016235,0.022474,0.034608,0.058214", \ - "0.010541,0.011387,0.013032,0.016235,0.022475,0.034608,0.058214", \ - "0.010761,0.011528,0.013055,0.016228,0.022474,0.034609,0.058224", \ - "0.014081,0.014834,0.016262,0.018769,0.023779,0.034720,0.058209", \ - "0.018320,0.019181,0.020791,0.023812,0.029297,0.038869,0.059040", \ - "0.023793,0.024763,0.026561,0.029876,0.035901,0.046682,0.065360", \ - "0.030475,0.031578,0.033617,0.037324,0.043878,0.055477,0.075931"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010038,0.010698,0.011976,0.014448,0.019231,0.028512,0.046567", \ - "0.010693,0.011373,0.012684,0.015197,0.020029,0.029357,0.047446", \ - "0.012176,0.012940,0.014379,0.017033,0.021915,0.031294,0.049440", \ - "0.013019,0.014016,0.015851,0.019132,0.024849,0.034884,0.053115", \ - "0.012225,0.013526,0.015905,0.020107,0.027240,0.039001,0.058795", \ - "0.009448,0.011054,0.013981,0.019149,0.027903,0.042121,0.064800", \ - "0.004525,0.006425,0.009880,0.015997,0.026381,0.043247,0.069735"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.015008,0.015958,0.017793,0.021331,0.028152,0.041333,0.066886", \ - "0.015491,0.016447,0.018294,0.021853,0.028702,0.041913,0.067494", \ - "0.018933,0.019837,0.021604,0.025041,0.031743,0.044812,0.070274", \ - "0.025793,0.026860,0.028880,0.032615,0.039300,0.051825,0.076718", \ - "0.034172,0.035413,0.037760,0.042107,0.050012,0.063969,0.088314", \ - "0.044352,0.045788,0.048501,0.053455,0.062366,0.078163,0.105468", \ - "0.056292,0.057950,0.061088,0.066748,0.076786,0.094284,0.124547"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.004925,0.005487,0.006586,0.008724,0.012884,0.020978,0.036737", \ - "0.004931,0.005492,0.006587,0.008725,0.012888,0.020976,0.036740", \ - "0.005833,0.006308,0.007201,0.009062,0.012939,0.020979,0.036740", \ - "0.008881,0.009298,0.010109,0.011723,0.015042,0.021935,0.036816", \ - "0.013745,0.014195,0.015058,0.016695,0.019757,0.025906,0.039021", \ - "0.019778,0.020303,0.021310,0.023198,0.026600,0.032673,0.044680", \ - "0.026796,0.027412,0.028602,0.030840,0.034806,0.041565,0.053429"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010540,0.011386,0.013034,0.016234,0.022473,0.034613,0.058218", \ - "0.010540,0.011389,0.013034,0.016233,0.022473,0.034610,0.058222", \ - "0.010764,0.011534,0.013058,0.016227,0.022472,0.034606,0.058223", \ - "0.013957,0.014726,0.016160,0.018686,0.023738,0.034714,0.058210", \ - "0.017914,0.018791,0.020423,0.023483,0.029036,0.038703,0.058990", \ - "0.023030,0.023985,0.025787,0.029131,0.035243,0.046182,0.065049", \ - "0.029329,0.030428,0.032414,0.036093,0.042676,0.054440,0.075186"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012654,0.013337,0.014650,0.017165,0.021978,0.031220,0.049073", \ - "0.013386,0.014079,0.015408,0.017948,0.022795,0.032073,0.049955", \ - "0.015962,0.016660,0.017990,0.020534,0.025406,0.034731,0.052665", \ - "0.018767,0.019704,0.021462,0.024673,0.030351,0.040123,0.058033", \ - "0.020286,0.021466,0.023685,0.027705,0.034760,0.046750,0.066648", \ - "0.020154,0.021592,0.024300,0.029197,0.037740,0.052095,0.075604", \ - "0.018168,0.019860,0.023055,0.028837,0.038923,0.055809,0.083122"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016932,0.017875,0.019705,0.023248,0.030101,0.043367,0.069107", \ - "0.017498,0.018449,0.020292,0.023858,0.030739,0.044049,0.069829", \ - "0.020812,0.021722,0.023501,0.026970,0.033733,0.046924,0.072607", \ - "0.027833,0.028874,0.030844,0.034507,0.041087,0.053794,0.078971", \ - "0.036301,0.037518,0.039818,0.044116,0.051975,0.065868,0.090376", \ - "0.046459,0.047858,0.050506,0.055392,0.064277,0.080098,0.107452", \ - "0.058243,0.059845,0.062876,0.068443,0.078447,0.096013,0.126475"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007563,0.008110,0.009178,0.011265,0.015326,0.023246,0.038624", \ - "0.007564,0.008110,0.009178,0.011264,0.015332,0.023246,0.038626", \ - "0.007965,0.008437,0.009378,0.011311,0.015324,0.023243,0.038630", \ - "0.011334,0.011778,0.012637,0.014306,0.017518,0.024023,0.038626", \ - "0.016529,0.016991,0.017881,0.019579,0.022824,0.029092,0.041229", \ - "0.023154,0.023698,0.024714,0.026621,0.030136,0.036518,0.048654", \ - "0.030925,0.031582,0.032766,0.034999,0.039027,0.046036,0.058466"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014962,0.015851,0.017569,0.020874,0.027210,0.039411,0.063034", \ - "0.014961,0.015850,0.017568,0.020874,0.027213,0.039411,0.063039", \ - "0.015058,0.015889,0.017556,0.020872,0.027212,0.039410,0.063041", \ - "0.018638,0.019260,0.020502,0.023047,0.028288,0.039467,0.063040", \ - "0.023815,0.024575,0.026033,0.028807,0.033930,0.043287,0.063726", \ - "0.030380,0.031168,0.032692,0.035629,0.041177,0.051388,0.069704", \ - "0.038433,0.039274,0.040877,0.043972,0.049799,0.060658,0.080405"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010186,0.010837,0.012098,0.014533,0.019240,0.028366,0.046109", \ - "0.010840,0.011511,0.012804,0.015282,0.020039,0.029212,0.046989", \ - "0.013017,0.013793,0.015233,0.017815,0.022619,0.031852,0.049692", \ - "0.014604,0.015652,0.017586,0.021058,0.027076,0.037203,0.055062", \ - "0.014793,0.016150,0.018636,0.023054,0.030606,0.043126,0.063524", \ - "0.013328,0.014990,0.018038,0.023439,0.032648,0.047728,0.071910", \ - "0.010033,0.012007,0.015593,0.021970,0.032852,0.050648,0.078832"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016344,0.017282,0.019100,0.022614,0.029409,0.042559,0.068087", \ - "0.016908,0.017853,0.019684,0.023221,0.030050,0.043238,0.068805", \ - "0.020232,0.021137,0.022901,0.026339,0.033042,0.046113,0.071586", \ - "0.027073,0.028124,0.030112,0.033799,0.040406,0.052987,0.077940", \ - "0.035255,0.036474,0.038812,0.043142,0.051032,0.064965,0.089353", \ - "0.045001,0.046436,0.049130,0.054081,0.063028,0.078893,0.106286", \ - "0.056201,0.057858,0.060969,0.066649,0.076790,0.094468,0.124980"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.005735,0.006283,0.007361,0.009452,0.013516,0.021411,0.036783", \ - "0.005733,0.006284,0.007361,0.009451,0.013516,0.021418,0.036780", \ - "0.006791,0.007187,0.008011,0.009764,0.013536,0.021417,0.036764", \ - "0.010446,0.010849,0.011653,0.013241,0.016387,0.022598,0.036798", \ - "0.015875,0.016299,0.017137,0.018750,0.021869,0.027971,0.039888", \ - "0.022586,0.023074,0.024026,0.025877,0.029294,0.035562,0.047493", \ - "0.030380,0.030931,0.032057,0.034230,0.038200,0.045130,0.057415"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.011178,0.012038,0.013694,0.016918,0.023183,0.035343,0.058962", \ - "0.011180,0.012032,0.013693,0.016918,0.023186,0.035332,0.058963", \ - "0.011300,0.012087,0.013673,0.016914,0.023178,0.035340,0.058967", \ - "0.014523,0.015276,0.016685,0.019163,0.024292,0.035399,0.058973", \ - "0.018709,0.019567,0.021177,0.024195,0.029676,0.039280,0.059672", \ - "0.024115,0.025056,0.026835,0.030138,0.036169,0.046962,0.065695", \ - "0.030761,0.031834,0.033825,0.037474,0.043983,0.055590,0.076078"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008636,0.009169,0.010198,0.012184,0.016027,0.023477,0.037965", \ - "0.009373,0.009920,0.010974,0.012995,0.016878,0.024366,0.038882", \ - "0.011435,0.012119,0.013384,0.015658,0.019634,0.027170,0.041734", \ - "0.012361,0.013314,0.015067,0.018192,0.023555,0.032447,0.047328", \ - "0.011439,0.012692,0.014987,0.019052,0.025946,0.037207,0.055248", \ - "0.008425,0.009973,0.012803,0.017819,0.026335,0.040152,0.061930", \ - "0.003135,0.004988,0.008336,0.014279,0.024399,0.040859,0.066636"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016274,0.017210,0.019028,0.022544,0.029338,0.042489,0.068020", \ - "0.016772,0.017715,0.019545,0.023082,0.029912,0.043102,0.068667", \ - "0.020211,0.021111,0.022865,0.026286,0.032966,0.046016,0.071467", \ - "0.027370,0.028410,0.030374,0.034029,0.040579,0.053106,0.077997", \ - "0.036101,0.037307,0.039601,0.043869,0.051677,0.065488,0.089752", \ - "0.046729,0.048121,0.050750,0.055592,0.064398,0.080087,0.107228", \ - "0.059229,0.060826,0.063840,0.069345,0.079231,0.096600,0.126785"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.004458,0.004907,0.005780,0.007483,0.010795,0.017225,0.029741", \ - "0.004460,0.004908,0.005782,0.007484,0.010794,0.017229,0.029741", \ - "0.005844,0.006182,0.006816,0.008101,0.010959,0.017229,0.029747", \ - "0.009492,0.009837,0.010507,0.011815,0.014342,0.019199,0.030016", \ - "0.014668,0.015050,0.015796,0.017221,0.019885,0.024899,0.034452", \ - "0.021015,0.021458,0.022334,0.024001,0.027063,0.032486,0.042335", \ - "0.028402,0.028909,0.029934,0.031919,0.035515,0.041730,0.052304"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.011178,0.012033,0.013692,0.016919,0.023184,0.035340,0.058963", \ - "0.011179,0.012032,0.013694,0.016920,0.023181,0.035338,0.058968", \ - "0.011305,0.012089,0.013676,0.016916,0.023181,0.035338,0.058967", \ - "0.014407,0.015163,0.016585,0.019081,0.024252,0.035396,0.058965", \ - "0.018313,0.019186,0.020818,0.023876,0.029416,0.039097,0.059616", \ - "0.023342,0.024287,0.026071,0.029403,0.035514,0.046452,0.065359", \ - "0.029594,0.030669,0.032628,0.036244,0.042788,0.054545,0.075303"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.020796,0.021606,0.023173,0.026182,0.031950,0.043013,0.064321", \ - "0.021399,0.022214,0.023784,0.026800,0.032577,0.043648,0.064964", \ - "0.023371,0.024186,0.025761,0.028785,0.034572,0.045662,0.066994", \ - "0.026822,0.027701,0.029388,0.032576,0.038527,0.049593,0.070890", \ - "0.030467,0.031495,0.033448,0.037096,0.043744,0.055686,0.077386", \ - "0.033421,0.034629,0.036935,0.041208,0.048895,0.062407,0.085858", \ - "0.035389,0.036785,0.039459,0.044409,0.053272,0.068683,0.094813"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018518,0.019474,0.021327,0.024912,0.031844,0.045264,0.071248", \ - "0.019429,0.020395,0.022265,0.025877,0.032839,0.046287,0.072296", \ - "0.021965,0.022930,0.024796,0.028405,0.035387,0.048856,0.074916", \ - "0.026898,0.027949,0.029954,0.033732,0.040732,0.054012,0.079889", \ - "0.033595,0.034750,0.036958,0.041099,0.048802,0.062903,0.088578", \ - "0.041931,0.043244,0.045743,0.050350,0.058770,0.073968,0.101348", \ - "0.051501,0.053001,0.055856,0.061080,0.070496,0.087048,0.116222"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012125,0.012806,0.014135,0.016699,0.021655,0.031233,0.049813", \ - "0.012123,0.012808,0.014133,0.016698,0.021657,0.031237,0.049809", \ - "0.012131,0.012812,0.014133,0.016699,0.021657,0.031234,0.049801", \ - "0.013845,0.014454,0.015639,0.017898,0.022338,0.031412,0.049798", \ - "0.017894,0.018472,0.019572,0.021695,0.025844,0.034000,0.050677", \ - "0.023896,0.024509,0.025660,0.027821,0.031875,0.039624,0.055014", \ - "0.031277,0.031984,0.033287,0.035676,0.040016,0.047836,0.062620"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018597,0.019451,0.021112,0.024344,0.030620,0.042775,0.066382", \ - "0.018598,0.019450,0.021112,0.024344,0.030616,0.042781,0.066384", \ - "0.018665,0.019483,0.021120,0.024346,0.030619,0.042774,0.066388", \ - "0.021193,0.021853,0.023178,0.025884,0.031422,0.042875,0.066388", \ - "0.025907,0.026553,0.027818,0.030360,0.035420,0.045501,0.067011", \ - "0.032170,0.032790,0.033992,0.036417,0.041344,0.051300,0.071022", \ - "0.039913,0.040519,0.041711,0.044133,0.048992,0.058759,0.078438"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017433,0.018241,0.019797,0.022786,0.028515,0.039517,0.060745", \ - "0.018027,0.018839,0.020400,0.023398,0.029138,0.040151,0.061389", \ - "0.019982,0.020794,0.022362,0.025367,0.031123,0.042157,0.063418", \ - "0.022985,0.023907,0.025653,0.028926,0.034971,0.046094,0.067316", \ - "0.025818,0.026926,0.029014,0.032863,0.039767,0.051954,0.073820", \ - "0.027855,0.029163,0.031645,0.036198,0.044279,0.058222,0.082041", \ - "0.028899,0.030412,0.033301,0.038582,0.047916,0.063912,0.090611"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017885,0.018835,0.020677,0.024232,0.031100,0.044384,0.070142", \ - "0.018796,0.019755,0.021612,0.025194,0.032093,0.045405,0.071190", \ - "0.021333,0.022290,0.024141,0.027722,0.034635,0.047984,0.073808", \ - "0.026124,0.027181,0.029193,0.032980,0.039983,0.053133,0.078790", \ - "0.032582,0.033756,0.035996,0.040163,0.047868,0.061945,0.087474", \ - "0.040519,0.041871,0.044436,0.049125,0.057606,0.072811,0.100120", \ - "0.049506,0.051068,0.054043,0.059414,0.068976,0.085639,0.114794"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010100,0.010773,0.012078,0.014619,0.019536,0.029064,0.047573", \ - "0.010103,0.010774,0.012079,0.014615,0.019534,0.029062,0.047569", \ - "0.010231,0.010872,0.012124,0.014629,0.019535,0.029062,0.047571", \ - "0.012372,0.012948,0.014066,0.016276,0.020541,0.029371,0.047562", \ - "0.016763,0.017318,0.018378,0.020410,0.024397,0.032330,0.048671", \ - "0.022832,0.023449,0.024592,0.026728,0.030688,0.038222,0.053334", \ - "0.030122,0.030842,0.032156,0.034578,0.038921,0.046641,0.061153"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014334,0.015213,0.016913,0.020196,0.026507,0.038682,0.062290", \ - "0.014334,0.015212,0.016913,0.020196,0.026509,0.038685,0.062287", \ - "0.014419,0.015255,0.016922,0.020196,0.026509,0.038679,0.062294", \ - "0.017009,0.017729,0.019092,0.021792,0.027343,0.038787,0.062297", \ - "0.021185,0.021892,0.023263,0.025967,0.031247,0.041456,0.062927", \ - "0.026813,0.027521,0.028870,0.031527,0.036740,0.047017,0.066968", \ - "0.033665,0.034404,0.035820,0.038576,0.043864,0.054092,0.074191"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014900,0.015595,0.016936,0.019508,0.024429,0.033864,0.052052", \ - "0.015550,0.016248,0.017595,0.020173,0.025104,0.034548,0.052742", \ - "0.017481,0.018184,0.019533,0.022117,0.027058,0.036519,0.054732", \ - "0.019950,0.020776,0.022341,0.025245,0.030566,0.040282,0.058469", \ - "0.021691,0.022713,0.024633,0.028152,0.034407,0.045286,0.064472", \ - "0.022111,0.023330,0.025646,0.029876,0.037328,0.050062,0.071390", \ - "0.021028,0.022447,0.025164,0.030111,0.038805,0.053609,0.077972"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017814,0.018765,0.020607,0.024162,0.031031,0.044316,0.070076", \ - "0.018671,0.019631,0.021487,0.025066,0.031964,0.045275,0.071060", \ - "0.021246,0.022198,0.024043,0.027615,0.034519,0.047851,0.073670", \ - "0.026227,0.027272,0.029266,0.033029,0.039994,0.053102,0.078720", \ - "0.033208,0.034358,0.036556,0.040654,0.048261,0.062217,0.087632", \ - "0.042049,0.043359,0.045850,0.050411,0.058704,0.073673,0.100732", \ - "0.052346,0.053851,0.056706,0.061902,0.071171,0.087438,0.116162"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008420,0.008994,0.010109,0.012274,0.016474,0.024610,0.040422", \ - "0.008416,0.008992,0.010109,0.012275,0.016474,0.024612,0.040422", \ - "0.008657,0.009195,0.010250,0.012320,0.016473,0.024610,0.040421", \ - "0.010971,0.011446,0.012376,0.014210,0.017834,0.025153,0.040431", \ - "0.015394,0.015875,0.016791,0.018530,0.021859,0.028495,0.042118", \ - "0.021313,0.021869,0.022892,0.024783,0.028227,0.034633,0.047261", \ - "0.028390,0.029050,0.030249,0.032436,0.036313,0.043062,0.055370"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014334,0.015213,0.016914,0.020195,0.026508,0.038683,0.062287", \ - "0.014334,0.015213,0.016913,0.020196,0.026511,0.038684,0.062286", \ - "0.014427,0.015261,0.016924,0.020197,0.026510,0.038678,0.062298", \ - "0.016974,0.017698,0.019069,0.021779,0.027342,0.038789,0.062293", \ - "0.020932,0.021651,0.023042,0.025788,0.031128,0.041395,0.062914", \ - "0.026247,0.026949,0.028323,0.031017,0.036316,0.046738,0.066836", \ - "0.032793,0.033527,0.034937,0.037693,0.043026,0.053406,0.073764"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017433,0.018241,0.019797,0.022786,0.028515,0.039517,0.060745", \ - "0.018027,0.018839,0.020400,0.023398,0.029138,0.040151,0.061389", \ - "0.019982,0.020794,0.022362,0.025367,0.031123,0.042157,0.063418", \ - "0.022985,0.023907,0.025653,0.028926,0.034971,0.046094,0.067316", \ - "0.025818,0.026926,0.029014,0.032863,0.039767,0.051954,0.073820", \ - "0.027855,0.029163,0.031645,0.036198,0.044279,0.058222,0.082041", \ - "0.028899,0.030412,0.033301,0.038582,0.047916,0.063912,0.090611"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017885,0.018835,0.020677,0.024232,0.031100,0.044384,0.070142", \ - "0.018796,0.019755,0.021612,0.025194,0.032093,0.045405,0.071190", \ - "0.021333,0.022290,0.024141,0.027722,0.034635,0.047984,0.073808", \ - "0.026124,0.027181,0.029193,0.032980,0.039983,0.053133,0.078790", \ - "0.032582,0.033756,0.035996,0.040163,0.047868,0.061945,0.087474", \ - "0.040519,0.041871,0.044436,0.049125,0.057606,0.072811,0.100120", \ - "0.049506,0.051068,0.054043,0.059414,0.068976,0.085639,0.114794"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010100,0.010773,0.012078,0.014619,0.019536,0.029064,0.047573", \ - "0.010103,0.010774,0.012079,0.014615,0.019534,0.029062,0.047569", \ - "0.010231,0.010872,0.012124,0.014629,0.019535,0.029062,0.047571", \ - "0.012372,0.012948,0.014066,0.016276,0.020541,0.029371,0.047562", \ - "0.016763,0.017318,0.018378,0.020410,0.024397,0.032330,0.048671", \ - "0.022832,0.023449,0.024592,0.026728,0.030688,0.038222,0.053334", \ - "0.030122,0.030842,0.032156,0.034578,0.038921,0.046641,0.061153"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014334,0.015213,0.016913,0.020196,0.026507,0.038682,0.062290", \ - "0.014334,0.015212,0.016913,0.020196,0.026509,0.038685,0.062287", \ - "0.014419,0.015255,0.016922,0.020196,0.026509,0.038679,0.062294", \ - "0.017009,0.017729,0.019092,0.021792,0.027343,0.038787,0.062297", \ - "0.021185,0.021892,0.023263,0.025967,0.031247,0.041456,0.062927", \ - "0.026813,0.027521,0.028870,0.031527,0.036740,0.047017,0.066968", \ - "0.033665,0.034404,0.035820,0.038576,0.043864,0.054092,0.074191"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014222,0.015017,0.016552,0.019502,0.025173,0.036103,0.057262", \ - "0.014799,0.015601,0.017144,0.020107,0.025792,0.036735,0.057906", \ - "0.016672,0.017499,0.019069,0.022052,0.027759,0.038730,0.059929", \ - "0.018963,0.019956,0.021816,0.025235,0.031423,0.042664,0.063825", \ - "0.020803,0.022022,0.024302,0.028435,0.035698,0.048220,0.070295", \ - "0.021827,0.023275,0.025997,0.030911,0.039483,0.053976,0.078254", \ - "0.021869,0.023545,0.026716,0.032418,0.042350,0.059045,0.086412"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017297,0.018243,0.020072,0.023603,0.030415,0.043587,0.069126", \ - "0.018205,0.019160,0.021007,0.024563,0.031407,0.044611,0.070175", \ - "0.020745,0.021696,0.023536,0.027092,0.033949,0.047187,0.072800", \ - "0.025404,0.026466,0.028488,0.032282,0.039290,0.052330,0.077772", \ - "0.031655,0.032845,0.035112,0.039305,0.047013,0.061061,0.086461", \ - "0.039268,0.040644,0.043259,0.048017,0.056551,0.071755,0.098987", \ - "0.047787,0.049395,0.052445,0.057945,0.067610,0.084347,0.113474"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008075,0.008736,0.010026,0.012542,0.017432,0.026926,0.045396", \ - "0.008076,0.008737,0.010029,0.012542,0.017435,0.026925,0.045401", \ - "0.008485,0.009084,0.010270,0.012635,0.017442,0.026931,0.045395", \ - "0.011102,0.011638,0.012671,0.014741,0.018889,0.027417,0.045395", \ - "0.015818,0.016345,0.017348,0.019285,0.023074,0.030752,0.046778", \ - "0.021927,0.022530,0.023654,0.025754,0.029623,0.036931,0.051742", \ - "0.029091,0.029823,0.031143,0.033577,0.037901,0.045517,0.059771"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010539,0.011386,0.013029,0.016233,0.022470,0.034607,0.058215", \ - "0.010539,0.011386,0.013031,0.016234,0.022472,0.034609,0.058213", \ - "0.010640,0.011443,0.013041,0.016232,0.022470,0.034610,0.058213", \ - "0.012960,0.013710,0.015156,0.017890,0.023330,0.034717,0.058223", \ - "0.016432,0.017206,0.018683,0.021539,0.027027,0.037421,0.058851", \ - "0.021136,0.021965,0.023527,0.026487,0.032047,0.042678,0.062936", \ - "0.026815,0.027751,0.029488,0.032720,0.038591,0.049357,0.069916"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012208,0.012893,0.014212,0.016746,0.021612,0.030979,0.049104", \ - "0.012845,0.013534,0.014861,0.017406,0.022283,0.031661,0.049795", \ - "0.014616,0.015351,0.016743,0.019326,0.024222,0.033622,0.051779", \ - "0.016359,0.017261,0.018943,0.022011,0.027492,0.037338,0.055509", \ - "0.017090,0.018222,0.020334,0.024140,0.030762,0.042001,0.061417", \ - "0.016498,0.017859,0.020411,0.024998,0.032947,0.046228,0.068048", \ - "0.014464,0.016047,0.019026,0.024375,0.033658,0.049142,0.074188"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017227,0.018173,0.020002,0.023533,0.030346,0.043518,0.069060", \ - "0.018082,0.019036,0.020882,0.024436,0.031278,0.044481,0.070047", \ - "0.020658,0.021605,0.023439,0.026984,0.033831,0.047057,0.072661", \ - "0.025509,0.026561,0.028565,0.032332,0.039302,0.052301,0.077701", \ - "0.032302,0.033465,0.035684,0.039804,0.047411,0.061334,0.086616", \ - "0.040838,0.042173,0.044708,0.049333,0.057668,0.072627,0.099602", \ - "0.050695,0.052245,0.055176,0.060486,0.069855,0.086176,0.114854"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006711,0.007279,0.008380,0.010524,0.014695,0.022805,0.038587", \ - "0.006710,0.007278,0.008381,0.010526,0.014697,0.022805,0.038587", \ - "0.007270,0.007760,0.008741,0.010718,0.014720,0.022804,0.038589", \ - "0.010001,0.010443,0.011304,0.013015,0.016486,0.023570,0.038633", \ - "0.014655,0.015116,0.015995,0.017657,0.020841,0.027239,0.040602", \ - "0.020588,0.021133,0.022142,0.024010,0.027397,0.033622,0.045981", \ - "0.027538,0.028215,0.029412,0.031622,0.035496,0.042192,0.054288"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010541,0.011385,0.013030,0.016235,0.022470,0.034608,0.058211", \ - "0.010539,0.011388,0.013029,0.016235,0.022471,0.034612,0.058216", \ - "0.010649,0.011450,0.013042,0.016233,0.022470,0.034613,0.058213", \ - "0.012930,0.013680,0.015139,0.017876,0.023328,0.034719,0.058224", \ - "0.016203,0.016983,0.018482,0.021369,0.026917,0.037365,0.058840", \ - "0.020640,0.021475,0.023035,0.026015,0.031644,0.042411,0.062792", \ - "0.026079,0.027000,0.028722,0.031930,0.037808,0.048690,0.069492"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014997,0.015682,0.017002,0.019535,0.024383,0.033677,0.051587", \ - "0.015645,0.016333,0.017658,0.020198,0.025055,0.034359,0.052277", \ - "0.018276,0.018965,0.020291,0.022840,0.027712,0.037034,0.054972", \ - "0.022044,0.022912,0.024548,0.027563,0.032970,0.042497,0.060394", \ - "0.024870,0.025940,0.027960,0.031684,0.038324,0.049810,0.069202", \ - "0.026705,0.027978,0.030398,0.034835,0.042708,0.056249,0.078926", \ - "0.027432,0.028904,0.031724,0.036883,0.046019,0.061657,0.087633"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019162,0.020103,0.021926,0.025461,0.032302,0.045561,0.071301", \ - "0.020078,0.021029,0.022872,0.026436,0.033313,0.046612,0.072388", \ - "0.022607,0.023553,0.025386,0.028943,0.035834,0.049165,0.074989", \ - "0.027602,0.028635,0.030603,0.034324,0.041218,0.054345,0.079981", \ - "0.034372,0.035514,0.037698,0.041783,0.049387,0.063339,0.088779", \ - "0.042771,0.044079,0.046569,0.051137,0.059471,0.074522,0.101681", \ - "0.052329,0.053840,0.056710,0.061937,0.071302,0.087752,0.116726"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.009284,0.009837,0.010911,0.013008,0.017093,0.025023,0.040437", \ - "0.009284,0.009836,0.010911,0.013010,0.017094,0.025023,0.040437", \ - "0.009465,0.009975,0.010983,0.013012,0.017090,0.025018,0.040436", \ - "0.012565,0.013016,0.013893,0.015588,0.018829,0.025597,0.040431", \ - "0.017512,0.017990,0.018916,0.020674,0.024015,0.030365,0.042695", \ - "0.023918,0.024466,0.025487,0.027414,0.030985,0.037572,0.049887", \ - "0.031534,0.032180,0.033372,0.035573,0.039576,0.046657,0.059402"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014961,0.015850,0.017570,0.020874,0.027213,0.039407,0.063043", \ - "0.014962,0.015851,0.017570,0.020875,0.027209,0.039406,0.063038", \ - "0.015000,0.015872,0.017577,0.020874,0.027214,0.039403,0.063044", \ - "0.017415,0.018141,0.019522,0.022299,0.027940,0.039477,0.063040", \ - "0.021438,0.022167,0.023581,0.026344,0.031699,0.041995,0.063605", \ - "0.026883,0.027599,0.028989,0.031708,0.037020,0.047419,0.067488", \ - "0.033611,0.034352,0.035794,0.038579,0.043939,0.054287,0.074542"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012325,0.012998,0.014295,0.016789,0.021575,0.030788,0.048606", \ - "0.012959,0.013637,0.014942,0.017446,0.022244,0.031469,0.049296", \ - "0.015490,0.016206,0.017544,0.020068,0.024888,0.034137,0.051989", \ - "0.018206,0.019154,0.020925,0.024149,0.029827,0.039596,0.057417", \ - "0.019983,0.021164,0.023372,0.027377,0.034394,0.046315,0.066131", \ - "0.020803,0.022208,0.024854,0.029646,0.038004,0.052099,0.075334", \ - "0.020542,0.022168,0.025252,0.030813,0.040526,0.056852,0.083511"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018576,0.019509,0.021320,0.024826,0.031610,0.044751,0.070271", \ - "0.019487,0.020432,0.022262,0.025798,0.032622,0.045805,0.071357", \ - "0.022017,0.022957,0.024776,0.028306,0.035138,0.048359,0.073961", \ - "0.026903,0.027938,0.029910,0.033631,0.040523,0.053534,0.078957", \ - "0.033494,0.034645,0.036845,0.040941,0.048537,0.062453,0.087744", \ - "0.041596,0.042930,0.045451,0.050071,0.058435,0.073472,0.100542", \ - "0.050740,0.052286,0.055226,0.060535,0.069988,0.086485,0.115413"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007555,0.008102,0.009165,0.011250,0.015304,0.023212,0.038570", \ - "0.007554,0.008099,0.009168,0.011249,0.015304,0.023213,0.038573", \ - "0.008118,0.008573,0.009495,0.011382,0.015310,0.023212,0.038572", \ - "0.011596,0.012024,0.012866,0.014499,0.017683,0.024107,0.038578", \ - "0.016729,0.017184,0.018057,0.019746,0.022984,0.029213,0.041307", \ - "0.023173,0.023703,0.024699,0.026573,0.030058,0.036512,0.048700", \ - "0.030697,0.031342,0.032512,0.034710,0.038666,0.045666,0.058269"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.011179,0.012034,0.013697,0.016918,0.023180,0.035338,0.058973", \ - "0.011183,0.012034,0.013695,0.016919,0.023183,0.035346,0.058966", \ - "0.011227,0.012059,0.013702,0.016916,0.023178,0.035339,0.058971", \ - "0.013440,0.014197,0.015659,0.018398,0.023925,0.035404,0.058969", \ - "0.016787,0.017569,0.019081,0.021973,0.027514,0.037965,0.059534", \ - "0.021380,0.022205,0.023775,0.026757,0.032385,0.043108,0.063459", \ - "0.026988,0.027924,0.029650,0.032863,0.038730,0.049580,0.070277"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010285,0.010839,0.011907,0.013954,0.017874,0.025409,0.039969", \ - "0.011003,0.011561,0.012634,0.014689,0.018620,0.026164,0.040731", \ - "0.013530,0.014157,0.015330,0.017467,0.021421,0.028986,0.043573", \ - "0.015528,0.016388,0.017989,0.020883,0.025938,0.034480,0.049213", \ - "0.016134,0.017228,0.019270,0.022950,0.029332,0.040026,0.057508", \ - "0.015280,0.016595,0.019070,0.023529,0.031261,0.044144,0.065005", \ - "0.012868,0.014396,0.017287,0.022494,0.031547,0.046657,0.070986"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018505,0.019438,0.021249,0.024755,0.031540,0.044681,0.070206", \ - "0.019361,0.020305,0.022134,0.025668,0.032491,0.045675,0.071227", \ - "0.021925,0.022861,0.024675,0.028194,0.035013,0.048221,0.073817", \ - "0.027013,0.028042,0.029995,0.033688,0.040543,0.053511,0.078890", \ - "0.034143,0.035270,0.037427,0.041457,0.048965,0.062767,0.087937", \ - "0.043163,0.044442,0.046901,0.051397,0.059589,0.074410,0.101241", \ - "0.053610,0.055108,0.057940,0.063072,0.072249,0.088382,0.116917"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.005925,0.006373,0.007240,0.008936,0.012240,0.018674,0.031197", \ - "0.005924,0.006371,0.007239,0.008936,0.012242,0.018677,0.031201", \ - "0.006869,0.007199,0.007875,0.009296,0.012315,0.018677,0.031199", \ - "0.010446,0.010804,0.011493,0.012819,0.015372,0.020306,0.031388", \ - "0.015476,0.015872,0.016628,0.018067,0.020790,0.025875,0.035482", \ - "0.021730,0.022204,0.023084,0.024738,0.027763,0.033245,0.043260", \ - "0.029031,0.029618,0.030664,0.032630,0.036155,0.042272,0.052923"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.011179,0.012032,0.013695,0.016917,0.023182,0.035335,0.058975", \ - "0.011180,0.012035,0.013695,0.016920,0.023183,0.035345,0.058966", \ - "0.011232,0.012061,0.013702,0.016918,0.023180,0.035336,0.058964", \ - "0.013406,0.014172,0.015635,0.018382,0.023922,0.035409,0.058969", \ - "0.016560,0.017354,0.018877,0.021802,0.027394,0.037898,0.059526", \ - "0.020871,0.021711,0.023282,0.026282,0.031965,0.042822,0.063303", \ - "0.026261,0.027157,0.028858,0.032060,0.037939,0.048898,0.069833"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019728,0.020543,0.022112,0.025120,0.030870,0.041891,0.063136", \ - "0.020458,0.021278,0.022861,0.025888,0.031667,0.042721,0.063994", \ - "0.021441,0.022267,0.023858,0.026903,0.032719,0.043830,0.065167", \ - "0.022179,0.023042,0.024702,0.027861,0.033827,0.044974,0.066284", \ - "0.022394,0.023377,0.025232,0.028680,0.034990,0.046585,0.068265", \ - "0.020692,0.021878,0.024124,0.028228,0.035529,0.048206,0.070703", \ - "0.016485,0.017887,0.020554,0.025412,0.033997,0.048619,0.073108"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.020299,0.021297,0.023230,0.026932,0.034024,0.047626,0.073776", \ - "0.020777,0.021780,0.023709,0.027423,0.034535,0.048138,0.074305", \ - "0.023972,0.024944,0.026827,0.030462,0.037460,0.050970,0.077030", \ - "0.031890,0.032867,0.034729,0.038195,0.044766,0.057781,0.083342", \ - "0.042095,0.043209,0.045359,0.049385,0.056843,0.070174,0.094730", \ - "0.054478,0.055717,0.058115,0.062585,0.070879,0.085908,0.112321", \ - "0.069354,0.070717,0.073369,0.078275,0.087329,0.103732,0.132872"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010095,0.010770,0.012082,0.014617,0.019532,0.029065,0.047573", \ - "0.010096,0.010773,0.012082,0.014616,0.019536,0.029065,0.047572", \ - "0.010104,0.010778,0.012083,0.014621,0.019531,0.029064,0.047567", \ - "0.011305,0.011923,0.013148,0.015549,0.020145,0.029283,0.047564", \ - "0.014640,0.015175,0.016226,0.018289,0.022455,0.031050,0.048409", \ - "0.020282,0.020823,0.021845,0.023809,0.027605,0.035152,0.051237", \ - "0.027397,0.027997,0.029103,0.031206,0.035084,0.042379,0.056881"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022027,0.022845,0.024446,0.027591,0.033753,0.045814,0.069391", \ - "0.022026,0.022845,0.024446,0.027590,0.033754,0.045817,0.069386", \ - "0.021891,0.022759,0.024409,0.027581,0.033752,0.045821,0.069379", \ - "0.024380,0.025029,0.026327,0.028932,0.034329,0.045805,0.069383", \ - "0.030149,0.030829,0.032136,0.034649,0.039311,0.048898,0.069819", \ - "0.036879,0.037617,0.039015,0.041767,0.047050,0.056858,0.075224", \ - "0.044912,0.045679,0.047133,0.050046,0.055667,0.066329,0.085715"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016494,0.017300,0.018852,0.021824,0.027519,0.038470,0.059644", \ - "0.017170,0.017988,0.019559,0.022563,0.028299,0.039293,0.060503", \ - "0.018105,0.018928,0.020510,0.023536,0.029317,0.040382,0.061666", \ - "0.018551,0.019440,0.021133,0.024326,0.030319,0.041530,0.062779", \ - "0.018012,0.019085,0.021089,0.024747,0.031270,0.042989,0.064727", \ - "0.015215,0.016534,0.019001,0.023455,0.031212,0.044333,0.067065", \ - "0.009923,0.011476,0.014410,0.019697,0.028869,0.044172,0.069232"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019507,0.020506,0.022432,0.026125,0.033177,0.046666,0.072606", \ - "0.019980,0.020983,0.022914,0.026616,0.033680,0.047180,0.073138", \ - "0.023187,0.024158,0.026035,0.029652,0.036609,0.050002,0.075860", \ - "0.030980,0.031978,0.033867,0.037401,0.043930,0.056829,0.082167", \ - "0.040822,0.041965,0.044156,0.048243,0.055768,0.069170,0.093567", \ - "0.052738,0.054019,0.056497,0.061047,0.069433,0.084554,0.111042", \ - "0.066965,0.068399,0.071160,0.076227,0.085450,0.101993,0.131227"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008069,0.008732,0.010025,0.012544,0.017432,0.026922,0.045389", \ - "0.008069,0.008732,0.010026,0.012539,0.017428,0.026922,0.045394", \ - "0.008203,0.008834,0.010074,0.012555,0.017430,0.026925,0.045397", \ - "0.009709,0.010283,0.011427,0.013718,0.018272,0.027255,0.045387", \ - "0.013614,0.014112,0.015083,0.017006,0.020924,0.029210,0.046394", \ - "0.019491,0.020004,0.020988,0.022873,0.026503,0.033745,0.049408", \ - "0.026680,0.027260,0.028336,0.030383,0.034179,0.041241,0.055413"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017690,0.018531,0.020169,0.023364,0.029584,0.041684,0.065267", \ - "0.017690,0.018529,0.020170,0.023364,0.029582,0.041679,0.065263", \ - "0.017549,0.018427,0.020126,0.023354,0.029581,0.041683,0.065266", \ - "0.020125,0.020790,0.022107,0.024758,0.030187,0.041665,0.065265", \ - "0.025223,0.025992,0.027446,0.030198,0.035226,0.044815,0.065720", \ - "0.031144,0.031983,0.033565,0.036588,0.042265,0.052568,0.071168", \ - "0.038270,0.039169,0.040852,0.044098,0.050201,0.061440,0.081475"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014494,0.015185,0.016513,0.019052,0.023907,0.033229,0.051241", \ - "0.015266,0.015967,0.017311,0.019876,0.024766,0.034124,0.052166", \ - "0.016881,0.017590,0.018948,0.021533,0.026457,0.035872,0.053974", \ - "0.017894,0.018719,0.020275,0.023154,0.028431,0.038145,0.056242", \ - "0.017337,0.018380,0.020327,0.023851,0.030023,0.040671,0.059673", \ - "0.014306,0.015595,0.018016,0.022372,0.029924,0.042509,0.063311", \ - "0.008662,0.010188,0.013075,0.018263,0.027261,0.042196,0.066134"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.020425,0.021430,0.023361,0.027064,0.034135,0.047651,0.073615", \ - "0.020900,0.021908,0.023847,0.027560,0.034648,0.048175,0.074161", \ - "0.024128,0.025103,0.026988,0.030617,0.037592,0.051007,0.076890", \ - "0.032117,0.033099,0.034969,0.038437,0.044999,0.057926,0.083282", \ - "0.042322,0.043458,0.045637,0.049702,0.057166,0.070457,0.094857", \ - "0.054594,0.055869,0.058334,0.062862,0.071225,0.086291,0.112620", \ - "0.069216,0.070638,0.073388,0.078420,0.087622,0.104150,0.133323"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006781,0.007345,0.008440,0.010578,0.014730,0.022808,0.038509", \ - "0.006780,0.007345,0.008441,0.010576,0.014731,0.022809,0.038508", \ - "0.007018,0.007544,0.008565,0.010617,0.014742,0.022807,0.038505", \ - "0.009071,0.009535,0.010433,0.012250,0.015936,0.023322,0.038527", \ - "0.013460,0.013888,0.014726,0.016328,0.019488,0.026066,0.040048", \ - "0.019569,0.020041,0.020928,0.022617,0.025768,0.031746,0.044222", \ - "0.026960,0.027487,0.028470,0.030355,0.033815,0.040018,0.051672"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018287,0.019128,0.020766,0.023959,0.030172,0.042266,0.065850", \ - "0.018287,0.019128,0.020766,0.023958,0.030174,0.042267,0.065842", \ - "0.018176,0.019058,0.020738,0.023951,0.030171,0.042266,0.065845", \ - "0.020402,0.021087,0.022432,0.025130,0.030642,0.042250,0.065844", \ - "0.025396,0.026165,0.027625,0.030385,0.035395,0.045108,0.066215", \ - "0.031112,0.031960,0.033551,0.036599,0.042309,0.052634,0.071360", \ - "0.038023,0.038920,0.040606,0.043872,0.050001,0.061299,0.081383"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016494,0.017300,0.018852,0.021824,0.027519,0.038470,0.059644", \ - "0.017170,0.017988,0.019559,0.022563,0.028299,0.039293,0.060503", \ - "0.018105,0.018928,0.020510,0.023536,0.029317,0.040382,0.061666", \ - "0.018551,0.019440,0.021133,0.024326,0.030319,0.041530,0.062779", \ - "0.018012,0.019085,0.021089,0.024747,0.031270,0.042989,0.064727", \ - "0.015215,0.016534,0.019001,0.023455,0.031212,0.044333,0.067065", \ - "0.009923,0.011476,0.014410,0.019697,0.028869,0.044172,0.069232"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019507,0.020506,0.022432,0.026125,0.033177,0.046666,0.072606", \ - "0.019980,0.020983,0.022914,0.026616,0.033680,0.047180,0.073138", \ - "0.023187,0.024158,0.026035,0.029652,0.036609,0.050002,0.075860", \ - "0.030980,0.031978,0.033867,0.037401,0.043930,0.056829,0.082167", \ - "0.040822,0.041965,0.044156,0.048243,0.055768,0.069170,0.093567", \ - "0.052738,0.054019,0.056497,0.061047,0.069433,0.084554,0.111042", \ - "0.066965,0.068399,0.071160,0.076227,0.085450,0.101993,0.131227"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008069,0.008732,0.010025,0.012544,0.017432,0.026922,0.045389", \ - "0.008069,0.008732,0.010026,0.012539,0.017428,0.026922,0.045394", \ - "0.008203,0.008834,0.010074,0.012555,0.017430,0.026925,0.045397", \ - "0.009709,0.010283,0.011427,0.013718,0.018272,0.027255,0.045387", \ - "0.013614,0.014112,0.015083,0.017006,0.020924,0.029210,0.046394", \ - "0.019491,0.020004,0.020988,0.022873,0.026503,0.033745,0.049408", \ - "0.026680,0.027260,0.028336,0.030383,0.034179,0.041241,0.055413"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017690,0.018531,0.020169,0.023364,0.029584,0.041684,0.065267", \ - "0.017690,0.018529,0.020170,0.023364,0.029582,0.041679,0.065263", \ - "0.017549,0.018427,0.020126,0.023354,0.029581,0.041683,0.065266", \ - "0.020125,0.020790,0.022107,0.024758,0.030187,0.041665,0.065265", \ - "0.025223,0.025992,0.027446,0.030198,0.035226,0.044815,0.065720", \ - "0.031144,0.031983,0.033565,0.036588,0.042265,0.052568,0.071168", \ - "0.038270,0.039169,0.040852,0.044098,0.050201,0.061440,0.081475"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.013495,0.014269,0.015766,0.018656,0.024246,0.035088,0.056174", \ - "0.014077,0.014875,0.016411,0.019354,0.025004,0.035901,0.057028", \ - "0.014845,0.015691,0.017273,0.020264,0.025979,0.036965,0.058180", \ - "0.014805,0.015754,0.017529,0.020798,0.026830,0.038101,0.059291", \ - "0.013127,0.014349,0.016595,0.020587,0.027461,0.039386,0.061189", \ - "0.009033,0.010549,0.013345,0.018284,0.026651,0.040367,0.063414", \ - "0.002549,0.004335,0.007640,0.013491,0.023423,0.039561,0.065305"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018775,0.019776,0.021700,0.025379,0.032392,0.045783,0.071541", \ - "0.019246,0.020250,0.022180,0.025870,0.032894,0.046300,0.072066", \ - "0.022464,0.023438,0.025311,0.028914,0.035827,0.049122,0.074789", \ - "0.030138,0.031153,0.033071,0.036644,0.043164,0.055950,0.081105", \ - "0.039675,0.040841,0.043070,0.047205,0.054775,0.068246,0.092492", \ - "0.051210,0.052525,0.055059,0.059681,0.068135,0.083318,0.109861", \ - "0.064914,0.066399,0.069251,0.074434,0.083783,0.100419,0.129714"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.005962,0.006623,0.007913,0.010418,0.015293,0.024775,0.043212", \ - "0.005967,0.006625,0.007912,0.010421,0.015297,0.024783,0.043211", \ - "0.006371,0.006965,0.008149,0.010512,0.015303,0.024785,0.043221", \ - "0.008356,0.008865,0.009886,0.011997,0.016402,0.025244,0.043222", \ - "0.012806,0.013258,0.014150,0.015910,0.019536,0.027437,0.044412", \ - "0.018982,0.019443,0.020341,0.022106,0.025534,0.032448,0.047630", \ - "0.026325,0.026830,0.027807,0.029731,0.033390,0.040226,0.054004"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.013495,0.014342,0.015993,0.019194,0.025424,0.037553,0.061186", \ - "0.013493,0.014344,0.015992,0.019194,0.025426,0.037556,0.061186", \ - "0.013348,0.014218,0.015936,0.019180,0.025418,0.037554,0.061187", \ - "0.016015,0.016726,0.018023,0.020645,0.026055,0.037526,0.061184", \ - "0.020080,0.020950,0.022575,0.025587,0.031026,0.040751,0.061645", \ - "0.025019,0.025994,0.027806,0.031177,0.037321,0.048191,0.067132", \ - "0.031033,0.032124,0.034122,0.037826,0.044522,0.056439,0.077213"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012011,0.012675,0.013955,0.016419,0.021175,0.030396,0.048327", \ - "0.012703,0.013387,0.014699,0.017208,0.022016,0.031283,0.049248", \ - "0.014081,0.014823,0.016224,0.018801,0.023671,0.033009,0.051046", \ - "0.014360,0.015271,0.016959,0.020002,0.025413,0.035205,0.053309", \ - "0.012582,0.013776,0.015968,0.019853,0.026459,0.037469,0.056636", \ - "0.008249,0.009736,0.012477,0.017319,0.025499,0.038751,0.060056", \ - "0.001423,0.003181,0.006425,0.012174,0.021928,0.037713,0.062453"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019669,0.020674,0.022607,0.026299,0.033332,0.046757,0.072542", \ - "0.020140,0.021151,0.023092,0.026794,0.033842,0.047285,0.073085", \ - "0.023382,0.024356,0.026241,0.029856,0.036791,0.050114,0.075812", \ - "0.031269,0.032271,0.034172,0.037701,0.044212,0.057034,0.082206", \ - "0.041173,0.042331,0.044548,0.048658,0.056174,0.069533,0.093765", \ - "0.053079,0.054385,0.056898,0.061499,0.069933,0.085056,0.111442", \ - "0.067208,0.068680,0.071509,0.076650,0.085973,0.102584,0.131816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.005025,0.005582,0.006667,0.008789,0.012931,0.020991,0.036675", \ - "0.005034,0.005589,0.006673,0.008790,0.012930,0.020995,0.036684", \ - "0.005620,0.006090,0.007039,0.008979,0.012964,0.021001,0.036685", \ - "0.008107,0.008522,0.009341,0.011003,0.014490,0.021703,0.036749", \ - "0.012843,0.013243,0.014018,0.015536,0.018512,0.024757,0.038476", \ - "0.019209,0.019624,0.020432,0.022019,0.025041,0.030793,0.042907", \ - "0.026770,0.027215,0.028094,0.029844,0.033174,0.039236,0.050649"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014050,0.014903,0.016559,0.019766,0.026003,0.038140,0.061785", \ - "0.014050,0.014903,0.016560,0.019766,0.026002,0.038143,0.061776", \ - "0.013911,0.014817,0.016520,0.019756,0.025997,0.038133,0.061775", \ - "0.016295,0.016973,0.018302,0.020991,0.026490,0.038102,0.061779", \ - "0.020302,0.021173,0.022797,0.025812,0.031240,0.041037,0.062131", \ - "0.025094,0.026062,0.027875,0.031247,0.037401,0.048284,0.067319", \ - "0.030935,0.032016,0.034001,0.037695,0.044387,0.056340,0.077144"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014494,0.015185,0.016513,0.019052,0.023907,0.033229,0.051241", \ - "0.015266,0.015967,0.017311,0.019876,0.024766,0.034124,0.052166", \ - "0.016881,0.017590,0.018948,0.021533,0.026457,0.035872,0.053974", \ - "0.017894,0.018719,0.020275,0.023154,0.028431,0.038145,0.056242", \ - "0.017337,0.018380,0.020327,0.023851,0.030023,0.040671,0.059673", \ - "0.014306,0.015595,0.018016,0.022372,0.029924,0.042509,0.063311", \ - "0.008662,0.010188,0.013075,0.018263,0.027261,0.042196,0.066134"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.020425,0.021430,0.023361,0.027064,0.034135,0.047651,0.073615", \ - "0.020900,0.021908,0.023847,0.027560,0.034648,0.048175,0.074161", \ - "0.024128,0.025103,0.026988,0.030617,0.037592,0.051007,0.076890", \ - "0.032117,0.033099,0.034969,0.038437,0.044999,0.057926,0.083282", \ - "0.042322,0.043458,0.045637,0.049702,0.057166,0.070457,0.094857", \ - "0.054594,0.055869,0.058334,0.062862,0.071225,0.086291,0.112620", \ - "0.069216,0.070638,0.073388,0.078420,0.087622,0.104150,0.133323"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006781,0.007345,0.008440,0.010578,0.014730,0.022808,0.038509", \ - "0.006780,0.007345,0.008441,0.010576,0.014731,0.022809,0.038508", \ - "0.007018,0.007544,0.008565,0.010617,0.014742,0.022807,0.038505", \ - "0.009071,0.009535,0.010433,0.012250,0.015936,0.023322,0.038527", \ - "0.013460,0.013888,0.014726,0.016328,0.019488,0.026066,0.040048", \ - "0.019569,0.020041,0.020928,0.022617,0.025768,0.031746,0.044222", \ - "0.026960,0.027487,0.028470,0.030355,0.033815,0.040018,0.051672"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018287,0.019128,0.020766,0.023959,0.030172,0.042266,0.065850", \ - "0.018287,0.019128,0.020766,0.023958,0.030174,0.042267,0.065842", \ - "0.018176,0.019058,0.020738,0.023951,0.030171,0.042266,0.065845", \ - "0.020402,0.021087,0.022432,0.025130,0.030642,0.042250,0.065844", \ - "0.025396,0.026165,0.027625,0.030385,0.035395,0.045108,0.066215", \ - "0.031112,0.031960,0.033551,0.036599,0.042309,0.052634,0.071360", \ - "0.038023,0.038920,0.040606,0.043872,0.050001,0.061299,0.081383"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012011,0.012675,0.013955,0.016419,0.021175,0.030396,0.048327", \ - "0.012703,0.013387,0.014699,0.017208,0.022016,0.031283,0.049248", \ - "0.014081,0.014823,0.016224,0.018801,0.023671,0.033009,0.051046", \ - "0.014360,0.015271,0.016959,0.020002,0.025413,0.035205,0.053309", \ - "0.012582,0.013776,0.015968,0.019853,0.026459,0.037469,0.056636", \ - "0.008249,0.009736,0.012477,0.017319,0.025499,0.038751,0.060056", \ - "0.001423,0.003181,0.006425,0.012174,0.021928,0.037713,0.062453"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019669,0.020674,0.022607,0.026299,0.033332,0.046757,0.072542", \ - "0.020140,0.021151,0.023092,0.026794,0.033842,0.047285,0.073085", \ - "0.023382,0.024356,0.026241,0.029856,0.036791,0.050114,0.075812", \ - "0.031269,0.032271,0.034172,0.037701,0.044212,0.057034,0.082206", \ - "0.041173,0.042331,0.044548,0.048658,0.056174,0.069533,0.093765", \ - "0.053079,0.054385,0.056898,0.061499,0.069933,0.085056,0.111442", \ - "0.067208,0.068680,0.071509,0.076650,0.085973,0.102584,0.131816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.005025,0.005582,0.006667,0.008789,0.012931,0.020991,0.036675", \ - "0.005034,0.005589,0.006673,0.008790,0.012930,0.020995,0.036684", \ - "0.005620,0.006090,0.007039,0.008979,0.012964,0.021001,0.036685", \ - "0.008107,0.008522,0.009341,0.011003,0.014490,0.021703,0.036749", \ - "0.012843,0.013243,0.014018,0.015536,0.018512,0.024757,0.038476", \ - "0.019209,0.019624,0.020432,0.022019,0.025041,0.030793,0.042907", \ - "0.026770,0.027215,0.028094,0.029844,0.033174,0.039236,0.050649"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014050,0.014903,0.016559,0.019766,0.026003,0.038140,0.061785", \ - "0.014050,0.014903,0.016560,0.019766,0.026002,0.038143,0.061776", \ - "0.013911,0.014817,0.016520,0.019756,0.025997,0.038133,0.061775", \ - "0.016295,0.016973,0.018302,0.020991,0.026490,0.038102,0.061779", \ - "0.020302,0.021173,0.022797,0.025812,0.031240,0.041037,0.062131", \ - "0.025094,0.026062,0.027875,0.031247,0.037401,0.048284,0.067319", \ - "0.030935,0.032016,0.034001,0.037695,0.044387,0.056340,0.077144"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010368,0.010890,0.011899,0.013841,0.017587,0.024843,0.038941", \ - "0.011105,0.011644,0.012678,0.014655,0.018442,0.025734,0.039861", \ - "0.012805,0.013429,0.014598,0.016731,0.020603,0.027959,0.042145", \ - "0.013224,0.014077,0.015654,0.018476,0.023356,0.031656,0.046159", \ - "0.011331,0.012474,0.014578,0.018307,0.024627,0.034944,0.051681", \ - "0.006805,0.008236,0.010882,0.015569,0.023494,0.036284,0.056335", \ - "-0.000290,0.001399,0.004544,0.010124,0.019607,0.034968,0.058865"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.021517,0.022484,0.024350,0.027945,0.034855,0.048156,0.073823", \ - "0.022005,0.022976,0.024852,0.028457,0.035385,0.048701,0.074392", \ - "0.025286,0.026224,0.028047,0.031572,0.038388,0.051583,0.077170", \ - "0.033256,0.034215,0.036040,0.039409,0.045889,0.058615,0.083678", \ - "0.043498,0.044620,0.046761,0.050761,0.058131,0.071281,0.095425", \ - "0.055812,0.057063,0.059472,0.063962,0.072256,0.087230,0.113400", \ - "0.070441,0.071866,0.074566,0.079545,0.088696,0.105170,0.134273"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.004554,0.004993,0.005855,0.007533,0.010796,0.017128,0.029430", \ - "0.004559,0.004998,0.005858,0.007534,0.010796,0.017125,0.029425", \ - "0.005478,0.005816,0.006491,0.007906,0.010893,0.017133,0.029431", \ - "0.008788,0.009104,0.009731,0.010974,0.013478,0.018583,0.029689", \ - "0.014012,0.014328,0.014957,0.016198,0.018613,0.023311,0.033058", \ - "0.020732,0.021057,0.021707,0.023030,0.025608,0.030454,0.039696", \ - "0.028601,0.028957,0.029655,0.031121,0.034021,0.039363,0.048930"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014535,0.015402,0.017077,0.020329,0.026629,0.038849,0.062560", \ - "0.014533,0.015403,0.017078,0.020329,0.026629,0.038841,0.062560", \ - "0.014523,0.015397,0.017075,0.020327,0.026624,0.038843,0.062558", \ - "0.016555,0.017259,0.018642,0.021408,0.027028,0.038827,0.062544", \ - "0.020653,0.021521,0.023137,0.026147,0.031561,0.041484,0.062817", \ - "0.025339,0.026306,0.028109,0.031489,0.037669,0.048554,0.067725", \ - "0.031064,0.032133,0.034101,0.037769,0.044476,0.056476,0.077291"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022710,0.023520,0.025087,0.028098,0.033865,0.044927,0.066231", \ - "0.023304,0.024117,0.025689,0.028706,0.034482,0.045554,0.066870", \ - "0.024390,0.025207,0.026784,0.029809,0.035601,0.046693,0.068031", \ - "0.025311,0.026164,0.027798,0.030912,0.036819,0.047915,0.069208", \ - "0.026099,0.027026,0.028792,0.032112,0.038277,0.049748,0.071303", \ - "0.025828,0.026915,0.028975,0.032798,0.039699,0.051954,0.074118", \ - "0.023794,0.025057,0.027477,0.031927,0.039867,0.053674,0.077342"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022481,0.023481,0.025407,0.029111,0.036206,0.049796,0.075953", \ - "0.023357,0.024358,0.026290,0.029994,0.037099,0.050693,0.076858", \ - "0.025948,0.026948,0.028881,0.032587,0.039696,0.053310,0.079477", \ - "0.031416,0.032438,0.034387,0.038085,0.045010,0.058464,0.084464", \ - "0.039279,0.040363,0.042463,0.046429,0.053896,0.067682,0.093231", \ - "0.049493,0.050667,0.052951,0.057206,0.065136,0.079766,0.106537", \ - "0.061808,0.063104,0.065632,0.070301,0.078881,0.094416,0.122565"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012124,0.012806,0.014134,0.016698,0.021653,0.031235,0.049804", \ - "0.012123,0.012809,0.014131,0.016700,0.021654,0.031235,0.049816", \ - "0.012126,0.012810,0.014134,0.016700,0.021654,0.031235,0.049804", \ - "0.013141,0.013786,0.015043,0.017478,0.022162,0.031411,0.049795", \ - "0.015959,0.016534,0.017652,0.019851,0.024221,0.033022,0.050562", \ - "0.021321,0.021873,0.022917,0.024930,0.028877,0.036730,0.053201", \ - "0.028433,0.029027,0.030129,0.032207,0.036094,0.043509,0.058419"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022026,0.022844,0.024446,0.027589,0.033754,0.045818,0.069386", \ - "0.022026,0.022844,0.024446,0.027589,0.033753,0.045817,0.069382", \ - "0.021973,0.022804,0.024422,0.027580,0.033753,0.045819,0.069384", \ - "0.023607,0.024313,0.025706,0.028503,0.034183,0.045827,0.069380", \ - "0.027821,0.028498,0.029827,0.032443,0.037554,0.047919,0.069797", \ - "0.033283,0.033954,0.035264,0.037861,0.043035,0.053261,0.073320", \ - "0.040159,0.040837,0.042127,0.044726,0.049881,0.060128,0.080293"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019369,0.020177,0.021735,0.024727,0.030464,0.041479,0.062738", \ - "0.019953,0.020764,0.022328,0.025330,0.031077,0.042104,0.063370", \ - "0.021019,0.021833,0.023404,0.026417,0.032183,0.043236,0.064529", \ - "0.021709,0.022575,0.024237,0.027382,0.033321,0.044459,0.065710", \ - "0.021998,0.022987,0.024854,0.028311,0.034618,0.046174,0.067780", \ - "0.020867,0.022052,0.024286,0.028368,0.035608,0.048185,0.070518", \ - "0.017985,0.019349,0.021976,0.026734,0.035132,0.049466,0.073568"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.021688,0.022686,0.024610,0.028301,0.035354,0.048837,0.074777", \ - "0.022561,0.023562,0.025491,0.029186,0.036246,0.049734,0.075680", \ - "0.025154,0.026155,0.028082,0.031777,0.038841,0.052343,0.078301", \ - "0.030533,0.031567,0.033535,0.037253,0.044164,0.057494,0.083294", \ - "0.038180,0.039288,0.041418,0.045416,0.052891,0.066666,0.092063", \ - "0.048070,0.049283,0.051628,0.055947,0.063927,0.078564,0.105265", \ - "0.059916,0.061267,0.063876,0.068679,0.077362,0.092973,0.121099"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010112,0.010786,0.012094,0.014636,0.019561,0.029106,0.047637", \ - "0.010111,0.010789,0.012095,0.014640,0.019562,0.029106,0.047636", \ - "0.010168,0.010828,0.012114,0.014641,0.019559,0.029102,0.047631", \ - "0.011415,0.012032,0.013250,0.015626,0.020250,0.029372,0.047631", \ - "0.014770,0.015307,0.016347,0.018414,0.022568,0.031147,0.048529", \ - "0.020455,0.020983,0.021979,0.023905,0.027660,0.035218,0.051335", \ - "0.027641,0.028233,0.029309,0.031347,0.035144,0.042319,0.056873"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017689,0.018529,0.020169,0.023365,0.029582,0.041683,0.065264", \ - "0.017689,0.018529,0.020169,0.023365,0.029583,0.041685,0.065269", \ - "0.017630,0.018483,0.020142,0.023355,0.029580,0.041682,0.065264", \ - "0.019357,0.020065,0.021477,0.024313,0.030028,0.041691,0.065263", \ - "0.023164,0.023900,0.025324,0.028086,0.033426,0.043822,0.065687", \ - "0.028105,0.028853,0.030276,0.033061,0.038491,0.049016,0.069246", \ - "0.034289,0.035064,0.036527,0.039391,0.044894,0.055515,0.076075"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016893,0.017585,0.018921,0.021480,0.026375,0.035761,0.053849", \ - "0.017587,0.018282,0.019622,0.022189,0.027094,0.036488,0.054585", \ - "0.019315,0.020016,0.021361,0.023935,0.028855,0.038270,0.056389", \ - "0.020752,0.021538,0.023025,0.025819,0.030996,0.040611,0.058711", \ - "0.021188,0.022142,0.023934,0.027220,0.033092,0.043467,0.062290", \ - "0.019831,0.020995,0.023179,0.027160,0.034161,0.046118,0.066376", \ - "0.016580,0.017935,0.020504,0.025177,0.033399,0.047326,0.070187"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022609,0.023611,0.025541,0.029244,0.036312,0.049821,0.075798", \ - "0.023489,0.024494,0.026430,0.030137,0.037219,0.050737,0.076713", \ - "0.026061,0.027066,0.029001,0.032710,0.039793,0.053328,0.079320", \ - "0.031595,0.032620,0.034575,0.038267,0.045164,0.058514,0.084334", \ - "0.039559,0.040658,0.042773,0.046740,0.054174,0.067872,0.093227", \ - "0.049849,0.051051,0.053360,0.057649,0.065573,0.080139,0.106730", \ - "0.062159,0.063493,0.066076,0.070834,0.079445,0.094978,0.123003"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008494,0.009069,0.010182,0.012344,0.016531,0.024648,0.040411", \ - "0.008496,0.009070,0.010184,0.012347,0.016535,0.024650,0.040408", \ - "0.008625,0.009170,0.010246,0.012367,0.016535,0.024651,0.040409", \ - "0.010355,0.010849,0.011821,0.013733,0.017521,0.025070,0.040420", \ - "0.014393,0.014838,0.015709,0.017388,0.020734,0.027577,0.041779", \ - "0.020403,0.020874,0.021770,0.023466,0.026656,0.032842,0.045706", \ - "0.027805,0.028340,0.029336,0.031201,0.034621,0.040808,0.052708"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018287,0.019128,0.020766,0.023960,0.030172,0.042267,0.065854", \ - "0.018287,0.019127,0.020767,0.023958,0.030173,0.042266,0.065855", \ - "0.018237,0.019090,0.020745,0.023952,0.030170,0.042267,0.065850", \ - "0.019756,0.020482,0.021918,0.024786,0.030546,0.042263,0.065846", \ - "0.023427,0.024170,0.025613,0.028406,0.033774,0.044250,0.066212", \ - "0.028131,0.028884,0.030332,0.033157,0.038662,0.049274,0.069621", \ - "0.034063,0.034841,0.036317,0.039217,0.044785,0.055534,0.076246"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019369,0.020177,0.021735,0.024727,0.030464,0.041479,0.062738", \ - "0.019953,0.020764,0.022328,0.025330,0.031077,0.042104,0.063370", \ - "0.021019,0.021833,0.023404,0.026417,0.032183,0.043236,0.064529", \ - "0.021709,0.022575,0.024237,0.027382,0.033321,0.044459,0.065710", \ - "0.021998,0.022987,0.024854,0.028311,0.034618,0.046174,0.067780", \ - "0.020867,0.022052,0.024286,0.028368,0.035608,0.048185,0.070518", \ - "0.017985,0.019349,0.021976,0.026734,0.035132,0.049466,0.073568"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.021688,0.022686,0.024610,0.028301,0.035354,0.048837,0.074777", \ - "0.022561,0.023562,0.025491,0.029186,0.036246,0.049734,0.075680", \ - "0.025154,0.026155,0.028082,0.031777,0.038841,0.052343,0.078301", \ - "0.030533,0.031567,0.033535,0.037253,0.044164,0.057494,0.083294", \ - "0.038180,0.039288,0.041418,0.045416,0.052891,0.066666,0.092063", \ - "0.048070,0.049283,0.051628,0.055947,0.063927,0.078564,0.105265", \ - "0.059916,0.061267,0.063876,0.068679,0.077362,0.092973,0.121099"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010112,0.010786,0.012094,0.014636,0.019561,0.029106,0.047637", \ - "0.010111,0.010789,0.012095,0.014640,0.019562,0.029106,0.047636", \ - "0.010168,0.010828,0.012114,0.014641,0.019559,0.029102,0.047631", \ - "0.011415,0.012032,0.013250,0.015626,0.020250,0.029372,0.047631", \ - "0.014770,0.015307,0.016347,0.018414,0.022568,0.031147,0.048529", \ - "0.020455,0.020983,0.021979,0.023905,0.027660,0.035218,0.051335", \ - "0.027641,0.028233,0.029309,0.031347,0.035144,0.042319,0.056873"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017689,0.018529,0.020169,0.023365,0.029582,0.041683,0.065264", \ - "0.017689,0.018529,0.020169,0.023365,0.029583,0.041685,0.065269", \ - "0.017630,0.018483,0.020142,0.023355,0.029580,0.041682,0.065264", \ - "0.019357,0.020065,0.021477,0.024313,0.030028,0.041691,0.065263", \ - "0.023164,0.023900,0.025324,0.028086,0.033426,0.043822,0.065687", \ - "0.028105,0.028853,0.030276,0.033061,0.038491,0.049016,0.069246", \ - "0.034289,0.035064,0.036527,0.039391,0.044894,0.055515,0.076075"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016152,0.016948,0.018485,0.021439,0.027116,0.038061,0.059247", \ - "0.016719,0.017521,0.019067,0.022033,0.027725,0.038683,0.059881", \ - "0.017731,0.018548,0.020111,0.023097,0.028815,0.039806,0.061037", \ - "0.018064,0.018963,0.020666,0.023862,0.029834,0.041025,0.062214", \ - "0.017563,0.018652,0.020684,0.024369,0.030906,0.042597,0.064255", \ - "0.015432,0.016748,0.019216,0.023649,0.031341,0.044345,0.066906", \ - "0.011646,0.013163,0.016039,0.021196,0.030154,0.045126,0.069754"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.020954,0.021955,0.023879,0.027555,0.034565,0.047961,0.073712", \ - "0.021828,0.022831,0.024759,0.028442,0.035458,0.048857,0.074615", \ - "0.024424,0.025426,0.027351,0.031034,0.038053,0.051467,0.077240", \ - "0.029717,0.030762,0.032746,0.036483,0.043384,0.056609,0.082217", \ - "0.037183,0.038310,0.040464,0.044488,0.051977,0.065732,0.090981", \ - "0.046807,0.048047,0.050429,0.054813,0.062826,0.077462,0.104095", \ - "0.058262,0.059654,0.062329,0.067228,0.076010,0.091667,0.119747"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008086,0.008750,0.010042,0.012563,0.017453,0.026963,0.045459", \ - "0.008083,0.008749,0.010042,0.012563,0.017459,0.026970,0.045463", \ - "0.008308,0.008935,0.010164,0.012600,0.017461,0.026965,0.045458", \ - "0.009862,0.010430,0.011563,0.013825,0.018370,0.027352,0.045467", \ - "0.013833,0.014314,0.015264,0.017158,0.021055,0.029323,0.046518", \ - "0.019816,0.020310,0.021244,0.023054,0.026584,0.033808,0.049517", \ - "0.027069,0.027636,0.028669,0.030644,0.034302,0.041243,0.055392"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.013492,0.014340,0.015990,0.019195,0.025425,0.037560,0.061193", \ - "0.013489,0.014341,0.015989,0.019192,0.025424,0.037556,0.061194", \ - "0.013428,0.014290,0.015958,0.019181,0.025420,0.037558,0.061197", \ - "0.015194,0.015950,0.017370,0.020183,0.025885,0.037552,0.061184", \ - "0.018374,0.019178,0.020712,0.023638,0.029209,0.039723,0.061597", \ - "0.022634,0.023476,0.025069,0.028109,0.033840,0.044714,0.065195", \ - "0.027932,0.028857,0.030574,0.033803,0.039762,0.050846,0.071836"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014211,0.014894,0.016209,0.018733,0.023574,0.032894,0.050922", \ - "0.014891,0.015578,0.016901,0.019435,0.024289,0.033619,0.051656", \ - "0.016539,0.017251,0.018602,0.021160,0.026036,0.035393,0.053456", \ - "0.017429,0.018268,0.019840,0.022733,0.028005,0.037691,0.055772", \ - "0.016896,0.017957,0.019931,0.023485,0.029680,0.040318,0.059270", \ - "0.014512,0.015802,0.018221,0.022563,0.030057,0.042526,0.063174", \ - "0.010362,0.011855,0.014680,0.019748,0.028532,0.043137,0.066644"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.021853,0.022857,0.024787,0.028477,0.035508,0.048933,0.074720", \ - "0.022731,0.023738,0.025675,0.029371,0.036411,0.049845,0.075636", \ - "0.025306,0.026311,0.028246,0.031944,0.038989,0.052436,0.078249", \ - "0.030767,0.031805,0.033772,0.037485,0.044367,0.057615,0.083258", \ - "0.038560,0.039677,0.041813,0.045805,0.053246,0.066930,0.092137", \ - "0.048586,0.049818,0.052170,0.056509,0.064475,0.079033,0.105553", \ - "0.060533,0.061910,0.064553,0.069402,0.078102,0.093672,0.121651"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006797,0.007358,0.008456,0.010601,0.014760,0.022847,0.038577", \ - "0.006798,0.007361,0.008458,0.010601,0.014757,0.022851,0.038578", \ - "0.007134,0.007650,0.008668,0.010692,0.014775,0.022852,0.038579", \ - "0.009258,0.009709,0.010603,0.012398,0.016039,0.023428,0.038622", \ - "0.013696,0.014115,0.014925,0.016505,0.019639,0.026204,0.040181", \ - "0.019920,0.020369,0.021211,0.022826,0.025885,0.031809,0.044336", \ - "0.027381,0.027897,0.028840,0.030645,0.033976,0.040009,0.051621"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014052,0.014902,0.016556,0.019767,0.026001,0.038137,0.061782", \ - "0.014048,0.014902,0.016556,0.019766,0.026002,0.038133,0.061786", \ - "0.013989,0.014859,0.016531,0.019758,0.025997,0.038137,0.061789", \ - "0.015595,0.016342,0.017772,0.020628,0.026387,0.038117,0.061790", \ - "0.018653,0.019469,0.021017,0.023974,0.029571,0.040145,0.062127", \ - "0.022715,0.023564,0.025177,0.028237,0.034034,0.044986,0.065554", \ - "0.027818,0.028740,0.030464,0.033702,0.039699,0.050890,0.072016"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016893,0.017585,0.018921,0.021480,0.026375,0.035761,0.053849", \ - "0.017587,0.018282,0.019622,0.022189,0.027094,0.036488,0.054585", \ - "0.019315,0.020016,0.021361,0.023935,0.028855,0.038270,0.056389", \ - "0.020752,0.021538,0.023025,0.025819,0.030996,0.040611,0.058711", \ - "0.021188,0.022142,0.023934,0.027220,0.033092,0.043467,0.062290", \ - "0.019831,0.020995,0.023179,0.027160,0.034161,0.046118,0.066376", \ - "0.016580,0.017935,0.020504,0.025177,0.033399,0.047326,0.070187"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022609,0.023611,0.025541,0.029244,0.036312,0.049821,0.075798", \ - "0.023489,0.024494,0.026430,0.030137,0.037219,0.050737,0.076713", \ - "0.026061,0.027066,0.029001,0.032710,0.039793,0.053328,0.079320", \ - "0.031595,0.032620,0.034575,0.038267,0.045164,0.058514,0.084334", \ - "0.039559,0.040658,0.042773,0.046740,0.054174,0.067872,0.093227", \ - "0.049849,0.051051,0.053360,0.057649,0.065573,0.080139,0.106730", \ - "0.062159,0.063493,0.066076,0.070834,0.079445,0.094978,0.123003"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008494,0.009069,0.010182,0.012344,0.016531,0.024648,0.040411", \ - "0.008496,0.009070,0.010184,0.012347,0.016535,0.024650,0.040408", \ - "0.008625,0.009170,0.010246,0.012367,0.016535,0.024651,0.040409", \ - "0.010355,0.010849,0.011821,0.013733,0.017521,0.025070,0.040420", \ - "0.014393,0.014838,0.015709,0.017388,0.020734,0.027577,0.041779", \ - "0.020403,0.020874,0.021770,0.023466,0.026656,0.032842,0.045706", \ - "0.027805,0.028340,0.029336,0.031201,0.034621,0.040808,0.052708"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018287,0.019128,0.020766,0.023960,0.030172,0.042267,0.065854", \ - "0.018287,0.019127,0.020767,0.023958,0.030173,0.042266,0.065855", \ - "0.018237,0.019090,0.020745,0.023952,0.030170,0.042267,0.065850", \ - "0.019756,0.020482,0.021918,0.024786,0.030546,0.042263,0.065846", \ - "0.023427,0.024170,0.025613,0.028406,0.033774,0.044250,0.066212", \ - "0.028131,0.028884,0.030332,0.033157,0.038662,0.049274,0.069621", \ - "0.034063,0.034841,0.036317,0.039217,0.044785,0.055534,0.076246"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014211,0.014894,0.016209,0.018733,0.023574,0.032894,0.050922", \ - "0.014891,0.015578,0.016901,0.019435,0.024289,0.033619,0.051656", \ - "0.016539,0.017251,0.018602,0.021160,0.026036,0.035393,0.053456", \ - "0.017429,0.018268,0.019840,0.022733,0.028005,0.037691,0.055772", \ - "0.016896,0.017957,0.019931,0.023485,0.029680,0.040318,0.059270", \ - "0.014512,0.015802,0.018221,0.022563,0.030057,0.042526,0.063174", \ - "0.010362,0.011855,0.014680,0.019748,0.028532,0.043137,0.066644"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.021853,0.022857,0.024787,0.028477,0.035508,0.048933,0.074720", \ - "0.022731,0.023738,0.025675,0.029371,0.036411,0.049845,0.075636", \ - "0.025306,0.026311,0.028246,0.031944,0.038989,0.052436,0.078249", \ - "0.030767,0.031805,0.033772,0.037485,0.044367,0.057615,0.083258", \ - "0.038560,0.039677,0.041813,0.045805,0.053246,0.066930,0.092137", \ - "0.048586,0.049818,0.052170,0.056509,0.064475,0.079033,0.105553", \ - "0.060533,0.061910,0.064553,0.069402,0.078102,0.093672,0.121651"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006797,0.007358,0.008456,0.010601,0.014760,0.022847,0.038577", \ - "0.006798,0.007361,0.008458,0.010601,0.014757,0.022851,0.038578", \ - "0.007134,0.007650,0.008668,0.010692,0.014775,0.022852,0.038579", \ - "0.009258,0.009709,0.010603,0.012398,0.016039,0.023428,0.038622", \ - "0.013696,0.014115,0.014925,0.016505,0.019639,0.026204,0.040181", \ - "0.019920,0.020369,0.021211,0.022826,0.025885,0.031809,0.044336", \ - "0.027381,0.027897,0.028840,0.030645,0.033976,0.040009,0.051621"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014052,0.014902,0.016556,0.019767,0.026001,0.038137,0.061782", \ - "0.014048,0.014902,0.016556,0.019766,0.026002,0.038133,0.061786", \ - "0.013989,0.014859,0.016531,0.019758,0.025997,0.038137,0.061789", \ - "0.015595,0.016342,0.017772,0.020628,0.026387,0.038117,0.061790", \ - "0.018653,0.019469,0.021017,0.023974,0.029571,0.040145,0.062127", \ - "0.022715,0.023564,0.025177,0.028237,0.034034,0.044986,0.065554", \ - "0.027818,0.028740,0.030464,0.033702,0.039699,0.050890,0.072016"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012002,0.012544,0.013587,0.015586,0.019412,0.026759,0.040949", \ - "0.012728,0.013274,0.014323,0.016330,0.020165,0.027522,0.041719", \ - "0.014772,0.015361,0.016474,0.018536,0.022399,0.029780,0.044002", \ - "0.016045,0.016826,0.018280,0.020916,0.025562,0.033642,0.048057", \ - "0.015445,0.016465,0.018359,0.021769,0.027664,0.037514,0.053855", \ - "0.012851,0.014101,0.016441,0.020646,0.027905,0.039903,0.059177", \ - "0.008379,0.009829,0.012567,0.017494,0.026049,0.040250,0.062900"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.023710,0.024673,0.026539,0.030129,0.037036,0.050331,0.075996", \ - "0.024603,0.025571,0.027442,0.031041,0.037962,0.051269,0.076945", \ - "0.027191,0.028159,0.030025,0.033623,0.040544,0.053868,0.079570", \ - "0.032786,0.033777,0.035668,0.039249,0.045999,0.059120,0.084634", \ - "0.040877,0.041946,0.043996,0.047875,0.055162,0.068642,0.093686", \ - "0.051325,0.052496,0.054763,0.058956,0.066752,0.081119,0.107422", \ - "0.063855,0.065170,0.067705,0.072362,0.080851,0.096193,0.123974"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006017,0.006457,0.007314,0.008986,0.012248,0.018593,0.030922", \ - "0.006017,0.006459,0.007314,0.008987,0.012247,0.018588,0.030915", \ - "0.006592,0.006960,0.007701,0.009206,0.012297,0.018594,0.030921", \ - "0.009708,0.010041,0.010691,0.011980,0.014561,0.019794,0.031124", \ - "0.014755,0.015086,0.015734,0.016998,0.019471,0.024322,0.034224", \ - "0.021379,0.021734,0.022414,0.023753,0.026338,0.031216,0.040651", \ - "0.029198,0.029614,0.030378,0.031890,0.034760,0.040012,0.049597"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014535,0.015400,0.017081,0.020333,0.026630,0.038844,0.062544", \ - "0.014534,0.015401,0.017076,0.020333,0.026631,0.038846,0.062550", \ - "0.014525,0.015393,0.017074,0.020327,0.026627,0.038845,0.062563", \ - "0.015959,0.016707,0.018181,0.021105,0.026954,0.038828,0.062538", \ - "0.018953,0.019779,0.021348,0.024345,0.029996,0.040697,0.062845", \ - "0.022835,0.023694,0.025329,0.028434,0.034316,0.045387,0.066111", \ - "0.027770,0.028695,0.030421,0.033683,0.039740,0.051081,0.072396"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.155659,2.171126,2.185963,2.204335,2.251481,2.281230,2.321902", \ - "2.183452,2.181519,2.205860,2.234033,2.268410,2.318857,2.361135", \ - "2.252864,2.272078,2.267950,2.296084,2.319966,2.350733,2.383235", \ - "2.755077,2.740944,2.717231,2.682430,2.636889,2.593827,2.557028", \ - "4.077306,4.027534,3.935706,3.780840,3.551782,3.325169,3.097541", \ - "6.093095,6.041209,5.961507,5.755329,5.371826,4.807020,4.227491", \ - "8.651629,8.635163,8.578059,8.440392,8.052585,7.271598,6.170410"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.374692,5.380302,5.390666,5.434488,5.456650,5.470828,5.470874", \ - "5.310536,5.365135,5.376075,5.372661,5.394490,5.417872,5.497038", \ - "5.607560,5.597473,5.585211,5.585864,5.552889,5.536318,5.522362", \ - "6.448062,6.414412,6.306285,6.275939,6.078390,6.010517,5.868797", \ - "7.942156,7.897480,7.874808,7.709157,7.431864,7.076996,6.490337", \ - "10.246940,10.158490,10.063440,9.852503,9.531819,8.943541,8.316059", \ - "13.455980,13.361000,13.233680,12.894230,12.463060,11.679630,10.786380"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.431841,1.450617,1.451869,1.483692,1.505932,1.529621,1.552388", \ - "1.429371,1.451516,1.454343,1.486371,1.517183,1.548218,1.588347", \ - "1.537572,1.536984,1.543019,1.553312,1.564566,1.586621,1.610128", \ - "2.175490,2.137139,2.072531,1.998225,1.936935,1.860252,1.792686", \ - "3.616616,3.555689,3.455928,3.251206,2.943277,2.628028,2.358134", \ - "5.625385,5.608552,5.509004,5.298559,4.882368,4.209829,3.530285", \ - "8.196123,8.191984,8.133950,7.996278,7.621097,6.777595,5.549400"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.468899,4.473760,4.482477,4.472384,4.547508,4.577571,4.360145", \ - "4.455172,4.458738,4.467479,4.490176,4.579527,4.509047,4.621401", \ - "4.719216,4.694281,4.715197,4.708636,4.624878,4.547655,4.697879", \ - "5.570924,5.523857,5.463806,5.355541,5.223484,5.083971,4.972401", \ - "6.993254,6.989864,6.894150,6.829845,6.579260,6.093287,5.796186", \ - "9.326634,9.292932,9.134976,8.931612,8.516489,8.122954,7.378564", \ - "12.520470,12.462130,12.338540,12.083640,11.534300,10.738260,9.767869"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.430587,1.437100,1.447251,1.472990,1.498577,1.527739,1.549055", \ - "1.436408,1.433673,1.464595,1.484554,1.514454,1.547449,1.582833", \ - "1.553789,1.543391,1.552875,1.563906,1.573938,1.594313,1.614895", \ - "2.290558,2.250158,2.174677,2.071828,1.989862,1.904629,1.825976", \ - "3.787152,3.741791,3.625562,3.441969,3.126271,2.745798,2.449145", \ - "5.800618,5.797871,5.722746,5.567377,5.174722,4.502061,3.722744", \ - "8.360202,8.383471,8.352097,8.236740,7.973410,7.227568,5.954024"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.455291,4.460263,4.481034,4.530631,4.467542,4.544934,4.508237", \ - "4.432768,4.436346,4.460675,4.504698,4.511506,4.549543,4.391860", \ - "4.705820,4.706420,4.685866,4.693299,4.674121,4.550949,4.520168", \ - "5.628542,5.574041,5.529077,5.432771,5.270332,5.166371,4.984736", \ - "7.178479,7.143590,7.078453,6.945782,6.643232,6.269283,5.873472", \ - "9.614175,9.577192,9.425791,9.214124,8.840760,8.378063,7.555906", \ - "12.984760,12.939780,12.756410,12.509840,12.011520,11.281610,10.069660"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.431841,1.450617,1.451869,1.483692,1.505932,1.529621,1.552388", \ - "1.429371,1.451516,1.454343,1.486371,1.517183,1.548218,1.588347", \ - "1.537572,1.536984,1.543019,1.553312,1.564566,1.586621,1.610128", \ - "2.175490,2.137139,2.072531,1.998225,1.936935,1.860252,1.792686", \ - "3.616616,3.555689,3.455928,3.251206,2.943277,2.628028,2.358134", \ - "5.625385,5.608552,5.509004,5.298559,4.882368,4.209829,3.530285", \ - "8.196123,8.191984,8.133950,7.996278,7.621097,6.777595,5.549400"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.468899,4.473760,4.482477,4.472384,4.547508,4.577571,4.360145", \ - "4.455172,4.458738,4.467479,4.490176,4.579527,4.509047,4.621401", \ - "4.719216,4.694281,4.715197,4.708636,4.624878,4.547655,4.697879", \ - "5.570924,5.523857,5.463806,5.355541,5.223484,5.083971,4.972401", \ - "6.993254,6.989864,6.894150,6.829845,6.579260,6.093287,5.796186", \ - "9.326634,9.292932,9.134976,8.931612,8.516489,8.122954,7.378564", \ - "12.520470,12.462130,12.338540,12.083640,11.534300,10.738260,9.767869"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.717000,0.725228,0.742401,0.752992,0.763619,0.772868,0.775696", \ - "0.696870,0.713138,0.727920,0.747261,0.775552,0.790982,0.813038", \ - "0.836005,0.834621,0.832709,0.821967,0.828662,0.826317,0.833283", \ - "1.680218,1.617799,1.513670,1.362829,1.241684,1.125504,1.029448", \ - "3.193588,3.143181,3.015380,2.767665,2.378462,1.956356,1.624783", \ - "5.233999,5.174909,5.108800,4.872882,4.421733,3.640370,2.840706", \ - "7.765943,7.757772,7.729493,7.574398,7.183127,6.288885,4.936404"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.614480,3.587519,3.654365,3.623829,3.640301,3.712306,3.691689", \ - "3.572920,3.573018,3.597296,3.644123,3.648567,3.702916,3.736256", \ - "3.854291,3.817951,3.826617,3.833080,3.801259,3.777917,3.756695", \ - "4.630510,4.647395,4.591462,4.524708,4.358957,4.248226,4.107313", \ - "6.087482,6.018610,5.958740,5.869118,5.654605,5.305989,4.979500", \ - "8.409188,8.323842,8.218380,8.032496,7.690527,7.194121,6.549688", \ - "11.458080,11.426830,11.313320,11.111330,10.669020,9.833685,8.943442"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.724611,0.727994,0.741928,0.752403,0.768672,0.775807,0.780236", \ - "0.712628,0.718895,0.737541,0.756823,0.776434,0.800646,0.810513", \ - "0.882383,0.863876,0.865256,0.846778,0.850524,0.842278,0.849551", \ - "1.801630,1.742269,1.638498,1.473796,1.308114,1.175669,1.071166", \ - "3.339381,3.287317,3.183656,2.964510,2.571152,2.087027,1.718071", \ - "5.375758,5.349021,5.275843,5.110207,4.700925,3.948538,3.046260", \ - "7.939956,7.891034,7.871639,7.797811,7.509915,6.748381,5.361737"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.572809,3.590226,3.610361,3.617638,3.674733,3.701460,3.681728", \ - "3.551039,3.551219,3.594031,3.603976,3.660610,3.597288,3.594040", \ - "3.833647,3.818066,3.810127,3.816676,3.788603,3.763531,3.779530", \ - "4.709698,4.731711,4.686466,4.554392,4.441772,4.252151,4.053328", \ - "6.217543,6.204724,6.083419,6.020799,5.781486,5.368353,5.069243", \ - "8.702421,8.613383,8.503028,8.326279,7.968332,7.492920,6.759589", \ - "12.004920,11.953150,11.852050,11.611150,11.064450,10.375700,9.339677"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.430587,1.437100,1.447251,1.472990,1.498577,1.527739,1.549055", \ - "1.436408,1.433673,1.464595,1.484554,1.514454,1.547449,1.582833", \ - "1.553789,1.543391,1.552875,1.563906,1.573938,1.594313,1.614895", \ - "2.290558,2.250158,2.174677,2.071828,1.989862,1.904629,1.825976", \ - "3.787152,3.741791,3.625562,3.441969,3.126271,2.745798,2.449145", \ - "5.800618,5.797871,5.722746,5.567377,5.174722,4.502061,3.722744", \ - "8.360202,8.383471,8.352097,8.236740,7.973410,7.227568,5.954024"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.455291,4.460263,4.481034,4.530631,4.467542,4.544934,4.508237", \ - "4.432768,4.436346,4.460675,4.504698,4.511506,4.549543,4.391860", \ - "4.705820,4.706420,4.685866,4.693299,4.674121,4.550949,4.520168", \ - "5.628542,5.574041,5.529077,5.432771,5.270332,5.166371,4.984736", \ - "7.178479,7.143590,7.078453,6.945782,6.643232,6.269283,5.873472", \ - "9.614175,9.577192,9.425791,9.214124,8.840760,8.378063,7.555906", \ - "12.984760,12.939780,12.756410,12.509840,12.011520,11.281610,10.069660"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.724611,0.727994,0.741928,0.752403,0.768672,0.775807,0.780236", \ - "0.712628,0.718895,0.737541,0.756823,0.776434,0.800646,0.810513", \ - "0.882383,0.863876,0.865256,0.846778,0.850524,0.842278,0.849551", \ - "1.801630,1.742269,1.638498,1.473796,1.308114,1.175669,1.071166", \ - "3.339381,3.287317,3.183656,2.964510,2.571152,2.087027,1.718071", \ - "5.375758,5.349021,5.275843,5.110207,4.700925,3.948538,3.046260", \ - "7.939956,7.891034,7.871639,7.797811,7.509915,6.748381,5.361737"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.572809,3.590226,3.610361,3.617638,3.674733,3.701460,3.681728", \ - "3.551039,3.551219,3.594031,3.603976,3.660610,3.597288,3.594040", \ - "3.833647,3.818066,3.810127,3.816676,3.788603,3.763531,3.779530", \ - "4.709698,4.731711,4.686466,4.554392,4.441772,4.252151,4.053328", \ - "6.217543,6.204724,6.083419,6.020799,5.781486,5.368353,5.069243", \ - "8.702421,8.613383,8.503028,8.326279,7.968332,7.492920,6.759589", \ - "12.004920,11.953150,11.852050,11.611150,11.064450,10.375700,9.339677"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.726598,0.720757,0.740297,0.756645,0.764588,0.777619,0.781827", \ - "0.717079,0.719932,0.736120,0.753961,0.775920,0.798721,0.816952", \ - "0.916967,0.892579,0.884262,0.873868,0.863070,0.857843,0.858115", \ - "1.910668,1.854201,1.759607,1.593238,1.374447,1.232201,1.106271", \ - "3.436744,3.412000,3.333777,3.150277,2.789074,2.265384,1.831198", \ - "5.492776,5.467013,5.424793,5.307235,4.988192,4.293163,3.320231", \ - "8.045856,8.061805,8.042887,8.008837,7.798162,7.181949,5.875844"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.570262,3.590126,3.592588,3.644672,3.655408,3.621669,3.707216", \ - "3.532614,3.532981,3.556696,3.592653,3.568202,3.593239,3.691004", \ - "3.853200,3.812098,3.815981,3.798220,3.785681,3.747808,3.742852", \ - "4.811222,4.794964,4.760322,4.634276,4.515762,4.309622,3.990175", \ - "6.425395,6.352384,6.288617,6.167896,5.992317,5.625172,5.045999", \ - "9.048621,8.993673,8.879292,8.627555,8.181866,7.818804,7.054898", \ - "12.582900,12.508570,12.382410,12.092290,11.512340,10.860100,9.831857"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.750595,2.759874,2.774548,2.786377,2.824690,2.860854,2.914192", \ - "2.688027,2.677334,2.710169,2.739080,2.760619,2.805822,2.867852", \ - "2.755351,2.754485,2.774541,2.778344,2.809002,2.828300,2.861922", \ - "3.246768,3.236818,3.207384,3.181850,3.152493,3.111500,3.063606", \ - "4.545823,4.508946,4.433458,4.301676,4.098952,3.887315,3.656949", \ - "6.648702,6.595570,6.498358,6.320594,5.972806,5.444729,4.875877", \ - "9.447963,9.411881,9.325459,9.159807,8.756448,8.031747,6.961359"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.435132,6.437332,6.469591,6.429027,6.496106,6.514577,6.507797", \ - "6.356448,6.363064,6.395132,6.399493,6.419034,6.523993,6.568106", \ - "6.329475,6.328809,6.375912,6.370434,6.351313,6.472235,6.301769", \ - "6.844082,6.822026,6.788084,6.734866,6.635129,6.517442,6.662317", \ - "8.042076,8.009850,7.962269,7.872083,7.655800,7.290906,7.006115", \ - "9.969536,9.901290,9.780306,9.574765,9.323889,8.934331,8.377173", \ - "12.746950,12.666870,12.545950,12.265790,11.810760,11.136730,10.362510"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.023472,2.029209,2.040179,2.057413,2.083123,2.104889,2.141013", \ - "1.956633,1.964678,1.975321,1.996054,2.014952,2.055440,2.089192", \ - "2.041137,2.048829,2.045461,2.051749,2.062433,2.079206,2.095532", \ - "2.614955,2.587722,2.561205,2.511596,2.443709,2.370398,2.302310", \ - "4.048515,3.998247,3.906326,3.744353,3.483209,3.198583,2.920293", \ - "6.223162,6.165847,6.054078,5.842882,5.456560,4.855660,4.187198", \ - "9.008466,8.992525,8.921439,8.733497,8.321571,7.510811,6.342299"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.544968,5.573814,5.590658,5.584112,5.616004,5.650832,5.568861", \ - "5.455838,5.508371,5.522982,5.574616,5.590176,5.637931,5.648936", \ - "5.475593,5.475455,5.478752,5.458927,5.551857,5.503575,5.631591", \ - "5.992775,5.971416,5.948477,5.869720,5.850177,5.736698,5.657924", \ - "7.096524,7.057373,6.996868,6.911909,6.780700,6.535384,6.181113", \ - "9.086307,9.018306,8.896976,8.713038,8.399386,8.064848,7.484617", \ - "11.798310,11.723420,11.639760,11.348820,10.878630,10.290960,9.417046"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.006586,2.000305,2.018565,2.039600,2.064183,2.100748,2.132326", \ - "1.938899,1.942860,1.961296,1.973459,2.011783,2.044972,2.079892", \ - "2.045953,2.030443,2.047059,2.053024,2.058271,2.071747,2.090464", \ - "2.707157,2.675963,2.614219,2.555890,2.493360,2.402857,2.318325", \ - "4.227721,4.163125,4.080162,3.919157,3.645178,3.315613,3.002265", \ - "6.444303,6.430251,6.313924,6.134840,5.756673,5.134818,4.383688", \ - "9.301970,9.311633,9.249895,9.119775,8.737109,7.979965,6.765909"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.516385,5.517514,5.580108,5.599735,5.581943,5.590530,5.558867", \ - "5.429569,5.488923,5.503104,5.541969,5.522771,5.620097,5.622286", \ - "5.460868,5.460137,5.461522,5.478408,5.422366,5.488694,5.493488", \ - "6.016111,5.992844,5.957280,5.921925,5.863747,5.722515,5.647978", \ - "7.193557,7.151189,7.085760,7.045065,6.880952,6.593279,6.228679", \ - "9.342001,9.271242,9.143021,8.888578,8.511819,8.187560,7.599276", \ - "12.245180,12.166530,12.019640,11.802040,11.353100,10.544400,9.586621"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.023472,2.029209,2.040179,2.057413,2.083123,2.104889,2.141013", \ - "1.956633,1.964678,1.975321,1.996054,2.014952,2.055440,2.089192", \ - "2.041137,2.048829,2.045461,2.051749,2.062433,2.079206,2.095532", \ - "2.614955,2.587722,2.561205,2.511596,2.443709,2.370398,2.302310", \ - "4.048515,3.998247,3.906326,3.744353,3.483209,3.198583,2.920293", \ - "6.223162,6.165847,6.054078,5.842882,5.456560,4.855660,4.187198", \ - "9.008466,8.992525,8.921439,8.733497,8.321571,7.510811,6.342299"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.544968,5.573814,5.590658,5.584112,5.616004,5.650832,5.568861", \ - "5.455838,5.508371,5.522982,5.574616,5.590176,5.637931,5.648936", \ - "5.475593,5.475455,5.478752,5.458927,5.551857,5.503575,5.631591", \ - "5.992775,5.971416,5.948477,5.869720,5.850177,5.736698,5.657924", \ - "7.096524,7.057373,6.996868,6.911909,6.780700,6.535384,6.181113", \ - "9.086307,9.018306,8.896976,8.713038,8.399386,8.064848,7.484617", \ - "11.798310,11.723420,11.639760,11.348820,10.878630,10.290960,9.417046"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.294394,1.305933,1.306901,1.315692,1.335923,1.354537,1.366153", \ - "1.234360,1.232594,1.245923,1.253130,1.272036,1.295257,1.310624", \ - "1.343652,1.342330,1.332599,1.332509,1.322242,1.322126,1.320664", \ - "2.064066,2.020721,1.942373,1.861336,1.757502,1.646485,1.539502", \ - "3.604258,3.541881,3.434547,3.222528,2.893935,2.529031,2.183098", \ - "5.817158,5.765223,5.644595,5.424748,4.972175,4.276719,3.501877", \ - "8.587928,8.566891,8.500221,8.326976,7.893178,7.020177,5.739113"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.667017,4.703195,4.704605,4.739009,4.701982,4.682661,4.753639", \ - "4.620662,4.625405,4.634579,4.694493,4.735312,4.664318,4.771212", \ - "4.601766,4.592610,4.590356,4.625109,4.597079,4.686919,4.710989", \ - "5.037044,5.077487,5.069073,5.018513,4.907753,4.888458,4.682946", \ - "6.117690,6.071167,6.062508,5.905711,5.892295,5.614827,5.422898", \ - "8.069896,8.067070,7.951058,7.781852,7.406538,7.148204,6.478875", \ - "10.746680,10.690300,10.616680,10.385090,10.040080,9.324693,8.472630"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.280925,1.292925,1.305928,1.318903,1.332167,1.344765,1.362897", \ - "1.217260,1.231871,1.229495,1.246134,1.272871,1.291300,1.308548", \ - "1.359566,1.344109,1.346969,1.334318,1.326831,1.324654,1.328026", \ - "2.169450,2.116381,2.046783,1.921672,1.813533,1.685591,1.565667", \ - "3.770325,3.729101,3.614639,3.418448,3.082603,2.649692,2.274290", \ - "6.043570,6.004435,5.907384,5.697537,5.290900,4.581570,3.712604", \ - "8.894806,8.890088,8.807103,8.700168,8.316322,7.498440,6.180447"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.663594,4.690887,4.692410,4.661928,4.700653,4.727867,4.745187", \ - "4.565252,4.606573,4.615353,4.613741,4.715549,4.733706,4.753508", \ - "4.582005,4.577738,4.573859,4.587980,4.622355,4.663820,4.649769", \ - "5.065270,5.091048,5.073596,5.036653,4.951454,4.844954,4.867440", \ - "6.276417,6.229380,6.170976,6.080846,5.991754,5.732973,5.479577", \ - "8.392455,8.326576,8.203991,8.039616,7.691000,7.273754,6.789912", \ - "11.202960,11.192700,11.063690,10.846450,10.431350,9.597743,8.718395"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.006586,2.000305,2.018565,2.039600,2.064183,2.100748,2.132326", \ - "1.938899,1.942860,1.961296,1.973459,2.011783,2.044972,2.079892", \ - "2.045953,2.030443,2.047059,2.053024,2.058271,2.071747,2.090464", \ - "2.707157,2.675963,2.614219,2.555890,2.493360,2.402857,2.318325", \ - "4.227721,4.163125,4.080162,3.919157,3.645178,3.315613,3.002265", \ - "6.444303,6.430251,6.313924,6.134840,5.756673,5.134818,4.383688", \ - "9.301970,9.311633,9.249895,9.119775,8.737109,7.979965,6.765909"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.516385,5.517514,5.580108,5.599735,5.581943,5.590530,5.558867", \ - "5.429569,5.488923,5.503104,5.541969,5.522771,5.620097,5.622286", \ - "5.460868,5.460137,5.461522,5.478408,5.422366,5.488694,5.493488", \ - "6.016111,5.992844,5.957280,5.921925,5.863747,5.722515,5.647978", \ - "7.193557,7.151189,7.085760,7.045065,6.880952,6.593279,6.228679", \ - "9.342001,9.271242,9.143021,8.888578,8.511819,8.187560,7.599276", \ - "12.245180,12.166530,12.019640,11.802040,11.353100,10.544400,9.586621"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.280925,1.292925,1.305928,1.318903,1.332167,1.344765,1.362897", \ - "1.217260,1.231871,1.229495,1.246134,1.272871,1.291300,1.308548", \ - "1.359566,1.344109,1.346969,1.334318,1.326831,1.324654,1.328026", \ - "2.169450,2.116381,2.046783,1.921672,1.813533,1.685591,1.565667", \ - "3.770325,3.729101,3.614639,3.418448,3.082603,2.649692,2.274290", \ - "6.043570,6.004435,5.907384,5.697537,5.290900,4.581570,3.712604", \ - "8.894806,8.890088,8.807103,8.700168,8.316322,7.498440,6.180447"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.663594,4.690887,4.692410,4.661928,4.700653,4.727867,4.745187", \ - "4.565252,4.606573,4.615353,4.613741,4.715549,4.733706,4.753508", \ - "4.582005,4.577738,4.573859,4.587980,4.622355,4.663820,4.649769", \ - "5.065270,5.091048,5.073596,5.036653,4.951454,4.844954,4.867440", \ - "6.276417,6.229380,6.170976,6.080846,5.991754,5.732973,5.479577", \ - "8.392455,8.326576,8.203991,8.039616,7.691000,7.273754,6.789912", \ - "11.202960,11.192700,11.063690,10.846450,10.431350,9.597743,8.718395"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.269372,1.279126,1.290775,1.294836,1.319208,1.341084,1.357424", \ - "1.205981,1.213593,1.218994,1.243981,1.256463,1.285984,1.302715", \ - "1.352748,1.336725,1.343667,1.334551,1.330262,1.321052,1.323684", \ - "2.266159,2.217210,2.144334,2.012250,1.860143,1.726069,1.593979", \ - "3.943472,3.880502,3.782228,3.607623,3.273293,2.801438,2.380502", \ - "6.223088,6.180598,6.126688,5.982104,5.604151,4.915680,3.980460", \ - "9.123486,9.100530,9.120759,9.031236,8.735019,7.995240,6.697164"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.661746,4.688438,4.690037,4.716768,4.709626,4.674055,4.625833", \ - "4.551033,4.591767,4.600384,4.630168,4.691537,4.662248,4.602123", \ - "4.565522,4.560276,4.554754,4.577960,4.543397,4.641753,4.685115", \ - "5.125563,5.132396,5.093942,5.055885,4.952348,4.892766,4.842336", \ - "6.393311,6.341756,6.257082,6.218257,6.099444,5.764453,5.454749", \ - "8.689286,8.618697,8.487620,8.261040,7.938914,7.602641,6.948509", \ - "11.774250,11.698060,11.617740,11.315040,10.888250,10.079490,9.252662"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.169281,2.177935,2.186631,2.211450,2.253797,2.283038,2.327973", \ - "2.156466,2.159409,2.176578,2.207945,2.260741,2.300837,2.352509", \ - "2.081221,2.074867,2.114460,2.148018,2.197232,2.263996,2.330880", \ - "2.298122,2.288375,2.297060,2.298602,2.308998,2.330291,2.369603", \ - "3.302358,3.268574,3.200663,3.089259,2.925408,2.789160,2.694281", \ - "4.994836,4.954291,4.880301,4.721765,4.405955,3.946231,3.511406", \ - "7.173240,7.186691,7.115141,6.977697,6.692535,6.026492,5.091057"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("7.380871,7.361199,7.396073,7.402399,7.324116,7.363048,7.240084", \ - "7.314577,7.315568,7.319947,7.344196,7.393656,7.385453,7.371825", \ - "7.481591,7.479720,7.467105,7.476631,7.454838,7.414154,7.291071", \ - "8.234173,8.247145,8.157708,8.135708,8.052551,7.865055,7.737492", \ - "9.856899,9.805065,9.727890,9.528333,9.355313,8.998097,8.588424", \ - "12.080500,12.015720,11.956370,11.812930,11.458950,11.042050,10.251340", \ - "15.258030,15.226810,15.126560,14.869830,14.535840,13.947440,12.719380"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.436803,1.450233,1.459996,1.474468,1.509428,1.524576,1.552794", \ - "1.395128,1.418902,1.428971,1.459771,1.503442,1.547480,1.573874", \ - "1.311031,1.324622,1.349392,1.381294,1.437471,1.490202,1.548443", \ - "1.639836,1.617913,1.589360,1.575035,1.560676,1.573107,1.594931", \ - "2.783761,2.720561,2.643875,2.492576,2.273050,2.075647,1.930779", \ - "4.505575,4.466641,4.381114,4.223903,3.867417,3.317513,2.788603", \ - "6.719124,6.710846,6.647037,6.521985,6.213169,5.491177,4.445514"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.434547,6.489275,6.492477,6.518371,6.496219,6.499530,6.498101", \ - "6.398399,6.387623,6.450592,6.476699,6.469920,6.553823,6.590548", \ - "6.556783,6.549958,6.542161,6.578927,6.570968,6.527818,6.402964", \ - "7.375183,7.348514,7.313655,7.254164,7.047427,6.943589,6.748404", \ - "8.832788,8.831013,8.796699,8.698811,8.474853,8.020085,7.621543", \ - "11.131670,11.088570,10.966430,10.872210,10.536990,10.151750,9.301913", \ - "14.311950,14.232310,14.151160,13.953430,13.625200,12.980210,12.082740"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.434691,1.436594,1.455918,1.476306,1.502925,1.526385,1.545416", \ - "1.385831,1.408053,1.427058,1.461496,1.497760,1.541291,1.579198", \ - "1.324779,1.329437,1.359067,1.389956,1.438755,1.497667,1.546958", \ - "1.745544,1.726724,1.686795,1.626461,1.615563,1.608411,1.622914", \ - "2.957130,2.896448,2.826771,2.685111,2.457215,2.187609,2.015044", \ - "4.682791,4.660744,4.600895,4.467569,4.171004,3.628389,2.984031", \ - "6.822434,6.804304,6.771013,6.718702,6.520912,5.938612,4.882138"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.424167,6.423969,6.473187,6.461222,6.452938,6.394152,6.480823", \ - "6.352203,6.350302,6.355113,6.405433,6.435155,6.409122,6.530308", \ - "6.547250,6.538764,6.528562,6.534541,6.504649,6.571229,6.572073", \ - "7.422912,7.394387,7.362325,7.263263,7.109053,7.085462,6.733771", \ - "9.053409,9.014756,8.944200,8.870743,8.629485,8.168556,7.734463", \ - "11.440750,11.412090,11.344640,11.167890,10.820890,10.346770,9.522580", \ - "14.844260,14.761420,14.659990,14.484740,14.120050,13.435530,12.558450"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.436803,1.450233,1.459996,1.474468,1.509428,1.524576,1.552794", \ - "1.395128,1.418902,1.428971,1.459771,1.503442,1.547480,1.573874", \ - "1.311031,1.324622,1.349392,1.381294,1.437471,1.490202,1.548443", \ - "1.639836,1.617913,1.589360,1.575035,1.560676,1.573107,1.594931", \ - "2.783761,2.720561,2.643875,2.492576,2.273050,2.075647,1.930779", \ - "4.505575,4.466641,4.381114,4.223903,3.867417,3.317513,2.788603", \ - "6.719124,6.710846,6.647037,6.521985,6.213169,5.491177,4.445514"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.434547,6.489275,6.492477,6.518371,6.496219,6.499530,6.498101", \ - "6.398399,6.387623,6.450592,6.476699,6.469920,6.553823,6.590548", \ - "6.556783,6.549958,6.542161,6.578927,6.570968,6.527818,6.402964", \ - "7.375183,7.348514,7.313655,7.254164,7.047427,6.943589,6.748404", \ - "8.832788,8.831013,8.796699,8.698811,8.474853,8.020085,7.621543", \ - "11.131670,11.088570,10.966430,10.872210,10.536990,10.151750,9.301913", \ - "14.311950,14.232310,14.151160,13.953430,13.625200,12.980210,12.082740"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.726577,0.734219,0.738794,0.754367,0.766069,0.771944,0.779576", \ - "0.652427,0.668741,0.689111,0.720461,0.754379,0.784355,0.801688", \ - "0.555836,0.564006,0.591517,0.622222,0.671840,0.724001,0.767532", \ - "1.032642,0.997595,0.931672,0.867269,0.825280,0.817670,0.819377", \ - "2.291414,2.239244,2.140123,1.941840,1.647839,1.356093,1.177857", \ - "4.064227,4.001513,3.946614,3.745799,3.349771,2.708988,2.076268", \ - "6.312375,6.258156,6.213795,6.052709,5.714023,4.972497,3.805985"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.533295,5.572915,5.575596,5.607381,5.628071,5.635740,5.647591", \ - "5.469004,5.480103,5.534449,5.566458,5.578217,5.665889,5.631300", \ - "5.637562,5.644745,5.636321,5.673234,5.682090,5.710424,5.698427", \ - "6.472307,6.418891,6.408968,6.350307,6.245611,6.031582,5.986833", \ - "7.853172,7.806989,7.795747,7.715815,7.580817,7.231197,6.889077", \ - "10.128840,10.068290,9.995726,9.857841,9.670768,9.291368,8.601037", \ - "13.193820,13.193580,13.141080,13.005180,12.685970,12.110930,11.086890"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.721573,0.739480,0.737683,0.755112,0.767151,0.777430,0.782193", \ - "0.645892,0.669255,0.688768,0.727057,0.756586,0.785392,0.810693", \ - "0.570933,0.587421,0.609789,0.642592,0.686824,0.731457,0.775322", \ - "1.167077,1.120276,1.059016,0.964980,0.889515,0.862981,0.857140", \ - "2.444858,2.412259,2.316720,2.145810,1.854230,1.492340,1.275094", \ - "4.166055,4.134479,4.085930,3.964918,3.638044,3.035581,2.289292", \ - "6.357282,6.381950,6.329296,6.225272,5.979403,5.399999,4.267684"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.491935,5.554038,5.556835,5.599323,5.602767,5.619830,5.468548", \ - "5.427551,5.435418,5.498211,5.522359,5.548484,5.576973,5.539748", \ - "5.628596,5.630543,5.618025,5.653622,5.588485,5.582402,5.674394", \ - "6.525885,6.477820,6.468952,6.424657,6.244283,6.064837,5.994139", \ - "8.002563,8.017720,8.005463,7.915239,7.686545,7.385282,7.038283", \ - "10.462740,10.416140,10.374520,10.170750,10.000900,9.553955,8.836595", \ - "13.781600,13.756930,13.662450,13.528730,13.197460,12.582730,11.666560"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.441721,1.454257,1.468089,1.486878,1.499401,1.523734,1.544505", \ - "1.407852,1.418579,1.431199,1.456321,1.504106,1.539730,1.575226", \ - "1.330553,1.339987,1.363460,1.393988,1.431042,1.496539,1.547476", \ - "1.673515,1.655797,1.623438,1.592558,1.588617,1.591524,1.602940", \ - "2.837246,2.797261,2.714289,2.561940,2.331838,2.113501,1.969572", \ - "4.603135,4.564940,4.489152,4.313324,3.968564,3.412369,2.854954", \ - "6.873042,6.827739,6.756503,6.637228,6.330027,5.625785,4.581815"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.903483,6.902383,6.943964,6.939369,6.991977,6.943764,6.981785", \ - "6.860603,6.861929,6.867371,6.871438,6.896369,6.933570,6.896739", \ - "7.033916,7.028598,7.022112,6.970544,7.013649,7.027271,7.006818", \ - "7.793621,7.815136,7.780420,7.710566,7.559204,7.456225,7.355073", \ - "9.320204,9.321552,9.298375,9.165211,8.977373,8.618276,8.349814", \ - "11.624730,11.583290,11.515900,11.356190,11.039600,10.562990,10.087990", \ - "14.835250,14.808310,14.721690,14.470920,14.065470,13.612920,12.599910"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.725531,0.723096,0.745401,0.759579,0.761446,0.777113,0.779872", \ - "0.654237,0.657988,0.694252,0.727348,0.759463,0.787976,0.808476", \ - "0.568655,0.573801,0.595525,0.631791,0.682836,0.731392,0.780207", \ - "1.073994,1.036070,0.975139,0.896937,0.859924,0.837342,0.838179", \ - "2.351262,2.308627,2.200859,2.010634,1.716803,1.408037,1.222429", \ - "4.143794,4.141138,4.040292,3.839250,3.456803,2.815901,2.152168", \ - "6.449896,6.399508,6.312726,6.175195,5.852905,5.124254,3.955570"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.985429,5.994628,6.031792,6.069090,6.072876,6.023588,6.135699", \ - "5.943381,5.943934,5.968472,6.029772,6.011907,6.039802,6.166583", \ - "6.119896,6.130014,6.106218,6.111447,6.140852,6.056276,6.072110", \ - "6.926863,6.914466,6.877632,6.795414,6.696043,6.597961,6.454327", \ - "8.337278,8.293914,8.292669,8.282435,8.073764,7.725613,7.453247", \ - "10.632160,10.601860,10.555880,10.383280,10.105660,9.715843,9.188953", \ - "13.779440,13.777780,13.724610,13.549700,13.279510,12.656260,11.843380"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.734159,0.735995,0.738893,0.749444,0.768660,0.773807,0.781913", \ - "0.659987,0.672906,0.686862,0.722767,0.761312,0.790695,0.807221", \ - "0.597507,0.605970,0.626256,0.651622,0.690121,0.742078,0.786501", \ - "1.213273,1.169564,1.114533,1.015491,0.924863,0.887646,0.874865", \ - "2.515867,2.463115,2.381080,2.229391,1.938515,1.570300,1.319525", \ - "4.285802,4.273410,4.209094,4.039522,3.755187,3.153054,2.398316", \ - "6.511395,6.480204,6.441341,6.332413,6.114341,5.560616,4.437316"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.966477,5.964522,5.997252,6.033547,6.023008,5.999536,6.119122", \ - "5.905662,5.906434,5.931236,5.926334,6.018375,6.082222,6.088790", \ - "6.111474,6.115284,6.104095,6.075792,6.123919,6.112627,6.046241", \ - "6.995275,6.974579,6.943651,6.890199,6.712183,6.577125,6.464260", \ - "8.533154,8.513844,8.511568,8.444152,8.260058,7.867270,7.462328", \ - "10.963860,10.951690,10.885290,10.725050,10.531160,10.088380,9.487085", \ - "14.357930,14.320200,14.264910,14.101020,13.808710,13.237620,12.353560"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.753481,2.741930,2.774148,2.795314,2.823446,2.861371,2.924102", \ - "2.684912,2.682047,2.707580,2.723996,2.769571,2.812051,2.855428", \ - "2.620180,2.637528,2.650344,2.673492,2.716362,2.761876,2.832757", \ - "2.832923,2.838978,2.835296,2.844486,2.853410,2.871274,2.885416", \ - "3.771244,3.746828,3.687416,3.608296,3.473972,3.358951,3.244758", \ - "5.494277,5.455185,5.383277,5.224853,4.972088,4.561564,4.137067", \ - "7.909524,7.876948,7.797486,7.654211,7.316527,6.708522,5.817778"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("8.419072,8.412323,8.405104,8.440527,8.457190,8.431066,8.384375", \ - "8.358694,8.355156,8.390751,8.406456,8.417878,8.471311,8.422444", \ - "8.268173,8.267018,8.324426,8.283437,8.353929,8.432782,8.455191", \ - "8.705123,8.690488,8.668379,8.615993,8.647080,8.550904,8.439370", \ - "9.914773,9.891921,9.850039,9.723266,9.534562,9.357299,9.169663", \ - "11.750210,11.699670,11.610360,11.508770,11.308500,10.881470,10.317830", \ - "14.518240,14.453010,14.332690,14.133720,13.807490,13.153820,12.273450"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.024355,2.015439,2.041016,2.046733,2.076907,2.103759,2.144888", \ - "1.951702,1.943320,1.971482,1.985117,2.021698,2.047707,2.084367", \ - "1.892032,1.898586,1.916853,1.938385,1.967088,2.001378,2.048468", \ - "2.135822,2.133495,2.123907,2.129387,2.122215,2.118616,2.117822", \ - "3.209134,3.165662,3.106070,2.989654,2.804485,2.646956,2.494018", \ - "5.007476,4.977286,4.887112,4.724963,4.401787,3.926398,3.428736", \ - "7.432529,7.388803,7.340703,7.182678,6.822020,6.150598,5.176336"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("7.525599,7.524759,7.526068,7.532409,7.572283,7.507855,7.385510", \ - "7.457716,7.459319,7.464650,7.495548,7.460031,7.508987,7.420172", \ - "7.393732,7.394369,7.398485,7.419925,7.391296,7.439506,7.485291", \ - "7.833902,7.821086,7.801621,7.784399,7.701712,7.584078,7.528467", \ - "8.914351,8.893829,8.866682,8.837897,8.647652,8.355813,8.248716", \ - "10.789000,10.769080,10.680500,10.540760,10.282240,10.012730,9.306355", \ - "13.493220,13.480310,13.368000,13.196790,12.884070,12.371430,11.536590"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.997832,2.007590,2.025144,2.040935,2.067764,2.103147,2.129013", \ - "1.907589,1.935312,1.949473,1.972370,2.002830,2.036293,2.073166", \ - "1.880368,1.874231,1.901846,1.925160,1.952467,1.999920,2.040621", \ - "2.207694,2.198692,2.170532,2.152062,2.147173,2.140951,2.140021", \ - "3.381678,3.333093,3.286495,3.155366,2.974383,2.746240,2.566068", \ - "5.260929,5.202126,5.144271,5.002071,4.704323,4.210499,3.619411", \ - "7.669537,7.647051,7.609819,7.506269,7.208064,6.609446,5.610322"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("7.506027,7.505404,7.506891,7.514318,7.564850,7.546897,7.411088", \ - "7.423591,7.425068,7.430482,7.450651,7.427874,7.547922,7.374192", \ - "7.369734,7.369532,7.372191,7.415565,7.424777,7.384453,7.408605", \ - "7.855813,7.847469,7.821888,7.763950,7.714364,7.598134,7.457610", \ - "9.025790,9.002000,8.969639,8.942256,8.746698,8.584705,8.330548", \ - "11.052660,11.063020,10.979020,10.816000,10.553560,10.187120,9.696301", \ - "13.987150,13.975210,13.884900,13.699660,13.320380,12.663200,11.916100"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.024355,2.015439,2.041016,2.046733,2.076907,2.103759,2.144888", \ - "1.951702,1.943320,1.971482,1.985117,2.021698,2.047707,2.084367", \ - "1.892032,1.898586,1.916853,1.938385,1.967088,2.001378,2.048468", \ - "2.135822,2.133495,2.123907,2.129387,2.122215,2.118616,2.117822", \ - "3.209134,3.165662,3.106070,2.989654,2.804485,2.646956,2.494018", \ - "5.007476,4.977286,4.887112,4.724963,4.401787,3.926398,3.428736", \ - "7.432529,7.388803,7.340703,7.182678,6.822020,6.150598,5.176336"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("7.525599,7.524759,7.526068,7.532409,7.572283,7.507855,7.385510", \ - "7.457716,7.459319,7.464650,7.495548,7.460031,7.508987,7.420172", \ - "7.393732,7.394369,7.398485,7.419925,7.391296,7.439506,7.485291", \ - "7.833902,7.821086,7.801621,7.784399,7.701712,7.584078,7.528467", \ - "8.914351,8.893829,8.866682,8.837897,8.647652,8.355813,8.248716", \ - "10.789000,10.769080,10.680500,10.540760,10.282240,10.012730,9.306355", \ - "13.493220,13.480310,13.368000,13.196790,12.884070,12.371430,11.536590"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.303652,1.299784,1.314317,1.324265,1.334121,1.347726,1.371676", \ - "1.224322,1.214279,1.240109,1.252053,1.266093,1.290084,1.310301", \ - "1.148587,1.162566,1.168042,1.194537,1.214442,1.247534,1.275001", \ - "1.494649,1.472871,1.433957,1.414686,1.386915,1.367983,1.347989", \ - "2.692888,2.647094,2.562158,2.407728,2.169296,1.932620,1.746059", \ - "4.591699,4.536008,4.436910,4.219811,3.868707,3.307759,2.712942", \ - "7.015372,6.990363,6.909309,6.729650,6.359955,5.615094,4.534197"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.608086,6.606948,6.607727,6.665726,6.608387,6.719510,6.748047", \ - "6.538111,6.562568,6.544181,6.591577,6.656789,6.661740,6.686138", \ - "6.474281,6.477544,6.477867,6.551448,6.565497,6.655042,6.672031", \ - "6.912772,6.901427,6.903039,6.871312,6.825738,6.840212,6.802605", \ - "7.876569,7.850069,7.883069,7.885053,7.736247,7.473335,7.388908", \ - "9.777887,9.729375,9.731206,9.601733,9.418813,9.121801,8.638838", \ - "12.428560,12.374360,12.330530,12.243140,11.850620,11.337780,10.641900"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.279357,1.283706,1.305140,1.316047,1.326049,1.345096,1.365430", \ - "1.199722,1.218519,1.216191,1.244860,1.265755,1.283823,1.311785", \ - "1.148724,1.165435,1.174914,1.194745,1.218679,1.248991,1.271479", \ - "1.599218,1.562241,1.522729,1.463663,1.433011,1.400206,1.374081", \ - "2.859326,2.825517,2.738070,2.593845,2.355726,2.040240,1.827841", \ - "4.761548,4.747973,4.665193,4.502331,4.160974,3.613510,2.917024", \ - "7.190553,7.217004,7.161515,7.030699,6.710595,6.075658,4.986396"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.589009,6.588085,6.589178,6.584526,6.618252,6.642711,6.730697", \ - "6.505077,6.512523,6.511162,6.579817,6.615062,6.639788,6.692146", \ - "6.463463,6.450532,6.459644,6.498642,6.519884,6.608635,6.614610", \ - "6.934313,6.921191,6.921475,6.900179,6.854103,6.794411,6.787236", \ - "8.051049,8.024109,7.984233,7.994135,7.902853,7.693940,7.396776", \ - "10.066640,10.073220,9.980977,9.863388,9.699609,9.306273,8.819726", \ - "12.944320,12.870780,12.870330,12.697720,12.415510,11.829820,10.904780"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.012176,2.032859,2.029522,2.057574,2.076418,2.098728,2.130784", \ - "1.944666,1.952268,1.957696,1.980598,2.005500,2.044749,2.081024", \ - "1.887433,1.905203,1.908771,1.922383,1.957287,1.995977,2.044422", \ - "2.169684,2.158651,2.156406,2.146537,2.132942,2.125938,2.122003", \ - "3.282545,3.242192,3.168342,3.044530,2.866431,2.683295,2.527944", \ - "5.130368,5.086273,5.001442,4.813350,4.506168,4.024384,3.490143", \ - "7.614008,7.576183,7.487552,7.320099,6.974432,6.292817,5.315120"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("7.971907,7.942441,7.938837,7.956604,7.950117,7.967194,8.075794", \ - "7.890272,7.931882,7.938492,7.906584,7.958319,7.947588,7.965104", \ - "7.837878,7.863084,7.867829,7.886299,7.902111,7.897745,7.922016", \ - "8.249229,8.236670,8.216990,8.217555,8.176931,8.173743,8.141302", \ - "9.335475,9.381234,9.361515,9.317851,9.180549,8.860700,8.599922", \ - "11.244410,11.252570,11.169920,11.049560,10.840310,10.506720,10.082480", \ - "13.990220,13.984450,13.875140,13.713450,13.373810,12.928340,12.216320"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.302964,1.309142,1.317167,1.326450,1.339280,1.352212,1.363389", \ - "1.220325,1.222636,1.231702,1.253796,1.262809,1.284369,1.306879", \ - "1.158195,1.158930,1.175807,1.199212,1.222700,1.250244,1.276626", \ - "1.534665,1.510502,1.468496,1.436193,1.406040,1.381051,1.355102", \ - "2.764853,2.721408,2.628984,2.478373,2.241870,1.982323,1.779396", \ - "4.704664,4.653260,4.545921,4.343873,3.973560,3.411497,2.790131", \ - "7.197219,7.142972,7.051671,6.900691,6.508676,5.764895,4.687974"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("7.022529,7.019200,7.083788,7.095351,7.090611,7.180406,7.065481", \ - "6.975957,7.016710,7.035592,7.038739,7.092314,7.114768,7.186603", \ - "6.896291,6.947879,6.958638,7.004237,6.979958,6.973782,7.150464", \ - "7.359543,7.319605,7.362910,7.344357,7.269741,7.232349,7.129828", \ - "8.360884,8.357182,8.376993,8.352129,8.287814,8.084969,7.893855", \ - "10.263010,10.215230,10.191500,10.098670,9.935662,9.663613,9.075666", \ - "12.940510,12.944800,12.905570,12.784200,12.408820,12.009900,11.226350"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.286349,1.286057,1.287934,1.309254,1.328063,1.341077,1.356876", \ - "1.204507,1.206303,1.210402,1.241479,1.262381,1.281719,1.307245", \ - "1.153611,1.151641,1.167758,1.187467,1.219445,1.248513,1.275422", \ - "1.639496,1.617438,1.562690,1.499573,1.452318,1.411870,1.377802", \ - "2.947356,2.888080,2.822880,2.665188,2.431449,2.107062,1.866045", \ - "4.897929,4.873968,4.772856,4.614496,4.289097,3.725985,3.017827", \ - "7.390901,7.394093,7.320522,7.198723,6.862086,6.245329,5.160370"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("7.003567,7.054937,7.064788,7.049049,7.082356,7.022322,7.173159", \ - "6.925822,6.982530,6.997245,7.024700,7.063035,6.995854,7.145129", \ - "6.860892,6.922272,6.937584,6.960292,6.982760,6.992484,7.113425", \ - "7.390582,7.401108,7.380998,7.308139,7.296318,7.229416,7.112703", \ - "8.479466,8.516368,8.483727,8.464608,8.326450,8.181739,7.938751", \ - "10.557020,10.566290,10.479500,10.389860,10.098870,9.789923,9.377157", \ - "13.445540,13.447560,13.402840,13.246750,12.946540,12.444110,11.708000"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.175505,2.180735,2.189159,2.223345,2.253614,2.290502,2.322057", \ - "2.148575,2.166070,2.179440,2.215023,2.252212,2.308694,2.352218", \ - "2.042100,2.051567,2.078665,2.101836,2.176670,2.242929,2.315343", \ - "2.166728,2.170628,2.170677,2.187286,2.217794,2.263148,2.327147", \ - "3.167937,3.146087,3.083158,2.980990,2.827110,2.693909,2.618611", \ - "4.845690,4.831090,4.763951,4.669069,4.404644,3.959183,3.484581", \ - "6.998475,6.985001,6.972040,6.921049,6.755043,6.227488,5.274311"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("8.998168,8.998857,9.060669,9.076974,9.086684,9.126606,9.151339", \ - "8.930295,8.932464,8.939797,9.008876,9.017624,8.943051,9.177569", \ - "9.079814,9.075926,9.074302,9.079852,9.117776,8.976411,9.023519", \ - "9.881338,9.860353,9.826588,9.767194,9.615933,9.424415,9.441229", \ - "11.492040,11.451270,11.382410,11.223210,11.031790,10.732930,10.420160", \ - "13.908530,13.850990,13.809650,13.669010,13.377640,12.812800,12.186550", \ - "17.317170,17.238930,17.151330,16.968080,16.548010,15.972410,14.910050"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.436417,1.451845,1.461828,1.483525,1.508409,1.527183,1.552265", \ - "1.396948,1.416542,1.435715,1.466797,1.509097,1.543020,1.581334", \ - "1.269829,1.273855,1.294260,1.348927,1.401300,1.477669,1.539967", \ - "1.493516,1.481913,1.457413,1.454168,1.464345,1.500458,1.548408", \ - "2.586426,2.562275,2.490511,2.375224,2.167039,1.960737,1.855160", \ - "4.296036,4.278855,4.234620,4.097091,3.830517,3.327382,2.761204", \ - "6.484499,6.470993,6.452739,6.400390,6.205124,5.678027,4.634415"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("8.095287,8.110859,8.108919,8.114753,8.196692,8.231781,8.293093", \ - "8.005820,8.032342,8.045304,8.027121,8.080028,8.183016,8.235678", \ - "8.138157,8.151489,8.120547,8.163944,8.173489,8.220285,8.254804", \ - "8.960631,8.917326,8.891716,8.813014,8.782702,8.658043,8.567732", \ - "10.531910,10.566520,10.447880,10.376660,10.156960,9.726312,9.510515", \ - "12.944500,12.884330,12.839030,12.667020,12.470530,11.921310,11.303790", \ - "16.294530,16.268510,16.180290,16.008780,15.632660,15.084760,13.970390"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.424941,1.425985,1.455119,1.477325,1.501282,1.524547,1.545351", \ - "1.383071,1.411691,1.419400,1.458294,1.500835,1.540567,1.578192", \ - "1.250286,1.280293,1.306302,1.349682,1.402966,1.469123,1.539474", \ - "1.532773,1.517594,1.490378,1.460333,1.476781,1.507548,1.547227", \ - "2.639346,2.592600,2.542749,2.417154,2.225698,1.994647,1.878651", \ - "4.303743,4.296394,4.236931,4.106581,3.884288,3.413322,2.813464", \ - "6.503558,6.469513,6.431517,6.379450,6.207222,5.738279,4.778232"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("8.428785,8.432747,8.443115,8.493063,8.517356,8.583783,8.622910", \ - "8.361969,8.367516,8.380634,8.451299,8.478776,8.517802,8.566313", \ - "8.465369,8.521756,8.524016,8.538787,8.537541,8.598877,8.604157", \ - "9.296678,9.277344,9.248527,9.221728,9.129897,9.054027,8.987569", \ - "10.986340,10.981940,10.900280,10.796170,10.594500,10.318920,9.921251", \ - "13.350760,13.350350,13.280240,13.157220,12.916180,12.476350,11.707170", \ - "16.785530,16.763160,16.682890,16.477390,16.196000,15.629990,14.552450"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.436417,1.451845,1.461828,1.483525,1.508409,1.527183,1.552265", \ - "1.396948,1.416542,1.435715,1.466797,1.509097,1.543020,1.581334", \ - "1.269829,1.273855,1.294260,1.348927,1.401300,1.477669,1.539967", \ - "1.493516,1.481913,1.457413,1.454168,1.464345,1.500458,1.548408", \ - "2.586426,2.562275,2.490511,2.375224,2.167039,1.960737,1.855160", \ - "4.296036,4.278855,4.234620,4.097091,3.830517,3.327382,2.761204", \ - "6.484499,6.470993,6.452739,6.400390,6.205124,5.678027,4.634415"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("8.095287,8.110859,8.108919,8.114753,8.196692,8.231781,8.293093", \ - "8.005820,8.032342,8.045304,8.027121,8.080028,8.183016,8.235678", \ - "8.138157,8.151489,8.120547,8.163944,8.173489,8.220285,8.254804", \ - "8.960631,8.917326,8.891716,8.813014,8.782702,8.658043,8.567732", \ - "10.531910,10.566520,10.447880,10.376660,10.156960,9.726312,9.510515", \ - "12.944500,12.884330,12.839030,12.667020,12.470530,11.921310,11.303790", \ - "16.294530,16.268510,16.180290,16.008780,15.632660,15.084760,13.970390"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.726052,0.734840,0.742699,0.757092,0.765818,0.773500,0.773648", \ - "0.653149,0.669184,0.687414,0.725431,0.756768,0.783854,0.807032", \ - "0.491204,0.503901,0.534936,0.583650,0.635765,0.703862,0.756539", \ - "0.855370,0.833455,0.785879,0.725864,0.719160,0.730212,0.768573", \ - "2.058751,2.000008,1.920718,1.789912,1.529447,1.242632,1.098591", \ - "3.778496,3.755640,3.687452,3.579542,3.279771,2.717755,2.046114", \ - "6.020976,6.006719,5.980500,5.873257,5.628466,5.127043,4.007283"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("7.124041,7.132342,7.169091,7.171410,7.288215,7.347395,7.281102", \ - "7.057956,7.078671,7.093818,7.131798,7.224189,7.309453,7.233942", \ - "7.150061,7.185248,7.226045,7.225339,7.243181,7.353148,7.231600", \ - "7.976630,7.952550,7.971667,7.908801,7.803134,7.756238,7.690430", \ - "9.485441,9.519036,9.469393,9.458510,9.241219,8.990387,8.572284", \ - "11.877820,11.873470,11.828920,11.664480,11.463150,11.077570,10.307290", \ - "15.261980,15.240840,15.168210,15.034790,14.637230,14.149200,12.970380"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.726609,0.732385,0.737586,0.755931,0.765757,0.772009,0.779568", \ - "0.650381,0.667845,0.690748,0.716771,0.756029,0.787860,0.810810", \ - "0.500871,0.516093,0.539457,0.588800,0.641857,0.711298,0.760236", \ - "0.898154,0.870085,0.824598,0.759866,0.735946,0.746180,0.777773", \ - "2.060044,2.048401,1.975729,1.828064,1.594662,1.287396,1.126350", \ - "3.764943,3.752219,3.682056,3.575683,3.335318,2.804858,2.114530", \ - "6.023390,5.991674,5.923093,5.837763,5.636804,5.183861,4.154925"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("7.452615,7.471974,7.477556,7.576236,7.601505,7.632296,7.770765", \ - "7.388233,7.425797,7.416764,7.441482,7.582642,7.612755,7.603836", \ - "7.551783,7.555136,7.579802,7.611243,7.574713,7.622102,7.616473", \ - "8.391614,8.379893,8.356828,8.321469,8.226725,8.175277,7.984867", \ - "9.931825,9.902753,9.937942,9.857709,9.633130,9.460358,8.957465", \ - "12.344230,12.334000,12.291320,12.129720,11.969190,11.613440,10.809420", \ - "15.752910,15.734840,15.654920,15.508690,15.179120,14.719120,13.757410"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.424941,1.425985,1.455119,1.477325,1.501282,1.524547,1.545351", \ - "1.383071,1.411691,1.419400,1.458294,1.500835,1.540567,1.578192", \ - "1.250286,1.280293,1.306302,1.349682,1.402966,1.469123,1.539474", \ - "1.532773,1.517594,1.490378,1.460333,1.476781,1.507548,1.547227", \ - "2.639346,2.592600,2.542749,2.417154,2.225698,1.994647,1.878651", \ - "4.303743,4.296394,4.236931,4.106581,3.884288,3.413322,2.813464", \ - "6.503558,6.469513,6.431517,6.379450,6.207222,5.738279,4.778232"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("8.428785,8.432747,8.443115,8.493063,8.517356,8.583783,8.622910", \ - "8.361969,8.367516,8.380634,8.451299,8.478776,8.517802,8.566313", \ - "8.465369,8.521756,8.524016,8.538787,8.537541,8.598877,8.604157", \ - "9.296678,9.277344,9.248527,9.221728,9.129897,9.054027,8.987569", \ - "10.986340,10.981940,10.900280,10.796170,10.594500,10.318920,9.921251", \ - "13.350760,13.350350,13.280240,13.157220,12.916180,12.476350,11.707170", \ - "16.785530,16.763160,16.682890,16.477390,16.196000,15.629990,14.552450"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.726609,0.732385,0.737586,0.755931,0.765757,0.772009,0.779568", \ - "0.650381,0.667845,0.690748,0.716771,0.756029,0.787860,0.810810", \ - "0.500871,0.516093,0.539457,0.588800,0.641857,0.711298,0.760236", \ - "0.898154,0.870085,0.824598,0.759866,0.735946,0.746180,0.777773", \ - "2.060044,2.048401,1.975729,1.828064,1.594662,1.287396,1.126350", \ - "3.764943,3.752219,3.682056,3.575683,3.335318,2.804858,2.114530", \ - "6.023390,5.991674,5.923093,5.837763,5.636804,5.183861,4.154925"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("7.452615,7.471974,7.477556,7.576236,7.601505,7.632296,7.770765", \ - "7.388233,7.425797,7.416764,7.441482,7.582642,7.612755,7.603836", \ - "7.551783,7.555136,7.579802,7.611243,7.574713,7.622102,7.616473", \ - "8.391614,8.379893,8.356828,8.321469,8.226725,8.175277,7.984867", \ - "9.931825,9.902753,9.937942,9.857709,9.633130,9.460358,8.957465", \ - "12.344230,12.334000,12.291320,12.129720,11.969190,11.613440,10.809420", \ - "15.752910,15.734840,15.654920,15.508690,15.179120,14.719120,13.757410"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.727726,0.735032,0.746651,0.758411,0.767294,0.773509,0.783251", \ - "0.639066,0.662336,0.693550,0.725482,0.757949,0.788496,0.807318", \ - "0.502241,0.524330,0.543206,0.590659,0.647400,0.710433,0.764844", \ - "0.929218,0.916207,0.874208,0.812868,0.763917,0.774528,0.796466", \ - "2.134717,2.122000,2.049494,1.910769,1.682175,1.380410,1.174789", \ - "3.872357,3.865347,3.804542,3.683525,3.439324,2.935715,2.244420", \ - "6.102303,6.094244,6.020784,5.967305,5.759613,5.305212,4.329519"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("8.002379,8.007114,8.017578,8.083527,8.058724,8.152417,8.176072", \ - "7.915392,7.946447,7.959731,8.016536,8.020271,8.146669,8.153864", \ - "8.053920,8.094698,8.053793,8.117694,8.118830,8.226461,8.245723", \ - "8.898101,8.883346,8.889783,8.878020,8.764985,8.618318,8.550960", \ - "10.505660,10.480680,10.514520,10.429290,10.288590,9.966187,9.626812", \ - "12.906810,12.909150,12.870330,12.799270,12.621920,12.149690,11.603820", \ - "16.410620,16.359670,16.319550,16.154520,15.790400,15.403850,14.542040"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.755284,2.743719,2.775524,2.789779,2.829825,2.863132,2.921809", \ - "2.687935,2.695988,2.710229,2.734616,2.755693,2.802962,2.863273", \ - "2.605553,2.616674,2.626288,2.664405,2.698366,2.759937,2.826903", \ - "2.732210,2.732556,2.729868,2.743755,2.778187,2.801989,2.855397", \ - "3.613222,3.598036,3.550328,3.488142,3.361140,3.267332,3.177398", \ - "5.366800,5.338535,5.268846,5.158106,4.931745,4.551857,4.113517", \ - "7.769192,7.753945,7.722811,7.626794,7.383729,6.866644,5.997623"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("10.027040,10.082350,10.089090,10.080580,10.124010,10.047380,10.259400", \ - "10.004580,10.006670,10.013850,10.005850,10.060640,10.071080,10.127860", \ - "9.962512,9.964433,9.970296,10.000750,10.040890,10.029040,10.171020", \ - "10.325660,10.334710,10.296880,10.300240,10.285940,10.140260,10.284440", \ - "11.579470,11.553470,11.495950,11.423230,11.238720,10.975290,10.919340", \ - "13.539050,13.491200,13.471540,13.330370,13.160150,12.627310,11.999080", \ - "16.515300,16.448050,16.384080,16.172910,15.844070,15.280530,14.443200"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.025076,2.029460,2.043975,2.057024,2.082639,2.113767,2.139738", \ - "1.953600,1.954659,1.972931,1.982823,2.018646,2.055360,2.086677", \ - "1.867082,1.878413,1.881577,1.918376,1.957134,1.991762,2.041535", \ - "2.013107,2.011374,2.015522,2.024118,2.029190,2.045463,2.072793", \ - "3.023200,2.992242,2.934390,2.847896,2.686131,2.530906,2.418048", \ - "4.821312,4.797347,4.734807,4.606044,4.341996,3.909076,3.396102", \ - "7.269233,7.250781,7.214097,7.118086,6.850228,6.291832,5.356562"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("9.141009,9.141204,9.136556,9.139417,9.225459,9.309922,9.386850", \ - "9.046111,9.050017,9.080466,9.142278,9.138186,9.174952,9.275303", \ - "9.029232,9.007390,9.016605,9.036721,9.098885,9.145978,9.235412", \ - "9.425539,9.417793,9.405284,9.354113,9.402480,9.381699,9.411057", \ - "10.572080,10.558410,10.601280,10.455360,10.370120,10.207900,10.011580", \ - "12.580240,12.533160,12.454350,12.391420,12.154210,11.761400,11.272380", \ - "15.523240,15.459260,15.401930,15.210690,14.893530,14.317210,13.431310"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.006903,2.018220,2.025786,2.034781,2.065938,2.098821,2.128904", \ - "1.937041,1.929994,1.940796,1.966209,2.008919,2.038955,2.076776", \ - "1.840428,1.863342,1.879581,1.897190,1.940688,1.984200,2.034119", \ - "2.035565,2.026607,2.013808,2.023695,2.028410,2.040523,2.071147", \ - "3.054230,3.035612,2.985648,2.886931,2.730659,2.558419,2.436774", \ - "4.870268,4.843778,4.777906,4.649670,4.407823,3.987882,3.435870", \ - "7.326056,7.263799,7.244776,7.156634,6.894877,6.394675,5.475147"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("9.457628,9.461014,9.470629,9.531394,9.578807,9.613835,9.674491", \ - "9.381910,9.420989,9.457310,9.432369,9.477776,9.563683,9.655732", \ - "9.334672,9.337813,9.408344,9.453800,9.458481,9.521645,9.575429", \ - "9.795466,9.761875,9.749650,9.750150,9.737902,9.720961,9.705566", \ - "11.010600,11.000940,10.994490,10.899540,10.771480,10.573980,10.349940", \ - "13.021290,12.978950,12.924720,12.779970,12.655670,12.264910,11.713600", \ - "15.983780,15.923040,15.872430,15.706670,15.414970,14.889400,13.894170"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.025076,2.029460,2.043975,2.057024,2.082639,2.113767,2.139738", \ - "1.953600,1.954659,1.972931,1.982823,2.018646,2.055360,2.086677", \ - "1.867082,1.878413,1.881577,1.918376,1.957134,1.991762,2.041535", \ - "2.013107,2.011374,2.015522,2.024118,2.029190,2.045463,2.072793", \ - "3.023200,2.992242,2.934390,2.847896,2.686131,2.530906,2.418048", \ - "4.821312,4.797347,4.734807,4.606044,4.341996,3.909076,3.396102", \ - "7.269233,7.250781,7.214097,7.118086,6.850228,6.291832,5.356562"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("9.141009,9.141204,9.136556,9.139417,9.225459,9.309922,9.386850", \ - "9.046111,9.050017,9.080466,9.142278,9.138186,9.174952,9.275303", \ - "9.029232,9.007390,9.016605,9.036721,9.098885,9.145978,9.235412", \ - "9.425539,9.417793,9.405284,9.354113,9.402480,9.381699,9.411057", \ - "10.572080,10.558410,10.601280,10.455360,10.370120,10.207900,10.011580", \ - "12.580240,12.533160,12.454350,12.391420,12.154210,11.761400,11.272380", \ - "15.523240,15.459260,15.401930,15.210690,14.893530,14.317210,13.431310"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.304092,1.301458,1.314487,1.317943,1.332094,1.346906,1.367030", \ - "1.226040,1.218925,1.241385,1.254615,1.266065,1.293475,1.311276", \ - "1.122262,1.135829,1.140024,1.169728,1.205183,1.238760,1.263248", \ - "1.348907,1.327250,1.300481,1.294320,1.291559,1.296027,1.300265", \ - "2.442496,2.415004,2.361199,2.236329,2.035847,1.817771,1.663114", \ - "4.311538,4.292799,4.197355,4.068917,3.777269,3.284657,2.683182", \ - "6.805316,6.780696,6.733977,6.609267,6.344799,5.739860,4.718284"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("8.151261,8.159153,8.200674,8.265799,8.332330,8.321920,8.377321", \ - "8.073130,8.081902,8.097717,8.178812,8.268993,8.348181,8.382922", \ - "8.030606,8.087037,8.121056,8.140238,8.235707,8.314226,8.421023", \ - "8.460414,8.455790,8.449796,8.450920,8.491410,8.499601,8.399678", \ - "9.565470,9.553515,9.514480,9.592521,9.407582,9.175770,8.943346", \ - "11.573290,11.517680,11.503670,11.353500,11.248350,10.936880,10.353380", \ - "14.455200,14.456400,14.352400,14.238440,13.976230,13.307370,12.475820"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.292488,1.287255,1.306832,1.314596,1.332159,1.345630,1.363884", \ - "1.212020,1.206649,1.233270,1.239780,1.269241,1.288688,1.307283", \ - "1.113870,1.110766,1.130438,1.168537,1.189474,1.227103,1.268164", \ - "1.365531,1.356728,1.330806,1.298171,1.293980,1.294317,1.304170", \ - "2.492523,2.445870,2.391731,2.270490,2.089326,1.835273,1.685772", \ - "4.360283,4.334130,4.247441,4.117271,3.839281,3.360348,2.735585", \ - "6.847768,6.830555,6.748479,6.637400,6.401750,5.834099,4.846889"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("8.503499,8.529707,8.571816,8.590412,8.667664,8.680543,8.705713", \ - "8.402435,8.477210,8.496759,8.565301,8.638249,8.667215,8.654146", \ - "8.398775,8.428867,8.447136,8.504800,8.572325,8.584577,8.680677", \ - "8.799328,8.823725,8.791633,8.815923,8.866220,8.820574,8.667081", \ - "9.910300,9.969939,9.960257,9.981940,9.847259,9.633856,9.469886", \ - "12.002760,11.961830,11.888250,11.789630,11.616050,11.299490,10.808550", \ - "14.919090,14.923070,14.853590,14.740910,14.406870,13.875660,13.214080"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.006903,2.018220,2.025786,2.034781,2.065938,2.098821,2.128904", \ - "1.937041,1.929994,1.940796,1.966209,2.008919,2.038955,2.076776", \ - "1.840428,1.863342,1.879581,1.897190,1.940688,1.984200,2.034119", \ - "2.035565,2.026607,2.013808,2.023695,2.028410,2.040523,2.071147", \ - "3.054230,3.035612,2.985648,2.886931,2.730659,2.558419,2.436774", \ - "4.870268,4.843778,4.777906,4.649670,4.407823,3.987882,3.435870", \ - "7.326056,7.263799,7.244776,7.156634,6.894877,6.394675,5.475147"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("9.457628,9.461014,9.470629,9.531394,9.578807,9.613835,9.674491", \ - "9.381910,9.420989,9.457310,9.432369,9.477776,9.563683,9.655732", \ - "9.334672,9.337813,9.408344,9.453800,9.458481,9.521645,9.575429", \ - "9.795466,9.761875,9.749650,9.750150,9.737902,9.720961,9.705566", \ - "11.010600,11.000940,10.994490,10.899540,10.771480,10.573980,10.349940", \ - "13.021290,12.978950,12.924720,12.779970,12.655670,12.264910,11.713600", \ - "15.983780,15.923040,15.872430,15.706670,15.414970,14.889400,13.894170"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.292488,1.287255,1.306832,1.314596,1.332159,1.345630,1.363884", \ - "1.212020,1.206649,1.233270,1.239780,1.269241,1.288688,1.307283", \ - "1.113870,1.110766,1.130438,1.168537,1.189474,1.227103,1.268164", \ - "1.365531,1.356728,1.330806,1.298171,1.293980,1.294317,1.304170", \ - "2.492523,2.445870,2.391731,2.270490,2.089326,1.835273,1.685772", \ - "4.360283,4.334130,4.247441,4.117271,3.839281,3.360348,2.735585", \ - "6.847768,6.830555,6.748479,6.637400,6.401750,5.834099,4.846889"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("8.503499,8.529707,8.571816,8.590412,8.667664,8.680543,8.705713", \ - "8.402435,8.477210,8.496759,8.565301,8.638249,8.667215,8.654146", \ - "8.398775,8.428867,8.447136,8.504800,8.572325,8.584577,8.680677", \ - "8.799328,8.823725,8.791633,8.815923,8.866220,8.820574,8.667081", \ - "9.910300,9.969939,9.960257,9.981940,9.847259,9.633856,9.469886", \ - "12.002760,11.961830,11.888250,11.789630,11.616050,11.299490,10.808550", \ - "14.919090,14.923070,14.853590,14.740910,14.406870,13.875660,13.214080"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.285610,1.300351,1.301618,1.310942,1.327476,1.344680,1.362665", \ - "1.204639,1.221040,1.225429,1.238902,1.261343,1.280881,1.302372", \ - "1.116823,1.131823,1.139877,1.164049,1.193379,1.230957,1.262811", \ - "1.414275,1.394438,1.362722,1.322877,1.311483,1.310720,1.316968", \ - "2.587494,2.539645,2.484260,2.356984,2.177353,1.910069,1.722820", \ - "4.471143,4.454163,4.384199,4.223955,3.956852,3.492707,2.850867", \ - "7.018465,6.969043,6.894723,6.789159,6.529498,5.993304,5.018140"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("9.031558,9.035499,9.059261,9.062053,9.141825,9.227228,9.201711", \ - "8.978321,8.962416,8.974060,9.020284,9.071918,9.176014,9.172498", \ - "8.910358,8.914497,8.951001,8.990983,9.047383,9.176130,9.162396", \ - "9.359400,9.353369,9.343697,9.343631,9.376922,9.400625,9.424183", \ - "10.480700,10.470100,10.535180,10.534770,10.424620,10.133380,9.973364", \ - "12.560860,12.521550,12.454210,12.405660,12.293170,11.905680,11.551410", \ - "15.491240,15.497720,15.463130,15.310670,15.091410,14.610350,13.955690"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI222_X2 - Cell Description : Combinational cell (OAI222_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI222_X2) { - - drive_strength : 2; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 260.527788; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 39.560125; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 120.757125; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 120.757625; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 200.458250; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 45.928962; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 313.501375; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 313.503125; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 393.291625; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 45.928962; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 313.504375; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 313.506125; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 393.294625; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 47.516875; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 393.151625; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 393.153500; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 472.988625; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 32.939969; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 148.650207; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 148.650832; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 228.356708; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 68.615930; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 286.772000; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 327.298000; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 352.282750; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 68.616057; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 327.298125; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 367.812625; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 392.820000; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 64.963677; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 352.232000; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 392.758625; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 417.758750; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 32.939968; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 148.650456; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 148.650956; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 228.356834; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 68.616054; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 327.298125; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 367.812375; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 392.820000; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 68.616180; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 367.812625; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 408.315125; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 433.344000; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 64.963802; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 392.758625; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 433.272000; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 458.292125; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 12.417539; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 130.703991; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 130.704491; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 210.410994; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 48.728574; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 352.200375; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 392.716125; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 417.729000; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 48.728699; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 392.716625; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 433.219000; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 458.252000; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 45.058895; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 417.660750; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 458.173000; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 483.209950; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.266036; - fall_capacitance : 3.011285; - rise_capacitance : 3.266036; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.118328; - fall_capacitance : 3.118328; - rise_capacitance : 2.966923; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.362452; - fall_capacitance : 2.993670; - rise_capacitance : 3.362452; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.058029; - fall_capacitance : 3.058029; - rise_capacitance : 3.036926; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.473769; - fall_capacitance : 2.946255; - rise_capacitance : 3.473769; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.136865; - fall_capacitance : 3.053207; - rise_capacitance : 3.136865; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 39.596600; - function : "!(((A1 | A2) & (B1 | B2)) & (C1 | C2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014205,0.015236,0.016681,0.019524,0.025102,0.036064,0.057729", \ - "0.014917,0.015948,0.017394,0.020242,0.025832,0.036810,0.058492", \ - "0.017583,0.018571,0.019982,0.022787,0.028323,0.039246,0.060890", \ - "0.022097,0.023298,0.024958,0.028114,0.033940,0.044563,0.065885", \ - "0.025497,0.026970,0.028991,0.032820,0.039916,0.052666,0.074688", \ - "0.027671,0.029432,0.031848,0.036393,0.044754,0.059630,0.085519", \ - "0.028389,0.030444,0.033263,0.038553,0.048258,0.065403,0.094846"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011210,0.012429,0.014138,0.017517,0.024197,0.037451,0.063783", \ - "0.011896,0.013103,0.014811,0.018200,0.024911,0.038208,0.064595", \ - "0.015566,0.016695,0.018282,0.021512,0.028036,0.041161,0.067434", \ - "0.020876,0.022372,0.024416,0.028286,0.035328,0.048011,0.073728", \ - "0.027571,0.029319,0.031712,0.036221,0.044530,0.059332,0.084921", \ - "0.035638,0.037651,0.040391,0.045580,0.055062,0.071900,0.101110", \ - "0.045182,0.047371,0.050426,0.056269,0.067000,0.085881,0.118466"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010318,0.011164,0.012368,0.014763,0.019524,0.029001,0.047890", \ - "0.010318,0.011164,0.012366,0.014760,0.019524,0.029001,0.047890", \ - "0.010252,0.011060,0.012227,0.014619,0.019512,0.028999,0.047893", \ - "0.013381,0.014141,0.015200,0.017272,0.021111,0.029332,0.047882", \ - "0.018754,0.019565,0.020674,0.022814,0.026921,0.034677,0.049817", \ - "0.025973,0.026917,0.028185,0.030587,0.034978,0.043037,0.058186", \ - "0.034769,0.035882,0.037378,0.040192,0.045197,0.053888,0.069551"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015256,0.016353,0.017900,0.020972,0.027049,0.039068,0.062943", \ - "0.015253,0.016352,0.017900,0.020972,0.027049,0.039067,0.062942", \ - "0.016198,0.017058,0.018336,0.021060,0.027048,0.039066,0.062940", \ - "0.021221,0.021917,0.022841,0.024782,0.029246,0.039479,0.062947", \ - "0.028218,0.028831,0.029775,0.031807,0.036096,0.044575,0.064212", \ - "0.037407,0.037924,0.038770,0.040658,0.044844,0.053793,0.071321", \ - "0.048476,0.048979,0.049787,0.051624,0.055739,0.064680,0.083152"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010925,0.011944,0.013369,0.016175,0.021688,0.032555,0.054094", \ - "0.011609,0.012628,0.014058,0.016873,0.022404,0.033294,0.054856", \ - "0.014494,0.015479,0.016790,0.019473,0.024917,0.035737,0.057253", \ - "0.017859,0.019151,0.020916,0.024259,0.030373,0.041147,0.062292", \ - "0.020185,0.021789,0.023966,0.028049,0.035488,0.048670,0.071216", \ - "0.021212,0.023140,0.025759,0.030649,0.039483,0.054929,0.081387", \ - "0.020761,0.023014,0.026078,0.031790,0.042097,0.059973,0.090132"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010693,0.011898,0.013591,0.016936,0.023546,0.036653,0.062741", \ - "0.011378,0.012573,0.014263,0.017616,0.024254,0.037412,0.063553", \ - "0.014994,0.016186,0.017751,0.020937,0.027385,0.040368,0.066392", \ - "0.020003,0.021530,0.023601,0.027508,0.034603,0.047222,0.072690", \ - "0.026209,0.028034,0.030493,0.035089,0.043480,0.058349,0.083894", \ - "0.033434,0.035600,0.038500,0.043893,0.053576,0.070551,0.099844", \ - "0.041634,0.044121,0.047471,0.053705,0.064855,0.084058,0.116813"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008228,0.009066,0.010252,0.012623,0.017356,0.026773,0.045575", \ - "0.008208,0.009052,0.010245,0.012622,0.017351,0.026774,0.045578", \ - "0.008553,0.009274,0.010331,0.012542,0.017281,0.026774,0.045579", \ - "0.012048,0.012780,0.013803,0.015818,0.019671,0.027453,0.045567", \ - "0.017549,0.018366,0.019470,0.021583,0.025580,0.033240,0.048056", \ - "0.024678,0.025652,0.026960,0.029401,0.033782,0.041716,0.056723", \ - "0.033302,0.034458,0.036020,0.038915,0.043992,0.052678,0.068155"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010989,0.012131,0.013728,0.016858,0.022977,0.035001,0.058872", \ - "0.010987,0.012130,0.013727,0.016858,0.022979,0.035001,0.058869", \ - "0.012011,0.012900,0.014210,0.016971,0.022977,0.035002,0.058865", \ - "0.016628,0.017452,0.018623,0.020825,0.025249,0.035438,0.058863", \ - "0.022829,0.023577,0.024687,0.026996,0.031698,0.040590,0.060160", \ - "0.030967,0.031681,0.032761,0.035025,0.039720,0.049263,0.067305", \ - "0.040749,0.041489,0.042613,0.044967,0.049790,0.059507,0.078739"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009270,0.010144,0.011365,0.013767,0.018478,0.027750,0.046114", \ - "0.010005,0.010878,0.012101,0.014508,0.019232,0.028522,0.046903", \ - "0.012978,0.013871,0.015086,0.017338,0.021928,0.031142,0.049469", \ - "0.015714,0.016906,0.018535,0.021595,0.027171,0.036894,0.054820", \ - "0.017061,0.018561,0.020601,0.024417,0.031314,0.043391,0.063891", \ - "0.016729,0.018553,0.021027,0.025629,0.033924,0.048296,0.072574", \ - "0.014577,0.016707,0.019599,0.024998,0.034722,0.051515,0.079539"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010646,0.011850,0.013542,0.016887,0.023500,0.036609,0.062704", \ - "0.011303,0.012497,0.014185,0.017535,0.024178,0.037338,0.063488", \ - "0.015002,0.016188,0.017745,0.020920,0.027350,0.040317,0.066335", \ - "0.020286,0.021791,0.023842,0.027711,0.034754,0.047322,0.072743", \ - "0.027021,0.028810,0.031216,0.035740,0.044027,0.058769,0.084188", \ - "0.035076,0.037179,0.040006,0.045278,0.054776,0.071533,0.100586", \ - "0.044438,0.046840,0.050092,0.056163,0.067040,0.085889,0.118269"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006892,0.007617,0.008634,0.010666,0.014700,0.022738,0.038762", \ - "0.006845,0.007585,0.008614,0.010656,0.014702,0.022736,0.038760", \ - "0.007595,0.008144,0.008971,0.010743,0.014571,0.022727,0.038761", \ - "0.011307,0.011937,0.012814,0.014518,0.017776,0.024044,0.038718", \ - "0.016820,0.017566,0.018567,0.020458,0.023941,0.030464,0.042664", \ - "0.023862,0.024767,0.025984,0.028241,0.032231,0.039237,0.052065", \ - "0.032360,0.033469,0.034928,0.037635,0.042358,0.050258,0.063836"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010989,0.012131,0.013728,0.016859,0.022978,0.035000,0.058870", \ - "0.010987,0.012129,0.013727,0.016858,0.022978,0.035000,0.058867", \ - "0.012007,0.012900,0.014213,0.016975,0.022978,0.035001,0.058864", \ - "0.016465,0.017306,0.018493,0.020718,0.025191,0.035425,0.058870", \ - "0.022366,0.023129,0.024267,0.026627,0.031413,0.040410,0.060102", \ - "0.030186,0.030901,0.031988,0.034265,0.039037,0.048753,0.067000", \ - "0.039673,0.040403,0.041502,0.043821,0.048636,0.058489,0.078027"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010925,0.011944,0.013369,0.016175,0.021688,0.032555,0.054094", \ - "0.011609,0.012628,0.014058,0.016873,0.022404,0.033294,0.054856", \ - "0.014494,0.015479,0.016790,0.019473,0.024917,0.035737,0.057253", \ - "0.017859,0.019151,0.020916,0.024259,0.030373,0.041147,0.062292", \ - "0.020185,0.021789,0.023966,0.028049,0.035488,0.048670,0.071216", \ - "0.021212,0.023140,0.025759,0.030649,0.039483,0.054929,0.081387", \ - "0.020761,0.023014,0.026078,0.031790,0.042097,0.059973,0.090132"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010693,0.011898,0.013591,0.016936,0.023546,0.036653,0.062741", \ - "0.011378,0.012573,0.014263,0.017616,0.024254,0.037412,0.063553", \ - "0.014994,0.016186,0.017751,0.020937,0.027385,0.040368,0.066392", \ - "0.020003,0.021530,0.023601,0.027508,0.034603,0.047222,0.072690", \ - "0.026209,0.028034,0.030493,0.035089,0.043480,0.058349,0.083894", \ - "0.033434,0.035600,0.038500,0.043893,0.053576,0.070551,0.099844", \ - "0.041634,0.044121,0.047471,0.053705,0.064855,0.084058,0.116813"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008228,0.009066,0.010252,0.012623,0.017356,0.026773,0.045575", \ - "0.008208,0.009052,0.010245,0.012622,0.017351,0.026774,0.045578", \ - "0.008553,0.009274,0.010331,0.012542,0.017281,0.026774,0.045579", \ - "0.012048,0.012780,0.013803,0.015818,0.019671,0.027453,0.045567", \ - "0.017549,0.018366,0.019470,0.021583,0.025580,0.033240,0.048056", \ - "0.024678,0.025652,0.026960,0.029401,0.033782,0.041716,0.056723", \ - "0.033302,0.034458,0.036020,0.038915,0.043992,0.052678,0.068155"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010989,0.012131,0.013728,0.016858,0.022977,0.035001,0.058872", \ - "0.010987,0.012130,0.013727,0.016858,0.022979,0.035001,0.058869", \ - "0.012011,0.012900,0.014210,0.016971,0.022977,0.035002,0.058865", \ - "0.016628,0.017452,0.018623,0.020825,0.025249,0.035438,0.058863", \ - "0.022829,0.023577,0.024687,0.026996,0.031698,0.040590,0.060160", \ - "0.030967,0.031681,0.032761,0.035025,0.039720,0.049263,0.067305", \ - "0.040749,0.041489,0.042613,0.044967,0.049790,0.059507,0.078739"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007921,0.008889,0.010257,0.012976,0.018375,0.029111,0.050521", \ - "0.008573,0.009541,0.010914,0.013648,0.019074,0.029842,0.051280", \ - "0.011169,0.012250,0.013709,0.016393,0.021623,0.032297,0.053679", \ - "0.013301,0.014723,0.016645,0.020220,0.026670,0.037849,0.058765", \ - "0.014353,0.016153,0.018563,0.023001,0.030902,0.044589,0.067723", \ - "0.014068,0.016255,0.019171,0.024521,0.033987,0.050128,0.077230", \ - "0.012329,0.014883,0.018293,0.024554,0.035628,0.054401,0.085387"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010210,0.011403,0.013081,0.016392,0.022937,0.035917,0.061770", \ - "0.010897,0.012080,0.013751,0.017071,0.023645,0.036674,0.062577", \ - "0.014447,0.015679,0.017255,0.020404,0.026780,0.039632,0.065417", \ - "0.019196,0.020746,0.022841,0.026782,0.033920,0.046498,0.071720", \ - "0.025004,0.026888,0.029397,0.034066,0.042518,0.057435,0.082931", \ - "0.031595,0.033875,0.036880,0.042415,0.052246,0.069321,0.098663", \ - "0.038893,0.041559,0.045083,0.051572,0.062995,0.082421,0.115284"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.005984,0.006847,0.008054,0.010442,0.015165,0.024563,0.043295", \ - "0.005904,0.006788,0.008018,0.010428,0.015160,0.024565,0.043299", \ - "0.007140,0.007844,0.008733,0.010695,0.015060,0.024553,0.043298", \ - "0.010906,0.011597,0.012565,0.014479,0.018286,0.025732,0.043264", \ - "0.016486,0.017300,0.018406,0.020481,0.024349,0.031847,0.046401", \ - "0.023530,0.024517,0.025856,0.028319,0.032689,0.040480,0.055303", \ - "0.032058,0.033220,0.034819,0.037749,0.042863,0.051527,0.066813"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007552,0.008598,0.010088,0.013076,0.019036,0.030963,0.054788", \ - "0.007543,0.008602,0.010092,0.013073,0.019043,0.030963,0.054796", \ - "0.008647,0.009437,0.010628,0.013216,0.019043,0.030963,0.054793", \ - "0.012154,0.013082,0.014385,0.016895,0.021386,0.031428,0.054792", \ - "0.017069,0.018035,0.019389,0.022045,0.027189,0.036631,0.056108", \ - "0.023571,0.024634,0.026127,0.028986,0.034379,0.044621,0.063291", \ - "0.031534,0.032726,0.034407,0.037611,0.043469,0.054181,0.074263"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006812,0.007630,0.008790,0.011102,0.015702,0.024850,0.043091", \ - "0.007541,0.008352,0.009508,0.011825,0.016442,0.025615,0.043877", \ - "0.009995,0.010980,0.012305,0.014754,0.019190,0.028249,0.046447", \ - "0.011508,0.012838,0.014623,0.017923,0.023822,0.033976,0.051842", \ - "0.011594,0.013290,0.015561,0.019726,0.027089,0.039683,0.060738", \ - "0.010010,0.012075,0.014828,0.019880,0.028783,0.043858,0.068782", \ - "0.006629,0.009047,0.012267,0.018181,0.028633,0.046300,0.075161"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010162,0.011357,0.013033,0.016345,0.022892,0.035875,0.061731", \ - "0.010822,0.012004,0.013672,0.016991,0.023568,0.036603,0.062512", \ - "0.014457,0.015682,0.017250,0.020386,0.026746,0.039584,0.065361", \ - "0.019491,0.021021,0.023091,0.026993,0.034075,0.046599,0.071774", \ - "0.025856,0.027696,0.030153,0.034738,0.043080,0.057864,0.083230", \ - "0.033316,0.035528,0.038453,0.043855,0.053484,0.070325,0.099417", \ - "0.041822,0.044394,0.047816,0.054119,0.065254,0.084298,0.116764"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.004948,0.005688,0.006733,0.008790,0.012837,0.020858,0.036824", \ - "0.004850,0.005612,0.006677,0.008760,0.012831,0.020852,0.036831", \ - "0.006463,0.007034,0.007834,0.009347,0.012851,0.020822,0.036829", \ - "0.010381,0.010992,0.011833,0.013473,0.016652,0.022744,0.036853", \ - "0.015901,0.016651,0.017669,0.019546,0.022968,0.029365,0.041443", \ - "0.022854,0.023779,0.025027,0.027305,0.031322,0.038235,0.050925", \ - "0.031279,0.032388,0.033879,0.036615,0.041376,0.049298,0.062765"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007552,0.008603,0.010090,0.013073,0.019043,0.030956,0.054794", \ - "0.007542,0.008599,0.010094,0.013074,0.019040,0.030957,0.054796", \ - "0.008643,0.009436,0.010631,0.013220,0.019035,0.030955,0.054797", \ - "0.012012,0.012950,0.014269,0.016803,0.021327,0.031407,0.054793", \ - "0.016694,0.017655,0.019018,0.021705,0.026923,0.036445,0.056052", \ - "0.022958,0.024006,0.025477,0.028317,0.033743,0.044133,0.062995", \ - "0.030716,0.031868,0.033493,0.036618,0.042418,0.053203,0.073571"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009270,0.010144,0.011365,0.013767,0.018478,0.027750,0.046114", \ - "0.010005,0.010878,0.012101,0.014508,0.019232,0.028522,0.046903", \ - "0.012978,0.013871,0.015086,0.017338,0.021928,0.031142,0.049469", \ - "0.015714,0.016906,0.018535,0.021595,0.027171,0.036894,0.054820", \ - "0.017061,0.018561,0.020601,0.024417,0.031314,0.043391,0.063891", \ - "0.016729,0.018553,0.021027,0.025629,0.033924,0.048296,0.072574", \ - "0.014577,0.016707,0.019599,0.024998,0.034722,0.051515,0.079539"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010646,0.011850,0.013542,0.016887,0.023500,0.036609,0.062704", \ - "0.011303,0.012497,0.014185,0.017535,0.024178,0.037338,0.063488", \ - "0.015002,0.016188,0.017745,0.020920,0.027350,0.040317,0.066335", \ - "0.020286,0.021791,0.023842,0.027711,0.034754,0.047322,0.072743", \ - "0.027021,0.028810,0.031216,0.035740,0.044027,0.058769,0.084188", \ - "0.035076,0.037179,0.040006,0.045278,0.054776,0.071533,0.100586", \ - "0.044438,0.046840,0.050092,0.056163,0.067040,0.085889,0.118269"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006892,0.007617,0.008634,0.010666,0.014700,0.022738,0.038762", \ - "0.006845,0.007585,0.008614,0.010656,0.014702,0.022736,0.038760", \ - "0.007595,0.008144,0.008971,0.010743,0.014571,0.022727,0.038761", \ - "0.011307,0.011937,0.012814,0.014518,0.017776,0.024044,0.038718", \ - "0.016820,0.017566,0.018567,0.020458,0.023941,0.030464,0.042664", \ - "0.023862,0.024767,0.025984,0.028241,0.032231,0.039237,0.052065", \ - "0.032360,0.033469,0.034928,0.037635,0.042358,0.050258,0.063836"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010989,0.012131,0.013728,0.016859,0.022978,0.035000,0.058870", \ - "0.010987,0.012129,0.013727,0.016858,0.022978,0.035000,0.058867", \ - "0.012007,0.012900,0.014213,0.016975,0.022978,0.035001,0.058864", \ - "0.016465,0.017306,0.018493,0.020718,0.025191,0.035425,0.058870", \ - "0.022366,0.023129,0.024267,0.026627,0.031413,0.040410,0.060102", \ - "0.030186,0.030901,0.031988,0.034265,0.039037,0.048753,0.067000", \ - "0.039673,0.040403,0.041502,0.043821,0.048636,0.058489,0.078027"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006812,0.007630,0.008790,0.011102,0.015702,0.024850,0.043091", \ - "0.007541,0.008352,0.009508,0.011825,0.016442,0.025615,0.043877", \ - "0.009995,0.010980,0.012305,0.014754,0.019190,0.028249,0.046447", \ - "0.011508,0.012838,0.014623,0.017923,0.023822,0.033976,0.051842", \ - "0.011594,0.013290,0.015561,0.019726,0.027089,0.039683,0.060738", \ - "0.010010,0.012075,0.014828,0.019880,0.028783,0.043858,0.068782", \ - "0.006629,0.009047,0.012267,0.018181,0.028633,0.046300,0.075161"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010162,0.011357,0.013033,0.016345,0.022892,0.035875,0.061731", \ - "0.010822,0.012004,0.013672,0.016991,0.023568,0.036603,0.062512", \ - "0.014457,0.015682,0.017250,0.020386,0.026746,0.039584,0.065361", \ - "0.019491,0.021021,0.023091,0.026993,0.034075,0.046599,0.071774", \ - "0.025856,0.027696,0.030153,0.034738,0.043080,0.057864,0.083230", \ - "0.033316,0.035528,0.038453,0.043855,0.053484,0.070325,0.099417", \ - "0.041822,0.044394,0.047816,0.054119,0.065254,0.084298,0.116764"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.004948,0.005688,0.006733,0.008790,0.012837,0.020858,0.036824", \ - "0.004850,0.005612,0.006677,0.008760,0.012831,0.020852,0.036831", \ - "0.006463,0.007034,0.007834,0.009347,0.012851,0.020822,0.036829", \ - "0.010381,0.010992,0.011833,0.013473,0.016652,0.022744,0.036853", \ - "0.015901,0.016651,0.017669,0.019546,0.022968,0.029365,0.041443", \ - "0.022854,0.023779,0.025027,0.027305,0.031322,0.038235,0.050925", \ - "0.031279,0.032388,0.033879,0.036615,0.041376,0.049298,0.062765"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007552,0.008603,0.010090,0.013073,0.019043,0.030956,0.054794", \ - "0.007542,0.008599,0.010094,0.013074,0.019040,0.030957,0.054796", \ - "0.008643,0.009436,0.010631,0.013220,0.019035,0.030955,0.054797", \ - "0.012012,0.012950,0.014269,0.016803,0.021327,0.031407,0.054793", \ - "0.016694,0.017655,0.019018,0.021705,0.026923,0.036445,0.056052", \ - "0.022958,0.024006,0.025477,0.028317,0.033743,0.044133,0.062995", \ - "0.030716,0.031868,0.033493,0.036618,0.042418,0.053203,0.073571"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006188,0.006872,0.007838,0.009756,0.013564,0.021138,0.036247", \ - "0.006935,0.007617,0.008583,0.010508,0.014330,0.021922,0.037048", \ - "0.009009,0.009908,0.011117,0.013340,0.017231,0.024713,0.039768", \ - "0.009744,0.010982,0.012638,0.015679,0.021059,0.030230,0.045467", \ - "0.008699,0.010288,0.012408,0.016298,0.023128,0.034662,0.053666", \ - "0.005631,0.007564,0.010147,0.014875,0.023191,0.037185,0.059960", \ - "0.000389,0.002658,0.005669,0.011214,0.020994,0.037480,0.064179"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010154,0.011348,0.013025,0.016337,0.022885,0.035870,0.061731", \ - "0.010759,0.011940,0.013609,0.016929,0.023507,0.036547,0.062465", \ - "0.014456,0.015673,0.017232,0.020354,0.026695,0.039517,0.065287", \ - "0.019845,0.021350,0.023390,0.027244,0.034259,0.046716,0.071833", \ - "0.026849,0.028638,0.031036,0.035527,0.043748,0.058382,0.083598", \ - "0.035273,0.037407,0.040242,0.045491,0.054907,0.071502,0.100325", \ - "0.045116,0.047582,0.050883,0.056976,0.067792,0.086429,0.118498"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.004026,0.004611,0.005446,0.007110,0.010422,0.017033,0.030237", \ - "0.003983,0.004585,0.005428,0.007102,0.010422,0.017032,0.030237", \ - "0.005906,0.006377,0.007025,0.008231,0.010815,0.017017,0.030236", \ - "0.009798,0.010347,0.011092,0.012503,0.015165,0.020066,0.030732", \ - "0.015191,0.015889,0.016813,0.018517,0.021555,0.026997,0.036913", \ - "0.021998,0.022862,0.024012,0.026113,0.029773,0.035924,0.046703", \ - "0.030306,0.031321,0.032704,0.035238,0.039623,0.046829,0.058681"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007552,0.008603,0.010090,0.013075,0.019035,0.030963,0.054792", \ - "0.007542,0.008599,0.010096,0.013077,0.019038,0.030963,0.054797", \ - "0.008643,0.009433,0.010637,0.013226,0.019035,0.030958,0.054799", \ - "0.011860,0.012805,0.014138,0.016696,0.021263,0.031390,0.054789", \ - "0.016275,0.017232,0.018604,0.021321,0.026613,0.036231,0.055988", \ - "0.022276,0.023293,0.024737,0.027564,0.033024,0.043579,0.062648", \ - "0.029780,0.030889,0.032457,0.035500,0.041234,0.052110,0.072784"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017284,0.018310,0.019751,0.022595,0.028193,0.039199,0.060934", \ - "0.017798,0.018824,0.020268,0.023115,0.028713,0.039724,0.061461", \ - "0.020386,0.021398,0.022823,0.025635,0.031184,0.042131,0.063810", \ - "0.025676,0.026805,0.028367,0.031348,0.036898,0.047511,0.068869", \ - "0.030462,0.031813,0.033692,0.037282,0.044003,0.056242,0.077779", \ - "0.034679,0.036255,0.038449,0.042608,0.050373,0.064469,0.089495", \ - "0.038277,0.040088,0.042598,0.047349,0.056180,0.072117,0.100197"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013589,0.014788,0.016482,0.019840,0.026491,0.039718,0.066039", \ - "0.014464,0.015686,0.017402,0.020807,0.027523,0.040824,0.067209", \ - "0.017150,0.018343,0.020022,0.023386,0.030084,0.043400,0.069843", \ - "0.021157,0.022583,0.024542,0.028307,0.035399,0.048581,0.074822", \ - "0.026432,0.028080,0.030327,0.034571,0.042464,0.056977,0.083430", \ - "0.032607,0.034540,0.037154,0.042070,0.050999,0.066921,0.095558", \ - "0.039444,0.041653,0.044668,0.050323,0.060536,0.078344,0.109280"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012370,0.013231,0.014450,0.016873,0.021680,0.031216,0.050178", \ - "0.012370,0.013235,0.014450,0.016875,0.021680,0.031215,0.050177", \ - "0.012264,0.013120,0.014345,0.016844,0.021679,0.031215,0.050176", \ - "0.015012,0.015777,0.016842,0.018865,0.022833,0.031390,0.050173", \ - "0.020116,0.020939,0.022070,0.024260,0.028429,0.036240,0.051759", \ - "0.026973,0.027913,0.029162,0.031580,0.036081,0.044357,0.059692", \ - "0.035400,0.036477,0.037920,0.040677,0.045687,0.054585,0.070716"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015258,0.016353,0.017899,0.020972,0.027048,0.039064,0.062941", \ - "0.015258,0.016353,0.017899,0.020972,0.027048,0.039068,0.062949", \ - "0.015767,0.016725,0.018119,0.021014,0.027051,0.039066,0.062945", \ - "0.019304,0.020070,0.021176,0.023421,0.028431,0.039364,0.062950", \ - "0.024903,0.025522,0.026475,0.028553,0.033100,0.042640,0.063848", \ - "0.032484,0.033014,0.033838,0.035667,0.039774,0.048951,0.068405", \ - "0.041533,0.042024,0.042819,0.044612,0.048574,0.057251,0.076301"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013893,0.014912,0.016341,0.019163,0.024715,0.035649,0.057287", \ - "0.014401,0.015421,0.016854,0.019679,0.025235,0.036173,0.057815", \ - "0.017093,0.018068,0.019463,0.022241,0.027732,0.038594,0.060172", \ - "0.021704,0.022902,0.024548,0.027685,0.033477,0.044057,0.065266", \ - "0.025619,0.027057,0.029045,0.032807,0.039786,0.052386,0.074275", \ - "0.028953,0.030642,0.032980,0.037368,0.045476,0.059984,0.085474", \ - "0.031637,0.033587,0.036274,0.041314,0.050574,0.067041,0.095650"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013075,0.014264,0.015938,0.019257,0.025838,0.038921,0.065000", \ - "0.013945,0.015154,0.016855,0.020222,0.026870,0.040028,0.066165", \ - "0.016615,0.017808,0.019477,0.022801,0.029425,0.042603,0.068795", \ - "0.020424,0.021860,0.023830,0.027601,0.034689,0.047789,0.073781", \ - "0.025370,0.027069,0.029356,0.033655,0.041571,0.056056,0.082388", \ - "0.030996,0.033024,0.035743,0.040777,0.049824,0.065801,0.094366", \ - "0.037002,0.039390,0.042577,0.048458,0.058924,0.076911,0.107877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010301,0.011149,0.012352,0.014749,0.019509,0.028987,0.047874", \ - "0.010296,0.011151,0.012350,0.014745,0.019512,0.028987,0.047874", \ - "0.010374,0.011163,0.012304,0.014651,0.019495,0.028988,0.047873", \ - "0.013592,0.014348,0.015391,0.017436,0.021281,0.029425,0.047866", \ - "0.018794,0.019620,0.020737,0.022904,0.027023,0.034785,0.049921", \ - "0.025590,0.026552,0.027825,0.030262,0.034738,0.042943,0.058206", \ - "0.033836,0.034970,0.036465,0.039291,0.044345,0.053219,0.069236"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010990,0.012130,0.013726,0.016858,0.022978,0.035002,0.058867", \ - "0.010991,0.012132,0.013727,0.016858,0.022978,0.035000,0.058866", \ - "0.011549,0.012540,0.013974,0.016907,0.022979,0.034998,0.058865", \ - "0.014953,0.015789,0.017007,0.019446,0.024409,0.035316,0.058862", \ - "0.020085,0.020784,0.021837,0.024077,0.028849,0.038644,0.059797", \ - "0.026866,0.027535,0.028536,0.030645,0.035092,0.044605,0.064391", \ - "0.034829,0.035513,0.036568,0.038760,0.043269,0.052511,0.072001"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011762,0.012638,0.013867,0.016287,0.021037,0.030376,0.048834", \ - "0.012339,0.013215,0.014446,0.016867,0.021621,0.030963,0.049425", \ - "0.015296,0.016119,0.017262,0.019605,0.024290,0.033558,0.051949", \ - "0.019223,0.020320,0.021831,0.024701,0.029980,0.039318,0.057346", \ - "0.022153,0.023499,0.025346,0.028844,0.035289,0.046805,0.066668", \ - "0.024116,0.025710,0.027909,0.032036,0.039612,0.053057,0.076369", \ - "0.025051,0.026898,0.029434,0.034198,0.042912,0.058347,0.084806"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013031,0.014220,0.015893,0.019213,0.025797,0.038881,0.064958", \ - "0.013879,0.015085,0.016786,0.020150,0.026798,0.039960,0.066102", \ - "0.016560,0.017752,0.019416,0.022731,0.029347,0.042519,0.068715", \ - "0.020545,0.021965,0.023918,0.027664,0.034715,0.047780,0.073741", \ - "0.025988,0.027652,0.029891,0.034113,0.041930,0.056304,0.082534", \ - "0.032425,0.034402,0.037040,0.041954,0.050813,0.066555,0.094895", \ - "0.039553,0.041866,0.044955,0.050680,0.060881,0.078495,0.109049"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008668,0.009401,0.010428,0.012474,0.016538,0.024621,0.040718", \ - "0.008661,0.009390,0.010419,0.012468,0.016539,0.024620,0.040715", \ - "0.008961,0.009596,0.010524,0.012431,0.016460,0.024616,0.040716", \ - "0.012603,0.013244,0.014126,0.015835,0.019085,0.025599,0.040674", \ - "0.017929,0.018666,0.019663,0.021564,0.025123,0.031736,0.044125", \ - "0.024786,0.025662,0.026826,0.029030,0.033023,0.040184,0.053260", \ - "0.033080,0.034136,0.035525,0.038127,0.042738,0.050675,0.064621"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010990,0.012131,0.013728,0.016857,0.022978,0.035001,0.058867", \ - "0.010991,0.012132,0.013728,0.016858,0.022978,0.035001,0.058872", \ - "0.011561,0.012552,0.013983,0.016911,0.022980,0.035001,0.058867", \ - "0.014901,0.015749,0.016975,0.019425,0.024403,0.035317,0.058864", \ - "0.019845,0.020558,0.021624,0.023891,0.028720,0.038577,0.059780", \ - "0.026435,0.027102,0.028098,0.030207,0.034698,0.044336,0.064251", \ - "0.034248,0.034925,0.035947,0.038112,0.042595,0.051903,0.071618"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013893,0.014912,0.016341,0.019163,0.024715,0.035649,0.057287", \ - "0.014401,0.015421,0.016854,0.019679,0.025235,0.036173,0.057815", \ - "0.017093,0.018068,0.019463,0.022241,0.027732,0.038594,0.060172", \ - "0.021704,0.022902,0.024548,0.027685,0.033477,0.044057,0.065266", \ - "0.025619,0.027057,0.029045,0.032807,0.039786,0.052386,0.074275", \ - "0.028953,0.030642,0.032980,0.037368,0.045476,0.059984,0.085474", \ - "0.031637,0.033587,0.036274,0.041314,0.050574,0.067041,0.095650"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013075,0.014264,0.015938,0.019257,0.025838,0.038921,0.065000", \ - "0.013945,0.015154,0.016855,0.020222,0.026870,0.040028,0.066165", \ - "0.016615,0.017808,0.019477,0.022801,0.029425,0.042603,0.068795", \ - "0.020424,0.021860,0.023830,0.027601,0.034689,0.047789,0.073781", \ - "0.025370,0.027069,0.029356,0.033655,0.041571,0.056056,0.082388", \ - "0.030996,0.033024,0.035743,0.040777,0.049824,0.065801,0.094366", \ - "0.037002,0.039390,0.042577,0.048458,0.058924,0.076911,0.107877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010301,0.011149,0.012352,0.014749,0.019509,0.028987,0.047874", \ - "0.010296,0.011151,0.012350,0.014745,0.019512,0.028987,0.047874", \ - "0.010374,0.011163,0.012304,0.014651,0.019495,0.028988,0.047873", \ - "0.013592,0.014348,0.015391,0.017436,0.021281,0.029425,0.047866", \ - "0.018794,0.019620,0.020737,0.022904,0.027023,0.034785,0.049921", \ - "0.025590,0.026552,0.027825,0.030262,0.034738,0.042943,0.058206", \ - "0.033836,0.034970,0.036465,0.039291,0.044345,0.053219,0.069236"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010990,0.012130,0.013726,0.016858,0.022978,0.035002,0.058867", \ - "0.010991,0.012132,0.013727,0.016858,0.022978,0.035000,0.058866", \ - "0.011549,0.012540,0.013974,0.016907,0.022979,0.034998,0.058865", \ - "0.014953,0.015789,0.017007,0.019446,0.024409,0.035316,0.058862", \ - "0.020085,0.020784,0.021837,0.024077,0.028849,0.038644,0.059797", \ - "0.026866,0.027535,0.028536,0.030645,0.035092,0.044605,0.064391", \ - "0.034829,0.035513,0.036568,0.038760,0.043269,0.052511,0.072001"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010645,0.011647,0.013055,0.015836,0.021319,0.032161,0.053685", \ - "0.011145,0.012150,0.013561,0.016346,0.021836,0.032684,0.054213", \ - "0.013990,0.014980,0.016316,0.018972,0.024368,0.035122,0.056575", \ - "0.017514,0.018799,0.020558,0.023876,0.029946,0.040684,0.061718", \ - "0.020474,0.022037,0.024169,0.028167,0.035466,0.048461,0.070847", \ - "0.022826,0.024674,0.027199,0.031900,0.040428,0.055431,0.081435", \ - "0.024515,0.026656,0.029570,0.034986,0.044780,0.061876,0.091094"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012595,0.013771,0.015430,0.018716,0.025231,0.038188,0.064024", \ - "0.013460,0.014657,0.016341,0.019676,0.026259,0.039291,0.065191", \ - "0.016102,0.017305,0.018966,0.022258,0.028816,0.041865,0.067824", \ - "0.019738,0.021184,0.023164,0.026943,0.034021,0.047054,0.072805", \ - "0.024405,0.026137,0.028464,0.032807,0.040748,0.055205,0.081420", \ - "0.029593,0.031688,0.034476,0.039612,0.048756,0.064767,0.093256", \ - "0.035003,0.037491,0.040789,0.046840,0.057483,0.075609,0.106574"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008212,0.009056,0.010244,0.012620,0.017347,0.026780,0.045589", \ - "0.008195,0.009039,0.010237,0.012613,0.017346,0.026780,0.045582", \ - "0.008774,0.009478,0.010502,0.012652,0.017284,0.026773,0.045591", \ - "0.012331,0.013055,0.014056,0.016033,0.019867,0.027589,0.045580", \ - "0.017602,0.018425,0.019533,0.021665,0.025691,0.033364,0.048200", \ - "0.024292,0.025278,0.026587,0.029046,0.033511,0.041585,0.056750", \ - "0.032321,0.033511,0.035081,0.037983,0.043101,0.051945,0.067798"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007546,0.008603,0.010089,0.013077,0.019036,0.030956,0.054788", \ - "0.007549,0.008600,0.010092,0.013074,0.019037,0.030959,0.054791", \ - "0.008151,0.009042,0.010367,0.013132,0.019042,0.030956,0.054796", \ - "0.010866,0.011744,0.013014,0.015558,0.020518,0.031287,0.054794", \ - "0.015075,0.015916,0.017124,0.019565,0.024561,0.034669,0.055743", \ - "0.020583,0.021506,0.022797,0.025330,0.030269,0.040196,0.060370", \ - "0.027104,0.028126,0.029578,0.032393,0.037689,0.047670,0.067678"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009048,0.009908,0.011114,0.013494,0.018181,0.027433,0.045787", \ - "0.009623,0.010483,0.011691,0.014073,0.018764,0.028020,0.046377", \ - "0.012516,0.013416,0.014638,0.016913,0.021470,0.030630,0.048909", \ - "0.015380,0.016568,0.018195,0.021244,0.026792,0.036490,0.054350", \ - "0.017343,0.018815,0.020818,0.024553,0.031329,0.043243,0.063588", \ - "0.018348,0.020101,0.022480,0.026913,0.034922,0.048867,0.072698", \ - "0.018334,0.020366,0.023118,0.028239,0.037475,0.053524,0.080620"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012551,0.013728,0.015386,0.018672,0.025189,0.038147,0.063987", \ - "0.013394,0.014588,0.016271,0.019604,0.026188,0.039223,0.065127", \ - "0.016047,0.017247,0.018904,0.022186,0.028735,0.041782,0.067744", \ - "0.019866,0.021297,0.023256,0.027007,0.034050,0.047046,0.072768", \ - "0.025047,0.026740,0.029015,0.033278,0.041114,0.055456,0.081568", \ - "0.031066,0.033103,0.035815,0.040821,0.049768,0.065534,0.093791", \ - "0.037619,0.040029,0.043232,0.049113,0.059483,0.077220,0.107760"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006883,0.007604,0.008628,0.010660,0.014699,0.022745,0.038780", \ - "0.006839,0.007574,0.008606,0.010650,0.014702,0.022744,0.038781", \ - "0.007828,0.008362,0.009166,0.010891,0.014640,0.022732,0.038777", \ - "0.011615,0.012240,0.013095,0.014757,0.017966,0.024213,0.038763", \ - "0.016965,0.017697,0.018689,0.020574,0.024074,0.030599,0.042824", \ - "0.023693,0.024602,0.025799,0.028029,0.032035,0.039113,0.052079", \ - "0.031788,0.032893,0.034342,0.037029,0.041705,0.049645,0.063459"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007547,0.008600,0.010092,0.013073,0.019035,0.030962,0.054788", \ - "0.007548,0.008599,0.010091,0.013079,0.019039,0.030964,0.054794", \ - "0.008166,0.009054,0.010375,0.013141,0.019038,0.030957,0.054790", \ - "0.010824,0.011710,0.012984,0.015540,0.020510,0.031296,0.054791", \ - "0.014891,0.015731,0.016940,0.019401,0.024436,0.034603,0.055726", \ - "0.020245,0.021158,0.022432,0.024951,0.029904,0.039938,0.060231", \ - "0.026661,0.027658,0.029078,0.031842,0.037089,0.047087,0.067288"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011762,0.012638,0.013867,0.016287,0.021037,0.030376,0.048834", \ - "0.012339,0.013215,0.014446,0.016867,0.021621,0.030963,0.049425", \ - "0.015296,0.016119,0.017262,0.019605,0.024290,0.033558,0.051949", \ - "0.019223,0.020320,0.021831,0.024701,0.029980,0.039318,0.057346", \ - "0.022153,0.023499,0.025346,0.028844,0.035289,0.046805,0.066668", \ - "0.024116,0.025710,0.027909,0.032036,0.039612,0.053057,0.076369", \ - "0.025051,0.026898,0.029434,0.034198,0.042912,0.058347,0.084806"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013031,0.014220,0.015893,0.019213,0.025797,0.038881,0.064958", \ - "0.013879,0.015085,0.016786,0.020150,0.026798,0.039960,0.066102", \ - "0.016560,0.017752,0.019416,0.022731,0.029347,0.042519,0.068715", \ - "0.020545,0.021965,0.023918,0.027664,0.034715,0.047780,0.073741", \ - "0.025988,0.027652,0.029891,0.034113,0.041930,0.056304,0.082534", \ - "0.032425,0.034402,0.037040,0.041954,0.050813,0.066555,0.094895", \ - "0.039553,0.041866,0.044955,0.050680,0.060881,0.078495,0.109049"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008668,0.009401,0.010428,0.012474,0.016538,0.024621,0.040718", \ - "0.008661,0.009390,0.010419,0.012468,0.016539,0.024620,0.040715", \ - "0.008961,0.009596,0.010524,0.012431,0.016460,0.024616,0.040716", \ - "0.012603,0.013244,0.014126,0.015835,0.019085,0.025599,0.040674", \ - "0.017929,0.018666,0.019663,0.021564,0.025123,0.031736,0.044125", \ - "0.024786,0.025662,0.026826,0.029030,0.033023,0.040184,0.053260", \ - "0.033080,0.034136,0.035525,0.038127,0.042738,0.050675,0.064621"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010990,0.012131,0.013728,0.016857,0.022978,0.035001,0.058867", \ - "0.010991,0.012132,0.013728,0.016858,0.022978,0.035001,0.058872", \ - "0.011561,0.012552,0.013983,0.016911,0.022980,0.035001,0.058867", \ - "0.014901,0.015749,0.016975,0.019425,0.024403,0.035317,0.058864", \ - "0.019845,0.020558,0.021624,0.023891,0.028720,0.038577,0.059780", \ - "0.026435,0.027102,0.028098,0.030207,0.034698,0.044336,0.064251", \ - "0.034248,0.034925,0.035947,0.038112,0.042595,0.051903,0.071618"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009048,0.009908,0.011114,0.013494,0.018181,0.027433,0.045787", \ - "0.009623,0.010483,0.011691,0.014073,0.018764,0.028020,0.046377", \ - "0.012516,0.013416,0.014638,0.016913,0.021470,0.030630,0.048909", \ - "0.015380,0.016568,0.018195,0.021244,0.026792,0.036490,0.054350", \ - "0.017343,0.018815,0.020818,0.024553,0.031329,0.043243,0.063588", \ - "0.018348,0.020101,0.022480,0.026913,0.034922,0.048867,0.072698", \ - "0.018334,0.020366,0.023118,0.028239,0.037475,0.053524,0.080620"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012551,0.013728,0.015386,0.018672,0.025189,0.038147,0.063987", \ - "0.013394,0.014588,0.016271,0.019604,0.026188,0.039223,0.065127", \ - "0.016047,0.017247,0.018904,0.022186,0.028735,0.041782,0.067744", \ - "0.019866,0.021297,0.023256,0.027007,0.034050,0.047046,0.072768", \ - "0.025047,0.026740,0.029015,0.033278,0.041114,0.055456,0.081568", \ - "0.031066,0.033103,0.035815,0.040821,0.049768,0.065534,0.093791", \ - "0.037619,0.040029,0.043232,0.049113,0.059483,0.077220,0.107760"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006883,0.007604,0.008628,0.010660,0.014699,0.022745,0.038780", \ - "0.006839,0.007574,0.008606,0.010650,0.014702,0.022744,0.038781", \ - "0.007828,0.008362,0.009166,0.010891,0.014640,0.022732,0.038777", \ - "0.011615,0.012240,0.013095,0.014757,0.017966,0.024213,0.038763", \ - "0.016965,0.017697,0.018689,0.020574,0.024074,0.030599,0.042824", \ - "0.023693,0.024602,0.025799,0.028029,0.032035,0.039113,0.052079", \ - "0.031788,0.032893,0.034342,0.037029,0.041705,0.049645,0.063459"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007547,0.008600,0.010092,0.013073,0.019035,0.030962,0.054788", \ - "0.007548,0.008599,0.010091,0.013079,0.019039,0.030964,0.054794", \ - "0.008166,0.009054,0.010375,0.013141,0.019038,0.030957,0.054790", \ - "0.010824,0.011710,0.012984,0.015540,0.020510,0.031296,0.054791", \ - "0.014891,0.015731,0.016940,0.019401,0.024436,0.034603,0.055726", \ - "0.020245,0.021158,0.022432,0.024951,0.029904,0.039938,0.060231", \ - "0.026661,0.027658,0.029078,0.031842,0.037089,0.047087,0.067288"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007979,0.008698,0.009705,0.011686,0.015581,0.023259,0.038481", \ - "0.008608,0.009327,0.010336,0.012319,0.016216,0.023896,0.039119", \ - "0.011266,0.012089,0.013204,0.015271,0.019073,0.026662,0.041805", \ - "0.013283,0.014387,0.015886,0.018681,0.023733,0.032489,0.047535", \ - "0.014049,0.015427,0.017299,0.020781,0.027046,0.037914,0.056256", \ - "0.013466,0.015119,0.017353,0.021512,0.028983,0.041896,0.063584", \ - "0.011476,0.013389,0.015976,0.020781,0.029431,0.044417,0.069401"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012543,0.013720,0.015379,0.018665,0.025182,0.038142,0.063985", \ - "0.013343,0.014536,0.016217,0.019549,0.026134,0.039171,0.065083", \ - "0.015983,0.017180,0.018832,0.022105,0.028645,0.041685,0.067650", \ - "0.020008,0.021422,0.023359,0.027076,0.034078,0.047031,0.072717", \ - "0.025803,0.027449,0.029669,0.033841,0.041554,0.055763,0.081747", \ - "0.032764,0.034734,0.037363,0.042229,0.050956,0.066453,0.094448", \ - "0.040604,0.042928,0.046022,0.051715,0.061782,0.079094,0.109179"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.005550,0.006135,0.006969,0.008632,0.011956,0.018594,0.031856", \ - "0.005537,0.006129,0.006967,0.008632,0.011956,0.018595,0.031854", \ - "0.007014,0.007469,0.008074,0.009332,0.012156,0.018596,0.031853", \ - "0.010898,0.011435,0.012172,0.013581,0.016236,0.021127,0.032204", \ - "0.016238,0.016897,0.017782,0.019443,0.022494,0.027993,0.037917", \ - "0.022965,0.023790,0.024887,0.026901,0.030468,0.036641,0.047599", \ - "0.031104,0.032107,0.033445,0.035903,0.040143,0.047218,0.059171"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007546,0.008604,0.010093,0.013078,0.019036,0.030963,0.054788", \ - "0.007547,0.008601,0.010091,0.013079,0.019041,0.030966,0.054792", \ - "0.008181,0.009070,0.010389,0.013140,0.019046,0.030962,0.054790", \ - "0.010784,0.011674,0.012952,0.015522,0.020507,0.031291,0.054791", \ - "0.014675,0.015511,0.016724,0.019202,0.024292,0.034522,0.055705", \ - "0.019873,0.020749,0.022011,0.024511,0.029477,0.039629,0.060069", \ - "0.026175,0.027136,0.028501,0.031196,0.036367,0.046396,0.066843"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018007,0.019035,0.020476,0.023314,0.028885,0.039837,0.061489", \ - "0.018746,0.019782,0.021233,0.024090,0.029688,0.040674,0.062356", \ - "0.020643,0.021681,0.023137,0.026003,0.031628,0.042658,0.064396", \ - "0.023687,0.024840,0.026439,0.029527,0.035405,0.046485,0.068204", \ - "0.026359,0.027748,0.029660,0.033282,0.039994,0.052162,0.074538", \ - "0.027650,0.029336,0.031646,0.035987,0.043933,0.057989,0.082461", \ - "0.027194,0.029184,0.031912,0.037019,0.046338,0.062702,0.090411"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016399,0.017607,0.019307,0.022685,0.029378,0.042663,0.069062", \ - "0.016948,0.018167,0.019876,0.023270,0.029993,0.043311,0.069735", \ - "0.020248,0.021417,0.023065,0.026373,0.032978,0.046179,0.072507", \ - "0.027247,0.028567,0.030382,0.033858,0.040292,0.052992,0.078796", \ - "0.035758,0.037293,0.039394,0.043440,0.051077,0.064969,0.090067", \ - "0.046070,0.047827,0.050201,0.054778,0.063358,0.079088,0.107017", \ - "0.058216,0.060185,0.062860,0.067999,0.077588,0.094968,0.125942"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010302,0.011152,0.012351,0.014749,0.019512,0.028988,0.047874", \ - "0.010302,0.011149,0.012351,0.014749,0.019510,0.028985,0.047874", \ - "0.010340,0.011159,0.012357,0.014747,0.019511,0.028988,0.047872", \ - "0.012359,0.013097,0.014144,0.016267,0.020401,0.029243,0.047870", \ - "0.016759,0.017451,0.018416,0.020332,0.024211,0.032144,0.048883", \ - "0.023059,0.023815,0.024851,0.026851,0.030669,0.038084,0.053489", \ - "0.030627,0.031502,0.032691,0.034981,0.039186,0.046825,0.061424"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018727,0.019801,0.021323,0.024364,0.030417,0.042456,0.066442", \ - "0.018727,0.019801,0.021324,0.024363,0.030417,0.042459,0.066435", \ - "0.018886,0.019875,0.021313,0.024362,0.030419,0.042458,0.066437", \ - "0.022592,0.023343,0.024448,0.026758,0.031678,0.042573,0.066434", \ - "0.028851,0.029659,0.030812,0.033143,0.037702,0.046679,0.067221", \ - "0.036528,0.037319,0.038506,0.040922,0.045793,0.055311,0.073465", \ - "0.045826,0.046633,0.047861,0.050342,0.055370,0.065394,0.084665"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014714,0.015729,0.017152,0.019952,0.025460,0.036322,0.057859", \ - "0.015403,0.016432,0.017871,0.020701,0.026247,0.037151,0.058724", \ - "0.017272,0.018304,0.019747,0.022588,0.028165,0.039124,0.060761", \ - "0.019668,0.020897,0.022582,0.025785,0.031785,0.042968,0.064581", \ - "0.021306,0.022836,0.024913,0.028799,0.035849,0.048348,0.070926", \ - "0.021438,0.023301,0.025826,0.030529,0.038987,0.053617,0.078576", \ - "0.019818,0.022012,0.024997,0.030534,0.040490,0.057618,0.086085"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015764,0.016964,0.018653,0.022003,0.028635,0.041792,0.067951", \ - "0.016316,0.017521,0.019223,0.022593,0.029253,0.042440,0.068626", \ - "0.019627,0.020782,0.022423,0.025697,0.032236,0.045305,0.071396", \ - "0.026392,0.027732,0.029574,0.033088,0.039565,0.052125,0.077689", \ - "0.034527,0.036101,0.038238,0.042339,0.050032,0.063984,0.088974", \ - "0.044267,0.046089,0.048553,0.053231,0.061932,0.077750,0.105751", \ - "0.055542,0.057642,0.060470,0.065808,0.075632,0.093210,0.124299"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008237,0.009071,0.010261,0.012626,0.017352,0.026776,0.045573", \ - "0.008237,0.009072,0.010260,0.012626,0.017357,0.026773,0.045578", \ - "0.008503,0.009271,0.010379,0.012655,0.017356,0.026774,0.045578", \ - "0.010996,0.011678,0.012653,0.014649,0.018680,0.027201,0.045576", \ - "0.015762,0.016422,0.017350,0.019171,0.022852,0.030496,0.046861", \ - "0.022090,0.022839,0.023876,0.025861,0.029594,0.036783,0.051816", \ - "0.029553,0.030434,0.031637,0.033950,0.038159,0.045702,0.060020"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014451,0.015556,0.017114,0.020201,0.026294,0.038350,0.062335", \ - "0.014451,0.015556,0.017114,0.020201,0.026295,0.038350,0.062337", \ - "0.014637,0.015649,0.017117,0.020196,0.026295,0.038351,0.062329", \ - "0.018424,0.019268,0.020354,0.022671,0.027604,0.038477,0.062325", \ - "0.023718,0.024651,0.025962,0.028538,0.033462,0.042636,0.063141", \ - "0.030476,0.031423,0.032801,0.035527,0.040833,0.050903,0.069425", \ - "0.038678,0.039685,0.041165,0.044055,0.049645,0.060345,0.080338"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012665,0.013539,0.014763,0.017171,0.021902,0.031225,0.049701", \ - "0.013395,0.014281,0.015519,0.017951,0.022714,0.032072,0.050579", \ - "0.015218,0.016143,0.017389,0.019825,0.024607,0.034009,0.052567", \ - "0.017061,0.018171,0.019683,0.022542,0.027841,0.037663,0.056219", \ - "0.017616,0.019028,0.020940,0.024503,0.030917,0.042123,0.061989", \ - "0.016191,0.017926,0.020277,0.024642,0.032460,0.045864,0.068301", \ - "0.012574,0.014628,0.017414,0.022585,0.031856,0.047713,0.073762"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015693,0.016891,0.018581,0.021929,0.028559,0.041711,0.067868", \ - "0.016181,0.017387,0.019088,0.022452,0.029111,0.042295,0.068475", \ - "0.019599,0.020748,0.022377,0.025634,0.032151,0.045189,0.071260", \ - "0.026670,0.027991,0.029809,0.033286,0.039706,0.052207,0.077697", \ - "0.035342,0.036883,0.038981,0.043022,0.050621,0.064430,0.089280", \ - "0.045953,0.047718,0.050116,0.054689,0.063227,0.078839,0.106558", \ - "0.058505,0.060529,0.063253,0.068430,0.077995,0.095231,0.125929"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006855,0.007566,0.008584,0.010608,0.014648,0.022710,0.038809", \ - "0.006854,0.007568,0.008581,0.010606,0.014649,0.022709,0.038808", \ - "0.007253,0.007886,0.008811,0.010709,0.014650,0.022709,0.038809", \ - "0.009845,0.010413,0.011229,0.012883,0.016310,0.023395,0.038821", \ - "0.014511,0.015102,0.015923,0.017510,0.020626,0.027007,0.040701", \ - "0.020514,0.021210,0.022155,0.023960,0.027298,0.033480,0.046062", \ - "0.027590,0.028401,0.029519,0.031647,0.035505,0.042250,0.054536"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014473,0.015577,0.017131,0.020214,0.026303,0.038354,0.062337", \ - "0.014474,0.015576,0.017131,0.020214,0.026305,0.038356,0.062339", \ - "0.014664,0.015675,0.017139,0.020210,0.026305,0.038356,0.062336", \ - "0.018313,0.019148,0.020259,0.022604,0.027573,0.038474,0.062329", \ - "0.023284,0.024234,0.025572,0.028195,0.033194,0.042477,0.063098", \ - "0.029578,0.030558,0.031953,0.034724,0.040136,0.050385,0.069124", \ - "0.037318,0.038328,0.039803,0.042704,0.048364,0.059264,0.079583"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014714,0.015729,0.017152,0.019952,0.025460,0.036322,0.057859", \ - "0.015403,0.016432,0.017871,0.020701,0.026247,0.037151,0.058724", \ - "0.017272,0.018304,0.019747,0.022588,0.028165,0.039124,0.060761", \ - "0.019668,0.020897,0.022582,0.025785,0.031785,0.042968,0.064581", \ - "0.021306,0.022836,0.024913,0.028799,0.035849,0.048348,0.070926", \ - "0.021438,0.023301,0.025826,0.030529,0.038987,0.053617,0.078576", \ - "0.019818,0.022012,0.024997,0.030534,0.040490,0.057618,0.086085"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015764,0.016964,0.018653,0.022003,0.028635,0.041792,0.067951", \ - "0.016316,0.017521,0.019223,0.022593,0.029253,0.042440,0.068626", \ - "0.019627,0.020782,0.022423,0.025697,0.032236,0.045305,0.071396", \ - "0.026392,0.027732,0.029574,0.033088,0.039565,0.052125,0.077689", \ - "0.034527,0.036101,0.038238,0.042339,0.050032,0.063984,0.088974", \ - "0.044267,0.046089,0.048553,0.053231,0.061932,0.077750,0.105751", \ - "0.055542,0.057642,0.060470,0.065808,0.075632,0.093210,0.124299"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008237,0.009071,0.010261,0.012626,0.017352,0.026776,0.045573", \ - "0.008237,0.009072,0.010260,0.012626,0.017357,0.026773,0.045578", \ - "0.008503,0.009271,0.010379,0.012655,0.017356,0.026774,0.045578", \ - "0.010996,0.011678,0.012653,0.014649,0.018680,0.027201,0.045576", \ - "0.015762,0.016422,0.017350,0.019171,0.022852,0.030496,0.046861", \ - "0.022090,0.022839,0.023876,0.025861,0.029594,0.036783,0.051816", \ - "0.029553,0.030434,0.031637,0.033950,0.038159,0.045702,0.060020"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014451,0.015556,0.017114,0.020201,0.026294,0.038350,0.062335", \ - "0.014451,0.015556,0.017114,0.020201,0.026295,0.038350,0.062337", \ - "0.014637,0.015649,0.017117,0.020196,0.026295,0.038351,0.062329", \ - "0.018424,0.019268,0.020354,0.022671,0.027604,0.038477,0.062325", \ - "0.023718,0.024651,0.025962,0.028538,0.033462,0.042636,0.063141", \ - "0.030476,0.031423,0.032801,0.035527,0.040833,0.050903,0.069425", \ - "0.038678,0.039685,0.041165,0.044055,0.049645,0.060345,0.080338"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011688,0.012661,0.014031,0.016748,0.022141,0.032872,0.054280", \ - "0.012289,0.013290,0.014692,0.017456,0.022906,0.033692,0.055142", \ - "0.013886,0.014975,0.016468,0.019289,0.024789,0.035644,0.057168", \ - "0.015343,0.016699,0.018528,0.021933,0.028131,0.039480,0.060989", \ - "0.015682,0.017417,0.019735,0.023993,0.031513,0.044459,0.067268", \ - "0.014470,0.016593,0.019424,0.024612,0.033726,0.049079,0.074612", \ - "0.011520,0.014034,0.017371,0.023484,0.034236,0.052282,0.081619"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015171,0.016364,0.018042,0.021366,0.027942,0.040980,0.066903", \ - "0.015719,0.016920,0.018610,0.021954,0.028558,0.041625,0.067578", \ - "0.019045,0.020192,0.021818,0.025063,0.031547,0.044491,0.070353", \ - "0.025595,0.026954,0.028813,0.032360,0.038882,0.051321,0.076649", \ - "0.033421,0.035025,0.037193,0.041332,0.049066,0.063059,0.087936", \ - "0.042719,0.044590,0.047109,0.051866,0.060644,0.076519,0.104551", \ - "0.053353,0.055532,0.058453,0.063927,0.073910,0.091619,0.122765"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006087,0.006919,0.008099,0.010457,0.015166,0.024556,0.043306", \ - "0.006089,0.006922,0.008098,0.010457,0.015167,0.024567,0.043297", \ - "0.006838,0.007521,0.008537,0.010670,0.015189,0.024554,0.043300", \ - "0.009840,0.010452,0.011340,0.013177,0.017062,0.025229,0.043309", \ - "0.014921,0.015550,0.016427,0.018151,0.021603,0.028936,0.044906", \ - "0.021284,0.022006,0.023015,0.024958,0.028611,0.035553,0.050186", \ - "0.028694,0.029519,0.030709,0.032997,0.037185,0.044647,0.058663"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010657,0.011716,0.013223,0.016239,0.022255,0.034263,0.058233", \ - "0.010655,0.011720,0.013224,0.016239,0.022254,0.034264,0.058234", \ - "0.010869,0.011832,0.013242,0.016230,0.022253,0.034263,0.058234", \ - "0.014199,0.015141,0.016435,0.018784,0.023611,0.034401,0.058227", \ - "0.018460,0.019522,0.020991,0.023823,0.029121,0.038612,0.059065", \ - "0.023970,0.025140,0.026776,0.029888,0.035705,0.046397,0.065386", \ - "0.030685,0.032030,0.033878,0.037341,0.043673,0.055171,0.075952"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010136,0.010967,0.012139,0.014465,0.019084,0.028279,0.046625", \ - "0.010793,0.011647,0.012847,0.015212,0.019879,0.029120,0.047502", \ - "0.012283,0.013236,0.014547,0.017038,0.021753,0.031047,0.049487", \ - "0.013173,0.014411,0.016074,0.019145,0.024665,0.034621,0.053156", \ - "0.012447,0.014057,0.016206,0.020137,0.027025,0.038701,0.058826", \ - "0.009739,0.011723,0.014364,0.019197,0.027654,0.041775,0.064825", \ - "0.004870,0.007223,0.010347,0.016065,0.026096,0.042850,0.069774"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015102,0.016295,0.017973,0.021297,0.027874,0.040912,0.066842", \ - "0.015587,0.016787,0.018477,0.021820,0.028424,0.041491,0.067450", \ - "0.019021,0.020161,0.021777,0.025008,0.031469,0.044393,0.070235", \ - "0.025886,0.027225,0.029061,0.032567,0.039030,0.051413,0.076675", \ - "0.034269,0.035834,0.037962,0.042040,0.049676,0.063525,0.088261", \ - "0.044465,0.046281,0.048730,0.053373,0.061984,0.077641,0.105390", \ - "0.056423,0.058521,0.061334,0.066640,0.076349,0.093693,0.124439"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.005035,0.005746,0.006751,0.008764,0.012785,0.020812,0.036847", \ - "0.005041,0.005749,0.006752,0.008764,0.012791,0.020814,0.036848", \ - "0.005930,0.006519,0.007347,0.009098,0.012849,0.020813,0.036844", \ - "0.008966,0.009486,0.010233,0.011748,0.014957,0.021790,0.036921", \ - "0.013822,0.014390,0.015179,0.016710,0.019672,0.025767,0.039118", \ - "0.019848,0.020510,0.021438,0.023211,0.026495,0.032541,0.044756", \ - "0.026874,0.027642,0.028738,0.030848,0.034688,0.041403,0.053489"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010658,0.011717,0.013224,0.016238,0.022254,0.034261,0.058234", \ - "0.010654,0.011719,0.013223,0.016237,0.022253,0.034259,0.058234", \ - "0.010875,0.011836,0.013245,0.016230,0.022253,0.034263,0.058235", \ - "0.014077,0.015030,0.016338,0.018701,0.023567,0.034394,0.058228", \ - "0.018056,0.019132,0.020623,0.023495,0.028860,0.038443,0.059017", \ - "0.023194,0.024373,0.026009,0.029148,0.035045,0.045896,0.065077", \ - "0.029536,0.030857,0.032681,0.036120,0.042478,0.054127,0.075216"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012759,0.013619,0.014822,0.017191,0.021842,0.031004,0.049153", \ - "0.013493,0.014363,0.015581,0.017974,0.022658,0.031856,0.050035", \ - "0.016067,0.016942,0.018158,0.020554,0.025263,0.034509,0.052741", \ - "0.018919,0.020090,0.021687,0.024698,0.030183,0.039890,0.058098", \ - "0.020494,0.021972,0.023986,0.027750,0.034563,0.046457,0.066694", \ - "0.020415,0.022228,0.024684,0.029267,0.037516,0.051757,0.075650", \ - "0.018484,0.020623,0.023527,0.028931,0.038669,0.055432,0.083184"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017018,0.018206,0.019881,0.023211,0.029822,0.042951,0.069089", \ - "0.017587,0.018782,0.020470,0.023820,0.030461,0.043634,0.069807", \ - "0.020892,0.022041,0.023673,0.026933,0.033455,0.046508,0.072591", \ - "0.027918,0.029223,0.031020,0.034455,0.040817,0.053381,0.078943", \ - "0.036377,0.037922,0.040015,0.044046,0.051638,0.065431,0.090337", \ - "0.046549,0.048323,0.050725,0.055307,0.063890,0.079583,0.107390", \ - "0.058340,0.060378,0.063118,0.068338,0.078003,0.095429,0.126384"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007698,0.008389,0.009368,0.011331,0.015264,0.023115,0.038780", \ - "0.007699,0.008390,0.009368,0.011334,0.015266,0.023111,0.038780", \ - "0.008085,0.008680,0.009552,0.011374,0.015257,0.023115,0.038785", \ - "0.011429,0.011986,0.012774,0.014353,0.017466,0.023915,0.038780", \ - "0.016614,0.017197,0.018007,0.019609,0.022745,0.028964,0.041357", \ - "0.023255,0.023921,0.024847,0.026638,0.030023,0.036382,0.048745", \ - "0.031048,0.031821,0.032907,0.035010,0.038908,0.045865,0.058522"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015087,0.016205,0.017777,0.020884,0.027001,0.039076,0.063076", \ - "0.015087,0.016205,0.017777,0.020883,0.026999,0.039077,0.063083", \ - "0.015180,0.016230,0.017765,0.020882,0.027000,0.039078,0.063085", \ - "0.018751,0.019528,0.020677,0.023072,0.028114,0.039153,0.063079", \ - "0.023953,0.024895,0.026229,0.028838,0.033783,0.043039,0.063771", \ - "0.030541,0.031512,0.032911,0.035665,0.041015,0.051142,0.069767", \ - "0.038630,0.039639,0.041127,0.044035,0.049649,0.060404,0.080461"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010282,0.011101,0.012257,0.014548,0.019093,0.028132,0.046160", \ - "0.010939,0.011783,0.012965,0.015296,0.019890,0.028976,0.047040", \ - "0.013131,0.014095,0.015404,0.017825,0.022464,0.031611,0.049737", \ - "0.014771,0.016072,0.017825,0.021076,0.026886,0.036941,0.055095", \ - "0.015029,0.016706,0.018954,0.023086,0.030378,0.042802,0.063537", \ - "0.013632,0.015689,0.018437,0.023488,0.032380,0.047349,0.071918", \ - "0.010396,0.012834,0.016075,0.022033,0.032541,0.050210,0.078849"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016427,0.017606,0.019270,0.022573,0.029124,0.042133,0.068041", \ - "0.016994,0.018181,0.019856,0.023180,0.029764,0.042814,0.068763", \ - "0.020312,0.021450,0.023067,0.026297,0.032763,0.045690,0.071546", \ - "0.027155,0.028474,0.030283,0.033746,0.040130,0.052573,0.077893", \ - "0.035330,0.036895,0.039009,0.043068,0.050692,0.064520,0.089303", \ - "0.045097,0.046916,0.049348,0.053993,0.062635,0.078368,0.106204", \ - "0.056306,0.058412,0.061220,0.066540,0.076337,0.093869,0.124871"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.005838,0.006535,0.007522,0.009493,0.013423,0.021257,0.036899", \ - "0.005838,0.006534,0.007523,0.009494,0.013421,0.021256,0.036891", \ - "0.006867,0.007374,0.008139,0.009801,0.013448,0.021256,0.036893", \ - "0.010502,0.011023,0.011757,0.013262,0.016308,0.022467,0.036899", \ - "0.015925,0.016469,0.017233,0.018757,0.021769,0.027824,0.039982", \ - "0.022624,0.023245,0.024131,0.025869,0.029181,0.035398,0.047556", \ - "0.030416,0.031114,0.032150,0.034211,0.038054,0.044935,0.057443"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011303,0.012377,0.013896,0.016925,0.022963,0.034995,0.058980", \ - "0.011303,0.012378,0.013897,0.016925,0.022962,0.034991,0.058981", \ - "0.011416,0.012418,0.013876,0.016921,0.022961,0.034992,0.058992", \ - "0.014648,0.015582,0.016864,0.019188,0.024118,0.035074,0.058981", \ - "0.018852,0.019912,0.021378,0.024215,0.029506,0.039021,0.059701", \ - "0.024287,0.025451,0.027061,0.030156,0.035980,0.046680,0.065722", \ - "0.030978,0.032281,0.034087,0.037502,0.043788,0.055286,0.076107"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008726,0.009396,0.010340,0.012212,0.015927,0.023318,0.038057", \ - "0.009463,0.010152,0.011118,0.013022,0.016776,0.024204,0.038973", \ - "0.011545,0.012397,0.013545,0.015678,0.019523,0.027000,0.041817", \ - "0.012525,0.013709,0.015298,0.018224,0.023405,0.032242,0.047394", \ - "0.011672,0.013224,0.015300,0.019102,0.025762,0.036948,0.055298", \ - "0.008723,0.010643,0.013200,0.017893,0.026117,0.039844,0.061986", \ - "0.003514,0.005791,0.008817,0.014375,0.024151,0.040503,0.066710"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016358,0.017537,0.019200,0.022504,0.029055,0.042065,0.067975", \ - "0.016858,0.018044,0.019719,0.023043,0.029628,0.042678,0.068630", \ - "0.020289,0.021423,0.023030,0.026244,0.032688,0.045591,0.071429", \ - "0.027449,0.028752,0.030543,0.033971,0.040302,0.052692,0.077943", \ - "0.036180,0.037701,0.039782,0.043794,0.051334,0.065037,0.089694", \ - "0.046820,0.048576,0.050953,0.055497,0.064000,0.079553,0.107137", \ - "0.059340,0.061346,0.064062,0.069226,0.078774,0.096000,0.126662"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.004554,0.005121,0.005926,0.007531,0.010737,0.017129,0.029890", \ - "0.004557,0.005123,0.005926,0.007532,0.010736,0.017129,0.029891", \ - "0.005913,0.006345,0.006921,0.008142,0.010910,0.017134,0.029893", \ - "0.009554,0.009987,0.010604,0.011836,0.014288,0.019127,0.030158", \ - "0.014723,0.015207,0.015895,0.017235,0.019826,0.024794,0.034560", \ - "0.021066,0.021626,0.022432,0.024007,0.026977,0.032359,0.042400", \ - "0.028445,0.029091,0.030046,0.031919,0.035405,0.041590,0.052352"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011305,0.012378,0.013898,0.016926,0.022963,0.034992,0.058986", \ - "0.011304,0.012378,0.013896,0.016925,0.022964,0.034989,0.058982", \ - "0.011421,0.012417,0.013875,0.016920,0.022965,0.034991,0.058991", \ - "0.014531,0.015473,0.016758,0.019105,0.024071,0.035066,0.058979", \ - "0.018461,0.019532,0.021024,0.023893,0.029237,0.038840,0.059652", \ - "0.023515,0.024687,0.026302,0.029426,0.035327,0.046166,0.065405", \ - "0.029815,0.031110,0.032892,0.036284,0.042593,0.054246,0.075339"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.021066,0.022092,0.023533,0.026376,0.031973,0.042979,0.064714", \ - "0.021668,0.022695,0.024140,0.026989,0.032594,0.043610,0.065353", \ - "0.023619,0.024648,0.026097,0.028953,0.034570,0.045604,0.067368", \ - "0.027068,0.028176,0.029716,0.032721,0.038492,0.049509,0.071238", \ - "0.030770,0.032058,0.033844,0.037266,0.043689,0.055554,0.077696", \ - "0.033792,0.035310,0.037426,0.041431,0.048850,0.062260,0.086142", \ - "0.035831,0.037601,0.040064,0.044696,0.053237,0.068538,0.095108"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018676,0.019878,0.021575,0.024946,0.031637,0.044912,0.071308", \ - "0.019588,0.020806,0.022514,0.025909,0.032625,0.045930,0.072352", \ - "0.022126,0.023337,0.025042,0.028437,0.035172,0.048515,0.074973", \ - "0.027062,0.028379,0.030200,0.033755,0.040518,0.053663,0.079944", \ - "0.033756,0.035215,0.037217,0.041103,0.048542,0.062529,0.088619", \ - "0.042082,0.043749,0.046008,0.050337,0.058473,0.073537,0.101362", \ - "0.051662,0.053565,0.056140,0.061047,0.070137,0.086562,0.116207"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012370,0.013233,0.014451,0.016873,0.021681,0.031215,0.050173", \ - "0.012370,0.013236,0.014453,0.016874,0.021682,0.031215,0.050177", \ - "0.012376,0.013234,0.014450,0.016874,0.021681,0.031216,0.050175", \ - "0.014056,0.014819,0.015909,0.018044,0.022361,0.031397,0.050172", \ - "0.018068,0.018786,0.019794,0.021800,0.025820,0.033965,0.051041", \ - "0.024064,0.024825,0.025865,0.027900,0.031842,0.039535,0.055307", \ - "0.031471,0.032339,0.033495,0.035751,0.039952,0.047728,0.062831"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018728,0.019801,0.021324,0.024364,0.030419,0.042456,0.066433", \ - "0.018727,0.019801,0.021323,0.024364,0.030417,0.042457,0.066434", \ - "0.018793,0.019828,0.021330,0.024365,0.030417,0.042459,0.066433", \ - "0.021311,0.022142,0.023365,0.025910,0.031249,0.042566,0.066434", \ - "0.026045,0.026844,0.028008,0.030399,0.035278,0.045237,0.067068", \ - "0.032342,0.033094,0.034198,0.036480,0.041225,0.051065,0.071082", \ - "0.040118,0.040852,0.041950,0.044230,0.048901,0.058553,0.078516"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017657,0.018676,0.020107,0.022930,0.028485,0.039424,0.061070", \ - "0.018247,0.019272,0.020708,0.023539,0.029104,0.040054,0.061710", \ - "0.020190,0.021217,0.022655,0.025494,0.031075,0.042048,0.063730", \ - "0.023221,0.024375,0.025974,0.029054,0.034906,0.045971,0.067611", \ - "0.026124,0.027507,0.029415,0.033027,0.039703,0.051804,0.074095", \ - "0.028235,0.029885,0.032155,0.036417,0.044220,0.058067,0.082317", \ - "0.029361,0.031280,0.033924,0.038863,0.047876,0.063762,0.090919"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018045,0.019241,0.020923,0.024266,0.030890,0.044040,0.070198", \ - "0.018955,0.020161,0.021862,0.025228,0.031883,0.045061,0.071243", \ - "0.021494,0.022695,0.024391,0.027757,0.034427,0.047640,0.073862", \ - "0.026288,0.027611,0.029445,0.033005,0.039772,0.052788,0.078834", \ - "0.032740,0.034225,0.036254,0.040168,0.047612,0.061570,0.087514", \ - "0.040677,0.042389,0.044707,0.049107,0.057302,0.072380,0.100134", \ - "0.049660,0.051651,0.054328,0.059367,0.068603,0.085142,0.114777"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010317,0.011167,0.012367,0.014761,0.019525,0.029001,0.047890", \ - "0.010317,0.011166,0.012368,0.014764,0.019527,0.029002,0.047889", \ - "0.010445,0.011253,0.012409,0.014772,0.019527,0.029002,0.047890", \ - "0.012556,0.013273,0.014312,0.016398,0.020534,0.029319,0.047884", \ - "0.016926,0.017620,0.018581,0.020506,0.024376,0.032263,0.048975", \ - "0.023005,0.023764,0.024792,0.026804,0.030644,0.038131,0.053582", \ - "0.030320,0.031200,0.032378,0.034655,0.038851,0.046531,0.061339"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014451,0.015556,0.017114,0.020200,0.026295,0.038351,0.062333", \ - "0.014450,0.015555,0.017114,0.020200,0.026293,0.038349,0.062327", \ - "0.014531,0.015589,0.017122,0.020201,0.026294,0.038350,0.062327", \ - "0.017115,0.018023,0.019262,0.021805,0.027154,0.038470,0.062334", \ - "0.021311,0.022190,0.023449,0.025990,0.031082,0.041181,0.062973", \ - "0.026975,0.027839,0.029077,0.031569,0.036600,0.046758,0.067019", \ - "0.033864,0.034765,0.036060,0.038651,0.043750,0.053853,0.074252"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015147,0.016028,0.017263,0.019696,0.024475,0.033875,0.052456", \ - "0.015793,0.016677,0.017916,0.020355,0.025143,0.034552,0.053141", \ - "0.017702,0.018590,0.019830,0.022273,0.027073,0.036500,0.055109", \ - "0.020195,0.021231,0.022657,0.025394,0.030546,0.040230,0.058811", \ - "0.022007,0.023282,0.025035,0.028334,0.034379,0.045190,0.064776", \ - "0.022492,0.024037,0.026152,0.030109,0.037306,0.049960,0.071683", \ - "0.021490,0.023305,0.025783,0.030406,0.038812,0.053498,0.078293"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017973,0.019169,0.020851,0.024192,0.030816,0.043963,0.070113", \ - "0.018830,0.020037,0.021733,0.025096,0.031746,0.044919,0.071096", \ - "0.021405,0.022601,0.024289,0.027644,0.034301,0.047496,0.073705", \ - "0.026385,0.027694,0.029512,0.033046,0.039775,0.052746,0.078751", \ - "0.033361,0.034815,0.036801,0.040646,0.047990,0.061826,0.087645", \ - "0.042198,0.043856,0.046103,0.050381,0.058389,0.073225,0.100719", \ - "0.052484,0.054393,0.056978,0.061844,0.070796,0.086928,0.116114"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008613,0.009341,0.010370,0.012420,0.016497,0.024609,0.040781", \ - "0.008612,0.009342,0.010370,0.012421,0.016497,0.024609,0.040782", \ - "0.008843,0.009522,0.010497,0.012462,0.016497,0.024610,0.040781", \ - "0.011106,0.011720,0.012581,0.014323,0.017851,0.025157,0.040789", \ - "0.015524,0.016129,0.016966,0.018604,0.021847,0.028463,0.042446", \ - "0.021460,0.022144,0.023069,0.024850,0.028189,0.034570,0.047513", \ - "0.028565,0.029364,0.030441,0.032501,0.036262,0.042978,0.055557"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014474,0.015577,0.017133,0.020215,0.026304,0.038356,0.062335", \ - "0.014474,0.015576,0.017133,0.020214,0.026304,0.038355,0.062330", \ - "0.014562,0.015615,0.017142,0.020215,0.026305,0.038357,0.062331", \ - "0.017103,0.018014,0.019257,0.021808,0.027163,0.038477,0.062334", \ - "0.021084,0.021974,0.023251,0.025823,0.030969,0.041125,0.062961", \ - "0.026423,0.027294,0.028549,0.031077,0.036183,0.046484,0.066892", \ - "0.033017,0.033910,0.035198,0.037795,0.042930,0.053176,0.073832"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017657,0.018676,0.020107,0.022930,0.028485,0.039424,0.061070", \ - "0.018247,0.019272,0.020708,0.023539,0.029104,0.040054,0.061710", \ - "0.020190,0.021217,0.022655,0.025494,0.031075,0.042048,0.063730", \ - "0.023221,0.024375,0.025974,0.029054,0.034906,0.045971,0.067611", \ - "0.026124,0.027507,0.029415,0.033027,0.039703,0.051804,0.074095", \ - "0.028235,0.029885,0.032155,0.036417,0.044220,0.058067,0.082317", \ - "0.029361,0.031280,0.033924,0.038863,0.047876,0.063762,0.090919"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018045,0.019241,0.020923,0.024266,0.030890,0.044040,0.070198", \ - "0.018955,0.020161,0.021862,0.025228,0.031883,0.045061,0.071243", \ - "0.021494,0.022695,0.024391,0.027757,0.034427,0.047640,0.073862", \ - "0.026288,0.027611,0.029445,0.033005,0.039772,0.052788,0.078834", \ - "0.032740,0.034225,0.036254,0.040168,0.047612,0.061570,0.087514", \ - "0.040677,0.042389,0.044707,0.049107,0.057302,0.072380,0.100134", \ - "0.049660,0.051651,0.054328,0.059367,0.068603,0.085142,0.114777"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010317,0.011167,0.012367,0.014761,0.019525,0.029001,0.047890", \ - "0.010317,0.011166,0.012368,0.014764,0.019527,0.029002,0.047889", \ - "0.010445,0.011253,0.012409,0.014772,0.019527,0.029002,0.047890", \ - "0.012556,0.013273,0.014312,0.016398,0.020534,0.029319,0.047884", \ - "0.016926,0.017620,0.018581,0.020506,0.024376,0.032263,0.048975", \ - "0.023005,0.023764,0.024792,0.026804,0.030644,0.038131,0.053582", \ - "0.030320,0.031200,0.032378,0.034655,0.038851,0.046531,0.061339"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014451,0.015556,0.017114,0.020200,0.026295,0.038351,0.062333", \ - "0.014450,0.015555,0.017114,0.020200,0.026293,0.038349,0.062327", \ - "0.014531,0.015589,0.017122,0.020201,0.026294,0.038350,0.062327", \ - "0.017115,0.018023,0.019262,0.021805,0.027154,0.038470,0.062334", \ - "0.021311,0.022190,0.023449,0.025990,0.031082,0.041181,0.062973", \ - "0.026975,0.027839,0.029077,0.031569,0.036600,0.046758,0.067019", \ - "0.033864,0.034765,0.036060,0.038651,0.043750,0.053853,0.074252"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014407,0.015409,0.016817,0.019598,0.025084,0.035931,0.057464", \ - "0.014983,0.015992,0.017407,0.020199,0.025700,0.036559,0.058103", \ - "0.016853,0.017890,0.019325,0.022134,0.027655,0.038544,0.060116", \ - "0.019188,0.020426,0.022119,0.025326,0.031303,0.042469,0.064003", \ - "0.021093,0.022617,0.024692,0.028562,0.035575,0.047998,0.070465", \ - "0.022184,0.024006,0.026478,0.031077,0.039355,0.053732,0.078423", \ - "0.022296,0.024420,0.027295,0.032628,0.042215,0.058787,0.086608"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017452,0.018640,0.020313,0.023630,0.030198,0.043226,0.069149", \ - "0.018358,0.019560,0.021248,0.024589,0.031187,0.044247,0.070193", \ - "0.020901,0.022095,0.023777,0.027116,0.033731,0.046824,0.072814", \ - "0.025563,0.026894,0.028734,0.032299,0.039069,0.051971,0.077788", \ - "0.031817,0.033320,0.035371,0.039301,0.046746,0.060670,0.086472", \ - "0.039426,0.041182,0.043545,0.048000,0.056236,0.071313,0.098977", \ - "0.047958,0.050011,0.052759,0.057894,0.067237,0.083842,0.113438"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008237,0.009073,0.010258,0.012632,0.017359,0.026790,0.045598", \ - "0.008239,0.009073,0.010260,0.012630,0.017362,0.026786,0.045602", \ - "0.008639,0.009396,0.010490,0.012717,0.017371,0.026790,0.045598", \ - "0.011230,0.011895,0.012856,0.014812,0.018829,0.027291,0.045603", \ - "0.015942,0.016598,0.017510,0.019332,0.022997,0.030627,0.046971", \ - "0.022056,0.022805,0.023825,0.025795,0.029530,0.036789,0.051901", \ - "0.029249,0.030127,0.031324,0.033613,0.037803,0.045369,0.059890"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010654,0.011717,0.013225,0.016236,0.022253,0.034261,0.058231", \ - "0.010654,0.011718,0.013227,0.016236,0.022252,0.034265,0.058223", \ - "0.010752,0.011759,0.013234,0.016237,0.022251,0.034261,0.058229", \ - "0.013077,0.014016,0.015333,0.017899,0.023137,0.034384,0.058236", \ - "0.016566,0.017524,0.018873,0.021555,0.026845,0.037139,0.058879", \ - "0.021306,0.022317,0.023746,0.026514,0.031877,0.042395,0.062966", \ - "0.027025,0.028160,0.029741,0.032771,0.038425,0.049076,0.069948"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012393,0.013257,0.014469,0.016861,0.021575,0.030884,0.049355", \ - "0.013026,0.013895,0.015114,0.017516,0.022241,0.031561,0.050041", \ - "0.014798,0.015722,0.016992,0.019424,0.024167,0.033511,0.052013", \ - "0.016589,0.017714,0.019247,0.022124,0.027422,0.037212,0.055733", \ - "0.017392,0.018808,0.020729,0.024295,0.030694,0.041853,0.061633", \ - "0.016878,0.018588,0.020905,0.025198,0.032879,0.046072,0.068277", \ - "0.014923,0.016926,0.019626,0.024629,0.033598,0.048987,0.074458"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017383,0.018571,0.020244,0.023561,0.030130,0.043161,0.069084", \ - "0.018237,0.019437,0.021124,0.024463,0.031060,0.044120,0.070066", \ - "0.020814,0.022003,0.023679,0.027011,0.033613,0.046695,0.072681", \ - "0.025668,0.026985,0.028807,0.032346,0.039080,0.051944,0.077718", \ - "0.032456,0.033929,0.035936,0.039795,0.047142,0.060943,0.086624", \ - "0.040989,0.042685,0.044976,0.049306,0.057352,0.072181,0.099583", \ - "0.050843,0.052814,0.055466,0.060424,0.069479,0.085669,0.114804"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006865,0.007580,0.008590,0.010616,0.014657,0.022720,0.038818", \ - "0.006861,0.007578,0.008589,0.010615,0.014658,0.022719,0.038819", \ - "0.007403,0.008027,0.008938,0.010807,0.014683,0.022720,0.038820", \ - "0.010113,0.010671,0.011464,0.013082,0.016445,0.023498,0.038867", \ - "0.014778,0.015350,0.016150,0.017713,0.020817,0.027158,0.040808", \ - "0.020725,0.021399,0.022312,0.024070,0.027338,0.033527,0.046150", \ - "0.027713,0.028510,0.029601,0.031677,0.035430,0.042087,0.054424"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010654,0.011716,0.013224,0.016238,0.022255,0.034265,0.058230", \ - "0.010654,0.011719,0.013225,0.016238,0.022254,0.034267,0.058234", \ - "0.010760,0.011766,0.013237,0.016237,0.022254,0.034266,0.058227", \ - "0.013043,0.013992,0.015314,0.017887,0.023135,0.034390,0.058229", \ - "0.016339,0.017310,0.018678,0.021388,0.026729,0.037077,0.058866", \ - "0.020811,0.021832,0.023263,0.026047,0.031470,0.042122,0.062826", \ - "0.026296,0.027419,0.028983,0.031992,0.037654,0.048412,0.069533"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015190,0.016054,0.017267,0.019657,0.024355,0.033592,0.051844", \ - "0.015836,0.016704,0.017921,0.020319,0.025025,0.034272,0.052532", \ - "0.018459,0.019327,0.020547,0.022952,0.027672,0.036939,0.055220", \ - "0.022274,0.023360,0.024850,0.027683,0.032912,0.042386,0.060627", \ - "0.025169,0.026510,0.028356,0.031844,0.038261,0.049665,0.069414", \ - "0.027075,0.028680,0.030893,0.035046,0.042647,0.056091,0.079159", \ - "0.027879,0.029753,0.032327,0.037152,0.045969,0.061499,0.087911"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019311,0.020493,0.022163,0.025484,0.032083,0.045208,0.071343", \ - "0.020226,0.021420,0.023109,0.026457,0.033093,0.046259,0.072428", \ - "0.022756,0.023942,0.025622,0.028968,0.035614,0.048812,0.075029", \ - "0.027752,0.029045,0.030839,0.034337,0.040998,0.053990,0.080022", \ - "0.034518,0.035966,0.037939,0.041775,0.049118,0.062952,0.088800", \ - "0.042918,0.044576,0.046825,0.051109,0.059157,0.074081,0.101677", \ - "0.052465,0.054393,0.056983,0.061879,0.070926,0.087248,0.116693"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009465,0.010166,0.011154,0.013137,0.017091,0.024974,0.040712", \ - "0.009468,0.010166,0.011155,0.013137,0.017086,0.024976,0.040711", \ - "0.009636,0.010284,0.011218,0.013137,0.017088,0.024974,0.040712", \ - "0.012701,0.013270,0.014078,0.015678,0.018819,0.025563,0.040708", \ - "0.017641,0.018246,0.019079,0.020739,0.023980,0.030300,0.042931", \ - "0.024063,0.024738,0.025662,0.027471,0.030927,0.037479,0.050067", \ - "0.031702,0.032492,0.033557,0.035631,0.039502,0.046542,0.059538"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015088,0.016206,0.017778,0.020883,0.027001,0.039078,0.063082", \ - "0.015087,0.016206,0.017777,0.020884,0.027001,0.039077,0.063081", \ - "0.015124,0.016223,0.017784,0.020885,0.027000,0.039078,0.063074", \ - "0.017533,0.018438,0.019703,0.022318,0.027752,0.039160,0.063075", \ - "0.021572,0.022480,0.023774,0.026373,0.031537,0.041718,0.063648", \ - "0.027043,0.027931,0.029207,0.031760,0.036882,0.047159,0.067539", \ - "0.033807,0.034722,0.036034,0.038664,0.043820,0.054040,0.074602"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012501,0.013349,0.014541,0.016893,0.021528,0.030677,0.048825", \ - "0.013134,0.013988,0.015186,0.017548,0.022194,0.031356,0.049512", \ - "0.015667,0.016562,0.017783,0.020162,0.024828,0.034015,0.052197", \ - "0.018441,0.019625,0.021234,0.024257,0.029745,0.039456,0.057610", \ - "0.020286,0.021763,0.023773,0.027523,0.034301,0.046133,0.066304", \ - "0.021172,0.022943,0.025351,0.029831,0.037903,0.051893,0.075525", \ - "0.020981,0.023043,0.025843,0.031046,0.040421,0.056635,0.083739"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018720,0.019894,0.021552,0.024846,0.031387,0.044387,0.070292", \ - "0.019632,0.020819,0.022495,0.025816,0.032396,0.045437,0.071373", \ - "0.022162,0.023341,0.025008,0.028325,0.034915,0.047991,0.073978", \ - "0.027047,0.028346,0.030142,0.033639,0.040297,0.053171,0.078970", \ - "0.033636,0.035095,0.037084,0.040927,0.048262,0.062057,0.087749", \ - "0.041744,0.043431,0.045712,0.050037,0.058114,0.073021,0.100521", \ - "0.050886,0.052854,0.055510,0.060474,0.069597,0.085971,0.115361"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007699,0.008389,0.009371,0.011338,0.015274,0.023126,0.038806", \ - "0.007700,0.008390,0.009371,0.011337,0.015269,0.023129,0.038808", \ - "0.008241,0.008821,0.009678,0.011465,0.015280,0.023128,0.038808", \ - "0.011698,0.012241,0.013009,0.014552,0.017645,0.024044,0.038819", \ - "0.016824,0.017395,0.018195,0.019782,0.022928,0.029119,0.041509", \ - "0.023283,0.023934,0.024836,0.026607,0.029990,0.036398,0.048843", \ - "0.030829,0.031606,0.032671,0.034738,0.038576,0.045527,0.058374"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011305,0.012378,0.013897,0.016927,0.022960,0.034991,0.058987", \ - "0.011307,0.012378,0.013895,0.016927,0.022961,0.034994,0.058982", \ - "0.011348,0.012397,0.013901,0.016923,0.022963,0.034994,0.058987", \ - "0.013560,0.014512,0.015842,0.018409,0.023738,0.035080,0.058984", \ - "0.016932,0.017906,0.019278,0.021989,0.027334,0.037678,0.059563", \ - "0.021553,0.022576,0.024007,0.026791,0.032206,0.042824,0.063486", \ - "0.027225,0.028340,0.029906,0.032924,0.038578,0.049307,0.070319"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010458,0.011159,0.012141,0.014076,0.017881,0.025380,0.040239", \ - "0.011173,0.011878,0.012865,0.014808,0.018622,0.026131,0.040997", \ - "0.013707,0.014493,0.015562,0.017574,0.021410,0.028940,0.043827", \ - "0.015771,0.016844,0.018299,0.021015,0.025904,0.034402,0.049444", \ - "0.016451,0.017821,0.019677,0.023123,0.029294,0.039920,0.057726", \ - "0.015673,0.017331,0.019581,0.023752,0.031225,0.044030,0.065261", \ - "0.013342,0.015283,0.017905,0.022776,0.031524,0.046541,0.071294"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018651,0.019825,0.021482,0.024778,0.031318,0.044318,0.070225", \ - "0.019507,0.020694,0.022368,0.025688,0.032266,0.045307,0.071247", \ - "0.022071,0.023246,0.024906,0.028214,0.034791,0.047855,0.073835", \ - "0.027158,0.028441,0.030222,0.033696,0.040318,0.053147,0.078910", \ - "0.034278,0.035703,0.037655,0.041440,0.048689,0.062367,0.087938", \ - "0.043287,0.044923,0.047138,0.051353,0.059260,0.073953,0.101208", \ - "0.053743,0.055638,0.058189,0.062996,0.071862,0.087861,0.116845"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006062,0.006626,0.007430,0.009034,0.012247,0.018658,0.031469", \ - "0.006060,0.006625,0.007429,0.009035,0.012245,0.018655,0.031468", \ - "0.006970,0.007395,0.008032,0.009383,0.012321,0.018656,0.031467", \ - "0.010541,0.010988,0.011622,0.012877,0.015357,0.020291,0.031651", \ - "0.015581,0.016075,0.016758,0.018114,0.020751,0.025822,0.035676", \ - "0.021845,0.022429,0.023225,0.024787,0.027721,0.033175,0.043409", \ - "0.029175,0.029872,0.030831,0.032684,0.036105,0.042187,0.053049"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011306,0.012378,0.013898,0.016927,0.022962,0.034992,0.058986", \ - "0.011308,0.012376,0.013895,0.016926,0.022964,0.034994,0.058989", \ - "0.011353,0.012399,0.013902,0.016923,0.022961,0.034994,0.058990", \ - "0.013532,0.014486,0.015823,0.018397,0.023731,0.035081,0.058992", \ - "0.016706,0.017692,0.019080,0.021826,0.027218,0.037611,0.059547", \ - "0.021053,0.022078,0.023516,0.026320,0.031798,0.042539,0.063338", \ - "0.026468,0.027580,0.029131,0.032118,0.037782,0.048631,0.069881"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019969,0.020997,0.022438,0.025276,0.030849,0.041806,0.063472", \ - "0.020701,0.021737,0.023190,0.026046,0.031647,0.042639,0.064335", \ - "0.021676,0.022718,0.024178,0.027053,0.032691,0.043740,0.065501", \ - "0.022393,0.023482,0.025004,0.027979,0.033760,0.044852,0.066583", \ - "0.022614,0.023846,0.025538,0.028781,0.034876,0.046404,0.068510", \ - "0.020951,0.022441,0.024479,0.028326,0.035380,0.047970,0.070892", \ - "0.016775,0.018547,0.020970,0.025516,0.033800,0.048319,0.073242"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020486,0.021744,0.023508,0.026990,0.033846,0.047315,0.073888", \ - "0.020960,0.022221,0.023987,0.027479,0.034342,0.047829,0.074413", \ - "0.024143,0.025370,0.027087,0.030508,0.037274,0.050645,0.077132", \ - "0.032053,0.033277,0.034978,0.038231,0.044579,0.057468,0.083435", \ - "0.042277,0.043684,0.045635,0.049414,0.056610,0.069848,0.094805", \ - "0.054687,0.056270,0.058423,0.062605,0.070607,0.085510,0.112362", \ - "0.069595,0.071335,0.073707,0.078300,0.087041,0.103285,0.132891"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010304,0.011156,0.012356,0.014754,0.019520,0.029001,0.047899", \ - "0.010305,0.011155,0.012355,0.014752,0.019521,0.029004,0.047900", \ - "0.010308,0.011156,0.012362,0.014753,0.019519,0.029004,0.047901", \ - "0.011483,0.012271,0.013403,0.015672,0.020132,0.029228,0.047896", \ - "0.014785,0.015457,0.016423,0.018382,0.022423,0.030987,0.048732", \ - "0.020416,0.021087,0.022026,0.023875,0.027548,0.035072,0.051522", \ - "0.027553,0.028278,0.029289,0.031261,0.035030,0.042274,0.057120"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022257,0.023287,0.024753,0.027710,0.033659,0.045606,0.069561", \ - "0.022256,0.023285,0.024754,0.027710,0.033658,0.045607,0.069570", \ - "0.022121,0.023208,0.024717,0.027700,0.033657,0.045609,0.069568", \ - "0.024583,0.025404,0.026590,0.029050,0.034249,0.045589,0.069562", \ - "0.030364,0.031210,0.032399,0.034767,0.039270,0.048746,0.070001", \ - "0.037119,0.038014,0.039304,0.041890,0.046996,0.056722,0.075403", \ - "0.045179,0.046112,0.047451,0.050182,0.055605,0.066172,0.085891"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016675,0.017690,0.019112,0.021913,0.027422,0.038289,0.059838", \ - "0.017356,0.018385,0.019825,0.022655,0.028204,0.039114,0.060698", \ - "0.018285,0.019321,0.020769,0.023621,0.029215,0.040197,0.061858", \ - "0.018726,0.019843,0.021390,0.024390,0.030189,0.041324,0.062951", \ - "0.018214,0.019552,0.021378,0.024806,0.031105,0.042739,0.064866", \ - "0.015457,0.017110,0.019350,0.023521,0.031010,0.044033,0.067165", \ - "0.010201,0.012164,0.014823,0.019764,0.028626,0.043812,0.069299"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019689,0.020946,0.022709,0.026182,0.032988,0.046351,0.072709", \ - "0.020161,0.021422,0.023191,0.026672,0.033491,0.046866,0.073235", \ - "0.023357,0.024580,0.026300,0.029703,0.036418,0.049684,0.075956", \ - "0.031147,0.032395,0.034125,0.037440,0.043740,0.056514,0.082259", \ - "0.041006,0.042449,0.044439,0.048280,0.055540,0.068843,0.093641", \ - "0.052948,0.054589,0.056804,0.061079,0.069169,0.084163,0.111091", \ - "0.067200,0.069027,0.071515,0.076256,0.085161,0.101554,0.131256"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008237,0.009075,0.010260,0.012632,0.017358,0.026790,0.045599", \ - "0.008235,0.009074,0.010261,0.012628,0.017364,0.026788,0.045604", \ - "0.008361,0.009154,0.010296,0.012645,0.017364,0.026789,0.045597", \ - "0.009847,0.010572,0.011632,0.013796,0.018210,0.027126,0.045598", \ - "0.013728,0.014359,0.015253,0.017059,0.020843,0.029079,0.046601", \ - "0.019618,0.020263,0.021156,0.022919,0.026423,0.033617,0.049592", \ - "0.026831,0.027533,0.028512,0.030437,0.034099,0.041110,0.055560"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017895,0.018952,0.020455,0.023459,0.029460,0.041451,0.065422", \ - "0.017894,0.018952,0.020454,0.023459,0.029461,0.041447,0.065425", \ - "0.017749,0.018863,0.020413,0.023448,0.029459,0.041448,0.065424", \ - "0.020301,0.021139,0.022352,0.024848,0.030086,0.041430,0.065429", \ - "0.025421,0.026375,0.027700,0.030288,0.035158,0.044641,0.065880", \ - "0.031366,0.032393,0.033834,0.036679,0.042168,0.052397,0.071320", \ - "0.038523,0.039612,0.041149,0.044207,0.050095,0.061240,0.081625"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014689,0.015560,0.016778,0.019174,0.023879,0.033148,0.051516", \ - "0.015462,0.016345,0.017578,0.019999,0.024737,0.034042,0.052441", \ - "0.017063,0.017956,0.019200,0.021639,0.026413,0.035776,0.054236", \ - "0.018077,0.019112,0.020531,0.023235,0.028343,0.038007,0.056465", \ - "0.017559,0.018861,0.020629,0.023929,0.029894,0.040468,0.059839", \ - "0.014565,0.016187,0.018381,0.022461,0.029752,0.042243,0.063422", \ - "0.008963,0.010895,0.013508,0.018360,0.027047,0.041877,0.066219"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020606,0.021865,0.023633,0.027115,0.033940,0.047326,0.073699", \ - "0.021079,0.022344,0.024119,0.027609,0.034448,0.047851,0.074238", \ - "0.024295,0.025521,0.027245,0.030659,0.037393,0.050676,0.076968", \ - "0.032273,0.033509,0.035215,0.038465,0.044796,0.057595,0.083348", \ - "0.042501,0.043933,0.045905,0.049720,0.056922,0.070113,0.094901", \ - "0.054792,0.056426,0.058617,0.062874,0.070940,0.085876,0.112637", \ - "0.069432,0.071256,0.073714,0.078428,0.087305,0.103681,0.133314"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006936,0.007642,0.008657,0.010677,0.014708,0.022741,0.038778", \ - "0.006937,0.007647,0.008657,0.010673,0.014704,0.022745,0.038777", \ - "0.007160,0.007819,0.008769,0.010715,0.014716,0.022746,0.038780", \ - "0.009180,0.009759,0.010601,0.012320,0.015906,0.023261,0.038795", \ - "0.013552,0.014098,0.014858,0.016369,0.019448,0.025992,0.040295", \ - "0.019678,0.020260,0.021070,0.022659,0.025700,0.031647,0.044423", \ - "0.027089,0.027725,0.028626,0.030398,0.033752,0.039915,0.051812"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018518,0.019574,0.021073,0.024073,0.030066,0.042047,0.066014", \ - "0.018517,0.019574,0.021073,0.024073,0.030067,0.042044,0.066019", \ - "0.018408,0.019513,0.021044,0.024065,0.030067,0.042046,0.066018", \ - "0.020606,0.021462,0.022700,0.025241,0.030554,0.042028,0.066017", \ - "0.025616,0.026572,0.027900,0.030492,0.035331,0.044945,0.066382", \ - "0.031357,0.032386,0.033846,0.036710,0.042218,0.052471,0.071527", \ - "0.038293,0.039387,0.040938,0.043996,0.049911,0.061110,0.081547"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016675,0.017690,0.019112,0.021913,0.027422,0.038289,0.059838", \ - "0.017356,0.018385,0.019825,0.022655,0.028204,0.039114,0.060698", \ - "0.018285,0.019321,0.020769,0.023621,0.029215,0.040197,0.061858", \ - "0.018726,0.019843,0.021390,0.024390,0.030189,0.041324,0.062951", \ - "0.018214,0.019552,0.021378,0.024806,0.031105,0.042739,0.064866", \ - "0.015457,0.017110,0.019350,0.023521,0.031010,0.044033,0.067165", \ - "0.010201,0.012164,0.014823,0.019764,0.028626,0.043812,0.069299"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019689,0.020946,0.022709,0.026182,0.032988,0.046351,0.072709", \ - "0.020161,0.021422,0.023191,0.026672,0.033491,0.046866,0.073235", \ - "0.023357,0.024580,0.026300,0.029703,0.036418,0.049684,0.075956", \ - "0.031147,0.032395,0.034125,0.037440,0.043740,0.056514,0.082259", \ - "0.041006,0.042449,0.044439,0.048280,0.055540,0.068843,0.093641", \ - "0.052948,0.054589,0.056804,0.061079,0.069169,0.084163,0.111091", \ - "0.067200,0.069027,0.071515,0.076256,0.085161,0.101554,0.131256"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008237,0.009075,0.010260,0.012632,0.017358,0.026790,0.045599", \ - "0.008235,0.009074,0.010261,0.012628,0.017364,0.026788,0.045604", \ - "0.008361,0.009154,0.010296,0.012645,0.017364,0.026789,0.045597", \ - "0.009847,0.010572,0.011632,0.013796,0.018210,0.027126,0.045598", \ - "0.013728,0.014359,0.015253,0.017059,0.020843,0.029079,0.046601", \ - "0.019618,0.020263,0.021156,0.022919,0.026423,0.033617,0.049592", \ - "0.026831,0.027533,0.028512,0.030437,0.034099,0.041110,0.055560"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017895,0.018952,0.020455,0.023459,0.029460,0.041451,0.065422", \ - "0.017894,0.018952,0.020454,0.023459,0.029461,0.041447,0.065425", \ - "0.017749,0.018863,0.020413,0.023448,0.029459,0.041448,0.065424", \ - "0.020301,0.021139,0.022352,0.024848,0.030086,0.041430,0.065429", \ - "0.025421,0.026375,0.027700,0.030288,0.035158,0.044641,0.065880", \ - "0.031366,0.032393,0.033834,0.036679,0.042168,0.052397,0.071320", \ - "0.038523,0.039612,0.041149,0.044207,0.050095,0.061240,0.081625"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013630,0.014602,0.015972,0.018689,0.024081,0.034812,0.056220", \ - "0.014219,0.015221,0.016625,0.019391,0.024841,0.035628,0.057078", \ - "0.015001,0.016057,0.017495,0.020305,0.025819,0.036695,0.058235", \ - "0.014975,0.016159,0.017771,0.020834,0.026656,0.037825,0.059342", \ - "0.013341,0.014860,0.016893,0.020627,0.027264,0.039085,0.061230", \ - "0.009292,0.011184,0.013708,0.018327,0.026405,0.040021,0.063436", \ - "0.002844,0.005082,0.008067,0.013535,0.023126,0.039150,0.065314"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018948,0.020205,0.021966,0.025424,0.032194,0.045453,0.071601", \ - "0.019417,0.020681,0.022446,0.025913,0.032694,0.045967,0.072129", \ - "0.022624,0.023846,0.025564,0.028952,0.035625,0.048788,0.074848", \ - "0.030299,0.031568,0.033325,0.036674,0.042963,0.055622,0.081158", \ - "0.039857,0.041330,0.043345,0.047233,0.054541,0.067902,0.092542", \ - "0.051417,0.053105,0.055365,0.059705,0.067864,0.082914,0.109884", \ - "0.065156,0.067057,0.069614,0.074454,0.083479,0.099969,0.129725"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006086,0.006921,0.008100,0.010459,0.015166,0.024567,0.043297", \ - "0.006090,0.006921,0.008101,0.010458,0.015165,0.024554,0.043294", \ - "0.006481,0.007233,0.008322,0.010549,0.015178,0.024560,0.043304", \ - "0.008452,0.009089,0.010034,0.012028,0.016281,0.025039,0.043308", \ - "0.012893,0.013466,0.014275,0.015935,0.019430,0.027243,0.044485", \ - "0.019074,0.019652,0.020475,0.022133,0.025434,0.032273,0.047710", \ - "0.026434,0.027050,0.027946,0.029761,0.033280,0.040059,0.054063"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013682,0.014745,0.016255,0.019268,0.025280,0.037296,0.061317", \ - "0.013681,0.014746,0.016253,0.019266,0.025279,0.037293,0.061315", \ - "0.013531,0.014637,0.016206,0.019254,0.025275,0.037292,0.061317", \ - "0.016182,0.017045,0.018235,0.020711,0.025933,0.037273,0.061320", \ - "0.020269,0.021343,0.022817,0.025650,0.030908,0.040553,0.061778", \ - "0.025236,0.026430,0.028070,0.031234,0.037169,0.047972,0.067254", \ - "0.031279,0.032585,0.034405,0.037887,0.044350,0.056186,0.077311"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012150,0.012984,0.014156,0.016476,0.021075,0.030218,0.048456", \ - "0.012845,0.013704,0.014905,0.017267,0.021914,0.031104,0.049377", \ - "0.014227,0.015156,0.016428,0.018852,0.023560,0.032822,0.051168", \ - "0.014529,0.015665,0.017195,0.020044,0.025273,0.034991,0.053410", \ - "0.012798,0.014282,0.016267,0.019901,0.026281,0.037212,0.056709", \ - "0.008512,0.010366,0.012845,0.017373,0.025273,0.038436,0.060097", \ - "0.001731,0.003925,0.006858,0.012234,0.021649,0.037334,0.062485"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019845,0.021107,0.022875,0.026345,0.033133,0.046425,0.072604", \ - "0.020315,0.021585,0.023359,0.026840,0.033644,0.046952,0.073146", \ - "0.023543,0.024770,0.026494,0.029896,0.036589,0.049781,0.075873", \ - "0.031428,0.032683,0.034418,0.037729,0.044012,0.056703,0.082259", \ - "0.041355,0.042818,0.044821,0.048678,0.055935,0.069191,0.093807", \ - "0.053280,0.054965,0.057200,0.061515,0.069650,0.084644,0.111458", \ - "0.067438,0.069327,0.071851,0.076661,0.085661,0.102126,0.131814"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.005145,0.005845,0.006840,0.008842,0.012849,0.020858,0.036826", \ - "0.005153,0.005852,0.006844,0.008843,0.012850,0.020858,0.036827", \ - "0.005721,0.006320,0.007196,0.009029,0.012885,0.020854,0.036831", \ - "0.008197,0.008715,0.009470,0.011044,0.014415,0.021577,0.036893", \ - "0.012931,0.013427,0.014141,0.015562,0.018431,0.024636,0.038610", \ - "0.019306,0.019822,0.020561,0.022051,0.024970,0.030677,0.043018", \ - "0.026876,0.027425,0.028226,0.029880,0.033108,0.039114,0.050730"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014244,0.015314,0.016828,0.019845,0.025865,0.037877,0.061898", \ - "0.014246,0.015315,0.016825,0.019846,0.025864,0.037881,0.061898", \ - "0.014110,0.015237,0.016792,0.019836,0.025860,0.037882,0.061902", \ - "0.016457,0.017304,0.018530,0.021067,0.026376,0.037851,0.061907", \ - "0.020502,0.021575,0.023052,0.025884,0.031131,0.040851,0.062268", \ - "0.025314,0.026506,0.028149,0.031317,0.037263,0.048072,0.067454", \ - "0.031193,0.032487,0.034302,0.037767,0.044237,0.056093,0.077258"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014689,0.015560,0.016778,0.019174,0.023879,0.033148,0.051516", \ - "0.015462,0.016345,0.017578,0.019999,0.024737,0.034042,0.052441", \ - "0.017063,0.017956,0.019200,0.021639,0.026413,0.035776,0.054236", \ - "0.018077,0.019112,0.020531,0.023235,0.028343,0.038007,0.056465", \ - "0.017559,0.018861,0.020629,0.023929,0.029894,0.040468,0.059839", \ - "0.014565,0.016187,0.018381,0.022461,0.029752,0.042243,0.063422", \ - "0.008963,0.010895,0.013508,0.018360,0.027047,0.041877,0.066219"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020606,0.021865,0.023633,0.027115,0.033940,0.047326,0.073699", \ - "0.021079,0.022344,0.024119,0.027609,0.034448,0.047851,0.074238", \ - "0.024295,0.025521,0.027245,0.030659,0.037393,0.050676,0.076968", \ - "0.032273,0.033509,0.035215,0.038465,0.044796,0.057595,0.083348", \ - "0.042501,0.043933,0.045905,0.049720,0.056922,0.070113,0.094901", \ - "0.054792,0.056426,0.058617,0.062874,0.070940,0.085876,0.112637", \ - "0.069432,0.071256,0.073714,0.078428,0.087305,0.103681,0.133314"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006936,0.007642,0.008657,0.010677,0.014708,0.022741,0.038778", \ - "0.006937,0.007647,0.008657,0.010673,0.014704,0.022745,0.038777", \ - "0.007160,0.007819,0.008769,0.010715,0.014716,0.022746,0.038780", \ - "0.009180,0.009759,0.010601,0.012320,0.015906,0.023261,0.038795", \ - "0.013552,0.014098,0.014858,0.016369,0.019448,0.025992,0.040295", \ - "0.019678,0.020260,0.021070,0.022659,0.025700,0.031647,0.044423", \ - "0.027089,0.027725,0.028626,0.030398,0.033752,0.039915,0.051812"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018518,0.019574,0.021073,0.024073,0.030066,0.042047,0.066014", \ - "0.018517,0.019574,0.021073,0.024073,0.030067,0.042044,0.066019", \ - "0.018408,0.019513,0.021044,0.024065,0.030067,0.042046,0.066018", \ - "0.020606,0.021462,0.022700,0.025241,0.030554,0.042028,0.066017", \ - "0.025616,0.026572,0.027900,0.030492,0.035331,0.044945,0.066382", \ - "0.031357,0.032386,0.033846,0.036710,0.042218,0.052471,0.071527", \ - "0.038293,0.039387,0.040938,0.043996,0.049911,0.061110,0.081547"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012150,0.012984,0.014156,0.016476,0.021075,0.030218,0.048456", \ - "0.012845,0.013704,0.014905,0.017267,0.021914,0.031104,0.049377", \ - "0.014227,0.015156,0.016428,0.018852,0.023560,0.032822,0.051168", \ - "0.014529,0.015665,0.017195,0.020044,0.025273,0.034991,0.053410", \ - "0.012798,0.014282,0.016267,0.019901,0.026281,0.037212,0.056709", \ - "0.008512,0.010366,0.012845,0.017373,0.025273,0.038436,0.060097", \ - "0.001731,0.003925,0.006858,0.012234,0.021649,0.037334,0.062485"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019845,0.021107,0.022875,0.026345,0.033133,0.046425,0.072604", \ - "0.020315,0.021585,0.023359,0.026840,0.033644,0.046952,0.073146", \ - "0.023543,0.024770,0.026494,0.029896,0.036589,0.049781,0.075873", \ - "0.031428,0.032683,0.034418,0.037729,0.044012,0.056703,0.082259", \ - "0.041355,0.042818,0.044821,0.048678,0.055935,0.069191,0.093807", \ - "0.053280,0.054965,0.057200,0.061515,0.069650,0.084644,0.111458", \ - "0.067438,0.069327,0.071851,0.076661,0.085661,0.102126,0.131814"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.005145,0.005845,0.006840,0.008842,0.012849,0.020858,0.036826", \ - "0.005153,0.005852,0.006844,0.008843,0.012850,0.020858,0.036827", \ - "0.005721,0.006320,0.007196,0.009029,0.012885,0.020854,0.036831", \ - "0.008197,0.008715,0.009470,0.011044,0.014415,0.021577,0.036893", \ - "0.012931,0.013427,0.014141,0.015562,0.018431,0.024636,0.038610", \ - "0.019306,0.019822,0.020561,0.022051,0.024970,0.030677,0.043018", \ - "0.026876,0.027425,0.028226,0.029880,0.033108,0.039114,0.050730"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014244,0.015314,0.016828,0.019845,0.025865,0.037877,0.061898", \ - "0.014246,0.015315,0.016825,0.019846,0.025864,0.037881,0.061898", \ - "0.014110,0.015237,0.016792,0.019836,0.025860,0.037882,0.061902", \ - "0.016457,0.017304,0.018530,0.021067,0.026376,0.037851,0.061907", \ - "0.020502,0.021575,0.023052,0.025884,0.031131,0.040851,0.062268", \ - "0.025314,0.026506,0.028149,0.031317,0.037263,0.048072,0.067454", \ - "0.031193,0.032487,0.034302,0.037767,0.044237,0.056093,0.077258"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010496,0.011154,0.012080,0.013912,0.017539,0.024746,0.039110", \ - "0.011237,0.011914,0.012863,0.014727,0.018394,0.025637,0.040030", \ - "0.012942,0.013722,0.014786,0.016790,0.020539,0.027847,0.042300", \ - "0.013397,0.014459,0.015888,0.018532,0.023247,0.031496,0.046274", \ - "0.011553,0.012973,0.014879,0.018369,0.024476,0.034723,0.051753", \ - "0.007071,0.008857,0.011254,0.015639,0.023293,0.036000,0.056386", \ - "0.000018,0.002137,0.004978,0.010197,0.019358,0.034617,0.058913"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.021683,0.022898,0.024606,0.027986,0.034655,0.047818,0.073879", \ - "0.022170,0.023390,0.025104,0.028497,0.035184,0.048364,0.074444", \ - "0.025433,0.026616,0.028288,0.031604,0.038183,0.051245,0.077221", \ - "0.033400,0.034604,0.036269,0.039430,0.045683,0.058279,0.083722", \ - "0.043663,0.045073,0.047015,0.050773,0.057887,0.070931,0.095460", \ - "0.055983,0.057583,0.059749,0.063967,0.071970,0.086810,0.113393", \ - "0.070659,0.072454,0.074867,0.079541,0.088375,0.104696,0.134251"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.004659,0.005217,0.006010,0.007595,0.010759,0.017061,0.029628", \ - "0.004666,0.005220,0.006012,0.007597,0.010760,0.017063,0.029625", \ - "0.005557,0.005984,0.006614,0.007961,0.010862,0.017067,0.029628", \ - "0.008852,0.009253,0.009831,0.011007,0.013436,0.018529,0.029880", \ - "0.014077,0.014482,0.015055,0.016226,0.018557,0.023233,0.033205", \ - "0.020807,0.021213,0.021813,0.023060,0.025563,0.030354,0.039796", \ - "0.028701,0.029125,0.029781,0.031165,0.033958,0.039255,0.049003"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014739,0.015825,0.017361,0.020418,0.026498,0.038589,0.062683", \ - "0.014740,0.015826,0.017361,0.020418,0.026496,0.038585,0.062678", \ - "0.014730,0.015820,0.017360,0.020416,0.026495,0.038590,0.062680", \ - "0.016737,0.017616,0.018890,0.021492,0.026920,0.038579,0.062675", \ - "0.020861,0.021927,0.023402,0.026229,0.031467,0.041294,0.062966", \ - "0.025568,0.026759,0.028395,0.031577,0.037543,0.048358,0.067855", \ - "0.031342,0.032612,0.034409,0.037861,0.044337,0.056238,0.077416"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.023018,0.024043,0.025483,0.028329,0.033924,0.044930,0.066666", \ - "0.023613,0.024640,0.026085,0.028934,0.034539,0.045555,0.067297", \ - "0.024686,0.025719,0.027167,0.030026,0.035644,0.046683,0.068451", \ - "0.025579,0.026654,0.028152,0.031089,0.036817,0.047863,0.069589", \ - "0.026358,0.027518,0.029136,0.032260,0.038221,0.049629,0.071618", \ - "0.026103,0.027464,0.029353,0.032935,0.039604,0.051769,0.074365", \ - "0.024088,0.025693,0.027901,0.032062,0.039718,0.053437,0.077522"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022724,0.023976,0.025740,0.029220,0.036072,0.049546,0.076119", \ - "0.023595,0.024853,0.026617,0.030106,0.036966,0.050441,0.077019", \ - "0.026185,0.027444,0.029206,0.032695,0.039563,0.053046,0.079638", \ - "0.031648,0.032928,0.034703,0.038180,0.044871,0.058188,0.084613", \ - "0.039513,0.040882,0.042789,0.046515,0.053723,0.067397,0.093364", \ - "0.049746,0.051242,0.053304,0.057294,0.064942,0.079441,0.106641", \ - "0.062081,0.063753,0.066025,0.070396,0.078660,0.094047,0.122645"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012369,0.013234,0.014451,0.016874,0.021682,0.031216,0.050175", \ - "0.012370,0.013236,0.014452,0.016875,0.021681,0.031216,0.050174", \ - "0.012374,0.013232,0.014450,0.016874,0.021681,0.031215,0.050176", \ - "0.013366,0.014182,0.015341,0.017641,0.022185,0.031397,0.050177", \ - "0.016146,0.016871,0.017905,0.019983,0.024224,0.032997,0.050929", \ - "0.021500,0.022179,0.023128,0.025031,0.028853,0.036680,0.053532", \ - "0.028629,0.029351,0.030344,0.032299,0.036072,0.043446,0.058699"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022256,0.023286,0.024753,0.027710,0.033658,0.045607,0.069566", \ - "0.022255,0.023285,0.024753,0.027708,0.033658,0.045606,0.069561", \ - "0.022201,0.023246,0.024730,0.027701,0.033658,0.045610,0.069563", \ - "0.023815,0.024703,0.025984,0.028622,0.034098,0.045616,0.069563", \ - "0.028040,0.028887,0.030095,0.032560,0.037493,0.047748,0.069975", \ - "0.033531,0.034357,0.035542,0.037991,0.042990,0.053109,0.073499", \ - "0.040434,0.041250,0.042438,0.044877,0.049866,0.059985,0.080480"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019608,0.020627,0.022058,0.024881,0.030435,0.041374,0.063024", \ - "0.020191,0.021216,0.022652,0.025483,0.031048,0.041998,0.063655", \ - "0.021250,0.022278,0.023717,0.026559,0.032143,0.043121,0.064806", \ - "0.021930,0.023020,0.024539,0.027500,0.033247,0.044315,0.065953", \ - "0.022226,0.023464,0.025167,0.028415,0.034503,0.045979,0.067979", \ - "0.021126,0.022614,0.024644,0.028466,0.035455,0.047933,0.070666", \ - "0.018262,0.020003,0.022385,0.026834,0.034934,0.049157,0.073669"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.021925,0.023181,0.024942,0.028414,0.035219,0.048584,0.074941", \ - "0.022799,0.024057,0.025822,0.029296,0.036107,0.049478,0.075838", \ - "0.025389,0.026646,0.028411,0.031887,0.038704,0.052082,0.078458", \ - "0.030767,0.032057,0.033853,0.037350,0.044024,0.057226,0.083437", \ - "0.038421,0.039817,0.041745,0.045502,0.052724,0.066383,0.092191", \ - "0.048331,0.049870,0.051985,0.056030,0.063733,0.078240,0.105377", \ - "0.060193,0.061931,0.064291,0.068765,0.077148,0.092617,0.121188"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010316,0.011167,0.012371,0.014761,0.019526,0.029003,0.047890", \ - "0.010318,0.011166,0.012366,0.014761,0.019526,0.029001,0.047893", \ - "0.010372,0.011198,0.012384,0.014768,0.019527,0.029003,0.047887", \ - "0.011597,0.012380,0.013495,0.015738,0.020214,0.029279,0.047884", \ - "0.014932,0.015602,0.016560,0.018505,0.022527,0.031046,0.048773", \ - "0.020622,0.021272,0.022183,0.023989,0.027625,0.035120,0.051561", \ - "0.027836,0.028546,0.029522,0.031439,0.035095,0.042225,0.057059"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017894,0.018952,0.020454,0.023459,0.029461,0.041449,0.065426", \ - "0.017893,0.018951,0.020454,0.023458,0.029460,0.041445,0.065428", \ - "0.017835,0.018909,0.020427,0.023450,0.029460,0.041447,0.065424", \ - "0.019537,0.020431,0.021734,0.024401,0.029919,0.041462,0.065431", \ - "0.023362,0.024277,0.025575,0.028176,0.033332,0.043625,0.065847", \ - "0.028323,0.029236,0.030539,0.033163,0.038407,0.048828,0.069408", \ - "0.034539,0.035483,0.036818,0.039508,0.044816,0.055338,0.076234"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017149,0.018025,0.019252,0.021670,0.026420,0.035759,0.054216", \ - "0.017840,0.018719,0.019950,0.022376,0.027135,0.036482,0.054948", \ - "0.019552,0.020436,0.021669,0.024103,0.028876,0.038245,0.056734", \ - "0.020978,0.021961,0.023322,0.025948,0.030965,0.040536,0.059006", \ - "0.021434,0.022623,0.024257,0.027340,0.033017,0.043319,0.062512", \ - "0.020114,0.021565,0.023554,0.027283,0.034047,0.045905,0.066530", \ - "0.016880,0.018598,0.020935,0.025302,0.033233,0.047052,0.070297"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022842,0.024103,0.025867,0.029349,0.036171,0.049553,0.075932", \ - "0.023722,0.024984,0.026754,0.030241,0.037073,0.050460,0.076849", \ - "0.026292,0.027555,0.029323,0.032809,0.039649,0.053054,0.079453", \ - "0.031823,0.033103,0.034885,0.038353,0.045013,0.058233,0.084457", \ - "0.039788,0.041179,0.043086,0.046814,0.053988,0.067571,0.093334", \ - "0.050085,0.051614,0.053705,0.057711,0.065362,0.079793,0.106806", \ - "0.062413,0.064130,0.066462,0.070893,0.079204,0.094592,0.123054"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008681,0.009408,0.010432,0.012475,0.016539,0.024619,0.040715", \ - "0.008683,0.009408,0.010433,0.012475,0.016540,0.024620,0.040717", \ - "0.008806,0.009496,0.010486,0.012497,0.016544,0.024620,0.040717", \ - "0.010499,0.011123,0.012023,0.013837,0.017520,0.025044,0.040730", \ - "0.014516,0.015083,0.015871,0.017460,0.020702,0.027527,0.042068", \ - "0.020536,0.021123,0.021936,0.023528,0.026606,0.032754,0.045937", \ - "0.027975,0.028625,0.029522,0.031279,0.034581,0.040719,0.052876"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018518,0.019574,0.021073,0.024073,0.030066,0.042048,0.066017", \ - "0.018517,0.019574,0.021073,0.024073,0.030067,0.042047,0.066019", \ - "0.018467,0.019538,0.021053,0.024065,0.030065,0.042044,0.066015", \ - "0.019966,0.020880,0.022198,0.024895,0.030452,0.042045,0.066018", \ - "0.023649,0.024576,0.025890,0.028515,0.033697,0.044061,0.066380", \ - "0.028365,0.029295,0.030625,0.033282,0.038585,0.049099,0.069787", \ - "0.034341,0.035279,0.036637,0.039362,0.044734,0.055370,0.076415"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019608,0.020627,0.022058,0.024881,0.030435,0.041374,0.063024", \ - "0.020191,0.021216,0.022652,0.025483,0.031048,0.041998,0.063655", \ - "0.021250,0.022278,0.023717,0.026559,0.032143,0.043121,0.064806", \ - "0.021930,0.023020,0.024539,0.027500,0.033247,0.044315,0.065953", \ - "0.022226,0.023464,0.025167,0.028415,0.034503,0.045979,0.067979", \ - "0.021126,0.022614,0.024644,0.028466,0.035455,0.047933,0.070666", \ - "0.018262,0.020003,0.022385,0.026834,0.034934,0.049157,0.073669"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.021925,0.023181,0.024942,0.028414,0.035219,0.048584,0.074941", \ - "0.022799,0.024057,0.025822,0.029296,0.036107,0.049478,0.075838", \ - "0.025389,0.026646,0.028411,0.031887,0.038704,0.052082,0.078458", \ - "0.030767,0.032057,0.033853,0.037350,0.044024,0.057226,0.083437", \ - "0.038421,0.039817,0.041745,0.045502,0.052724,0.066383,0.092191", \ - "0.048331,0.049870,0.051985,0.056030,0.063733,0.078240,0.105377", \ - "0.060193,0.061931,0.064291,0.068765,0.077148,0.092617,0.121188"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010316,0.011167,0.012371,0.014761,0.019526,0.029003,0.047890", \ - "0.010318,0.011166,0.012366,0.014761,0.019526,0.029001,0.047893", \ - "0.010372,0.011198,0.012384,0.014768,0.019527,0.029003,0.047887", \ - "0.011597,0.012380,0.013495,0.015738,0.020214,0.029279,0.047884", \ - "0.014932,0.015602,0.016560,0.018505,0.022527,0.031046,0.048773", \ - "0.020622,0.021272,0.022183,0.023989,0.027625,0.035120,0.051561", \ - "0.027836,0.028546,0.029522,0.031439,0.035095,0.042225,0.057059"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017894,0.018952,0.020454,0.023459,0.029461,0.041449,0.065426", \ - "0.017893,0.018951,0.020454,0.023458,0.029460,0.041445,0.065428", \ - "0.017835,0.018909,0.020427,0.023450,0.029460,0.041447,0.065424", \ - "0.019537,0.020431,0.021734,0.024401,0.029919,0.041462,0.065431", \ - "0.023362,0.024277,0.025575,0.028176,0.033332,0.043625,0.065847", \ - "0.028323,0.029236,0.030539,0.033163,0.038407,0.048828,0.069408", \ - "0.034539,0.035483,0.036818,0.039508,0.044816,0.055338,0.076234"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016339,0.017342,0.018749,0.021529,0.027013,0.037854,0.059376", \ - "0.016909,0.017918,0.019333,0.022124,0.027622,0.038477,0.060010", \ - "0.017925,0.018951,0.020379,0.023187,0.028710,0.039599,0.061165", \ - "0.018268,0.019393,0.020946,0.023943,0.029709,0.040804,0.062329", \ - "0.017796,0.019155,0.021001,0.024453,0.030757,0.042343,0.064349", \ - "0.015693,0.017347,0.019583,0.023730,0.031154,0.044049,0.066970", \ - "0.011920,0.013854,0.016450,0.021270,0.029913,0.044762,0.069790"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.021183,0.022440,0.024198,0.027656,0.034422,0.047685,0.073831", \ - "0.022054,0.023313,0.025076,0.028539,0.035311,0.048579,0.074733", \ - "0.024646,0.025905,0.027665,0.031128,0.037905,0.051188,0.077351", \ - "0.029943,0.031248,0.033059,0.036567,0.043231,0.056326,0.082327", \ - "0.037421,0.038841,0.040787,0.044566,0.051795,0.065430,0.091079", \ - "0.047070,0.048637,0.050795,0.054891,0.062623,0.077124,0.104179", \ - "0.058540,0.060333,0.062757,0.067322,0.075782,0.091294,0.119816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008237,0.009075,0.010259,0.012630,0.017351,0.026775,0.045575", \ - "0.008239,0.009071,0.010258,0.012628,0.017353,0.026775,0.045572", \ - "0.008456,0.009246,0.010376,0.012664,0.017355,0.026777,0.045578", \ - "0.009993,0.010713,0.011750,0.013885,0.018274,0.027173,0.045580", \ - "0.013959,0.014558,0.015426,0.017206,0.020959,0.029147,0.046634", \ - "0.019952,0.020562,0.021412,0.023109,0.026512,0.033658,0.049621", \ - "0.027238,0.027911,0.028854,0.030707,0.034234,0.041098,0.055481"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013678,0.014743,0.016252,0.019266,0.025278,0.037296,0.061318", \ - "0.013677,0.014745,0.016253,0.019267,0.025279,0.037294,0.061321", \ - "0.013612,0.014694,0.016224,0.019256,0.025275,0.037299,0.061314", \ - "0.015368,0.016307,0.017604,0.020252,0.025752,0.037303,0.061318", \ - "0.018562,0.019560,0.020952,0.023706,0.029080,0.039509,0.061731", \ - "0.022844,0.023877,0.025332,0.028172,0.033715,0.044491,0.065319", \ - "0.028184,0.029290,0.030861,0.033889,0.039636,0.050619,0.071955"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014404,0.015265,0.016471,0.018851,0.023536,0.032785,0.051133", \ - "0.015082,0.015948,0.017161,0.019550,0.024247,0.033508,0.051864", \ - "0.016724,0.017618,0.018852,0.021262,0.025980,0.035268,0.053651", \ - "0.017628,0.018677,0.020106,0.022820,0.027914,0.037532,0.055936", \ - "0.017131,0.018455,0.020247,0.023577,0.029558,0.040109,0.059392", \ - "0.014777,0.016404,0.018593,0.022652,0.029887,0.042256,0.063253", \ - "0.010652,0.012543,0.015098,0.019834,0.028312,0.042806,0.066696"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022082,0.023344,0.025109,0.028578,0.035364,0.048655,0.074837", \ - "0.022959,0.024226,0.025995,0.029471,0.036264,0.049568,0.075750", \ - "0.025531,0.026796,0.028564,0.032041,0.038841,0.052155,0.078361", \ - "0.030994,0.032288,0.034084,0.037570,0.044212,0.057333,0.083363", \ - "0.038793,0.040204,0.042128,0.045879,0.053065,0.066627,0.092236", \ - "0.048835,0.050397,0.052532,0.056581,0.064265,0.078690,0.105628", \ - "0.060807,0.062570,0.064965,0.069473,0.077866,0.093291,0.121705"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006944,0.007657,0.008663,0.010678,0.014707,0.022738,0.038764", \ - "0.006948,0.007655,0.008665,0.010679,0.014704,0.022738,0.038762", \ - "0.007274,0.007926,0.008865,0.010774,0.014719,0.022739,0.038759", \ - "0.009371,0.009949,0.010763,0.012461,0.015986,0.023326,0.038808", \ - "0.013811,0.014335,0.015075,0.016552,0.019582,0.026095,0.040348", \ - "0.020061,0.020605,0.021367,0.022884,0.025824,0.031703,0.044475", \ - "0.027559,0.028174,0.029028,0.030715,0.033922,0.039904,0.051724"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014241,0.015312,0.016827,0.019846,0.025861,0.037879,0.061896", \ - "0.014240,0.015310,0.016825,0.019846,0.025863,0.037881,0.061901", \ - "0.014183,0.015271,0.016802,0.019838,0.025858,0.037878,0.061908", \ - "0.015770,0.016701,0.018016,0.020705,0.026260,0.037872,0.061904", \ - "0.018847,0.019858,0.021268,0.024046,0.029450,0.039935,0.062262", \ - "0.022938,0.023982,0.025450,0.028318,0.033909,0.044767,0.065691", \ - "0.028087,0.029201,0.030760,0.033795,0.039585,0.050670,0.072149"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017149,0.018025,0.019252,0.021670,0.026420,0.035759,0.054216", \ - "0.017840,0.018719,0.019950,0.022376,0.027135,0.036482,0.054948", \ - "0.019552,0.020436,0.021669,0.024103,0.028876,0.038245,0.056734", \ - "0.020978,0.021961,0.023322,0.025948,0.030965,0.040536,0.059006", \ - "0.021434,0.022623,0.024257,0.027340,0.033017,0.043319,0.062512", \ - "0.020114,0.021565,0.023554,0.027283,0.034047,0.045905,0.066530", \ - "0.016880,0.018598,0.020935,0.025302,0.033233,0.047052,0.070297"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022842,0.024103,0.025867,0.029349,0.036171,0.049553,0.075932", \ - "0.023722,0.024984,0.026754,0.030241,0.037073,0.050460,0.076849", \ - "0.026292,0.027555,0.029323,0.032809,0.039649,0.053054,0.079453", \ - "0.031823,0.033103,0.034885,0.038353,0.045013,0.058233,0.084457", \ - "0.039788,0.041179,0.043086,0.046814,0.053988,0.067571,0.093334", \ - "0.050085,0.051614,0.053705,0.057711,0.065362,0.079793,0.106806", \ - "0.062413,0.064130,0.066462,0.070893,0.079204,0.094592,0.123054"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008681,0.009408,0.010432,0.012475,0.016539,0.024619,0.040715", \ - "0.008683,0.009408,0.010433,0.012475,0.016540,0.024620,0.040717", \ - "0.008806,0.009496,0.010486,0.012497,0.016544,0.024620,0.040717", \ - "0.010499,0.011123,0.012023,0.013837,0.017520,0.025044,0.040730", \ - "0.014516,0.015083,0.015871,0.017460,0.020702,0.027527,0.042068", \ - "0.020536,0.021123,0.021936,0.023528,0.026606,0.032754,0.045937", \ - "0.027975,0.028625,0.029522,0.031279,0.034581,0.040719,0.052876"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018518,0.019574,0.021073,0.024073,0.030066,0.042048,0.066017", \ - "0.018517,0.019574,0.021073,0.024073,0.030067,0.042047,0.066019", \ - "0.018467,0.019538,0.021053,0.024065,0.030065,0.042044,0.066015", \ - "0.019966,0.020880,0.022198,0.024895,0.030452,0.042045,0.066018", \ - "0.023649,0.024576,0.025890,0.028515,0.033697,0.044061,0.066380", \ - "0.028365,0.029295,0.030625,0.033282,0.038585,0.049099,0.069787", \ - "0.034341,0.035279,0.036637,0.039362,0.044734,0.055370,0.076415"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014404,0.015265,0.016471,0.018851,0.023536,0.032785,0.051133", \ - "0.015082,0.015948,0.017161,0.019550,0.024247,0.033508,0.051864", \ - "0.016724,0.017618,0.018852,0.021262,0.025980,0.035268,0.053651", \ - "0.017628,0.018677,0.020106,0.022820,0.027914,0.037532,0.055936", \ - "0.017131,0.018455,0.020247,0.023577,0.029558,0.040109,0.059392", \ - "0.014777,0.016404,0.018593,0.022652,0.029887,0.042256,0.063253", \ - "0.010652,0.012543,0.015098,0.019834,0.028312,0.042806,0.066696"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022082,0.023344,0.025109,0.028578,0.035364,0.048655,0.074837", \ - "0.022959,0.024226,0.025995,0.029471,0.036264,0.049568,0.075750", \ - "0.025531,0.026796,0.028564,0.032041,0.038841,0.052155,0.078361", \ - "0.030994,0.032288,0.034084,0.037570,0.044212,0.057333,0.083363", \ - "0.038793,0.040204,0.042128,0.045879,0.053065,0.066627,0.092236", \ - "0.048835,0.050397,0.052532,0.056581,0.064265,0.078690,0.105628", \ - "0.060807,0.062570,0.064965,0.069473,0.077866,0.093291,0.121705"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006944,0.007657,0.008663,0.010678,0.014707,0.022738,0.038764", \ - "0.006948,0.007655,0.008665,0.010679,0.014704,0.022738,0.038762", \ - "0.007274,0.007926,0.008865,0.010774,0.014719,0.022739,0.038759", \ - "0.009371,0.009949,0.010763,0.012461,0.015986,0.023326,0.038808", \ - "0.013811,0.014335,0.015075,0.016552,0.019582,0.026095,0.040348", \ - "0.020061,0.020605,0.021367,0.022884,0.025824,0.031703,0.044475", \ - "0.027559,0.028174,0.029028,0.030715,0.033922,0.039904,0.051724"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014241,0.015312,0.016827,0.019846,0.025861,0.037879,0.061896", \ - "0.014240,0.015310,0.016825,0.019846,0.025863,0.037881,0.061901", \ - "0.014183,0.015271,0.016802,0.019838,0.025858,0.037878,0.061908", \ - "0.015770,0.016701,0.018016,0.020705,0.026260,0.037872,0.061904", \ - "0.018847,0.019858,0.021268,0.024046,0.029450,0.039935,0.062262", \ - "0.022938,0.023982,0.025450,0.028318,0.033909,0.044767,0.065691", \ - "0.028087,0.029201,0.030760,0.033795,0.039585,0.050670,0.072149"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012185,0.012869,0.013828,0.015716,0.019425,0.026730,0.041196", \ - "0.012909,0.013597,0.014561,0.016457,0.020175,0.027489,0.041962", \ - "0.014946,0.015686,0.016703,0.018644,0.022389,0.029728,0.044225", \ - "0.016249,0.017221,0.018541,0.021013,0.025502,0.033532,0.048231", \ - "0.015687,0.016957,0.018679,0.021871,0.027563,0.037337,0.053966", \ - "0.013125,0.014694,0.016815,0.020751,0.027758,0.039666,0.059255", \ - "0.008679,0.010515,0.012989,0.017598,0.025853,0.039948,0.062962"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.023929,0.025141,0.026849,0.030225,0.036893,0.050051,0.076109", \ - "0.024818,0.026036,0.027748,0.031135,0.037815,0.050986,0.077056", \ - "0.027405,0.028621,0.030330,0.033714,0.040395,0.053588,0.079676", \ - "0.032997,0.034236,0.035962,0.039325,0.045843,0.058834,0.084736", \ - "0.041086,0.042433,0.044293,0.047938,0.054971,0.068334,0.093776", \ - "0.051556,0.053056,0.055084,0.059011,0.066531,0.080767,0.107483", \ - "0.064091,0.065790,0.068073,0.072412,0.080599,0.095801,0.124007"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006154,0.006708,0.007497,0.009079,0.012238,0.018550,0.031142", \ - "0.006153,0.006710,0.007497,0.009078,0.012238,0.018551,0.031140", \ - "0.006706,0.007175,0.007860,0.009293,0.012290,0.018554,0.031141", \ - "0.009798,0.010214,0.010814,0.012040,0.014536,0.019757,0.031344", \ - "0.014854,0.015270,0.015854,0.017042,0.019433,0.024246,0.034397", \ - "0.021497,0.021931,0.022552,0.023808,0.026290,0.031124,0.040768", \ - "0.029349,0.029841,0.030542,0.031955,0.034728,0.039934,0.049683"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014739,0.015826,0.017360,0.020419,0.026499,0.038591,0.062670", \ - "0.014738,0.015826,0.017361,0.020418,0.026500,0.038591,0.062680", \ - "0.014730,0.015819,0.017359,0.020416,0.026494,0.038594,0.062683", \ - "0.016143,0.017089,0.018441,0.021192,0.026837,0.038582,0.062671", \ - "0.019163,0.020184,0.021617,0.024431,0.029889,0.040485,0.062984", \ - "0.023063,0.024124,0.025609,0.028522,0.034208,0.045177,0.066255", \ - "0.028053,0.029165,0.030725,0.033780,0.039640,0.050867,0.072538"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.559646,4.574960,4.623883,4.658396,4.732101,4.800401,4.888058", \ - "4.586888,4.602823,4.630818,4.686459,4.767053,4.854111,4.957238", \ - "4.744635,4.765549,4.790002,4.800834,4.848085,4.913932,5.005964", \ - "5.730511,5.671687,5.651788,5.575227,5.511777,5.423042,5.337270", \ - "8.358533,8.229744,8.064982,7.779353,7.317753,6.864942,6.429789", \ - "12.331230,12.226920,12.071750,11.683980,10.968010,9.822687,8.650593", \ - "17.408110,17.423590,17.304470,17.008030,16.287360,14.760010,12.517200"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.161110,11.222450,11.186170,11.296730,11.364650,11.239610,11.265660", \ - "11.133330,11.192320,11.154590,11.280470,11.296540,11.399900,11.441770", \ - "11.619710,11.643510,11.552550,11.612360,11.523970,11.547890,11.492050", \ - "13.287910,13.222290,13.087030,12.913040,12.748280,12.234050,12.071180", \ - "16.368240,16.202970,16.095430,15.800150,15.322020,14.519910,13.506560", \ - "20.836390,20.681220,20.449730,19.976650,19.445340,18.447600,16.789480", \ - "27.258910,27.057150,26.765660,26.218730,25.306120,23.919020,21.922690"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.109595,3.131321,3.145742,3.186755,3.233888,3.284872,3.324007", \ - "3.116264,3.131091,3.157240,3.206030,3.275033,3.335984,3.400113", \ - "3.323636,3.328517,3.328054,3.346835,3.363778,3.402071,3.455175", \ - "4.568977,4.460579,4.355363,4.217599,4.091974,3.945326,3.818964", \ - "7.436166,7.278438,7.071359,6.713006,6.117631,5.488306,4.947827", \ - "11.476640,11.384730,11.184820,10.810090,9.986763,8.652633,7.266799", \ - "16.618090,16.520110,16.453710,16.169190,15.407690,13.789200,11.283810"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.383841,9.410217,9.463844,9.528811,9.510504,9.434990,9.585850", \ - "9.320392,9.380163,9.460187,9.522830,9.549474,9.627866,9.365739", \ - "9.855550,9.838155,9.854148,9.862191,9.743589,9.653549,9.476442", \ - "11.567680,11.491190,11.402950,11.222940,10.964390,10.752560,10.388580", \ - "14.468960,14.381610,14.250490,13.989800,13.564280,12.920800,12.029380", \ - "19.114850,18.950920,18.701690,18.180190,17.548090,16.713000,15.184200", \ - "25.451490,25.267980,24.980220,24.529380,23.555080,22.052340,19.950440"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.080486,3.110907,3.134605,3.182090,3.231766,3.273312,3.320960", \ - "3.112150,3.116453,3.136297,3.201742,3.265076,3.329871,3.389863", \ - "3.323175,3.328058,3.363312,3.373286,3.375951,3.418572,3.456427", \ - "4.772486,4.688139,4.560364,4.371335,4.200385,4.024744,3.875398", \ - "7.762386,7.648534,7.444596,7.087976,6.479068,5.723790,5.113666", \ - "11.816960,11.761290,11.643000,11.326220,10.578350,9.223789,7.649266", \ - "16.960230,16.933100,16.847340,16.734700,16.140390,14.652460,12.096720"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.320756,9.383285,9.437254,9.498940,9.549892,9.461462,9.292183", \ - "9.276042,9.335235,9.409823,9.475955,9.449897,9.450855,9.631995", \ - "9.855019,9.886255,9.844451,9.797768,9.718096,9.633485,9.450627", \ - "11.715650,11.585420,11.502240,11.297870,11.059960,10.786030,10.412510", \ - "14.728100,14.651200,14.549980,14.323510,13.776960,13.133380,12.182710", \ - "19.686200,19.515980,19.260290,18.777070,18.033720,17.112110,15.536380", \ - "26.409810,26.217170,25.919500,25.349280,24.454870,22.995700,20.813980"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.109595,3.131321,3.145742,3.186755,3.233888,3.284872,3.324007", \ - "3.116264,3.131091,3.157240,3.206030,3.275033,3.335984,3.400113", \ - "3.323636,3.328517,3.328054,3.346835,3.363778,3.402071,3.455175", \ - "4.568977,4.460579,4.355363,4.217599,4.091974,3.945326,3.818964", \ - "7.436166,7.278438,7.071359,6.713006,6.117631,5.488306,4.947827", \ - "11.476640,11.384730,11.184820,10.810090,9.986763,8.652633,7.266799", \ - "16.618090,16.520110,16.453710,16.169190,15.407690,13.789200,11.283810"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.383841,9.410217,9.463844,9.528811,9.510504,9.434990,9.585850", \ - "9.320392,9.380163,9.460187,9.522830,9.549474,9.627866,9.365739", \ - "9.855550,9.838155,9.854148,9.862191,9.743589,9.653549,9.476442", \ - "11.567680,11.491190,11.402950,11.222940,10.964390,10.752560,10.388580", \ - "14.468960,14.381610,14.250490,13.989800,13.564280,12.920800,12.029380", \ - "19.114850,18.950920,18.701690,18.180190,17.548090,16.713000,15.184200", \ - "25.451490,25.267980,24.980220,24.529380,23.555080,22.052340,19.950440"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.684056,1.692911,1.712267,1.725428,1.748228,1.780506,1.779607", \ - "1.622040,1.668088,1.691628,1.721594,1.779872,1.819935,1.852383", \ - "1.918790,1.899348,1.892952,1.875362,1.873594,1.884543,1.906444", \ - "3.558529,3.402221,3.220305,2.945975,2.701896,2.485304,2.290042", \ - "6.601506,6.413542,6.212325,5.747944,4.990824,4.144064,3.475972", \ - "10.615780,10.579220,10.373460,9.982978,9.057088,7.523188,5.891272", \ - "15.782470,15.666910,15.590960,15.313200,14.615490,12.834020,10.072840"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.652060,7.719997,7.704281,7.801791,7.770445,7.782369,7.827321", \ - "7.562184,7.609874,7.681254,7.708368,7.805522,7.908570,7.954208", \ - "8.165579,8.073159,8.131936,8.076949,8.033081,8.016532,8.051053", \ - "9.759979,9.781660,9.663977,9.450675,9.268081,8.913612,8.711138", \ - "12.550770,12.434710,12.269490,12.154670,11.866290,11.017020,10.405790", \ - "17.182390,17.066300,16.877320,16.532860,15.864680,14.877770,13.526970", \ - "23.380050,23.271450,23.076300,22.731370,21.861060,20.217360,18.414810"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.680158,1.674733,1.719838,1.738136,1.753615,1.782153,1.794400", \ - "1.658105,1.659806,1.705586,1.734253,1.778827,1.823534,1.855048", \ - "1.985273,1.959981,1.943620,1.918313,1.913116,1.920491,1.924774", \ - "3.802545,3.655703,3.463847,3.162390,2.840630,2.576157,2.365581", \ - "6.883356,6.739745,6.552367,6.126785,5.384912,4.408901,3.668308", \ - "10.937570,10.863900,10.733400,10.398620,9.655775,8.133541,6.300724", \ - "15.991840,16.068100,15.990730,15.817720,15.239510,13.730690,10.916200"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.628921,7.613450,7.680608,7.774475,7.753830,7.672535,7.834599", \ - "7.522924,7.566145,7.640748,7.688763,7.708813,7.715458,7.896183", \ - "8.166524,8.071200,8.118494,8.091949,7.988351,7.876627,7.732246", \ - "9.880980,9.906860,9.744889,9.567325,9.336389,8.910449,8.722997", \ - "12.918560,12.800710,12.657730,12.446060,12.086200,11.308140,10.388940", \ - "17.759780,17.589700,17.439220,17.040540,16.270260,15.469270,13.890170", \ - "24.348720,24.204690,24.002510,23.607960,22.630920,21.204050,19.161870"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.080486,3.110907,3.134605,3.182090,3.231766,3.273312,3.320960", \ - "3.112150,3.116453,3.136297,3.201742,3.265076,3.329871,3.389863", \ - "3.323175,3.328058,3.363312,3.373286,3.375951,3.418572,3.456427", \ - "4.772486,4.688139,4.560364,4.371335,4.200385,4.024744,3.875398", \ - "7.762386,7.648534,7.444596,7.087976,6.479068,5.723790,5.113666", \ - "11.816960,11.761290,11.643000,11.326220,10.578350,9.223789,7.649266", \ - "16.960230,16.933100,16.847340,16.734700,16.140390,14.652460,12.096720"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.320756,9.383285,9.437254,9.498940,9.549892,9.461462,9.292183", \ - "9.276042,9.335235,9.409823,9.475955,9.449897,9.450855,9.631995", \ - "9.855019,9.886255,9.844451,9.797768,9.718096,9.633485,9.450627", \ - "11.715650,11.585420,11.502240,11.297870,11.059960,10.786030,10.412510", \ - "14.728100,14.651200,14.549980,14.323510,13.776960,13.133380,12.182710", \ - "19.686200,19.515980,19.260290,18.777070,18.033720,17.112110,15.536380", \ - "26.409810,26.217170,25.919500,25.349280,24.454870,22.995700,20.813980"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.680158,1.674733,1.719838,1.738136,1.753615,1.782153,1.794400", \ - "1.658105,1.659806,1.705586,1.734253,1.778827,1.823534,1.855048", \ - "1.985273,1.959981,1.943620,1.918313,1.913116,1.920491,1.924774", \ - "3.802545,3.655703,3.463847,3.162390,2.840630,2.576157,2.365581", \ - "6.883356,6.739745,6.552367,6.126785,5.384912,4.408901,3.668308", \ - "10.937570,10.863900,10.733400,10.398620,9.655775,8.133541,6.300724", \ - "15.991840,16.068100,15.990730,15.817720,15.239510,13.730690,10.916200"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.628921,7.613450,7.680608,7.774475,7.753830,7.672535,7.834599", \ - "7.522924,7.566145,7.640748,7.688763,7.708813,7.715458,7.896183", \ - "8.166524,8.071200,8.118494,8.091949,7.988351,7.876627,7.732246", \ - "9.880980,9.906860,9.744889,9.567325,9.336389,8.910449,8.722997", \ - "12.918560,12.800710,12.657730,12.446060,12.086200,11.308140,10.388940", \ - "17.759780,17.589700,17.439220,17.040540,16.270260,15.469270,13.890170", \ - "24.348720,24.204690,24.002510,23.607960,22.630920,21.204050,19.161870"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.685823,1.687541,1.697565,1.724371,1.767868,1.777948,1.799811", \ - "1.637775,1.664822,1.692062,1.741779,1.787733,1.834361,1.868028", \ - "2.045635,2.022886,1.992941,1.968370,1.944431,1.946056,1.947001", \ - "3.998431,3.877057,3.708118,3.391741,2.973555,2.679628,2.444772", \ - "7.068841,7.000487,6.834867,6.499878,5.796227,4.763059,3.875010", \ - "11.139140,11.114450,10.997650,10.781070,10.211100,8.821629,6.835810", \ - "16.217320,16.337380,16.303500,16.202270,15.796700,14.607220,11.924450"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.621423,7.608340,7.675055,7.707495,7.821260,7.796336,7.806001", \ - "7.472861,7.530149,7.605529,7.666911,7.665798,7.827486,7.598945", \ - "8.170180,8.087193,8.096842,7.981807,7.916254,7.963004,7.663667", \ - "10.009410,10.044170,9.865198,9.652200,9.427924,8.981941,8.670185", \ - "13.265540,13.132170,13.032990,12.782550,12.463050,11.571430,10.480940", \ - "18.519020,18.342320,18.068130,17.689310,16.986070,16.105310,14.230610", \ - "25.538670,25.350880,25.053740,24.613510,23.637020,22.034520,20.067140"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.740693,5.750776,5.772632,5.825936,5.887430,5.970293,6.054483", \ - "5.576155,5.585528,5.662063,5.706870,5.772945,5.861526,5.946535", \ - "5.734191,5.762635,5.774560,5.795823,5.842943,5.900044,5.975012", \ - "6.688990,6.665195,6.669202,6.620987,6.545696,6.450507,6.361638", \ - "9.287218,9.168427,9.047635,8.798593,8.418924,7.991821,7.527818", \ - "13.476210,13.342760,13.141100,12.813940,12.138740,11.104830,9.932430", \ - "19.064310,18.950740,18.818630,18.468480,17.687890,16.234450,14.084800"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("13.339190,13.329300,13.373860,13.399340,13.475490,13.313540,13.313300", \ - "13.121000,13.233580,13.244910,13.350180,13.431800,13.332050,13.442870", \ - "13.162270,13.110390,13.151350,13.176170,13.186680,13.238440,13.341880", \ - "14.080430,14.074280,14.058220,13.853280,13.758700,13.764730,13.762360", \ - "16.456580,16.433500,16.269000,16.115900,15.708240,15.242310,14.669970", \ - "20.289330,20.170100,19.989510,19.524840,18.853690,18.269860,17.033640", \ - "25.826020,25.672010,25.437700,24.936450,24.102720,22.723170,21.123880"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.243139,4.292229,4.308839,4.319581,4.394350,4.447859,4.521448", \ - "4.147142,4.145711,4.161105,4.219232,4.264859,4.327000,4.406183", \ - "4.274017,4.326033,4.329845,4.312844,4.355560,4.379673,4.430484", \ - "5.424932,5.391569,5.323471,5.249852,5.131005,4.973041,4.834027", \ - "8.280535,8.163505,7.993155,7.672881,7.180569,6.633767,6.047849", \ - "12.616610,12.455820,12.287380,11.891370,11.123280,9.928426,8.569736", \ - "18.193360,18.086800,17.980290,17.627880,16.833340,15.226660,12.861640"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.587230,11.515110,11.599060,11.651580,11.685750,11.732350,11.575990", \ - "11.408360,11.475900,11.485110,11.592760,11.668790,11.514340,11.715670", \ - "11.354360,11.403180,11.447020,11.386750,11.565090,11.634070,11.541260", \ - "12.376830,12.373810,12.241720,12.186980,12.102810,11.978390,11.753580", \ - "14.564760,14.525460,14.335300,14.249750,13.957440,13.380910,12.732890", \ - "18.525080,18.408300,18.111490,17.846400,17.163360,16.449050,15.388280", \ - "23.929910,23.795530,23.590070,23.157730,22.250430,20.781950,19.131350"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.232636,4.241536,4.286253,4.319618,4.364215,4.422964,4.496873", \ - "4.097369,4.134351,4.150826,4.173211,4.239206,4.316615,4.390171", \ - "4.302457,4.299295,4.326460,4.316386,4.354665,4.374704,4.420255", \ - "5.591274,5.528751,5.442807,5.351452,5.197316,5.044578,4.869806", \ - "8.646852,8.518510,8.338254,8.034452,7.499729,6.844275,6.217325", \ - "13.084110,13.000390,12.814240,12.464640,11.735520,10.484390,8.955522", \ - "18.785450,18.797990,18.636250,18.361740,17.679980,16.151880,13.700660"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.540710,11.490430,11.535060,11.571720,11.663680,11.711060,11.556250", \ - "11.370460,11.437240,11.458190,11.453410,11.632470,11.707780,11.699500", \ - "11.325150,11.371550,11.386560,11.441370,11.481370,11.361630,11.499800", \ - "12.491010,12.415160,12.313320,12.188880,12.119300,11.972810,11.733560", \ - "14.756440,14.710670,14.637260,14.525800,14.134630,13.495850,13.074880", \ - "19.066900,18.907540,18.684410,18.254470,17.696820,16.808660,15.614480", \ - "24.891490,24.673590,24.442640,23.985020,23.126840,21.640450,19.571890"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.243139,4.292229,4.308839,4.319581,4.394350,4.447859,4.521448", \ - "4.147142,4.145711,4.161105,4.219232,4.264859,4.327000,4.406183", \ - "4.274017,4.326033,4.329845,4.312844,4.355560,4.379673,4.430484", \ - "5.424932,5.391569,5.323471,5.249852,5.131005,4.973041,4.834027", \ - "8.280535,8.163505,7.993155,7.672881,7.180569,6.633767,6.047849", \ - "12.616610,12.455820,12.287380,11.891370,11.123280,9.928426,8.569736", \ - "18.193360,18.086800,17.980290,17.627880,16.833340,15.226660,12.861640"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.587230,11.515110,11.599060,11.651580,11.685750,11.732350,11.575990", \ - "11.408360,11.475900,11.485110,11.592760,11.668790,11.514340,11.715670", \ - "11.354360,11.403180,11.447020,11.386750,11.565090,11.634070,11.541260", \ - "12.376830,12.373810,12.241720,12.186980,12.102810,11.978390,11.753580", \ - "14.564760,14.525460,14.335300,14.249750,13.957440,13.380910,12.732890", \ - "18.525080,18.408300,18.111490,17.846400,17.163360,16.449050,15.388280", \ - "23.929910,23.795530,23.590070,23.157730,22.250430,20.781950,19.131350"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.811155,2.848533,2.858870,2.878911,2.908355,2.939833,2.962578", \ - "2.671017,2.692992,2.716105,2.738207,2.788746,2.812669,2.861381", \ - "2.900464,2.912943,2.904848,2.890913,2.876731,2.872622,2.876424", \ - "4.323367,4.219156,4.083712,3.938581,3.731926,3.512073,3.306200", \ - "7.404120,7.253303,7.033742,6.643378,6.020991,5.283873,4.584912", \ - "11.799450,11.664620,11.465790,11.011990,10.178790,8.781934,7.199847", \ - "17.362230,17.337650,17.195490,16.829770,15.999300,14.262240,11.656960"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.806088,9.866863,9.842820,9.878651,9.863604,9.809388,9.957076", \ - "9.692338,9.710032,9.767504,9.802809,9.916805,9.875146,9.698188", \ - "9.590758,9.642189,9.675841,9.722959,9.721274,9.897046,9.777578", \ - "10.544190,10.631550,10.569950,10.427130,10.366360,10.129130,9.949137", \ - "12.726120,12.553260,12.503290,12.413820,12.305260,11.769110,11.307740", \ - "16.615490,16.515470,16.344310,15.998560,15.344930,14.665300,13.380260", \ - "21.838940,21.728100,21.658440,21.244730,20.392440,19.218190,17.391540"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.811836,2.827199,2.831776,2.846779,2.894246,2.922058,2.956122", \ - "2.682561,2.665811,2.713297,2.726732,2.764289,2.811091,2.857120", \ - "2.916061,2.919182,2.911693,2.907780,2.888419,2.884004,2.875876", \ - "4.515091,4.427581,4.283228,4.055695,3.850241,3.594714,3.360162", \ - "7.740483,7.617542,7.417311,7.032468,6.381822,5.536054,4.770422", \ - "12.257280,12.138720,11.977760,11.581020,10.780890,9.373604,7.627547", \ - "17.954570,17.907860,17.819960,17.564960,16.801680,15.196330,12.528650"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.781715,9.836132,9.856294,9.868090,9.848684,9.847005,9.918743", \ - "9.607495,9.672184,9.728997,9.737613,9.791063,9.822191,9.965920", \ - "9.563022,9.607398,9.642868,9.669290,9.672111,9.689585,9.896359", \ - "10.638850,10.696880,10.606190,10.455540,10.369630,10.273470,9.799963", \ - "12.942610,12.863260,12.769030,12.581690,12.402250,11.922810,11.253930", \ - "17.134110,17.024800,16.841620,16.433270,15.882630,15.091070,13.894490", \ - "22.855410,22.736740,22.535620,22.069060,21.283330,19.920320,17.963690"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.232636,4.241536,4.286253,4.319618,4.364215,4.422964,4.496873", \ - "4.097369,4.134351,4.150826,4.173211,4.239206,4.316615,4.390171", \ - "4.302457,4.299295,4.326460,4.316386,4.354665,4.374704,4.420255", \ - "5.591274,5.528751,5.442807,5.351452,5.197316,5.044578,4.869806", \ - "8.646852,8.518510,8.338254,8.034452,7.499729,6.844275,6.217325", \ - "13.084110,13.000390,12.814240,12.464640,11.735520,10.484390,8.955522", \ - "18.785450,18.797990,18.636250,18.361740,17.679980,16.151880,13.700660"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.540710,11.490430,11.535060,11.571720,11.663680,11.711060,11.556250", \ - "11.370460,11.437240,11.458190,11.453410,11.632470,11.707780,11.699500", \ - "11.325150,11.371550,11.386560,11.441370,11.481370,11.361630,11.499800", \ - "12.491010,12.415160,12.313320,12.188880,12.119300,11.972810,11.733560", \ - "14.756440,14.710670,14.637260,14.525800,14.134630,13.495850,13.074880", \ - "19.066900,18.907540,18.684410,18.254470,17.696820,16.808660,15.614480", \ - "24.891490,24.673590,24.442640,23.985020,23.126840,21.640450,19.571890"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.811836,2.827199,2.831776,2.846779,2.894246,2.922058,2.956122", \ - "2.682561,2.665811,2.713297,2.726732,2.764289,2.811091,2.857120", \ - "2.916061,2.919182,2.911693,2.907780,2.888419,2.884004,2.875876", \ - "4.515091,4.427581,4.283228,4.055695,3.850241,3.594714,3.360162", \ - "7.740483,7.617542,7.417311,7.032468,6.381822,5.536054,4.770422", \ - "12.257280,12.138720,11.977760,11.581020,10.780890,9.373604,7.627547", \ - "17.954570,17.907860,17.819960,17.564960,16.801680,15.196330,12.528650"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.781715,9.836132,9.856294,9.868090,9.848684,9.847005,9.918743", \ - "9.607495,9.672184,9.728997,9.737613,9.791063,9.822191,9.965920", \ - "9.563022,9.607398,9.642868,9.669290,9.672111,9.689585,9.896359", \ - "10.638850,10.696880,10.606190,10.455540,10.369630,10.273470,9.799963", \ - "12.942610,12.863260,12.769030,12.581690,12.402250,11.922810,11.253930", \ - "17.134110,17.024800,16.841620,16.433270,15.882630,15.091070,13.894490", \ - "22.855410,22.736740,22.535620,22.069060,21.283330,19.920320,17.963690"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.756598,2.771524,2.793808,2.839955,2.873376,2.912193,2.951029", \ - "2.634796,2.659266,2.679896,2.714622,2.742463,2.803158,2.845585", \ - "2.895480,2.915700,2.900102,2.906481,2.893136,2.887884,2.885229", \ - "4.708463,4.613659,4.473438,4.230766,3.943558,3.673515,3.419215", \ - "8.047237,7.940750,7.765304,7.393212,6.774338,5.832561,4.968184", \ - "12.630340,12.603450,12.423540,12.136890,11.406750,10.038780,8.146559", \ - "18.443700,18.442470,18.397640,18.188930,17.607740,16.195000,13.545930"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.776934,9.831322,9.789106,9.877096,9.845087,9.846447,9.952629", \ - "9.578661,9.642783,9.661456,9.670722,9.755065,9.923928,9.641869", \ - "9.529896,9.571896,9.604002,9.617428,9.618986,9.653997,9.835003", \ - "10.691020,10.753300,10.650890,10.558960,10.412740,10.287980,10.193570", \ - "13.271440,13.083550,12.977710,12.865090,12.641180,12.034520,11.335860", \ - "17.732380,17.601020,17.449280,16.990870,16.286410,15.493180,13.991660", \ - "23.867150,23.735560,23.516950,23.098970,22.220030,20.732750,18.970190"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.583511,4.598011,4.631922,4.647673,4.727503,4.799011,4.884809", \ - "4.543837,4.565356,4.595901,4.667384,4.733980,4.845549,4.945704", \ - "4.375961,4.397687,4.432820,4.516692,4.615364,4.743250,4.893993", \ - "4.809049,4.805772,4.813333,4.833713,4.847362,4.894188,4.982209", \ - "6.800858,6.740126,6.614271,6.401564,6.083927,5.817525,5.625007", \ - "10.164590,10.106260,9.985036,9.654948,9.053208,8.135085,7.243338", \ - "14.618760,14.569000,14.463230,14.216830,13.590130,12.318950,10.395820"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("15.079580,15.121740,15.158690,15.176900,15.170640,15.246110,15.365360", \ - "14.981250,15.032290,15.076530,15.097650,15.038630,15.258760,15.040240", \ - "15.312570,15.344390,15.258690,15.284720,15.347100,15.299370,15.204030", \ - "16.894150,16.792700,16.738300,16.530910,16.454200,16.163740,15.825010", \ - "20.057230,19.965690,19.752200,19.451160,19.094990,18.388270,17.520530", \ - "24.476100,24.419250,24.200460,23.956320,23.398690,22.375890,20.817930", \ - "30.913060,30.761630,30.517400,30.078190,29.348120,28.038520,25.891630"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.108561,3.144112,3.164531,3.200049,3.231908,3.276593,3.324404", \ - "3.026969,3.076552,3.110444,3.152465,3.231907,3.318332,3.390922", \ - "2.869735,2.890781,2.925467,2.995573,3.084200,3.210738,3.328259", \ - "3.502471,3.450393,3.387571,3.378391,3.363604,3.381211,3.423713", \ - "5.770937,5.639058,5.495379,5.218489,4.785430,4.381633,4.102938", \ - "9.272368,9.166551,8.990223,8.637279,7.968514,6.883581,5.798475", \ - "13.605840,13.573670,13.531610,13.250720,12.602090,11.251880,9.089518"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("13.331080,13.271610,13.311430,13.340590,13.366750,13.496900,13.545480", \ - "13.235340,13.232670,13.229770,13.227910,13.326570,13.321060,13.518340", \ - "13.535160,13.501090,13.530860,13.538150,13.505930,13.439280,13.313820", \ - "15.061730,15.052300,14.959990,14.865150,14.597840,14.450440,14.097050", \ - "17.996270,17.978940,17.891020,17.652410,17.304830,16.652760,15.972280", \ - "22.627960,22.515700,22.329310,22.071000,21.454590,20.501750,19.310090", \ - "28.926080,28.777470,28.637380,28.293630,27.617170,26.212030,24.490490"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.092398,3.123366,3.137389,3.182147,3.224662,3.265925,3.326867", \ - "3.014365,3.055161,3.069868,3.138639,3.226037,3.305086,3.374257", \ - "2.858378,2.916142,2.955937,3.003077,3.101779,3.218107,3.323003", \ - "3.732638,3.653564,3.600628,3.492251,3.446809,3.451105,3.468552", \ - "6.120046,6.006554,5.892447,5.602603,5.162878,4.603896,4.270224", \ - "9.539067,9.514482,9.413676,9.149812,8.578144,7.492020,6.189747", \ - "13.858060,13.820800,13.817740,13.678550,13.280350,12.157870,9.977396"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("13.291170,13.287870,13.293530,13.249790,13.399710,13.300640,13.548480", \ - "13.051880,13.107000,13.154090,13.230920,13.252000,13.266140,13.412240", \ - "13.443990,13.477260,13.495880,13.502540,13.444980,13.369600,13.412120", \ - "15.242780,15.168660,15.126390,14.997090,14.787350,14.515020,14.270660", \ - "18.474220,18.381360,18.345140,18.070030,17.679920,17.016000,16.091430", \ - "23.275180,23.158010,22.966640,22.676530,22.246900,21.156960,19.440010", \ - "29.990020,29.835160,29.686570,29.282360,28.535180,27.225480,25.403640"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.108561,3.144112,3.164531,3.200049,3.231908,3.276593,3.324404", \ - "3.026969,3.076552,3.110444,3.152465,3.231907,3.318332,3.390922", \ - "2.869735,2.890781,2.925467,2.995573,3.084200,3.210738,3.328259", \ - "3.502471,3.450393,3.387571,3.378391,3.363604,3.381211,3.423713", \ - "5.770937,5.639058,5.495379,5.218489,4.785430,4.381633,4.102938", \ - "9.272368,9.166551,8.990223,8.637279,7.968514,6.883581,5.798475", \ - "13.605840,13.573670,13.531610,13.250720,12.602090,11.251880,9.089518"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("13.331080,13.271610,13.311430,13.340590,13.366750,13.496900,13.545480", \ - "13.235340,13.232670,13.229770,13.227910,13.326570,13.321060,13.518340", \ - "13.535160,13.501090,13.530860,13.538150,13.505930,13.439280,13.313820", \ - "15.061730,15.052300,14.959990,14.865150,14.597840,14.450440,14.097050", \ - "17.996270,17.978940,17.891020,17.652410,17.304830,16.652760,15.972280", \ - "22.627960,22.515700,22.329310,22.071000,21.454590,20.501750,19.310090", \ - "28.926080,28.777470,28.637380,28.293630,27.617170,26.212030,24.490490"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.673433,1.691178,1.724238,1.745312,1.757759,1.769081,1.781992", \ - "1.532738,1.565011,1.608436,1.675467,1.735274,1.802946,1.841331", \ - "1.360488,1.380373,1.414156,1.465999,1.572768,1.673722,1.775438", \ - "2.290530,2.208329,2.095228,1.967028,1.900880,1.872677,1.872132", \ - "4.783215,4.678815,4.470175,4.120520,3.541175,2.954937,2.586492", \ - "8.384740,8.207656,8.060892,7.731790,6.953674,5.671775,4.388899", \ - "12.796020,12.713240,12.575960,12.323140,11.702030,10.225230,7.829624"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.495840,11.552760,11.549760,11.582750,11.628000,11.646700,11.801760", \ - "11.401060,11.377130,11.452030,11.510080,11.539470,11.610760,11.458160", \ - "11.624430,11.672110,11.695210,11.754600,11.740130,11.810740,11.850010", \ - "13.257090,13.269800,13.198110,13.061640,12.859270,12.551800,12.345060", \ - "16.039150,16.004130,15.991490,15.912330,15.549070,14.967730,14.255450", \ - "20.602910,20.482330,20.394520,20.139730,19.694980,18.932800,17.539790", \ - "26.836710,26.780810,26.654390,26.319950,25.694320,24.568690,22.503540"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.693458,1.687644,1.714540,1.738119,1.753154,1.774664,1.791901", \ - "1.518055,1.569752,1.615515,1.676089,1.746139,1.798438,1.846370", \ - "1.401010,1.426564,1.449385,1.512268,1.604119,1.694120,1.789597", \ - "2.544716,2.454728,2.350509,2.165709,2.020577,1.961252,1.943127", \ - "5.128500,4.980458,4.859107,4.536874,3.958257,3.226446,2.778107", \ - "8.621559,8.490229,8.431047,8.110975,7.547345,6.314895,4.806626", \ - "12.994270,12.891040,12.846800,12.672570,12.269360,11.079160,8.749995"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.458740,11.507240,11.503710,11.538680,11.556150,11.607210,11.658620", \ - "11.207560,11.316860,11.359220,11.433070,11.432300,11.612540,11.393260", \ - "11.606370,11.687250,11.668540,11.693020,11.646440,11.619610,11.676600", \ - "13.470910,13.397510,13.307260,13.166980,12.897210,12.606420,12.244280", \ - "16.456400,16.404030,16.370190,16.247030,15.776840,15.227500,14.419430", \ - "21.245050,21.233140,21.044810,20.800140,20.216090,19.464520,17.939920", \ - "27.880390,27.836950,27.711040,27.343190,26.716610,25.512870,23.354310"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.100520,3.145665,3.153738,3.198160,3.232627,3.275806,3.327419", \ - "3.035853,3.052282,3.099344,3.164357,3.225792,3.302723,3.377689", \ - "2.901388,2.916168,2.943637,3.002034,3.095275,3.209889,3.324151", \ - "3.560914,3.510451,3.470406,3.432767,3.408060,3.407465,3.447190", \ - "5.905904,5.781539,5.623905,5.346319,4.918189,4.465224,4.171917", \ - "9.390272,9.330998,9.174179,8.840946,8.176253,7.080541,5.947065", \ - "13.948870,13.879940,13.756710,13.493060,12.890090,11.523010,9.375802"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("14.168170,14.205170,14.275120,14.188640,14.293970,14.413780,14.463880", \ - "14.070570,14.126040,14.187570,14.236790,14.265680,14.323700,14.456870", \ - "14.414550,14.451930,14.403280,14.460200,14.466770,14.407540,14.519330", \ - "16.012190,15.955600,15.870260,15.772680,15.633750,15.355980,14.939080", \ - "18.964580,18.946230,18.892940,18.739790,18.323190,17.676360,17.039780", \ - "23.606360,23.504600,23.330240,23.022620,22.679310,21.575360,20.416370", \ - "29.970230,29.930160,29.693730,29.420830,28.710530,27.509140,25.714060"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.690627,1.703164,1.726145,1.745343,1.762381,1.783015,1.786886", \ - "1.548856,1.576868,1.628311,1.682124,1.742466,1.803559,1.848341", \ - "1.364588,1.404176,1.446653,1.492531,1.592910,1.695915,1.783111", \ - "2.353927,2.284308,2.173534,2.028077,1.953678,1.916756,1.903599", \ - "4.954017,4.802365,4.606007,4.252585,3.676555,3.067882,2.668392", \ - "8.563911,8.397078,8.266578,7.878765,7.163334,5.885761,4.533864", \ - "13.066790,12.995920,12.875440,12.602440,11.963530,10.477990,8.128127"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("12.317210,12.372480,12.425600,12.399490,12.530990,12.457760,12.398710", \ - "12.234010,12.294180,12.344380,12.428590,12.494260,12.566200,12.366740", \ - "12.585380,12.627030,12.656500,12.652880,12.596060,12.675820,12.702360", \ - "14.191200,14.195490,14.067250,14.025340,13.793590,13.651900,13.252640", \ - "17.054790,16.960260,16.993020,16.912570,16.572590,15.989240,15.151340", \ - "21.595610,21.556760,21.454560,21.093050,20.720870,19.875260,18.400570", \ - "27.863780,27.879410,27.713280,27.449250,26.917110,25.819730,23.771060"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.685784,1.698768,1.706102,1.728323,1.758330,1.778733,1.794058", \ - "1.540982,1.575303,1.608402,1.677937,1.741031,1.802881,1.843943", \ - "1.416372,1.451487,1.487920,1.534909,1.618814,1.709390,1.794399", \ - "2.635946,2.557334,2.447942,2.266760,2.087818,2.009575,1.981256", \ - "5.224280,5.121081,4.984381,4.674783,4.122571,3.378893,2.870164", \ - "8.837966,8.718646,8.574550,8.345258,7.727661,6.565257,5.020664", \ - "13.187350,13.173630,13.148140,12.948960,12.485550,11.373860,9.088578"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("12.280160,12.335250,12.378260,12.456060,12.516190,12.536230,12.593240", \ - "12.159610,12.220270,12.270390,12.284860,12.421320,12.505920,12.267220", \ - "12.606700,12.607150,12.594320,12.583310,12.528580,12.609790,12.526030", \ - "14.321000,14.320880,14.291630,14.125990,13.959200,13.707070,13.273150", \ - "17.433280,17.393560,17.311180,17.284800,16.917600,16.132890,15.217940", \ - "22.240580,22.238230,22.058840,21.812080,21.381420,20.724250,19.283480", \ - "29.059600,28.915990,28.785010,28.490430,27.950050,26.652970,25.015760"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.715112,5.729501,5.790367,5.832053,5.893838,5.973744,6.055873", \ - "5.569236,5.622966,5.622326,5.681111,5.767934,5.857003,5.958498", \ - "5.498886,5.522178,5.552441,5.600561,5.654425,5.757146,5.891799", \ - "5.915321,5.910425,5.913888,5.911952,5.932488,5.977063,6.024156", \ - "7.747982,7.693369,7.600624,7.425454,7.176720,6.959539,6.740839", \ - "11.216690,11.118520,10.967660,10.672890,10.168450,9.354096,8.508541", \ - "16.027810,15.922820,15.794860,15.473050,14.828410,13.626410,11.835820"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("17.244960,17.220370,17.220250,17.274670,17.329360,17.327530,17.252920", \ - "17.114580,17.060570,17.122450,17.115110,17.195080,17.312320,17.189190", \ - "16.986420,16.943530,16.970160,17.080760,17.079090,17.223560,17.254450", \ - "17.749640,17.762610,17.757630,17.678840,17.579050,17.589430,17.283080", \ - "20.149360,20.170220,20.060630,19.837340,19.534830,19.119370,18.676260", \ - "23.813500,23.740840,23.613860,23.372060,22.907300,22.129350,20.962230", \ - "29.336030,29.223520,29.033370,28.670090,27.979410,26.887930,25.287800"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.259452,4.300239,4.297678,4.345014,4.387345,4.446055,4.523121", \ - "4.128498,4.119726,4.146904,4.202489,4.253804,4.328762,4.405613", \ - "4.025156,4.035883,4.057880,4.079592,4.167189,4.241694,4.327466", \ - "4.512434,4.499515,4.492272,4.477223,4.473583,4.468801,4.477911", \ - "6.631173,6.525361,6.429029,6.203826,5.855303,5.524949,5.231121", \ - "10.246520,10.155870,9.981391,9.659318,9.033873,8.084711,7.072690", \ - "15.100360,15.021760,14.888760,14.574300,13.876920,12.529550,10.545730"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("15.397410,15.446300,15.408280,15.439070,15.558160,15.422940,15.619310", \ - "15.263590,15.319210,15.367120,15.347670,15.435870,15.441290,15.448350", \ - "15.203780,15.189280,15.234900,15.295060,15.296890,15.455080,15.268980", \ - "16.009100,15.916120,15.914550,15.882850,15.827020,15.801210,15.828370", \ - "18.156190,18.167850,18.160060,18.065080,17.704840,17.298020,16.790230", \ - "21.953910,21.883220,21.756840,21.373890,21.008530,20.359230,19.188050", \ - "27.279750,27.284970,27.108560,26.807600,26.122660,25.151160,23.544590"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.243114,4.256282,4.275264,4.318793,4.355672,4.417610,4.495708", \ - "4.094907,4.113907,4.126012,4.164678,4.222142,4.306639,4.392202", \ - "3.960512,3.996010,4.044565,4.086141,4.139493,4.226810,4.319087", \ - "4.653955,4.616149,4.567729,4.550940,4.532020,4.495802,4.499991", \ - "6.948602,6.881281,6.763302,6.545581,6.182028,5.715893,5.378801", \ - "10.689500,10.577720,10.499670,10.183680,9.637480,8.665757,7.443063", \ - "15.588030,15.472660,15.426720,15.218640,14.670160,13.450160,11.413700"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("15.386230,15.406240,15.363170,15.448490,15.446520,15.567160,15.624940", \ - "15.236760,15.249920,15.297000,15.341710,15.387700,15.383480,15.431690", \ - "15.087160,15.137230,15.179410,15.221870,15.216700,15.302060,15.161810", \ - "16.050380,16.063370,16.024960,15.908740,15.813750,15.728700,15.605490", \ - "18.498120,18.400080,18.363450,18.250620,17.867580,17.460330,17.045460", \ - "22.527900,22.450140,22.314280,22.035570,21.625830,20.685730,19.353160", \ - "28.376430,28.267560,28.080670,27.709870,26.954200,25.760590,24.136560"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.259452,4.300239,4.297678,4.345014,4.387345,4.446055,4.523121", \ - "4.128498,4.119726,4.146904,4.202489,4.253804,4.328762,4.405613", \ - "4.025156,4.035883,4.057880,4.079592,4.167189,4.241694,4.327466", \ - "4.512434,4.499515,4.492272,4.477223,4.473583,4.468801,4.477911", \ - "6.631173,6.525361,6.429029,6.203826,5.855303,5.524949,5.231121", \ - "10.246520,10.155870,9.981391,9.659318,9.033873,8.084711,7.072690", \ - "15.100360,15.021760,14.888760,14.574300,13.876920,12.529550,10.545730"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("15.397410,15.446300,15.408280,15.439070,15.558160,15.422940,15.619310", \ - "15.263590,15.319210,15.367120,15.347670,15.435870,15.441290,15.448350", \ - "15.203780,15.189280,15.234900,15.295060,15.296890,15.455080,15.268980", \ - "16.009100,15.916120,15.914550,15.882850,15.827020,15.801210,15.828370", \ - "18.156190,18.167850,18.160060,18.065080,17.704840,17.298020,16.790230", \ - "21.953910,21.883220,21.756840,21.373890,21.008530,20.359230,19.188050", \ - "27.279750,27.284970,27.108560,26.807600,26.122660,25.151160,23.544590"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.841676,2.826967,2.848242,2.867219,2.911124,2.927973,2.966288", \ - "2.665091,2.691101,2.703434,2.729944,2.760827,2.812511,2.855651", \ - "2.550085,2.567947,2.585847,2.614483,2.665202,2.720441,2.782571", \ - "3.199931,3.160941,3.101303,3.064441,3.012954,2.962674,2.930704", \ - "5.591630,5.489249,5.326728,5.041948,4.588462,4.109546,3.728967", \ - "9.355643,9.250271,9.048062,8.669202,7.972221,6.865189,5.646610", \ - "14.278800,14.186780,14.032150,13.663300,12.937850,11.474750,9.285011"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("13.558740,13.613280,13.657060,13.660980,13.661560,13.609740,13.904050", \ - "13.420720,13.482420,13.533740,13.543410,13.681290,13.657250,13.664000", \ - "13.307480,13.352380,13.425860,13.479710,13.508310,13.476310,13.764460", \ - "14.163330,14.193540,14.105890,14.124820,14.117190,14.053480,13.946390", \ - "16.209110,16.082960,16.058910,16.049560,15.933930,15.615580,14.799390", \ - "19.874400,19.884970,19.805140,19.594580,19.114230,18.558420,17.607030", \ - "25.168440,25.137170,25.052050,24.807420,24.289610,23.176130,21.637630"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.796900,2.808396,2.827658,2.862968,2.894017,2.930190,2.956686", \ - "2.630739,2.642915,2.680463,2.712793,2.761309,2.806095,2.848729", \ - "2.537168,2.564727,2.573193,2.620196,2.650728,2.725446,2.778519", \ - "3.414410,3.358426,3.269238,3.148043,3.088685,3.030118,2.969222", \ - "5.926588,5.850520,5.700557,5.416673,4.948649,4.336738,3.887497", \ - "9.778817,9.665771,9.552573,9.214645,8.569051,7.460329,6.065108", \ - "14.640630,14.615720,14.544820,14.246780,13.674810,12.386200,10.174820"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("13.522110,13.596570,13.625240,13.630000,13.645740,13.693370,13.801760", \ - "13.381570,13.416850,13.491920,13.538540,13.581560,13.615770,13.672540", \ - "13.265030,13.304140,13.380940,13.422630,13.449300,13.468510,13.603690", \ - "14.291490,14.231330,14.233520,14.138930,14.124960,13.990490,13.910060", \ - "16.428310,16.427240,16.435710,16.386890,16.176310,15.695010,14.994120", \ - "20.563630,20.494650,20.363220,20.164470,19.738750,19.141000,17.964600", \ - "26.160650,26.187610,26.077520,25.814480,25.100490,24.150290,22.525950"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.286088,4.265484,4.297334,4.315117,4.378910,4.425945,4.496908", \ - "4.122560,4.118073,4.165841,4.178729,4.235658,4.317848,4.384771", \ - "4.029517,4.046823,4.055770,4.105433,4.165752,4.227088,4.321855", \ - "4.570148,4.555389,4.513476,4.520510,4.508033,4.472600,4.475835", \ - "6.739623,6.684178,6.560858,6.331458,5.976928,5.594621,5.272721", \ - "10.486290,10.346990,10.172160,9.839815,9.255721,8.284438,7.189061", \ - "15.439620,15.336420,15.186000,14.862650,14.165560,12.836070,10.822880"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("16.333440,16.351990,16.348760,16.289830,16.425610,16.421800,16.492200", \ - "16.202510,16.154030,16.193510,16.312500,16.356400,16.397680,16.144670", \ - "16.067640,16.015100,16.091820,16.143780,16.240540,16.274730,16.118870", \ - "16.849020,16.855880,16.858070,16.830070,16.762290,16.703200,16.624040", \ - "19.119870,19.015640,19.045630,18.957900,18.611020,18.279450,17.765260", \ - "22.911330,22.849770,22.734530,22.393130,22.110650,21.495030,20.488380", \ - "28.384650,28.292840,28.123680,27.847380,27.172030,26.064900,24.471690"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.831399,2.830411,2.861870,2.878187,2.892402,2.936311,2.964674", \ - "2.678721,2.683987,2.714258,2.727689,2.767753,2.807710,2.847020", \ - "2.550794,2.554775,2.594282,2.610469,2.670583,2.721976,2.781784", \ - "3.292044,3.219243,3.166007,3.119185,3.055385,2.989332,2.945770", \ - "5.732170,5.596899,5.470575,5.178305,4.722106,4.191146,3.795572", \ - "9.583725,9.493840,9.270895,8.885641,8.189526,7.069717,5.789496", \ - "14.623770,14.507280,14.314870,14.004490,13.250200,11.777120,9.574114"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("14.506010,14.483720,14.502910,14.580960,14.598210,14.652820,14.771280", \ - "14.371210,14.432400,14.428060,14.514480,14.574640,14.606290,14.572570", \ - "14.236800,14.293770,14.271160,14.292060,14.445620,14.538750,14.638210", \ - "15.112840,15.020120,15.074960,15.068790,14.952590,14.867200,14.597140", \ - "17.046580,17.136150,17.130090,17.125640,16.827960,16.362530,16.120410", \ - "20.840820,20.781410,20.785370,20.606470,20.088900,19.720320,18.474330", \ - "26.186570,26.225910,26.114580,25.924850,25.388420,24.440730,22.733850"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.776116,2.823803,2.831306,2.857307,2.868601,2.916853,2.948121", \ - "2.614237,2.666889,2.680735,2.713689,2.741141,2.793926,2.840737", \ - "2.538124,2.558952,2.593096,2.618153,2.659364,2.716142,2.778225", \ - "3.504397,3.436500,3.358717,3.221318,3.134855,3.047327,2.991454", \ - "6.112387,5.977972,5.849398,5.560162,5.104255,4.449614,3.960097", \ - "10.048730,9.925290,9.766544,9.459931,8.805050,7.701671,6.247812", \ - "15.050320,14.911740,14.860300,14.532680,14.018450,12.724280,10.515480"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("14.476480,14.453720,14.454350,14.524050,14.578000,14.589200,14.711700", \ - "14.304160,14.240260,14.351110,14.435330,14.490230,14.543010,14.507750", \ - "14.187790,14.221930,14.219470,14.211190,14.383590,14.313640,14.594310", \ - "15.159330,15.146860,15.114180,15.063520,15.007210,14.775030,14.864600", \ - "17.400060,17.408340,17.392050,17.357490,17.111680,16.720970,16.207490", \ - "21.539600,21.477060,21.354550,21.097980,20.802810,20.116440,19.119330", \ - "27.304340,27.223710,27.063190,26.789250,26.340710,25.243350,23.814040"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.582384,4.596142,4.619968,4.674134,4.716734,4.803505,4.881354", \ - "4.543537,4.563171,4.594762,4.656409,4.738179,4.830642,4.941529", \ - "4.301005,4.316264,4.386032,4.463806,4.563790,4.706216,4.869278", \ - "4.574186,4.571606,4.583024,4.600976,4.653774,4.753956,4.876478", \ - "6.541411,6.439268,6.381679,6.179203,5.876307,5.602775,5.470382", \ - "9.888624,9.856475,9.748120,9.512284,9.044646,8.146938,7.180000", \ - "14.252060,14.167770,14.176280,14.066590,13.661810,12.691290,10.754560"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("18.484020,18.453300,18.530980,18.544850,18.513310,18.612780,18.663680", \ - "18.347160,18.297390,18.347210,18.459960,18.484280,18.620800,18.719000", \ - "18.601540,18.574600,18.606000,18.625550,18.598760,18.709040,18.614470", \ - "20.127620,20.121710,19.988230,19.903180,19.778880,19.643160,19.208410", \ - "23.437040,23.283130,23.191570,22.846140,22.565920,21.801900,21.066690", \ - "28.149230,28.065380,27.914870,27.600140,27.168610,26.165260,24.617470", \ - "34.947670,34.807280,34.680770,34.228280,33.550940,32.335090,30.119590"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.105559,3.142942,3.162512,3.196621,3.240893,3.278776,3.316130", \ - "3.008105,3.076497,3.109169,3.165963,3.226744,3.311673,3.382596", \ - "2.773018,2.793882,2.836393,2.923884,3.028211,3.171558,3.305918", \ - "3.213396,3.179688,3.132480,3.128262,3.160683,3.222901,3.317341", \ - "5.416731,5.323754,5.200772,4.951815,4.563206,4.155193,3.939193", \ - "8.778099,8.761399,8.643968,8.425365,7.884350,6.894825,5.731830", \ - "13.243430,13.141730,13.135380,13.020500,12.598230,11.583470,9.476114"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("16.572750,16.658550,16.692520,16.767910,16.856620,16.736900,16.947110", \ - "16.437170,16.503500,16.445810,16.588270,16.553070,16.619970,16.855980", \ - "16.735790,16.744260,16.711940,16.715870,16.691390,16.712780,16.826340", \ - "18.228950,18.288050,18.219110,18.158180,18.016960,17.621620,17.508870", \ - "21.568740,21.505970,21.333340,21.044410,20.729020,19.986740,19.505340", \ - "26.235720,26.137950,26.032620,25.798020,25.263480,24.323050,22.933600", \ - "33.012280,32.872790,32.750100,32.313450,31.728080,30.552070,28.536480"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.082688,3.126287,3.147542,3.177890,3.219189,3.275219,3.311738", \ - "3.003089,3.028226,3.092629,3.148778,3.227121,3.303821,3.370380", \ - "2.769235,2.768896,2.830769,2.924295,3.023204,3.165727,3.302634", \ - "3.280401,3.230000,3.199084,3.143633,3.174791,3.225689,3.320874", \ - "5.462669,5.390575,5.285911,5.045477,4.682443,4.216650,3.983902", \ - "8.776074,8.704789,8.682363,8.494407,8.019493,7.064726,5.838488", \ - "13.156660,13.078960,13.122300,12.985230,12.673680,11.733080,9.755251"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("17.264560,17.303210,17.359820,17.388020,17.454380,17.665710,17.661660", \ - "17.106140,17.200050,17.234150,17.298380,17.276800,17.347380,17.500820", \ - "17.421980,17.471840,17.394830,17.435430,17.526200,17.507830,17.623790", \ - "19.079220,18.972700,19.017430,18.947220,18.654920,18.392340,18.316730", \ - "22.416340,22.332960,22.233930,21.941410,21.632020,20.983250,20.198300", \ - "27.146340,27.069930,26.920970,26.683340,26.340380,25.221370,23.870010", \ - "33.989890,33.861870,33.748860,33.346880,32.746280,31.689760,29.812310"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.105559,3.142942,3.162512,3.196621,3.240893,3.278776,3.316130", \ - "3.008105,3.076497,3.109169,3.165963,3.226744,3.311673,3.382596", \ - "2.773018,2.793882,2.836393,2.923884,3.028211,3.171558,3.305918", \ - "3.213396,3.179688,3.132480,3.128262,3.160683,3.222901,3.317341", \ - "5.416731,5.323754,5.200772,4.951815,4.563206,4.155193,3.939193", \ - "8.778099,8.761399,8.643968,8.425365,7.884350,6.894825,5.731830", \ - "13.243430,13.141730,13.135380,13.020500,12.598230,11.583470,9.476114"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("16.572750,16.658550,16.692520,16.767910,16.856620,16.736900,16.947110", \ - "16.437170,16.503500,16.445810,16.588270,16.553070,16.619970,16.855980", \ - "16.735790,16.744260,16.711940,16.715870,16.691390,16.712780,16.826340", \ - "18.228950,18.288050,18.219110,18.158180,18.016960,17.621620,17.508870", \ - "21.568740,21.505970,21.333340,21.044410,20.729020,19.986740,19.505340", \ - "26.235720,26.137950,26.032620,25.798020,25.263480,24.323050,22.933600", \ - "33.012280,32.872790,32.750100,32.313450,31.728080,30.552070,28.536480"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.685031,1.689844,1.716654,1.739486,1.754223,1.775465,1.780906", \ - "1.515176,1.576016,1.609191,1.666825,1.736563,1.789265,1.833486", \ - "1.226945,1.263890,1.305046,1.393956,1.504756,1.640158,1.738994", \ - "1.938956,1.851900,1.790331,1.677107,1.658760,1.692752,1.753262", \ - "4.307253,4.209876,4.075742,3.794830,3.300442,2.716505,2.424750", \ - "7.728031,7.719095,7.602233,7.372213,6.789191,5.682137,4.309075", \ - "12.200320,12.197070,12.166310,11.940510,11.572690,10.458290,8.226940"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("14.629130,14.708960,14.818370,14.867280,14.923740,15.142550,15.367850", \ - "14.496650,14.579810,14.655150,14.667730,14.845830,14.952560,14.811190", \ - "14.803270,14.817570,14.846950,14.907690,14.965860,15.083280,15.245560", \ - "16.431230,16.323010,16.321680,16.194090,16.161100,15.897960,15.883680", \ - "19.485270,19.441550,19.402370,19.228130,18.882800,18.363580,17.484620", \ - "24.214810,24.119500,24.067720,23.811220,23.344470,22.625890,21.130790", \ - "30.961890,30.828220,30.711810,30.383060,29.741170,28.614130,26.614680"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.665478,1.690237,1.716379,1.729363,1.759406,1.767926,1.782653", \ - "1.517343,1.565442,1.621845,1.671504,1.744765,1.800371,1.843211", \ - "1.222607,1.260222,1.322183,1.385970,1.516202,1.641168,1.749377", \ - "2.002003,1.944241,1.852550,1.746949,1.697503,1.724765,1.783342", \ - "4.380497,4.267030,4.148019,3.880888,3.426368,2.806347,2.474070", \ - "7.811484,7.745976,7.613747,7.366091,6.893299,5.863480,4.448291", \ - "12.200230,12.094720,12.097630,11.933260,11.585740,10.591250,8.509652"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("15.413070,15.366210,15.438810,15.566330,15.629870,15.864660,15.884980", \ - "15.246590,15.239520,15.316140,15.430750,15.545560,15.542510,15.952970", \ - "15.479470,15.546050,15.477370,15.581630,15.747300,15.802920,15.857860", \ - "17.188080,17.172190,17.065180,17.084290,16.901950,16.837940,16.570680", \ - "20.217390,20.248690,20.314160,20.118140,19.779040,19.347350,18.623310", \ - "25.124580,25.097710,24.962440,24.791570,24.336600,23.429710,22.123580", \ - "31.940770,31.814160,31.718800,31.414850,30.900770,29.831700,27.823070"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.082688,3.126287,3.147542,3.177890,3.219189,3.275219,3.311738", \ - "3.003089,3.028226,3.092629,3.148778,3.227121,3.303821,3.370380", \ - "2.769235,2.768896,2.830769,2.924295,3.023204,3.165727,3.302634", \ - "3.280401,3.230000,3.199084,3.143633,3.174791,3.225689,3.320874", \ - "5.462669,5.390575,5.285911,5.045477,4.682443,4.216650,3.983902", \ - "8.776074,8.704789,8.682363,8.494407,8.019493,7.064726,5.838488", \ - "13.156660,13.078960,13.122300,12.985230,12.673680,11.733080,9.755251"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("17.264560,17.303210,17.359820,17.388020,17.454380,17.665710,17.661660", \ - "17.106140,17.200050,17.234150,17.298380,17.276800,17.347380,17.500820", \ - "17.421980,17.471840,17.394830,17.435430,17.526200,17.507830,17.623790", \ - "19.079220,18.972700,19.017430,18.947220,18.654920,18.392340,18.316730", \ - "22.416340,22.332960,22.233930,21.941410,21.632020,20.983250,20.198300", \ - "27.146340,27.069930,26.920970,26.683340,26.340380,25.221370,23.870010", \ - "33.989890,33.861870,33.748860,33.346880,32.746280,31.689760,29.812310"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.665478,1.690237,1.716379,1.729363,1.759406,1.767926,1.782653", \ - "1.517343,1.565442,1.621845,1.671504,1.744765,1.800371,1.843211", \ - "1.222607,1.260222,1.322183,1.385970,1.516202,1.641168,1.749377", \ - "2.002003,1.944241,1.852550,1.746949,1.697503,1.724765,1.783342", \ - "4.380497,4.267030,4.148019,3.880888,3.426368,2.806347,2.474070", \ - "7.811484,7.745976,7.613747,7.366091,6.893299,5.863480,4.448291", \ - "12.200230,12.094720,12.097630,11.933260,11.585740,10.591250,8.509652"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("15.413070,15.366210,15.438810,15.566330,15.629870,15.864660,15.884980", \ - "15.246590,15.239520,15.316140,15.430750,15.545560,15.542510,15.952970", \ - "15.479470,15.546050,15.477370,15.581630,15.747300,15.802920,15.857860", \ - "17.188080,17.172190,17.065180,17.084290,16.901950,16.837940,16.570680", \ - "20.217390,20.248690,20.314160,20.118140,19.779040,19.347350,18.623310", \ - "25.124580,25.097710,24.962440,24.791570,24.336600,23.429710,22.123580", \ - "31.940770,31.814160,31.718800,31.414850,30.900770,29.831700,27.823070"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.695912,1.692528,1.716134,1.742665,1.762275,1.774442,1.784448", \ - "1.547863,1.578252,1.617838,1.673978,1.735927,1.805651,1.845676", \ - "1.253970,1.287348,1.331149,1.405254,1.518470,1.655012,1.756137", \ - "2.095293,2.022369,1.961282,1.846020,1.746552,1.758944,1.815015", \ - "4.530114,4.389196,4.303229,4.035578,3.608541,2.985873,2.570407", \ - "8.025900,7.866061,7.791027,7.596494,7.084640,6.107205,4.700476", \ - "12.465570,12.401260,12.295650,12.118860,11.750400,10.857180,8.872765"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("16.382530,16.451870,16.512460,16.486900,16.691170,16.863500,16.921510", \ - "16.258350,16.330380,16.264000,16.439710,16.591170,16.673180,16.757280", \ - "16.604820,16.535790,16.581670,16.666390,16.689310,16.803660,16.825530", \ - "18.284090,18.180860,18.187930,18.189890,18.071920,17.926710,17.662700", \ - "21.360410,21.362280,21.354380,21.266820,20.888620,20.501530,19.820240", \ - "26.264050,26.247070,26.156050,25.971020,25.706960,24.717980,23.553170", \ - "33.140400,33.127110,32.906460,32.725070,32.249240,31.283360,29.236870"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.706055,5.745856,5.790782,5.829493,5.869444,5.953942,6.051277", \ - "5.573134,5.586309,5.625448,5.688442,5.766206,5.854712,5.959114", \ - "5.451459,5.466781,5.494415,5.565393,5.646852,5.754213,5.876975", \ - "5.660916,5.667571,5.686507,5.729942,5.784642,5.851540,5.931356", \ - "7.459052,7.395679,7.322437,7.193604,6.937733,6.758905,6.593583", \ - "10.888390,10.864280,10.747740,10.543120,10.069500,9.329634,8.441964", \ - "15.778280,15.691180,15.656620,15.468320,14.993390,13.971550,12.201990"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("20.534680,20.588000,20.635390,20.637710,20.606430,20.804980,20.601750", \ - "20.383440,20.437760,20.486430,20.496470,20.617600,20.551740,20.620690", \ - "20.298210,20.351340,20.398130,20.472300,20.501140,20.474830,20.550330", \ - "21.121350,21.035550,21.080500,21.083930,21.023080,20.905410,20.895370", \ - "23.605610,23.483240,23.421950,23.161300,22.864380,22.442330,22.101050", \ - "27.528520,27.470240,27.247970,27.049260,26.666110,25.877390,24.638670", \ - "33.461570,33.353210,33.169320,32.800400,32.050340,30.805170,29.193420"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.254632,4.263651,4.318680,4.346452,4.398259,4.444864,4.507284", \ - "4.131839,4.123257,4.154158,4.213930,4.257413,4.318632,4.407817", \ - "3.969986,3.984020,4.009482,4.051345,4.123833,4.218699,4.310767", \ - "4.249824,4.237123,4.259241,4.246595,4.288337,4.323327,4.372518", \ - "6.263574,6.196674,6.095296,5.917138,5.610677,5.300620,5.057541", \ - "9.816945,9.788825,9.661034,9.403636,8.931775,8.053994,7.004049", \ - "14.693480,14.730030,14.565850,14.412400,13.950690,12.822970,10.913310"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("18.648600,18.683650,18.739530,18.837530,18.767280,18.844380,19.117640", \ - "18.580550,18.532000,18.588970,18.644320,18.671320,18.767170,18.839370", \ - "18.496110,18.523190,18.500350,18.624960,18.620410,18.692030,18.688640", \ - "19.265830,19.249430,19.264870,19.167720,19.171490,19.260670,19.164810", \ - "21.628900,21.633220,21.548890,21.430810,21.087490,20.822510,20.234050", \ - "25.523370,25.446930,25.354950,25.111960,24.789860,24.005160,23.057530", \ - "31.486650,31.388760,31.216470,30.884350,30.237590,29.140340,27.406620"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.238423,4.258176,4.271937,4.318054,4.368599,4.415947,4.494634", \ - "4.092900,4.099529,4.131192,4.180873,4.233783,4.305216,4.383276", \ - "3.937726,3.937455,3.967840,4.046131,4.106728,4.203779,4.309675", \ - "4.270125,4.268441,4.255462,4.257654,4.291235,4.313397,4.365995", \ - "6.341071,6.276444,6.181222,6.001099,5.703384,5.331499,5.094479", \ - "9.957997,9.814290,9.721558,9.493122,9.035070,8.194429,7.087240", \ - "14.805960,14.726480,14.714800,14.508880,14.067730,13.030780,11.156340"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("19.402090,19.406710,19.406690,19.443070,19.557490,19.770140,19.825760", \ - "19.252890,19.322390,19.259460,19.343360,19.478900,19.651230,19.650640", \ - "19.157670,19.221450,19.163400,19.307130,19.297440,19.503420,19.714190", \ - "20.018960,19.937230,19.952670,19.937100,19.801210,19.868260,19.932990", \ - "22.377080,22.416660,22.391110,22.171320,21.923600,21.496050,21.250610", \ - "26.384600,26.336900,26.240430,26.070010,25.631320,24.871800,23.800640", \ - "32.296880,32.205380,32.153150,31.811930,31.179170,30.243450,28.653240"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.254632,4.263651,4.318680,4.346452,4.398259,4.444864,4.507284", \ - "4.131839,4.123257,4.154158,4.213930,4.257413,4.318632,4.407817", \ - "3.969986,3.984020,4.009482,4.051345,4.123833,4.218699,4.310767", \ - "4.249824,4.237123,4.259241,4.246595,4.288337,4.323327,4.372518", \ - "6.263574,6.196674,6.095296,5.917138,5.610677,5.300620,5.057541", \ - "9.816945,9.788825,9.661034,9.403636,8.931775,8.053994,7.004049", \ - "14.693480,14.730030,14.565850,14.412400,13.950690,12.822970,10.913310"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("18.648600,18.683650,18.739530,18.837530,18.767280,18.844380,19.117640", \ - "18.580550,18.532000,18.588970,18.644320,18.671320,18.767170,18.839370", \ - "18.496110,18.523190,18.500350,18.624960,18.620410,18.692030,18.688640", \ - "19.265830,19.249430,19.264870,19.167720,19.171490,19.260670,19.164810", \ - "21.628900,21.633220,21.548890,21.430810,21.087490,20.822510,20.234050", \ - "25.523370,25.446930,25.354950,25.111960,24.789860,24.005160,23.057530", \ - "31.486650,31.388760,31.216470,30.884350,30.237590,29.140340,27.406620"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.817766,2.854262,2.852167,2.878483,2.909297,2.938856,2.965710", \ - "2.663587,2.678897,2.701953,2.737675,2.759046,2.813899,2.857436", \ - "2.474755,2.505805,2.529044,2.567159,2.627656,2.695211,2.766736", \ - "2.916049,2.872999,2.822300,2.810509,2.810748,2.808585,2.826533", \ - "5.126240,5.031736,4.910312,4.688360,4.312132,3.869970,3.558478", \ - "8.818537,8.765063,8.645936,8.360033,7.788447,6.808149,5.582364", \ - "13.771480,13.754850,13.608640,13.419990,12.874140,11.712030,9.646764"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("16.720400,16.761930,16.824000,16.888190,17.063710,17.122250,17.403570", \ - "16.645660,16.678410,16.751880,16.839710,16.926890,17.029240,17.101050", \ - "16.574010,16.511080,16.668940,16.728580,16.847520,16.891140,17.176280", \ - "17.292360,17.350720,17.363140,17.406240,17.360580,17.297710,17.492310", \ - "19.447170,19.499560,19.493110,19.596340,19.299370,18.807260,18.643890", \ - "23.545170,23.432200,23.360250,23.191910,22.727050,22.140740,21.049730", \ - "29.365530,29.285570,29.129830,28.818130,28.381890,27.272300,25.568350"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.790047,2.813555,2.849082,2.867940,2.884351,2.926142,2.956762", \ - "2.639368,2.680883,2.699959,2.720802,2.755782,2.803388,2.850641", \ - "2.454745,2.473125,2.514396,2.547528,2.619245,2.690046,2.757915", \ - "2.975285,2.934146,2.869088,2.813606,2.819904,2.828649,2.842343", \ - "5.192054,5.127213,5.012064,4.777874,4.418029,3.907686,3.598029", \ - "8.927122,8.836332,8.729205,8.453906,7.916215,6.965157,5.680072", \ - "13.856290,13.766220,13.732680,13.456300,12.984380,11.916450,9.906560"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("17.459840,17.540210,17.590560,17.646230,17.776870,17.931690,17.777430", \ - "17.307500,17.389910,17.465570,17.527380,17.628190,17.806960,17.632460", \ - "17.211270,17.292130,17.372700,17.450390,17.572180,17.724300,17.842100", \ - "18.089570,18.009300,18.084680,18.106340,18.091970,18.147860,18.113030", \ - "20.315030,20.358360,20.381130,20.347050,20.117140,19.751280,19.367330", \ - "24.352840,24.310090,24.216780,24.063300,23.825250,23.278370,21.983230", \ - "30.291570,30.218280,30.070640,29.775680,29.237230,28.294260,26.741490"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.238423,4.258176,4.271937,4.318054,4.368599,4.415947,4.494634", \ - "4.092900,4.099529,4.131192,4.180873,4.233783,4.305216,4.383276", \ - "3.937726,3.937455,3.967840,4.046131,4.106728,4.203779,4.309675", \ - "4.270125,4.268441,4.255462,4.257654,4.291235,4.313397,4.365995", \ - "6.341071,6.276444,6.181222,6.001099,5.703384,5.331499,5.094479", \ - "9.957997,9.814290,9.721558,9.493122,9.035070,8.194429,7.087240", \ - "14.805960,14.726480,14.714800,14.508880,14.067730,13.030780,11.156340"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("19.402090,19.406710,19.406690,19.443070,19.557490,19.770140,19.825760", \ - "19.252890,19.322390,19.259460,19.343360,19.478900,19.651230,19.650640", \ - "19.157670,19.221450,19.163400,19.307130,19.297440,19.503420,19.714190", \ - "20.018960,19.937230,19.952670,19.937100,19.801210,19.868260,19.932990", \ - "22.377080,22.416660,22.391110,22.171320,21.923600,21.496050,21.250610", \ - "26.384600,26.336900,26.240430,26.070010,25.631320,24.871800,23.800640", \ - "32.296880,32.205380,32.153150,31.811930,31.179170,30.243450,28.653240"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.790047,2.813555,2.849082,2.867940,2.884351,2.926142,2.956762", \ - "2.639368,2.680883,2.699959,2.720802,2.755782,2.803388,2.850641", \ - "2.454745,2.473125,2.514396,2.547528,2.619245,2.690046,2.757915", \ - "2.975285,2.934146,2.869088,2.813606,2.819904,2.828649,2.842343", \ - "5.192054,5.127213,5.012064,4.777874,4.418029,3.907686,3.598029", \ - "8.927122,8.836332,8.729205,8.453906,7.916215,6.965157,5.680072", \ - "13.856290,13.766220,13.732680,13.456300,12.984380,11.916450,9.906560"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("17.459840,17.540210,17.590560,17.646230,17.776870,17.931690,17.777430", \ - "17.307500,17.389910,17.465570,17.527380,17.628190,17.806960,17.632460", \ - "17.211270,17.292130,17.372700,17.450390,17.572180,17.724300,17.842100", \ - "18.089570,18.009300,18.084680,18.106340,18.091970,18.147860,18.113030", \ - "20.315030,20.358360,20.381130,20.347050,20.117140,19.751280,19.367330", \ - "24.352840,24.310090,24.216780,24.063300,23.825250,23.278370,21.983230", \ - "30.291570,30.218280,30.070640,29.775680,29.237230,28.294260,26.741490"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.809328,2.831283,2.839758,2.859746,2.886013,2.920148,2.946320", \ - "2.647521,2.663083,2.682327,2.721753,2.757668,2.802408,2.832965", \ - "2.432145,2.496929,2.506379,2.562045,2.613249,2.679404,2.748825", \ - "3.054706,3.012645,2.956362,2.862537,2.861210,2.841895,2.851621", \ - "5.357843,5.295889,5.172329,4.948479,4.582608,4.058768,3.682838", \ - "9.200497,9.112142,8.930013,8.702598,8.144771,7.224551,5.923471", \ - "14.234690,14.138970,14.026010,13.813700,13.282990,12.203640,10.246680"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("18.430670,18.499550,18.587620,18.634190,18.804190,18.859720,19.069930", \ - "18.283610,18.354550,18.416310,18.485740,18.684230,18.762000,18.770010", \ - "18.314650,18.325420,18.320530,18.388360,18.518620,18.757620,18.745420", \ - "19.079280,19.120930,19.169140,19.109890,19.130800,19.156220,19.225180", \ - "21.485990,21.495610,21.489470,21.468350,21.241150,20.848620,20.190750", \ - "25.527370,25.431330,25.338750,25.272060,25.019530,24.279400,23.417730", \ - "31.429130,31.363460,31.219490,31.087440,30.510490,29.601570,28.003840"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI222_X4 - Cell Description : Combinational cell (OAI222_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI222_X4) { - - drive_strength : 4; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 443.924474; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 308.215000; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 348.836250; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 348.836250; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 388.686250; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 311.419912; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 445.336875; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 445.336875; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 485.232000; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 311.419912; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 445.336875; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 445.336875; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 485.230750; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 312.214625; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 485.161500; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 485.161500; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 525.080250; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 304.926174; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 362.872607; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 362.872607; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 402.726357; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 322.856158; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 491.471000; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 511.763375; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 524.185500; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 322.856155; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 511.764375; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 532.051625; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 544.479125; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 321.026661; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 524.190500; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 544.478250; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 556.906375; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 304.926174; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 362.872605; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 362.872605; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 402.726357; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 322.856157; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 511.764500; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 532.051625; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 544.479125; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 322.856155; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 532.051625; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 552.333750; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 564.766750; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 321.026661; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 544.478250; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 564.760750; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 577.193750; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 294.660380; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 353.891752; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 353.891752; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 393.745502; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 312.903483; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 524.204250; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 544.488250; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 556.922250; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 312.903482; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 544.488250; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 564.764625; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 577.203250; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 311.066458; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 556.918375; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 577.195000; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 589.633875; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.599442; - fall_capacitance : 1.463398; - rise_capacitance : 1.599442; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.642515; - fall_capacitance : 1.642515; - rise_capacitance : 1.571807; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.657893; - fall_capacitance : 1.467839; - rise_capacitance : 1.657893; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.643722; - fall_capacitance : 1.643722; - rise_capacitance : 1.626162; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.701342; - fall_capacitance : 1.442171; - rise_capacitance : 1.701342; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.680945; - fall_capacitance : 1.624898; - rise_capacitance : 1.680945; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "!(!(!(((A1 | A2) & (B1 | B2)) & (C1 | C2))))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038158,0.040945,0.043349,0.047555,0.055224,0.070104,0.099778", \ - "0.038883,0.041669,0.044073,0.048279,0.055948,0.070828,0.100502", \ - "0.041425,0.044212,0.046616,0.050822,0.058491,0.073372,0.103046", \ - "0.047060,0.049846,0.052250,0.056455,0.064124,0.079004,0.108680", \ - "0.053505,0.056309,0.058723,0.062937,0.070607,0.085484,0.115155", \ - "0.059616,0.062474,0.064919,0.069163,0.076828,0.091688,0.121342", \ - "0.064868,0.067839,0.070359,0.074687,0.082370,0.097197,0.126831"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.035763,0.038970,0.041783,0.046968,0.057192,0.077731,0.118910", \ - "0.036467,0.039674,0.042486,0.047672,0.057896,0.078434,0.119615", \ - "0.039731,0.042938,0.045750,0.050935,0.061158,0.081696,0.122878", \ - "0.046884,0.050101,0.052919,0.058095,0.068295,0.088812,0.129980", \ - "0.056286,0.059590,0.062484,0.067679,0.077833,0.098281,0.139398", \ - "0.067023,0.070436,0.073441,0.078704,0.088819,0.109186,0.150229", \ - "0.078928,0.082475,0.085611,0.091013,0.101149,0.121437,0.162384"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003338,0.004580,0.005883,0.008598,0.014492,0.027061,0.052702", \ - "0.003338,0.004580,0.005883,0.008598,0.014492,0.027061,0.052701", \ - "0.003338,0.004581,0.005883,0.008598,0.014492,0.027061,0.052700", \ - "0.003345,0.004586,0.005887,0.008601,0.014494,0.027062,0.052701", \ - "0.003451,0.004682,0.005969,0.008660,0.014522,0.027073,0.052702", \ - "0.003721,0.004920,0.006174,0.008802,0.014587,0.027089,0.052708", \ - "0.004160,0.005342,0.006555,0.009088,0.014729,0.027131,0.052723"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003678,0.005490,0.007401,0.011706,0.021075,0.040271,0.078793", \ - "0.003678,0.005490,0.007401,0.011704,0.021076,0.040275,0.078800", \ - "0.003681,0.005491,0.007402,0.011704,0.021076,0.040276,0.078809", \ - "0.003756,0.005558,0.007455,0.011730,0.021083,0.040277,0.078793", \ - "0.004177,0.005941,0.007781,0.011904,0.021145,0.040284,0.078804", \ - "0.004726,0.006444,0.008252,0.012197,0.021268,0.040313,0.078822", \ - "0.005411,0.007074,0.008881,0.012653,0.021489,0.040385,0.078820"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034270,0.037042,0.039437,0.043634,0.051298,0.066179,0.095857", \ - "0.034977,0.037749,0.040144,0.044340,0.052005,0.066885,0.096564", \ - "0.037564,0.040336,0.042731,0.046927,0.054593,0.069474,0.099150", \ - "0.043018,0.045793,0.048190,0.052386,0.060051,0.074932,0.104609", \ - "0.048754,0.051551,0.053959,0.058167,0.065833,0.080708,0.110382", \ - "0.054134,0.056998,0.059446,0.063694,0.071365,0.086226,0.115879", \ - "0.058532,0.061519,0.064053,0.068398,0.076100,0.090931,0.120563"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034149,0.037276,0.040037,0.045209,0.055454,0.076027,0.117230", \ - "0.034851,0.037979,0.040739,0.045912,0.056158,0.076728,0.117930", \ - "0.038124,0.041251,0.044012,0.049184,0.059429,0.080000,0.121202", \ - "0.045232,0.048370,0.051133,0.056292,0.066511,0.087062,0.128252", \ - "0.054268,0.057490,0.060309,0.065472,0.075644,0.096140,0.137288", \ - "0.064604,0.067929,0.070843,0.076044,0.086179,0.106598,0.147693", \ - "0.076021,0.079468,0.082513,0.087813,0.097943,0.118277,0.159288"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003270,0.004519,0.005828,0.008560,0.014473,0.027054,0.052698", \ - "0.003270,0.004518,0.005828,0.008559,0.014473,0.027054,0.052698", \ - "0.003270,0.004519,0.005829,0.008559,0.014473,0.027054,0.052698", \ - "0.003300,0.004544,0.005849,0.008573,0.014479,0.027055,0.052698", \ - "0.003422,0.004653,0.005944,0.008640,0.014510,0.027067,0.052700", \ - "0.003738,0.004937,0.006187,0.008810,0.014590,0.027088,0.052709", \ - "0.004207,0.005394,0.006605,0.009131,0.014751,0.027136,0.052726"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003386,0.005214,0.007183,0.011589,0.021034,0.040256,0.078798", \ - "0.003386,0.005214,0.007184,0.011589,0.021032,0.040258,0.078784", \ - "0.003386,0.005215,0.007184,0.011589,0.021033,0.040258,0.078787", \ - "0.003463,0.005280,0.007231,0.011609,0.021037,0.040256,0.078789", \ - "0.003811,0.005601,0.007485,0.011737,0.021078,0.040264,0.078808", \ - "0.004273,0.006033,0.007867,0.011955,0.021162,0.040281,0.078798", \ - "0.004868,0.006590,0.008409,0.012315,0.021322,0.040325,0.078803"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030812,0.033548,0.035919,0.040090,0.047741,0.062622,0.092304", \ - "0.031559,0.034294,0.036666,0.040837,0.048488,0.063369,0.093050", \ - "0.034328,0.037064,0.039435,0.043605,0.051257,0.066138,0.095818", \ - "0.039562,0.042306,0.044680,0.048853,0.056504,0.071384,0.101064", \ - "0.044483,0.047248,0.049634,0.053816,0.061468,0.076339,0.106014", \ - "0.048528,0.051370,0.053801,0.058027,0.065684,0.080541,0.110195", \ - "0.051127,0.054092,0.056608,0.060931,0.068621,0.083455,0.113087"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034104,0.037232,0.039992,0.045165,0.055409,0.075980,0.117185", \ - "0.034775,0.037902,0.040663,0.045836,0.056081,0.076652,0.117854", \ - "0.038101,0.041229,0.043989,0.049162,0.059406,0.079977,0.121180", \ - "0.045412,0.048549,0.051312,0.056470,0.066690,0.087242,0.128432", \ - "0.054848,0.058067,0.060883,0.066045,0.076221,0.096718,0.137869", \ - "0.065854,0.069170,0.072076,0.077274,0.087405,0.107829,0.148930", \ - "0.078281,0.081715,0.084746,0.090034,0.100168,0.120496,0.161519"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003122,0.004382,0.005708,0.008471,0.014425,0.027034,0.052692", \ - "0.003122,0.004382,0.005708,0.008471,0.014425,0.027035,0.052690", \ - "0.003121,0.004382,0.005708,0.008471,0.014425,0.027035,0.052692", \ - "0.003166,0.004422,0.005741,0.008492,0.014435,0.027037,0.052693", \ - "0.003316,0.004549,0.005848,0.008565,0.014468,0.027048,0.052697", \ - "0.003661,0.004856,0.006110,0.008747,0.014553,0.027072,0.052703", \ - "0.004130,0.005314,0.006528,0.009065,0.014712,0.027118,0.052717"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003387,0.005214,0.007184,0.011589,0.021034,0.040251,0.078802", \ - "0.003386,0.005214,0.007183,0.011589,0.021034,0.040254,0.078788", \ - "0.003388,0.005215,0.007185,0.011589,0.021031,0.040256,0.078787", \ - "0.003461,0.005277,0.007230,0.011609,0.021039,0.040253,0.078798", \ - "0.003796,0.005587,0.007473,0.011730,0.021077,0.040265,0.078801", \ - "0.004233,0.005996,0.007833,0.011935,0.021151,0.040284,0.078809", \ - "0.004801,0.006528,0.008346,0.012272,0.021301,0.040321,0.078812"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034270,0.037042,0.039437,0.043634,0.051298,0.066179,0.095857", \ - "0.034977,0.037749,0.040144,0.044340,0.052005,0.066885,0.096564", \ - "0.037564,0.040336,0.042731,0.046927,0.054593,0.069474,0.099150", \ - "0.043018,0.045793,0.048190,0.052386,0.060051,0.074932,0.104609", \ - "0.048754,0.051551,0.053959,0.058167,0.065833,0.080708,0.110382", \ - "0.054134,0.056998,0.059446,0.063694,0.071365,0.086226,0.115879", \ - "0.058532,0.061519,0.064053,0.068398,0.076100,0.090931,0.120563"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034149,0.037276,0.040037,0.045209,0.055454,0.076027,0.117230", \ - "0.034851,0.037979,0.040739,0.045912,0.056158,0.076728,0.117930", \ - "0.038124,0.041251,0.044012,0.049184,0.059429,0.080000,0.121202", \ - "0.045232,0.048370,0.051133,0.056292,0.066511,0.087062,0.128252", \ - "0.054268,0.057490,0.060309,0.065472,0.075644,0.096140,0.137288", \ - "0.064604,0.067929,0.070843,0.076044,0.086179,0.106598,0.147693", \ - "0.076021,0.079468,0.082513,0.087813,0.097943,0.118277,0.159288"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003270,0.004519,0.005828,0.008560,0.014473,0.027054,0.052698", \ - "0.003270,0.004518,0.005828,0.008559,0.014473,0.027054,0.052698", \ - "0.003270,0.004519,0.005829,0.008559,0.014473,0.027054,0.052698", \ - "0.003300,0.004544,0.005849,0.008573,0.014479,0.027055,0.052698", \ - "0.003422,0.004653,0.005944,0.008640,0.014510,0.027067,0.052700", \ - "0.003738,0.004937,0.006187,0.008810,0.014590,0.027088,0.052709", \ - "0.004207,0.005394,0.006605,0.009131,0.014751,0.027136,0.052726"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003386,0.005214,0.007183,0.011589,0.021034,0.040256,0.078798", \ - "0.003386,0.005214,0.007184,0.011589,0.021032,0.040258,0.078784", \ - "0.003386,0.005215,0.007184,0.011589,0.021033,0.040258,0.078787", \ - "0.003463,0.005280,0.007231,0.011609,0.021037,0.040256,0.078789", \ - "0.003811,0.005601,0.007485,0.011737,0.021078,0.040264,0.078808", \ - "0.004273,0.006033,0.007867,0.011955,0.021162,0.040281,0.078798", \ - "0.004868,0.006590,0.008409,0.012315,0.021322,0.040325,0.078803"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030457,0.033214,0.035600,0.039787,0.047448,0.062330,0.092008", \ - "0.031139,0.033896,0.036282,0.040469,0.048129,0.063011,0.092692", \ - "0.033796,0.036554,0.038940,0.043126,0.050787,0.065670,0.095349", \ - "0.038811,0.041582,0.043974,0.048166,0.055828,0.070709,0.100386", \ - "0.043858,0.046650,0.049054,0.053258,0.060922,0.075795,0.105468", \ - "0.048425,0.051302,0.053759,0.058015,0.065690,0.080549,0.110200", \ - "0.051926,0.054936,0.057489,0.061859,0.069577,0.084412,0.114040"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032543,0.035585,0.038307,0.043479,0.053755,0.074355,0.115575", \ - "0.033245,0.036287,0.039009,0.044182,0.054458,0.075058,0.116276", \ - "0.036526,0.039568,0.042290,0.047462,0.057737,0.078337,0.119553", \ - "0.043561,0.046614,0.049334,0.054489,0.064739,0.085319,0.126528", \ - "0.052218,0.055342,0.058092,0.063237,0.073441,0.093978,0.135162", \ - "0.062152,0.065369,0.068184,0.073339,0.083495,0.103972,0.145107", \ - "0.073059,0.076391,0.079317,0.084530,0.094658,0.115058,0.156133"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003198,0.004452,0.005772,0.008519,0.014453,0.027046,0.052695", \ - "0.003197,0.004453,0.005772,0.008519,0.014453,0.027047,0.052695", \ - "0.003195,0.004452,0.005771,0.008518,0.014453,0.027047,0.052697", \ - "0.003255,0.004505,0.005817,0.008549,0.014466,0.027051,0.052696", \ - "0.003409,0.004640,0.005930,0.008628,0.014503,0.027062,0.052701", \ - "0.003781,0.004975,0.006220,0.008834,0.014601,0.027091,0.052708", \ - "0.004281,0.005472,0.006683,0.009195,0.014787,0.027146,0.052727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003100,0.004954,0.006999,0.011500,0.021002,0.040240,0.078782", \ - "0.003100,0.004954,0.006999,0.011500,0.021002,0.040236,0.078783", \ - "0.003102,0.004954,0.006999,0.011501,0.021003,0.040235,0.078798", \ - "0.003178,0.005016,0.007039,0.011517,0.021005,0.040244,0.078784", \ - "0.003440,0.005254,0.007209,0.011594,0.021031,0.040247,0.078780", \ - "0.003807,0.005599,0.007486,0.011736,0.021074,0.040263,0.078804", \ - "0.004294,0.006066,0.007910,0.011991,0.021176,0.040283,0.078811"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.027594,0.030319,0.032683,0.036846,0.044493,0.059375,0.089057", \ - "0.028323,0.031047,0.033411,0.037574,0.045222,0.060103,0.089785", \ - "0.031159,0.033883,0.036247,0.040410,0.048057,0.062939,0.092623", \ - "0.035797,0.038531,0.040901,0.045070,0.052720,0.067598,0.097278", \ - "0.039996,0.042759,0.045144,0.049325,0.056975,0.071843,0.101519", \ - "0.043199,0.046055,0.048495,0.052731,0.060394,0.075249,0.104902", \ - "0.044908,0.047899,0.050435,0.054783,0.062490,0.077324,0.106952"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032498,0.035539,0.038261,0.043434,0.053710,0.074309,0.115530", \ - "0.033168,0.036210,0.038932,0.044104,0.054380,0.074980,0.116198", \ - "0.036504,0.039546,0.042268,0.047440,0.057715,0.078314,0.119531", \ - "0.043747,0.046799,0.049519,0.054673,0.064923,0.085504,0.126712", \ - "0.052820,0.055940,0.058689,0.063834,0.074040,0.094581,0.135766", \ - "0.063447,0.066657,0.069465,0.074619,0.084777,0.105259,0.146402", \ - "0.075408,0.078729,0.081643,0.086848,0.096979,0.117383,0.158470"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003057,0.004325,0.005660,0.008437,0.014409,0.027029,0.052692", \ - "0.003057,0.004325,0.005660,0.008437,0.014409,0.027028,0.052690", \ - "0.003055,0.004323,0.005659,0.008436,0.014409,0.027029,0.052690", \ - "0.003123,0.004385,0.005712,0.008474,0.014426,0.027033,0.052692", \ - "0.003317,0.004548,0.005845,0.008562,0.014465,0.027045,0.052696", \ - "0.003706,0.004900,0.006148,0.008775,0.014565,0.027075,0.052701", \ - "0.004207,0.005395,0.006608,0.009130,0.014748,0.027128,0.052721"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003100,0.004954,0.006999,0.011500,0.021000,0.040235,0.078787", \ - "0.003100,0.004954,0.006998,0.011501,0.021001,0.040237,0.078783", \ - "0.003102,0.004955,0.007000,0.011500,0.021002,0.040235,0.078798", \ - "0.003174,0.005014,0.007037,0.011516,0.021008,0.040238,0.078796", \ - "0.003427,0.005242,0.007200,0.011589,0.021029,0.040246,0.078780", \ - "0.003775,0.005569,0.007460,0.011722,0.021073,0.040265,0.078792", \ - "0.004237,0.006015,0.007862,0.011960,0.021167,0.040284,0.078794"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030812,0.033548,0.035919,0.040090,0.047741,0.062622,0.092304", \ - "0.031559,0.034294,0.036666,0.040837,0.048488,0.063369,0.093050", \ - "0.034328,0.037064,0.039435,0.043605,0.051257,0.066138,0.095818", \ - "0.039562,0.042306,0.044680,0.048853,0.056504,0.071384,0.101064", \ - "0.044483,0.047248,0.049634,0.053816,0.061468,0.076339,0.106014", \ - "0.048528,0.051370,0.053801,0.058027,0.065684,0.080541,0.110195", \ - "0.051127,0.054092,0.056608,0.060931,0.068621,0.083455,0.113087"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034104,0.037232,0.039992,0.045165,0.055409,0.075980,0.117185", \ - "0.034775,0.037902,0.040663,0.045836,0.056081,0.076652,0.117854", \ - "0.038101,0.041229,0.043989,0.049162,0.059406,0.079977,0.121180", \ - "0.045412,0.048549,0.051312,0.056470,0.066690,0.087242,0.128432", \ - "0.054848,0.058067,0.060883,0.066045,0.076221,0.096718,0.137869", \ - "0.065854,0.069170,0.072076,0.077274,0.087405,0.107829,0.148930", \ - "0.078281,0.081715,0.084746,0.090034,0.100168,0.120496,0.161519"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003122,0.004382,0.005708,0.008471,0.014425,0.027034,0.052692", \ - "0.003122,0.004382,0.005708,0.008471,0.014425,0.027035,0.052690", \ - "0.003121,0.004382,0.005708,0.008471,0.014425,0.027035,0.052692", \ - "0.003166,0.004422,0.005741,0.008492,0.014435,0.027037,0.052693", \ - "0.003316,0.004549,0.005848,0.008565,0.014468,0.027048,0.052697", \ - "0.003661,0.004856,0.006110,0.008747,0.014553,0.027072,0.052703", \ - "0.004130,0.005314,0.006528,0.009065,0.014712,0.027118,0.052717"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003387,0.005214,0.007184,0.011589,0.021034,0.040251,0.078802", \ - "0.003386,0.005214,0.007183,0.011589,0.021034,0.040254,0.078788", \ - "0.003388,0.005215,0.007185,0.011589,0.021031,0.040256,0.078787", \ - "0.003461,0.005277,0.007230,0.011609,0.021039,0.040253,0.078798", \ - "0.003796,0.005587,0.007473,0.011730,0.021077,0.040265,0.078801", \ - "0.004233,0.005996,0.007833,0.011935,0.021151,0.040284,0.078809", \ - "0.004801,0.006528,0.008346,0.012272,0.021301,0.040321,0.078812"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.027594,0.030319,0.032683,0.036846,0.044493,0.059375,0.089057", \ - "0.028323,0.031047,0.033411,0.037574,0.045222,0.060103,0.089785", \ - "0.031159,0.033883,0.036247,0.040410,0.048057,0.062939,0.092623", \ - "0.035797,0.038531,0.040901,0.045070,0.052720,0.067598,0.097278", \ - "0.039996,0.042759,0.045144,0.049325,0.056975,0.071843,0.101519", \ - "0.043199,0.046055,0.048495,0.052731,0.060394,0.075249,0.104902", \ - "0.044908,0.047899,0.050435,0.054783,0.062490,0.077324,0.106952"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032498,0.035539,0.038261,0.043434,0.053710,0.074309,0.115530", \ - "0.033168,0.036210,0.038932,0.044104,0.054380,0.074980,0.116198", \ - "0.036504,0.039546,0.042268,0.047440,0.057715,0.078314,0.119531", \ - "0.043747,0.046799,0.049519,0.054673,0.064923,0.085504,0.126712", \ - "0.052820,0.055940,0.058689,0.063834,0.074040,0.094581,0.135766", \ - "0.063447,0.066657,0.069465,0.074619,0.084777,0.105259,0.146402", \ - "0.075408,0.078729,0.081643,0.086848,0.096979,0.117383,0.158470"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003057,0.004325,0.005660,0.008437,0.014409,0.027029,0.052692", \ - "0.003057,0.004325,0.005660,0.008437,0.014409,0.027028,0.052690", \ - "0.003055,0.004323,0.005659,0.008436,0.014409,0.027029,0.052690", \ - "0.003123,0.004385,0.005712,0.008474,0.014426,0.027033,0.052692", \ - "0.003317,0.004548,0.005845,0.008562,0.014465,0.027045,0.052696", \ - "0.003706,0.004900,0.006148,0.008775,0.014565,0.027075,0.052701", \ - "0.004207,0.005395,0.006608,0.009130,0.014748,0.027128,0.052721"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003100,0.004954,0.006999,0.011500,0.021000,0.040235,0.078787", \ - "0.003100,0.004954,0.006998,0.011501,0.021001,0.040237,0.078783", \ - "0.003102,0.004955,0.007000,0.011500,0.021002,0.040235,0.078798", \ - "0.003174,0.005014,0.007037,0.011516,0.021008,0.040238,0.078796", \ - "0.003427,0.005242,0.007200,0.011589,0.021029,0.040246,0.078780", \ - "0.003775,0.005569,0.007460,0.011722,0.021073,0.040265,0.078792", \ - "0.004237,0.006015,0.007862,0.011960,0.021167,0.040284,0.078794"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.025103,0.027786,0.030122,0.034257,0.041888,0.056768,0.086458", \ - "0.025859,0.028543,0.030879,0.035014,0.042646,0.057526,0.087216", \ - "0.028793,0.031477,0.033813,0.037947,0.045579,0.060459,0.090148", \ - "0.032847,0.035540,0.037884,0.042025,0.049659,0.064535,0.094220", \ - "0.035964,0.038698,0.041062,0.045220,0.052854,0.067719,0.097395", \ - "0.037562,0.040396,0.042820,0.047036,0.054685,0.069535,0.099192", \ - "0.037231,0.040199,0.042717,0.047042,0.054737,0.069573,0.099202"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032490,0.035532,0.038254,0.043426,0.053702,0.074302,0.115522", \ - "0.033105,0.036147,0.038869,0.044042,0.054317,0.074918,0.116134", \ - "0.036465,0.039507,0.042229,0.047401,0.057677,0.078274,0.119495", \ - "0.043966,0.047017,0.049738,0.054892,0.065144,0.085725,0.126933", \ - "0.053529,0.056645,0.059393,0.064536,0.074746,0.095289,0.136476", \ - "0.064920,0.068121,0.070923,0.076075,0.086235,0.106722,0.147868", \ - "0.078028,0.081334,0.084234,0.089431,0.099563,0.119982,0.161076"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002876,0.004164,0.005521,0.008338,0.014356,0.027008,0.052686", \ - "0.002876,0.004164,0.005521,0.008338,0.014356,0.027008,0.052684", \ - "0.002880,0.004168,0.005524,0.008339,0.014356,0.027008,0.052686", \ - "0.002982,0.004253,0.005595,0.008388,0.014379,0.027012,0.052686", \ - "0.003222,0.004452,0.005756,0.008492,0.014424,0.027025,0.052692", \ - "0.003628,0.004820,0.006073,0.008713,0.014527,0.027056,0.052697", \ - "0.004120,0.005308,0.006523,0.009061,0.014706,0.027107,0.052714"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003100,0.004954,0.006999,0.011500,0.021002,0.040239,0.078781", \ - "0.003099,0.004954,0.006998,0.011500,0.021002,0.040239,0.078797", \ - "0.003102,0.004955,0.007000,0.011500,0.021003,0.040242,0.078797", \ - "0.003171,0.005011,0.007036,0.011514,0.021008,0.040241,0.078781", \ - "0.003414,0.005229,0.007190,0.011585,0.021026,0.040251,0.078788", \ - "0.003738,0.005534,0.007431,0.011708,0.021066,0.040261,0.078789", \ - "0.004176,0.005956,0.007807,0.011928,0.021148,0.040280,0.078792"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041649,0.044448,0.046861,0.051076,0.058749,0.073628,0.103300", \ - "0.042170,0.044969,0.047382,0.051597,0.059270,0.074148,0.103823", \ - "0.044682,0.047482,0.049894,0.054109,0.061782,0.076661,0.106334", \ - "0.050453,0.053255,0.055667,0.059882,0.067554,0.082432,0.112105", \ - "0.057937,0.060751,0.063171,0.067392,0.075066,0.089941,0.119613", \ - "0.065427,0.068279,0.070720,0.074961,0.082633,0.097493,0.127149", \ - "0.072823,0.075762,0.078258,0.082557,0.090199,0.105028,0.134664"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038020,0.041226,0.044039,0.049224,0.059448,0.079988,0.121171", \ - "0.039015,0.042222,0.045034,0.050221,0.060445,0.080983,0.122167", \ - "0.041598,0.044805,0.047617,0.052802,0.063025,0.083566,0.124745", \ - "0.046823,0.050037,0.052853,0.058030,0.068237,0.088761,0.129931", \ - "0.054028,0.057304,0.060173,0.065366,0.075545,0.096026,0.137167", \ - "0.062679,0.066035,0.068983,0.074215,0.084373,0.104800,0.145892", \ - "0.072215,0.075674,0.078731,0.084049,0.094207,0.114571,0.155597"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003402,0.004639,0.005933,0.008635,0.014511,0.027068,0.052704", \ - "0.003402,0.004639,0.005934,0.008635,0.014510,0.027068,0.052704", \ - "0.003402,0.004639,0.005934,0.008635,0.014510,0.027068,0.052702", \ - "0.003404,0.004641,0.005935,0.008636,0.014511,0.027068,0.052704", \ - "0.003487,0.004716,0.006000,0.008683,0.014535,0.027077,0.052705", \ - "0.003698,0.004899,0.006156,0.008790,0.014582,0.027087,0.052710", \ - "0.004053,0.005233,0.006452,0.009006,0.014686,0.027116,0.052715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003679,0.005490,0.007401,0.011704,0.021077,0.040271,0.078793", \ - "0.003679,0.005490,0.007401,0.011705,0.021079,0.040275,0.078795", \ - "0.003680,0.005491,0.007402,0.011704,0.021076,0.040269,0.078796", \ - "0.003736,0.005540,0.007440,0.011723,0.021084,0.040269,0.078795", \ - "0.004015,0.005799,0.007660,0.011842,0.021127,0.040280,0.078816", \ - "0.004404,0.006159,0.007989,0.012041,0.021213,0.040309,0.078806", \ - "0.004932,0.006645,0.008463,0.012361,0.021371,0.040358,0.078817"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.037727,0.040513,0.042917,0.047122,0.054791,0.069671,0.099346", \ - "0.038244,0.041031,0.043435,0.047640,0.055309,0.070190,0.099863", \ - "0.040800,0.043586,0.045989,0.050195,0.057864,0.072743,0.102419", \ - "0.046578,0.049365,0.051769,0.055975,0.063644,0.078524,0.108200", \ - "0.053408,0.056212,0.058625,0.062839,0.070508,0.085384,0.115056", \ - "0.060300,0.063151,0.065590,0.069829,0.077500,0.092360,0.122016", \ - "0.067028,0.069974,0.072475,0.076781,0.084451,0.099277,0.128912"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.036406,0.039533,0.042294,0.047466,0.057712,0.078282,0.119483", \ - "0.037399,0.040527,0.043287,0.048460,0.058705,0.079277,0.120476", \ - "0.039983,0.043111,0.045871,0.051043,0.061288,0.081858,0.123061", \ - "0.045175,0.048310,0.051073,0.056235,0.066461,0.087019,0.128214", \ - "0.052179,0.055375,0.058176,0.063343,0.073541,0.094062,0.135231", \ - "0.060566,0.063841,0.066708,0.071895,0.082064,0.102532,0.143662", \ - "0.069724,0.073096,0.076064,0.081311,0.091467,0.111880,0.152956"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003337,0.004579,0.005881,0.008597,0.014492,0.027061,0.052701", \ - "0.003337,0.004579,0.005882,0.008598,0.014492,0.027061,0.052700", \ - "0.003337,0.004579,0.005882,0.008598,0.014492,0.027061,0.052700", \ - "0.003349,0.004590,0.005891,0.008604,0.014494,0.027062,0.052701", \ - "0.003449,0.004680,0.005968,0.008659,0.014521,0.027072,0.052704", \ - "0.003690,0.004891,0.006147,0.008782,0.014577,0.027084,0.052706", \ - "0.004072,0.005253,0.006470,0.009021,0.014692,0.027118,0.052715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003387,0.005214,0.007183,0.011589,0.021032,0.040253,0.078795", \ - "0.003385,0.005214,0.007184,0.011588,0.021033,0.040255,0.078799", \ - "0.003387,0.005215,0.007185,0.011588,0.021031,0.040252,0.078803", \ - "0.003444,0.005264,0.007220,0.011604,0.021037,0.040253,0.078788", \ - "0.003680,0.005484,0.007393,0.011692,0.021065,0.040269,0.078786", \ - "0.004016,0.005802,0.007665,0.011844,0.021126,0.040279,0.078805", \ - "0.004470,0.006232,0.008066,0.012099,0.021240,0.040314,0.078807"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033720,0.036467,0.038846,0.043023,0.050677,0.065556,0.095237", \ - "0.034305,0.037051,0.039429,0.043607,0.051262,0.066141,0.095820", \ - "0.037028,0.039776,0.042154,0.046332,0.053986,0.068866,0.098544", \ - "0.042731,0.045480,0.047860,0.052038,0.059692,0.074571,0.104252", \ - "0.048713,0.051482,0.053870,0.058056,0.065709,0.080582,0.110255", \ - "0.054315,0.057139,0.059558,0.063772,0.071427,0.086285,0.115941", \ - "0.059283,0.062207,0.064693,0.068977,0.076626,0.091462,0.121098"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.036364,0.039492,0.042252,0.047425,0.057670,0.078241,0.119442", \ - "0.037328,0.040456,0.043216,0.048389,0.058634,0.079205,0.120405", \ - "0.039908,0.043036,0.045796,0.050968,0.061213,0.081784,0.122987", \ - "0.045226,0.048361,0.051124,0.056285,0.066512,0.087069,0.128262", \ - "0.052589,0.055783,0.058583,0.063750,0.073948,0.094470,0.135641", \ - "0.061638,0.064907,0.067770,0.072956,0.083126,0.103599,0.144729", \ - "0.071803,0.075168,0.078128,0.083370,0.093526,0.113938,0.155019"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003180,0.004434,0.005752,0.008502,0.014441,0.027040,0.052694", \ - "0.003180,0.004434,0.005752,0.008502,0.014441,0.027040,0.052696", \ - "0.003181,0.004434,0.005752,0.008502,0.014441,0.027040,0.052694", \ - "0.003206,0.004455,0.005771,0.008514,0.014446,0.027042,0.052693", \ - "0.003327,0.004560,0.005859,0.008574,0.014473,0.027051,0.052696", \ - "0.003600,0.004798,0.006058,0.008710,0.014535,0.027066,0.052701", \ - "0.003993,0.005173,0.006394,0.008956,0.014653,0.027100,0.052708"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003386,0.005214,0.007183,0.011588,0.021031,0.040259,0.078796", \ - "0.003385,0.005214,0.007184,0.011588,0.021033,0.040259,0.078793", \ - "0.003387,0.005214,0.007184,0.011588,0.021031,0.040259,0.078803", \ - "0.003444,0.005263,0.007219,0.011605,0.021037,0.040253,0.078793", \ - "0.003673,0.005478,0.007387,0.011689,0.021067,0.040261,0.078787", \ - "0.003993,0.005780,0.007646,0.011834,0.021123,0.040283,0.078794", \ - "0.004434,0.006196,0.008032,0.012077,0.021231,0.040307,0.078805"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.037727,0.040513,0.042917,0.047122,0.054791,0.069671,0.099346", \ - "0.038244,0.041031,0.043435,0.047640,0.055309,0.070190,0.099863", \ - "0.040800,0.043586,0.045989,0.050195,0.057864,0.072743,0.102419", \ - "0.046578,0.049365,0.051769,0.055975,0.063644,0.078524,0.108200", \ - "0.053408,0.056212,0.058625,0.062839,0.070508,0.085384,0.115056", \ - "0.060300,0.063151,0.065590,0.069829,0.077500,0.092360,0.122016", \ - "0.067028,0.069974,0.072475,0.076781,0.084451,0.099277,0.128912"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.036406,0.039533,0.042294,0.047466,0.057712,0.078282,0.119483", \ - "0.037399,0.040527,0.043287,0.048460,0.058705,0.079277,0.120476", \ - "0.039983,0.043111,0.045871,0.051043,0.061288,0.081858,0.123061", \ - "0.045175,0.048310,0.051073,0.056235,0.066461,0.087019,0.128214", \ - "0.052179,0.055375,0.058176,0.063343,0.073541,0.094062,0.135231", \ - "0.060566,0.063841,0.066708,0.071895,0.082064,0.102532,0.143662", \ - "0.069724,0.073096,0.076064,0.081311,0.091467,0.111880,0.152956"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003337,0.004579,0.005881,0.008597,0.014492,0.027061,0.052701", \ - "0.003337,0.004579,0.005882,0.008598,0.014492,0.027061,0.052700", \ - "0.003337,0.004579,0.005882,0.008598,0.014492,0.027061,0.052700", \ - "0.003349,0.004590,0.005891,0.008604,0.014494,0.027062,0.052701", \ - "0.003449,0.004680,0.005968,0.008659,0.014521,0.027072,0.052704", \ - "0.003690,0.004891,0.006147,0.008782,0.014577,0.027084,0.052706", \ - "0.004072,0.005253,0.006470,0.009021,0.014692,0.027118,0.052715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003387,0.005214,0.007183,0.011589,0.021032,0.040253,0.078795", \ - "0.003385,0.005214,0.007184,0.011588,0.021033,0.040255,0.078799", \ - "0.003387,0.005215,0.007185,0.011588,0.021031,0.040252,0.078803", \ - "0.003444,0.005264,0.007220,0.011604,0.021037,0.040253,0.078788", \ - "0.003680,0.005484,0.007393,0.011692,0.021065,0.040269,0.078786", \ - "0.004016,0.005802,0.007665,0.011844,0.021126,0.040279,0.078805", \ - "0.004470,0.006232,0.008066,0.012099,0.021240,0.040314,0.078807"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033863,0.036635,0.039030,0.043226,0.050891,0.065773,0.095450", \ - "0.034378,0.037150,0.039545,0.043741,0.051406,0.066288,0.095964", \ - "0.036990,0.039762,0.042157,0.046353,0.054018,0.068900,0.098575", \ - "0.042590,0.045366,0.047764,0.051962,0.059628,0.074508,0.104186", \ - "0.048775,0.051571,0.053979,0.058186,0.065853,0.080727,0.110400", \ - "0.055043,0.057897,0.060338,0.064577,0.072245,0.087105,0.116760", \ - "0.061073,0.064031,0.066543,0.070861,0.078548,0.093387,0.123020"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034802,0.037843,0.040565,0.045737,0.056013,0.076613,0.117833", \ - "0.035792,0.038834,0.041556,0.046728,0.057004,0.077602,0.118821", \ - "0.038378,0.041419,0.044142,0.049313,0.059588,0.080187,0.121409", \ - "0.043520,0.046571,0.049292,0.054451,0.064710,0.085297,0.126508", \ - "0.050318,0.053421,0.056164,0.061318,0.071546,0.092104,0.133297", \ - "0.058424,0.061602,0.064389,0.069546,0.079735,0.100250,0.141413", \ - "0.067192,0.070462,0.073329,0.078518,0.088686,0.109146,0.150271"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003270,0.004519,0.005829,0.008559,0.014473,0.027054,0.052698", \ - "0.003270,0.004519,0.005828,0.008559,0.014473,0.027054,0.052698", \ - "0.003270,0.004518,0.005828,0.008559,0.014473,0.027054,0.052698", \ - "0.003305,0.004547,0.005853,0.008576,0.014480,0.027056,0.052697", \ - "0.003419,0.004651,0.005942,0.008638,0.014509,0.027066,0.052700", \ - "0.003698,0.004897,0.006151,0.008783,0.014576,0.027083,0.052705", \ - "0.004108,0.005291,0.006506,0.009050,0.014707,0.027121,0.052716"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003100,0.004954,0.006999,0.011499,0.021003,0.040241,0.078780", \ - "0.003101,0.004954,0.006999,0.011501,0.021000,0.040244,0.078783", \ - "0.003101,0.004955,0.006999,0.011501,0.021001,0.040243,0.078780", \ - "0.003158,0.005000,0.007029,0.011513,0.021003,0.040235,0.078797", \ - "0.003346,0.005171,0.007149,0.011569,0.021021,0.040245,0.078781", \ - "0.003623,0.005433,0.007353,0.011674,0.021057,0.040255,0.078794", \ - "0.003995,0.005794,0.007666,0.011854,0.021131,0.040282,0.078804"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030453,0.033189,0.035560,0.039730,0.047381,0.062261,0.091944", \ - "0.031034,0.033770,0.036141,0.040312,0.047963,0.062843,0.092525", \ - "0.033816,0.036552,0.038924,0.043094,0.050746,0.065626,0.095307", \ - "0.039159,0.041902,0.044277,0.048450,0.056102,0.070982,0.100662", \ - "0.044490,0.047254,0.049639,0.053820,0.061471,0.076341,0.106016", \ - "0.049437,0.052266,0.054688,0.058905,0.066561,0.081418,0.111072", \ - "0.053697,0.056637,0.059133,0.063430,0.071102,0.085943,0.115579"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034759,0.037800,0.040523,0.045695,0.055971,0.076571,0.117787", \ - "0.035720,0.038762,0.041484,0.046656,0.056932,0.077531,0.118752", \ - "0.038302,0.041344,0.044066,0.049238,0.059514,0.080113,0.121334", \ - "0.043574,0.046624,0.049346,0.054504,0.064762,0.085349,0.126561", \ - "0.050740,0.053842,0.056584,0.061737,0.071965,0.092526,0.133721", \ - "0.059531,0.062704,0.065488,0.070644,0.080837,0.101355,0.142522", \ - "0.069333,0.072597,0.075457,0.080644,0.090810,0.111272,0.152401"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003120,0.004381,0.005707,0.008471,0.014425,0.027034,0.052691", \ - "0.003121,0.004382,0.005708,0.008470,0.014425,0.027034,0.052691", \ - "0.003120,0.004381,0.005708,0.008471,0.014425,0.027035,0.052692", \ - "0.003167,0.004423,0.005742,0.008494,0.014435,0.027037,0.052691", \ - "0.003310,0.004544,0.005843,0.008562,0.014466,0.027047,0.052694", \ - "0.003616,0.004813,0.006071,0.008718,0.014538,0.027066,0.052700", \ - "0.004037,0.005218,0.006435,0.008989,0.014670,0.027104,0.052709"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003100,0.004954,0.006999,0.011499,0.021001,0.040238,0.078791", \ - "0.003101,0.004954,0.006999,0.011500,0.021001,0.040237,0.078781", \ - "0.003102,0.004955,0.006999,0.011500,0.021004,0.040235,0.078781", \ - "0.003158,0.005000,0.007028,0.011513,0.021006,0.040236,0.078798", \ - "0.003339,0.005166,0.007146,0.011567,0.021021,0.040243,0.078784", \ - "0.003605,0.005417,0.007340,0.011666,0.021058,0.040256,0.078786", \ - "0.003967,0.005766,0.007641,0.011839,0.021127,0.040283,0.078795"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033720,0.036467,0.038846,0.043023,0.050677,0.065556,0.095237", \ - "0.034305,0.037051,0.039429,0.043607,0.051262,0.066141,0.095820", \ - "0.037028,0.039776,0.042154,0.046332,0.053986,0.068866,0.098544", \ - "0.042731,0.045480,0.047860,0.052038,0.059692,0.074571,0.104252", \ - "0.048713,0.051482,0.053870,0.058056,0.065709,0.080582,0.110255", \ - "0.054315,0.057139,0.059558,0.063772,0.071427,0.086285,0.115941", \ - "0.059283,0.062207,0.064693,0.068977,0.076626,0.091462,0.121098"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.036364,0.039492,0.042252,0.047425,0.057670,0.078241,0.119442", \ - "0.037328,0.040456,0.043216,0.048389,0.058634,0.079205,0.120405", \ - "0.039908,0.043036,0.045796,0.050968,0.061213,0.081784,0.122987", \ - "0.045226,0.048361,0.051124,0.056285,0.066512,0.087069,0.128262", \ - "0.052589,0.055783,0.058583,0.063750,0.073948,0.094470,0.135641", \ - "0.061638,0.064907,0.067770,0.072956,0.083126,0.103599,0.144729", \ - "0.071803,0.075168,0.078128,0.083370,0.093526,0.113938,0.155019"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003180,0.004434,0.005752,0.008502,0.014441,0.027040,0.052694", \ - "0.003180,0.004434,0.005752,0.008502,0.014441,0.027040,0.052696", \ - "0.003181,0.004434,0.005752,0.008502,0.014441,0.027040,0.052694", \ - "0.003206,0.004455,0.005771,0.008514,0.014446,0.027042,0.052693", \ - "0.003327,0.004560,0.005859,0.008574,0.014473,0.027051,0.052696", \ - "0.003600,0.004798,0.006058,0.008710,0.014535,0.027066,0.052701", \ - "0.003993,0.005173,0.006394,0.008956,0.014653,0.027100,0.052708"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003386,0.005214,0.007183,0.011588,0.021031,0.040259,0.078796", \ - "0.003385,0.005214,0.007184,0.011588,0.021033,0.040259,0.078793", \ - "0.003387,0.005214,0.007184,0.011588,0.021031,0.040259,0.078803", \ - "0.003444,0.005263,0.007219,0.011605,0.021037,0.040253,0.078793", \ - "0.003673,0.005478,0.007387,0.011689,0.021067,0.040261,0.078787", \ - "0.003993,0.005780,0.007646,0.011834,0.021123,0.040283,0.078794", \ - "0.004434,0.006196,0.008032,0.012077,0.021231,0.040307,0.078805"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030453,0.033189,0.035560,0.039730,0.047381,0.062261,0.091944", \ - "0.031034,0.033770,0.036141,0.040312,0.047963,0.062843,0.092525", \ - "0.033816,0.036552,0.038924,0.043094,0.050746,0.065626,0.095307", \ - "0.039159,0.041902,0.044277,0.048450,0.056102,0.070982,0.100662", \ - "0.044490,0.047254,0.049639,0.053820,0.061471,0.076341,0.106016", \ - "0.049437,0.052266,0.054688,0.058905,0.066561,0.081418,0.111072", \ - "0.053697,0.056637,0.059133,0.063430,0.071102,0.085943,0.115579"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034759,0.037800,0.040523,0.045695,0.055971,0.076571,0.117787", \ - "0.035720,0.038762,0.041484,0.046656,0.056932,0.077531,0.118752", \ - "0.038302,0.041344,0.044066,0.049238,0.059514,0.080113,0.121334", \ - "0.043574,0.046624,0.049346,0.054504,0.064762,0.085349,0.126561", \ - "0.050740,0.053842,0.056584,0.061737,0.071965,0.092526,0.133721", \ - "0.059531,0.062704,0.065488,0.070644,0.080837,0.101355,0.142522", \ - "0.069333,0.072597,0.075457,0.080644,0.090810,0.111272,0.152401"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003120,0.004381,0.005707,0.008471,0.014425,0.027034,0.052691", \ - "0.003121,0.004382,0.005708,0.008470,0.014425,0.027034,0.052691", \ - "0.003120,0.004381,0.005708,0.008471,0.014425,0.027035,0.052692", \ - "0.003167,0.004423,0.005742,0.008494,0.014435,0.027037,0.052691", \ - "0.003310,0.004544,0.005843,0.008562,0.014466,0.027047,0.052694", \ - "0.003616,0.004813,0.006071,0.008718,0.014538,0.027066,0.052700", \ - "0.004037,0.005218,0.006435,0.008989,0.014670,0.027104,0.052709"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003100,0.004954,0.006999,0.011499,0.021001,0.040238,0.078791", \ - "0.003101,0.004954,0.006999,0.011500,0.021001,0.040237,0.078781", \ - "0.003102,0.004955,0.006999,0.011500,0.021004,0.040235,0.078781", \ - "0.003158,0.005000,0.007028,0.011513,0.021006,0.040236,0.078798", \ - "0.003339,0.005166,0.007146,0.011567,0.021021,0.040243,0.078784", \ - "0.003605,0.005417,0.007340,0.011666,0.021058,0.040256,0.078786", \ - "0.003967,0.005766,0.007641,0.011839,0.021127,0.040283,0.078795"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.027426,0.030117,0.032459,0.036600,0.044234,0.059114,0.088800", \ - "0.028062,0.030753,0.033095,0.037235,0.044870,0.059750,0.089436", \ - "0.030976,0.033668,0.036010,0.040151,0.047785,0.062665,0.092353", \ - "0.035811,0.038511,0.040858,0.045003,0.052638,0.067514,0.097197", \ - "0.040048,0.042777,0.045138,0.049293,0.056927,0.071793,0.101471", \ - "0.043392,0.046198,0.048602,0.052797,0.060443,0.075294,0.104951", \ - "0.045584,0.048501,0.050981,0.055258,0.062920,0.077752,0.107387"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034752,0.037793,0.040516,0.045688,0.055964,0.076564,0.117783", \ - "0.035665,0.038707,0.041429,0.046601,0.056878,0.077476,0.118694", \ - "0.038218,0.041260,0.043981,0.049153,0.059429,0.080028,0.121245", \ - "0.043629,0.046680,0.049402,0.054561,0.064818,0.085406,0.126617", \ - "0.051245,0.054345,0.057087,0.062239,0.072469,0.093028,0.134226", \ - "0.060817,0.063984,0.066765,0.071922,0.082114,0.102634,0.143804", \ - "0.071768,0.075025,0.077878,0.083061,0.093230,0.113702,0.154830"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002930,0.004211,0.005560,0.008364,0.014369,0.027012,0.052687", \ - "0.002930,0.004211,0.005560,0.008364,0.014369,0.027012,0.052687", \ - "0.002933,0.004213,0.005561,0.008365,0.014369,0.027012,0.052686", \ - "0.003009,0.004278,0.005616,0.008402,0.014385,0.027016,0.052689", \ - "0.003198,0.004434,0.005741,0.008483,0.014420,0.027025,0.052690", \ - "0.003535,0.004729,0.005990,0.008653,0.014498,0.027045,0.052693", \ - "0.003958,0.005140,0.006361,0.008927,0.014633,0.027084,0.052702"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003100,0.004954,0.006999,0.011499,0.021000,0.040239,0.078780", \ - "0.003100,0.004954,0.006999,0.011500,0.021001,0.040243,0.078782", \ - "0.003102,0.004955,0.006999,0.011500,0.021000,0.040235,0.078778", \ - "0.003157,0.004999,0.007028,0.011512,0.021006,0.040241,0.078779", \ - "0.003333,0.005159,0.007141,0.011566,0.021020,0.040246,0.078787", \ - "0.003584,0.005397,0.007324,0.011656,0.021056,0.040253,0.078798", \ - "0.003933,0.005733,0.007611,0.011823,0.021120,0.040276,0.078795"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042163,0.044948,0.047352,0.051558,0.059227,0.074107,0.103780", \ - "0.042948,0.045735,0.048139,0.052344,0.060014,0.074893,0.104568", \ - "0.044897,0.047684,0.050088,0.054293,0.061962,0.076843,0.106517", \ - "0.048716,0.051504,0.053909,0.058114,0.065783,0.080663,0.110336", \ - "0.053634,0.056442,0.058859,0.063078,0.070751,0.085628,0.115301", \ - "0.058495,0.061351,0.063797,0.068045,0.075734,0.090601,0.120258", \ - "0.062259,0.065202,0.067707,0.072022,0.079738,0.094591,0.124234"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041348,0.044582,0.047416,0.052601,0.062803,0.083319,0.124482", \ - "0.041944,0.045179,0.048012,0.053197,0.063400,0.083915,0.125079", \ - "0.045007,0.048242,0.051075,0.056260,0.066462,0.086978,0.128143", \ - "0.052372,0.055612,0.058448,0.063630,0.073821,0.094327,0.135486", \ - "0.063158,0.066459,0.069348,0.074537,0.084682,0.105121,0.146236", \ - "0.075609,0.079006,0.081995,0.087241,0.097360,0.117726,0.158772", \ - "0.089817,0.093324,0.096424,0.101776,0.111884,0.132174,0.173141"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003338,0.004580,0.005883,0.008598,0.014492,0.027061,0.052701", \ - "0.003338,0.004580,0.005883,0.008598,0.014492,0.027061,0.052700", \ - "0.003338,0.004580,0.005882,0.008598,0.014492,0.027061,0.052700", \ - "0.003351,0.004590,0.005891,0.008603,0.014494,0.027062,0.052700", \ - "0.003454,0.004685,0.005973,0.008662,0.014523,0.027072,0.052702", \ - "0.003678,0.004888,0.006149,0.008789,0.014584,0.027090,0.052709", \ - "0.004040,0.005235,0.006463,0.009027,0.014706,0.027129,0.052725"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003820,0.005619,0.007507,0.011759,0.021097,0.040278,0.078802", \ - "0.003819,0.005619,0.007506,0.011760,0.021098,0.040281,0.078804", \ - "0.003820,0.005619,0.007506,0.011761,0.021097,0.040273,0.078801", \ - "0.003848,0.005644,0.007527,0.011771,0.021102,0.040275,0.078812", \ - "0.004181,0.005942,0.007780,0.011903,0.021143,0.040288,0.078806", \ - "0.004663,0.006385,0.008193,0.012158,0.021250,0.040310,0.078816", \ - "0.005238,0.006912,0.008714,0.012522,0.021418,0.040362,0.078822"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038276,0.041048,0.043443,0.047640,0.055305,0.070186,0.099863", \ - "0.039037,0.041809,0.044204,0.048400,0.056065,0.070947,0.100622", \ - "0.040952,0.043724,0.046119,0.050316,0.057980,0.072861,0.102540", \ - "0.044641,0.047417,0.049814,0.054012,0.061677,0.076556,0.106234", \ - "0.049089,0.051890,0.054302,0.058514,0.066185,0.081062,0.110733", \ - "0.053240,0.056099,0.058547,0.062797,0.070484,0.085350,0.115007", \ - "0.056138,0.059096,0.061612,0.065939,0.073669,0.088529,0.118170"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.039722,0.042878,0.045652,0.050818,0.061039,0.081588,0.122777", \ - "0.040317,0.043473,0.046248,0.051414,0.061635,0.082185,0.123371", \ - "0.043385,0.046541,0.049316,0.054481,0.064702,0.085252,0.126442", \ - "0.050757,0.053917,0.056693,0.061853,0.072062,0.092601,0.133784", \ - "0.061275,0.064496,0.067313,0.072470,0.082634,0.103115,0.144261", \ - "0.073394,0.076703,0.079601,0.084789,0.094913,0.115326,0.156417", \ - "0.087219,0.090629,0.093633,0.098891,0.108988,0.129318,0.170341"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003270,0.004519,0.005828,0.008559,0.014473,0.027054,0.052699", \ - "0.003270,0.004519,0.005828,0.008559,0.014473,0.027054,0.052700", \ - "0.003270,0.004518,0.005828,0.008559,0.014473,0.027054,0.052698", \ - "0.003303,0.004546,0.005851,0.008574,0.014479,0.027056,0.052698", \ - "0.003424,0.004656,0.005946,0.008642,0.014511,0.027067,0.052699", \ - "0.003690,0.004898,0.006159,0.008795,0.014587,0.027090,0.052709", \ - "0.004086,0.005280,0.006505,0.009061,0.014724,0.027134,0.052727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003512,0.005329,0.007271,0.011632,0.021046,0.040261,0.078805", \ - "0.003512,0.005329,0.007271,0.011630,0.021048,0.040256,0.078792", \ - "0.003514,0.005330,0.007271,0.011631,0.021044,0.040263,0.078791", \ - "0.003540,0.005353,0.007288,0.011638,0.021047,0.040257,0.078792", \ - "0.003830,0.005615,0.007496,0.011742,0.021082,0.040273,0.078792", \ - "0.004228,0.005988,0.007822,0.011924,0.021146,0.040282,0.078805", \ - "0.004724,0.006447,0.008259,0.012203,0.021265,0.040310,0.078802"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034303,0.037032,0.039399,0.043565,0.051213,0.066093,0.095773", \ - "0.035097,0.037826,0.040193,0.044359,0.052007,0.066887,0.096568", \ - "0.037006,0.039735,0.042102,0.046268,0.053916,0.068796,0.098478", \ - "0.040340,0.043078,0.045449,0.049617,0.057266,0.072144,0.101823", \ - "0.043903,0.046664,0.049050,0.053233,0.060886,0.075758,0.105435", \ - "0.046479,0.049303,0.051727,0.055948,0.063617,0.078481,0.108143", \ - "0.047234,0.050155,0.052643,0.056937,0.064641,0.079496,0.109141"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.039652,0.042808,0.045582,0.050748,0.060969,0.081518,0.122708", \ - "0.040182,0.043339,0.046113,0.051279,0.061500,0.082047,0.123239", \ - "0.043321,0.046477,0.049252,0.054417,0.064638,0.085187,0.126375", \ - "0.050943,0.054104,0.056880,0.062039,0.072249,0.092786,0.133972", \ - "0.061911,0.065130,0.067945,0.073101,0.083264,0.103749,0.144897", \ - "0.074746,0.078048,0.080939,0.086124,0.096237,0.116658,0.157761", \ - "0.089659,0.093057,0.096047,0.101294,0.111394,0.131731,0.172767"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003098,0.004360,0.005688,0.008456,0.014417,0.027030,0.052691", \ - "0.003098,0.004360,0.005689,0.008457,0.014418,0.027030,0.052692", \ - "0.003098,0.004360,0.005688,0.008456,0.014417,0.027031,0.052691", \ - "0.003148,0.004400,0.005722,0.008478,0.014426,0.027033,0.052692", \ - "0.003282,0.004521,0.005823,0.008548,0.014460,0.027043,0.052694", \ - "0.003564,0.004774,0.006042,0.008704,0.014536,0.027069,0.052702", \ - "0.003951,0.005143,0.006376,0.008954,0.014662,0.027109,0.052719"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003512,0.005330,0.007271,0.011631,0.021048,0.040259,0.078805", \ - "0.003513,0.005329,0.007271,0.011631,0.021045,0.040262,0.078796", \ - "0.003513,0.005330,0.007271,0.011632,0.021048,0.040263,0.078789", \ - "0.003538,0.005352,0.007288,0.011638,0.021051,0.040262,0.078790", \ - "0.003816,0.005603,0.007485,0.011737,0.021078,0.040267,0.078790", \ - "0.004194,0.005954,0.007792,0.011909,0.021144,0.040278,0.078801", \ - "0.004653,0.006382,0.008197,0.012163,0.021249,0.040312,0.078806"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038276,0.041048,0.043443,0.047640,0.055305,0.070186,0.099863", \ - "0.039037,0.041809,0.044204,0.048400,0.056065,0.070947,0.100622", \ - "0.040952,0.043724,0.046119,0.050316,0.057980,0.072861,0.102540", \ - "0.044641,0.047417,0.049814,0.054012,0.061677,0.076556,0.106234", \ - "0.049089,0.051890,0.054302,0.058514,0.066185,0.081062,0.110733", \ - "0.053240,0.056099,0.058547,0.062797,0.070484,0.085350,0.115007", \ - "0.056138,0.059096,0.061612,0.065939,0.073669,0.088529,0.118170"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.039722,0.042878,0.045652,0.050818,0.061039,0.081588,0.122777", \ - "0.040317,0.043473,0.046248,0.051414,0.061635,0.082185,0.123371", \ - "0.043385,0.046541,0.049316,0.054481,0.064702,0.085252,0.126442", \ - "0.050757,0.053917,0.056693,0.061853,0.072062,0.092601,0.133784", \ - "0.061275,0.064496,0.067313,0.072470,0.082634,0.103115,0.144261", \ - "0.073394,0.076703,0.079601,0.084789,0.094913,0.115326,0.156417", \ - "0.087219,0.090629,0.093633,0.098891,0.108988,0.129318,0.170341"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003270,0.004519,0.005828,0.008559,0.014473,0.027054,0.052699", \ - "0.003270,0.004519,0.005828,0.008559,0.014473,0.027054,0.052700", \ - "0.003270,0.004518,0.005828,0.008559,0.014473,0.027054,0.052698", \ - "0.003303,0.004546,0.005851,0.008574,0.014479,0.027056,0.052698", \ - "0.003424,0.004656,0.005946,0.008642,0.014511,0.027067,0.052699", \ - "0.003690,0.004898,0.006159,0.008795,0.014587,0.027090,0.052709", \ - "0.004086,0.005280,0.006505,0.009061,0.014724,0.027134,0.052727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003512,0.005329,0.007271,0.011632,0.021046,0.040261,0.078805", \ - "0.003512,0.005329,0.007271,0.011630,0.021048,0.040256,0.078792", \ - "0.003514,0.005330,0.007271,0.011631,0.021044,0.040263,0.078791", \ - "0.003540,0.005353,0.007288,0.011638,0.021047,0.040257,0.078792", \ - "0.003830,0.005615,0.007496,0.011742,0.021082,0.040273,0.078792", \ - "0.004228,0.005988,0.007822,0.011924,0.021146,0.040282,0.078805", \ - "0.004724,0.006447,0.008259,0.012203,0.021265,0.040310,0.078802"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034473,0.037231,0.039616,0.043803,0.051464,0.066346,0.096026", \ - "0.035194,0.037953,0.040338,0.044525,0.052186,0.067068,0.096747", \ - "0.037059,0.039817,0.042202,0.046389,0.054050,0.068933,0.098610", \ - "0.040480,0.043250,0.045642,0.049834,0.057496,0.072376,0.102051", \ - "0.044370,0.047167,0.049576,0.053785,0.061453,0.076327,0.106000", \ - "0.047700,0.050567,0.053021,0.057277,0.064965,0.079831,0.109488", \ - "0.049650,0.052629,0.055161,0.059509,0.067249,0.082106,0.111745"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038098,0.041165,0.043893,0.049053,0.059303,0.079882,0.121090", \ - "0.038692,0.041760,0.044488,0.049647,0.059898,0.080477,0.121688", \ - "0.041765,0.044833,0.047561,0.052720,0.062971,0.083549,0.124758", \ - "0.049142,0.052213,0.054940,0.060091,0.070329,0.090899,0.132100", \ - "0.059360,0.062488,0.065239,0.070375,0.080569,0.101094,0.142266", \ - "0.071146,0.074350,0.077153,0.082296,0.092440,0.112906,0.154042", \ - "0.084573,0.087872,0.090760,0.095938,0.106037,0.126432,0.167510"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003197,0.004453,0.005772,0.008519,0.014453,0.027047,0.052694", \ - "0.003197,0.004453,0.005772,0.008519,0.014453,0.027047,0.052696", \ - "0.003198,0.004453,0.005772,0.008519,0.014453,0.027047,0.052696", \ - "0.003262,0.004508,0.005817,0.008549,0.014466,0.027051,0.052697", \ - "0.003409,0.004641,0.005931,0.008630,0.014505,0.027063,0.052700", \ - "0.003723,0.004929,0.006185,0.008814,0.014596,0.027093,0.052710", \ - "0.004157,0.005351,0.006574,0.009116,0.014753,0.027143,0.052730"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003215,0.005052,0.007064,0.011529,0.021011,0.040247,0.078779", \ - "0.003214,0.005052,0.007064,0.011530,0.021013,0.040247,0.078782", \ - "0.003214,0.005052,0.007065,0.011530,0.021013,0.040247,0.078781", \ - "0.003240,0.005073,0.007079,0.011535,0.021013,0.040242,0.078788", \ - "0.003473,0.005281,0.007228,0.011603,0.021035,0.040249,0.078791", \ - "0.003786,0.005572,0.007459,0.011720,0.021069,0.040261,0.078792", \ - "0.004188,0.005952,0.007794,0.011911,0.021139,0.040282,0.078791"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.031087,0.033805,0.036164,0.040323,0.047968,0.062848,0.092532", \ - "0.031850,0.034567,0.036926,0.041084,0.048729,0.063610,0.093294", \ - "0.033711,0.036429,0.038788,0.042946,0.050592,0.065473,0.095155", \ - "0.036723,0.039452,0.041819,0.045984,0.053630,0.068509,0.098188", \ - "0.039673,0.042433,0.044818,0.049000,0.056652,0.071524,0.101199", \ - "0.041405,0.044238,0.046668,0.050896,0.058566,0.073431,0.103090", \ - "0.041236,0.044179,0.046683,0.050995,0.058710,0.073565,0.103206"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038027,0.041096,0.043824,0.048983,0.059234,0.079812,0.121024", \ - "0.038558,0.041626,0.044354,0.049513,0.059763,0.080344,0.121552", \ - "0.041702,0.044770,0.047498,0.052657,0.062907,0.083488,0.124694", \ - "0.049331,0.052402,0.055129,0.060280,0.070518,0.091087,0.132291", \ - "0.060014,0.063137,0.065887,0.071024,0.081218,0.101744,0.142918", \ - "0.072527,0.075726,0.078523,0.083665,0.093799,0.114274,0.155413", \ - "0.087079,0.090364,0.093240,0.098412,0.108517,0.128915,0.170003"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003034,0.004303,0.005641,0.008423,0.014401,0.027025,0.052689", \ - "0.003034,0.004304,0.005641,0.008423,0.014401,0.027025,0.052688", \ - "0.003034,0.004304,0.005641,0.008424,0.014402,0.027025,0.052690", \ - "0.003105,0.004365,0.005693,0.008458,0.014417,0.027028,0.052691", \ - "0.003279,0.004516,0.005819,0.008545,0.014457,0.027041,0.052694", \ - "0.003601,0.004808,0.006073,0.008725,0.014546,0.027072,0.052702", \ - "0.004020,0.005214,0.006442,0.009007,0.014690,0.027117,0.052723"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003214,0.005052,0.007065,0.011530,0.021010,0.040247,0.078782", \ - "0.003213,0.005052,0.007064,0.011528,0.021010,0.040243,0.078784", \ - "0.003215,0.005052,0.007064,0.011529,0.021010,0.040239,0.078781", \ - "0.003238,0.005072,0.007078,0.011535,0.021012,0.040247,0.078780", \ - "0.003462,0.005271,0.007221,0.011599,0.021034,0.040253,0.078787", \ - "0.003755,0.005544,0.007436,0.011707,0.021063,0.040255,0.078805", \ - "0.004126,0.005896,0.007744,0.011880,0.021129,0.040275,0.078791"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034835,0.037588,0.039970,0.044153,0.051810,0.066691,0.096370", \ - "0.035624,0.038377,0.040760,0.044942,0.052599,0.067480,0.097158", \ - "0.038207,0.040960,0.043343,0.047525,0.055182,0.070062,0.099741", \ - "0.043149,0.045906,0.048291,0.052475,0.060132,0.075011,0.104687", \ - "0.048129,0.050919,0.053322,0.057523,0.065184,0.080055,0.109727", \ - "0.052278,0.055138,0.057584,0.061829,0.069509,0.084368,0.114022", \ - "0.054946,0.057918,0.060441,0.064776,0.072506,0.087355,0.116988"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040949,0.044110,0.046887,0.052052,0.062269,0.082813,0.123995", \ - "0.041568,0.044729,0.047506,0.052671,0.062887,0.083432,0.124619", \ - "0.044647,0.047808,0.050585,0.055751,0.065968,0.086512,0.127698", \ - "0.052091,0.055256,0.058034,0.063193,0.073401,0.093934,0.135119", \ - "0.062892,0.066113,0.068930,0.074086,0.084246,0.104725,0.145868", \ - "0.075326,0.078634,0.081531,0.086717,0.096841,0.117255,0.158348", \ - "0.089535,0.092943,0.095944,0.101198,0.111295,0.131631,0.172656"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003200,0.004452,0.005769,0.008514,0.014448,0.027043,0.052692", \ - "0.003201,0.004452,0.005769,0.008514,0.014448,0.027044,0.052694", \ - "0.003200,0.004452,0.005769,0.008514,0.014448,0.027044,0.052696", \ - "0.003247,0.004490,0.005801,0.008535,0.014456,0.027046,0.052694", \ - "0.003409,0.004636,0.005924,0.008620,0.014496,0.027058,0.052698", \ - "0.003725,0.004922,0.006174,0.008798,0.014583,0.027085,0.052705", \ - "0.004161,0.005345,0.006561,0.009097,0.014737,0.027133,0.052722"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003538,0.005352,0.007288,0.011639,0.021051,0.040264,0.078802", \ - "0.003538,0.005352,0.007288,0.011641,0.021049,0.040263,0.078801", \ - "0.003538,0.005352,0.007287,0.011639,0.021052,0.040258,0.078795", \ - "0.003558,0.005371,0.007302,0.011647,0.021053,0.040265,0.078792", \ - "0.003835,0.005619,0.007498,0.011743,0.021081,0.040267,0.078795", \ - "0.004228,0.005986,0.007821,0.011923,0.021150,0.040280,0.078803", \ - "0.004713,0.006438,0.008250,0.012198,0.021263,0.040316,0.078805"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.031663,0.034404,0.036779,0.040953,0.048606,0.063486,0.093168", \ - "0.032420,0.035161,0.037536,0.041710,0.049363,0.064244,0.093925", \ - "0.034957,0.037697,0.040072,0.044247,0.051900,0.066781,0.096463", \ - "0.039424,0.042180,0.044561,0.048742,0.056396,0.071273,0.100951", \ - "0.043650,0.046439,0.048840,0.053040,0.060699,0.075569,0.105240", \ - "0.046930,0.049803,0.052258,0.056512,0.064191,0.079049,0.108701", \ - "0.048651,0.051645,0.054188,0.058545,0.066287,0.081142,0.110771"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.039340,0.042413,0.045142,0.050299,0.060544,0.081119,0.122327", \ - "0.039959,0.043031,0.045761,0.050917,0.061163,0.081737,0.122947", \ - "0.043043,0.046116,0.048845,0.054001,0.064247,0.084822,0.126030", \ - "0.050492,0.053568,0.056296,0.061447,0.071681,0.092249,0.133450", \ - "0.061031,0.064159,0.066910,0.072046,0.082233,0.102756,0.143929", \ - "0.073150,0.076355,0.079157,0.084298,0.094441,0.114909,0.156041", \ - "0.086997,0.090293,0.093179,0.098354,0.108451,0.128844,0.169922"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003136,0.004395,0.005719,0.008480,0.014431,0.027037,0.052693", \ - "0.003136,0.004395,0.005719,0.008479,0.014431,0.027037,0.052693", \ - "0.003136,0.004396,0.005720,0.008480,0.014431,0.027038,0.052690", \ - "0.003217,0.004465,0.005778,0.008518,0.014447,0.027042,0.052693", \ - "0.003410,0.004633,0.005921,0.008617,0.014494,0.027056,0.052698", \ - "0.003771,0.004964,0.006211,0.008825,0.014596,0.027088,0.052705", \ - "0.004235,0.005425,0.006637,0.009161,0.014771,0.027143,0.052726"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003236,0.005071,0.007078,0.011534,0.021015,0.040248,0.078782", \ - "0.003237,0.005071,0.007078,0.011535,0.021015,0.040247,0.078786", \ - "0.003237,0.005072,0.007079,0.011535,0.021012,0.040244,0.078786", \ - "0.003256,0.005088,0.007089,0.011539,0.021016,0.040242,0.078786", \ - "0.003483,0.005289,0.007233,0.011605,0.021032,0.040250,0.078785", \ - "0.003793,0.005577,0.007462,0.011720,0.021068,0.040257,0.078794", \ - "0.004188,0.005951,0.007792,0.011908,0.021135,0.040277,0.078798"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.028053,0.030751,0.033098,0.037242,0.044879,0.059759,0.089444", \ - "0.028871,0.031569,0.033916,0.038060,0.045696,0.060576,0.090262", \ - "0.031571,0.034269,0.036615,0.040759,0.048396,0.063276,0.092962", \ - "0.035613,0.038324,0.040678,0.044830,0.052468,0.067344,0.097025", \ - "0.038800,0.041552,0.043929,0.048100,0.055743,0.070609,0.100283", \ - "0.040410,0.043249,0.045679,0.049904,0.057567,0.072422,0.102077", \ - "0.039947,0.042903,0.045417,0.049739,0.057455,0.072303,0.101934"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.039269,0.042342,0.045071,0.050228,0.060473,0.081048,0.122254", \ - "0.039820,0.042892,0.045622,0.050778,0.061024,0.081599,0.122807", \ - "0.042984,0.046056,0.048786,0.053942,0.064187,0.084763,0.125972", \ - "0.050702,0.053777,0.056505,0.061656,0.071891,0.092458,0.133660", \ - "0.061709,0.064835,0.067585,0.072721,0.082910,0.103433,0.144604", \ - "0.074552,0.077751,0.080548,0.085688,0.095821,0.116293,0.157436", \ - "0.089494,0.092778,0.095651,0.100820,0.110923,0.131327,0.172412"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002949,0.004227,0.005574,0.008374,0.014375,0.027015,0.052689", \ - "0.002949,0.004227,0.005574,0.008374,0.014375,0.027015,0.052688", \ - "0.002951,0.004229,0.005576,0.008375,0.014375,0.027015,0.052687", \ - "0.003052,0.004314,0.005646,0.008422,0.014395,0.027019,0.052689", \ - "0.003277,0.004506,0.005805,0.008529,0.014444,0.027033,0.052691", \ - "0.003646,0.004842,0.006097,0.008735,0.014544,0.027066,0.052698", \ - "0.004095,0.005282,0.006502,0.009048,0.014706,0.027115,0.052715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003236,0.005071,0.007078,0.011535,0.021015,0.040248,0.078792", \ - "0.003237,0.005072,0.007078,0.011535,0.021015,0.040248,0.078783", \ - "0.003237,0.005072,0.007079,0.011534,0.021014,0.040246,0.078785", \ - "0.003256,0.005087,0.007088,0.011541,0.021016,0.040245,0.078785", \ - "0.003472,0.005279,0.007226,0.011601,0.021030,0.040247,0.078787", \ - "0.003763,0.005549,0.007440,0.011709,0.021064,0.040261,0.078788", \ - "0.004130,0.005896,0.007743,0.011877,0.021127,0.040273,0.078787"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045637,0.048437,0.050850,0.055064,0.062737,0.077616,0.107289", \ - "0.046259,0.049060,0.051472,0.055687,0.063360,0.078239,0.107914", \ - "0.048259,0.051060,0.053472,0.057687,0.065361,0.080239,0.109913", \ - "0.052195,0.054995,0.057408,0.061622,0.069295,0.084173,0.113848", \ - "0.057695,0.060512,0.062935,0.067160,0.074836,0.089713,0.119383", \ - "0.063648,0.066500,0.068944,0.073190,0.080873,0.095739,0.125398", \ - "0.069289,0.072211,0.074699,0.078994,0.086696,0.101547,0.131190"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043543,0.046777,0.049610,0.054795,0.064998,0.085514,0.126676", \ - "0.044512,0.047746,0.050579,0.055764,0.065966,0.086483,0.127649", \ - "0.047050,0.050285,0.053118,0.058303,0.068506,0.089019,0.130185", \ - "0.052364,0.055603,0.058438,0.063617,0.073810,0.094317,0.135476", \ - "0.060467,0.063751,0.066626,0.071816,0.081983,0.102449,0.143577", \ - "0.070509,0.073858,0.076800,0.082023,0.092174,0.112591,0.153680", \ - "0.082166,0.085599,0.088629,0.093916,0.104055,0.124422,0.165454"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003402,0.004639,0.005934,0.008635,0.014511,0.027068,0.052703", \ - "0.003403,0.004638,0.005934,0.008635,0.014511,0.027067,0.052703", \ - "0.003403,0.004639,0.005934,0.008635,0.014510,0.027068,0.052704", \ - "0.003407,0.004643,0.005938,0.008638,0.014512,0.027068,0.052703", \ - "0.003493,0.004721,0.006005,0.008686,0.014536,0.027077,0.052704", \ - "0.003667,0.004877,0.006141,0.008783,0.014581,0.027089,0.052710", \ - "0.003964,0.005158,0.006391,0.008969,0.014674,0.027118,0.052717"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003820,0.005618,0.007506,0.011761,0.021096,0.040280,0.078800", \ - "0.003819,0.005619,0.007506,0.011761,0.021096,0.040277,0.078796", \ - "0.003819,0.005619,0.007506,0.011761,0.021100,0.040279,0.078801", \ - "0.003842,0.005639,0.007523,0.011769,0.021099,0.040277,0.078797", \ - "0.004072,0.005848,0.007700,0.011863,0.021135,0.040281,0.078815", \ - "0.004395,0.006148,0.007977,0.012030,0.021205,0.040305,0.078809", \ - "0.004827,0.006544,0.008358,0.012283,0.021327,0.040340,0.078821"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041716,0.044503,0.046906,0.051112,0.058781,0.073660,0.103335", \ - "0.042334,0.045120,0.047524,0.051729,0.059398,0.074278,0.103953", \ - "0.044320,0.047106,0.049510,0.053715,0.061384,0.076264,0.105940", \ - "0.048186,0.050975,0.053380,0.057586,0.065254,0.080133,0.109808", \ - "0.053307,0.056115,0.058532,0.062750,0.070423,0.085300,0.114970", \ - "0.058711,0.061562,0.064004,0.068247,0.075929,0.090796,0.120453", \ - "0.063678,0.066607,0.069100,0.073401,0.081104,0.095959,0.125601"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041917,0.045073,0.047847,0.053013,0.063235,0.083782,0.124969", \ - "0.042884,0.046040,0.048815,0.053981,0.064203,0.084751,0.125937", \ - "0.045422,0.048579,0.051353,0.056519,0.066741,0.087289,0.128476", \ - "0.050736,0.053895,0.056671,0.061829,0.072039,0.092579,0.133764", \ - "0.058685,0.061890,0.064697,0.069858,0.080041,0.100546,0.141708", \ - "0.068519,0.071787,0.074647,0.079827,0.089984,0.110444,0.151572", \ - "0.079888,0.083234,0.086174,0.091394,0.101534,0.121937,0.163017"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003337,0.004579,0.005881,0.008597,0.014492,0.027061,0.052700", \ - "0.003337,0.004579,0.005882,0.008597,0.014492,0.027061,0.052700", \ - "0.003337,0.004579,0.005882,0.008598,0.014492,0.027061,0.052700", \ - "0.003354,0.004594,0.005894,0.008606,0.014496,0.027062,0.052700", \ - "0.003455,0.004685,0.005973,0.008661,0.014522,0.027072,0.052703", \ - "0.003659,0.004869,0.006132,0.008775,0.014577,0.027086,0.052708", \ - "0.003986,0.005178,0.006409,0.008983,0.014681,0.027120,0.052717"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003514,0.005330,0.007270,0.011631,0.021049,0.040262,0.078799", \ - "0.003512,0.005330,0.007270,0.011630,0.021046,0.040260,0.078793", \ - "0.003513,0.005330,0.007270,0.011630,0.021049,0.040259,0.078806", \ - "0.003534,0.005348,0.007285,0.011638,0.021047,0.040256,0.078792", \ - "0.003737,0.005534,0.007431,0.011711,0.021070,0.040271,0.078786", \ - "0.004012,0.005793,0.007655,0.011836,0.021121,0.040277,0.078796", \ - "0.004383,0.006141,0.007974,0.012032,0.021204,0.040304,0.078812"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.037213,0.039955,0.042328,0.046501,0.054153,0.069031,0.098710", \ - "0.037887,0.040628,0.043001,0.047174,0.054825,0.069705,0.099385", \ - "0.039845,0.042585,0.044960,0.049132,0.056784,0.071663,0.101344", \ - "0.043393,0.046138,0.048514,0.052689,0.060340,0.075218,0.104896", \ - "0.047627,0.050393,0.052781,0.056967,0.064621,0.079495,0.109171", \ - "0.051495,0.054308,0.056724,0.060937,0.068600,0.083464,0.113125", \ - "0.054297,0.057190,0.059657,0.063926,0.071613,0.086466,0.116112"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041848,0.045003,0.047777,0.052943,0.063165,0.083714,0.124899", \ - "0.042756,0.045912,0.048687,0.053852,0.064073,0.084623,0.125808", \ - "0.045312,0.048468,0.051242,0.056408,0.066630,0.087176,0.128367", \ - "0.050775,0.053934,0.056709,0.061868,0.072080,0.092619,0.133804", \ - "0.059129,0.062332,0.065138,0.070300,0.080484,0.100990,0.142150", \ - "0.069699,0.072963,0.075819,0.080997,0.091156,0.111619,0.152749", \ - "0.082206,0.085544,0.088474,0.093689,0.103827,0.124238,0.165324"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003158,0.004412,0.005734,0.008488,0.014433,0.027037,0.052694", \ - "0.003157,0.004413,0.005734,0.008488,0.014433,0.027037,0.052693", \ - "0.003157,0.004412,0.005733,0.008488,0.014433,0.027036,0.052691", \ - "0.003189,0.004438,0.005755,0.008502,0.014439,0.027038,0.052692", \ - "0.003299,0.004535,0.005838,0.008559,0.014466,0.027046,0.052695", \ - "0.003525,0.004736,0.006009,0.008679,0.014523,0.027063,0.052699", \ - "0.003857,0.005048,0.006286,0.008883,0.014624,0.027096,0.052709"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003513,0.005329,0.007271,0.011632,0.021045,0.040256,0.078799", \ - "0.003513,0.005330,0.007271,0.011630,0.021047,0.040258,0.078799", \ - "0.003514,0.005329,0.007271,0.011632,0.021045,0.040263,0.078803", \ - "0.003534,0.005348,0.007285,0.011637,0.021050,0.040264,0.078791", \ - "0.003730,0.005528,0.007427,0.011709,0.021072,0.040263,0.078794", \ - "0.003990,0.005773,0.007636,0.011826,0.021119,0.040279,0.078798", \ - "0.004339,0.006101,0.007936,0.012007,0.021193,0.040302,0.078802"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041716,0.044503,0.046906,0.051112,0.058781,0.073660,0.103335", \ - "0.042334,0.045120,0.047524,0.051729,0.059398,0.074278,0.103953", \ - "0.044320,0.047106,0.049510,0.053715,0.061384,0.076264,0.105940", \ - "0.048186,0.050975,0.053380,0.057586,0.065254,0.080133,0.109808", \ - "0.053307,0.056115,0.058532,0.062750,0.070423,0.085300,0.114970", \ - "0.058711,0.061562,0.064004,0.068247,0.075929,0.090796,0.120453", \ - "0.063678,0.066607,0.069100,0.073401,0.081104,0.095959,0.125601"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041917,0.045073,0.047847,0.053013,0.063235,0.083782,0.124969", \ - "0.042884,0.046040,0.048815,0.053981,0.064203,0.084751,0.125937", \ - "0.045422,0.048579,0.051353,0.056519,0.066741,0.087289,0.128476", \ - "0.050736,0.053895,0.056671,0.061829,0.072039,0.092579,0.133764", \ - "0.058685,0.061890,0.064697,0.069858,0.080041,0.100546,0.141708", \ - "0.068519,0.071787,0.074647,0.079827,0.089984,0.110444,0.151572", \ - "0.079888,0.083234,0.086174,0.091394,0.101534,0.121937,0.163017"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003337,0.004579,0.005881,0.008597,0.014492,0.027061,0.052700", \ - "0.003337,0.004579,0.005882,0.008597,0.014492,0.027061,0.052700", \ - "0.003337,0.004579,0.005882,0.008598,0.014492,0.027061,0.052700", \ - "0.003354,0.004594,0.005894,0.008606,0.014496,0.027062,0.052700", \ - "0.003455,0.004685,0.005973,0.008661,0.014522,0.027072,0.052703", \ - "0.003659,0.004869,0.006132,0.008775,0.014577,0.027086,0.052708", \ - "0.003986,0.005178,0.006409,0.008983,0.014681,0.027120,0.052717"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003514,0.005330,0.007270,0.011631,0.021049,0.040262,0.078799", \ - "0.003512,0.005330,0.007270,0.011630,0.021046,0.040260,0.078793", \ - "0.003513,0.005330,0.007270,0.011630,0.021049,0.040259,0.078806", \ - "0.003534,0.005348,0.007285,0.011638,0.021047,0.040256,0.078792", \ - "0.003737,0.005534,0.007431,0.011711,0.021070,0.040271,0.078786", \ - "0.004012,0.005793,0.007655,0.011836,0.021121,0.040277,0.078796", \ - "0.004383,0.006141,0.007974,0.012032,0.021204,0.040304,0.078812"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.037862,0.040634,0.043029,0.047225,0.054890,0.069772,0.099449", \ - "0.038473,0.041244,0.043639,0.047835,0.055500,0.070382,0.100059", \ - "0.040432,0.043204,0.045599,0.049795,0.057461,0.072342,0.102019", \ - "0.044132,0.046909,0.049307,0.053506,0.061172,0.076052,0.105728", \ - "0.048795,0.051595,0.054008,0.058220,0.065890,0.080765,0.110438", \ - "0.053576,0.056428,0.058871,0.063115,0.070794,0.085660,0.115318", \ - "0.057809,0.060750,0.063252,0.067563,0.075277,0.090134,0.119775"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040293,0.043360,0.046089,0.051248,0.061498,0.082077,0.123285", \ - "0.041259,0.044327,0.047055,0.052214,0.062464,0.083045,0.124252", \ - "0.043798,0.046865,0.049593,0.054752,0.065002,0.085582,0.126792", \ - "0.049107,0.052177,0.054904,0.060055,0.070294,0.090866,0.132070", \ - "0.056890,0.060004,0.062750,0.067895,0.078106,0.098650,0.139836", \ - "0.066508,0.069680,0.072461,0.077609,0.087788,0.108294,0.149453", \ - "0.077579,0.080825,0.083667,0.088833,0.098978,0.119425,0.160549"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003270,0.004519,0.005829,0.008559,0.014473,0.027054,0.052698", \ - "0.003270,0.004518,0.005828,0.008559,0.014473,0.027054,0.052699", \ - "0.003270,0.004519,0.005829,0.008560,0.014473,0.027054,0.052698", \ - "0.003309,0.004552,0.005856,0.008578,0.014481,0.027056,0.052698", \ - "0.003424,0.004656,0.005946,0.008642,0.014511,0.027067,0.052701", \ - "0.003665,0.004874,0.006136,0.008777,0.014577,0.027086,0.052707", \ - "0.004025,0.005217,0.006446,0.009011,0.014695,0.027123,0.052718"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003214,0.005051,0.007064,0.011529,0.021011,0.040240,0.078783", \ - "0.003214,0.005052,0.007065,0.011529,0.021012,0.040246,0.078792", \ - "0.003214,0.005052,0.007064,0.011529,0.021009,0.040240,0.078785", \ - "0.003234,0.005069,0.007076,0.011533,0.021012,0.040247,0.078800", \ - "0.003403,0.005220,0.007185,0.011583,0.021029,0.040246,0.078786", \ - "0.003627,0.005431,0.007348,0.011668,0.021053,0.040261,0.078803", \ - "0.003932,0.005722,0.007595,0.011804,0.021107,0.040272,0.078791"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033949,0.036678,0.039045,0.043211,0.050860,0.065740,0.095421", \ - "0.034615,0.037344,0.039711,0.043877,0.051525,0.066406,0.096086", \ - "0.036550,0.039278,0.041644,0.045811,0.053459,0.068339,0.098021", \ - "0.039871,0.042609,0.044981,0.049151,0.056800,0.071677,0.101358", \ - "0.043614,0.046376,0.048761,0.052943,0.060596,0.075469,0.105144", \ - "0.046814,0.049631,0.052049,0.056264,0.063931,0.078795,0.108455", \ - "0.048891,0.051797,0.054274,0.058553,0.066244,0.081099,0.110742"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040223,0.043291,0.046019,0.051178,0.061428,0.082007,0.123215", \ - "0.041131,0.044199,0.046927,0.052086,0.062337,0.082916,0.124124", \ - "0.043687,0.046755,0.049483,0.054642,0.064892,0.085473,0.126680", \ - "0.049146,0.052217,0.054944,0.060096,0.070335,0.090907,0.132109", \ - "0.057344,0.060456,0.063201,0.068345,0.078557,0.099101,0.140291", \ - "0.067711,0.070878,0.073656,0.078804,0.088982,0.109492,0.150654", \ - "0.079939,0.083177,0.086011,0.091175,0.101325,0.121784,0.162913"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003099,0.004360,0.005689,0.008457,0.014417,0.027031,0.052691", \ - "0.003099,0.004361,0.005688,0.008456,0.014417,0.027031,0.052692", \ - "0.003099,0.004361,0.005689,0.008457,0.014418,0.027031,0.052691", \ - "0.003148,0.004404,0.005725,0.008480,0.014428,0.027033,0.052691", \ - "0.003281,0.004519,0.005822,0.008547,0.014459,0.027043,0.052693", \ - "0.003541,0.004750,0.006021,0.008687,0.014527,0.027064,0.052697", \ - "0.003901,0.005092,0.006326,0.008914,0.014640,0.027101,0.052710"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003213,0.005052,0.007064,0.011530,0.021012,0.040247,0.078799", \ - "0.003214,0.005052,0.007064,0.011529,0.021010,0.040245,0.078791", \ - "0.003214,0.005052,0.007064,0.011530,0.021013,0.040244,0.078781", \ - "0.003235,0.005069,0.007076,0.011534,0.021014,0.040242,0.078796", \ - "0.003398,0.005215,0.007181,0.011583,0.021026,0.040252,0.078782", \ - "0.003609,0.005413,0.007335,0.011659,0.021051,0.040259,0.078794", \ - "0.003895,0.005687,0.007566,0.011788,0.021099,0.040276,0.078799"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.037686,0.040450,0.042840,0.047030,0.054690,0.069569,0.099245", \ - "0.038350,0.041116,0.043505,0.047695,0.055355,0.070235,0.099910", \ - "0.040990,0.043755,0.046145,0.050335,0.057995,0.072875,0.102552", \ - "0.046230,0.048998,0.051388,0.055579,0.063239,0.078117,0.107794", \ - "0.052102,0.054896,0.057301,0.061506,0.069168,0.084041,0.113711", \ - "0.057581,0.060430,0.062867,0.067103,0.074778,0.089638,0.119292", \ - "0.062344,0.065284,0.067783,0.072087,0.079786,0.094633,0.124269"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043153,0.046315,0.049092,0.054257,0.064473,0.085016,0.126205", \ - "0.044136,0.047297,0.050075,0.055240,0.065456,0.085999,0.127187", \ - "0.046657,0.049818,0.052595,0.057760,0.067977,0.088520,0.129704", \ - "0.052045,0.055209,0.057987,0.063146,0.073352,0.093890,0.135073", \ - "0.060237,0.063443,0.066251,0.071410,0.081590,0.102092,0.143247", \ - "0.070400,0.073667,0.076527,0.081705,0.091861,0.112320,0.153447", \ - "0.082220,0.085563,0.088500,0.093717,0.103849,0.124254,0.165331"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003259,0.004504,0.005813,0.008546,0.014464,0.027049,0.052698", \ - "0.003258,0.004504,0.005813,0.008546,0.014464,0.027050,0.052697", \ - "0.003259,0.004505,0.005814,0.008546,0.014464,0.027049,0.052697", \ - "0.003284,0.004526,0.005831,0.008558,0.014469,0.027051,0.052697", \ - "0.003423,0.004650,0.005937,0.008631,0.014503,0.027062,0.052700", \ - "0.003681,0.004880,0.006136,0.008771,0.014569,0.027080,0.052704", \ - "0.004051,0.005235,0.006456,0.009010,0.014689,0.027117,0.052714"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003538,0.005353,0.007288,0.011640,0.021048,0.040258,0.078792", \ - "0.003538,0.005352,0.007288,0.011640,0.021047,0.040257,0.078791", \ - "0.003538,0.005352,0.007288,0.011640,0.021049,0.040260,0.078794", \ - "0.003554,0.005367,0.007299,0.011646,0.021050,0.040263,0.078800", \ - "0.003749,0.005544,0.007440,0.011716,0.021074,0.040264,0.078805", \ - "0.004015,0.005796,0.007656,0.011836,0.021121,0.040276,0.078807", \ - "0.004377,0.006134,0.007967,0.012025,0.021201,0.040302,0.078807"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034461,0.037214,0.039596,0.043779,0.051435,0.066316,0.095993", \ - "0.035121,0.037874,0.040256,0.044438,0.052095,0.066975,0.096654", \ - "0.037740,0.040493,0.042876,0.047058,0.054715,0.069596,0.099273", \ - "0.042679,0.045437,0.047824,0.052009,0.059666,0.074544,0.104221", \ - "0.047855,0.050646,0.053049,0.057249,0.064910,0.079781,0.109450", \ - "0.052639,0.055492,0.057933,0.062172,0.069847,0.084706,0.114359", \ - "0.056655,0.059609,0.062119,0.066436,0.074153,0.089002,0.118634"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041545,0.044618,0.047347,0.052504,0.062749,0.083325,0.124531", \ - "0.042527,0.045600,0.048329,0.053485,0.063731,0.084306,0.125511", \ - "0.045047,0.048119,0.050849,0.056005,0.066250,0.086827,0.128031", \ - "0.050435,0.053510,0.056239,0.061388,0.071623,0.092192,0.133394", \ - "0.058476,0.061592,0.064338,0.069482,0.079688,0.100227,0.141411", \ - "0.068447,0.071619,0.074399,0.079545,0.089722,0.110222,0.151379", \ - "0.079993,0.083236,0.086075,0.091238,0.101377,0.121831,0.162953"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003199,0.004451,0.005768,0.008514,0.014448,0.027044,0.052696", \ - "0.003199,0.004451,0.005768,0.008514,0.014448,0.027044,0.052694", \ - "0.003199,0.004452,0.005768,0.008514,0.014448,0.027043,0.052695", \ - "0.003251,0.004495,0.005804,0.008538,0.014458,0.027047,0.052694", \ - "0.003410,0.004636,0.005923,0.008620,0.014496,0.027058,0.052699", \ - "0.003701,0.004898,0.006151,0.008781,0.014573,0.027080,0.052703", \ - "0.004099,0.005283,0.006501,0.009047,0.014707,0.027122,0.052715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003236,0.005072,0.007078,0.011536,0.021015,0.040246,0.078799", \ - "0.003237,0.005072,0.007078,0.011535,0.021014,0.040246,0.078800", \ - "0.003237,0.005072,0.007078,0.011535,0.021015,0.040241,0.078790", \ - "0.003254,0.005085,0.007087,0.011540,0.021015,0.040241,0.078786", \ - "0.003417,0.005232,0.007192,0.011586,0.021029,0.040245,0.078784", \ - "0.003634,0.005436,0.007351,0.011668,0.021058,0.040261,0.078794", \ - "0.003932,0.005719,0.007591,0.011801,0.021104,0.040271,0.078796"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.030290,0.032997,0.035349,0.039499,0.047138,0.062019,0.091703", \ - "0.031029,0.033735,0.036087,0.040238,0.047877,0.062757,0.092441", \ - "0.033799,0.036505,0.038858,0.043008,0.050647,0.065527,0.095211", \ - "0.038399,0.041116,0.043473,0.047628,0.055268,0.070146,0.099828", \ - "0.042531,0.045280,0.047655,0.051825,0.059467,0.074334,0.104009", \ - "0.045648,0.048466,0.050882,0.055092,0.062748,0.077603,0.107259", \ - "0.047422,0.050342,0.052827,0.057113,0.064804,0.079644,0.109280"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041475,0.044548,0.047277,0.052434,0.062678,0.083253,0.124460", \ - "0.042396,0.045469,0.048198,0.053355,0.063600,0.084176,0.125381", \ - "0.044931,0.048004,0.050733,0.055890,0.066135,0.086711,0.127919", \ - "0.050487,0.053561,0.056290,0.061440,0.071674,0.092242,0.133447", \ - "0.058957,0.062072,0.064817,0.069959,0.080166,0.100706,0.141892", \ - "0.069669,0.072836,0.075614,0.080759,0.090938,0.111444,0.152604", \ - "0.082360,0.085595,0.088427,0.093588,0.103733,0.124192,0.165317"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003004,0.004274,0.005614,0.008402,0.014388,0.027019,0.052686", \ - "0.003003,0.004274,0.005614,0.008402,0.014388,0.027020,0.052688", \ - "0.003004,0.004275,0.005614,0.008402,0.014388,0.027019,0.052689", \ - "0.003078,0.004338,0.005666,0.008435,0.014402,0.027022,0.052686", \ - "0.003265,0.004496,0.005797,0.008523,0.014442,0.027034,0.052693", \ - "0.003575,0.004774,0.006035,0.008689,0.014521,0.027056,0.052695", \ - "0.003970,0.005154,0.006379,0.008947,0.014650,0.027097,0.052706"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003237,0.005072,0.007078,0.011535,0.021012,0.040244,0.078800", \ - "0.003236,0.005072,0.007079,0.011534,0.021013,0.040240,0.078800", \ - "0.003237,0.005071,0.007078,0.011534,0.021013,0.040243,0.078796", \ - "0.003253,0.005085,0.007087,0.011539,0.021016,0.040248,0.078793", \ - "0.003411,0.005227,0.007189,0.011586,0.021029,0.040249,0.078780", \ - "0.003616,0.005419,0.007338,0.011662,0.021053,0.040257,0.078789", \ - "0.003896,0.005685,0.007562,0.011784,0.021099,0.040274,0.078803"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.044065,0.046852,0.049256,0.053462,0.061130,0.076011,0.105686", \ - "0.044840,0.047627,0.050031,0.054236,0.061905,0.076785,0.106459", \ - "0.045879,0.048665,0.051069,0.055274,0.062944,0.077823,0.107499", \ - "0.047065,0.049853,0.052257,0.056462,0.064131,0.079011,0.108686", \ - "0.048600,0.051407,0.053823,0.058042,0.065716,0.080593,0.110267", \ - "0.049882,0.052735,0.055182,0.059434,0.067128,0.082002,0.111664", \ - "0.049530,0.052459,0.054958,0.059270,0.066990,0.081859,0.111514"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045852,0.049115,0.051972,0.057161,0.067346,0.087838,0.128982", \ - "0.046347,0.049611,0.052468,0.057656,0.067842,0.088333,0.129481", \ - "0.049352,0.052617,0.055474,0.060662,0.070847,0.091339,0.132484", \ - "0.056832,0.060097,0.062956,0.068142,0.078325,0.098811,0.139958", \ - "0.068760,0.072065,0.074957,0.080143,0.090284,0.110711,0.151819", \ - "0.082884,0.086277,0.089260,0.094499,0.104606,0.124966,0.166012", \ - "0.099336,0.102825,0.105907,0.111236,0.121323,0.141616,0.182584"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003337,0.004579,0.005881,0.008598,0.014492,0.027061,0.052700", \ - "0.003337,0.004579,0.005882,0.008598,0.014492,0.027061,0.052702", \ - "0.003337,0.004579,0.005882,0.008598,0.014492,0.027061,0.052700", \ - "0.003349,0.004590,0.005890,0.008603,0.014494,0.027061,0.052701", \ - "0.003436,0.004669,0.005960,0.008654,0.014518,0.027070,0.052702", \ - "0.003636,0.004855,0.006126,0.008777,0.014583,0.027093,0.052711", \ - "0.003949,0.005154,0.006399,0.008989,0.014695,0.027133,0.052733"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003963,0.005751,0.007618,0.011822,0.021121,0.040286,0.078802", \ - "0.003963,0.005750,0.007619,0.011823,0.021125,0.040285,0.078800", \ - "0.003963,0.005751,0.007619,0.011821,0.021121,0.040282,0.078805", \ - "0.003973,0.005758,0.007624,0.011824,0.021123,0.040285,0.078796", \ - "0.004214,0.005968,0.007802,0.011917,0.021154,0.040287,0.078814", \ - "0.004658,0.006377,0.008183,0.012151,0.021250,0.040315,0.078822", \ - "0.005160,0.006835,0.008635,0.012462,0.021394,0.040358,0.078829"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040196,0.042967,0.045363,0.049559,0.057223,0.072106,0.101783", \ - "0.040942,0.043715,0.046110,0.050306,0.057971,0.072853,0.102530", \ - "0.041938,0.044710,0.047106,0.051302,0.058967,0.073849,0.103524", \ - "0.043057,0.045833,0.048231,0.052428,0.060093,0.074973,0.104651", \ - "0.044426,0.047226,0.049638,0.053851,0.061523,0.076400,0.106073", \ - "0.045188,0.048043,0.050491,0.054743,0.062437,0.077310,0.106975", \ - "0.044099,0.047038,0.049543,0.053864,0.061586,0.076456,0.106110"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.044169,0.047355,0.050148,0.055312,0.065513,0.086038,0.127214", \ - "0.044665,0.047851,0.050644,0.055808,0.066008,0.086534,0.127709", \ - "0.047676,0.050861,0.053654,0.058818,0.069018,0.089543,0.130718", \ - "0.055172,0.058358,0.061152,0.066313,0.076511,0.097029,0.138202", \ - "0.066919,0.070147,0.072969,0.078125,0.088280,0.108747,0.149888", \ - "0.080740,0.084048,0.086943,0.092126,0.102238,0.122644,0.163733", \ - "0.096876,0.100270,0.103258,0.108499,0.118574,0.138918,0.179940"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003270,0.004519,0.005829,0.008559,0.014473,0.027054,0.052698", \ - "0.003270,0.004518,0.005828,0.008559,0.014473,0.027054,0.052698", \ - "0.003270,0.004519,0.005828,0.008559,0.014473,0.027054,0.052698", \ - "0.003298,0.004542,0.005847,0.008572,0.014478,0.027056,0.052698", \ - "0.003401,0.004637,0.005931,0.008632,0.014508,0.027065,0.052701", \ - "0.003636,0.004857,0.006127,0.008778,0.014584,0.027093,0.052710", \ - "0.003981,0.005188,0.006430,0.009013,0.014708,0.027137,0.052735"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003644,0.005450,0.007364,0.011678,0.021063,0.040262,0.078789", \ - "0.003643,0.005450,0.007365,0.011678,0.021062,0.040262,0.078788", \ - "0.003644,0.005449,0.007365,0.011679,0.021062,0.040262,0.078794", \ - "0.003650,0.005456,0.007370,0.011681,0.021062,0.040267,0.078805", \ - "0.003869,0.005651,0.007525,0.011758,0.021084,0.040274,0.078795", \ - "0.004239,0.005993,0.007825,0.011927,0.021150,0.040286,0.078799", \ - "0.004668,0.006391,0.008201,0.012162,0.021246,0.040314,0.078804"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.036321,0.039056,0.041428,0.045598,0.053249,0.068130,0.097811", \ - "0.037151,0.039888,0.042259,0.046430,0.054081,0.068962,0.098643", \ - "0.038836,0.041572,0.043943,0.048114,0.055765,0.070645,0.100326", \ - "0.040920,0.043663,0.046038,0.050211,0.057862,0.072740,0.102419", \ - "0.042985,0.045754,0.048145,0.052335,0.059993,0.074868,0.104543", \ - "0.043881,0.046713,0.049144,0.053374,0.061052,0.075921,0.105582", \ - "0.042590,0.045521,0.048018,0.052323,0.060031,0.074892,0.104539"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045153,0.048344,0.051140,0.056303,0.066500,0.087022,0.128192", \ - "0.045655,0.048846,0.051642,0.056805,0.067002,0.087524,0.128694", \ - "0.048683,0.051873,0.054669,0.059832,0.070029,0.090551,0.131722", \ - "0.056272,0.059463,0.062259,0.067421,0.077615,0.098133,0.139300", \ - "0.068317,0.071545,0.074367,0.079522,0.089671,0.110140,0.151276", \ - "0.082490,0.085795,0.088689,0.093870,0.103978,0.124384,0.165473", \ - "0.098980,0.102370,0.105353,0.110590,0.120658,0.141002,0.182027"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003121,0.004381,0.005707,0.008470,0.014425,0.027035,0.052692", \ - "0.003121,0.004381,0.005708,0.008471,0.014425,0.027035,0.052692", \ - "0.003121,0.004382,0.005707,0.008471,0.014425,0.027034,0.052693", \ - "0.003161,0.004415,0.005735,0.008488,0.014433,0.027036,0.052694", \ - "0.003295,0.004535,0.005837,0.008560,0.014468,0.027047,0.052694", \ - "0.003576,0.004790,0.006062,0.008722,0.014549,0.027076,0.052704", \ - "0.003968,0.005164,0.006401,0.008980,0.014681,0.027120,0.052725"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003667,0.005471,0.007382,0.011687,0.021068,0.040263,0.078809", \ - "0.003667,0.005471,0.007382,0.011687,0.021068,0.040265,0.078802", \ - "0.003668,0.005471,0.007382,0.011687,0.021066,0.040262,0.078798", \ - "0.003673,0.005476,0.007385,0.011689,0.021069,0.040264,0.078788", \ - "0.003872,0.005652,0.007526,0.011756,0.021087,0.040269,0.078801", \ - "0.004231,0.005987,0.007820,0.011922,0.021147,0.040281,0.078814", \ - "0.004651,0.006374,0.008185,0.012151,0.021245,0.040311,0.078804"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040196,0.042967,0.045363,0.049559,0.057223,0.072106,0.101783", \ - "0.040942,0.043715,0.046110,0.050306,0.057971,0.072853,0.102530", \ - "0.041938,0.044710,0.047106,0.051302,0.058967,0.073849,0.103524", \ - "0.043057,0.045833,0.048231,0.052428,0.060093,0.074973,0.104651", \ - "0.044426,0.047226,0.049638,0.053851,0.061523,0.076400,0.106073", \ - "0.045188,0.048043,0.050491,0.054743,0.062437,0.077310,0.106975", \ - "0.044099,0.047038,0.049543,0.053864,0.061586,0.076456,0.106110"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.044169,0.047355,0.050148,0.055312,0.065513,0.086038,0.127214", \ - "0.044665,0.047851,0.050644,0.055808,0.066008,0.086534,0.127709", \ - "0.047676,0.050861,0.053654,0.058818,0.069018,0.089543,0.130718", \ - "0.055172,0.058358,0.061152,0.066313,0.076511,0.097029,0.138202", \ - "0.066919,0.070147,0.072969,0.078125,0.088280,0.108747,0.149888", \ - "0.080740,0.084048,0.086943,0.092126,0.102238,0.122644,0.163733", \ - "0.096876,0.100270,0.103258,0.108499,0.118574,0.138918,0.179940"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003270,0.004519,0.005829,0.008559,0.014473,0.027054,0.052698", \ - "0.003270,0.004518,0.005828,0.008559,0.014473,0.027054,0.052698", \ - "0.003270,0.004519,0.005828,0.008559,0.014473,0.027054,0.052698", \ - "0.003298,0.004542,0.005847,0.008572,0.014478,0.027056,0.052698", \ - "0.003401,0.004637,0.005931,0.008632,0.014508,0.027065,0.052701", \ - "0.003636,0.004857,0.006127,0.008778,0.014584,0.027093,0.052710", \ - "0.003981,0.005188,0.006430,0.009013,0.014708,0.027137,0.052735"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003644,0.005450,0.007364,0.011678,0.021063,0.040262,0.078789", \ - "0.003643,0.005450,0.007365,0.011678,0.021062,0.040262,0.078788", \ - "0.003644,0.005449,0.007365,0.011679,0.021062,0.040262,0.078794", \ - "0.003650,0.005456,0.007370,0.011681,0.021062,0.040267,0.078805", \ - "0.003869,0.005651,0.007525,0.011758,0.021084,0.040274,0.078795", \ - "0.004239,0.005993,0.007825,0.011927,0.021150,0.040286,0.078799", \ - "0.004668,0.006391,0.008201,0.012162,0.021246,0.040314,0.078804"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.036391,0.039149,0.041535,0.045722,0.053381,0.068264,0.097943", \ - "0.037100,0.039857,0.042243,0.046429,0.054090,0.068973,0.098653", \ - "0.038039,0.040797,0.043183,0.047369,0.055030,0.069912,0.099591", \ - "0.039044,0.041812,0.044204,0.048395,0.056057,0.070937,0.100617", \ - "0.040166,0.042961,0.045369,0.049579,0.057250,0.072127,0.101801", \ - "0.040267,0.043126,0.045577,0.049834,0.057529,0.072403,0.102067", \ - "0.038358,0.041313,0.043831,0.048167,0.055902,0.070767,0.100417"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042482,0.045577,0.048316,0.053468,0.063696,0.084258,0.125453", \ - "0.042976,0.046072,0.048811,0.053963,0.064192,0.084752,0.125948", \ - "0.045990,0.049086,0.051825,0.056976,0.067205,0.087765,0.128961", \ - "0.053503,0.056600,0.059338,0.064487,0.074711,0.095267,0.136460", \ - "0.065044,0.068181,0.070937,0.076072,0.086255,0.106767,0.147931", \ - "0.078557,0.081765,0.084569,0.089709,0.099840,0.120296,0.161427", \ - "0.094369,0.097658,0.100534,0.105702,0.115784,0.136182,0.177259"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003197,0.004453,0.005772,0.008519,0.014453,0.027047,0.052696", \ - "0.003197,0.004453,0.005772,0.008519,0.014453,0.027047,0.052695", \ - "0.003198,0.004453,0.005772,0.008519,0.014453,0.027047,0.052697", \ - "0.003245,0.004495,0.005806,0.008542,0.014463,0.027050,0.052696", \ - "0.003376,0.004614,0.005911,0.008617,0.014501,0.027061,0.052701", \ - "0.003654,0.004874,0.006143,0.008790,0.014590,0.027096,0.052712", \ - "0.004041,0.005247,0.006485,0.009057,0.014732,0.027143,0.052739"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003329,0.005154,0.007137,0.011563,0.021024,0.040247,0.078786", \ - "0.003329,0.005154,0.007137,0.011564,0.021021,0.040246,0.078783", \ - "0.003330,0.005154,0.007137,0.011562,0.021020,0.040249,0.078792", \ - "0.003335,0.005159,0.007141,0.011566,0.021024,0.040247,0.078798", \ - "0.003521,0.005324,0.007261,0.011620,0.021039,0.040255,0.078787", \ - "0.003809,0.005591,0.007474,0.011726,0.021074,0.040261,0.078791", \ - "0.004156,0.005919,0.007761,0.011887,0.021131,0.040279,0.078804"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033126,0.035851,0.038215,0.042378,0.050026,0.064908,0.094590", \ - "0.033927,0.036650,0.039014,0.043177,0.050825,0.065707,0.095389", \ - "0.035560,0.038284,0.040648,0.044811,0.052459,0.067340,0.097023", \ - "0.037445,0.040180,0.042550,0.046719,0.054367,0.069246,0.098926", \ - "0.039058,0.041826,0.044216,0.048404,0.056061,0.070935,0.100610", \ - "0.039165,0.042009,0.044446,0.048684,0.056361,0.071229,0.100888", \ - "0.037017,0.039967,0.042480,0.046804,0.054528,0.069385,0.099029"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043457,0.046558,0.049298,0.054448,0.064672,0.085230,0.126421", \ - "0.043958,0.047059,0.049800,0.054950,0.065173,0.085731,0.126926", \ - "0.046989,0.050090,0.052831,0.057981,0.068205,0.088761,0.129956", \ - "0.054595,0.057696,0.060437,0.065586,0.075806,0.096359,0.137550", \ - "0.066454,0.069593,0.072349,0.077484,0.087661,0.108173,0.149341", \ - "0.080326,0.083533,0.086336,0.091475,0.101602,0.122059,0.163189", \ - "0.096487,0.099773,0.102646,0.107811,0.117910,0.138300,0.179378"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003058,0.004325,0.005660,0.008438,0.014409,0.027028,0.052691", \ - "0.003057,0.004325,0.005660,0.008438,0.014409,0.027028,0.052691", \ - "0.003058,0.004326,0.005661,0.008438,0.014409,0.027029,0.052690", \ - "0.003118,0.004378,0.005704,0.008467,0.014422,0.027032,0.052692", \ - "0.003291,0.004529,0.005833,0.008556,0.014465,0.027045,0.052694", \ - "0.003614,0.004827,0.006093,0.008744,0.014560,0.027080,0.052705", \ - "0.004040,0.005239,0.006469,0.009034,0.014710,0.027129,0.052729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003351,0.005174,0.007151,0.011569,0.021024,0.040246,0.078782", \ - "0.003350,0.005173,0.007151,0.011569,0.021026,0.040249,0.078796", \ - "0.003351,0.005174,0.007152,0.011569,0.021022,0.040249,0.078801", \ - "0.003354,0.005177,0.007153,0.011571,0.021024,0.040249,0.078786", \ - "0.003526,0.005328,0.007264,0.011621,0.021037,0.040252,0.078795", \ - "0.003808,0.005589,0.007472,0.011725,0.021070,0.040263,0.078797", \ - "0.004146,0.005909,0.007751,0.011881,0.021125,0.040277,0.078790"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.036321,0.039056,0.041428,0.045598,0.053249,0.068130,0.097811", \ - "0.037151,0.039888,0.042259,0.046430,0.054081,0.068962,0.098643", \ - "0.038836,0.041572,0.043943,0.048114,0.055765,0.070645,0.100326", \ - "0.040920,0.043663,0.046038,0.050211,0.057862,0.072740,0.102419", \ - "0.042985,0.045754,0.048145,0.052335,0.059993,0.074868,0.104543", \ - "0.043881,0.046713,0.049144,0.053374,0.061052,0.075921,0.105582", \ - "0.042590,0.045521,0.048018,0.052323,0.060031,0.074892,0.104539"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045153,0.048344,0.051140,0.056303,0.066500,0.087022,0.128192", \ - "0.045655,0.048846,0.051642,0.056805,0.067002,0.087524,0.128694", \ - "0.048683,0.051873,0.054669,0.059832,0.070029,0.090551,0.131722", \ - "0.056272,0.059463,0.062259,0.067421,0.077615,0.098133,0.139300", \ - "0.068317,0.071545,0.074367,0.079522,0.089671,0.110140,0.151276", \ - "0.082490,0.085795,0.088689,0.093870,0.103978,0.124384,0.165473", \ - "0.098980,0.102370,0.105353,0.110590,0.120658,0.141002,0.182027"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003121,0.004381,0.005707,0.008470,0.014425,0.027035,0.052692", \ - "0.003121,0.004381,0.005708,0.008471,0.014425,0.027035,0.052692", \ - "0.003121,0.004382,0.005707,0.008471,0.014425,0.027034,0.052693", \ - "0.003161,0.004415,0.005735,0.008488,0.014433,0.027036,0.052694", \ - "0.003295,0.004535,0.005837,0.008560,0.014468,0.027047,0.052694", \ - "0.003576,0.004790,0.006062,0.008722,0.014549,0.027076,0.052704", \ - "0.003968,0.005164,0.006401,0.008980,0.014681,0.027120,0.052725"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003667,0.005471,0.007382,0.011687,0.021068,0.040263,0.078809", \ - "0.003667,0.005471,0.007382,0.011687,0.021068,0.040265,0.078802", \ - "0.003668,0.005471,0.007382,0.011687,0.021066,0.040262,0.078798", \ - "0.003673,0.005476,0.007385,0.011689,0.021069,0.040264,0.078788", \ - "0.003872,0.005652,0.007526,0.011756,0.021087,0.040269,0.078801", \ - "0.004231,0.005987,0.007820,0.011922,0.021147,0.040281,0.078814", \ - "0.004651,0.006374,0.008185,0.012151,0.021245,0.040311,0.078804"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033126,0.035851,0.038215,0.042378,0.050026,0.064908,0.094590", \ - "0.033927,0.036650,0.039014,0.043177,0.050825,0.065707,0.095389", \ - "0.035560,0.038284,0.040648,0.044811,0.052459,0.067340,0.097023", \ - "0.037445,0.040180,0.042550,0.046719,0.054367,0.069246,0.098926", \ - "0.039058,0.041826,0.044216,0.048404,0.056061,0.070935,0.100610", \ - "0.039165,0.042009,0.044446,0.048684,0.056361,0.071229,0.100888", \ - "0.037017,0.039967,0.042480,0.046804,0.054528,0.069385,0.099029"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043457,0.046558,0.049298,0.054448,0.064672,0.085230,0.126421", \ - "0.043958,0.047059,0.049800,0.054950,0.065173,0.085731,0.126926", \ - "0.046989,0.050090,0.052831,0.057981,0.068205,0.088761,0.129956", \ - "0.054595,0.057696,0.060437,0.065586,0.075806,0.096359,0.137550", \ - "0.066454,0.069593,0.072349,0.077484,0.087661,0.108173,0.149341", \ - "0.080326,0.083533,0.086336,0.091475,0.101602,0.122059,0.163189", \ - "0.096487,0.099773,0.102646,0.107811,0.117910,0.138300,0.179378"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003058,0.004325,0.005660,0.008438,0.014409,0.027028,0.052691", \ - "0.003057,0.004325,0.005660,0.008438,0.014409,0.027028,0.052691", \ - "0.003058,0.004326,0.005661,0.008438,0.014409,0.027029,0.052690", \ - "0.003118,0.004378,0.005704,0.008467,0.014422,0.027032,0.052692", \ - "0.003291,0.004529,0.005833,0.008556,0.014465,0.027045,0.052694", \ - "0.003614,0.004827,0.006093,0.008744,0.014560,0.027080,0.052705", \ - "0.004040,0.005239,0.006469,0.009034,0.014710,0.027129,0.052729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003351,0.005174,0.007151,0.011569,0.021024,0.040246,0.078782", \ - "0.003350,0.005173,0.007151,0.011569,0.021026,0.040249,0.078796", \ - "0.003351,0.005174,0.007152,0.011569,0.021022,0.040249,0.078801", \ - "0.003354,0.005177,0.007153,0.011571,0.021024,0.040249,0.078786", \ - "0.003526,0.005328,0.007264,0.011621,0.021037,0.040252,0.078795", \ - "0.003808,0.005589,0.007472,0.011725,0.021070,0.040263,0.078797", \ - "0.004146,0.005909,0.007751,0.011881,0.021125,0.040277,0.078790"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.029812,0.032518,0.034870,0.039021,0.046661,0.061541,0.091226", \ - "0.030633,0.033340,0.035692,0.039843,0.047483,0.062363,0.092049", \ - "0.032746,0.035454,0.037807,0.041958,0.049598,0.064478,0.094162", \ - "0.035687,0.038406,0.040766,0.044925,0.052567,0.067443,0.097122", \ - "0.037760,0.040523,0.042908,0.047088,0.054737,0.069606,0.099277", \ - "0.037873,0.040723,0.043162,0.047398,0.055071,0.069930,0.099584", \ - "0.035532,0.038500,0.041022,0.045356,0.053081,0.067932,0.097564"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045027,0.048129,0.050869,0.056016,0.066235,0.086786,0.127978", \ - "0.045546,0.048647,0.051387,0.056534,0.066753,0.087304,0.128498", \ - "0.048632,0.051734,0.054474,0.059620,0.069839,0.090391,0.131584", \ - "0.056302,0.059405,0.062145,0.067290,0.077506,0.098054,0.139247", \ - "0.068428,0.071564,0.074319,0.079450,0.089622,0.110130,0.151291", \ - "0.082625,0.085830,0.088630,0.093766,0.103893,0.124347,0.165472", \ - "0.099173,0.102455,0.105324,0.110484,0.120572,0.140961,0.182036"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.002986,0.004260,0.005602,0.008394,0.014386,0.027019,0.052688", \ - "0.002986,0.004260,0.005602,0.008394,0.014385,0.027019,0.052687", \ - "0.002987,0.004261,0.005603,0.008395,0.014386,0.027019,0.052690", \ - "0.003078,0.004338,0.005667,0.008438,0.014404,0.027024,0.052690", \ - "0.003305,0.004534,0.005832,0.008550,0.014457,0.027039,0.052692", \ - "0.003675,0.004873,0.006128,0.008762,0.014562,0.027076,0.052702", \ - "0.004131,0.005321,0.006540,0.009082,0.014729,0.027129,0.052723"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003361,0.005182,0.007157,0.011572,0.021023,0.040251,0.078795", \ - "0.003362,0.005182,0.007157,0.011572,0.021022,0.040250,0.078791", \ - "0.003362,0.005182,0.007157,0.011572,0.021026,0.040252,0.078784", \ - "0.003366,0.005186,0.007160,0.011572,0.021025,0.040249,0.078784", \ - "0.003527,0.005327,0.007261,0.011619,0.021036,0.040251,0.078790", \ - "0.003809,0.005588,0.007470,0.011723,0.021070,0.040261,0.078800", \ - "0.004146,0.005904,0.007745,0.011876,0.021124,0.040278,0.078802"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047561,0.050361,0.052773,0.056988,0.064661,0.079540,0.109213", \ - "0.048171,0.050972,0.053384,0.057599,0.065272,0.080151,0.109824", \ - "0.049292,0.052093,0.054505,0.058720,0.066393,0.081272,0.110945", \ - "0.050550,0.053353,0.055766,0.059980,0.067653,0.082531,0.112203", \ - "0.052327,0.055144,0.057566,0.061791,0.069468,0.084346,0.114016", \ - "0.054397,0.057247,0.059692,0.063941,0.071633,0.086507,0.116168", \ - "0.055685,0.058599,0.061087,0.065384,0.073092,0.087962,0.117615"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.048028,0.051292,0.054149,0.059337,0.069523,0.090014,0.131163", \ - "0.048916,0.052180,0.055037,0.060225,0.070411,0.090902,0.132050", \ - "0.051518,0.054781,0.057639,0.062827,0.073012,0.093503,0.134649", \ - "0.056888,0.060153,0.063011,0.068199,0.078378,0.098863,0.140012", \ - "0.065751,0.069049,0.071935,0.077124,0.087279,0.107727,0.148846", \ - "0.077095,0.080450,0.083398,0.088622,0.098772,0.119180,0.160258", \ - "0.090857,0.094283,0.097305,0.102582,0.112698,0.133058,0.174087"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003402,0.004638,0.005934,0.008635,0.014511,0.027068,0.052704", \ - "0.003402,0.004639,0.005934,0.008635,0.014510,0.027068,0.052703", \ - "0.003403,0.004639,0.005934,0.008635,0.014510,0.027068,0.052703", \ - "0.003410,0.004645,0.005939,0.008639,0.014512,0.027068,0.052704", \ - "0.003480,0.004710,0.005996,0.008680,0.014532,0.027076,0.052705", \ - "0.003634,0.004851,0.006121,0.008772,0.014579,0.027090,0.052710", \ - "0.003899,0.005103,0.006351,0.008948,0.014671,0.027123,0.052722"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003963,0.005751,0.007619,0.011823,0.021125,0.040284,0.078800", \ - "0.003963,0.005751,0.007619,0.011821,0.021121,0.040286,0.078801", \ - "0.003963,0.005751,0.007619,0.011821,0.021121,0.040289,0.078801", \ - "0.003972,0.005757,0.007624,0.011825,0.021121,0.040282,0.078796", \ - "0.004152,0.005918,0.007762,0.011897,0.021149,0.040294,0.078803", \ - "0.004442,0.006188,0.008012,0.012052,0.021215,0.040306,0.078811", \ - "0.004807,0.006524,0.008335,0.012264,0.021317,0.040339,0.078810"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043655,0.046442,0.048846,0.053051,0.060720,0.075601,0.105274", \ - "0.044260,0.047046,0.049450,0.053656,0.061325,0.076205,0.105879", \ - "0.045362,0.048149,0.050552,0.054758,0.062427,0.077307,0.106983", \ - "0.046568,0.049356,0.051762,0.055968,0.063638,0.078518,0.108193", \ - "0.048207,0.051014,0.053431,0.057649,0.065324,0.080201,0.109874", \ - "0.049930,0.052777,0.055221,0.059468,0.067154,0.082027,0.111688", \ - "0.050661,0.053579,0.056070,0.060372,0.068082,0.082949,0.112602"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.046346,0.049532,0.052325,0.057489,0.067690,0.088215,0.129390", \ - "0.047234,0.050420,0.053213,0.058377,0.068578,0.089104,0.130276", \ - "0.049837,0.053023,0.055816,0.060980,0.071181,0.091705,0.132879", \ - "0.055213,0.058399,0.061192,0.066352,0.076549,0.097071,0.138243", \ - "0.063963,0.067183,0.070001,0.075161,0.085329,0.105817,0.146967", \ - "0.075134,0.078409,0.081276,0.086454,0.096608,0.117056,0.158177", \ - "0.088665,0.092007,0.094942,0.100154,0.110266,0.130660,0.171735"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003338,0.004580,0.005882,0.008598,0.014492,0.027061,0.052701", \ - "0.003338,0.004580,0.005883,0.008598,0.014492,0.027061,0.052702", \ - "0.003338,0.004580,0.005883,0.008598,0.014492,0.027061,0.052699", \ - "0.003355,0.004595,0.005895,0.008607,0.014496,0.027062,0.052701", \ - "0.003437,0.004670,0.005961,0.008654,0.014519,0.027069,0.052703", \ - "0.003619,0.004838,0.006109,0.008764,0.014575,0.027089,0.052709", \ - "0.003913,0.005120,0.006365,0.008960,0.014677,0.027125,0.052723"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003644,0.005450,0.007365,0.011678,0.021062,0.040262,0.078798", \ - "0.003644,0.005450,0.007365,0.011678,0.021065,0.040267,0.078809", \ - "0.003644,0.005450,0.007365,0.011678,0.021063,0.040262,0.078796", \ - "0.003651,0.005456,0.007370,0.011682,0.021064,0.040268,0.078804", \ - "0.003813,0.005604,0.007488,0.011742,0.021081,0.040265,0.078807", \ - "0.004059,0.005836,0.007691,0.011857,0.021130,0.040279,0.078808", \ - "0.004379,0.006133,0.007963,0.012023,0.021198,0.040301,0.078812"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.039233,0.041981,0.044359,0.048537,0.056191,0.071072,0.100752", \ - "0.039946,0.042692,0.045071,0.049249,0.056903,0.071783,0.101462", \ - "0.041714,0.044461,0.046840,0.051017,0.058672,0.073552,0.103231", \ - "0.043924,0.046675,0.049056,0.053236,0.060890,0.075768,0.105448", \ - "0.046404,0.049178,0.051571,0.055764,0.063423,0.078297,0.107971", \ - "0.048389,0.051211,0.053635,0.057857,0.065528,0.080396,0.110058", \ - "0.048961,0.051865,0.054343,0.058626,0.066328,0.081187,0.110835"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047331,0.050522,0.053318,0.058482,0.068679,0.089199,0.130370", \ - "0.048229,0.051420,0.054216,0.059379,0.069577,0.090097,0.131269", \ - "0.050812,0.054003,0.056799,0.061962,0.072160,0.092681,0.133849", \ - "0.056258,0.059448,0.062245,0.067404,0.077596,0.098114,0.139280", \ - "0.065268,0.068491,0.071309,0.076470,0.086632,0.107116,0.148262", \ - "0.076780,0.080056,0.082922,0.088100,0.098254,0.118701,0.159817", \ - "0.090722,0.094061,0.096992,0.102202,0.112306,0.132711,0.173786"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003181,0.004434,0.005753,0.008502,0.014441,0.027040,0.052693", \ - "0.003180,0.004434,0.005753,0.008502,0.014441,0.027040,0.052695", \ - "0.003180,0.004433,0.005753,0.008502,0.014441,0.027040,0.052694", \ - "0.003206,0.004456,0.005771,0.008514,0.014446,0.027041,0.052694", \ - "0.003314,0.004551,0.005853,0.008571,0.014473,0.027050,0.052696", \ - "0.003541,0.004756,0.006030,0.008698,0.014536,0.027071,0.052701", \ - "0.003883,0.005079,0.006320,0.008914,0.014646,0.027107,0.052714"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003667,0.005471,0.007382,0.011687,0.021069,0.040267,0.078795", \ - "0.003667,0.005471,0.007382,0.011687,0.021068,0.040263,0.078805", \ - "0.003667,0.005471,0.007381,0.011688,0.021069,0.040264,0.078801", \ - "0.003672,0.005476,0.007385,0.011689,0.021068,0.040262,0.078788", \ - "0.003825,0.005613,0.007495,0.011745,0.021083,0.040275,0.078804", \ - "0.004062,0.005838,0.007691,0.011857,0.021126,0.040283,0.078813", \ - "0.004368,0.006123,0.007953,0.012015,0.021197,0.040297,0.078803"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043655,0.046442,0.048846,0.053051,0.060720,0.075601,0.105274", \ - "0.044260,0.047046,0.049450,0.053656,0.061325,0.076205,0.105879", \ - "0.045362,0.048149,0.050552,0.054758,0.062427,0.077307,0.106983", \ - "0.046568,0.049356,0.051762,0.055968,0.063638,0.078518,0.108193", \ - "0.048207,0.051014,0.053431,0.057649,0.065324,0.080201,0.109874", \ - "0.049930,0.052777,0.055221,0.059468,0.067154,0.082027,0.111688", \ - "0.050661,0.053579,0.056070,0.060372,0.068082,0.082949,0.112602"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.046346,0.049532,0.052325,0.057489,0.067690,0.088215,0.129390", \ - "0.047234,0.050420,0.053213,0.058377,0.068578,0.089104,0.130276", \ - "0.049837,0.053023,0.055816,0.060980,0.071181,0.091705,0.132879", \ - "0.055213,0.058399,0.061192,0.066352,0.076549,0.097071,0.138243", \ - "0.063963,0.067183,0.070001,0.075161,0.085329,0.105817,0.146967", \ - "0.075134,0.078409,0.081276,0.086454,0.096608,0.117056,0.158177", \ - "0.088665,0.092007,0.094942,0.100154,0.110266,0.130660,0.171735"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003338,0.004580,0.005882,0.008598,0.014492,0.027061,0.052701", \ - "0.003338,0.004580,0.005883,0.008598,0.014492,0.027061,0.052702", \ - "0.003338,0.004580,0.005883,0.008598,0.014492,0.027061,0.052699", \ - "0.003355,0.004595,0.005895,0.008607,0.014496,0.027062,0.052701", \ - "0.003437,0.004670,0.005961,0.008654,0.014519,0.027069,0.052703", \ - "0.003619,0.004838,0.006109,0.008764,0.014575,0.027089,0.052709", \ - "0.003913,0.005120,0.006365,0.008960,0.014677,0.027125,0.052723"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003644,0.005450,0.007365,0.011678,0.021062,0.040262,0.078798", \ - "0.003644,0.005450,0.007365,0.011678,0.021065,0.040267,0.078809", \ - "0.003644,0.005450,0.007365,0.011678,0.021063,0.040262,0.078796", \ - "0.003651,0.005456,0.007370,0.011682,0.021064,0.040268,0.078804", \ - "0.003813,0.005604,0.007488,0.011742,0.021081,0.040265,0.078807", \ - "0.004059,0.005836,0.007691,0.011857,0.021130,0.040279,0.078808", \ - "0.004379,0.006133,0.007963,0.012023,0.021198,0.040301,0.078812"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.039798,0.042570,0.044965,0.049162,0.056827,0.071709,0.101386", \ - "0.040395,0.043167,0.045562,0.049759,0.057424,0.072306,0.101983", \ - "0.041474,0.044247,0.046642,0.050839,0.058504,0.073386,0.103064", \ - "0.042585,0.045364,0.047762,0.051961,0.059627,0.074507,0.104184", \ - "0.044048,0.046847,0.049258,0.053471,0.061143,0.076021,0.105694", \ - "0.045311,0.048159,0.050603,0.054850,0.062536,0.077410,0.107072", \ - "0.045425,0.048354,0.050852,0.055162,0.062870,0.077745,0.107396"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.044658,0.047754,0.050493,0.055644,0.065873,0.086434,0.127628", \ - "0.045545,0.048641,0.051380,0.056531,0.066760,0.087321,0.128516", \ - "0.048148,0.051244,0.053983,0.059134,0.069363,0.089924,0.131123", \ - "0.053531,0.056627,0.059366,0.064512,0.074736,0.095291,0.136487", \ - "0.062155,0.065285,0.068039,0.073181,0.083376,0.103902,0.145078", \ - "0.073146,0.076328,0.079115,0.084260,0.094434,0.114926,0.156080", \ - "0.086441,0.089685,0.092524,0.097685,0.107806,0.128259,0.169375"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003271,0.004520,0.005829,0.008560,0.014473,0.027054,0.052698", \ - "0.003271,0.004519,0.005829,0.008560,0.014473,0.027054,0.052698", \ - "0.003271,0.004520,0.005829,0.008560,0.014473,0.027055,0.052699", \ - "0.003303,0.004546,0.005852,0.008575,0.014480,0.027056,0.052697", \ - "0.003400,0.004636,0.005930,0.008632,0.014508,0.027064,0.052700", \ - "0.003618,0.004838,0.006109,0.008763,0.014575,0.027089,0.052709", \ - "0.003951,0.005154,0.006396,0.008983,0.014690,0.027129,0.052725"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003329,0.005154,0.007138,0.011562,0.021020,0.040244,0.078792", \ - "0.003329,0.005154,0.007138,0.011564,0.021021,0.040247,0.078784", \ - "0.003330,0.005154,0.007138,0.011562,0.021021,0.040249,0.078784", \ - "0.003335,0.005159,0.007141,0.011564,0.021023,0.040252,0.078801", \ - "0.003476,0.005286,0.007233,0.011608,0.021035,0.040253,0.078782", \ - "0.003677,0.005475,0.007383,0.011686,0.021064,0.040261,0.078802", \ - "0.003939,0.005725,0.007596,0.011803,0.021104,0.040276,0.078790"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.035989,0.038726,0.041097,0.045268,0.052919,0.067800,0.097481", \ - "0.036694,0.039431,0.041803,0.045973,0.053625,0.068506,0.098187", \ - "0.038443,0.041180,0.043551,0.047722,0.055373,0.070254,0.099934", \ - "0.040503,0.043247,0.045622,0.049797,0.057448,0.072327,0.102006", \ - "0.042631,0.045400,0.047791,0.051980,0.059638,0.074512,0.104186", \ - "0.044007,0.046834,0.049261,0.053487,0.061154,0.076022,0.105683", \ - "0.043894,0.046813,0.049302,0.053597,0.061308,0.076164,0.105810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045635,0.048736,0.051476,0.056627,0.066851,0.087406,0.128601", \ - "0.046532,0.049633,0.052374,0.057523,0.067747,0.088304,0.129497", \ - "0.049115,0.052216,0.054956,0.060106,0.070331,0.090886,0.132081", \ - "0.054567,0.057668,0.060408,0.065554,0.075772,0.096327,0.137517", \ - "0.063463,0.066595,0.069350,0.074491,0.084684,0.105205,0.146384", \ - "0.074803,0.077985,0.080773,0.085917,0.096091,0.116582,0.157736", \ - "0.088522,0.091764,0.094601,0.099760,0.109867,0.130330,0.171447"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003122,0.004382,0.005708,0.008471,0.014426,0.027035,0.052693", \ - "0.003123,0.004383,0.005709,0.008471,0.014426,0.027034,0.052689", \ - "0.003122,0.004383,0.005708,0.008471,0.014426,0.027034,0.052693", \ - "0.003165,0.004418,0.005738,0.008491,0.014435,0.027037,0.052694", \ - "0.003295,0.004534,0.005836,0.008560,0.014468,0.027047,0.052695", \ - "0.003560,0.004774,0.006045,0.008708,0.014541,0.027071,0.052701", \ - "0.003932,0.005129,0.006365,0.008949,0.014663,0.027113,0.052716"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003350,0.005174,0.007151,0.011568,0.021022,0.040247,0.078802", \ - "0.003351,0.005174,0.007151,0.011570,0.021025,0.040246,0.078795", \ - "0.003351,0.005174,0.007151,0.011570,0.021023,0.040247,0.078789", \ - "0.003354,0.005176,0.007153,0.011571,0.021025,0.040251,0.078790", \ - "0.003487,0.005296,0.007240,0.011610,0.021036,0.040249,0.078795", \ - "0.003682,0.005479,0.007386,0.011685,0.021064,0.040255,0.078796", \ - "0.003934,0.005719,0.007590,0.011799,0.021102,0.040272,0.078801"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.039233,0.041981,0.044359,0.048537,0.056191,0.071072,0.100752", \ - "0.039946,0.042692,0.045071,0.049249,0.056903,0.071783,0.101462", \ - "0.041714,0.044461,0.046840,0.051017,0.058672,0.073552,0.103231", \ - "0.043924,0.046675,0.049056,0.053236,0.060890,0.075768,0.105448", \ - "0.046404,0.049178,0.051571,0.055764,0.063423,0.078297,0.107971", \ - "0.048389,0.051211,0.053635,0.057857,0.065528,0.080396,0.110058", \ - "0.048961,0.051865,0.054343,0.058626,0.066328,0.081187,0.110835"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047331,0.050522,0.053318,0.058482,0.068679,0.089199,0.130370", \ - "0.048229,0.051420,0.054216,0.059379,0.069577,0.090097,0.131269", \ - "0.050812,0.054003,0.056799,0.061962,0.072160,0.092681,0.133849", \ - "0.056258,0.059448,0.062245,0.067404,0.077596,0.098114,0.139280", \ - "0.065268,0.068491,0.071309,0.076470,0.086632,0.107116,0.148262", \ - "0.076780,0.080056,0.082922,0.088100,0.098254,0.118701,0.159817", \ - "0.090722,0.094061,0.096992,0.102202,0.112306,0.132711,0.173786"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003181,0.004434,0.005753,0.008502,0.014441,0.027040,0.052693", \ - "0.003180,0.004434,0.005753,0.008502,0.014441,0.027040,0.052695", \ - "0.003180,0.004433,0.005753,0.008502,0.014441,0.027040,0.052694", \ - "0.003206,0.004456,0.005771,0.008514,0.014446,0.027041,0.052694", \ - "0.003314,0.004551,0.005853,0.008571,0.014473,0.027050,0.052696", \ - "0.003541,0.004756,0.006030,0.008698,0.014536,0.027071,0.052701", \ - "0.003883,0.005079,0.006320,0.008914,0.014646,0.027107,0.052714"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003667,0.005471,0.007382,0.011687,0.021069,0.040267,0.078795", \ - "0.003667,0.005471,0.007382,0.011687,0.021068,0.040263,0.078805", \ - "0.003667,0.005471,0.007381,0.011688,0.021069,0.040264,0.078801", \ - "0.003672,0.005476,0.007385,0.011689,0.021068,0.040262,0.078788", \ - "0.003825,0.005613,0.007495,0.011745,0.021083,0.040275,0.078804", \ - "0.004062,0.005838,0.007691,0.011857,0.021126,0.040283,0.078813", \ - "0.004368,0.006123,0.007953,0.012015,0.021197,0.040297,0.078803"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.035989,0.038726,0.041097,0.045268,0.052919,0.067800,0.097481", \ - "0.036694,0.039431,0.041803,0.045973,0.053625,0.068506,0.098187", \ - "0.038443,0.041180,0.043551,0.047722,0.055373,0.070254,0.099934", \ - "0.040503,0.043247,0.045622,0.049797,0.057448,0.072327,0.102006", \ - "0.042631,0.045400,0.047791,0.051980,0.059638,0.074512,0.104186", \ - "0.044007,0.046834,0.049261,0.053487,0.061154,0.076022,0.105683", \ - "0.043894,0.046813,0.049302,0.053597,0.061308,0.076164,0.105810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045635,0.048736,0.051476,0.056627,0.066851,0.087406,0.128601", \ - "0.046532,0.049633,0.052374,0.057523,0.067747,0.088304,0.129497", \ - "0.049115,0.052216,0.054956,0.060106,0.070331,0.090886,0.132081", \ - "0.054567,0.057668,0.060408,0.065554,0.075772,0.096327,0.137517", \ - "0.063463,0.066595,0.069350,0.074491,0.084684,0.105205,0.146384", \ - "0.074803,0.077985,0.080773,0.085917,0.096091,0.116582,0.157736", \ - "0.088522,0.091764,0.094601,0.099760,0.109867,0.130330,0.171447"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003122,0.004382,0.005708,0.008471,0.014426,0.027035,0.052693", \ - "0.003123,0.004383,0.005709,0.008471,0.014426,0.027034,0.052689", \ - "0.003122,0.004383,0.005708,0.008471,0.014426,0.027034,0.052693", \ - "0.003165,0.004418,0.005738,0.008491,0.014435,0.027037,0.052694", \ - "0.003295,0.004534,0.005836,0.008560,0.014468,0.027047,0.052695", \ - "0.003560,0.004774,0.006045,0.008708,0.014541,0.027071,0.052701", \ - "0.003932,0.005129,0.006365,0.008949,0.014663,0.027113,0.052716"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003350,0.005174,0.007151,0.011568,0.021022,0.040247,0.078802", \ - "0.003351,0.005174,0.007151,0.011570,0.021025,0.040246,0.078795", \ - "0.003351,0.005174,0.007151,0.011570,0.021023,0.040247,0.078789", \ - "0.003354,0.005176,0.007153,0.011571,0.021025,0.040251,0.078790", \ - "0.003487,0.005296,0.007240,0.011610,0.021036,0.040249,0.078795", \ - "0.003682,0.005479,0.007386,0.011685,0.021064,0.040255,0.078796", \ - "0.003934,0.005719,0.007590,0.011799,0.021102,0.040272,0.078801"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032004,0.034722,0.037080,0.041237,0.048880,0.063760,0.093442", \ - "0.032750,0.035467,0.037826,0.041983,0.049625,0.064505,0.094190", \ - "0.034966,0.037683,0.040042,0.044199,0.051841,0.066721,0.096406", \ - "0.038253,0.040979,0.043343,0.047504,0.055148,0.070024,0.099707", \ - "0.041060,0.043820,0.046203,0.050383,0.058031,0.072900,0.102573", \ - "0.042507,0.045340,0.047766,0.051989,0.059649,0.074509,0.104164", \ - "0.042207,0.045142,0.047639,0.051941,0.059644,0.074493,0.104129"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047211,0.050313,0.053052,0.058200,0.068418,0.088972,0.130162", \ - "0.048126,0.051228,0.053968,0.059114,0.069333,0.089885,0.131076", \ - "0.050719,0.053821,0.056561,0.061708,0.071927,0.092479,0.133671", \ - "0.056262,0.059365,0.062104,0.067250,0.077462,0.098011,0.139203", \ - "0.065422,0.068553,0.071306,0.076439,0.086626,0.107147,0.148318", \ - "0.077104,0.080283,0.083067,0.088208,0.098371,0.118859,0.160005", \ - "0.091261,0.094498,0.097330,0.102484,0.112604,0.133052,0.174167"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003041,0.004307,0.005642,0.008422,0.014399,0.027024,0.052690", \ - "0.003041,0.004307,0.005642,0.008422,0.014399,0.027024,0.052689", \ - "0.003041,0.004308,0.005642,0.008423,0.014399,0.027024,0.052687", \ - "0.003108,0.004365,0.005689,0.008453,0.014412,0.027028,0.052689", \ - "0.003294,0.004525,0.005825,0.008545,0.014455,0.027039,0.052694", \ - "0.003613,0.004813,0.006073,0.008721,0.014540,0.027067,0.052697", \ - "0.004021,0.005209,0.006432,0.008992,0.014680,0.027112,0.052713"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003362,0.005182,0.007157,0.011572,0.021026,0.040246,0.078793", \ - "0.003362,0.005182,0.007157,0.011571,0.021025,0.040249,0.078798", \ - "0.003362,0.005182,0.007157,0.011571,0.021024,0.040246,0.078802", \ - "0.003365,0.005186,0.007159,0.011573,0.021025,0.040252,0.078784", \ - "0.003490,0.005297,0.007240,0.011609,0.021037,0.040255,0.078786", \ - "0.003680,0.005476,0.007382,0.011684,0.021059,0.040257,0.078805", \ - "0.003927,0.005707,0.007578,0.011790,0.021098,0.040273,0.078789"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.895480,18.308170,18.697120,19.145670,19.458020,19.587550,19.645210", \ - "17.912880,18.322920,18.694460,19.163240,19.471160,19.615700,19.661270", \ - "17.967450,18.322610,18.769930,19.232040,19.518130,19.670230,19.728070", \ - "18.897840,19.274880,19.658200,20.091110,20.405880,20.555110,20.618740", \ - "21.294600,21.561050,21.935900,22.333550,22.639860,22.770470,22.832340", \ - "25.186120,25.436470,25.784150,26.134740,26.404410,26.471230,26.513030", \ - "30.243890,30.537060,30.839740,31.305990,31.728150,31.951920,31.929680"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.113220,23.107270,23.430280,24.025010,24.464510,24.081220,24.404420", \ - "22.183140,23.119780,23.624540,24.162900,24.272590,24.390160,23.381060", \ - "22.271760,23.265260,23.617830,24.318700,24.457440,24.510490,24.356870", \ - "23.825550,24.753930,25.098860,25.847220,26.223660,25.823670,24.903110", \ - "26.875610,27.925020,28.522610,29.122200,29.557110,29.398070,29.109460", \ - "31.674170,32.342490,32.965970,33.555810,33.934530,34.241850,33.639350", \ - "37.714160,38.389930,38.992460,39.850670,40.353400,40.156680,39.772900"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.735200,17.160600,17.558710,18.024040,18.295070,18.413260,18.449170", \ - "16.748080,17.166600,17.560480,18.034410,18.295220,18.420600,18.458870", \ - "16.734050,17.241470,17.665210,18.095750,18.380530,18.482590,18.528970", \ - "17.912680,18.342520,18.735100,19.175950,19.434850,19.552970,19.599040", \ - "20.488630,20.770350,21.164200,21.534740,21.794940,21.915860,21.945870", \ - "24.521330,24.780360,25.111400,25.481560,25.746440,25.780220,25.809060", \ - "29.700350,29.823770,30.209060,30.661720,31.151290,31.414360,31.368810"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.888970,21.776090,22.156520,22.624590,22.798360,21.850310,21.184660", \ - "20.946450,21.786960,22.097360,22.635250,22.859730,22.466110,21.512330", \ - "21.131010,21.836850,22.328430,22.628800,22.965000,23.005510,21.407010", \ - "22.582360,23.387470,23.826320,24.226130,24.498450,24.144950,23.426200", \ - "25.465900,26.320600,26.853150,27.333220,27.595230,27.053830,26.678670", \ - "29.843670,30.554190,31.029380,31.617360,31.925360,31.933450,30.233400", \ - "35.536080,36.204560,36.737850,37.245690,37.971200,38.124810,36.327040"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.301490,16.788780,17.218110,17.663720,17.915080,18.020780,18.053580", \ - "16.299740,16.800450,17.230290,17.680500,17.927970,18.028950,18.064720", \ - "16.471320,16.898250,17.359180,17.781820,18.033710,18.129720,18.176160", \ - "17.607140,18.099590,18.578510,18.971900,19.224160,19.334430,19.373190", \ - "20.393450,20.717850,21.141580,21.495260,21.689830,21.790590,21.832860", \ - "24.434630,24.782410,25.135190,25.560730,25.815770,25.834540,25.858380", \ - "29.609280,29.800160,30.209560,30.735040,31.261720,31.623170,31.589890"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.854050,21.663970,22.014730,22.412770,22.769540,22.802060,20.652210", \ - "20.813050,21.655710,22.118330,22.389930,22.769230,22.777140,22.946770", \ - "21.013730,21.899450,22.273740,22.674910,22.522230,23.138980,21.413050", \ - "22.596970,23.484310,23.753690,23.990080,24.396880,24.397800,23.343190", \ - "25.528800,26.443840,26.827900,27.220280,27.498250,27.446850,27.456180", \ - "29.720660,30.682500,31.167160,31.595870,32.081760,31.507790,29.494200", \ - "35.548550,36.379510,36.947660,37.317080,37.669230,38.204720,37.853190"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.735200,17.160600,17.558710,18.024040,18.295070,18.413260,18.449170", \ - "16.748080,17.166600,17.560480,18.034410,18.295220,18.420600,18.458870", \ - "16.734050,17.241470,17.665210,18.095750,18.380530,18.482590,18.528970", \ - "17.912680,18.342520,18.735100,19.175950,19.434850,19.552970,19.599040", \ - "20.488630,20.770350,21.164200,21.534740,21.794940,21.915860,21.945870", \ - "24.521330,24.780360,25.111400,25.481560,25.746440,25.780220,25.809060", \ - "29.700350,29.823770,30.209060,30.661720,31.151290,31.414360,31.368810"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.888970,21.776090,22.156520,22.624590,22.798360,21.850310,21.184660", \ - "20.946450,21.786960,22.097360,22.635250,22.859730,22.466110,21.512330", \ - "21.131010,21.836850,22.328430,22.628800,22.965000,23.005510,21.407010", \ - "22.582360,23.387470,23.826320,24.226130,24.498450,24.144950,23.426200", \ - "25.465900,26.320600,26.853150,27.333220,27.595230,27.053830,26.678670", \ - "29.843670,30.554190,31.029380,31.617360,31.925360,31.933450,30.233400", \ - "35.536080,36.204560,36.737850,37.245690,37.971200,38.124810,36.327040"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.542610,16.029900,16.438290,16.878190,17.153600,17.233530,17.260380", \ - "15.604450,16.029230,16.466830,16.891030,17.144060,17.243660,17.250760", \ - "15.688800,16.157320,16.580350,16.979350,17.257760,17.348140,17.363550", \ - "17.033980,17.429470,17.810440,18.251800,18.510800,18.604060,18.623190", \ - "19.822250,20.123120,20.429700,20.814530,21.026070,21.108820,21.129630", \ - "23.925380,24.187080,24.525190,24.913750,25.170250,25.188150,25.185890", \ - "29.059720,29.261110,29.613680,30.132460,30.645870,30.973460,30.915380"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("19.650720,20.402850,20.677510,20.953300,20.859080,21.215320,19.742640", \ - "19.647100,20.379850,20.778030,20.940380,21.089100,20.253480,21.010790", \ - "19.840160,20.629310,20.785590,21.254640,21.200860,20.566920,20.738360", \ - "21.376940,22.073200,22.263950,22.662730,22.693780,22.171890,22.761130", \ - "24.017990,24.762270,25.092230,25.418980,25.412180,25.200190,23.971370", \ - "27.995200,28.749160,29.054290,29.302020,29.771050,29.121670,29.141970", \ - "33.285350,34.119950,34.406210,35.013720,35.075930,35.357120,34.983510"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.208720,15.722400,16.181750,16.610530,16.838770,16.920600,16.939620", \ - "15.215680,15.745950,16.186250,16.610340,16.836390,16.919250,16.938580", \ - "15.372390,15.912090,16.361130,16.796590,17.026790,17.100680,17.117670", \ - "16.763220,17.296860,17.727280,18.140030,18.363530,18.441250,18.457030", \ - "19.730360,20.073590,20.476460,20.830420,20.984350,21.063640,21.078270", \ - "23.893220,24.196560,24.589470,25.015980,25.292230,25.306980,25.288920", \ - "28.914930,29.136590,29.579800,30.191050,30.776890,31.205940,31.189990"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("19.635790,20.413680,20.691110,20.925920,20.608110,21.020920,19.965690", \ - "19.620690,20.398770,20.710660,20.946030,20.672290,20.727400,21.160580", \ - "19.846650,20.587910,20.777270,21.189500,20.927730,20.895620,20.743740", \ - "21.414270,22.138620,22.423330,22.612290,22.228610,22.602150,22.679600", \ - "24.060980,24.793440,25.161240,25.248920,25.482310,24.666960,24.400570", \ - "27.961340,28.803660,29.077320,29.469750,29.841110,29.265820,29.299150", \ - "33.451310,34.240350,34.687180,35.156210,34.977500,35.378140,34.091250"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.301490,16.788780,17.218110,17.663720,17.915080,18.020780,18.053580", \ - "16.299740,16.800450,17.230290,17.680500,17.927970,18.028950,18.064720", \ - "16.471320,16.898250,17.359180,17.781820,18.033710,18.129720,18.176160", \ - "17.607140,18.099590,18.578510,18.971900,19.224160,19.334430,19.373190", \ - "20.393450,20.717850,21.141580,21.495260,21.689830,21.790590,21.832860", \ - "24.434630,24.782410,25.135190,25.560730,25.815770,25.834540,25.858380", \ - "29.609280,29.800160,30.209560,30.735040,31.261720,31.623170,31.589890"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.854050,21.663970,22.014730,22.412770,22.769540,22.802060,20.652210", \ - "20.813050,21.655710,22.118330,22.389930,22.769230,22.777140,22.946770", \ - "21.013730,21.899450,22.273740,22.674910,22.522230,23.138980,21.413050", \ - "22.596970,23.484310,23.753690,23.990080,24.396880,24.397800,23.343190", \ - "25.528800,26.443840,26.827900,27.220280,27.498250,27.446850,27.456180", \ - "29.720660,30.682500,31.167160,31.595870,32.081760,31.507790,29.494200", \ - "35.548550,36.379510,36.947660,37.317080,37.669230,38.204720,37.853190"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.208720,15.722400,16.181750,16.610530,16.838770,16.920600,16.939620", \ - "15.215680,15.745950,16.186250,16.610340,16.836390,16.919250,16.938580", \ - "15.372390,15.912090,16.361130,16.796590,17.026790,17.100680,17.117670", \ - "16.763220,17.296860,17.727280,18.140030,18.363530,18.441250,18.457030", \ - "19.730360,20.073590,20.476460,20.830420,20.984350,21.063640,21.078270", \ - "23.893220,24.196560,24.589470,25.015980,25.292230,25.306980,25.288920", \ - "28.914930,29.136590,29.579800,30.191050,30.776890,31.205940,31.189990"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("19.635790,20.413680,20.691110,20.925920,20.608110,21.020920,19.965690", \ - "19.620690,20.398770,20.710660,20.946030,20.672290,20.727400,21.160580", \ - "19.846650,20.587910,20.777270,21.189500,20.927730,20.895620,20.743740", \ - "21.414270,22.138620,22.423330,22.612290,22.228610,22.602150,22.679600", \ - "24.060980,24.793440,25.161240,25.248920,25.482310,24.666960,24.400570", \ - "27.961340,28.803660,29.077320,29.469750,29.841110,29.265820,29.299150", \ - "33.451310,34.240350,34.687180,35.156210,34.977500,35.378140,34.091250"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.958080,15.500910,15.943990,16.360410,16.555260,16.627730,16.652780", \ - "14.938880,15.506040,15.952770,16.364060,16.560110,16.628390,16.657980", \ - "15.236010,15.779080,16.224420,16.631820,16.831500,16.899730,16.930730", \ - "16.750250,17.212140,17.661950,18.047050,18.212270,18.295360,18.319310", \ - "19.708030,20.172370,20.511500,20.869380,20.973050,21.029600,21.051630", \ - "23.848530,24.196920,24.614560,25.107960,25.412350,25.436330,25.410480", \ - "28.686150,29.015020,29.536870,30.199250,30.874990,31.445050,31.488470"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("19.633950,20.381160,20.773350,20.928110,21.223910,21.147490,19.759890", \ - "19.607990,20.417250,20.733490,20.963880,21.064360,21.088260,20.445960", \ - "19.859370,20.572770,20.929790,21.177460,21.214630,21.057390,20.754430", \ - "21.415110,22.070130,22.335680,22.513190,22.751040,22.542520,22.582760", \ - "24.111740,24.897180,25.213580,25.518450,25.707360,25.554160,23.912860", \ - "28.189950,28.879760,29.148990,29.622260,29.892040,29.756960,28.225060", \ - "33.633250,34.397770,34.759330,35.303760,35.657340,35.623660,34.102410"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("18.947430,19.256000,19.655270,20.130810,20.453980,20.619890,20.702100", \ - "18.843100,19.198020,19.595950,20.071700,20.399720,20.567510,20.641730", \ - "18.896760,19.250700,19.644070,20.120870,20.450900,20.614660,20.687180", \ - "19.716150,20.046730,20.465180,20.940720,21.254260,21.427410,21.499360", \ - "22.044590,22.389130,22.746060,23.193550,23.505410,23.686480,23.768780", \ - "25.995230,26.242420,26.556640,26.914080,27.177180,27.285360,27.353750", \ - "31.151750,31.436460,31.701140,32.069340,32.384360,32.492810,32.473880"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.145460,24.171280,24.643710,25.221050,25.670370,25.588830,25.566150", \ - "23.178650,24.154090,24.655200,25.015270,25.597740,25.542000,25.327400", \ - "23.053670,24.081380,24.620740,24.963960,25.545590,24.912720,25.536950", \ - "24.063610,24.949050,25.333290,25.983410,26.457060,25.761780,25.480800", \ - "26.185470,27.109500,27.647820,28.310860,28.447150,28.670960,28.181970", \ - "29.390890,30.423000,31.110830,31.479540,32.071030,32.157560,31.594800", \ - "34.364810,35.043090,35.844950,36.513170,37.362330,37.596170,36.698470"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.762070,18.137810,18.542370,18.998620,19.293990,19.427320,19.490830", \ - "17.672700,18.083600,18.474920,18.936500,19.232150,19.366330,19.437450", \ - "17.750750,18.091260,18.529160,18.997210,19.292410,19.440910,19.487850", \ - "18.662620,19.156520,19.557680,19.995690,20.280050,20.433510,20.488540", \ - "21.306980,21.558030,21.942010,22.341210,22.627520,22.783920,22.843430", \ - "25.282220,25.527700,25.851800,26.215020,26.423860,26.501320,26.559560", \ - "30.479670,30.661840,31.023250,31.427230,31.729120,31.829330,31.799530"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.951370,22.748120,23.173990,23.620870,23.873670,24.049160,23.249310", \ - "21.984300,22.690940,23.077400,23.596450,23.847910,23.928440,23.690420", \ - "21.944260,22.653940,23.145560,23.455340,23.806370,24.045270,23.904620", \ - "22.837170,23.650720,24.022100,24.300060,24.573110,24.108010,24.256240", \ - "24.674650,25.599820,26.134470,26.647100,26.805350,26.442130,25.371530", \ - "27.965430,28.810460,29.229190,29.838590,30.294320,29.951690,29.456670", \ - "32.258390,33.250190,33.885730,34.605040,35.207790,35.093260,34.460870"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.219470,17.683040,18.133110,18.551890,18.838000,18.952940,19.022010", \ - "17.061030,17.631050,18.044550,18.483220,18.776410,18.901020,18.950040", \ - "17.188430,17.721600,18.135500,18.585830,18.856020,18.981860,19.039080", \ - "18.413660,18.884810,19.319530,19.751280,20.016320,20.134850,20.206890", \ - "21.047640,21.464470,21.853580,22.207460,22.473320,22.599980,22.657360", \ - "25.270900,25.537670,25.874650,26.236580,26.453940,26.474890,26.539140", \ - "30.537160,30.856530,31.160060,31.577460,31.915060,32.003320,31.965780"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.900270,22.773690,23.176440,23.531570,23.842780,23.472480,24.043340", \ - "21.875210,22.739820,23.110940,23.461610,23.780650,23.564120,23.711810", \ - "21.849550,22.695340,23.120590,23.486140,23.890130,23.450670,23.890300", \ - "22.812620,23.626280,23.970060,24.183050,24.567530,23.975590,23.687460", \ - "24.685910,25.724880,26.212650,26.464170,26.884160,26.726640,25.463610", \ - "28.130530,29.028310,29.428520,30.067180,30.563720,30.615020,30.327890", \ - "32.695640,33.547910,34.058590,34.873440,35.409890,35.189940,34.242160"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.762070,18.137810,18.542370,18.998620,19.293990,19.427320,19.490830", \ - "17.672700,18.083600,18.474920,18.936500,19.232150,19.366330,19.437450", \ - "17.750750,18.091260,18.529160,18.997210,19.292410,19.440910,19.487850", \ - "18.662620,19.156520,19.557680,19.995690,20.280050,20.433510,20.488540", \ - "21.306980,21.558030,21.942010,22.341210,22.627520,22.783920,22.843430", \ - "25.282220,25.527700,25.851800,26.215020,26.423860,26.501320,26.559560", \ - "30.479670,30.661840,31.023250,31.427230,31.729120,31.829330,31.799530"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.951370,22.748120,23.173990,23.620870,23.873670,24.049160,23.249310", \ - "21.984300,22.690940,23.077400,23.596450,23.847910,23.928440,23.690420", \ - "21.944260,22.653940,23.145560,23.455340,23.806370,24.045270,23.904620", \ - "22.837170,23.650720,24.022100,24.300060,24.573110,24.108010,24.256240", \ - "24.674650,25.599820,26.134470,26.647100,26.805350,26.442130,25.371530", \ - "27.965430,28.810460,29.229190,29.838590,30.294320,29.951690,29.456670", \ - "32.258390,33.250190,33.885730,34.605040,35.207790,35.093260,34.460870"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.579730,16.966890,17.423750,17.860240,18.137670,18.248690,18.297110", \ - "16.433930,16.948310,17.328260,17.802340,18.062800,18.188940,18.228570", \ - "16.601690,17.027100,17.443270,17.859500,18.163690,18.272230,18.320190", \ - "17.790020,18.223530,18.618340,19.083010,19.357410,19.471410,19.507380", \ - "20.513590,20.794510,21.183890,21.544620,21.805390,21.943120,21.975120", \ - "24.635120,24.851710,25.183800,25.557260,25.774510,25.787080,25.839890", \ - "29.964650,30.154780,30.403900,30.826750,31.152250,31.237550,31.169720"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.709950,21.450780,21.806490,21.807690,22.022470,22.220050,21.949790", \ - "20.732570,21.447300,21.651870,21.959380,21.737910,21.679840,21.094210", \ - "20.637730,21.413120,21.599890,21.847030,22.021880,21.685130,22.132440", \ - "21.569850,22.291980,22.502780,22.589420,23.001930,22.254770,23.037460", \ - "23.282980,24.034720,24.543140,24.680550,25.033360,24.282420,24.540590", \ - "26.321360,27.206840,27.642160,28.061050,28.242690,27.823610,27.075030", \ - "30.514370,31.387630,31.878720,32.435550,32.540770,32.858450,33.017930"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.085140,16.637690,17.078650,17.506570,17.755150,17.859130,17.895890", \ - "16.069950,16.566630,17.005980,17.423300,17.690700,17.795360,17.834370", \ - "16.128570,16.693190,17.112490,17.573830,17.828110,17.916580,17.961420", \ - "17.576960,18.055730,18.462620,18.872180,19.140180,19.245190,19.279810", \ - "20.405030,20.800370,21.148280,21.518580,21.716290,21.835620,21.872740", \ - "24.615450,24.919270,25.286490,25.648160,25.850630,25.848140,25.870330", \ - "30.032770,30.253870,30.554670,31.019990,31.371110,31.480350,31.401110"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.696160,21.435940,21.728500,21.819090,22.146760,22.271340,21.204270", \ - "20.696580,21.390240,21.695620,21.806350,21.758950,22.279890,20.923860", \ - "20.675630,21.382110,21.590280,21.981890,22.149700,21.356570,21.224350", \ - "21.553510,22.291080,22.485210,22.626940,22.849560,22.691890,23.015730", \ - "23.420220,24.100670,24.518220,24.913790,24.846740,24.297930,24.761550", \ - "26.400440,27.249450,27.781590,28.065290,28.480390,27.618530,26.635600", \ - "30.739240,31.639070,32.149850,32.641110,33.125880,33.208180,32.761630"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.219470,17.683040,18.133110,18.551890,18.838000,18.952940,19.022010", \ - "17.061030,17.631050,18.044550,18.483220,18.776410,18.901020,18.950040", \ - "17.188430,17.721600,18.135500,18.585830,18.856020,18.981860,19.039080", \ - "18.413660,18.884810,19.319530,19.751280,20.016320,20.134850,20.206890", \ - "21.047640,21.464470,21.853580,22.207460,22.473320,22.599980,22.657360", \ - "25.270900,25.537670,25.874650,26.236580,26.453940,26.474890,26.539140", \ - "30.537160,30.856530,31.160060,31.577460,31.915060,32.003320,31.965780"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("21.900270,22.773690,23.176440,23.531570,23.842780,23.472480,24.043340", \ - "21.875210,22.739820,23.110940,23.461610,23.780650,23.564120,23.711810", \ - "21.849550,22.695340,23.120590,23.486140,23.890130,23.450670,23.890300", \ - "22.812620,23.626280,23.970060,24.183050,24.567530,23.975590,23.687460", \ - "24.685910,25.724880,26.212650,26.464170,26.884160,26.726640,25.463610", \ - "28.130530,29.028310,29.428520,30.067180,30.563720,30.615020,30.327890", \ - "32.695640,33.547910,34.058590,34.873440,35.409890,35.189940,34.242160"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.085140,16.637690,17.078650,17.506570,17.755150,17.859130,17.895890", \ - "16.069950,16.566630,17.005980,17.423300,17.690700,17.795360,17.834370", \ - "16.128570,16.693190,17.112490,17.573830,17.828110,17.916580,17.961420", \ - "17.576960,18.055730,18.462620,18.872180,19.140180,19.245190,19.279810", \ - "20.405030,20.800370,21.148280,21.518580,21.716290,21.835620,21.872740", \ - "24.615450,24.919270,25.286490,25.648160,25.850630,25.848140,25.870330", \ - "30.032770,30.253870,30.554670,31.019990,31.371110,31.480350,31.401110"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.696160,21.435940,21.728500,21.819090,22.146760,22.271340,21.204270", \ - "20.696580,21.390240,21.695620,21.806350,21.758950,22.279890,20.923860", \ - "20.675630,21.382110,21.590280,21.981890,22.149700,21.356570,21.224350", \ - "21.553510,22.291080,22.485210,22.626940,22.849560,22.691890,23.015730", \ - "23.420220,24.100670,24.518220,24.913790,24.846740,24.297930,24.761550", \ - "26.400440,27.249450,27.781590,28.065290,28.480390,27.618530,26.635600", \ - "30.739240,31.639070,32.149850,32.641110,33.125880,33.208180,32.761630"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.763770,16.307160,16.767360,17.188120,17.392050,17.486690,17.530120", \ - "15.722360,16.234540,16.719980,17.119970,17.335970,17.425470,17.468680", \ - "15.913540,16.443740,16.915680,17.319220,17.540160,17.633780,17.676230", \ - "17.423070,17.898930,18.357710,18.729420,18.953550,19.039130,19.083530", \ - "20.393530,20.803270,21.147460,21.490810,21.636290,21.738520,21.774420", \ - "24.564600,24.979390,25.374840,25.765390,25.924960,25.914280,25.933050", \ - "29.994390,30.334240,30.728320,31.195290,31.576920,31.732180,31.670840"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.694220,21.467590,21.724760,21.912050,22.156430,21.550780,21.920220", \ - "20.636430,21.378160,21.729130,21.943620,22.027700,21.811690,20.898360", \ - "20.591470,21.359880,21.546020,21.980830,22.176270,21.575430,21.036900", \ - "21.599830,22.305870,22.478010,22.904360,22.742030,22.941950,22.992930", \ - "23.435470,24.227960,24.602620,24.916590,25.184910,25.112290,23.939430", \ - "26.594630,27.476670,27.918030,28.265540,28.119220,27.950370,27.629680", \ - "31.013830,31.939890,32.355770,32.917110,33.466250,33.290390,32.195220"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.901570,18.312470,18.718650,19.163020,19.452980,19.604450,19.662230", \ - "17.895690,18.305020,18.711560,19.161310,19.454640,19.600080,19.658630", \ - "17.836880,18.243550,18.611670,19.094750,19.388580,19.535790,19.593710", \ - "18.226470,18.630320,19.043530,19.500720,19.821920,19.953110,20.019740", \ - "19.960610,20.245400,20.629770,21.041520,21.352820,21.484720,21.545780", \ - "23.106110,23.384070,23.732260,24.135910,24.410500,24.487300,24.550420", \ - "27.362530,27.504610,27.900640,28.420500,28.909550,29.179960,29.164140"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.808030,25.767780,26.410300,26.833540,27.482450,27.820420,26.999740", \ - "24.689850,25.711800,26.266130,26.834280,27.507330,27.385710,27.682990", \ - "24.873890,25.960410,26.370570,26.759860,27.565780,27.237050,26.106070", \ - "26.100500,26.969430,27.480840,28.240190,28.454490,28.486530,29.014640", \ - "29.384440,30.331570,30.823470,31.357420,31.927530,31.710350,30.164510", \ - "33.641030,34.587400,35.223040,35.911740,36.449680,35.517110,35.062800", \ - "39.572630,40.329290,40.922110,41.590960,41.966800,41.628240,41.649320"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.741290,17.168100,17.554910,18.029130,18.302580,18.417620,18.458310", \ - "16.648870,17.151040,17.574800,18.013120,18.294990,18.405360,18.442010", \ - "16.659010,17.045920,17.474050,17.939000,18.205770,18.326090,18.372790", \ - "17.171810,17.609910,18.059230,18.486580,18.761620,18.874120,18.904940", \ - "19.109740,19.427420,19.797070,20.192280,20.463730,20.572650,20.606320", \ - "22.442310,22.680610,23.058580,23.477500,23.740550,23.785150,23.806510", \ - "26.625520,26.870390,27.252660,27.771300,28.313210,28.624970,28.599350"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.450750,24.457850,24.939540,25.214080,25.520740,25.628350,23.457330", \ - "23.368800,24.428430,24.834250,25.286860,25.676390,25.017550,25.162330", \ - "23.667370,24.574860,24.996890,25.461540,25.868180,25.834210,24.447910", \ - "24.770780,25.676330,26.079310,26.476950,26.773660,26.360720,27.096800", \ - "27.793400,28.720880,29.106360,29.603400,29.814380,29.392470,28.752910", \ - "31.842590,32.820500,33.280660,33.711860,34.004820,34.062380,32.924540", \ - "37.529570,38.394750,38.801320,39.278770,39.911620,39.738910,37.512860"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.207230,16.793040,17.223790,17.675340,17.915400,18.012640,18.056990", \ - "16.195310,16.797050,17.234320,17.656270,17.892670,17.998900,18.040440", \ - "16.247290,16.742580,17.158860,17.606000,17.847610,17.938120,17.984600", \ - "16.884100,17.341030,17.810870,18.206220,18.461510,18.557580,18.592980", \ - "18.915990,19.310000,19.677680,20.078650,20.272980,20.375370,20.410340", \ - "22.213110,22.519820,22.945010,23.401980,23.685040,23.742160,23.752670", \ - "26.285010,26.608590,26.978780,27.623340,28.212760,28.649590,28.664800"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.633580,24.362640,24.745920,25.285390,25.550730,25.505350,23.436180", \ - "23.320900,24.361130,24.862840,25.308980,25.413890,25.236060,23.697620", \ - "23.485530,24.552140,24.865400,25.480230,25.621830,25.729410,25.783200", \ - "24.847300,25.707880,26.192190,26.394530,26.925840,26.537820,25.560780", \ - "27.853800,28.875550,29.195880,29.436440,29.713010,29.799240,28.424330", \ - "31.935390,32.989530,33.331580,33.782690,34.084410,34.166250,32.665100", \ - "37.537480,38.510190,38.883640,39.545030,39.768270,39.449850,39.101890"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.741290,17.168100,17.554910,18.029130,18.302580,18.417620,18.458310", \ - "16.648870,17.151040,17.574800,18.013120,18.294990,18.405360,18.442010", \ - "16.659010,17.045920,17.474050,17.939000,18.205770,18.326090,18.372790", \ - "17.171810,17.609910,18.059230,18.486580,18.761620,18.874120,18.904940", \ - "19.109740,19.427420,19.797070,20.192280,20.463730,20.572650,20.606320", \ - "22.442310,22.680610,23.058580,23.477500,23.740550,23.785150,23.806510", \ - "26.625520,26.870390,27.252660,27.771300,28.313210,28.624970,28.599350"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.450750,24.457850,24.939540,25.214080,25.520740,25.628350,23.457330", \ - "23.368800,24.428430,24.834250,25.286860,25.676390,25.017550,25.162330", \ - "23.667370,24.574860,24.996890,25.461540,25.868180,25.834210,24.447910", \ - "24.770780,25.676330,26.079310,26.476950,26.773660,26.360720,27.096800", \ - "27.793400,28.720880,29.106360,29.603400,29.814380,29.392470,28.752910", \ - "31.842590,32.820500,33.280660,33.711860,34.004820,34.062380,32.924540", \ - "37.529570,38.394750,38.801320,39.278770,39.911620,39.738910,37.512860"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.585440,16.053310,16.475850,16.884670,17.154840,17.249170,17.261070", \ - "15.556740,16.020620,16.448040,16.877320,17.119500,17.220510,17.230650", \ - "15.561560,15.940040,16.343700,16.800160,17.058110,17.155050,17.173920", \ - "16.220320,16.607760,17.055040,17.484190,17.736550,17.828120,17.834760", \ - "18.345570,18.696810,19.025960,19.427250,19.639690,19.734990,19.743380", \ - "21.810150,22.051690,22.392700,22.866660,23.137610,23.178500,23.164840", \ - "25.996520,26.274650,26.645430,27.215900,27.776510,28.171950,28.144110"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.368170,23.115340,23.343020,23.711200,23.573520,23.465900,23.137690", \ - "22.349580,23.107470,23.292020,23.507250,23.947520,23.417810,22.966150", \ - "22.415000,23.207660,23.548970,23.723530,24.010230,23.560480,23.504810", \ - "23.598530,24.274280,24.710610,24.767980,25.028960,24.173800,24.927300", \ - "26.393110,27.178290,27.583910,27.893770,27.811910,26.933500,26.508040", \ - "30.244270,31.081710,31.310660,31.654450,31.802570,31.421940,30.246840", \ - "35.491440,36.235220,36.667820,36.988940,37.080750,37.464830,36.988490"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.232230,15.730260,16.179880,16.620840,16.834960,16.921650,16.937330", \ - "15.130630,15.739880,16.183510,16.577590,16.808180,16.892920,16.907700", \ - "15.095850,15.671300,16.137150,16.564390,16.767930,16.852800,16.877960", \ - "16.017330,16.447500,16.892250,17.292610,17.511730,17.582750,17.594380", \ - "18.212300,18.615130,18.974140,19.346110,19.527330,19.603460,19.612820", \ - "21.578630,21.905710,22.324480,22.813820,23.114960,23.169180,23.140810", \ - "25.612470,25.923960,26.377990,27.011160,27.693650,28.201180,28.240360"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.345210,23.118520,23.474270,23.500560,23.587670,23.439500,22.935090", \ - "22.267940,23.051710,23.255210,23.501880,23.652440,22.828830,22.996540", \ - "22.410790,23.175870,23.451110,23.741650,23.871120,23.260830,23.369590", \ - "23.646570,24.421810,24.698270,24.787490,25.077170,24.651240,24.848440", \ - "26.520180,27.232900,27.493620,27.722480,27.547060,27.468110,27.737390", \ - "30.405380,31.122720,31.395000,31.793020,32.219160,31.941900,31.465700", \ - "35.725760,36.478960,36.919790,37.245250,37.307570,37.212180,36.221020"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.457980,16.892480,17.298400,17.754280,18.009700,18.122440,18.158370", \ - "16.398800,16.846900,17.286450,17.733480,17.992980,18.106900,18.146330", \ - "16.368150,16.805320,17.205290,17.651530,17.935380,18.037420,18.082600", \ - "17.162840,17.545040,17.997210,18.395950,18.655780,18.777040,18.801540", \ - "19.249530,19.609580,19.932650,20.318860,20.565490,20.672940,20.704910", \ - "22.705870,22.962400,23.339040,23.748210,23.988760,24.016950,24.037410", \ - "26.976440,27.228990,27.647020,28.176000,28.681640,28.997660,28.961520"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.147340,25.113300,25.495150,25.891340,26.284400,26.235520,26.394390", \ - "24.143550,24.941040,25.423460,25.753790,26.313610,26.253650,24.364300", \ - "24.156520,25.042990,25.583590,25.936110,26.047030,25.619340,24.854250", \ - "25.419150,26.200900,26.597020,26.925620,27.561440,27.029610,26.082820", \ - "28.297650,29.334550,29.795320,30.061860,30.260840,29.978340,29.615070", \ - "32.558270,33.368160,33.823120,34.364690,34.796180,34.314240,33.217990", \ - "37.884370,38.899040,39.406340,40.008260,40.363420,40.071390,39.561700"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.314510,15.830430,16.284660,16.698500,16.938730,17.013420,17.040410", \ - "15.276810,15.802750,16.219840,16.655640,16.909000,16.993590,17.004000", \ - "15.220570,15.770790,16.198440,16.605420,16.864760,16.945480,16.964630", \ - "16.246380,16.655590,17.090290,17.497210,17.723690,17.811540,17.816490", \ - "18.587820,18.861040,19.214070,19.587830,19.790460,19.872220,19.877740", \ - "22.103910,22.365140,22.709250,23.144610,23.425330,23.439900,23.413640", \ - "26.450740,26.668490,27.063200,27.631780,28.202620,28.564660,28.536090"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.940010,23.746820,24.066240,24.373400,24.521950,24.018940,23.854800", \ - "22.904910,23.701580,23.912130,24.400870,24.597340,24.012470,22.853070", \ - "23.025730,23.812170,24.046470,24.365530,24.614190,24.361310,23.850470", \ - "24.175720,24.946210,25.262490,25.550970,25.805950,24.832860,24.632690", \ - "26.986850,27.795590,28.120170,28.234070,28.361010,28.381460,27.566380", \ - "30.801390,31.655440,32.035070,32.213080,32.212070,32.350980,31.490960", \ - "36.165520,36.809270,37.269080,37.743610,37.356910,37.200690,36.063990"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.014690,15.542990,15.978890,16.402890,16.608750,16.679040,16.699170", \ - "14.930430,15.515090,15.954770,16.373240,16.572170,16.650230,16.671250", \ - "14.891940,15.510700,15.986260,16.367780,16.585210,16.657200,16.677030", \ - "15.929710,16.503900,16.930690,17.318620,17.511800,17.585410,17.604700", \ - "18.357170,18.811530,19.186170,19.525070,19.668150,19.728430,19.747240", \ - "21.834850,22.236070,22.622590,23.110650,23.393970,23.419040,23.400030", \ - "26.001170,26.310990,26.751150,27.413980,28.087200,28.596120,28.622380"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.936860,23.731020,23.894220,24.385660,24.520290,24.088930,24.012280", \ - "22.863450,23.652550,23.838880,24.201850,24.450250,23.937200,22.776290", \ - "22.911270,23.787630,24.071250,24.191710,24.462490,23.478320,24.505310", \ - "24.201060,24.999490,25.327710,25.584280,25.671860,25.600180,24.546160", \ - "27.042350,27.860790,28.160740,28.422340,28.718000,27.513050,27.804220", \ - "30.934580,31.762920,32.121480,32.367500,32.796680,32.228620,32.675150", \ - "36.313970,37.049730,37.355260,37.830960,37.949600,37.902120,37.402810"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("18.892800,19.238630,19.658880,20.135130,20.457680,20.632640,20.704150", \ - "18.774250,19.177400,19.592320,20.080630,20.397620,20.552770,20.638590", \ - "18.817820,19.180200,19.551050,20.024320,20.354080,20.517970,20.593150", \ - "19.224900,19.528470,19.936690,20.411910,20.741060,20.912200,20.990510", \ - "20.801690,21.074120,21.464540,21.891980,22.231770,22.400930,22.478920", \ - "23.906680,24.140390,24.478710,24.891280,25.143270,25.265980,25.346560", \ - "28.288060,28.419220,28.793530,29.218590,29.535450,29.669250,29.664600"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.967390,26.813480,27.327230,27.900590,28.471220,28.701490,27.938910", \ - "25.810860,26.900960,27.339550,27.850670,28.643310,27.726530,27.071820", \ - "25.780510,26.815640,27.252830,27.858260,28.498090,28.183100,27.653480", \ - "26.440320,27.348090,27.871740,28.517390,28.642030,28.793020,27.904650", \ - "28.658120,29.647140,29.998600,30.774630,31.231490,31.214880,30.683000", \ - "31.796760,32.677090,33.262740,33.947610,34.464240,34.996190,33.473970", \ - "36.410900,37.369750,37.863220,38.656440,39.593410,40.008970,39.037310"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.773720,18.148210,18.530210,18.980140,19.303890,19.445410,19.495850", \ - "17.670160,18.040400,18.481730,18.933240,19.228840,19.365030,19.431990", \ - "17.642200,18.026160,18.431080,18.860700,19.179450,19.316380,19.383900", \ - "18.140280,18.486660,18.922420,19.359910,19.661180,19.816720,19.873900", \ - "19.905460,20.216350,20.605150,20.979180,21.293940,21.451010,21.509640", \ - "23.146850,23.396080,23.758290,24.140770,24.399740,24.463290,24.529250", \ - "27.561440,27.823120,28.096860,28.573050,28.892980,29.027570,28.981960"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.598020,25.561920,25.964210,26.342940,26.819440,26.452550,25.836780", \ - "24.409320,25.441890,25.912620,26.388150,26.790500,26.613310,26.287310", \ - "24.483380,25.369110,25.869810,26.316980,26.730970,26.588360,26.853660", \ - "25.064180,26.033590,26.499310,26.915330,26.936990,27.251880,27.329700", \ - "27.003620,28.102230,28.572070,28.917690,29.478130,28.988060,28.492890", \ - "30.273380,31.095910,31.580380,32.157470,32.694480,32.408250,31.457830", \ - "34.564460,35.572670,36.151630,36.736400,36.927820,37.558030,36.516630"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.199190,17.674570,18.102740,18.567090,18.836100,18.956270,19.009370", \ - "17.080780,17.621540,18.057420,18.478180,18.767900,18.886780,18.945630", \ - "17.051420,17.603310,18.014210,18.469920,18.734670,18.852300,18.914070", \ - "17.692880,18.184880,18.582510,19.043730,19.303210,19.416400,19.475030", \ - "19.627330,20.026750,20.401960,20.781530,21.031670,21.165420,21.223040", \ - "22.902380,23.239660,23.635940,24.051960,24.277360,24.314840,24.376420", \ - "27.333850,27.625380,27.972130,28.498850,28.860290,29.005870,28.961250"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.625500,25.485020,25.932400,26.322590,26.606320,26.083040,26.053460", \ - "24.491100,25.486290,25.874430,26.317210,26.486370,26.224630,26.327820", \ - "24.434470,25.408760,25.831360,26.352410,26.582840,26.228390,24.447180", \ - "25.096130,26.047410,26.391630,26.788530,27.260560,26.879910,26.024210", \ - "27.200680,28.216620,28.709460,28.975920,29.491840,28.956940,27.772210", \ - "30.421830,31.358970,31.748270,32.088530,32.799880,32.400580,32.564850", \ - "34.787960,35.739350,36.333410,36.763530,37.513470,37.867290,36.261840"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.773720,18.148210,18.530210,18.980140,19.303890,19.445410,19.495850", \ - "17.670160,18.040400,18.481730,18.933240,19.228840,19.365030,19.431990", \ - "17.642200,18.026160,18.431080,18.860700,19.179450,19.316380,19.383900", \ - "18.140280,18.486660,18.922420,19.359910,19.661180,19.816720,19.873900", \ - "19.905460,20.216350,20.605150,20.979180,21.293940,21.451010,21.509640", \ - "23.146850,23.396080,23.758290,24.140770,24.399740,24.463290,24.529250", \ - "27.561440,27.823120,28.096860,28.573050,28.892980,29.027570,28.981960"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.598020,25.561920,25.964210,26.342940,26.819440,26.452550,25.836780", \ - "24.409320,25.441890,25.912620,26.388150,26.790500,26.613310,26.287310", \ - "24.483380,25.369110,25.869810,26.316980,26.730970,26.588360,26.853660", \ - "25.064180,26.033590,26.499310,26.915330,26.936990,27.251880,27.329700", \ - "27.003620,28.102230,28.572070,28.917690,29.478130,28.988060,28.492890", \ - "30.273380,31.095910,31.580380,32.157470,32.694480,32.408250,31.457830", \ - "34.564460,35.572670,36.151630,36.736400,36.927820,37.558030,36.516630"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.582910,17.011080,17.397380,17.864820,18.131090,18.261190,18.294800", \ - "16.516090,16.934280,17.325570,17.795760,18.077500,18.189920,18.230500", \ - "16.474340,16.898880,17.287600,17.759960,18.039020,18.147330,18.185800", \ - "17.103860,17.523100,17.912390,18.364000,18.624520,18.752330,18.783320", \ - "19.080000,19.426420,19.758240,20.136320,20.434140,20.554540,20.594090", \ - "22.474470,22.717100,23.059460,23.471430,23.719440,23.754940,23.786810", \ - "26.927850,27.214760,27.506840,27.964400,28.329490,28.456940,28.388070"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.394100,24.200570,24.345140,24.840970,24.763720,24.876420,25.108530", \ - "23.357920,24.146240,24.488910,24.831340,25.017060,24.855730,24.180770", \ - "23.291990,24.081950,24.289620,24.581340,24.596710,24.892060,24.747220", \ - "23.855450,24.762900,25.045950,25.086370,25.285170,25.213980,25.329020", \ - "25.750040,26.547420,27.002520,27.075550,27.396760,26.532270,26.088510", \ - "28.679490,29.533720,29.971140,30.311410,30.477540,30.187980,30.741380", \ - "32.931730,33.747950,34.199760,34.444330,34.805280,34.420560,32.996000"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.152700,16.655460,17.068680,17.511550,17.753790,17.846090,17.898250", \ - "16.072640,16.562030,16.993660,17.447550,17.684880,17.781490,17.825010", \ - "15.969450,16.551770,16.978240,17.420820,17.667120,17.763150,17.801790", \ - "16.769520,17.252240,17.687410,18.090650,18.338390,18.435560,18.470010", \ - "18.878210,19.228100,19.635440,20.033530,20.230540,20.334500,20.375000", \ - "22.274190,22.607570,23.001530,23.404510,23.650050,23.659630,23.694540", \ - "26.723300,27.031420,27.420450,27.917840,28.315750,28.478180,28.411910"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.388880,24.166780,24.508720,24.854560,24.933560,24.489350,25.130570", \ - "23.313910,24.106730,24.401910,24.691560,24.881440,24.969600,24.211820", \ - "23.275710,24.059870,24.325560,24.736620,24.751290,24.804950,24.271700", \ - "23.968790,24.755190,24.997070,25.199030,25.394600,25.228150,25.312890", \ - "25.809540,26.735190,27.104460,27.210650,27.598420,27.029400,27.246970", \ - "28.801720,29.645360,30.085270,30.374730,30.914560,30.552530,30.122990", \ - "33.163160,34.081730,34.438100,34.843620,35.353790,35.555060,34.527730"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.330480,17.761270,18.170040,18.631070,18.927420,19.060770,19.115110", \ - "17.261520,17.704070,18.100680,18.565350,18.860080,18.991650,19.046670", \ - "17.186810,17.619700,18.062640,18.542360,18.820520,18.954590,19.007610", \ - "17.935070,18.330200,18.749650,19.215400,19.482360,19.624280,19.679750", \ - "19.987210,20.287320,20.676710,21.082080,21.361470,21.493690,21.544590", \ - "23.432080,23.680810,24.029870,24.400870,24.631650,24.666010,24.722970", \ - "27.992170,28.126490,28.489160,28.958120,29.278010,29.381570,29.329770"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.089430,26.057790,26.537630,27.023050,27.449050,26.484100,25.629610", \ - "25.057510,26.043200,26.360110,26.886750,26.853240,27.305290,26.086800", \ - "25.021940,25.923170,26.293780,26.758070,27.320840,27.412800,26.668900", \ - "25.621650,26.564570,26.912580,27.305180,27.832450,28.074950,25.951490", \ - "27.666640,28.650070,29.119170,29.630640,29.905050,28.900500,29.584700", \ - "30.635630,31.629610,32.211740,32.727310,33.326570,32.741480,33.004220", \ - "35.077600,36.018290,36.530980,37.073490,37.928580,38.141070,36.849990"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.263040,16.681580,17.135600,17.592870,17.843010,17.962220,18.001840", \ - "16.138500,16.605190,17.084190,17.520360,17.780380,17.879510,17.924730", \ - "16.161770,16.634190,17.064970,17.485490,17.750650,17.864080,17.895800", \ - "16.938580,17.417310,17.876160,18.296550,18.550920,18.649720,18.693740", \ - "19.264880,19.524230,19.918660,20.300870,20.530260,20.637920,20.675670", \ - "22.778860,23.053930,23.386920,23.745270,23.965980,23.982470,24.020050", \ - "27.434430,27.565590,27.929620,28.388780,28.726220,28.826100,28.765280"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.881160,24.780550,25.116830,25.279760,25.665110,25.575540,24.909470", \ - "23.988710,24.631050,25.052800,25.139050,25.405260,25.221930,25.354470", \ - "23.824720,24.677260,24.857110,25.153170,25.033310,25.158530,24.143830", \ - "24.525420,25.321470,25.485500,25.656100,25.996530,25.274240,25.053900", \ - "26.333810,27.216900,27.576200,27.901320,27.969510,28.049200,26.660560", \ - "29.243090,30.079280,30.550590,30.920640,31.320600,30.872040,30.238490", \ - "33.551950,34.338140,34.713870,35.148750,35.571230,35.021710,35.756880"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.709600,16.355470,16.805340,17.215060,17.446350,17.538760,17.573640", \ - "15.644900,16.262980,16.733580,17.143540,17.360450,17.461180,17.505960", \ - "15.643840,16.285350,16.746690,17.156180,17.367320,17.474100,17.512300", \ - "16.666310,17.231820,17.649280,18.063610,18.271260,18.374040,18.413810", \ - "18.988880,19.440960,19.817190,20.179970,20.335830,20.442720,20.476650", \ - "22.596090,22.960100,23.302390,23.711730,23.894620,23.895100,23.928640", \ - "27.199970,27.438420,27.780670,28.314180,28.712630,28.852060,28.785510"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("23.966010,24.760710,25.003150,25.302250,25.310940,25.164210,24.931460", \ - "23.906820,24.704170,24.936090,25.125130,25.480000,25.387100,25.394940", \ - "23.866030,24.537230,24.833010,25.189880,25.494770,25.492900,23.469370", \ - "24.484950,25.336520,25.576180,25.710300,25.968890,25.579390,25.032970", \ - "26.373630,27.209580,27.727970,27.890230,27.904050,27.564230,27.675130", \ - "29.342330,30.227240,30.686930,31.142710,31.361950,31.106840,31.180680", \ - "33.725020,34.563780,35.072900,35.410510,35.444920,36.024880,35.967220"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.900200,18.305340,18.688010,19.144760,19.465800,19.597540,19.660470", \ - "17.896610,18.251710,18.701670,19.160370,19.455900,19.598770,19.647190", \ - "17.801580,18.204090,18.580060,19.054180,19.355620,19.507060,19.558850", \ - "18.073680,18.423270,18.847590,19.295590,19.606720,19.742140,19.803870", \ - "19.402380,19.714480,20.113270,20.495100,20.795700,20.944870,21.004030", \ - "22.278530,22.552650,22.915720,23.384220,23.733450,23.831560,23.879170", \ - "26.091530,26.324850,26.750180,27.366920,27.991810,28.475310,28.513460"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("27.287050,28.186090,28.813630,29.448870,30.121730,30.298130,29.889380", \ - "27.211290,28.094780,28.653190,29.429260,30.012250,30.226370,28.714830", \ - "27.302650,28.184610,28.845030,29.392260,30.104840,29.424280,28.918830", \ - "28.196810,29.146910,29.728980,30.299960,30.476220,30.168790,30.690480", \ - "31.440850,32.281280,32.916860,33.524810,33.817210,33.992400,31.813990", \ - "35.691950,36.634040,37.330800,37.862630,38.293720,38.807490,37.661430", \ - "41.709720,42.325220,42.861630,43.691950,44.063850,43.906430,43.757570"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.664330,17.168400,17.583670,18.028870,18.302780,18.410530,18.456510", \ - "16.727280,17.134180,17.540870,18.010350,18.291030,18.404190,18.441460", \ - "16.616850,17.043930,17.430460,17.901330,18.177840,18.294760,18.335360", \ - "16.876420,17.336640,17.762420,18.207430,18.479560,18.596360,18.628030", \ - "18.497090,18.857630,19.216990,19.619630,19.876000,19.992640,20.020950", \ - "21.472230,21.773720,22.184190,22.678230,23.029580,23.115060,23.124610", \ - "25.362540,25.657230,26.028510,26.669110,27.355170,27.903380,27.961140"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.863240,26.808000,27.296610,27.727680,28.138630,28.303160,27.263580", \ - "25.876740,26.772000,27.106060,27.649010,28.160130,28.179520,26.887700", \ - "25.815750,26.811690,27.315820,27.610210,27.706240,28.429810,28.276900", \ - "26.793070,27.805290,28.082930,28.755870,29.155810,28.904000,28.324100", \ - "29.833260,30.750910,31.123900,31.596880,31.994110,31.671060,30.948400", \ - "33.957260,34.980900,35.488600,36.043660,36.310440,36.458380,35.413430", \ - "39.531450,40.486030,40.939540,41.509990,42.077890,41.422200,40.560570"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.362670,16.796090,17.225350,17.679340,17.929020,18.030670,18.063080", \ - "16.282540,16.781160,17.238790,17.665000,17.910570,18.005770,18.048870", \ - "16.247150,16.687660,17.113290,17.538760,17.806100,17.904760,17.942100", \ - "16.600960,17.101090,17.507580,17.930570,18.182840,18.291340,18.323980", \ - "18.356360,18.768130,19.125400,19.545480,19.759470,19.860170,19.898140", \ - "21.460440,21.780650,22.206520,22.687750,23.048450,23.099950,23.118050", \ - "25.396020,25.712780,26.115020,26.768650,27.453720,27.990500,28.046910"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("26.343040,27.317010,27.782760,28.227190,28.550160,28.005220,28.959150", \ - "26.268110,27.256330,27.703570,28.106000,28.393100,28.386310,28.526660", \ - "26.321050,27.314390,27.684160,28.162000,28.683870,28.191130,26.855080", \ - "27.309420,28.282660,28.761340,29.110710,29.307210,28.879610,28.468870", \ - "30.426840,31.208060,31.608200,32.120340,32.336940,32.703490,31.796170", \ - "34.558720,35.457740,35.952880,36.526550,36.787710,36.987720,36.252600", \ - "40.002240,40.806540,41.286280,41.772170,41.868150,42.180310,42.078530"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.664330,17.168400,17.583670,18.028870,18.302780,18.410530,18.456510", \ - "16.727280,17.134180,17.540870,18.010350,18.291030,18.404190,18.441460", \ - "16.616850,17.043930,17.430460,17.901330,18.177840,18.294760,18.335360", \ - "16.876420,17.336640,17.762420,18.207430,18.479560,18.596360,18.628030", \ - "18.497090,18.857630,19.216990,19.619630,19.876000,19.992640,20.020950", \ - "21.472230,21.773720,22.184190,22.678230,23.029580,23.115060,23.124610", \ - "25.362540,25.657230,26.028510,26.669110,27.355170,27.903380,27.961140"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.863240,26.808000,27.296610,27.727680,28.138630,28.303160,27.263580", \ - "25.876740,26.772000,27.106060,27.649010,28.160130,28.179520,26.887700", \ - "25.815750,26.811690,27.315820,27.610210,27.706240,28.429810,28.276900", \ - "26.793070,27.805290,28.082930,28.755870,29.155810,28.904000,28.324100", \ - "29.833260,30.750910,31.123900,31.596880,31.994110,31.671060,30.948400", \ - "33.957260,34.980900,35.488600,36.043660,36.310440,36.458380,35.413430", \ - "39.531450,40.486030,40.939540,41.509990,42.077890,41.422200,40.560570"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.610670,16.047210,16.465530,16.901600,17.154030,17.253270,17.261650", \ - "15.585360,16.020860,16.443030,16.870010,17.126250,17.221280,17.233200", \ - "15.439640,15.890550,16.295800,16.747350,17.002490,17.096740,17.106230", \ - "15.827770,16.298600,16.681140,17.134750,17.393800,17.481040,17.489720", \ - "17.667980,18.037280,18.380170,18.781420,19.013560,19.104180,19.117600", \ - "20.788790,21.077290,21.508100,22.012760,22.387010,22.475200,22.453570", \ - "24.734670,24.924500,25.404650,26.057050,26.779300,27.416150,27.492040"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("24.516460,25.429880,25.740640,25.983160,26.392530,25.928900,26.144900", \ - "24.442410,25.321600,25.713390,26.059370,26.270240,26.412010,25.853770", \ - "24.544300,25.348800,25.751220,25.941090,25.784770,26.420000,26.264670", \ - "25.495970,26.272150,26.574250,26.882780,27.147890,27.065500,27.578270", \ - "28.444490,29.303070,29.643630,29.740770,30.015810,30.011770,29.881950", \ - "32.370030,33.158680,33.455600,33.835360,34.306310,33.781090,32.966510", \ - "37.757700,38.515640,38.799720,39.218080,39.310910,39.427810,39.056960"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.205570,15.745530,16.187550,16.624240,16.848230,16.937190,16.955220", \ - "15.152670,15.720680,16.170840,16.595820,16.820150,16.906130,16.917010", \ - "15.023510,15.615070,16.067740,16.486560,16.710280,16.795850,16.815660", \ - "15.669740,16.126520,16.552510,16.953150,17.182480,17.271580,17.288250", \ - "17.604120,17.963360,18.401260,18.785160,18.960470,19.036840,19.049990", \ - "20.784120,21.120270,21.548740,22.061770,22.435590,22.503690,22.475700", \ - "24.745690,25.022090,25.492690,26.169460,26.909190,27.522350,27.602560"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.012100,25.772550,26.170440,26.517800,26.858390,26.113010,25.997350", \ - "24.933800,25.804820,26.202270,26.556170,26.733380,26.739530,25.723060", \ - "25.025280,25.792160,26.194370,26.573560,26.318700,26.805880,26.134480", \ - "25.999010,26.830670,27.228690,27.580030,27.727130,27.877720,28.009960", \ - "28.795420,29.685700,30.055120,30.402830,30.584340,30.262280,29.533680", \ - "32.839290,33.699840,34.017900,34.463730,34.232070,34.259430,34.282280", \ - "38.197460,38.858400,39.342840,39.501940,39.815250,40.003780,38.879690"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.362670,16.796090,17.225350,17.679340,17.929020,18.030670,18.063080", \ - "16.282540,16.781160,17.238790,17.665000,17.910570,18.005770,18.048870", \ - "16.247150,16.687660,17.113290,17.538760,17.806100,17.904760,17.942100", \ - "16.600960,17.101090,17.507580,17.930570,18.182840,18.291340,18.323980", \ - "18.356360,18.768130,19.125400,19.545480,19.759470,19.860170,19.898140", \ - "21.460440,21.780650,22.206520,22.687750,23.048450,23.099950,23.118050", \ - "25.396020,25.712780,26.115020,26.768650,27.453720,27.990500,28.046910"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("26.343040,27.317010,27.782760,28.227190,28.550160,28.005220,28.959150", \ - "26.268110,27.256330,27.703570,28.106000,28.393100,28.386310,28.526660", \ - "26.321050,27.314390,27.684160,28.162000,28.683870,28.191130,26.855080", \ - "27.309420,28.282660,28.761340,29.110710,29.307210,28.879610,28.468870", \ - "30.426840,31.208060,31.608200,32.120340,32.336940,32.703490,31.796170", \ - "34.558720,35.457740,35.952880,36.526550,36.787710,36.987720,36.252600", \ - "40.002240,40.806540,41.286280,41.772170,41.868150,42.180310,42.078530"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.205570,15.745530,16.187550,16.624240,16.848230,16.937190,16.955220", \ - "15.152670,15.720680,16.170840,16.595820,16.820150,16.906130,16.917010", \ - "15.023510,15.615070,16.067740,16.486560,16.710280,16.795850,16.815660", \ - "15.669740,16.126520,16.552510,16.953150,17.182480,17.271580,17.288250", \ - "17.604120,17.963360,18.401260,18.785160,18.960470,19.036840,19.049990", \ - "20.784120,21.120270,21.548740,22.061770,22.435590,22.503690,22.475700", \ - "24.745690,25.022090,25.492690,26.169460,26.909190,27.522350,27.602560"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.012100,25.772550,26.170440,26.517800,26.858390,26.113010,25.997350", \ - "24.933800,25.804820,26.202270,26.556170,26.733380,26.739530,25.723060", \ - "25.025280,25.792160,26.194370,26.573560,26.318700,26.805880,26.134480", \ - "25.999010,26.830670,27.228690,27.580030,27.727130,27.877720,28.009960", \ - "28.795420,29.685700,30.055120,30.402830,30.584340,30.262280,29.533680", \ - "32.839290,33.699840,34.017900,34.463730,34.232070,34.259430,34.282280", \ - "38.197460,38.858400,39.342840,39.501940,39.815250,40.003780,38.879690"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.924420,15.527060,16.003050,16.392210,16.619460,16.690010,16.707920", \ - "14.950890,15.505400,15.961120,16.375050,16.585020,16.659090,16.670020", \ - "14.849080,15.430790,15.884150,16.296510,16.490960,16.575960,16.592680", \ - "15.512670,16.112000,16.555610,16.947360,17.152370,17.222090,17.242300", \ - "17.813910,18.185730,18.604720,18.949230,19.106060,19.178770,19.186550", \ - "21.081330,21.469290,21.853230,22.380020,22.730640,22.773760,22.745310", \ - "25.084450,25.480710,25.856810,26.565020,27.319050,27.925930,27.992170"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.739950,26.419120,26.849680,27.138380,27.415810,27.280590,26.336130", \ - "25.607450,26.433480,26.759690,27.099350,27.381330,26.922670,27.279640", \ - "25.713720,26.467700,26.889310,27.216560,27.285940,27.048320,27.188580", \ - "26.727450,27.556170,27.825840,28.199650,28.341550,28.258260,26.910280", \ - "29.549660,30.448650,30.788200,31.072450,30.792550,31.075160,30.311430", \ - "33.529850,34.280740,34.633420,34.935660,35.223350,34.685040,35.103530", \ - "38.616470,39.573050,39.896180,40.325410,40.269720,40.297200,40.550150"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("18.913220,19.291810,19.659150,20.134320,20.464180,20.630500,20.705010", \ - "18.825500,19.194410,19.593590,20.069860,20.395840,20.558130,20.639310", \ - "18.779600,19.105610,19.528290,20.011190,20.334420,20.493440,20.579530", \ - "19.035880,19.352350,19.796460,20.256620,20.572930,20.735310,20.809770", \ - "20.258050,20.571760,20.962650,21.375410,21.691490,21.864930,21.942900", \ - "23.086940,23.343300,23.699140,24.124530,24.422560,24.540880,24.618840", \ - "27.181630,27.437260,27.808410,28.287830,28.738530,28.955770,28.939680"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("28.346690,29.201360,29.750490,30.367250,31.043800,30.784080,29.793480", \ - "28.290940,29.178140,29.691740,30.343320,31.090550,31.062030,29.999490", \ - "28.220940,29.156260,29.751550,30.248130,31.042630,30.833670,30.556390", \ - "28.655600,29.644000,30.231770,30.927800,31.521090,31.727600,30.810340", \ - "30.824530,31.811460,32.223620,32.934760,33.500910,33.242930,32.862760", \ - "34.108430,34.932030,35.476650,36.176340,36.878480,36.205420,36.751910", \ - "38.815350,39.534860,40.111730,40.869020,41.919040,41.099270,40.444820"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.738240,18.149780,18.516860,18.989070,19.296820,19.430700,19.502490", \ - "17.670810,18.076720,18.483800,18.917620,19.230240,19.372940,19.420610", \ - "17.601950,18.012700,18.406660,18.839950,19.164760,19.307330,19.357940", \ - "17.941790,18.258310,18.710900,19.150300,19.447940,19.577120,19.646470", \ - "19.300440,19.603570,19.990410,20.419400,20.714840,20.866160,20.922810", \ - "22.308490,22.556830,22.916330,23.364080,23.663840,23.733200,23.801750", \ - "26.452760,26.744490,27.078570,27.617310,28.086000,28.321240,28.296680"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("26.990470,27.864840,28.339400,28.742630,28.729440,29.439770,28.724010", \ - "26.841020,27.722510,28.278590,28.678360,28.873430,28.862830,29.198430", \ - "26.640270,27.741360,28.232260,28.639010,28.999980,29.244930,27.900840", \ - "27.255070,28.240370,28.566590,29.278590,29.413800,29.749630,28.775490", \ - "29.355080,30.304510,30.642870,31.108100,31.093800,31.621070,31.854730", \ - "32.465660,33.330940,33.914840,34.499110,34.990320,34.867210,32.763440", \ - "36.890480,37.823520,38.478990,39.093170,39.634280,38.916400,39.865420"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.203800,17.694740,18.095110,18.573220,18.843000,18.965500,19.027060", \ - "17.140150,17.580490,18.070660,18.479970,18.772340,18.901040,18.962110", \ - "16.995000,17.566580,17.983230,18.430830,18.712980,18.833690,18.896100", \ - "17.454860,17.936170,18.338500,18.801670,19.064950,19.192000,19.245830", \ - "19.088650,19.461900,19.870820,20.261640,20.509760,20.633950,20.700600", \ - "22.251020,22.520650,22.933060,23.359940,23.617730,23.667620,23.714090", \ - "26.489450,26.797160,27.150900,27.705420,28.141530,28.345580,28.317390"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("27.385290,28.359610,28.840260,29.225090,29.802990,28.817830,29.746170", \ - "27.374930,28.277580,28.772650,29.261150,29.432890,29.142260,29.074480", \ - "27.106350,28.226380,28.523670,28.988490,29.655370,28.770990,29.630190", \ - "27.782520,28.758900,29.225270,29.603860,30.009510,30.061310,28.999490", \ - "29.827680,30.759950,31.269240,31.778060,31.982260,31.697670,31.749250", \ - "32.827640,33.755510,34.313150,34.733470,35.006940,34.387050,35.388400", \ - "37.272220,38.207160,38.862810,39.546030,39.731220,39.377010,38.778150"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.738240,18.149780,18.516860,18.989070,19.296820,19.430700,19.502490", \ - "17.670810,18.076720,18.483800,18.917620,19.230240,19.372940,19.420610", \ - "17.601950,18.012700,18.406660,18.839950,19.164760,19.307330,19.357940", \ - "17.941790,18.258310,18.710900,19.150300,19.447940,19.577120,19.646470", \ - "19.300440,19.603570,19.990410,20.419400,20.714840,20.866160,20.922810", \ - "22.308490,22.556830,22.916330,23.364080,23.663840,23.733200,23.801750", \ - "26.452760,26.744490,27.078570,27.617310,28.086000,28.321240,28.296680"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("26.990470,27.864840,28.339400,28.742630,28.729440,29.439770,28.724010", \ - "26.841020,27.722510,28.278590,28.678360,28.873430,28.862830,29.198430", \ - "26.640270,27.741360,28.232260,28.639010,28.999980,29.244930,27.900840", \ - "27.255070,28.240370,28.566590,29.278590,29.413800,29.749630,28.775490", \ - "29.355080,30.304510,30.642870,31.108100,31.093800,31.621070,31.854730", \ - "32.465660,33.330940,33.914840,34.499110,34.990320,34.867210,32.763440", \ - "36.890480,37.823520,38.478990,39.093170,39.634280,38.916400,39.865420"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.599020,17.012460,17.396200,17.866710,18.131080,18.261050,18.294430", \ - "16.434060,16.934520,17.350720,17.777600,18.073460,18.191460,18.224130", \ - "16.440720,16.820130,17.253840,17.725580,18.002160,18.124030,18.146080", \ - "16.735940,17.223570,17.622900,18.070610,18.345550,18.463390,18.499080", \ - "18.420400,18.773100,19.111340,19.537500,19.787740,19.919910,19.951160", \ - "21.576760,21.866820,22.247300,22.674680,22.959130,23.008550,23.042010", \ - "25.884450,26.121140,26.449930,27.010560,27.496170,27.759700,27.719290"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("25.544770,26.386270,26.737080,26.905210,27.302730,26.791650,26.270210", \ - "25.479680,26.314370,26.695550,26.856250,27.086160,26.842290,26.162680", \ - "25.448570,26.272190,26.635730,27.099230,27.201190,27.368830,26.416900", \ - "26.055340,26.877600,27.052340,27.611070,27.804130,27.311190,28.035130", \ - "27.881610,28.855320,29.124600,29.531440,29.627570,29.235180,28.884120", \ - "30.825480,31.764360,32.029550,32.432780,32.736070,32.986130,32.030470", \ - "35.286130,36.128210,36.518810,36.960650,37.485910,37.496380,35.729660"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.143210,16.637580,17.067330,17.490540,17.752650,17.866340,17.900040", \ - "16.055890,16.560650,16.983030,17.428410,17.691920,17.799800,17.836400", \ - "16.027080,16.501140,16.932920,17.367420,17.628130,17.727060,17.769100", \ - "16.425720,16.909100,17.377690,17.806600,18.053340,18.157290,18.197070", \ - "18.275920,18.627570,19.035180,19.450590,19.665130,19.770000,19.813320", \ - "21.561900,21.851440,22.274270,22.691790,22.957260,22.977990,23.003800", \ - "25.872200,26.189580,26.545620,27.135930,27.582000,27.816820,27.770760"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("26.043890,26.831180,27.160790,27.579870,27.651880,28.046710,27.857980", \ - "25.970760,26.747980,27.153810,27.385630,27.670260,27.876760,26.899340", \ - "25.924510,26.794050,27.134810,27.340750,27.716130,27.935890,27.342770", \ - "26.438340,27.311180,27.708780,28.060380,28.056120,28.221750,27.868130", \ - "28.272830,29.237870,29.646650,30.004190,30.086400,30.229760,28.561960", \ - "31.291870,32.217590,32.596160,33.016110,33.328670,33.210060,31.768540", \ - "35.742220,36.463260,36.961870,37.227550,37.585850,37.314980,38.048160"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.203800,17.694740,18.095110,18.573220,18.843000,18.965500,19.027060", \ - "17.140150,17.580490,18.070660,18.479970,18.772340,18.901040,18.962110", \ - "16.995000,17.566580,17.983230,18.430830,18.712980,18.833690,18.896100", \ - "17.454860,17.936170,18.338500,18.801670,19.064950,19.192000,19.245830", \ - "19.088650,19.461900,19.870820,20.261640,20.509760,20.633950,20.700600", \ - "22.251020,22.520650,22.933060,23.359940,23.617730,23.667620,23.714090", \ - "26.489450,26.797160,27.150900,27.705420,28.141530,28.345580,28.317390"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("27.385290,28.359610,28.840260,29.225090,29.802990,28.817830,29.746170", \ - "27.374930,28.277580,28.772650,29.261150,29.432890,29.142260,29.074480", \ - "27.106350,28.226380,28.523670,28.988490,29.655370,28.770990,29.630190", \ - "27.782520,28.758900,29.225270,29.603860,30.009510,30.061310,28.999490", \ - "29.827680,30.759950,31.269240,31.778060,31.982260,31.697670,31.749250", \ - "32.827640,33.755510,34.313150,34.733470,35.006940,34.387050,35.388400", \ - "37.272220,38.207160,38.862810,39.546030,39.731220,39.377010,38.778150"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.143210,16.637580,17.067330,17.490540,17.752650,17.866340,17.900040", \ - "16.055890,16.560650,16.983030,17.428410,17.691920,17.799800,17.836400", \ - "16.027080,16.501140,16.932920,17.367420,17.628130,17.727060,17.769100", \ - "16.425720,16.909100,17.377690,17.806600,18.053340,18.157290,18.197070", \ - "18.275920,18.627570,19.035180,19.450590,19.665130,19.770000,19.813320", \ - "21.561900,21.851440,22.274270,22.691790,22.957260,22.977990,23.003800", \ - "25.872200,26.189580,26.545620,27.135930,27.582000,27.816820,27.770760"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("26.043890,26.831180,27.160790,27.579870,27.651880,28.046710,27.857980", \ - "25.970760,26.747980,27.153810,27.385630,27.670260,27.876760,26.899340", \ - "25.924510,26.794050,27.134810,27.340750,27.716130,27.935890,27.342770", \ - "26.438340,27.311180,27.708780,28.060380,28.056120,28.221750,27.868130", \ - "28.272830,29.237870,29.646650,30.004190,30.086400,30.229760,28.561960", \ - "31.291870,32.217590,32.596160,33.016110,33.328670,33.210060,31.768540", \ - "35.742220,36.463260,36.961870,37.227550,37.585850,37.314980,38.048160"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.800150,16.322540,16.768710,17.211690,17.434400,17.539420,17.582050", \ - "15.715420,16.265870,16.698030,17.135390,17.378330,17.467980,17.511630", \ - "15.676040,16.219740,16.683390,17.089690,17.320550,17.423940,17.466630", \ - "16.381300,16.842470,17.301920,17.710370,17.935650,18.021570,18.068800", \ - "18.440650,18.800800,19.226040,19.598040,19.762850,19.867800,19.895990", \ - "21.899870,22.169180,22.571220,22.986230,23.228320,23.237440,23.250030", \ - "26.309830,26.562810,26.977030,27.528800,28.009710,28.203310,28.156190"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("26.665110,27.573580,27.859470,28.315710,28.317630,28.245000,27.450910", \ - "26.575790,27.493990,27.809660,28.148910,28.364690,27.984690,28.006700", \ - "26.590130,27.402460,27.766110,28.079970,28.371520,28.257060,28.471880", \ - "27.202960,27.967160,28.191690,28.455680,28.855350,28.432830,29.099980", \ - "29.039400,29.908870,30.311630,30.670930,30.737060,30.567570,30.391730", \ - "32.073930,32.881750,33.142780,33.631580,34.082100,33.430570,33.779730", \ - "36.277010,37.168850,37.649740,38.017650,38.302840,37.581800,37.199610"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR2_X1 - Cell Description : Combinational cell (OR2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OR2_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 79.259438; - - leakage_power () { - when : "!A1 & !A2"; - value : 105.621375; - } - leakage_power () { - when : "!A1 & A2"; - value : 61.752375; - } - leakage_power () { - when : "A1 & !A2"; - value : 71.732250; - } - leakage_power () { - when : "A1 & A2"; - value : 77.931750; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.972905; - fall_capacitance : 0.802373; - rise_capacitance : 0.972905; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.960875; - fall_capacitance : 0.918000; - rise_capacitance : 0.960875; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0200183,0.0227554,0.0256057,0.0305376,0.0391085,0.0545834,0.0843080", \ - "0.0205350,0.0232648,0.0261128,0.0310428,0.0396138,0.0550896,0.0848151", \ - "0.0243803,0.0270967,0.0299285,0.0348411,0.0434031,0.0588769,0.0886027", \ - "0.0321316,0.0348830,0.0377417,0.0426699,0.0512281,0.0666783,0.0963621", \ - "0.0417610,0.0446817,0.0476769,0.0527734,0.0615267,0.0771737,0.106842", \ - "0.0537359,0.0568570,0.0600624,0.0654337,0.0744772,0.0903059,0.120148", \ - "0.0681492,0.0715006,0.0749600,0.0807347,0.0903049,0.106677,0.136770"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0108200,0.0133206,0.0160876,0.0213424,0.0316698,0.0522496,0.0933633", \ - "0.0116393,0.0141353,0.0168977,0.0221487,0.0324773,0.0530591,0.0941774", \ - "0.0142264,0.0167254,0.0194464,0.0246162,0.0348675,0.0554095,0.0965078", \ - "0.0156347,0.0183462,0.0211775,0.0263249,0.0364519,0.0568588,0.0978644", \ - "0.0148230,0.0177760,0.0209041,0.0262708,0.0363499,0.0566233,0.0974741", \ - "0.0113958,0.0146087,0.0180113,0.0238695,0.0341141,0.0544101,0.0951842", \ - "0.00510716,0.00859579,0.0122684,0.0186468,0.0294087,0.0497612,0.0907696"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00455773,0.00581838,0.00730720,0.0102263,0.0159958,0.0278875,0.0528969", \ - "0.00455584,0.00581827,0.00730844,0.0102272,0.0159965,0.0278869,0.0528965", \ - "0.00456271,0.00583211,0.00732442,0.0102420,0.0160071,0.0278910,0.0528984", \ - "0.00530871,0.00647942,0.00786625,0.0106214,0.0162196,0.0279750,0.0529112", \ - "0.00641971,0.00755978,0.00886887,0.0114950,0.0169366,0.0284200,0.0529964", \ - "0.00776521,0.00893101,0.0102312,0.0127312,0.0178900,0.0290309,0.0533882", \ - "0.00947368,0.0106930,0.0120410,0.0145197,0.0195118,0.0302339,0.0539651"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00280202,0.00451091,0.00668678,0.0112815,0.0207948,0.0399960,0.0784052", \ - "0.00280183,0.00451183,0.00668753,0.0112812,0.0207945,0.0399907,0.0784032", \ - "0.00308360,0.00471349,0.00680569,0.0113145,0.0208015,0.0399887,0.0784012", \ - "0.00393783,0.00549938,0.00738342,0.0115976,0.0209018,0.0400017,0.0784061", \ - "0.00515028,0.00669928,0.00855558,0.0123574,0.0212415,0.0401713,0.0784507", \ - "0.00670238,0.00817472,0.0101011,0.0137466,0.0220019,0.0406995,0.0786709", \ - "0.00857413,0.00998444,0.0118894,0.0156510,0.0233025,0.0415312,0.0794009"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0222947,0.0250300,0.0278807,0.0328119,0.0413824,0.0568567,0.0865825", \ - "0.0231046,0.0258377,0.0286877,0.0336188,0.0421895,0.0576642,0.0873897", \ - "0.0258385,0.0285583,0.0313951,0.0363159,0.0448841,0.0603597,0.0900856", \ - "0.0314522,0.0342179,0.0370927,0.0420511,0.0506389,0.0661125,0.0958162", \ - "0.0395555,0.0424434,0.0454330,0.0505574,0.0593822,0.0750578,0.104767", \ - "0.0500440,0.0531019,0.0562588,0.0616346,0.0708065,0.0868318,0.116718", \ - "0.0625655,0.0658289,0.0692116,0.0749379,0.0846369,0.101388,0.131802"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0121627,0.0147045,0.0174965,0.0227686,0.0331047,0.0536932,0.0948212", \ - "0.0128950,0.0154354,0.0182245,0.0234939,0.0338293,0.0544194,0.0955462", \ - "0.0157591,0.0182763,0.0210197,0.0262149,0.0364756,0.0570226,0.0981289", \ - "0.0180151,0.0207127,0.0235359,0.0286840,0.0388100,0.0592107,0.100221", \ - "0.0184163,0.0213355,0.0244085,0.0296910,0.0396803,0.0598928,0.100746", \ - "0.0167370,0.0199022,0.0232386,0.0289252,0.0389730,0.0590377,0.0996810", \ - "0.0128302,0.0162633,0.0198435,0.0260127,0.0364122,0.0564360,0.0969932"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00455640,0.00581849,0.00730782,0.0102261,0.0159961,0.0278878,0.0528970", \ - "0.00455606,0.00581769,0.00730775,0.0102262,0.0159963,0.0278873,0.0528978", \ - "0.00456088,0.00582968,0.00732003,0.0102366,0.0160031,0.0278900,0.0528981", \ - "0.00508814,0.00631107,0.00774141,0.0105456,0.0161779,0.0279575,0.0529085", \ - "0.00584425,0.00706970,0.00848602,0.0112654,0.0168141,0.0283286,0.0529796", \ - "0.00692525,0.00817142,0.00958622,0.0123291,0.0177890,0.0290276,0.0532880", \ - "0.00833719,0.00963328,0.0110890,0.0138525,0.0193367,0.0304471,0.0540336"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00296234,0.00467037,0.00682274,0.0113688,0.0208476,0.0400201,0.0784321", \ - "0.00296217,0.00467084,0.00682347,0.0113685,0.0208477,0.0400243,0.0784422", \ - "0.00314116,0.00478882,0.00689483,0.0113970,0.0208520,0.0400219,0.0784267", \ - "0.00393692,0.00549203,0.00739193,0.0116280,0.0209364,0.0400453,0.0784386", \ - "0.00504249,0.00656573,0.00839258,0.0122066,0.0211311,0.0401557,0.0784707", \ - "0.00645941,0.00789887,0.00977380,0.0133644,0.0216679,0.0404021,0.0786017", \ - "0.00816481,0.00952569,0.0113837,0.0150028,0.0226777,0.0409695,0.0789203"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.060608,4.091362,4.138631,4.287628,4.393846,4.428336,4.426852", \ - "4.021588,4.046060,4.117018,4.248416,4.361505,4.394137,4.390372", \ - "4.197806,4.235675,4.279550,4.409790,4.521568,4.549141,4.546452", \ - "5.036986,5.047178,5.007776,5.076522,5.130120,5.121573,5.095222", \ - "6.299959,6.233416,6.180431,6.154787,6.197699,6.146089,6.076051", \ - "8.167150,8.059291,7.941078,7.827586,7.786081,7.747549,7.629543", \ - "10.607850,10.574830,10.421820,10.165000,10.060500,9.962455,9.861965"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.381272,2.460593,2.459665,2.483673,2.543509,2.484280,2.451903", \ - "2.392646,2.451074,2.460785,2.554563,2.588582,2.514692,2.523405", \ - "2.690804,2.718200,2.689370,2.675623,2.699190,2.470755,2.346135", \ - "3.514836,3.539055,3.474930,3.340051,3.317021,3.141003,3.145869", \ - "4.908853,4.931241,4.920002,4.816342,4.511038,4.352112,4.069431", \ - "6.660378,6.579546,6.646064,6.672361,6.610461,6.288249,6.160503", \ - "8.940500,8.741412,8.703024,8.789958,8.926922,9.027910,8.397334"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.549088,4.634903,4.685120,4.813396,4.926540,4.953665,4.950198", \ - "4.536418,4.585758,4.618400,4.752779,4.880119,4.911210,4.906562", \ - "4.555952,4.592952,4.617460,4.754850,4.871612,4.898328,4.895783", \ - "5.052546,5.083823,5.063965,5.154471,5.232830,5.239141,5.222908", \ - "5.960905,5.959041,5.942209,5.998238,6.094057,6.067996,6.028247", \ - "7.483562,7.480753,7.404149,7.383101,7.435970,7.474839,7.388483", \ - "9.546427,9.546485,9.458622,9.357327,9.402357,9.434338,9.407539"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.717314,2.792451,2.823557,2.834505,2.887748,2.843960,2.802117", \ - "2.689452,2.763419,2.778280,2.800350,2.843871,2.770716,2.622866", \ - "2.939352,2.976844,2.988559,2.913223,2.896105,2.939437,2.595274", \ - "3.849026,3.804218,3.753607,3.656598,3.564685,3.433897,3.159643", \ - "5.294511,5.256904,5.203180,5.036390,4.795964,4.539484,4.117643", \ - "7.271690,7.216115,7.177181,7.068539,6.775032,6.471439,5.933843", \ - "9.775509,9.596052,9.565937,9.523115,9.295453,8.974479,8.687045"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR2_X2 - Cell Description : Combinational cell (OR2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OR2_X2) { - - drive_strength : 2; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 159.397437; - - leakage_power () { - when : "!A1 & !A2"; - value : 212.197500; - } - leakage_power () { - when : "!A1 & A2"; - value : 124.122000; - } - leakage_power () { - when : "A1 & !A2"; - value : 144.413500; - } - leakage_power () { - when : "A1 & A2"; - value : 156.856750; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.795161; - fall_capacitance : 1.445225; - rise_capacitance : 1.795161; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.722049; - fall_capacitance : 1.643379; - rise_capacitance : 1.722049; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0186436,0.0216457,0.0244191,0.0292410,0.0376745,0.0530369,0.0827423", \ - "0.0191716,0.0221669,0.0249370,0.0297571,0.0381900,0.0535531,0.0832597", \ - "0.0230777,0.0260488,0.0287991,0.0336021,0.0420259,0.0573854,0.0870880", \ - "0.0306302,0.0336590,0.0364440,0.0412858,0.0497212,0.0650587,0.0947205", \ - "0.0400623,0.0432732,0.0461892,0.0511733,0.0597841,0.0753156,0.104963", \ - "0.0518395,0.0552825,0.0584046,0.0636687,0.0725712,0.0882725,0.118082", \ - "0.0660186,0.0697162,0.0731020,0.0787730,0.0882128,0.104468,0.134546"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0101664,0.0129558,0.0157083,0.0209620,0.0313002,0.0518909,0.0930200", \ - "0.0109869,0.0137699,0.0165165,0.0217671,0.0321058,0.0526999,0.0938346", \ - "0.0134535,0.0162378,0.0189442,0.0241103,0.0343738,0.0549293,0.0960481", \ - "0.0146424,0.0176573,0.0204503,0.0255820,0.0357242,0.0561516,0.0971776", \ - "0.0136307,0.0169130,0.0199937,0.0253144,0.0354080,0.0557142,0.0965974", \ - "0.0100315,0.0135999,0.0169552,0.0227422,0.0329634,0.0533161,0.0941436", \ - "0.00360226,0.00747769,0.0110951,0.0173981,0.0280957,0.0484991,0.0895900"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00424279,0.00563485,0.00711430,0.0100256,0.0158167,0.0278069,0.0529522", \ - "0.00424279,0.00563545,0.00711546,0.0100261,0.0158172,0.0278069,0.0529519", \ - "0.00425234,0.00565533,0.00713775,0.0100470,0.0158292,0.0278121,0.0529533", \ - "0.00507319,0.00634875,0.00772631,0.0104730,0.0160638,0.0278963,0.0529639", \ - "0.00617197,0.00740631,0.00869289,0.0112953,0.0167433,0.0283424,0.0530475", \ - "0.00754193,0.00880596,0.0100701,0.0125431,0.0176966,0.0289248,0.0534410", \ - "0.00927978,0.0106023,0.0119131,0.0143623,0.0193450,0.0301558,0.0540278"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00260280,0.00452974,0.00673299,0.0113605,0.0209026,0.0401086,0.0785597", \ - "0.00260292,0.00453134,0.00673435,0.0113611,0.0209033,0.0401092,0.0785572", \ - "0.00291474,0.00474466,0.00685767,0.0113956,0.0209055,0.0401107,0.0785498", \ - "0.00377837,0.00550688,0.00740196,0.0116653,0.0210104,0.0401344,0.0785638", \ - "0.00501487,0.00671352,0.00855199,0.0123971,0.0213575,0.0403086,0.0786019", \ - "0.00659442,0.00819630,0.0101008,0.0137470,0.0221060,0.0408623,0.0788251", \ - "0.00848993,0.0100199,0.0119026,0.0156360,0.0233605,0.0417279,0.0796115"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0209409,0.0239415,0.0267141,0.0315354,0.0399681,0.0553297,0.0850335", \ - "0.0217435,0.0247419,0.0275137,0.0323347,0.0407682,0.0561304,0.0858340", \ - "0.0244829,0.0274627,0.0302194,0.0350313,0.0434612,0.0588228,0.0885284", \ - "0.0299575,0.0330004,0.0358064,0.0406741,0.0491399,0.0645012,0.0941820", \ - "0.0379250,0.0411057,0.0440214,0.0490492,0.0577478,0.0733086,0.102992", \ - "0.0482078,0.0515848,0.0546754,0.0599646,0.0690305,0.0849528,0.114807", \ - "0.0604586,0.0640697,0.0673866,0.0730333,0.0826437,0.0993267,0.129741"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0115072,0.0143420,0.0171168,0.0223876,0.0327348,0.0533378,0.0944797", \ - "0.0122388,0.0150713,0.0178432,0.0231110,0.0334574,0.0540634,0.0952072", \ - "0.0150334,0.0178420,0.0205655,0.0257552,0.0360275,0.0565906,0.0977172", \ - "0.0170936,0.0200915,0.0228755,0.0280032,0.0381400,0.0585652,0.0995951", \ - "0.0173348,0.0205800,0.0235994,0.0288298,0.0388262,0.0590667,0.0999499", \ - "0.0155279,0.0190461,0.0223231,0.0279288,0.0379569,0.0580627,0.0987487", \ - "0.0115300,0.0153456,0.0188595,0.0249377,0.0352682,0.0553382,0.0959613"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00424232,0.00563463,0.00711379,0.0100260,0.0158165,0.0278071,0.0529527", \ - "0.00424284,0.00563596,0.00711507,0.0100263,0.0158169,0.0278066,0.0529527", \ - "0.00425243,0.00565081,0.00713115,0.0100400,0.0158248,0.0278101,0.0529532", \ - "0.00482017,0.00616630,0.00758766,0.0103856,0.0160192,0.0278796,0.0529616", \ - "0.00558815,0.00693337,0.00833147,0.0110957,0.0166460,0.0282481,0.0530284", \ - "0.00670339,0.00806765,0.00946541,0.0121943,0.0176535,0.0289502,0.0533360", \ - "0.00813941,0.00955897,0.0109954,0.0137472,0.0192465,0.0304245,0.0541092"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00276776,0.00468950,0.00686486,0.0114459,0.0209478,0.0401490,0.0785923", \ - "0.00276787,0.00468976,0.00686520,0.0114460,0.0209478,0.0401424,0.0785821", \ - "0.00297315,0.00482023,0.00694123,0.0114731,0.0209524,0.0401430,0.0785870", \ - "0.00377213,0.00549312,0.00740477,0.0116886,0.0210446,0.0401618,0.0785779", \ - "0.00489480,0.00656316,0.00837395,0.0122320,0.0212339,0.0402837,0.0786274", \ - "0.00632680,0.00789567,0.00974663,0.0133419,0.0217583,0.0405428,0.0787616", \ - "0.00804627,0.00951876,0.0113555,0.0149490,0.0227194,0.0411317,0.0791072"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("7.792400,7.829838,7.975545,8.196154,8.391059,8.449950,8.450458", \ - "7.713747,7.762233,7.879899,8.125579,8.314922,8.375056,8.376821", \ - "8.131517,8.196611,8.256399,8.483727,8.662111,8.711655,8.712819", \ - "9.820241,9.825837,9.768439,9.824117,9.899738,9.869397,9.825377", \ - "12.398690,12.205210,12.060000,12.011470,12.067550,11.957670,11.839860", \ - "16.224080,15.938230,15.663800,15.383430,15.296930,15.228840,15.006900", \ - "21.246570,20.981850,20.614130,20.174380,19.915630,19.742720,19.566460"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("4.665967,4.875181,4.900717,4.899258,5.042499,4.855740,4.475803", \ - "4.675862,4.885783,4.913495,5.009478,5.001214,4.977893,5.123936", \ - "5.313842,5.360155,5.345422,5.274605,5.268543,5.034012,5.257822", \ - "7.062803,7.086725,6.948643,6.743693,6.623272,6.264521,6.400189", \ - "9.800432,9.772356,9.773075,9.575360,9.165816,8.447473,8.348309", \ - "13.455350,13.153230,13.168770,13.284200,13.043620,12.572460,11.989000", \ - "17.887390,17.477480,17.409190,17.614410,17.833670,18.080230,16.737140"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("8.857838,8.916498,9.033903,9.267393,9.462255,9.516164,9.518938", \ - "8.767035,8.847683,8.940157,9.174617,9.365046,9.423936,9.421824", \ - "8.771201,8.884403,8.952358,9.170839,9.356588,9.417974,9.417122", \ - "9.781281,9.896705,9.887624,10.003950,10.114540,10.128310,10.096820", \ - "11.723960,11.636900,11.589010,11.707090,11.881410,11.831740,11.752500", \ - "14.898770,14.742020,14.625400,14.536020,14.624010,14.718800,14.567980", \ - "18.952620,18.941470,18.765240,18.603730,18.642880,18.715320,18.693770"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.283088,5.467233,5.599028,5.625934,5.650867,5.558481,5.556960", \ - "5.250796,5.422036,5.511276,5.561954,5.406363,5.437844,4.835319", \ - "5.832071,5.946923,5.933762,5.866390,5.730228,5.659451,5.858816", \ - "7.634840,7.627914,7.463337,7.196949,7.065976,6.885052,6.417261", \ - "10.577370,10.563210,10.378270,10.109410,9.639066,9.057116,8.488087", \ - "14.633060,14.434460,14.306220,14.109390,13.651270,12.803400,11.474180", \ - "19.744240,19.197560,19.113810,19.063950,18.834320,18.322250,17.066330"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR2_X4 - Cell Description : Combinational cell (OR2_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OR2_X4) { - - drive_strength : 4; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 318.798781; - - leakage_power () { - when : "!A1 & !A2"; - value : 424.402500; - } - leakage_power () { - when : "!A1 & A2"; - value : 248.246750; - } - leakage_power () { - when : "A1 & !A2"; - value : 288.830500; - } - leakage_power () { - when : "A1 & A2"; - value : 313.715375; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.480130; - fall_capacitance : 2.800866; - rise_capacitance : 3.480130; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.511587; - fall_capacitance : 3.333256; - rise_capacitance : 3.511587; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0179819,0.0211618,0.0239224,0.0287216,0.0371254,0.0524774,0.0822293", \ - "0.0185407,0.0217116,0.0244693,0.0292666,0.0376704,0.0530234,0.0827761", \ - "0.0224830,0.0256258,0.0283637,0.0331423,0.0415359,0.0568856,0.0866347", \ - "0.0299564,0.0331641,0.0359380,0.0407634,0.0491750,0.0645022,0.0942081", \ - "0.0393207,0.0427185,0.0456197,0.0505781,0.0591550,0.0746720,0.104369", \ - "0.0510374,0.0546794,0.0577906,0.0630272,0.0718962,0.0875815,0.117434", \ - "0.0651430,0.0690577,0.0724302,0.0780800,0.0874872,0.103735,0.133865"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00979787,0.0127817,0.0155515,0.0208264,0.0311891,0.0518191,0.0930134", \ - "0.0106229,0.0135992,0.0163628,0.0216341,0.0319977,0.0526312,0.0938283", \ - "0.0130307,0.0160023,0.0187265,0.0239143,0.0342041,0.0548013,0.0959864", \ - "0.0141159,0.0173189,0.0201187,0.0252712,0.0354434,0.0559158,0.0970135", \ - "0.0129948,0.0164814,0.0195612,0.0248891,0.0350166,0.0553746,0.0963361", \ - "0.00929338,0.0130848,0.0164339,0.0222175,0.0324631,0.0528744,0.0937865", \ - "0.00277571,0.00688903,0.0104962,0.0167893,0.0274938,0.0479559,0.0891466"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00406052,0.00552508,0.00700396,0.00992016,0.0157322,0.0277848,0.0530242", \ - "0.00406104,0.00552570,0.00700445,0.00992053,0.0157322,0.0277853,0.0530233", \ - "0.00407091,0.00554868,0.00703024,0.00994239,0.0157459,0.0277902,0.0530238", \ - "0.00491974,0.00625610,0.00763332,0.0103851,0.0159892,0.0278737,0.0530343", \ - "0.00601301,0.00730538,0.00858223,0.0111847,0.0166487,0.0283176,0.0531181", \ - "0.00740064,0.00871635,0.00997043,0.0124377,0.0176032,0.0288906,0.0535104", \ - "0.00915322,0.0105286,0.0118295,0.0142696,0.0192575,0.0301323,0.0541019"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00243233,0.00448708,0.00670255,0.0113420,0.0208983,0.0401479,0.0786542", \ - "0.00243263,0.00448864,0.00670339,0.0113425,0.0208998,0.0401474,0.0786442", \ - "0.00275391,0.00470468,0.00683266,0.0113793,0.0209041,0.0401393,0.0786432", \ - "0.00363335,0.00545050,0.00736216,0.0116488,0.0210134,0.0401639,0.0786483", \ - "0.00489788,0.00665261,0.00849714,0.0123706,0.0213693,0.0403447,0.0786818", \ - "0.00649738,0.00814509,0.0100416,0.0137012,0.0221118,0.0409150,0.0789390", \ - "0.00841757,0.00998072,0.0118497,0.0155818,0.0233487,0.0417861,0.0797253"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0202670,0.0234447,0.0262051,0.0310036,0.0394066,0.0547577,0.0845077", \ - "0.0210782,0.0242527,0.0270121,0.0318104,0.0402140,0.0555661,0.0853166", \ - "0.0238314,0.0269825,0.0297296,0.0345176,0.0429170,0.0582692,0.0880217", \ - "0.0292561,0.0324817,0.0352775,0.0401299,0.0485716,0.0639231,0.0936476", \ - "0.0371783,0.0405492,0.0434554,0.0484647,0.0571378,0.0726870,0.102417", \ - "0.0473915,0.0509738,0.0540587,0.0593342,0.0683812,0.0842996,0.114197", \ - "0.0595557,0.0633851,0.0666963,0.0723346,0.0819350,0.0986285,0.129099"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0110989,0.0141276,0.0169189,0.0222117,0.0325859,0.0532283,0.0944420", \ - "0.0118322,0.0148581,0.0176460,0.0229356,0.0333094,0.0539551,0.0951682", \ - "0.0145890,0.0175874,0.0203260,0.0255367,0.0358362,0.0564397,0.0976331", \ - "0.0165455,0.0197301,0.0225188,0.0276647,0.0378320,0.0583031,0.0994081", \ - "0.0166868,0.0201300,0.0231461,0.0283839,0.0384117,0.0587014,0.0996609", \ - "0.0147853,0.0185201,0.0217887,0.0273845,0.0374370,0.0575993,0.0983672", \ - "0.0107022,0.0147505,0.0182507,0.0243123,0.0346479,0.0547767,0.0954924"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00406138,0.00552478,0.00700378,0.00991976,0.0157325,0.0277852,0.0530245", \ - "0.00406118,0.00552499,0.00700398,0.00992041,0.0157321,0.0277853,0.0530235", \ - "0.00407178,0.00554251,0.00702230,0.00993587,0.0157416,0.0277882,0.0530233", \ - "0.00465800,0.00607129,0.00749134,0.0102953,0.0159433,0.0278575,0.0530337", \ - "0.00543555,0.00684310,0.00823739,0.0110014,0.0165651,0.0282228,0.0530981", \ - "0.00656240,0.00799471,0.00938734,0.0121158,0.0175861,0.0289286,0.0534032", \ - "0.00801220,0.00949679,0.0109308,0.0136838,0.0191983,0.0304255,0.0541881"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00259462,0.00464411,0.00683404,0.0114287,0.0209463,0.0401792,0.0786775", \ - "0.00259435,0.00464418,0.00683471,0.0114289,0.0209494,0.0401714,0.0786764", \ - "0.00281169,0.00478040,0.00691316,0.0114576,0.0209530,0.0401698,0.0786715", \ - "0.00362118,0.00543091,0.00736006,0.0116697,0.0210416,0.0401924,0.0786700", \ - "0.00476437,0.00649382,0.00830989,0.0122015,0.0212389,0.0403185,0.0787210", \ - "0.00621851,0.00782811,0.00967310,0.0132893,0.0217602,0.0405849,0.0788545", \ - "0.00795468,0.00945666,0.0112801,0.0148775,0.0227050,0.0411840,0.0792096"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.189470,15.367820,15.580260,16.032330,16.387950,16.496830,16.501290", \ - "15.105310,15.241230,15.441130,15.889070,16.271530,16.368080,16.372020", \ - "15.941690,16.089930,16.211510,16.604540,16.965320,17.066380,17.070460", \ - "19.314410,19.291570,19.200960,19.310320,19.447870,19.396800,19.327250", \ - "24.529280,24.174300,23.742880,23.697340,23.824810,23.593350,23.375120", \ - "32.132560,31.709230,31.029000,30.481280,30.290180,30.164310,29.742050", \ - "42.261500,41.689820,41.034970,40.093790,39.554290,39.216680,38.891860"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.682510,9.162537,9.189239,9.494081,9.672623,9.265463,8.470193", \ - "8.726861,9.145925,9.265695,9.501927,9.434450,9.278035,8.457091", \ - "10.027350,10.170490,10.210920,10.087200,10.027440,9.858983,8.845737", \ - "13.687780,13.692990,13.459350,13.008670,12.692830,12.533210,12.356060", \ - "19.136630,19.066590,19.118480,18.558380,18.097340,17.288100,16.334480", \ - "26.451640,25.823970,26.007900,25.908050,25.896280,24.186060,23.539860", \ - "35.726430,34.346080,34.248400,34.637060,35.574620,35.966950,32.888330"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.374940,17.491850,17.703520,18.161100,18.554950,18.647170,18.643840", \ - "17.227240,17.273730,17.532450,17.968040,18.367680,18.457560,18.464930", \ - "17.361710,17.392580,17.568810,18.003230,18.356310,18.462790,18.458750", \ - "19.416230,19.440440,19.425210,19.667020,19.897880,19.897660,19.855630", \ - "23.095340,23.098650,22.960420,23.084750,23.447330,23.345610,23.201770", \ - "29.417530,29.297160,29.047070,28.798910,28.993940,29.167970,28.877700", \ - "37.894180,37.690020,37.351800,36.897980,37.026410,37.213860,37.177390"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.950806,10.410230,10.580720,10.620600,10.949420,10.536490,10.532490", \ - "9.913613,10.330880,10.471390,10.672040,10.667880,10.298820,11.053720", \ - "11.018680,11.288650,11.263440,11.299410,11.204820,10.727900,10.056110", \ - "14.769880,14.707930,14.348360,13.964630,13.413590,12.763820,12.543970", \ - "20.729160,20.583290,20.313850,19.590470,18.616940,17.913710,16.691980", \ - "28.811330,28.322700,28.089440,27.489060,26.524700,25.427550,22.645920", \ - "39.100360,37.853960,37.624400,37.414430,37.113430,35.363760,33.852760"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR3_X1 - Cell Description : Combinational cell (OR3_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OR3_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 81.374937; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 124.500125; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 61.252125; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 61.869500; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 77.893000; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 71.840250; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 77.941875; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 78.049625; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 97.653000; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.989847; - fall_capacitance : 0.782060; - rise_capacitance : 0.989847; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.970438; - fall_capacitance : 0.866698; - rise_capacitance : 0.970438; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.944149; - fall_capacitance : 0.919408; - rise_capacitance : 0.944149; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0292643,0.0324472,0.0357602,0.0414311,0.0511440,0.0680193,0.0986841", \ - "0.0295524,0.0327311,0.0360400,0.0417099,0.0514230,0.0682986,0.0989646", \ - "0.0330336,0.0362105,0.0395160,0.0451790,0.0548867,0.0717616,0.102430", \ - "0.0415064,0.0446296,0.0478912,0.0535035,0.0631672,0.0800180,0.110668", \ - "0.0533445,0.0565524,0.0598854,0.0655659,0.0753123,0.0922174,0.122859", \ - "0.0678486,0.0712049,0.0746771,0.0805210,0.0903751,0.107454,0.138336", \ - "0.0855573,0.0890889,0.0927558,0.0988892,0.109058,0.126399,0.157445"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0115948,0.0141534,0.0169592,0.0222379,0.0325642,0.0531388,0.0942531", \ - "0.0124482,0.0150040,0.0178064,0.0230825,0.0334088,0.0539857,0.0951007", \ - "0.0151959,0.0177466,0.0205082,0.0257109,0.0359663,0.0565015,0.0976004", \ - "0.0166029,0.0193680,0.0222581,0.0274600,0.0375978,0.0579916,0.0989933", \ - "0.0152010,0.0182155,0.0214020,0.0268482,0.0369312,0.0571750,0.0980166", \ - "0.0105181,0.0138028,0.0172630,0.0232152,0.0334882,0.0536797,0.0944186", \ - "0.00225978,0.00583067,0.00956856,0.0160389,0.0268764,0.0470586,0.0878987"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00669177,0.00809772,0.00968871,0.0127368,0.0186619,0.0302778,0.0542487", \ - "0.00669299,0.00809548,0.00968872,0.0127378,0.0186623,0.0302782,0.0542488", \ - "0.00668808,0.00809387,0.00968815,0.0127387,0.0186629,0.0302769,0.0542486", \ - "0.00675960,0.00816248,0.00976557,0.0128137,0.0187243,0.0303181,0.0542640", \ - "0.00790540,0.00919633,0.0106737,0.0135594,0.0192933,0.0306451,0.0543797", \ - "0.00916357,0.0104368,0.0118577,0.0145624,0.0200624,0.0313641,0.0548288", \ - "0.0106895,0.0119835,0.0134230,0.0160535,0.0212941,0.0322037,0.0554712"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00291916,0.00463708,0.00679149,0.0113318,0.0208084,0.0399933,0.0784145", \ - "0.00291910,0.00463835,0.00679303,0.0113320,0.0208086,0.0399938,0.0784061", \ - "0.00315523,0.00480768,0.00689309,0.0113686,0.0208123,0.0400026,0.0784059", \ - "0.00401230,0.00560846,0.00750980,0.0116784,0.0209201,0.0400089,0.0784113", \ - "0.00522858,0.00680818,0.00869659,0.0124692,0.0212416,0.0401663,0.0784534", \ - "0.00678040,0.00829066,0.0102467,0.0139032,0.0219865,0.0406006,0.0786696", \ - "0.00864843,0.0101032,0.0120395,0.0158315,0.0233292,0.0412951,0.0792921"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0346202,0.0378031,0.0411122,0.0467828,0.0564960,0.0733698,0.104035", \ - "0.0350823,0.0382642,0.0415738,0.0472435,0.0569567,0.0738313,0.104496", \ - "0.0373975,0.0405751,0.0438797,0.0495447,0.0592530,0.0761282,0.106797", \ - "0.0434907,0.0466302,0.0499062,0.0555298,0.0652045,0.0820640,0.112717", \ - "0.0533399,0.0565769,0.0599532,0.0657107,0.0755415,0.0925095,0.123187", \ - "0.0665961,0.0699421,0.0734240,0.0793268,0.0893313,0.106568,0.137508", \ - "0.0831535,0.0866523,0.0902865,0.0964345,0.106754,0.124369,0.155609"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0130486,0.0156472,0.0184795,0.0237801,0.0341166,0.0547009,0.0958269", \ - "0.0138286,0.0164261,0.0192568,0.0245548,0.0348918,0.0554764,0.0966032", \ - "0.0167331,0.0193002,0.0220890,0.0273215,0.0375909,0.0581335,0.0992389", \ - "0.0189141,0.0216708,0.0245563,0.0297629,0.0399022,0.0602933,0.101295", \ - "0.0184716,0.0214604,0.0246077,0.0299924,0.0400130,0.0602108,0.101052", \ - "0.0150280,0.0182794,0.0216941,0.0275193,0.0376424,0.0576729,0.0983058", \ - "0.00836758,0.0119006,0.0155749,0.0218919,0.0324551,0.0524071,0.0929282"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00669073,0.00809705,0.00969005,0.0127375,0.0186628,0.0302780,0.0542473", \ - "0.00669103,0.00809705,0.00969032,0.0127378,0.0186635,0.0302775,0.0542474", \ - "0.00669382,0.00809819,0.00969406,0.0127421,0.0186661,0.0302800,0.0542476", \ - "0.00686601,0.00824183,0.00981848,0.0128440,0.0187411,0.0303257,0.0542649", \ - "0.00766453,0.00903777,0.0105885,0.0135408,0.0192959,0.0306457,0.0543783", \ - "0.00855491,0.00992933,0.0114665,0.0143595,0.0200399,0.0313525,0.0547869", \ - "0.00980110,0.0111896,0.0127404,0.0156058,0.0211863,0.0322980,0.0554479"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00307212,0.00479404,0.00692904,0.0114275,0.0208612,0.0400288,0.0784354", \ - "0.00307197,0.00479399,0.00692859,0.0114271,0.0208613,0.0400252,0.0784348", \ - "0.00320209,0.00488268,0.00698833,0.0114510,0.0208662,0.0400319,0.0784519", \ - "0.00402601,0.00561651,0.00753111,0.0117201,0.0209581,0.0400418,0.0784320", \ - "0.00517081,0.00672611,0.00859053,0.0123729,0.0211740,0.0401604,0.0784832", \ - "0.00662028,0.00810226,0.0100192,0.0136269,0.0217551,0.0403996,0.0786102", \ - "0.00835828,0.00977768,0.0116732,0.0153617,0.0228702,0.0409102,0.0789413"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0365774,0.0397600,0.0430695,0.0487407,0.0584528,0.0753271,0.105992", \ - "0.0372701,0.0404501,0.0437596,0.0494298,0.0591424,0.0760176,0.106683", \ - "0.0393276,0.0425053,0.0458136,0.0514802,0.0611904,0.0780655,0.108731", \ - "0.0429799,0.0461358,0.0494211,0.0550587,0.0647463,0.0816084,0.112263", \ - "0.0496807,0.0529202,0.0562955,0.0620591,0.0718936,0.0888823,0.119576", \ - "0.0603803,0.0637306,0.0672219,0.0731519,0.0832084,0.100506,0.131453", \ - "0.0742425,0.0777561,0.0814275,0.0876483,0.0981170,0.115975,0.147416"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0136905,0.0163549,0.0192513,0.0246297,0.0350305,0.0556550,0.0968026", \ - "0.0144083,0.0170715,0.0199663,0.0253430,0.0357450,0.0563697,0.0975213", \ - "0.0174677,0.0200787,0.0229179,0.0282180,0.0385470,0.0591268,0.100254", \ - "0.0202733,0.0230511,0.0259665,0.0312164,0.0413911,0.0618012,0.102815", \ - "0.0206863,0.0236989,0.0268598,0.0322663,0.0423144,0.0625228,0.103354", \ - "0.0185156,0.0217968,0.0252180,0.0310454,0.0411806,0.0612066,0.101815", \ - "0.0136408,0.0172162,0.0208953,0.0272056,0.0377627,0.0577140,0.0981783"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00669063,0.00809676,0.00969012,0.0127383,0.0186622,0.0302787,0.0542471", \ - "0.00669103,0.00809678,0.00968956,0.0127389,0.0186630,0.0302769,0.0542471", \ - "0.00669303,0.00809719,0.00969294,0.0127405,0.0186649,0.0302794,0.0542493", \ - "0.00683718,0.00821941,0.00979406,0.0128222,0.0187232,0.0303151,0.0542611", \ - "0.00750674,0.00889526,0.0104644,0.0134380,0.0192319,0.0306213,0.0543682", \ - "0.00835819,0.00975793,0.0113299,0.0142814,0.0200275,0.0313382,0.0547589", \ - "0.00965028,0.0110918,0.0126982,0.0156646,0.0213835,0.0325851,0.0555688"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00325474,0.00500235,0.00714457,0.0116166,0.0209898,0.0401013,0.0784790", \ - "0.00324739,0.00499574,0.00713774,0.0116139,0.0209885,0.0401038,0.0784787", \ - "0.00329947,0.00501776,0.00714700,0.0116098,0.0209836,0.0401035,0.0784953", \ - "0.00413842,0.00572912,0.00765903,0.0118492,0.0210571,0.0401198,0.0784999", \ - "0.00529936,0.00683274,0.00869509,0.0124864,0.0212824,0.0402345,0.0785412", \ - "0.00678534,0.00823273,0.0101229,0.0137259,0.0218597,0.0404798,0.0786666", \ - "0.00858981,0.00996364,0.0118205,0.0154721,0.0229885,0.0410121,0.0790029"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.927283,4.909837,4.870736,4.861098,4.937166,5.012254,4.987661", \ - "4.887373,4.893743,4.832780,4.821823,4.892464,4.969207,4.944534", \ - "4.981346,4.996083,4.971623,4.935330,5.009289,5.080853,5.058473", \ - "5.558347,5.551163,5.486503,5.439017,5.481659,5.519874,5.486049", \ - "6.707614,6.670712,6.588051,6.435907,6.396216,6.362891,6.282552", \ - "8.280600,8.190563,8.052914,7.817951,7.691772,7.624981,7.483961", \ - "10.352800,10.257760,10.097580,9.783597,9.528504,9.378162,9.209843"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.397588,2.471293,2.532730,2.540874,2.544427,2.421934,2.564946", \ - "2.452730,2.515958,2.507880,2.583613,2.585154,2.452095,2.603189", \ - "2.747283,2.760397,2.715360,2.726468,2.670706,2.587260,2.319564", \ - "3.503276,3.463696,3.383936,3.300105,3.234060,3.009953,2.875580", \ - "4.644036,4.642066,4.634610,4.442471,4.231383,3.989553,4.049774", \ - "6.269583,6.127635,6.107748,6.056553,5.848987,5.653859,5.189353", \ - "8.261763,7.923166,7.876326,7.868465,7.823944,7.789695,7.430341"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.430066,5.463311,5.404633,5.389592,5.465525,5.534227,5.509960", \ - "5.406275,5.412734,5.366472,5.340234,5.417355,5.486133,5.464967", \ - "5.387715,5.378444,5.332062,5.306410,5.375564,5.453078,5.429428", \ - "5.723989,5.680829,5.648378,5.577816,5.620393,5.670642,5.635464", \ - "6.512609,6.511107,6.455125,6.331879,6.307955,6.307585,6.237697", \ - "7.684033,7.695290,7.592285,7.408682,7.359145,7.351673,7.234976", \ - "9.445844,9.415081,9.313791,9.052484,8.887251,8.829977,8.712414"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.793721,2.860354,2.909263,2.915755,2.922533,2.830419,2.793095", \ - "2.781576,2.851310,2.895947,2.897845,2.914151,2.920833,2.925392", \ - "3.006470,3.054701,3.018081,3.022986,3.021365,2.894930,3.043506", \ - "3.762775,3.750162,3.676485,3.584110,3.379886,3.418335,3.398448", \ - "5.038722,4.987691,4.935016,4.768279,4.468273,4.235148,3.931526", \ - "6.680004,6.603853,6.552346,6.357666,6.092602,5.807376,5.231361", \ - "8.847697,8.614171,8.472453,8.446218,8.288702,7.835910,7.392622"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.917605,5.936955,5.888093,5.871159,5.947437,6.012781,5.988475", \ - "5.888200,5.893045,5.840581,5.825674,5.904770,5.967748,5.944402", \ - "5.841549,5.828078,5.806727,5.773131,5.845210,5.920405,5.894658", \ - "6.007383,6.017887,5.970074,5.922534,5.965555,6.022904,5.990909", \ - "6.693865,6.701287,6.654384,6.569652,6.577716,6.593303,6.531122", \ - "7.982977,7.962553,7.874842,7.742589,7.711828,7.741039,7.638694", \ - "9.850593,9.833750,9.773389,9.590057,9.467239,9.442833,9.357088"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.011404,3.135473,3.158591,3.178130,3.231367,3.247571,2.847495", \ - "2.967541,3.094627,3.117716,3.104461,3.205522,3.160257,3.104069", \ - "3.184015,3.254117,3.286615,3.246870,3.185060,3.001999,3.136180", \ - "3.986761,3.971513,3.894600,3.818000,3.769494,3.471578,3.578868", \ - "5.355458,5.306599,5.252286,5.072088,4.818884,4.562154,4.512845", \ - "7.317882,7.158177,7.093047,6.962565,6.701637,6.355175,5.806671", \ - "9.742086,9.420531,9.381730,9.306943,9.027747,8.540265,8.257911"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR3_X2 - Cell Description : Combinational cell (OR3_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OR3_X2) { - - drive_strength : 2; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 163.758937; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 250.430000; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 123.102875; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 124.360000; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 156.776375; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 144.632875; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 156.880000; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 157.096250; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 196.793125; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.825890; - fall_capacitance : 1.398721; - rise_capacitance : 1.825890; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.765868; - fall_capacitance : 1.537034; - rise_capacitance : 1.765868; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.710301; - fall_capacitance : 1.663505; - rise_capacitance : 1.710301; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0269242,0.0304030,0.0336076,0.0391296,0.0486383,0.0652575,0.0957326", \ - "0.0272206,0.0306928,0.0338948,0.0394156,0.0489245,0.0655441,0.0960198", \ - "0.0307908,0.0342618,0.0374587,0.0429726,0.0524761,0.0690951,0.0995722", \ - "0.0393149,0.0427074,0.0458544,0.0513132,0.0607715,0.0773652,0.107818", \ - "0.0508252,0.0543345,0.0575606,0.0630894,0.0726405,0.0893260,0.119778", \ - "0.0651081,0.0687847,0.0721550,0.0778415,0.0874877,0.104307,0.135010", \ - "0.0825983,0.0864774,0.0900465,0.0960315,0.105998,0.123096,0.153951"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0108066,0.0136546,0.0164386,0.0217107,0.0320495,0.0526442,0.0937783", \ - "0.0116617,0.0145053,0.0172852,0.0225545,0.0328940,0.0534885,0.0946303", \ - "0.0142861,0.0171287,0.0198667,0.0250591,0.0353262,0.0558811,0.0970083", \ - "0.0153887,0.0184621,0.0213083,0.0264842,0.0366341,0.0570587,0.0980883", \ - "0.0136837,0.0170373,0.0201730,0.0255593,0.0356440,0.0559308,0.0968148", \ - "0.00872203,0.0123711,0.0157820,0.0216551,0.0318820,0.0521271,0.0929300", \ - "0.000217513,0.00418157,0.00785690,0.0142426,0.0249934,0.0452134,0.0861506"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00622077,0.00775484,0.00932174,0.0123527,0.0182632,0.0299168,0.0540794", \ - "0.00622088,0.00775292,0.00932181,0.0123531,0.0182624,0.0299158,0.0540798", \ - "0.00621680,0.00774914,0.00931829,0.0123525,0.0182629,0.0299157,0.0540792", \ - "0.00641036,0.00789170,0.00944243,0.0124573,0.0183432,0.0299643,0.0540970", \ - "0.00753490,0.00892330,0.0103578,0.0132060,0.0189453,0.0303257,0.0542125", \ - "0.00879137,0.0101615,0.0115383,0.0141936,0.0196611,0.0309930,0.0546778", \ - "0.0103529,0.0117463,0.0131379,0.0157149,0.0209162,0.0318519,0.0552935"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00269388,0.00462717,0.00680776,0.0113907,0.0209031,0.0401113,0.0785732", \ - "0.00269402,0.00462805,0.00680908,0.0113909,0.0209027,0.0401121,0.0785718", \ - "0.00296504,0.00481725,0.00691994,0.0114269,0.0209065,0.0401177,0.0785633", \ - "0.00383144,0.00559650,0.00749932,0.0117187,0.0210163,0.0401243,0.0785777", \ - "0.00506755,0.00680156,0.00866685,0.0124707,0.0213372,0.0403014,0.0786119", \ - "0.00664584,0.00829026,0.0102208,0.0138643,0.0220524,0.0407588,0.0788279", \ - "0.00854217,0.0101140,0.0120228,0.0157827,0.0233352,0.0414668,0.0795080"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0323024,0.0357797,0.0389828,0.0445030,0.0540108,0.0706296,0.101102", \ - "0.0327566,0.0362303,0.0394326,0.0449528,0.0544611,0.0710802,0.101554", \ - "0.0350887,0.0385573,0.0417556,0.0472700,0.0567740,0.0733932,0.103868", \ - "0.0411650,0.0445971,0.0477667,0.0532450,0.0627192,0.0793243,0.109784", \ - "0.0508093,0.0543611,0.0576370,0.0632555,0.0729026,0.0896550,0.120142", \ - "0.0639084,0.0675817,0.0709662,0.0767340,0.0865542,0.103553,0.134311", \ - "0.0802505,0.0840946,0.0876422,0.0936639,0.103822,0.121231,0.152294"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0122660,0.0151585,0.0179672,0.0232592,0.0336080,0.0542134,0.0953645", \ - "0.0130450,0.0159361,0.0187428,0.0240330,0.0343816,0.0549876,0.0961387", \ - "0.0158825,0.0187437,0.0215057,0.0267261,0.0370056,0.0575688,0.0987021", \ - "0.0177862,0.0208497,0.0236893,0.0288658,0.0390160,0.0594352,0.100471", \ - "0.0170813,0.0204042,0.0234953,0.0288151,0.0388334,0.0590675,0.0999511", \ - "0.0134002,0.0170151,0.0203678,0.0261037,0.0361858,0.0562611,0.0969453", \ - "0.00653438,0.0104616,0.0140624,0.0202844,0.0307538,0.0507426,0.0913420"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00622115,0.00775426,0.00932194,0.0123531,0.0182631,0.0299172,0.0540792", \ - "0.00621976,0.00775523,0.00932244,0.0123535,0.0182632,0.0299161,0.0540798", \ - "0.00621956,0.00775715,0.00932634,0.0123580,0.0182669,0.0299191,0.0540811", \ - "0.00651021,0.00797959,0.00950831,0.0124940,0.0183617,0.0299738,0.0540993", \ - "0.00726186,0.00875895,0.0102762,0.0132018,0.0189555,0.0303257,0.0542118", \ - "0.00818069,0.00967099,0.0111741,0.0140340,0.0196839,0.0310086,0.0546278", \ - "0.00947390,0.0109793,0.0124930,0.0153276,0.0208805,0.0319951,0.0552809"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00285129,0.00478482,0.00694320,0.0114836,0.0209554,0.0401430,0.0785838", \ - "0.00285116,0.00478517,0.00694337,0.0114828,0.0209558,0.0401468,0.0785923", \ - "0.00301771,0.00489032,0.00700845,0.0115102,0.0209593,0.0401466,0.0785869", \ - "0.00384408,0.00560133,0.00751829,0.0117559,0.0210524,0.0401630,0.0785907", \ - "0.00500012,0.00670575,0.00854723,0.0123646,0.0212625,0.0402853,0.0786376", \ - "0.00646486,0.00807758,0.00996874,0.0135683,0.0218125,0.0405385,0.0787689", \ - "0.00821892,0.00974940,0.0116226,0.0152758,0.0228611,0.0410647,0.0791302"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0342676,0.0377451,0.0409479,0.0464685,0.0559768,0.0725954,0.103067", \ - "0.0349452,0.0384205,0.0416231,0.0471431,0.0566516,0.0732705,0.103745", \ - "0.0370000,0.0404711,0.0436716,0.0491881,0.0586933,0.0753126,0.105788", \ - "0.0406221,0.0440760,0.0472564,0.0527497,0.0622343,0.0788412,0.109303", \ - "0.0472291,0.0507785,0.0540567,0.0596818,0.0693328,0.0860960,0.116599", \ - "0.0578384,0.0615233,0.0649224,0.0707254,0.0806152,0.0976940,0.128454", \ - "0.0714795,0.0753595,0.0789499,0.0850597,0.0953945,0.113087,0.144378"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0128691,0.0158400,0.0187158,0.0240899,0.0345079,0.0551519,0.0963323", \ - "0.0135900,0.0165591,0.0194329,0.0248050,0.0352229,0.0558699,0.0970493", \ - "0.0166288,0.0195353,0.0223479,0.0276361,0.0379776,0.0585790,0.0997364", \ - "0.0191750,0.0222639,0.0251352,0.0303569,0.0405434,0.0609831,0.102028", \ - "0.0193638,0.0227120,0.0258156,0.0311616,0.0412082,0.0614500,0.102326", \ - "0.0170042,0.0206471,0.0240049,0.0297465,0.0398441,0.0599130,0.100576", \ - "0.0119778,0.0159482,0.0195546,0.0257719,0.0362418,0.0562304,0.0967665"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00622083,0.00775446,0.00932255,0.0123532,0.0182630,0.0299174,0.0540803", \ - "0.00621866,0.00775487,0.00932268,0.0123537,0.0182640,0.0299172,0.0540797", \ - "0.00621941,0.00775552,0.00932505,0.0123561,0.0182654,0.0299174,0.0540796", \ - "0.00644830,0.00793576,0.00947025,0.0124645,0.0183390,0.0299606,0.0540944", \ - "0.00709205,0.00860656,0.0101458,0.0130992,0.0188807,0.0302941,0.0542028", \ - "0.00799086,0.00952160,0.0110612,0.0139840,0.0197044,0.0310174,0.0545943", \ - "0.00934926,0.0109133,0.0124899,0.0154343,0.0211416,0.0323495,0.0554295"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00303985,0.00500433,0.00716677,0.0116790,0.0210906,0.0402297,0.0786368", \ - "0.00303083,0.00499598,0.00715945,0.0116746,0.0210888,0.0402262,0.0786408", \ - "0.00311722,0.00502698,0.00716980,0.0116714,0.0210834,0.0402215,0.0786523", \ - "0.00396451,0.00572066,0.00765337,0.0118919,0.0211584,0.0402378,0.0786429", \ - "0.00514442,0.00681871,0.00866078,0.0124847,0.0213668,0.0403643,0.0786909", \ - "0.00664629,0.00821881,0.0100833,0.0136798,0.0219207,0.0406252,0.0788442", \ - "0.00847149,0.00994899,0.0117807,0.0153969,0.0229945,0.0411723,0.0791865"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("9.315057,9.305885,9.225459,9.165507,9.305757,9.425470,9.392649", \ - "9.242075,9.186850,9.123364,9.080230,9.238990,9.340574,9.302554", \ - "9.488409,9.448785,9.387134,9.309351,9.473955,9.587390,9.553552", \ - "10.752420,10.657450,10.493270,10.376990,10.454820,10.502540,10.437100", \ - "13.055340,12.864350,12.647940,12.364820,12.269580,12.199830,12.045260", \ - "16.201970,15.957570,15.600420,15.150260,14.901610,14.774040,14.492010", \ - "20.507080,20.232120,19.826850,19.165750,18.624050,18.324390,18.018340"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("4.649927,4.867593,4.899304,4.914977,4.917792,4.846249,5.095686", \ - "4.701230,4.933654,5.003209,5.042837,4.933397,4.884342,5.134380", \ - "5.330354,5.424616,5.409147,5.374465,5.263076,5.118209,4.395251", \ - "6.874323,6.887273,6.779333,6.482701,6.355909,5.958891,5.882626", \ - "9.230854,9.151809,9.125621,8.960083,8.494305,7.899139,7.571780", \ - "12.468120,12.115160,12.065960,12.111900,11.908140,11.179960,10.478140", \ - "16.333390,15.771360,15.690740,15.636210,15.656140,15.995010,15.262340"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("10.345730,10.391370,10.239610,10.230710,10.389330,10.490120,10.457540", \ - "10.334280,10.259300,10.185440,10.130040,10.295790,10.405870,10.364890", \ - "10.273700,10.222370,10.096320,10.071460,10.226320,10.326820,10.297650", \ - "11.050060,10.969570,10.808290,10.664870,10.752700,10.800060,10.737420", \ - "12.628450,12.545590,12.387680,12.163150,12.123840,12.095620,11.965400", \ - "15.147870,14.988180,14.721130,14.396180,14.270840,14.228630,14.002850", \ - "18.682280,18.530130,18.227590,17.718270,17.377930,17.262360,17.042570"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.398468,5.624198,5.615973,5.766609,5.832875,5.900423,5.348997", \ - "5.423968,5.597898,5.670025,5.667402,5.811672,5.729069,5.644080", \ - "5.915103,6.022788,6.039900,5.901348,5.999129,6.031052,5.328541", \ - "7.480655,7.469915,7.335651,7.096513,6.805261,6.549833,6.150145", \ - "9.923328,9.904348,9.786397,9.451589,8.876197,8.516577,7.846500", \ - "13.385860,13.044550,13.010200,12.742240,12.163560,11.628760,10.513840", \ - "17.723220,17.099560,16.894040,16.812530,16.513120,15.841980,13.980780"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("11.324680,11.369500,11.232050,11.199150,11.365770,11.466350,11.424590", \ - "11.245920,11.218990,11.147240,11.105840,11.274740,11.383470,11.339540", \ - "11.155950,11.178140,11.050690,11.019770,11.175400,11.277910,11.245040", \ - "11.677070,11.559800,11.457480,11.332490,11.444950,11.508510,11.452870", \ - "12.965970,12.924370,12.819950,12.677440,12.689990,12.679760,12.563330", \ - "15.652940,15.516160,15.358120,15.049700,15.020600,15.056500,14.857680", \ - "19.504620,19.382790,19.256830,18.871620,18.579040,18.557330,18.392160"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.866934,6.084308,6.182069,6.348494,6.390408,6.328885,5.718299", \ - "5.774642,5.995828,6.128774,6.180616,6.320792,6.383063,6.315364", \ - "6.240442,6.437338,6.437738,6.451668,6.436899,6.393492,6.265540", \ - "7.860964,7.917068,7.805176,7.547767,7.355681,7.214460,7.258422", \ - "10.703390,10.605640,10.443620,10.064630,9.686428,9.191079,8.182373", \ - "14.506880,14.222510,14.083900,13.889290,13.276180,12.393430,11.944190", \ - "19.350270,18.927110,18.753880,18.588070,18.181870,17.296640,16.629060"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR3_X4 - Cell Description : Combinational cell (OR3_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OR3_X4) { - - drive_strength : 4; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 327.520984; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 500.868750; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 246.208500; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 248.723000; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 313.554500; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 289.269250; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 313.761750; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 314.194125; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 393.588000; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.490877; - fall_capacitance : 2.659167; - rise_capacitance : 3.490877; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.479001; - fall_capacitance : 3.052295; - rise_capacitance : 3.479001; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.503177; - fall_capacitance : 3.376699; - rise_capacitance : 3.503177; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0255137,0.0291545,0.0323153,0.0377687,0.0471766,0.0636732,0.0940782", \ - "0.0258446,0.0294785,0.0326369,0.0380896,0.0474971,0.0639945,0.0944026", \ - "0.0294923,0.0331206,0.0362757,0.0417217,0.0511233,0.0676208,0.0980309", \ - "0.0380161,0.0415725,0.0446757,0.0500650,0.0594195,0.0758889,0.106272", \ - "0.0493645,0.0530403,0.0562206,0.0616778,0.0711271,0.0877099,0.118092", \ - "0.0635462,0.0673961,0.0707202,0.0763328,0.0858738,0.102568,0.133207", \ - "0.0809346,0.0849971,0.0885235,0.0944393,0.104308,0.121293,0.152079"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0103023,0.0133279,0.0161196,0.0214086,0.0317749,0.0524080,0.0936181", \ - "0.0111615,0.0141816,0.0169684,0.0222543,0.0326215,0.0532590,0.0944726", \ - "0.0136955,0.0167129,0.0194590,0.0246668,0.0349615,0.0555634,0.0967622", \ - "0.0146057,0.0178544,0.0206934,0.0258777,0.0360592,0.0565332,0.0976445", \ - "0.0127045,0.0162431,0.0193650,0.0247386,0.0348541,0.0551993,0.0961694", \ - "0.00755269,0.0114051,0.0147971,0.0206418,0.0308768,0.0511911,0.0920946", \ - "-0.00112704,0.00305593,0.00670673,0.0130614,0.0237933,0.0440725,0.0851269"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00592889,0.00752323,0.00907949,0.0121037,0.0180108,0.0297039,0.0540120", \ - "0.00592802,0.00752277,0.00907857,0.0121038,0.0180103,0.0297046,0.0540115", \ - "0.00592236,0.00751616,0.00907538,0.0121032,0.0180114,0.0297052,0.0540108", \ - "0.00620123,0.00772065,0.00923726,0.0122301,0.0181037,0.0297577,0.0540278", \ - "0.00730199,0.00872814,0.0101404,0.0129703,0.0187159,0.0301393,0.0541443", \ - "0.00856482,0.00997097,0.0113222,0.0139527,0.0194043,0.0307722,0.0546141", \ - "0.0101597,0.0115843,0.0129472,0.0154929,0.0206782,0.0316499,0.0552201"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00251088,0.00457034,0.00676995,0.0113811,0.0209202,0.0401725,0.0786935", \ - "0.00251091,0.00457126,0.00677112,0.0113832,0.0209207,0.0401703,0.0787099", \ - "0.00280321,0.00477101,0.00689091,0.0114188,0.0209271,0.0401696,0.0787007", \ - "0.00368303,0.00552902,0.00744617,0.0117023,0.0210379,0.0401865,0.0787055", \ - "0.00494280,0.00673340,0.00859496,0.0124311,0.0213628,0.0403652,0.0787438", \ - "0.00654431,0.00822985,0.0101487,0.0137971,0.0220595,0.0408467,0.0789786", \ - "0.00846705,0.0100685,0.0119573,0.0157049,0.0233108,0.0415517,0.0796847"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0308824,0.0345196,0.0376782,0.0431305,0.0525372,0.0690333,0.0994381", \ - "0.0313419,0.0349771,0.0381355,0.0435878,0.0529950,0.0694914,0.0998976", \ - "0.0336992,0.0373290,0.0404824,0.0459282,0.0553317,0.0718279,0.102234", \ - "0.0397553,0.0433578,0.0464892,0.0519037,0.0612812,0.0777631,0.108152", \ - "0.0493139,0.0530338,0.0562698,0.0618262,0.0713827,0.0880322,0.118452", \ - "0.0623368,0.0661885,0.0695338,0.0752415,0.0849749,0.101859,0.132551", \ - "0.0785608,0.0825984,0.0861175,0.0920847,0.102168,0.119488,0.150485"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0117132,0.0147827,0.0175974,0.0229054,0.0332829,0.0539311,0.0951580", \ - "0.0124934,0.0155612,0.0183738,0.0236796,0.0340569,0.0547046,0.0959326", \ - "0.0152770,0.0183142,0.0210800,0.0263135,0.0366214,0.0572298,0.0984395", \ - "0.0169906,0.0202262,0.0230581,0.0282410,0.0384183,0.0588910,0.100008", \ - "0.0160948,0.0196051,0.0226770,0.0279845,0.0380280,0.0583163,0.0992864", \ - "0.0122421,0.0160535,0.0193824,0.0250868,0.0351744,0.0553125,0.0960915", \ - "0.00521528,0.00935451,0.0129260,0.0191085,0.0295496,0.0495975,0.0903071"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00592824,0.00752411,0.00908029,0.0121049,0.0180116,0.0297049,0.0540119", \ - "0.00592956,0.00752375,0.00908030,0.0121047,0.0180114,0.0297053,0.0540105", \ - "0.00593014,0.00752715,0.00908544,0.0121102,0.0180168,0.0297073,0.0540128", \ - "0.00629416,0.00780521,0.00930764,0.0122708,0.0181240,0.0297674,0.0540316", \ - "0.00701636,0.00856385,0.0100661,0.0129799,0.0187350,0.0301369,0.0541442", \ - "0.00795486,0.00949548,0.0109819,0.0138230,0.0194599,0.0308030,0.0545607", \ - "0.00928349,0.0108341,0.0123318,0.0151461,0.0206905,0.0318205,0.0552102"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00266861,0.00472649,0.00690377,0.0114711,0.0209720,0.0402018,0.0787318", \ - "0.00266839,0.00472651,0.00690403,0.0114712,0.0209718,0.0402086,0.0787296", \ - "0.00285662,0.00484302,0.00697440,0.0114985,0.0209780,0.0402048,0.0787263", \ - "0.00369151,0.00552972,0.00746081,0.0117364,0.0210707,0.0402208,0.0787305", \ - "0.00486629,0.00662684,0.00846742,0.0123194,0.0212774,0.0403513,0.0787811", \ - "0.00635003,0.00800138,0.00987956,0.0134912,0.0218136,0.0406117,0.0789184", \ - "0.00812145,0.00967627,0.0115320,0.0151742,0.0228233,0.0411470,0.0792898"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0328522,0.0364893,0.0396481,0.0451005,0.0545077,0.0710036,0.101408", \ - "0.0335360,0.0371704,0.0403290,0.0457815,0.0551891,0.0716849,0.102091", \ - "0.0356075,0.0392398,0.0423960,0.0478435,0.0572485,0.0737448,0.104151", \ - "0.0392195,0.0428379,0.0459804,0.0514086,0.0607968,0.0772829,0.107677", \ - "0.0458078,0.0495276,0.0527673,0.0583320,0.0678936,0.0845491,0.114984", \ - "0.0563915,0.0602564,0.0636217,0.0693720,0.0791849,0.0961621,0.126856", \ - "0.0699303,0.0740113,0.0775733,0.0836416,0.0939217,0.111547,0.142792"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0122998,0.0154524,0.0183369,0.0237303,0.0341790,0.0548698,0.0961255", \ - "0.0130240,0.0161751,0.0190571,0.0244477,0.0348965,0.0555910,0.0968477", \ - "0.0160385,0.0191230,0.0219395,0.0272418,0.0376122,0.0582573,0.0994916", \ - "0.0184061,0.0216698,0.0245336,0.0297626,0.0399800,0.0604690,0.101599", \ - "0.0184291,0.0219635,0.0250488,0.0303826,0.0404560,0.0607543,0.101718", \ - "0.0159104,0.0197596,0.0230936,0.0288041,0.0389108,0.0590433,0.0998009", \ - "0.0107530,0.0149398,0.0185170,0.0246959,0.0351426,0.0551922,0.0958415"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00592904,0.00752442,0.00908008,0.0121041,0.0180109,0.0297059,0.0540114", \ - "0.00592867,0.00752451,0.00908038,0.0121046,0.0180114,0.0297047,0.0540111", \ - "0.00592893,0.00752491,0.00908264,0.0121084,0.0180144,0.0297069,0.0540114", \ - "0.00620691,0.00774641,0.00926058,0.0122355,0.0180978,0.0297536,0.0540274", \ - "0.00683779,0.00841101,0.00993600,0.0128780,0.0186574,0.0301018,0.0541335", \ - "0.00777559,0.00935969,0.0108862,0.0137935,0.0195030,0.0308303,0.0545259", \ - "0.00915889,0.0107870,0.0123526,0.0152865,0.0209895,0.0322135,0.0553770"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00285897,0.00494864,0.00713149,0.0116722,0.0211090,0.0402890,0.0787695", \ - "0.00284858,0.00493931,0.00712401,0.0116660,0.0211053,0.0402865,0.0787704", \ - "0.00296171,0.00498041,0.00713699,0.0116635,0.0211009,0.0402818,0.0787785", \ - "0.00381966,0.00565337,0.00759920,0.0118736,0.0211774,0.0402981,0.0787871", \ - "0.00501738,0.00674436,0.00858426,0.0124442,0.0213883,0.0404278,0.0788391", \ - "0.00654354,0.00814589,0.00999943,0.0136069,0.0219292,0.0406990,0.0789884", \ - "0.00838666,0.00988249,0.0116952,0.0153023,0.0229696,0.0412619,0.0793503"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.805420,17.781790,17.544650,17.509190,17.824070,18.018760,17.941260", \ - "17.767850,17.688550,17.443840,17.365990,17.667300,17.870820,17.790030", \ - "18.204470,18.199690,17.934900,17.844720,18.164140,18.367110,18.307620", \ - "20.844190,20.679380,20.305750,20.009220,20.169470,20.251700,20.108910", \ - "25.386090,25.025080,24.475010,23.984290,23.790760,23.634230,23.330930", \ - "31.988020,31.300730,30.553030,29.526760,29.041130,28.798430,28.268190", \ - "40.543710,39.809960,39.044730,37.652010,36.519970,35.972740,35.386790"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.740136,9.175940,9.249404,9.555007,9.686512,9.158513,9.656298", \ - "8.859442,9.332213,9.508471,9.607086,9.548893,9.647542,7.471158", \ - "10.238320,10.378900,10.370230,10.015290,10.008360,9.722757,8.595624", \ - "13.316640,13.354660,12.967490,12.412780,11.902950,11.548540,11.496430", \ - "18.135380,17.919520,17.877780,17.428510,16.505750,15.720070,14.839340", \ - "24.572900,23.804800,23.730280,23.661460,23.476520,22.464150,20.498520", \ - "32.587370,31.235730,30.750040,30.876390,30.967930,30.819570,29.356170"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("20.087040,19.994660,19.683720,19.652650,19.961200,20.162930,20.078700", \ - "19.776220,19.781780,19.535750,19.451010,19.782590,19.978450,19.902020", \ - "19.706250,19.622020,19.469970,19.357710,19.655910,19.853400,19.778310", \ - "21.281280,21.202050,20.848240,20.609920,20.734790,20.828550,20.683920", \ - "24.581230,24.367860,23.958100,23.598210,23.500610,23.420020,23.165020", \ - "29.689020,29.290520,28.816620,28.077280,27.767120,27.729050,27.295150", \ - "36.722720,36.444870,35.837180,34.829100,34.124980,33.826960,33.454430"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.239700,10.702810,10.736270,10.844090,10.979940,10.689370,10.210300", \ - "10.220430,10.651090,10.777950,10.999600,10.942510,10.645390,10.799720", \ - "11.292250,11.540050,11.432670,11.347920,11.222200,11.206000,9.895167", \ - "14.413670,14.462850,14.051240,13.664330,13.353330,12.791590,11.523160", \ - "19.524260,19.299700,19.056190,18.397940,17.001830,16.398310,15.370680", \ - "26.452520,25.686740,25.431040,24.903690,23.829540,22.102930,21.207260", \ - "35.123590,33.581460,33.255450,33.029160,32.592890,30.881960,27.942340"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("22.004370,21.851580,21.631140,21.614460,21.918580,22.105890,22.032580", \ - "21.721470,21.674830,21.457840,21.423580,21.736730,21.928370,21.851610", \ - "21.680430,21.555910,21.322500,21.250560,21.543600,21.742460,21.667020", \ - "22.712900,22.473430,22.102140,21.907950,22.110510,22.235270,22.104000", \ - "25.322460,25.136200,24.851990,24.609620,24.615530,24.612530,24.379610", \ - "30.663530,30.503600,30.082790,29.445420,29.315030,29.401350,29.035640", \ - "38.442050,38.297230,37.898790,37.092310,36.557790,36.469640,36.198980"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.167100,11.744200,11.973150,12.085390,11.908950,12.024550,10.858550", \ - "10.979960,11.546640,11.712240,11.952560,12.237240,12.146610,11.354320", \ - "11.981560,12.333320,12.441720,12.152500,12.288170,12.258470,12.519580", \ - "15.264560,15.319310,15.082960,14.782140,14.229540,13.453280,14.212990", \ - "20.979940,20.757670,20.392710,19.819730,18.875750,18.096850,17.441270", \ - "28.895300,28.111220,27.855840,27.339870,25.787330,24.819560,23.853000", \ - "38.773710,37.478270,36.998240,36.558720,35.766480,34.790670,32.105810"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR4_X1 - Cell Description : Combinational cell (OR4_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OR4_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 85.993984; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 143.366250; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 61.056000; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 61.369500; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 77.891250; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 61.986625; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 77.907750; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 78.010875; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 97.625625; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 71.948125; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 77.954250; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 78.059750; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 97.659250; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 78.167375; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 97.701000; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 97.770750; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 117.429375; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.978927; - fall_capacitance : 0.750598; - rise_capacitance : 0.978927; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.969218; - fall_capacitance : 0.844108; - rise_capacitance : 0.969218; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.949989; - fall_capacitance : 0.876388; - rise_capacitance : 0.949989; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.931576; - fall_capacitance : 0.917300; - rise_capacitance : 0.931576; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0374177,0.0409489,0.0446414,0.0509413,0.0616296,0.0799700,0.112228", \ - "0.0375557,0.0410801,0.0447716,0.0510715,0.0617598,0.0801014,0.112356", \ - "0.0409860,0.0445075,0.0481992,0.0544943,0.0651794,0.0835221,0.115777", \ - "0.0495299,0.0530544,0.0567361,0.0630177,0.0736861,0.0920146,0.124265", \ - "0.0631183,0.0665819,0.0702150,0.0764334,0.0870173,0.105285,0.137507", \ - "0.0796567,0.0831938,0.0869128,0.0932066,0.103840,0.122235,0.154580", \ - "0.0996617,0.103354,0.107219,0.113720,0.124514,0.142955,0.175499"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0120269,0.0146184,0.0174486,0.0227452,0.0330723,0.0536460,0.0947580", \ - "0.0128877,0.0154769,0.0183044,0.0235982,0.0339259,0.0545012,0.0956127", \ - "0.0157339,0.0183113,0.0210984,0.0263234,0.0365824,0.0571156,0.0982115", \ - "0.0172005,0.0199939,0.0229138,0.0281481,0.0382957,0.0586860,0.0996801", \ - "0.0154837,0.0185275,0.0217427,0.0272298,0.0373226,0.0575503,0.0983933", \ - "0.0100256,0.0133453,0.0168370,0.0228330,0.0331223,0.0532527,0.0939675", \ - "0.000484228,0.00409783,0.00786541,0.0143755,0.0252587,0.0453477,0.0860729"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00885629,0.0103854,0.0121062,0.0153003,0.0214301,0.0332429,0.0566750", \ - "0.00885628,0.0103839,0.0121028,0.0153001,0.0214292,0.0332421,0.0566748", \ - "0.00885215,0.0103777,0.0120983,0.0152946,0.0214250,0.0332381,0.0566731", \ - "0.00875560,0.0103068,0.0120463,0.0152684,0.0214159,0.0332347,0.0566716", \ - "0.00938543,0.0108418,0.0125023,0.0156119,0.0216450,0.0333906,0.0567546", \ - "0.0106432,0.0120169,0.0135668,0.0165033,0.0223691,0.0340357,0.0571183", \ - "0.0120805,0.0134435,0.0149793,0.0177880,0.0233210,0.0346902,0.0578410"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00298429,0.00471253,0.00685826,0.0113692,0.0208166,0.0400035,0.0784246", \ - "0.00298462,0.00471320,0.00685925,0.0113706,0.0208149,0.0400026,0.0784190", \ - "0.00319467,0.00486117,0.00695069,0.0114068,0.0208209,0.0400000,0.0784106", \ - "0.00404592,0.00566294,0.00757490,0.0117274,0.0209319,0.0400071,0.0784086", \ - "0.00525096,0.00684934,0.00875581,0.0125216,0.0212338,0.0401598,0.0784558", \ - "0.00679022,0.00832658,0.0102980,0.0139677,0.0219695,0.0405177,0.0786510", \ - "0.00864796,0.0101348,0.0120913,0.0159089,0.0233285,0.0411321,0.0791918"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0460116,0.0495384,0.0532290,0.0595245,0.0702099,0.0885494,0.120803", \ - "0.0462703,0.0497962,0.0534871,0.0597829,0.0704676,0.0888071,0.121063", \ - "0.0481920,0.0517151,0.0554045,0.0616945,0.0723768,0.0907144,0.122970", \ - "0.0540409,0.0575447,0.0612231,0.0674924,0.0781487,0.0964721,0.128724", \ - "0.0651566,0.0686962,0.0724130,0.0787268,0.0893937,0.107715,0.139970", \ - "0.0801655,0.0837569,0.0875343,0.0939586,0.104795,0.123367,0.155813", \ - "0.0991482,0.102848,0.106732,0.113319,0.124339,0.143095,0.175837"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0134971,0.0161278,0.0189857,0.0243057,0.0346440,0.0552248,0.0963493", \ - "0.0143154,0.0169454,0.0198015,0.0251199,0.0354577,0.0560395,0.0971633", \ - "0.0172839,0.0198811,0.0226978,0.0279551,0.0382312,0.0587713,0.0998783", \ - "0.0194845,0.0222708,0.0251900,0.0304323,0.0405834,0.0609703,0.101970", \ - "0.0186130,0.0216372,0.0248202,0.0302559,0.0403009,0.0604965,0.101337", \ - "0.0141760,0.0174660,0.0209164,0.0268056,0.0369702,0.0569812,0.0976069", \ - "0.00589005,0.00946565,0.0131815,0.0195643,0.0302013,0.0501143,0.0905992"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00886317,0.0103888,0.0121073,0.0153034,0.0214351,0.0332455,0.0566779", \ - "0.00885755,0.0103875,0.0121075,0.0153057,0.0214336,0.0332453,0.0566779", \ - "0.00885855,0.0103879,0.0121085,0.0153052,0.0214353,0.0332467,0.0566765", \ - "0.00887834,0.0104097,0.0121352,0.0153358,0.0214640,0.0332667,0.0566889", \ - "0.00956076,0.0110665,0.0127424,0.0158271,0.0217905,0.0334692,0.0567908", \ - "0.0103397,0.0118236,0.0134985,0.0165938,0.0225440,0.0341501,0.0571623", \ - "0.0114244,0.0128998,0.0145691,0.0176158,0.0234282,0.0348880,0.0578862"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00313440,0.00486779,0.00699612,0.0114663,0.0208727,0.0400283,0.0784365", \ - "0.00313456,0.00486721,0.00699633,0.0114666,0.0208688,0.0400282,0.0784408", \ - "0.00323799,0.00494016,0.00704826,0.0114932,0.0208755,0.0400369,0.0784411", \ - "0.00406948,0.00568095,0.00760642,0.0117755,0.0209735,0.0400451,0.0784556", \ - "0.00521805,0.00679261,0.00867948,0.0124546,0.0212032,0.0401571,0.0784925", \ - "0.00667323,0.00817659,0.0101155,0.0137446,0.0217925,0.0403804,0.0786092", \ - "0.00841621,0.00986307,0.0117838,0.0155170,0.0229499,0.0408471,0.0789207"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0509324,0.0544598,0.0581491,0.0644450,0.0751306,0.0934706,0.125727", \ - "0.0512753,0.0548019,0.0584925,0.0647882,0.0754729,0.0938134,0.126067", \ - "0.0531461,0.0566692,0.0603575,0.0666516,0.0773341,0.0956724,0.127930", \ - "0.0565986,0.0601135,0.0637898,0.0700646,0.0807292,0.0990578,0.131307", \ - "0.0638852,0.0674378,0.0711636,0.0775086,0.0882099,0.106554,0.138817", \ - "0.0761473,0.0797502,0.0835408,0.0900012,0.100893,0.119511,0.152003", \ - "0.0928920,0.0966151,0.100520,0.107155,0.118279,0.137176,0.170025"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0142647,0.0169574,0.0198776,0.0252747,0.0356789,0.0563002,0.0974451", \ - "0.0150266,0.0177192,0.0206377,0.0260336,0.0364387,0.0570614,0.0982082", \ - "0.0180716,0.0207147,0.0235837,0.0289100,0.0392492,0.0598296,0.100958", \ - "0.0208650,0.0236717,0.0266194,0.0319045,0.0420926,0.0625046,0.103516", \ - "0.0207173,0.0237626,0.0269588,0.0324199,0.0424952,0.0627051,0.103541", \ - "0.0172394,0.0205545,0.0240105,0.0299023,0.0400864,0.0601054,0.100726", \ - "0.0102079,0.0138173,0.0175355,0.0239121,0.0345460,0.0544633,0.0949234"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00885979,0.0103868,0.0121072,0.0153036,0.0214331,0.0332455,0.0566772", \ - "0.00885772,0.0103871,0.0121072,0.0153060,0.0214338,0.0332456,0.0566778", \ - "0.00886134,0.0103876,0.0121075,0.0153051,0.0214357,0.0332465,0.0566776", \ - "0.00888148,0.0104102,0.0121328,0.0153319,0.0214591,0.0332645,0.0566873", \ - "0.00954702,0.0110522,0.0127478,0.0158517,0.0218152,0.0334813,0.0567958", \ - "0.0102055,0.0117151,0.0134199,0.0165550,0.0225460,0.0341653,0.0571849", \ - "0.0112216,0.0127400,0.0144536,0.0175777,0.0234952,0.0350061,0.0579331"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00331426,0.00507416,0.00720982,0.0116565,0.0210008,0.0401063,0.0784926", \ - "0.00330822,0.00506776,0.00720426,0.0116550,0.0210000,0.0401070,0.0784859", \ - "0.00333428,0.00507542,0.00720632,0.0116497,0.0209975,0.0401048,0.0784917", \ - "0.00417812,0.00578721,0.00772799,0.0119007,0.0210740,0.0401173,0.0785001", \ - "0.00534537,0.00689867,0.00878245,0.0125702,0.0213062,0.0402279,0.0785372", \ - "0.00682139,0.00829499,0.0102119,0.0138360,0.0218948,0.0404655,0.0786726", \ - "0.00859476,0.0100075,0.0118930,0.0155907,0.0230425,0.0409500,0.0789920"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0528369,0.0563626,0.0600537,0.0663495,0.0770352,0.0953752,0.127631", \ - "0.0534011,0.0569268,0.0606177,0.0669139,0.0775981,0.0959379,0.128192", \ - "0.0552706,0.0587944,0.0624821,0.0687760,0.0794597,0.0977980,0.130054", \ - "0.0577046,0.0612250,0.0649063,0.0711894,0.0818628,0.100196,0.132448", \ - "0.0622002,0.0657539,0.0694715,0.0758023,0.0865022,0.104851,0.137114", \ - "0.0716467,0.0752499,0.0790340,0.0854836,0.0963652,0.114961,0.147432", \ - "0.0861548,0.0898836,0.0938023,0.100455,0.111616,0.130572,0.163457"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0143397,0.0170898,0.0200794,0.0255875,0.0361272,0.0568580,0.0980693", \ - "0.0150917,0.0178383,0.0208237,0.0263263,0.0368624,0.0575938,0.0988104", \ - "0.0183050,0.0209875,0.0239063,0.0293158,0.0397634,0.0604405,0.101635", \ - "0.0215062,0.0243434,0.0273283,0.0326731,0.0429336,0.0634147,0.104475", \ - "0.0219079,0.0249884,0.0282152,0.0337328,0.0438719,0.0641365,0.105003", \ - "0.0192327,0.0225925,0.0260819,0.0320373,0.0422866,0.0623518,0.102992", \ - "0.0133247,0.0169941,0.0207576,0.0272015,0.0379444,0.0579234,0.0983949"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00886040,0.0103867,0.0121079,0.0153050,0.0214331,0.0332452,0.0566769", \ - "0.00886013,0.0103873,0.0121083,0.0153059,0.0214349,0.0332454,0.0566768", \ - "0.00885961,0.0103880,0.0121072,0.0153045,0.0214348,0.0332467,0.0566778", \ - "0.00886751,0.0103979,0.0121206,0.0153193,0.0214481,0.0332563,0.0566822", \ - "0.00938957,0.0109018,0.0126038,0.0157309,0.0217367,0.0334327,0.0567711", \ - "0.0100443,0.0115663,0.0132784,0.0164279,0.0224377,0.0340653,0.0571352", \ - "0.0111712,0.0127015,0.0144295,0.0175796,0.0235319,0.0350617,0.0579182"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00344843,0.00524280,0.00741396,0.0118949,0.0212296,0.0402744,0.0785912", \ - "0.00343220,0.00522592,0.00739923,0.0118816,0.0212224,0.0402705,0.0785791", \ - "0.00342129,0.00519652,0.00736200,0.0118416,0.0211893,0.0402506,0.0785761", \ - "0.00430358,0.00591488,0.00787602,0.0120664,0.0212347,0.0402502,0.0785755", \ - "0.00553010,0.00706958,0.00895859,0.0127532,0.0214728,0.0403614,0.0786224", \ - "0.00710806,0.00855303,0.0104540,0.0140809,0.0221034,0.0406195,0.0787799", \ - "0.00903549,0.0104152,0.0122677,0.0159482,0.0233628,0.0411684,0.0791367"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.748433,5.725025,5.664312,5.486918,5.375373,5.411539,5.387399", \ - "5.672947,5.652017,5.598252,5.442314,5.338120,5.369924,5.349087", \ - "5.738629,5.738046,5.709616,5.529974,5.421629,5.459155,5.439563", \ - "6.146440,6.149810,6.081475,5.893726,5.781799,5.814434,5.791167", \ - "7.182329,7.101557,7.011695,6.767219,6.588321,6.546610,6.473825", \ - "8.510034,8.461839,8.324528,8.054205,7.768629,7.637342,7.495861", \ - "10.414850,10.314110,10.134000,9.750855,9.325369,9.127607,8.928529"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.432701,2.519795,2.525386,2.537073,2.596004,2.469776,2.485065", \ - "2.475387,2.534746,2.545644,2.572958,2.597136,2.510687,2.652264", \ - "2.758288,2.800716,2.736587,2.756506,2.740159,2.590420,2.284859", \ - "3.417715,3.433634,3.371301,3.221388,3.141083,3.054290,2.725118", \ - "4.499085,4.488152,4.414395,4.268292,4.129170,3.834943,3.808474", \ - "5.935903,5.783153,5.719147,5.649629,5.476011,5.316719,4.896438", \ - "7.743690,7.430678,7.352445,7.292430,7.300257,7.250785,6.281358"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.266446,6.222583,6.191795,6.019823,5.903396,5.933342,5.909067", \ - "6.186079,6.195583,6.124538,5.966114,5.860678,5.888949,5.867424", \ - "6.154979,6.144135,6.109439,5.932177,5.814284,5.842369,5.822512", \ - "6.334293,6.334779,6.272017,6.121055,5.983800,5.993014,5.963262", \ - "7.138932,7.112945,6.990829,6.777282,6.591839,6.539613,6.466643", \ - "8.101032,8.109114,8.014962,7.760668,7.524617,7.410290,7.289874", \ - "9.589651,9.578955,9.431079,9.154620,8.798921,8.652471,8.479156"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.795099,2.875515,2.917198,2.943416,2.873353,2.828454,2.845153", \ - "2.810784,2.888791,2.916530,2.925779,2.947244,2.871255,2.768745", \ - "3.055121,3.104004,3.091270,3.074181,3.049270,2.988330,2.972570", \ - "3.755192,3.729211,3.643764,3.511966,3.371920,3.187963,3.084850", \ - "4.881143,4.804396,4.726242,4.602325,4.234839,3.943590,3.803986", \ - "6.369101,6.190533,6.119000,5.981237,5.779533,5.352120,4.975878", \ - "8.225219,7.980122,7.876918,7.739448,7.596490,7.011428,6.811756"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.702508,6.710042,6.671935,6.501947,6.380420,6.413846,6.387949", \ - "6.680521,6.674367,6.611088,6.446329,6.336759,6.369438,6.346775", \ - "6.647439,6.613301,6.561180,6.409812,6.286263,6.318643,6.294540", \ - "6.736616,6.726170,6.619947,6.464017,6.333858,6.354934,6.323984", \ - "7.350520,7.311080,7.208786,7.017153,6.818949,6.775762,6.709641", \ - "8.298043,8.234038,8.176694,7.970861,7.749392,7.658158,7.546049", \ - "9.766535,9.785618,9.666642,9.405682,9.101653,8.987685,8.843576"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.089116,3.169428,3.202807,3.252410,3.290207,3.221891,2.998338", \ - "3.063837,3.180347,3.175612,3.255749,3.297841,3.196473,2.977365", \ - "3.258078,3.326498,3.310172,3.310034,3.336810,3.357930,3.085390", \ - "3.961723,3.969225,3.886710,3.837502,3.771995,3.567319,3.455334", \ - "5.146596,5.140600,5.024266,4.887163,4.673531,4.435326,4.295291", \ - "6.826030,6.658451,6.631365,6.426497,6.148344,5.700886,5.501362", \ - "8.889555,8.640291,8.490570,8.432728,8.237083,7.642488,7.325609"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("7.173110,7.174675,7.106806,6.961645,6.856144,6.885909,6.860195", \ - "7.131875,7.131542,7.065411,6.919001,6.814614,6.840730,6.817758", \ - "7.084669,7.084907,7.045731,6.879368,6.759524,6.793037,6.767199", \ - "7.137296,7.147434,7.036416,6.889676,6.766290,6.792784,6.767872", \ - "7.588517,7.602657,7.482744,7.307627,7.126909,7.108895,7.051051", \ - "8.533498,8.535245,8.438421,8.256353,8.074452,7.995384,7.891459", \ - "10.198380,10.212500,10.130100,9.914437,9.592738,9.505440,9.376141"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.177566,3.291555,3.376583,3.419281,3.405941,3.494415,3.360676", \ - "3.131649,3.234088,3.334399,3.404131,3.424133,3.328111,3.109848", \ - "3.321491,3.408925,3.439734,3.496016,3.454126,3.538500,3.214978", \ - "4.102053,4.120311,4.058162,4.019540,3.828053,3.852912,3.659932", \ - "5.410017,5.350132,5.257426,5.094809,4.876094,4.752764,4.323172", \ - "7.239148,7.088037,7.031368,6.874839,6.570399,6.272445,5.673130", \ - "9.523453,9.266614,9.212209,9.044118,8.799060,8.507652,7.881651"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR4_X2 - Cell Description : Combinational cell (OR4_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OR4_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 173.148828; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 288.637500; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 122.701750; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 123.341250; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 156.770250; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 124.598125; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 156.806125; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 157.015875; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 196.734125; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 144.852000; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 156.902375; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 157.119500; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 196.804875; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 157.335750; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 196.889875; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 197.032500; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 236.839375; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.807178; - fall_capacitance : 1.346697; - rise_capacitance : 1.807178; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.746633; - fall_capacitance : 1.467943; - rise_capacitance : 1.746633; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.710709; - fall_capacitance : 1.539807; - rise_capacitance : 1.710709; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.672054; - fall_capacitance : 1.643961; - rise_capacitance : 1.672054; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0341399,0.0379779,0.0415348,0.0476393,0.0580619,0.0760325,0.107858", \ - "0.0342813,0.0381112,0.0416682,0.0477721,0.0581951,0.0761669,0.107993", \ - "0.0378243,0.0416529,0.0452073,0.0513087,0.0617288,0.0797003,0.111527", \ - "0.0465415,0.0503471,0.0538781,0.0599489,0.0703486,0.0883026,0.120116", \ - "0.0598922,0.0636479,0.0671489,0.0731819,0.0835164,0.101423,0.133212", \ - "0.0761371,0.0800013,0.0835845,0.0896734,0.100025,0.118044,0.150008", \ - "0.0959259,0.0999521,0.103689,0.109990,0.120506,0.138578,0.170696"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0111946,0.0140658,0.0168628,0.0221360,0.0324493,0.0529899,0.0940228", \ - "0.0120573,0.0149251,0.0177184,0.0229890,0.0333030,0.0538459,0.0948812", \ - "0.0147857,0.0176486,0.0204007,0.0255981,0.0358421,0.0563444,0.0973640", \ - "0.0159188,0.0190111,0.0218769,0.0270676,0.0372002,0.0575697,0.0984939", \ - "0.0138565,0.0172263,0.0203773,0.0257877,0.0358462,0.0560705,0.0968540", \ - "0.00805359,0.0117278,0.0151552,0.0210542,0.0312679,0.0513964,0.0920725", \ - "-0.00179957,0.00219464,0.00588830,0.0123009,0.0230624,0.0431300,0.0838407"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00824645,0.00990179,0.0115780,0.0147326,0.0208281,0.0325958,0.0561018", \ - "0.00824606,0.00989999,0.0115750,0.0147309,0.0208281,0.0325954,0.0560998", \ - "0.00823853,0.00988840,0.0115639,0.0147230,0.0208204,0.0325913,0.0560969", \ - "0.00807660,0.00979084,0.0115037,0.0146946,0.0208133,0.0325914,0.0560986", \ - "0.00890979,0.0104563,0.0120696,0.0151358,0.0211146,0.0327790,0.0561933", \ - "0.0101617,0.0116166,0.0131112,0.0159710,0.0217755,0.0334328,0.0565899", \ - "0.0116295,0.0130773,0.0145461,0.0172729,0.0227358,0.0340536,0.0572925"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00275746,0.00469268,0.00685760,0.0114030,0.0208712,0.0400310,0.0783811", \ - "0.00275743,0.00469349,0.00685848,0.0114031,0.0208710,0.0400286,0.0783853", \ - "0.00300625,0.00486624,0.00696090,0.0114400,0.0208720,0.0400253,0.0783826", \ - "0.00386493,0.00564367,0.00755104,0.0117416,0.0209859,0.0400398,0.0783912", \ - "0.00508545,0.00683511,0.00871184,0.0124928,0.0212789,0.0402014,0.0784347", \ - "0.00665019,0.00831736,0.0102607,0.0138988,0.0219760,0.0405834,0.0786369", \ - "0.00853675,0.0101381,0.0120615,0.0158313,0.0232805,0.0411940,0.0792162"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0427561,0.0465884,0.0501425,0.0562413,0.0666600,0.0846279,0.116453", \ - "0.0430012,0.0468297,0.0503843,0.0564835,0.0669024,0.0848707,0.116697", \ - "0.0449433,0.0487709,0.0523215,0.0584166,0.0688314,0.0867988,0.118627", \ - "0.0508730,0.0546680,0.0581951,0.0642617,0.0746558,0.0926100,0.124427", \ - "0.0617891,0.0656378,0.0692253,0.0753753,0.0858126,0.103789,0.135619", \ - "0.0766379,0.0805517,0.0842028,0.0904414,0.101024,0.119249,0.151308", \ - "0.0954382,0.0994790,0.103247,0.109654,0.120431,0.138846,0.171178"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0126654,0.0155805,0.0184032,0.0236978,0.0340227,0.0545734,0.0956185", \ - "0.0134830,0.0163972,0.0192181,0.0245108,0.0348356,0.0553874,0.0964347", \ - "0.0163941,0.0192741,0.0220528,0.0272803,0.0375406,0.0580521,0.0990789", \ - "0.0182756,0.0213605,0.0242241,0.0294198,0.0395532,0.0599205,0.100849", \ - "0.0170871,0.0204342,0.0235506,0.0289049,0.0389166,0.0590977,0.0998785", \ - "0.0123437,0.0159848,0.0193632,0.0251470,0.0352370,0.0552402,0.0958230", \ - "0.00377524,0.00773135,0.0113631,0.0176360,0.0281552,0.0480406,0.0885005"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00825060,0.00990620,0.0115840,0.0147403,0.0208347,0.0326008,0.0561021", \ - "0.00824984,0.00990606,0.0115831,0.0147403,0.0208353,0.0326008,0.0561033", \ - "0.00825045,0.00990749,0.0115844,0.0147418,0.0208368,0.0326026,0.0561045", \ - "0.00828947,0.00994930,0.0116310,0.0147878,0.0208761,0.0326309,0.0561182", \ - "0.00903342,0.0106604,0.0123107,0.0153654,0.0212739,0.0328686,0.0562312", \ - "0.00982998,0.0114274,0.0130519,0.0160870,0.0219907,0.0335670,0.0566298", \ - "0.0109705,0.0125511,0.0141671,0.0171498,0.0229052,0.0342990,0.0573443"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00291317,0.00484964,0.00699522,0.0115003,0.0209204,0.0400635,0.0784075", \ - "0.00291282,0.00485029,0.00699566,0.0114999,0.0209201,0.0400618,0.0784153", \ - "0.00305511,0.00494051,0.00705408,0.0115239,0.0209249,0.0400588,0.0784090", \ - "0.00388566,0.00565820,0.00757963,0.0117866,0.0210242,0.0400795,0.0784128", \ - "0.00504474,0.00676673,0.00862364,0.0124199,0.0212367,0.0402018,0.0784577", \ - "0.00651120,0.00814729,0.0100543,0.0136561,0.0217922,0.0404298,0.0786034", \ - "0.00827130,0.00983117,0.0117221,0.0154034,0.0228845,0.0409057,0.0789325"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0476788,0.0515118,0.0550658,0.0611651,0.0715841,0.0895518,0.121376", \ - "0.0480059,0.0518371,0.0553904,0.0614899,0.0719088,0.0898770,0.121701", \ - "0.0498856,0.0537130,0.0572654,0.0633612,0.0737770,0.0917435,0.123570", \ - "0.0533606,0.0571702,0.0607065,0.0667813,0.0771786,0.0951320,0.126948", \ - "0.0605271,0.0643826,0.0679822,0.0741521,0.0846281,0.102626,0.134474", \ - "0.0727440,0.0766754,0.0803454,0.0866219,0.0972682,0.115548,0.147650", \ - "0.0893868,0.0934429,0.0972375,0.103704,0.114605,0.133193,0.165650"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0133938,0.0163833,0.0192713,0.0246464,0.0350409,0.0556323,0.0967033", \ - "0.0141593,0.0171476,0.0200340,0.0254076,0.0358023,0.0563962,0.0974698", \ - "0.0171920,0.0201200,0.0229505,0.0282481,0.0385711,0.0591207,0.100180", \ - "0.0196862,0.0227957,0.0256892,0.0309293,0.0411016,0.0614899,0.102435", \ - "0.0192526,0.0226244,0.0257544,0.0311368,0.0411790,0.0613726,0.102149", \ - "0.0155014,0.0191741,0.0225579,0.0283499,0.0384582,0.0584660,0.0990376", \ - "0.00823333,0.0122264,0.0158619,0.0221280,0.0326412,0.0525362,0.0929694"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00825092,0.00990781,0.0115836,0.0147407,0.0208342,0.0326011,0.0561027", \ - "0.00825135,0.00990608,0.0115842,0.0147399,0.0208354,0.0326007,0.0561023", \ - "0.00825057,0.00990840,0.0115840,0.0147419,0.0208368,0.0326022,0.0561047", \ - "0.00828740,0.00994784,0.0116274,0.0147819,0.0208693,0.0326253,0.0561164", \ - "0.00900189,0.0106382,0.0122945,0.0153752,0.0212973,0.0328806,0.0562355", \ - "0.00968436,0.0113205,0.0129772,0.0160617,0.0220077,0.0335833,0.0566521", \ - "0.0107703,0.0124104,0.0140766,0.0171490,0.0230158,0.0344608,0.0574020"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00309838,0.00506485,0.00721616,0.0116935,0.0210559,0.0401391,0.0784587", \ - "0.00309061,0.00505811,0.00720957,0.0116899,0.0210561,0.0401380,0.0784585", \ - "0.00314884,0.00507633,0.00721474,0.0116858,0.0210499,0.0401402,0.0784563", \ - "0.00400396,0.00577407,0.00770899,0.0119182,0.0211287,0.0401497,0.0784593", \ - "0.00518482,0.00687832,0.00873534,0.0125374,0.0213439,0.0402730,0.0785053", \ - "0.00667122,0.00827202,0.0101582,0.0137556,0.0218951,0.0405173,0.0786599", \ - "0.00846181,0.00997824,0.0118374,0.0154873,0.0229811,0.0410035,0.0790020"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0495904,0.0534229,0.0569780,0.0630771,0.0734960,0.0914641,0.123288", \ - "0.0501355,0.0539657,0.0575204,0.0636190,0.0740384,0.0920066,0.123830", \ - "0.0520019,0.0558287,0.0593809,0.0654777,0.0758936,0.0938611,0.125685", \ - "0.0544315,0.0582508,0.0617950,0.0678789,0.0782836,0.0962432,0.128061", \ - "0.0588538,0.0627088,0.0662977,0.0724483,0.0829137,0.100914,0.132755", \ - "0.0683316,0.0722605,0.0759253,0.0821930,0.0928374,0.111099,0.143172", \ - "0.0827598,0.0868378,0.0906457,0.0971399,0.108092,0.126760,0.159273"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0134282,0.0164834,0.0194442,0.0249370,0.0354734,0.0561810,0.0973269", \ - "0.0141882,0.0172392,0.0201951,0.0256811,0.0362138,0.0569223,0.0980722", \ - "0.0174144,0.0203844,0.0232645,0.0286477,0.0390838,0.0597370,0.100856", \ - "0.0203252,0.0234694,0.0264018,0.0317030,0.0419518,0.0624127,0.103408", \ - "0.0204543,0.0238662,0.0270300,0.0324723,0.0425790,0.0628303,0.103637", \ - "0.0175340,0.0212559,0.0246745,0.0305321,0.0407146,0.0607717,0.101370", \ - "0.0114199,0.0154786,0.0191633,0.0255030,0.0361308,0.0560915,0.0965382"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00825051,0.00990765,0.0115830,0.0147407,0.0208348,0.0326011,0.0561040", \ - "0.00824992,0.00990705,0.0115834,0.0147401,0.0208343,0.0326015,0.0561024", \ - "0.00825227,0.00990713,0.0115847,0.0147410,0.0208370,0.0326016,0.0561032", \ - "0.00826806,0.00992727,0.0116074,0.0147630,0.0208542,0.0326157,0.0561095", \ - "0.00883532,0.0104759,0.0121371,0.0152341,0.0211974,0.0328241,0.0562094", \ - "0.00953341,0.0111788,0.0128444,0.0159449,0.0219090,0.0334869,0.0565949", \ - "0.0107457,0.0124045,0.0140866,0.0171880,0.0230919,0.0345583,0.0574085"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00323027,0.00523936,0.00742828,0.0119426,0.0212944,0.0403113,0.0785526", \ - "0.00321087,0.00522079,0.00740998,0.0119289,0.0212821,0.0403065,0.0785525", \ - "0.00323479,0.00519866,0.00737253,0.0118828,0.0212525,0.0402942,0.0785526", \ - "0.00413554,0.00591037,0.00786548,0.0120887,0.0212957,0.0402953,0.0785621", \ - "0.00538318,0.00705873,0.00892183,0.0127306,0.0215186,0.0404117,0.0786037", \ - "0.00698132,0.00854709,0.0104172,0.0140173,0.0221168,0.0406821,0.0787678", \ - "0.00893504,0.0104139,0.0122349,0.0158670,0.0233224,0.0412388,0.0791503"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("10.703460,10.681470,10.542130,10.212270,10.046250,10.118660,10.073270", \ - "10.611000,10.630510,10.451630,10.138900,9.957540,10.034210,9.989559", \ - "10.895750,10.810240,10.633470,10.336190,10.148030,10.229690,10.191780", \ - "11.667720,11.629000,11.411760,11.121920,10.911120,10.975670,10.928050", \ - "13.812160,13.599090,13.376800,12.902400,12.556020,12.466990,12.310940", \ - "16.638200,16.377730,15.996000,15.434930,14.902080,14.649900,14.382780", \ - "20.343430,20.078370,19.678700,18.915110,18.066580,17.678440,17.291030"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.743038,4.931399,5.002778,5.008240,5.011618,5.027123,5.121544", \ - "4.819854,4.983952,5.055148,4.987652,5.037420,4.996653,4.357775", \ - "5.440010,5.494094,5.476523,5.451104,5.267577,5.172079,4.789085", \ - "6.808843,6.776213,6.708639,6.484190,6.290284,6.026907,5.768328", \ - "8.915465,8.869685,8.722064,8.523905,8.106846,7.686955,7.461962", \ - "11.849560,11.476300,11.385100,11.280980,11.091190,10.619000,9.660000", \ - "15.459620,14.829530,14.595130,14.484630,14.206780,14.369780,13.358050"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.906900,11.757560,11.611380,11.317270,11.121980,11.181870,11.135830", \ - "11.770030,11.705500,11.545570,11.209830,11.027830,11.093930,11.046710", \ - "11.656640,11.654320,11.448510,11.134650,10.942170,11.008870,10.964450", \ - "12.156180,12.092820,11.893130,11.509010,11.301950,11.334870,11.271090", \ - "13.709780,13.615470,13.326130,12.886570,12.535690,12.452200,12.298200", \ - "15.795110,15.595910,15.339880,14.858860,14.407580,14.209490,13.974490", \ - "18.722550,18.628920,18.287580,17.713950,17.011270,16.720600,16.404840"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.458840,5.685492,5.747228,5.677858,5.628436,5.821868,5.484473", \ - "5.481482,5.693288,5.679994,5.725088,5.676405,5.930727,5.693251", \ - "6.029854,6.073445,6.053136,6.090634,6.043062,5.756018,6.126472", \ - "7.444796,7.423614,7.299479,7.072925,6.855138,6.274371,6.070482", \ - "9.684683,9.558496,9.435750,9.000218,8.476399,8.158829,8.058116", \ - "12.681070,12.356040,12.215090,11.892080,11.491330,10.871210,10.162310", \ - "16.362940,15.857180,15.638720,15.441040,15.063250,14.539260,13.206390"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.806930,12.775810,12.593320,12.274840,12.092000,12.158630,12.114170", \ - "12.665750,12.641010,12.519730,12.179440,12.003310,12.074790,12.021390", \ - "12.616640,12.594440,12.417550,12.090070,11.903520,11.967830,11.923730", \ - "12.911440,12.749810,12.614570,12.229970,12.019210,12.060800,11.995220", \ - "14.090490,13.997850,13.758090,13.350850,12.998960,12.926270,12.793660", \ - "15.977910,15.953310,15.742620,15.291600,14.884380,14.712040,14.504190", \ - "19.235790,19.061880,18.838750,18.290400,17.653800,17.457340,17.185350"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.017548,6.250987,6.371923,6.396357,6.467358,6.386874,6.087144", \ - "5.971179,6.235059,6.257098,6.360917,6.470692,6.274755,6.034557", \ - "6.343015,6.582242,6.579241,6.542457,6.356623,6.556398,6.351904", \ - "7.856045,7.871636,7.700596,7.586197,7.433802,7.110246,7.200132", \ - "10.320260,10.212460,10.079130,9.696623,9.304114,8.908546,8.502372", \ - "13.556460,13.315200,13.178470,12.884010,12.096940,11.251840,10.913560", \ - "17.783180,17.202600,16.975570,16.735090,16.444810,15.251230,14.699430"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("13.763730,13.729750,13.550430,13.240980,13.054430,13.121410,13.071840", \ - "13.668880,13.646210,13.467580,13.161340,12.961680,13.027840,12.980420", \ - "13.664650,13.525900,13.367660,13.043910,12.874870,12.933770,12.882470", \ - "13.732360,13.586830,13.406300,13.110590,12.889810,12.939350,12.885710", \ - "14.663750,14.592930,14.378720,13.965080,13.637880,13.604870,13.479640", \ - "16.514130,16.506450,16.326760,15.855850,15.550120,15.439000,15.233510", \ - "20.190220,20.012090,19.818780,19.314610,18.711210,18.526640,18.286760"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.171966,6.482109,6.680610,6.891801,6.886897,7.136413,6.695902", \ - "6.066253,6.411423,6.517313,6.654564,6.734138,6.959073,6.498764", \ - "6.530133,6.772878,6.827046,6.954846,6.807187,6.934478,6.609890", \ - "8.062268,8.151248,8.079486,7.993802,7.627700,7.722319,7.344113", \ - "10.780300,10.664880,10.474920,10.174050,9.838348,9.355852,9.237259", \ - "14.406800,14.078070,13.980210,13.687470,12.967700,12.550600,11.579010", \ - "19.177610,18.515910,18.289370,18.052530,17.530880,16.804130,15.751880"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR4_X4 - Cell Description : Combinational cell (OR4_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OR4_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 346.300273; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 577.282500; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 245.406500; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 246.684750; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 313.543000; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 249.199250; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 313.614750; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 314.034500; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 393.470000; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 289.708000; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 313.806750; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 314.240375; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 393.611875; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 314.672875; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 393.781875; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 394.066750; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 473.680625; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.489979; - fall_capacitance : 2.568376; - rise_capacitance : 3.489979; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.485634; - fall_capacitance : 2.952761; - rise_capacitance : 3.485634; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.613129; - fall_capacitance : 3.261621; - rise_capacitance : 3.613129; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.714002; - fall_capacitance : 3.628810; - rise_capacitance : 3.714002; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 241.699000; - function : "(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0330093,0.0370452,0.0405744,0.0466347,0.0569944,0.0748806,0.106634", \ - "0.0331843,0.0372129,0.0407417,0.0468027,0.0571638,0.0750505,0.106804", \ - "0.0367850,0.0408111,0.0443400,0.0503984,0.0607568,0.0786436,0.110398", \ - "0.0455566,0.0495470,0.0530518,0.0590811,0.0694104,0.0872768,0.119019", \ - "0.0588318,0.0627712,0.0662459,0.0722336,0.0825226,0.100345,0.132064", \ - "0.0749942,0.0790576,0.0826128,0.0886543,0.0989415,0.116877,0.148778", \ - "0.0947379,0.0989592,0.102671,0.108924,0.119373,0.137371,0.169413"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0108829,0.0139390,0.0167477,0.0220402,0.0323814,0.0529675,0.0940806", \ - "0.0117480,0.0148002,0.0176049,0.0228949,0.0332369,0.0538247,0.0949392", \ - "0.0144307,0.0174762,0.0202398,0.0254561,0.0357289,0.0562782,0.0973794", \ - "0.0154414,0.0187156,0.0215827,0.0267889,0.0369527,0.0573734,0.0983878", \ - "0.0132459,0.0168157,0.0199612,0.0253714,0.0354621,0.0557408,0.0966145", \ - "0.00731750,0.0112047,0.0146239,0.0205146,0.0307431,0.0509371,0.0917137", \ - "-0.00266432,0.00156258,0.00524364,0.0116416,0.0224053,0.0425287,0.0833545"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00800880,0.00973749,0.0114047,0.0145532,0.0206481,0.0324263,0.0560155", \ - "0.00800945,0.00973704,0.0114018,0.0145518,0.0206461,0.0324254,0.0560154", \ - "0.00799553,0.00972318,0.0113895,0.0145410,0.0206391,0.0324201,0.0560116", \ - "0.00781559,0.00961855,0.0113249,0.0145150,0.0206335,0.0324226,0.0560128", \ - "0.00871352,0.0103184,0.0119169,0.0149808,0.0209594,0.0326224,0.0561093", \ - "0.00996897,0.0114755,0.0129503,0.0157943,0.0215916,0.0332729,0.0565186", \ - "0.0114422,0.0129409,0.0143941,0.0170999,0.0225530,0.0338853,0.0572109"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00261227,0.00467353,0.00685439,0.0114201,0.0209128,0.0401116,0.0785548", \ - "0.00261231,0.00467455,0.00685533,0.0114214,0.0209125,0.0401146,0.0785475", \ - "0.00287302,0.00485358,0.00696278,0.0114604,0.0209153,0.0401060,0.0785474", \ - "0.00373945,0.00561151,0.00753327,0.0117541,0.0210300,0.0401299,0.0785494", \ - "0.00497764,0.00679797,0.00867748,0.0124888,0.0213235,0.0402905,0.0785917", \ - "0.00655777,0.00828652,0.0102244,0.0138735,0.0220091,0.0406843,0.0788121", \ - "0.00846859,0.0101164,0.0120303,0.0157988,0.0232884,0.0412958,0.0794088"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0416084,0.0456394,0.0491648,0.0552199,0.0655754,0.0834588,0.115210", \ - "0.0418644,0.0458921,0.0494178,0.0554728,0.0658296,0.0837140,0.115465", \ - "0.0438304,0.0478551,0.0513770,0.0574289,0.0677813,0.0856643,0.117417", \ - "0.0497859,0.0537677,0.0572699,0.0632955,0.0736266,0.0914946,0.123235", \ - "0.0606436,0.0646822,0.0682481,0.0743640,0.0847545,0.102654,0.134412", \ - "0.0754424,0.0795606,0.0831896,0.0893867,0.0999136,0.118057,0.150053", \ - "0.0941994,0.0984429,0.102186,0.108560,0.119281,0.137629,0.169879"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0123060,0.0154049,0.0182384,0.0235524,0.0339075,0.0545026,0.0956310", \ - "0.0131245,0.0162223,0.0190539,0.0243658,0.0347207,0.0553169,0.0964455", \ - "0.0160059,0.0190692,0.0218569,0.0271024,0.0373925,0.0579483,0.0990609", \ - "0.0177620,0.0210278,0.0238915,0.0291010,0.0392663,0.0596843,0.100704", \ - "0.0164402,0.0199829,0.0230923,0.0284448,0.0384857,0.0587194,0.0995939", \ - "0.0115708,0.0154243,0.0187888,0.0245595,0.0346697,0.0547300,0.0954078", \ - "0.00288306,0.00707144,0.0106835,0.0169365,0.0274487,0.0473920,0.0879596"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00801554,0.00974427,0.0114111,0.0145608,0.0206546,0.0324305,0.0560181", \ - "0.00801406,0.00974359,0.0114114,0.0145621,0.0206553,0.0324303,0.0560178", \ - "0.00801708,0.00974493,0.0114126,0.0145627,0.0206572,0.0324329,0.0560188", \ - "0.00805728,0.00979727,0.0114665,0.0146167,0.0207004,0.0324638,0.0560350", \ - "0.00882556,0.0105197,0.0121607,0.0152175,0.0211235,0.0327145,0.0561501", \ - "0.00962669,0.0112916,0.0129037,0.0159264,0.0218222,0.0334149,0.0565562", \ - "0.0107859,0.0124335,0.0140332,0.0170021,0.0227472,0.0341439,0.0572657"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00276704,0.00482894,0.00698974,0.0115156,0.0209631,0.0401458,0.0785731", \ - "0.00276563,0.00482961,0.00699099,0.0115170,0.0209628,0.0401415,0.0785848", \ - "0.00292437,0.00492612,0.00705340,0.0115440,0.0209680,0.0401493,0.0785742", \ - "0.00376116,0.00562323,0.00756115,0.0117982,0.0210655,0.0401660,0.0785876", \ - "0.00493472,0.00672523,0.00858458,0.0124114,0.0212786,0.0402830,0.0786221", \ - "0.00641176,0.00810495,0.0100092,0.0136258,0.0218238,0.0405288,0.0787740", \ - "0.00818856,0.00979275,0.0116761,0.0153559,0.0228887,0.0409993,0.0791157"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0465371,0.0505673,0.0540935,0.0601482,0.0705046,0.0883880,0.120141", \ - "0.0468743,0.0509019,0.0544276,0.0604832,0.0708395,0.0887232,0.120474", \ - "0.0487700,0.0527955,0.0563189,0.0623717,0.0727248,0.0906069,0.122359", \ - "0.0522598,0.0562628,0.0597699,0.0658028,0.0761358,0.0940048,0.125748", \ - "0.0593937,0.0634489,0.0670270,0.0731582,0.0835842,0.101511,0.133285", \ - "0.0716159,0.0757497,0.0793962,0.0856364,0.0962271,0.114429,0.146468", \ - "0.0882257,0.0925029,0.0962765,0.102711,0.113562,0.132087,0.164479"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0130262,0.0162024,0.0191015,0.0244975,0.0349225,0.0555608,0.0967167", \ - "0.0137931,0.0169681,0.0198654,0.0252594,0.0356840,0.0563247,0.0974857", \ - "0.0168164,0.0199264,0.0227654,0.0280804,0.0384341,0.0590307,0.100172", \ - "0.0191881,0.0224799,0.0253734,0.0306275,0.0408318,0.0612714,0.102302", \ - "0.0186304,0.0221987,0.0253202,0.0307019,0.0407732,0.0610207,0.101892", \ - "0.0147615,0.0186474,0.0220183,0.0277949,0.0379200,0.0579880,0.0986605", \ - "0.00738371,0.0116095,0.0152264,0.0214727,0.0319820,0.0519329,0.0924721"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00801676,0.00974441,0.0114116,0.0145612,0.0206549,0.0324317,0.0560176", \ - "0.00801355,0.00974393,0.0114116,0.0145611,0.0206554,0.0324313,0.0560192", \ - "0.00801698,0.00974549,0.0114118,0.0145626,0.0206566,0.0324332,0.0560188", \ - "0.00805935,0.00979271,0.0114623,0.0146099,0.0206933,0.0324596,0.0560327", \ - "0.00878604,0.0104941,0.0121437,0.0152208,0.0211419,0.0327274,0.0561557", \ - "0.00948236,0.0111886,0.0128354,0.0159083,0.0218458,0.0334320,0.0565772", \ - "0.0105894,0.0123005,0.0139575,0.0170174,0.0228757,0.0343212,0.0573258"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00295520,0.00504548,0.00721186,0.0117120,0.0211004,0.0402238,0.0786217", \ - "0.00294685,0.00503788,0.00720607,0.0117082,0.0210965,0.0402274,0.0786219", \ - "0.00301893,0.00506113,0.00721290,0.0117037,0.0210917,0.0402209,0.0786341", \ - "0.00388202,0.00574087,0.00769188,0.0119313,0.0211726,0.0402392,0.0786352", \ - "0.00507613,0.00683827,0.00869824,0.0125325,0.0213870,0.0403619,0.0786885", \ - "0.00657943,0.00823321,0.0101129,0.0137268,0.0219314,0.0406090,0.0788389", \ - "0.00837968,0.00994500,0.0117922,0.0154403,0.0229879,0.0411029,0.0791850"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0484571,0.0524874,0.0560134,0.0620687,0.0724254,0.0903079,0.122059", \ - "0.0490107,0.0530388,0.0565648,0.0626201,0.0729763,0.0908598,0.122610", \ - "0.0508904,0.0549177,0.0584404,0.0644929,0.0748468,0.0927293,0.124480", \ - "0.0533319,0.0573479,0.0608625,0.0669023,0.0772437,0.0951184,0.126865", \ - "0.0577412,0.0617936,0.0653590,0.0714729,0.0818862,0.0998089,0.131579", \ - "0.0672463,0.0713812,0.0750298,0.0812580,0.0918424,0.110034,0.142042", \ - "0.0816493,0.0859493,0.0897389,0.0962045,0.107116,0.125727,0.158181"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0130651,0.0163117,0.0192859,0.0248027,0.0353740,0.0561301,0.0973595", \ - "0.0138292,0.0170709,0.0200393,0.0255492,0.0361166,0.0568746,0.0981051", \ - "0.0170553,0.0202083,0.0230979,0.0285011,0.0389696,0.0596691,0.100874", \ - "0.0198510,0.0231805,0.0261139,0.0314315,0.0417152,0.0622298,0.103312", \ - "0.0198737,0.0234833,0.0266389,0.0320827,0.0422213,0.0625286,0.103431", \ - "0.0168515,0.0207876,0.0241934,0.0300392,0.0402416,0.0603605,0.101055", \ - "0.0106486,0.0149396,0.0186066,0.0249290,0.0355560,0.0555757,0.0961308"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00801649,0.00974444,0.0114109,0.0145619,0.0206535,0.0324308,0.0560183", \ - "0.00801575,0.00974463,0.0114110,0.0145613,0.0206546,0.0324319,0.0560181", \ - "0.00801563,0.00974491,0.0114123,0.0145626,0.0206559,0.0324325,0.0560195", \ - "0.00803620,0.00976843,0.0114384,0.0145875,0.0206775,0.0324475,0.0560270", \ - "0.00861913,0.0103306,0.0119808,0.0150752,0.0210374,0.0326649,0.0561270", \ - "0.00933452,0.0110516,0.0127048,0.0157952,0.0217549,0.0333369,0.0565174", \ - "0.0105787,0.0123085,0.0139813,0.0170711,0.0229708,0.0344358,0.0573395"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00308725,0.00522208,0.00742901,0.0119657,0.0213397,0.0403955,0.0787339", \ - "0.00306805,0.00520251,0.00741071,0.0119514,0.0213314,0.0403888,0.0787226", \ - "0.00310700,0.00518496,0.00737475,0.0119059,0.0212988,0.0403737,0.0787194", \ - "0.00402075,0.00588002,0.00785069,0.0121058,0.0213424,0.0403774,0.0787258", \ - "0.00528342,0.00702299,0.00888959,0.0127310,0.0215669,0.0405077,0.0787802", \ - "0.00689656,0.00851633,0.0103798,0.0139950,0.0221548,0.0407813,0.0789413", \ - "0.00886920,0.0103901,0.0121985,0.0158315,0.0233358,0.0413461,0.0793366"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("21.162250,21.007890,20.583540,19.954350,19.634760,19.803960,19.698740", \ - "20.980030,20.819520,20.439900,19.817650,19.481840,19.650260,19.553160", \ - "21.401850,21.177150,20.823710,20.115610,19.865560,20.036310,19.971010", \ - "22.972970,22.856270,22.475300,21.718190,21.433170,21.558210,21.459710", \ - "27.195410,26.859860,26.354210,25.410740,24.703560,24.542410,24.234830", \ - "32.847820,32.244680,31.551840,30.320860,29.365410,28.925650,28.373720", \ - "40.561360,39.787620,38.966120,37.370560,35.751330,34.967220,34.236060"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("9.024705,9.455992,9.594334,9.393251,9.606631,8.824957,9.617563", \ - "9.130934,9.502947,9.620954,9.800846,9.751900,9.917906,8.921095", \ - "10.421230,10.562400,10.463440,10.496230,10.239610,10.106680,9.570407", \ - "13.304570,13.197130,12.981300,12.559320,12.125040,11.761950,11.065350", \ - "17.491870,17.270790,17.247690,16.754120,16.091730,14.870390,13.665040", \ - "23.244480,22.576360,22.388920,22.060000,21.769570,20.451180,18.864860", \ - "30.734110,29.271220,28.684860,28.365160,28.638630,28.651000,26.118970"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("23.323830,23.101770,22.813580,22.134310,21.775440,21.940660,21.842860", \ - "23.145960,22.923990,22.600870,21.984600,21.636730,21.771840,21.658990", \ - "22.974110,22.826950,22.468980,21.822710,21.471050,21.604600,21.508140", \ - "24.056370,23.792530,23.389720,22.623690,22.205430,22.280390,22.129010", \ - "26.997470,26.801230,26.335270,25.400510,24.676810,24.507630,24.206540", \ - "31.147360,30.859350,30.275420,29.309120,28.410650,28.036070,27.547350", \ - "37.367400,36.857180,36.221550,34.951710,33.639520,33.050300,32.452850"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("10.514330,10.953830,11.002830,10.931790,11.154350,11.409540,11.039390", \ - "10.592360,10.952050,11.017600,10.946460,11.245840,10.816740,10.819100", \ - "11.542550,11.850710,11.738870,11.803870,11.261020,11.237370,11.699340", \ - "14.388210,14.376350,14.123710,13.766880,13.292380,13.135730,11.205050", \ - "19.052310,18.617210,18.482930,17.647150,16.513350,16.337410,14.672570", \ - "24.974520,24.278160,23.982910,23.385750,22.597380,20.672070,20.042830", \ - "32.768140,31.374810,30.772300,30.397140,29.445000,27.881530,26.208080"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("25.238730,25.068560,24.713820,24.068440,23.759670,23.900070,23.778230", \ - "25.064540,24.879420,24.552820,23.923970,23.573030,23.719760,23.615070", \ - "24.903950,24.730600,24.423010,23.751430,23.396550,23.522670,23.426220", \ - "25.430630,25.147340,24.727790,24.026760,23.639400,23.714440,23.578110", \ - "27.659170,27.649090,27.204130,26.215180,25.603610,25.454020,25.186830", \ - "31.590730,31.509790,31.043610,30.139260,29.384200,29.071480,28.632200", \ - "38.062740,37.762390,37.326970,36.242380,34.964070,34.545000,34.010050"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("11.597840,12.115050,12.360670,12.446790,12.461130,12.296000,11.633390", \ - "11.507440,12.080160,12.170740,12.284770,12.656590,12.262690,12.627110", \ - "12.345400,12.639470,12.805740,12.795850,12.631510,12.527730,12.139700", \ - "15.400620,15.328290,15.027420,14.713710,14.037880,14.072250,14.128510", \ - "20.387060,20.053720,19.568260,18.905260,17.924120,17.084050,16.478470", \ - "27.010360,26.249650,25.757340,25.334980,24.157720,22.561790,19.979380", \ - "35.281920,34.100800,33.557990,32.922800,32.468450,30.524630,28.884520"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("27.164030,27.031150,26.601360,26.007820,25.659800,25.816980,25.713340", \ - "26.986120,26.839840,26.477830,25.845800,25.477830,25.636180,25.536890", \ - "26.742250,26.658410,26.311630,25.661660,25.316490,25.441880,25.342610", \ - "27.041010,26.835860,26.420090,25.767030,25.366050,25.474030,25.354200", \ - "28.879580,28.723390,28.304080,27.458450,26.856330,26.803600,26.557810", \ - "32.827050,32.609570,32.264100,31.368850,30.709200,30.508720,30.104700", \ - "39.881210,39.687010,39.353640,38.261210,37.097280,36.742810,36.246650"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("11.861650,12.610200,12.953920,13.214020,13.661810,13.452100,13.343060", \ - "11.721480,12.357890,12.638720,12.881140,12.965240,13.008560,13.104990", \ - "12.636810,13.089430,13.328210,13.374150,13.190450,13.646910,12.902000", \ - "15.941540,15.908810,15.753660,15.576820,15.111190,14.783410,14.661020", \ - "21.166830,20.948020,20.619810,20.000470,19.038700,18.551590,17.706310", \ - "28.775120,27.820060,27.603670,26.723390,25.892770,23.866240,22.822870", \ - "38.157330,36.702000,36.303000,35.661970,34.710520,33.554770,30.336380"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFRS_X1 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and active low set, and drive strength X1 - *******************************************************************************************/ - - cell (SDFFRS_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - preset : "!SN"; - clear : "!RN"; - clear_preset_var1 : L; - clear_preset_var2 : L; - } - - area : 7.714000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 418.201912; - - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 292.317500; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & SN & !Q & QN"; - value : 332.016625; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 325.984875; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & SN & !Q & QN"; - value : 365.684000; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 306.462875; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & SN & !Q & QN"; - value : 346.163250; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & !SN & !Q & !QN"; - value : 383.754750; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & SN & !Q & QN"; - value : 433.005000; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & !SN & Q & !QN"; - value : 355.690250; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & SN & !Q & QN"; - value : 376.796250; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & SN & Q & !QN"; - value : 400.964000; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & !SN & Q & !QN"; - value : 389.357625; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & SN & !Q & QN"; - value : 410.463625; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & SN & Q & !QN"; - value : 434.632625; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & !SN & Q & !QN"; - value : 369.836875; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & SN & !Q & QN"; - value : 390.941625; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & SN & Q & !QN"; - value : 415.110625; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & !SN & Q & !QN"; - value : 446.344625; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & SN & !Q & QN"; - value : 491.421750; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & SN & Q & !QN"; - value : 488.061000; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 378.571375; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & SN & !Q & QN"; - value : 427.821625; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 384.759125; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & SN & !Q & QN"; - value : 434.010625; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 351.079375; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & SN & !Q & QN"; - value : 390.779750; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & !SN & !Q & !QN"; - value : 391.721000; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & SN & !Q & QN"; - value : 440.972500; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & !SN & Q & !QN"; - value : 441.161250; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & SN & !Q & QN"; - value : 486.238375; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & SN & Q & !QN"; - value : 482.877625; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & !SN & Q & !QN"; - value : 447.349000; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & SN & !Q & QN"; - value : 492.426125; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & SN & Q & !QN"; - value : 489.065375; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & !SN & Q & !QN"; - value : 414.453375; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & SN & !Q & QN"; - value : 435.558125; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & SN & Q & !QN"; - value : 459.727125; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & !SN & Q & !QN"; - value : 454.310875; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & SN & !Q & QN"; - value : 499.388000; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & SN & Q & !QN"; - value : 496.027250; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 358.290875; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & SN & !Q & QN"; - value : 321.011750; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 391.955750; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & SN & !Q & QN"; - value : 354.676625; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 372.435000; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & SN & !Q & QN"; - value : 335.155875; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & !SN & !Q & !QN"; - value : 388.007000; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & SN & !Q & QN"; - value : 378.162750; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & !SN & Q & !QN"; - value : 427.700250; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & SN & !Q & QN"; - value : 363.942625; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & SN & Q & !QN"; - value : 471.257875; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & !SN & Q & !QN"; - value : 461.365125; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & SN & !Q & QN"; - value : 397.607500; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & SN & Q & !QN"; - value : 504.922750; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & !SN & Q & !QN"; - value : 441.844375; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & SN & !Q & QN"; - value : 378.086750; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & SN & Q & !QN"; - value : 485.402000; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & !SN & Q & !QN"; - value : 457.363875; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & SN & !Q & QN"; - value : 421.102375; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & SN & Q & !QN"; - value : 500.921500; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 382.824750; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & SN & !Q & QN"; - value : 372.980500; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 389.015000; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & SN & !Q & QN"; - value : 379.170750; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 417.046500; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & SN & !Q & QN"; - value : 379.767375; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & !SN & !Q & !QN"; - value : 395.975750; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & SN & !Q & QN"; - value : 386.132750; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & !SN & Q & !QN"; - value : 452.182875; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & SN & !Q & QN"; - value : 415.920125; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & SN & Q & !QN"; - value : 495.739250; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & !SN & Q & !QN"; - value : 458.371875; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & SN & !Q & QN"; - value : 422.110375; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & SN & Q & !QN"; - value : 501.929500; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & !SN & Q & !QN"; - value : 486.455875; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & SN & !Q & QN"; - value : 422.699500; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & SN & Q & !QN"; - value : 530.013500; - } - leakage_power () { - when : "CK & D & RN & SE & SI & !SN & Q & !QN"; - value : 465.333875; - } - leakage_power () { - when : "CK & D & RN & SE & SI & SN & !Q & QN"; - value : 429.071125; - } - leakage_power () { - when : "CK & D & RN & SE & SI & SN & Q & !QN"; - value : 508.891500; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.159243; - fall_capacitance : 1.120513; - rise_capacitance : 1.159243; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & !SE & SN"; - sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.011084,-0.005097,-0.006423", \ - "-0.008246,-0.001070,-0.002053", \ - "0.107349,0.114322,0.113574"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.007647,-0.003812,-0.007890", \ - "-0.007249,-0.004487,-0.009945", \ - "0.064177,0.065573,0.051971"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & !SE & SN"; - sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.043995,0.043388,0.057715", \ - "0.053814,0.053099,0.067150", \ - "0.082666,0.081279,0.094667"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.038098,0.031345,0.032403", \ - "0.041744,0.034577,0.035649", \ - "0.039483,0.032518,0.033302"); - } - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.861273,6.831304,6.835266,7.241167,8.282303,9.980590,12.345320"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.645794,5.589125,5.594620,5.964553,6.955522,8.614002,10.968110"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.863280,6.832347,6.835884,7.242844,8.283679,9.982015,12.346670"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.686029,5.628870,5.635077,6.006239,6.995809,8.656941,11.007840"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.859835,6.829658,6.834011,7.239744,8.280947,9.978986,12.343380"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.667340,5.610574,5.616111,5.986122,6.977645,8.635302,10.989570"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.860271,6.831364,6.834357,7.240735,8.282070,9.980218,12.344800"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.710517,5.653671,5.659263,6.028399,7.020798,8.678239,11.032400"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.961377,0.927085,0.908242,0.903782,0.900054,0.900205,0.899307"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.800665,-0.807182,-0.811287,-0.816056,-0.816685,-0.821292,-0.819110"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960725,0.926513,0.907584,0.903173,0.899429,0.899654,0.898716"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803111,-0.809705,-0.813704,-0.818535,-0.819095,-0.823809,-0.821506"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864150,0.876859,0.876852,0.878006,0.877103,0.878220,0.876295"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530934,-0.634027,-0.699959,-0.728202,-0.740165,-0.751658,-0.753950"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864946,0.877804,0.877637,0.878878,0.877936,0.879187,0.877176"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.529620,-0.632864,-0.698595,-0.726963,-0.738794,-0.750503,-0.752568"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.356704,9.326897,9.330332,9.741366,10.792320,12.508630,14.900030"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.031505,6.974359,6.981088,7.352319,8.348126,10.015770,12.382910"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.512654,9.483744,9.485797,9.897838,10.949470,12.665910,15.057690"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.293748,7.236906,7.242915,7.616241,8.609038,10.278880,12.642250"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.470137,9.441113,9.445260,9.855652,10.906910,12.623310,15.014160"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.071058,7.013826,7.019894,7.394646,8.387743,10.058910,12.424300"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.357219,9.326146,9.329083,9.739991,10.790830,12.506750,14.898070"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.053786,6.995925,7.002582,7.373764,8.369078,10.037420,12.404420"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.512101,9.481500,9.485445,9.896429,10.947950,12.663470,15.056270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.315708,7.258466,7.264381,7.637744,8.630565,10.300710,12.663770"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.468948,9.439487,9.443982,9.854058,10.905390,12.621450,15.012700"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.092869,7.035400,7.041420,7.415533,8.409281,10.081490,12.445730"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960216,0.926152,0.907063,0.902738,0.898954,0.899311,0.898277"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803677,-0.810423,-0.814221,-0.819184,-0.819617,-0.824559,-0.822038"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960417,0.926315,0.907268,0.902922,0.899150,0.899474,0.898470"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803923,-0.810627,-0.814477,-0.817528,-0.819867,-0.821512,-0.822276"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960653,0.926706,0.907491,0.903236,0.899420,0.899882,0.898779"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803001,-0.809863,-0.813500,-0.816550,-0.818891,-0.820537,-0.821303"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864182,0.876993,0.876877,0.878088,0.877158,0.878361,0.876377"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530744,-0.633934,-0.699734,-0.728058,-0.739937,-0.751576,-0.753725"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864438,0.877366,0.877128,0.878405,0.877445,0.878751,0.876697"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530220,-0.633528,-0.699169,-0.725671,-0.739371,-0.747879,-0.753156"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864172,0.877075,0.876866,0.878128,0.877175,0.878460,0.876422"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530865,-0.634148,-0.699822,-0.726325,-0.740025,-0.748533,-0.753811"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.874345,3.845241,3.851999,4.249418,5.267511,6.914351,9.199619"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.018109,1.961508,1.972186,2.342511,3.308417,4.916135,7.172824"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.986535,3.957589,3.964311,4.358849,5.372178,7.016956,9.299831"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.967939,1.911682,1.921953,2.294324,3.262931,4.871903,7.129232"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.870171,3.840969,3.847778,4.245295,5.262892,6.909832,9.194665"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.018444,1.961909,1.972523,2.342921,3.308707,4.916270,7.172721"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.982820,3.954198,3.960595,4.356681,5.368185,7.015582,9.295361"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.970346,1.913785,1.923975,2.296338,3.264912,4.873845,7.131037"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960000,0.925688,0.907013,0.900731,0.898917,0.895955,0.898308"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.804044,-0.810982,-0.814657,-0.817690,-0.819918,-0.821485,-0.822443"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.959883,0.925152,0.906934,0.900769,0.898701,0.895992,0.897972"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.804513,-0.811029,-0.815279,-0.818308,-0.820535,-0.822101,-0.823059"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864607,0.876654,0.876754,0.876189,0.876937,0.875151,0.876127"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531140,-0.634274,-0.700382,-0.726887,-0.740719,-0.749005,-0.754434"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864740,0.876544,0.876905,0.876405,0.877008,0.875367,0.876130"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531082,-0.633969,-0.700415,-0.726915,-0.740744,-0.749029,-0.754456"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.874633,3.845681,3.852279,4.249664,5.267800,6.914616,9.200024"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.018881,1.962130,1.973003,2.343314,3.309210,4.916916,7.173572"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.983498,3.954545,3.960949,4.355747,5.369001,7.013729,9.296800"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.033378,1.977125,1.986773,2.360082,3.329917,4.938867,7.194740"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.873527,3.842705,3.849203,4.246948,5.264342,6.911329,9.196930"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.096407,2.039182,2.049697,2.421536,3.389252,4.996588,7.252036"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.870460,3.841409,3.848058,4.245642,5.263231,6.910097,9.195070"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.019215,1.962530,1.973338,2.343723,3.309499,4.917052,7.173469"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.257583; - fall_capacitance : 2.179839; - rise_capacitance : 2.257583; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.046397,-0.052698,-0.053073", \ - "-0.044149,-0.050771,-0.051115", \ - "-0.015923,-0.025330,-0.026256"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.114468,0.121042,0.121758", \ - "0.154787,0.161238,0.162195", \ - "0.296258,0.302718,0.303684"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.071744,0.088081,0.166658"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.657266,1.628026,1.612076,1.607772,1.600550,1.600422,1.597033"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.488740,-1.494940,-1.493840,-1.498400,-1.500010,-1.501010,-1.501840"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.656756,1.627539,1.611420,1.607287,1.600049,1.600161,1.596527"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.271320,-1.280460,-1.280240,-1.283620,-1.281810,-1.284200,-1.280340"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.656706,1.627356,1.611376,1.607173,1.599969,1.599969,1.596412"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.271470,-1.280480,-1.280440,-1.283700,-1.282010,-1.284210,-1.280540"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.441664,3.397349,3.589549,4.262996,5.519426,7.421914,9.992451"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.004350,-0.035143,0.095412,0.662921,1.860354,3.715946,6.261763"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.441735,3.397602,3.589601,4.263151,5.519535,7.422185,9.992611"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.004136,-0.035115,0.095691,0.663041,1.860635,3.715954,6.262042"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.441701,3.397482,3.589579,4.263086,5.519484,7.422057,9.992538"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.004240,-0.035130,0.095555,0.662910,1.860500,3.715952,6.261910"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.656749,1.627518,1.611409,1.607299,1.600041,1.600147,1.596518"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.271330,-1.280460,-1.280250,-1.283600,-1.281830,-1.284200,-1.280360"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.441620,3.397188,3.589518,4.262894,5.519356,7.421739,9.992350"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.004490,-0.035163,0.095230,0.662841,1.860172,3.715942,6.261582"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.620692,1.601829,1.590906,1.585862,1.583170,1.581075,1.581457"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.264910,-1.271060,-1.270250,-1.272120,-1.271210,-1.270680,-1.269790"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.620146,1.601379,1.590359,1.586994,1.582654,1.583411,1.580969"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.256980,-1.263350,-1.262640,-1.264330,-1.263610,-1.262410,-1.262270"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.619770,1.600800,1.589974,1.584925,1.582231,1.580168,1.580494"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.271200,-1.278550,-1.277420,-1.278880,-1.278420,-1.277640,-1.276280"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.618962,1.600077,1.589175,1.584136,1.581438,1.579358,1.579730"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.269210,-1.275340,-1.274580,-1.276430,-1.275530,-1.275000,-1.274120"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.619051,1.600294,1.589237,1.584129,1.581559,1.579369,1.579879"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.272820,-1.280370,-1.278980,-1.280420,-1.279970,-1.279190,-1.277840"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.619080,1.600290,1.589286,1.584221,1.581581,1.579445,1.579899"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.268790,-1.275020,-1.274120,-1.275980,-1.275080,-1.274550,-1.273670"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.620083,1.601290,1.590287,1.585221,1.582587,1.580447,1.580905"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.266420,-1.272660,-1.271730,-1.273600,-1.272700,-1.272170,-1.271280"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.625994,1.601798,1.589775,1.586014,1.581748,1.582194,1.579837"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.258650,-1.265200,-1.264510,-1.266030,-1.265340,-1.264970,-1.264180"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.154214; - fall_capacitance : 1.981741; - rise_capacitance : 2.154214; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.009722,-0.005859,-0.010306", \ - "-0.017801,-0.016015,-0.020948", \ - "0.096443,0.098070,0.084143"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.006946,-0.001700,-0.005431", \ - "-0.009506,-0.004004,-0.009727", \ - "0.070539,0.076715,0.062945"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.042122,0.038393,0.052729", \ - "0.053199,0.048308,0.062241", \ - "0.076302,0.070135,0.083692"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.049896,0.048970,0.063084", \ - "0.052576,0.051821,0.065923", \ - "0.050393,0.048775,0.062739"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.893726,2.900248,3.223668,4.062784,5.574255,7.838753,10.888650"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.101994,-0.127480,0.103818,0.937225,2.476107,4.746931,7.804659"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.893502,2.900008,3.223351,4.062511,5.573968,7.838533,10.888390"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.103404,-0.128963,0.102435,0.937463,2.474730,4.748344,7.803299"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.718478,7.709025,8.215998,9.688413,12.398710,16.502710,22.091250"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.843034,4.806114,5.148774,6.521401,9.220831,13.340970,18.963810"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.718950,7.709820,8.218473,9.689492,12.399440,16.504040,22.092760"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.886135,4.849759,5.192164,6.564147,9.264462,13.382810,19.006400"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.892612,2.899318,3.222457,4.061741,5.573155,7.837856,10.887620"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.105011,-0.130720,0.100886,0.934098,2.473175,4.743664,7.801731"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.892818,2.899473,3.222694,4.061922,5.573352,7.838023,10.887810"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.105256,-0.130927,0.100626,0.935653,2.472923,4.746539,7.801495"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.893087,2.899871,3.222886,4.062238,5.573625,7.838433,10.888120"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.104335,-0.130162,0.101606,0.936631,2.473900,4.747513,7.802467"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.211510,10.201250,10.709140,12.189580,14.912840,19.049700,24.688040"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.231336,6.194017,6.535422,7.911869,10.617420,14.748710,20.395740"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.367890,10.357940,10.865830,12.345330,15.069440,19.204890,24.842530"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.492875,6.455477,6.798552,8.175339,10.879110,15.015820,20.658460"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.326000,10.315850,10.823840,12.303330,15.027050,19.163490,24.801390"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.269227,6.231650,6.574652,7.953410,10.656620,14.791240,20.435060"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.481237,8.498429,8.925224,9.954616,11.678190,14.152920,17.394870"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.942561,5.903789,6.055197,6.867204,8.474555,10.907690,14.274560"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.481758,8.498462,8.925704,9.955049,11.678500,14.153230,17.394830"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.858986,5.820937,5.971669,6.783923,8.391598,10.824870,14.191260"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.677829,2.689796,3.023000,3.874320,5.395604,7.665581,10.716520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.037388,0.016143,0.238740,1.061425,2.598766,4.874228,7.934303"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.678689,2.690831,3.023873,3.875921,5.396526,7.666636,10.717180"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.038872,0.017477,0.240284,1.061418,2.599881,4.872590,7.935866"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.868252,9.884222,10.311960,11.341390,13.066130,15.543300,18.789100"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.433317,8.394788,8.545182,9.355429,10.964000,13.400230,16.768840"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.807881,9.825131,10.252280,11.280750,13.004860,15.482380,18.725810"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.521631,8.483373,8.634169,9.446767,11.054410,13.492240,16.859380"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.903227,9.920191,10.347780,11.377100,13.102450,15.580890,18.826680"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.563868,8.526031,8.676526,9.488770,11.095790,13.534260,16.900120"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.678019,2.690006,3.023109,3.874493,5.395755,7.665815,10.716690"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.037786,0.016413,0.239141,1.060014,2.599153,4.871513,7.934707"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.678259,2.690379,3.023355,3.875330,5.396039,7.666205,10.717010"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.038314,0.016818,0.239706,1.062734,2.599671,4.875199,7.935279"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.678009,2.690089,3.023095,3.874533,5.395773,7.665914,10.716740"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.037665,0.016200,0.239051,1.061738,2.599064,4.874544,7.934622"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.892779,2.899672,3.222322,4.059797,5.573268,7.834692,10.887580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.092393,-0.117859,0.113276,0.948559,2.486056,4.759279,7.814501"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.894840,2.900217,3.223467,4.061162,5.574365,7.835978,10.888520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.089255,-0.114921,0.115658,0.951036,2.488412,4.761700,7.816810"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.730592,4.721505,5.234907,6.697486,9.373289,13.400980,18.860630"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.156069,1.119631,1.468850,2.835777,5.489168,9.503654,14.952120"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.848214,4.848453,5.359213,6.810068,9.485739,13.511420,18.956860"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.113144,1.076631,1.426232,2.794616,5.447588,9.462969,14.910290"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.893058,2.900101,3.222593,4.060027,5.573587,7.834871,10.887940"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.091959,-0.117575,0.113763,0.949045,2.486546,4.762334,7.814992"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.892454,2.898948,3.222296,4.059817,5.572964,7.834995,10.887310"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.105953,-0.131203,0.099902,0.934875,2.472233,4.745881,7.800981"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.892732,2.899979,3.222579,4.059996,5.573496,7.834849,10.888000"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.105036,-0.130971,0.100999,0.935965,2.473325,4.746972,7.802075"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.730872,4.721937,5.235191,6.697736,9.373638,13.401250,18.861040"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.156851,1.120265,1.469673,2.836564,5.489906,9.504502,14.952960"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.847631,4.839736,5.352266,6.808159,9.477191,13.500140,18.955960"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.230879,1.194163,1.542259,2.904627,5.543401,9.548770,14.986200"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.730796,4.722624,5.236595,6.697465,9.373822,13.401190,18.860760"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.290055,1.253287,1.601607,2.962704,5.599987,9.603715,15.041150"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.856867,4.873333,5.300151,6.328610,8.055718,10.523240,13.763230"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.735255,2.711355,2.913705,3.769212,5.387502,7.825230,11.180200"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.807590,4.823908,5.251031,6.278604,8.005369,10.473190,13.712230"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.841388,2.818098,3.020341,3.876546,5.494049,7.933906,11.290640"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.878074; - fall_capacitance : 0.845462; - rise_capacitance : 0.878074; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SE & SN"; - sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.012935,-0.006838,-0.007934", \ - "-0.010722,-0.003625,-0.004507", \ - "0.103259,0.110144,0.109583"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.008315,-0.004461,-0.008847", \ - "-0.007603,-0.004877,-0.011042", \ - "0.058724,0.060003,0.046484"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SE & SN"; - sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.047860,0.047207,0.061167", \ - "0.057724,0.056824,0.070832", \ - "0.088120,0.086851,0.100155"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.039708,0.032814,0.033938", \ - "0.044221,0.037132,0.038104", \ - "0.043574,0.036698,0.037293"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723898,0.700501,0.686267,0.683406,0.680511,0.681324,0.679547"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.610067,-0.615913,-0.615908,-0.619016,-0.618219,-0.621374,-0.618671"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723592,0.700263,0.685954,0.683134,0.680222,0.681103,0.679284"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.611479,-0.617396,-0.617291,-0.618668,-0.619593,-0.619770,-0.620031"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.828309,6.809143,6.805935,7.084322,7.830883,9.083853,10.851630"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.036056,4.996325,5.000787,5.251771,5.963452,7.188047,8.959738"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.829308,6.810239,6.806879,7.085553,7.831477,9.084598,10.853100"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.079863,5.039164,5.043864,5.293743,6.006465,7.231339,9.002473"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.722736,0.699567,0.685091,0.682362,0.679409,0.680429,0.678517"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613079,-0.619155,-0.618843,-0.622143,-0.621150,-0.624640,-0.621599"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.722935,0.699727,0.685293,0.682544,0.679605,0.680593,0.678710"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613324,-0.619357,-0.619098,-0.620475,-0.621401,-0.621576,-0.621837"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723170,0.700118,0.685516,0.682859,0.679875,0.681001,0.679019"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612401,-0.618594,-0.618121,-0.619498,-0.620424,-0.620601,-0.620864"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.333170,9.314186,9.311764,9.594105,10.352820,11.624650,13.423450"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.424306,6.382778,6.388670,6.639209,7.353866,8.590841,10.376560"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.489467,9.470049,9.466923,9.748861,10.508840,11.780440,13.579920"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.686000,6.645731,6.649950,6.904005,7.616646,8.855336,10.635920"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.447327,9.428353,9.425318,9.708360,10.466720,11.738630,13.536870"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.462334,6.421838,6.426400,6.680474,7.393192,8.633504,10.416880"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633300,0.645130,0.644600,0.646262,0.645336,0.647036,0.645376"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394295,-0.471709,-0.519129,-0.540438,-0.548381,-0.557607,-0.557553"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634101,0.646076,0.645385,0.647135,0.646170,0.648003,0.646257"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.392986,-0.470550,-0.517763,-0.539199,-0.547010,-0.556451,-0.556170"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.826598,6.807374,6.804032,7.082311,7.828603,9.081566,10.849160"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.099025,5.058833,5.063308,5.315080,6.024185,7.253523,9.021259"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.827599,6.808406,6.805085,7.083566,7.829685,9.082994,10.850350"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.102106,5.061769,5.066200,5.315813,6.027562,7.253745,9.024614"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633338,0.645267,0.644628,0.646345,0.645391,0.647178,0.645459"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394104,-0.471617,-0.518902,-0.540296,-0.548154,-0.557525,-0.557327"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633586,0.645637,0.644874,0.646656,0.645678,0.647567,0.645779"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393579,-0.471210,-0.518336,-0.537723,-0.547587,-0.553510,-0.556757"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633314,0.645348,0.644611,0.646383,0.645408,0.647276,0.645504"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394224,-0.471829,-0.518989,-0.538378,-0.548242,-0.554165,-0.557413"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.332474,9.313084,9.309974,9.591012,10.350650,11.622150,13.420990"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.446445,6.406744,6.411023,6.663119,7.375868,8.613357,10.398680"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.487788,9.468865,9.465133,9.748202,10.506480,11.777890,13.576680"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.708067,6.668265,6.672401,6.926292,7.638961,8.877582,10.658070"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.445649,9.426531,9.423530,9.706029,10.463760,11.736260,13.534340"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.484245,6.443827,6.448912,6.702632,7.416797,8.655749,10.439020"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.722680,0.699362,0.685008,0.680358,0.679377,0.677052,0.678510"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613544,-0.619569,-0.619325,-0.620643,-0.621566,-0.621755,-0.622050"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723853,0.700120,0.686219,0.681682,0.680445,0.678366,0.679454"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.611005,-0.616616,-0.616936,-0.618261,-0.619170,-0.619356,-0.619646"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.829904,3.811028,3.809944,4.074306,4.791674,5.984883,7.667251"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.486884,1.446972,1.453568,1.703490,2.384526,3.550303,5.209109"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.937053,3.917051,3.916389,4.179429,4.891745,6.081906,7.760676"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.427968,1.388462,1.394887,1.645527,2.328038,3.494426,5.153908"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.722962,0.699792,0.685277,0.680587,0.679696,0.677284,0.678875"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613114,-0.619289,-0.618838,-0.620164,-0.621079,-0.621265,-0.621556"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.722594,0.699160,0.684969,0.680401,0.679220,0.677089,0.678262"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613835,-0.619643,-0.619700,-0.621082,-0.622014,-0.622192,-0.622459"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.722983,0.700123,0.685310,0.680583,0.679749,0.677277,0.678960"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612944,-0.619324,-0.618597,-0.619981,-0.620913,-0.621091,-0.621357"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.830204,3.811503,3.810235,4.074559,4.792016,5.985147,7.667650"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.487626,1.447562,1.454356,1.704270,2.385321,3.551084,5.209857"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.933249,3.913341,3.912571,4.175580,4.887949,6.078032,7.756867"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.428069,1.388460,1.394881,1.645719,2.328220,3.494578,5.154082"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.826134,3.807357,3.806211,4.070502,4.788020,5.981073,7.663651"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.487608,1.447462,1.454381,1.704362,2.385366,3.551147,5.209979"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633891,0.645568,0.645161,0.644937,0.645869,0.644325,0.645856"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.392978,-0.469554,-0.517460,-0.536946,-0.546857,-0.552504,-0.555863"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633193,0.644638,0.644487,0.644329,0.645116,0.643719,0.645037"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394906,-0.471236,-0.519477,-0.538963,-0.548872,-0.554515,-0.557875"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.533822; - fall_capacitance : 1.505209; - rise_capacitance : 1.533822; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.024420,-0.031244,-0.028831", \ - "-0.018098,-0.025232,-0.023345", \ - "0.028831,0.018353,0.019929"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.073259,0.079055,0.084152", \ - "0.082765,0.088619,0.093862", \ - "0.130605,0.136098,0.140454"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.081816,0.101882,0.178821"); - } - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.939880,0.909801,0.892650,0.888999,0.885121,0.885884,0.883974"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.641210,-0.656328,-0.671463,-0.683961,-0.687312,-0.693480,-0.692866"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.939913,0.909924,0.892675,0.889077,0.885175,0.886019,0.884054"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.641103,-0.656313,-0.671326,-0.683901,-0.687174,-0.693476,-0.692728"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.939866,0.909746,0.892637,0.888967,0.885097,0.885828,0.883940"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.641252,-0.656334,-0.671519,-0.683985,-0.687369,-0.693482,-0.692923"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.898456,0.874915,0.863308,0.859923,0.857065,0.858177,0.855843"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.794641,-0.799686,-0.799383,-0.802970,-0.801447,-0.804596,-0.800355"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.898523,0.875164,0.863358,0.860066,0.857174,0.858450,0.856004"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.794425,-0.799653,-0.799101,-0.802797,-0.801166,-0.804587,-0.800075"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.898490,0.875043,0.863334,0.859989,0.857121,0.858318,0.855926"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.794530,-0.799669,-0.799238,-0.802857,-0.801302,-0.804591,-0.800211"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.939908,0.909909,0.892671,0.889070,0.885169,0.886006,0.884046"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.641112,-0.656314,-0.671337,-0.683906,-0.687186,-0.693477,-0.692740"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.898413,0.874753,0.863275,0.859805,0.856993,0.858001,0.855738"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.794782,-0.799707,-0.799566,-0.802999,-0.801630,-0.804601,-0.800538"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.937985,0.904844,0.883751,0.879209,0.876937,0.871652,0.873042"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.796562,-0.798982,-0.798000,-0.802059,-0.803542,-0.803807,-0.803041"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.937397,0.904345,0.883157,0.878590,0.876374,0.871038,0.872508"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.798008,-0.800516,-0.799416,-0.803475,-0.804958,-0.805222,-0.804457"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.938175,0.905033,0.883942,0.879410,0.877117,0.871853,0.873214"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.796470,-0.798603,-0.797876,-0.802337,-0.803542,-0.803737,-0.803151"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.937203,0.903937,0.882976,0.878518,0.876097,0.870939,0.872155"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.798225,-0.800503,-0.799773,-0.803981,-0.805297,-0.805564,-0.804807"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.937488,0.904443,0.883248,0.878736,0.876425,0.871154,0.872529"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.796932,-0.799040,-0.798328,-0.802682,-0.803903,-0.804278,-0.803659"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.937323,0.904151,0.883087,0.878603,0.876240,0.871025,0.872324"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.797802,-0.800175,-0.799316,-0.803525,-0.804843,-0.805112,-0.804357"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.937400,0.904338,0.883158,0.878595,0.876376,0.871044,0.872509"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.798008,-0.800508,-0.799418,-0.803478,-0.804961,-0.805225,-0.804461"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.937379,0.904033,0.883164,0.878737,0.876244,0.871154,0.872263"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.797288,-0.799094,-0.798793,-0.803146,-0.804367,-0.804741,-0.804123"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.969369; - fall_capacitance : 0.893074; - rise_capacitance : 0.969369; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.033286,0.042997,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.024130,0.033183,0.146386"); - } - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.058536,9.058287,9.320755,10.145750,11.660630,14.040390,17.396140"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("11.313380,11.299910,11.479410,12.210860,13.780680,16.267500,19.738850"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.998418,4.999867,5.251435,6.046725,7.508412,9.813281,13.066420"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.367619,5.354528,5.544353,6.274439,7.806303,10.224990,13.565040"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.058567,9.058411,9.320781,10.145830,11.660680,14.040520,17.396220"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("11.313490,11.299940,11.479540,12.210920,13.780820,16.267510,19.739000"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.000585,5.002030,5.253871,6.048945,7.510874,9.816752,13.068790"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.991606,4.978237,5.167985,5.899960,7.431686,9.848629,13.197500"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.058533,9.058248,9.320609,10.145730,11.660610,14.041030,17.396110"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("11.313340,11.299910,11.479340,12.210830,13.780610,16.267500,19.738790"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.000537,5.001709,5.253410,6.048777,7.510783,9.816558,13.068550"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.991511,4.978269,5.167835,5.899920,7.431502,9.848645,13.197350"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.108367,7.111185,7.368526,8.171225,9.647366,11.974920,15.248900"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.324050,6.309261,6.514151,7.276231,8.867496,11.350350,14.765350"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.283810,8.284755,8.543234,9.358740,10.843040,13.180420,16.460200"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("22.936570,22.922270,23.126620,23.895860,25.493830,27.983780,31.397850"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.531944,9.532607,9.797375,10.643920,12.193270,14.643570,18.100500"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("16.297690,16.280000,16.465760,17.235070,18.875550,21.497880,25.185920"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.007397,5.008931,5.261960,6.056675,7.518038,9.823572,13.075270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.010839,4.998947,5.188673,5.922591,7.452070,9.871791,13.218830"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.733808,9.723117,9.991073,10.836350,12.387420,14.826280,18.273510"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.531976,9.532732,9.796836,10.644000,12.193330,14.643710,18.100580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("16.297800,16.280030,16.465900,17.235150,18.875690,21.497890,25.186060"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.007435,5.009061,5.261992,6.056760,7.518098,9.823713,13.075360"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.010947,4.998968,5.188818,5.922733,7.452209,9.871930,13.218970"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.733920,9.723141,9.991222,10.836500,12.387570,14.826430,18.273660"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.531779,9.532405,9.797207,10.643750,12.193110,14.643510,18.100440"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("16.297650,16.280000,16.465700,17.235050,18.875480,21.497870,25.185850"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.007265,5.008757,5.261829,6.056504,7.517973,9.823516,13.075240"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.010851,4.998998,5.188670,5.922584,7.452025,9.871757,13.218810"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.733607,9.722955,9.990859,10.836150,12.387220,14.826200,18.273420"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.169603,5.170429,5.428601,6.226432,7.697871,10.014830,13.271460"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.807657,4.791925,4.984347,5.724885,7.273854,9.695207,13.044940"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.976981,9.968167,10.228270,11.039550,12.515670,14.837800,18.109470"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.169991,5.170678,5.428389,6.226373,7.697719,10.015760,13.271620"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.826356,4.812141,5.003006,5.745888,7.292711,9.716327,13.062200"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.108425,7.111428,7.368580,8.171375,9.647468,11.975190,15.249060"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.324275,6.309297,6.514433,7.276356,8.867780,11.350360,14.765630"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.283669,8.285018,8.543304,9.358507,10.843310,13.180700,16.460470"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("22.936540,22.921960,23.128640,23.895970,25.493250,27.983790,31.398120"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.108387,7.108828,7.370844,8.171238,9.647825,11.975110,15.248220"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.365814,6.350185,6.554193,7.317833,8.907889,11.393340,14.805080"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.283638,8.284894,8.543281,9.358433,10.843120,13.180580,16.460400"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("22.936440,22.920820,23.128260,23.895920,25.494190,27.983790,31.398000"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.058290,9.058110,9.320226,10.147160,11.659060,14.040480,17.395430"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("11.433350,11.415730,11.595910,12.334310,13.902870,16.390910,19.854880"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.000592,5.001884,5.253810,6.048893,7.510867,9.816748,13.068660"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.991655,4.978293,5.168015,5.900001,7.431691,9.848655,13.197530"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.108313,7.111013,7.368233,8.171114,9.647288,11.974740,15.248790"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.323910,6.309240,6.513965,7.276150,8.867314,11.350340,14.765170"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.283769,8.284594,8.542936,9.358642,10.842970,13.180250,16.460240"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("22.936420,22.922240,23.127350,23.895790,25.493650,27.983780,31.397670"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.169663,5.170677,5.428744,6.226582,7.697972,10.015100,13.271620"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.807968,4.792324,4.984629,5.725007,7.274130,9.695212,13.045210"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.965154,9.955894,10.216380,11.029040,12.506330,14.830430,18.105330"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.170047,5.170954,5.428476,6.226523,7.697824,10.016020,13.271770"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.826581,4.814316,5.003286,5.746172,7.292988,9.716604,13.062470"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.169626,5.170553,5.428617,6.226503,7.697917,10.014970,13.271540"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.807865,4.792311,4.984494,5.724949,7.273996,9.695210,13.045080"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.965048,9.955789,10.216240,11.028910,12.506190,14.830310,18.105200"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.170010,5.170830,5.428404,6.226444,7.697770,10.015890,13.271700"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.826478,4.814303,5.003151,5.746038,7.292853,9.716470,13.062340"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.531830,9.532578,9.797249,10.643870,12.193200,14.643700,18.100560"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("16.297800,16.280030,16.465890,17.235130,18.875660,21.497880,25.186040"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.007321,5.008933,5.261875,6.056621,7.518059,9.823708,13.075360"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.010997,4.999024,5.188849,5.922768,7.452215,9.871945,13.219000"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.733774,9.723002,9.991069,10.836360,12.387430,14.826410,18.273630"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.169553,5.170262,5.428562,6.226323,7.697792,10.014650,13.271350"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.807517,4.791904,4.984164,5.724805,7.273671,9.695200,13.044760"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.964827,9.955863,10.215970,11.028630,12.505900,14.830030,18.104910"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.169939,5.170508,5.428349,6.226264,7.697642,10.015570,13.271510"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.826215,4.812121,5.002822,5.745705,7.292529,9.716143,13.062010"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.058602,0.060509,0.062698,0.066761,0.074317,0.088992,0.118342", \ - "0.059368,0.061277,0.063464,0.067529,0.075083,0.089758,0.119106", \ - "0.061953,0.063859,0.066047,0.070113,0.077667,0.092342,0.121687", \ - "0.064317,0.066224,0.068405,0.072473,0.080028,0.094707,0.124051", \ - "0.065574,0.067481,0.069668,0.073729,0.081284,0.095959,0.125307", \ - "0.065272,0.067174,0.069362,0.073427,0.080984,0.095654,0.124992", \ - "0.063073,0.064975,0.067158,0.071220,0.078757,0.093423,0.122771"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.066123,0.068562,0.071299,0.076488,0.086659,0.106968,0.147751", \ - "0.066890,0.069333,0.072071,0.077259,0.087430,0.107738,0.148524", \ - "0.069658,0.072100,0.074839,0.080028,0.090198,0.110505,0.151295", \ - "0.072362,0.074805,0.077544,0.082732,0.092903,0.113209,0.153998", \ - "0.074135,0.076581,0.079318,0.084508,0.094682,0.114987,0.155772", \ - "0.074468,0.076910,0.079649,0.084837,0.095005,0.115312,0.156100", \ - "0.073036,0.075478,0.078216,0.083407,0.093569,0.113882,0.154668"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003805,0.004905,0.006221,0.008908,0.014656,0.026997,0.052417", \ - "0.003805,0.004904,0.006222,0.008910,0.014656,0.027000,0.052406", \ - "0.003800,0.004904,0.006222,0.008912,0.014656,0.026994,0.052410", \ - "0.003801,0.004906,0.006219,0.008914,0.014656,0.026998,0.052414", \ - "0.003810,0.004913,0.006218,0.008905,0.014659,0.026995,0.052414", \ - "0.003809,0.004910,0.006225,0.008914,0.014659,0.027001,0.052403", \ - "0.003821,0.004919,0.006231,0.008917,0.014660,0.026995,0.052421"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004130,0.005962,0.008141,0.012459,0.021499,0.040348,0.078530", \ - "0.004132,0.005961,0.008141,0.012459,0.021498,0.040348,0.078533", \ - "0.004130,0.005962,0.008140,0.012458,0.021498,0.040348,0.078531", \ - "0.004131,0.005959,0.008143,0.012463,0.021498,0.040349,0.078538", \ - "0.004127,0.005963,0.008141,0.012458,0.021498,0.040349,0.078527", \ - "0.004130,0.005963,0.008140,0.012458,0.021500,0.040348,0.078536", \ - "0.004132,0.005960,0.008147,0.012460,0.021500,0.040350,0.078533"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014272,0.016560,0.018981,0.023241,0.030957,0.045766,0.075208", \ - "0.015201,0.017487,0.019907,0.024167,0.031884,0.046696,0.076138", \ - "0.019305,0.021547,0.023941,0.028178,0.035880,0.050681,0.080116", \ - "0.025523,0.027963,0.030492,0.034876,0.042650,0.057398,0.086766", \ - "0.032579,0.035255,0.037997,0.042645,0.050670,0.065490,0.094790", \ - "0.040660,0.043586,0.046579,0.051582,0.060002,0.075004,0.104256", \ - "0.049684,0.052888,0.056162,0.061597,0.070573,0.086043,0.115378"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017559,0.020312,0.023362,0.028922,0.039370,0.059856,0.100728", \ - "0.018041,0.020797,0.023845,0.029403,0.039854,0.060334,0.101213", \ - "0.019571,0.022313,0.025342,0.030874,0.041297,0.061766,0.102632", \ - "0.021981,0.024761,0.027827,0.033383,0.043791,0.064187,0.105006", \ - "0.024321,0.027227,0.030415,0.036125,0.046629,0.066995,0.107711", \ - "0.025633,0.028741,0.032121,0.038129,0.048999,0.069715,0.110434", \ - "0.025536,0.028880,0.032477,0.038847,0.050167,0.071511,0.112892"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003300,0.004445,0.005848,0.008669,0.014556,0.026983,0.052408", \ - "0.003300,0.004449,0.005852,0.008672,0.014560,0.026983,0.052408", \ - "0.003399,0.004528,0.005921,0.008718,0.014577,0.026989,0.052404", \ - "0.004481,0.005489,0.006737,0.009313,0.014860,0.027044,0.052412", \ - "0.005718,0.006722,0.007907,0.010331,0.015567,0.027346,0.052471", \ - "0.007226,0.008260,0.009436,0.011750,0.016652,0.027857,0.052656", \ - "0.009004,0.010110,0.011318,0.013593,0.018279,0.028885,0.053044"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003946,0.005790,0.007982,0.012362,0.021470,0.040358,0.078593", \ - "0.003944,0.005789,0.007983,0.012363,0.021474,0.040361,0.078603", \ - "0.003975,0.005813,0.008004,0.012372,0.021484,0.040373,0.078602", \ - "0.004343,0.006148,0.008312,0.012615,0.021600,0.040378,0.078592", \ - "0.005176,0.006903,0.009032,0.013243,0.022022,0.040553,0.078626", \ - "0.006388,0.008032,0.010146,0.014347,0.023062,0.041292,0.078766", \ - "0.007862,0.009452,0.011543,0.015746,0.024442,0.042817,0.079785"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014259,0.016551,0.018975,0.023236,0.030954,0.045777,0.075363", \ - "0.015189,0.017477,0.019900,0.024161,0.031880,0.046707,0.076294", \ - "0.019295,0.021540,0.023935,0.028174,0.035879,0.050692,0.080278", \ - "0.025512,0.027954,0.030486,0.034870,0.042649,0.057412,0.086936", \ - "0.032575,0.035254,0.037998,0.042652,0.050677,0.065509,0.094944", \ - "0.040656,0.043593,0.046589,0.051596,0.060019,0.075027,0.104371", \ - "0.049691,0.052898,0.056176,0.061617,0.070600,0.086060,0.115419"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003306,0.004450,0.005853,0.008674,0.014565,0.027035,0.052677", \ - "0.003307,0.004453,0.005854,0.008677,0.014567,0.027037,0.052675", \ - "0.003402,0.004533,0.005927,0.008719,0.014581,0.027037,0.052675", \ - "0.004490,0.005496,0.006744,0.009318,0.014866,0.027092,0.052672", \ - "0.005726,0.006736,0.007922,0.010340,0.015566,0.027383,0.052718", \ - "0.007231,0.008285,0.009451,0.011762,0.016658,0.027880,0.052888", \ - "0.009017,0.010130,0.011338,0.013612,0.018290,0.028875,0.053171"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014272,0.016560,0.018981,0.023241,0.030957,0.045766,0.075208", \ - "0.015201,0.017487,0.019907,0.024167,0.031884,0.046696,0.076138", \ - "0.019307,0.021547,0.023941,0.028178,0.035880,0.050681,0.080116", \ - "0.025523,0.027963,0.030492,0.034876,0.042650,0.057398,0.086766", \ - "0.032579,0.035255,0.037997,0.042645,0.050670,0.065490,0.094790", \ - "0.040660,0.043586,0.046579,0.051582,0.060002,0.075004,0.104256", \ - "0.049684,0.052888,0.056162,0.061597,0.070573,0.086043,0.115378"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017559,0.020312,0.023362,0.028922,0.039370,0.059856,0.100728", \ - "0.018041,0.020797,0.023845,0.029403,0.039854,0.060343,0.101213", \ - "0.019571,0.022313,0.025342,0.030874,0.041297,0.061766,0.102632", \ - "0.021981,0.024761,0.027827,0.033383,0.043791,0.064187,0.105006", \ - "0.024321,0.027227,0.030415,0.036125,0.046629,0.066995,0.107711", \ - "0.025633,0.028741,0.032121,0.038129,0.048999,0.069715,0.110434", \ - "0.025536,0.028880,0.032477,0.038847,0.050167,0.071511,0.112892"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003300,0.004445,0.005848,0.008669,0.014556,0.026983,0.052408", \ - "0.003300,0.004449,0.005852,0.008672,0.014560,0.026983,0.052408", \ - "0.003397,0.004528,0.005921,0.008720,0.014577,0.026989,0.052404", \ - "0.004481,0.005489,0.006737,0.009313,0.014860,0.027044,0.052412", \ - "0.005718,0.006722,0.007907,0.010331,0.015567,0.027346,0.052471", \ - "0.007226,0.008260,0.009436,0.011750,0.016652,0.027857,0.052656", \ - "0.009004,0.010110,0.011318,0.013593,0.018279,0.028885,0.053044"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003946,0.005790,0.007982,0.012362,0.021470,0.040358,0.078593", \ - "0.003944,0.005789,0.007983,0.012363,0.021474,0.040363,0.078589", \ - "0.003975,0.005813,0.008004,0.012372,0.021484,0.040373,0.078602", \ - "0.004343,0.006148,0.008312,0.012615,0.021600,0.040378,0.078592", \ - "0.005176,0.006903,0.009032,0.013243,0.022022,0.040553,0.078626", \ - "0.006388,0.008032,0.010146,0.014347,0.023062,0.041292,0.078766", \ - "0.007862,0.009452,0.011543,0.015746,0.024442,0.042817,0.079785"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014259,0.016551,0.018975,0.023236,0.030954,0.045776,0.075363", \ - "0.015189,0.017477,0.019900,0.024161,0.031880,0.046707,0.076294", \ - "0.019295,0.021540,0.023935,0.028174,0.035879,0.050692,0.080278", \ - "0.025512,0.027954,0.030486,0.034870,0.042649,0.057412,0.086936", \ - "0.032575,0.035254,0.037998,0.042652,0.050677,0.065509,0.094944", \ - "0.040656,0.043593,0.046589,0.051596,0.060019,0.075027,0.104371", \ - "0.049691,0.052898,0.056176,0.061617,0.070600,0.086060,0.115419"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003306,0.004450,0.005853,0.008674,0.014565,0.027032,0.052677", \ - "0.003307,0.004453,0.005854,0.008677,0.014567,0.027037,0.052675", \ - "0.003402,0.004533,0.005927,0.008720,0.014585,0.027037,0.052675", \ - "0.004490,0.005496,0.006744,0.009318,0.014866,0.027092,0.052672", \ - "0.005726,0.006736,0.007922,0.010340,0.015566,0.027383,0.052718", \ - "0.007231,0.008285,0.009451,0.011762,0.016658,0.027880,0.052887", \ - "0.009017,0.010130,0.011338,0.013612,0.018290,0.028875,0.053171"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014272,0.016560,0.018981,0.023241,0.030957,0.045766,0.075208", \ - "0.015201,0.017487,0.019907,0.024167,0.031884,0.046696,0.076138", \ - "0.019305,0.021547,0.023941,0.028178,0.035880,0.050681,0.080116", \ - "0.025523,0.027963,0.030492,0.034876,0.042650,0.057398,0.086766", \ - "0.032579,0.035255,0.037997,0.042645,0.050670,0.065490,0.094790", \ - "0.040660,0.043586,0.046579,0.051582,0.060002,0.075004,0.104256", \ - "0.049684,0.052888,0.056162,0.061597,0.070573,0.086043,0.115378"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017559,0.020312,0.023362,0.028922,0.039370,0.059856,0.100728", \ - "0.018041,0.020797,0.023845,0.029404,0.039854,0.060334,0.101213", \ - "0.019571,0.022313,0.025342,0.030874,0.041297,0.061766,0.102632", \ - "0.021981,0.024761,0.027827,0.033383,0.043791,0.064187,0.105006", \ - "0.024321,0.027227,0.030415,0.036125,0.046629,0.066995,0.107711", \ - "0.025633,0.028741,0.032121,0.038129,0.048999,0.069715,0.110434", \ - "0.025536,0.028880,0.032477,0.038847,0.050167,0.071511,0.112892"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003300,0.004445,0.005848,0.008669,0.014556,0.026983,0.052408", \ - "0.003300,0.004449,0.005852,0.008672,0.014560,0.026983,0.052408", \ - "0.003399,0.004528,0.005921,0.008720,0.014577,0.026989,0.052404", \ - "0.004481,0.005489,0.006737,0.009313,0.014860,0.027044,0.052412", \ - "0.005718,0.006722,0.007907,0.010331,0.015567,0.027346,0.052471", \ - "0.007226,0.008260,0.009436,0.011750,0.016652,0.027857,0.052656", \ - "0.009004,0.010110,0.011318,0.013593,0.018279,0.028885,0.053044"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003946,0.005790,0.007982,0.012362,0.021470,0.040358,0.078593", \ - "0.003944,0.005789,0.007983,0.012363,0.021474,0.040361,0.078589", \ - "0.003975,0.005813,0.008004,0.012372,0.021484,0.040373,0.078602", \ - "0.004343,0.006148,0.008312,0.012615,0.021600,0.040378,0.078592", \ - "0.005176,0.006903,0.009032,0.013243,0.022022,0.040553,0.078626", \ - "0.006388,0.008032,0.010146,0.014347,0.023062,0.041292,0.078766", \ - "0.007862,0.009452,0.011543,0.015746,0.024442,0.042817,0.079785"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014259,0.016551,0.018975,0.023236,0.030954,0.045777,0.075363", \ - "0.015189,0.017477,0.019900,0.024161,0.031880,0.046707,0.076294", \ - "0.019295,0.021540,0.023935,0.028174,0.035879,0.050694,0.080278", \ - "0.025512,0.027954,0.030486,0.034870,0.042649,0.057412,0.086936", \ - "0.032575,0.035254,0.037998,0.042652,0.050677,0.065509,0.094944", \ - "0.040656,0.043593,0.046589,0.051596,0.060019,0.075027,0.104371", \ - "0.049690,0.052898,0.056176,0.061618,0.070600,0.086060,0.115419"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003306,0.004450,0.005853,0.008674,0.014565,0.027035,0.052677", \ - "0.003307,0.004453,0.005854,0.008677,0.014567,0.027037,0.052675", \ - "0.003402,0.004533,0.005927,0.008720,0.014585,0.027039,0.052675", \ - "0.004490,0.005496,0.006744,0.009318,0.014866,0.027092,0.052672", \ - "0.005726,0.006736,0.007922,0.010340,0.015566,0.027383,0.052718", \ - "0.007231,0.008285,0.009451,0.011762,0.016658,0.027880,0.052888", \ - "0.009017,0.010130,0.011338,0.013607,0.018290,0.028875,0.053171"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014282,0.016570,0.018992,0.023252,0.030968,0.045779,0.075219", \ - "0.015211,0.017497,0.019918,0.024177,0.031894,0.046706,0.076146", \ - "0.019318,0.021561,0.023954,0.028193,0.035894,0.050694,0.080130", \ - "0.025543,0.027983,0.030514,0.034898,0.042670,0.057420,0.086784", \ - "0.032615,0.035287,0.038029,0.042676,0.050701,0.065521,0.094822", \ - "0.040695,0.043634,0.046619,0.051629,0.060044,0.075045,0.104296", \ - "0.049740,0.052941,0.056212,0.061649,0.070626,0.086092,0.115429"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017565,0.020319,0.023368,0.028927,0.039376,0.059863,0.100736", \ - "0.018049,0.020805,0.023854,0.029413,0.039860,0.060348,0.101221", \ - "0.019587,0.022328,0.025357,0.030890,0.041314,0.061785,0.102649", \ - "0.022006,0.024786,0.027852,0.033408,0.043815,0.064210,0.105028", \ - "0.024352,0.027256,0.030445,0.036155,0.046657,0.067024,0.107737", \ - "0.025665,0.028774,0.032154,0.038162,0.049032,0.069742,0.110463", \ - "0.025569,0.028913,0.032511,0.038882,0.050202,0.071544,0.112923"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003302,0.004447,0.005849,0.008670,0.014560,0.026986,0.052410", \ - "0.003301,0.004449,0.005851,0.008671,0.014559,0.026986,0.052414", \ - "0.003398,0.004529,0.005921,0.008718,0.014578,0.026989,0.052402", \ - "0.004480,0.005487,0.006734,0.009312,0.014861,0.027042,0.052420", \ - "0.005730,0.006727,0.007912,0.010330,0.015565,0.027345,0.052472", \ - "0.007225,0.008260,0.009430,0.011748,0.016652,0.027850,0.052660", \ - "0.009004,0.010110,0.011315,0.013593,0.018280,0.028883,0.053034"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003947,0.005791,0.007983,0.012363,0.021471,0.040360,0.078586", \ - "0.003946,0.005789,0.007981,0.012361,0.021472,0.040358,0.078604", \ - "0.003977,0.005812,0.008006,0.012375,0.021488,0.040364,0.078604", \ - "0.004344,0.006148,0.008313,0.012615,0.021600,0.040389,0.078595", \ - "0.005177,0.006903,0.009033,0.013242,0.022019,0.040559,0.078608", \ - "0.006391,0.008028,0.010148,0.014348,0.023060,0.041290,0.078785", \ - "0.007865,0.009454,0.011541,0.015747,0.024440,0.042815,0.079783"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014273,0.016562,0.018985,0.023247,0.030964,0.045785,0.075373", \ - "0.015201,0.017488,0.019911,0.024172,0.031891,0.046714,0.076303", \ - "0.019308,0.021552,0.023947,0.028188,0.035892,0.050708,0.080293", \ - "0.025530,0.027974,0.030509,0.034892,0.042670,0.057433,0.086959", \ - "0.032603,0.035288,0.038026,0.042682,0.050709,0.065545,0.094983", \ - "0.040698,0.043638,0.046629,0.051637,0.060065,0.075077,0.104428", \ - "0.049743,0.052949,0.056228,0.061672,0.070654,0.086126,0.115493"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003308,0.004453,0.005853,0.008675,0.014566,0.027031,0.052676", \ - "0.003306,0.004456,0.005854,0.008677,0.014564,0.027032,0.052676", \ - "0.003404,0.004535,0.005927,0.008719,0.014584,0.027039,0.052674", \ - "0.004492,0.005494,0.006744,0.009317,0.014865,0.027092,0.052672", \ - "0.005727,0.006740,0.007916,0.010339,0.015565,0.027382,0.052718", \ - "0.007234,0.008283,0.009446,0.011760,0.016656,0.027877,0.052888", \ - "0.009022,0.010133,0.011335,0.013611,0.018282,0.028878,0.053170"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014282,0.016570,0.018992,0.023252,0.030968,0.045779,0.075219", \ - "0.015211,0.017497,0.019918,0.024177,0.031894,0.046706,0.076146", \ - "0.019318,0.021561,0.023955,0.028193,0.035894,0.050694,0.080130", \ - "0.025543,0.027983,0.030514,0.034898,0.042670,0.057420,0.086784", \ - "0.032615,0.035287,0.038029,0.042676,0.050701,0.065521,0.094822", \ - "0.040695,0.043634,0.046619,0.051629,0.060044,0.075045,0.104296", \ - "0.049740,0.052941,0.056212,0.061649,0.070626,0.086092,0.115429"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017565,0.020319,0.023368,0.028927,0.039376,0.059863,0.100736", \ - "0.018049,0.020805,0.023854,0.029413,0.039860,0.060348,0.101221", \ - "0.019587,0.022328,0.025357,0.030890,0.041314,0.061785,0.102649", \ - "0.022006,0.024786,0.027852,0.033408,0.043815,0.064210,0.105028", \ - "0.024352,0.027256,0.030445,0.036155,0.046657,0.067024,0.107737", \ - "0.025665,0.028774,0.032154,0.038162,0.049032,0.069742,0.110463", \ - "0.025569,0.028913,0.032511,0.038882,0.050202,0.071544,0.112923"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003302,0.004447,0.005849,0.008670,0.014560,0.026986,0.052410", \ - "0.003301,0.004449,0.005851,0.008671,0.014559,0.026986,0.052414", \ - "0.003398,0.004529,0.005920,0.008718,0.014578,0.026989,0.052402", \ - "0.004480,0.005487,0.006734,0.009312,0.014861,0.027042,0.052420", \ - "0.005730,0.006727,0.007912,0.010330,0.015565,0.027345,0.052472", \ - "0.007225,0.008260,0.009430,0.011748,0.016652,0.027850,0.052660", \ - "0.009004,0.010110,0.011315,0.013593,0.018280,0.028883,0.053034"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003947,0.005791,0.007983,0.012363,0.021471,0.040360,0.078586", \ - "0.003946,0.005789,0.007981,0.012361,0.021472,0.040358,0.078604", \ - "0.003977,0.005812,0.008006,0.012375,0.021488,0.040364,0.078604", \ - "0.004344,0.006148,0.008313,0.012615,0.021600,0.040389,0.078595", \ - "0.005177,0.006903,0.009033,0.013242,0.022019,0.040559,0.078608", \ - "0.006391,0.008028,0.010148,0.014348,0.023060,0.041290,0.078785", \ - "0.007865,0.009454,0.011541,0.015747,0.024440,0.042815,0.079783"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014273,0.016562,0.018985,0.023247,0.030964,0.045785,0.075373", \ - "0.015201,0.017488,0.019911,0.024172,0.031891,0.046714,0.076303", \ - "0.019308,0.021552,0.023947,0.028188,0.035892,0.050708,0.080293", \ - "0.025530,0.027974,0.030509,0.034892,0.042670,0.057433,0.086959", \ - "0.032603,0.035288,0.038026,0.042682,0.050709,0.065545,0.094983", \ - "0.040698,0.043638,0.046629,0.051637,0.060065,0.075077,0.104428", \ - "0.049744,0.052951,0.056228,0.061671,0.070654,0.086126,0.115493"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003308,0.004453,0.005853,0.008675,0.014566,0.027031,0.052677", \ - "0.003306,0.004456,0.005854,0.008677,0.014564,0.027032,0.052676", \ - "0.003404,0.004535,0.005927,0.008719,0.014584,0.027039,0.052674", \ - "0.004492,0.005494,0.006744,0.009317,0.014865,0.027092,0.052672", \ - "0.005727,0.006740,0.007916,0.010339,0.015565,0.027382,0.052718", \ - "0.007234,0.008283,0.009446,0.011760,0.016656,0.027877,0.052888", \ - "0.009019,0.010128,0.011335,0.013606,0.018282,0.028878,0.053170"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014282,0.016570,0.018992,0.023252,0.030968,0.045779,0.075219", \ - "0.015211,0.017497,0.019918,0.024177,0.031894,0.046706,0.076146", \ - "0.019318,0.021561,0.023955,0.028193,0.035895,0.050694,0.080130", \ - "0.025543,0.027983,0.030514,0.034898,0.042670,0.057420,0.086784", \ - "0.032615,0.035287,0.038029,0.042676,0.050701,0.065521,0.094822", \ - "0.040695,0.043634,0.046619,0.051629,0.060044,0.075045,0.104296", \ - "0.049740,0.052941,0.056212,0.061649,0.070626,0.086092,0.115429"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017565,0.020319,0.023368,0.028927,0.039376,0.059863,0.100736", \ - "0.018049,0.020805,0.023854,0.029413,0.039860,0.060348,0.101221", \ - "0.019587,0.022328,0.025357,0.030890,0.041314,0.061785,0.102649", \ - "0.022006,0.024786,0.027852,0.033408,0.043815,0.064210,0.105028", \ - "0.024352,0.027256,0.030445,0.036155,0.046657,0.067024,0.107737", \ - "0.025665,0.028774,0.032154,0.038162,0.049032,0.069742,0.110463", \ - "0.025569,0.028913,0.032511,0.038882,0.050202,0.071544,0.112923"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003302,0.004447,0.005849,0.008670,0.014560,0.026986,0.052410", \ - "0.003301,0.004449,0.005851,0.008671,0.014559,0.026986,0.052414", \ - "0.003398,0.004529,0.005920,0.008718,0.014579,0.026989,0.052402", \ - "0.004480,0.005487,0.006734,0.009312,0.014861,0.027042,0.052420", \ - "0.005730,0.006727,0.007912,0.010330,0.015565,0.027345,0.052472", \ - "0.007225,0.008260,0.009430,0.011748,0.016652,0.027850,0.052660", \ - "0.009004,0.010110,0.011315,0.013593,0.018280,0.028883,0.053034"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003947,0.005791,0.007983,0.012363,0.021471,0.040360,0.078586", \ - "0.003946,0.005789,0.007981,0.012361,0.021472,0.040358,0.078604", \ - "0.003977,0.005812,0.008006,0.012375,0.021488,0.040364,0.078604", \ - "0.004344,0.006148,0.008313,0.012615,0.021600,0.040389,0.078595", \ - "0.005177,0.006903,0.009033,0.013242,0.022019,0.040559,0.078608", \ - "0.006391,0.008028,0.010148,0.014348,0.023060,0.041290,0.078785", \ - "0.007865,0.009454,0.011541,0.015747,0.024440,0.042815,0.079783"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014273,0.016562,0.018985,0.023247,0.030964,0.045785,0.075373", \ - "0.015201,0.017488,0.019911,0.024172,0.031891,0.046714,0.076303", \ - "0.019308,0.021552,0.023947,0.028188,0.035892,0.050708,0.080293", \ - "0.025530,0.027974,0.030509,0.034892,0.042670,0.057433,0.086959", \ - "0.032603,0.035288,0.038026,0.042682,0.050709,0.065545,0.094983", \ - "0.040698,0.043638,0.046629,0.051637,0.060065,0.075077,0.104428", \ - "0.049744,0.052951,0.056228,0.061671,0.070654,0.086126,0.115493"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003308,0.004453,0.005853,0.008675,0.014566,0.027031,0.052676", \ - "0.003306,0.004456,0.005854,0.008677,0.014564,0.027032,0.052676", \ - "0.003404,0.004535,0.005927,0.008719,0.014584,0.027039,0.052674", \ - "0.004492,0.005494,0.006744,0.009317,0.014865,0.027092,0.052672", \ - "0.005727,0.006740,0.007916,0.010339,0.015565,0.027382,0.052718", \ - "0.007234,0.008283,0.009446,0.011760,0.016656,0.027877,0.052888", \ - "0.009019,0.010128,0.011335,0.013606,0.018282,0.028878,0.053170"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014272,0.016560,0.018981,0.023241,0.030957,0.045766,0.075208", \ - "0.015201,0.017487,0.019907,0.024167,0.031884,0.046696,0.076138", \ - "0.019305,0.021547,0.023941,0.028178,0.035880,0.050681,0.080116", \ - "0.025523,0.027963,0.030492,0.034876,0.042650,0.057398,0.086766", \ - "0.032579,0.035255,0.037997,0.042645,0.050670,0.065490,0.094790", \ - "0.040660,0.043586,0.046579,0.051582,0.060002,0.075004,0.104256", \ - "0.049684,0.052888,0.056162,0.061597,0.070573,0.086043,0.115378"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017559,0.020312,0.023362,0.028922,0.039370,0.059856,0.100728", \ - "0.018041,0.020797,0.023845,0.029403,0.039853,0.060343,0.101213", \ - "0.019571,0.022313,0.025342,0.030874,0.041297,0.061766,0.102632", \ - "0.021981,0.024761,0.027827,0.033383,0.043791,0.064187,0.105006", \ - "0.024321,0.027227,0.030415,0.036125,0.046629,0.066995,0.107711", \ - "0.025633,0.028741,0.032121,0.038129,0.048999,0.069715,0.110434", \ - "0.025536,0.028880,0.032477,0.038847,0.050167,0.071511,0.112892"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003300,0.004445,0.005848,0.008669,0.014556,0.026983,0.052408", \ - "0.003300,0.004449,0.005852,0.008672,0.014560,0.026983,0.052408", \ - "0.003399,0.004528,0.005921,0.008718,0.014577,0.026989,0.052404", \ - "0.004481,0.005489,0.006737,0.009313,0.014860,0.027044,0.052412", \ - "0.005718,0.006722,0.007907,0.010331,0.015567,0.027346,0.052471", \ - "0.007226,0.008260,0.009436,0.011750,0.016652,0.027857,0.052656", \ - "0.009004,0.010110,0.011318,0.013593,0.018279,0.028885,0.053044"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003946,0.005790,0.007982,0.012362,0.021470,0.040358,0.078593", \ - "0.003944,0.005789,0.007983,0.012363,0.021472,0.040363,0.078589", \ - "0.003975,0.005813,0.008004,0.012372,0.021484,0.040373,0.078602", \ - "0.004343,0.006148,0.008312,0.012615,0.021600,0.040378,0.078592", \ - "0.005176,0.006903,0.009032,0.013243,0.022022,0.040553,0.078626", \ - "0.006388,0.008032,0.010146,0.014347,0.023062,0.041292,0.078766", \ - "0.007862,0.009452,0.011543,0.015746,0.024442,0.042817,0.079785"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014259,0.016551,0.018975,0.023236,0.030954,0.045777,0.075363", \ - "0.015189,0.017477,0.019900,0.024161,0.031880,0.046707,0.076294", \ - "0.019295,0.021540,0.023935,0.028174,0.035879,0.050694,0.080278", \ - "0.025512,0.027954,0.030486,0.034870,0.042649,0.057412,0.086936", \ - "0.032575,0.035254,0.037998,0.042652,0.050677,0.065509,0.094944", \ - "0.040656,0.043593,0.046589,0.051596,0.060019,0.075027,0.104371", \ - "0.049690,0.052898,0.056176,0.061617,0.070600,0.086060,0.115419"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003306,0.004450,0.005853,0.008674,0.014565,0.027035,0.052677", \ - "0.003307,0.004453,0.005854,0.008677,0.014567,0.027037,0.052675", \ - "0.003402,0.004533,0.005927,0.008719,0.014581,0.027039,0.052675", \ - "0.004490,0.005496,0.006744,0.009318,0.014866,0.027092,0.052672", \ - "0.005726,0.006736,0.007922,0.010340,0.015566,0.027383,0.052718", \ - "0.007231,0.008285,0.009451,0.011762,0.016658,0.027880,0.052888", \ - "0.009017,0.010130,0.011338,0.013612,0.018290,0.028875,0.053171"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014282,0.016570,0.018992,0.023252,0.030968,0.045779,0.075219", \ - "0.015211,0.017497,0.019918,0.024177,0.031894,0.046706,0.076146", \ - "0.019318,0.021561,0.023954,0.028193,0.035894,0.050694,0.080130", \ - "0.025543,0.027983,0.030514,0.034898,0.042670,0.057420,0.086784", \ - "0.032615,0.035287,0.038029,0.042676,0.050701,0.065521,0.094822", \ - "0.040695,0.043634,0.046619,0.051629,0.060044,0.075045,0.104296", \ - "0.049740,0.052941,0.056212,0.061649,0.070626,0.086092,0.115429"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017565,0.020319,0.023368,0.028927,0.039376,0.059863,0.100736", \ - "0.018050,0.020805,0.023854,0.029413,0.039860,0.060348,0.101221", \ - "0.019587,0.022328,0.025357,0.030890,0.041314,0.061785,0.102649", \ - "0.022006,0.024786,0.027852,0.033408,0.043815,0.064210,0.105028", \ - "0.024352,0.027256,0.030445,0.036155,0.046657,0.067024,0.107737", \ - "0.025665,0.028774,0.032154,0.038162,0.049032,0.069742,0.110463", \ - "0.025569,0.028913,0.032511,0.038882,0.050202,0.071544,0.112923"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003302,0.004447,0.005849,0.008670,0.014560,0.026986,0.052410", \ - "0.003301,0.004449,0.005851,0.008671,0.014559,0.026986,0.052414", \ - "0.003398,0.004529,0.005921,0.008718,0.014578,0.026989,0.052402", \ - "0.004480,0.005487,0.006734,0.009312,0.014861,0.027042,0.052420", \ - "0.005730,0.006727,0.007912,0.010330,0.015565,0.027345,0.052472", \ - "0.007225,0.008260,0.009430,0.011748,0.016652,0.027850,0.052660", \ - "0.009004,0.010110,0.011315,0.013593,0.018280,0.028883,0.053034"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003947,0.005791,0.007983,0.012363,0.021471,0.040360,0.078586", \ - "0.003946,0.005789,0.007981,0.012361,0.021472,0.040358,0.078604", \ - "0.003977,0.005812,0.008006,0.012375,0.021488,0.040364,0.078604", \ - "0.004344,0.006148,0.008313,0.012615,0.021600,0.040389,0.078595", \ - "0.005177,0.006903,0.009033,0.013242,0.022019,0.040559,0.078608", \ - "0.006391,0.008028,0.010148,0.014348,0.023060,0.041290,0.078785", \ - "0.007865,0.009454,0.011541,0.015747,0.024440,0.042815,0.079783"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014273,0.016562,0.018985,0.023247,0.030964,0.045785,0.075373", \ - "0.015201,0.017488,0.019911,0.024172,0.031891,0.046714,0.076303", \ - "0.019308,0.021552,0.023947,0.028188,0.035892,0.050708,0.080293", \ - "0.025530,0.027974,0.030509,0.034892,0.042670,0.057433,0.086959", \ - "0.032603,0.035288,0.038026,0.042682,0.050709,0.065545,0.094983", \ - "0.040698,0.043638,0.046629,0.051637,0.060065,0.075077,0.104428", \ - "0.049744,0.052951,0.056228,0.061671,0.070654,0.086126,0.115493"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003308,0.004453,0.005853,0.008675,0.014566,0.027031,0.052676", \ - "0.003306,0.004456,0.005854,0.008677,0.014564,0.027032,0.052676", \ - "0.003404,0.004535,0.005927,0.008719,0.014584,0.027039,0.052674", \ - "0.004492,0.005494,0.006744,0.009317,0.014865,0.027092,0.052672", \ - "0.005727,0.006740,0.007916,0.010339,0.015565,0.027382,0.052718", \ - "0.007234,0.008283,0.009446,0.011760,0.016656,0.027877,0.052888", \ - "0.009019,0.010128,0.011335,0.013606,0.018282,0.028878,0.053170"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014285,0.016570,0.018992,0.023252,0.030968,0.045777,0.075225", \ - "0.015213,0.017498,0.019917,0.024178,0.031894,0.046704,0.076152", \ - "0.019320,0.021563,0.023955,0.028193,0.035894,0.050693,0.080136", \ - "0.025547,0.027984,0.030514,0.034898,0.042669,0.057419,0.086785", \ - "0.032614,0.035285,0.038027,0.042672,0.050695,0.065516,0.094820", \ - "0.040692,0.043623,0.046610,0.051615,0.060035,0.075031,0.104284", \ - "0.049735,0.052933,0.056204,0.061636,0.070614,0.086079,0.115411"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017375,0.020131,0.023179,0.028737,0.039183,0.059667,0.100558", \ - "0.017874,0.020628,0.023675,0.029233,0.039679,0.060162,0.101055", \ - "0.019463,0.022205,0.025233,0.030765,0.041186,0.061659,0.102541", \ - "0.021946,0.024727,0.027791,0.033345,0.043747,0.064139,0.104984", \ - "0.024297,0.027207,0.030394,0.036103,0.046600,0.066966,0.107683", \ - "0.025599,0.028709,0.032092,0.038101,0.048970,0.069681,0.110411", \ - "0.025490,0.028838,0.032437,0.038811,0.050131,0.071473,0.112877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003299,0.004448,0.005848,0.008670,0.014559,0.026983,0.052407", \ - "0.003300,0.004448,0.005850,0.008671,0.014560,0.026983,0.052412", \ - "0.003396,0.004527,0.005919,0.008718,0.014578,0.026988,0.052417", \ - "0.004479,0.005484,0.006732,0.009311,0.014860,0.027039,0.052412", \ - "0.005714,0.006718,0.007902,0.010328,0.015566,0.027344,0.052473", \ - "0.007220,0.008254,0.009429,0.011745,0.016648,0.027847,0.052670", \ - "0.008993,0.010104,0.011306,0.013586,0.018275,0.028878,0.053045"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003923,0.005767,0.007965,0.012346,0.021456,0.040373,0.078610", \ - "0.003919,0.005767,0.007964,0.012343,0.021458,0.040371,0.078630", \ - "0.003957,0.005795,0.007988,0.012360,0.021475,0.040395,0.078617", \ - "0.004338,0.006142,0.008306,0.012603,0.021590,0.040393,0.078609", \ - "0.005185,0.006903,0.009034,0.013239,0.022012,0.040546,0.078654", \ - "0.006401,0.008040,0.010152,0.014348,0.023056,0.041299,0.078811", \ - "0.007874,0.009468,0.011555,0.015750,0.024430,0.042814,0.079807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014273,0.016565,0.018987,0.023248,0.030965,0.045771,0.075224", \ - "0.015202,0.017490,0.019913,0.024174,0.031892,0.046701,0.076154", \ - "0.019313,0.021557,0.023951,0.028190,0.035893,0.050690,0.080141", \ - "0.025538,0.027980,0.030511,0.034893,0.042669,0.057415,0.086809", \ - "0.032608,0.035284,0.038026,0.042677,0.050702,0.065522,0.094869", \ - "0.040697,0.043633,0.046623,0.051629,0.060048,0.075043,0.104370", \ - "0.049736,0.052944,0.056215,0.061655,0.070631,0.086082,0.115529"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003305,0.004453,0.005853,0.008674,0.014560,0.026972,0.052655", \ - "0.003306,0.004452,0.005853,0.008677,0.014562,0.026977,0.052655", \ - "0.003402,0.004531,0.005926,0.008721,0.014579,0.026982,0.052661", \ - "0.004488,0.005492,0.006740,0.009314,0.014861,0.027033,0.052682", \ - "0.005719,0.006730,0.007916,0.010334,0.015560,0.027331,0.052753", \ - "0.007222,0.008270,0.009437,0.011753,0.016641,0.027832,0.052950", \ - "0.009011,0.010118,0.011326,0.013597,0.018271,0.028861,0.053255"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014285,0.016570,0.018992,0.023252,0.030968,0.045777,0.075225", \ - "0.015213,0.017498,0.019917,0.024178,0.031894,0.046704,0.076152", \ - "0.019320,0.021563,0.023955,0.028193,0.035895,0.050693,0.080136", \ - "0.025547,0.027984,0.030514,0.034898,0.042669,0.057419,0.086785", \ - "0.032614,0.035285,0.038027,0.042672,0.050695,0.065516,0.094820", \ - "0.040692,0.043623,0.046610,0.051615,0.060035,0.075031,0.104284", \ - "0.049735,0.052933,0.056204,0.061636,0.070614,0.086079,0.115411"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017375,0.020131,0.023179,0.028737,0.039183,0.059667,0.100558", \ - "0.017874,0.020628,0.023675,0.029233,0.039679,0.060162,0.101055", \ - "0.019463,0.022205,0.025233,0.030765,0.041186,0.061659,0.102541", \ - "0.021946,0.024727,0.027791,0.033345,0.043746,0.064139,0.104984", \ - "0.024297,0.027207,0.030394,0.036103,0.046600,0.066966,0.107683", \ - "0.025599,0.028709,0.032092,0.038101,0.048970,0.069681,0.110411", \ - "0.025490,0.028838,0.032437,0.038811,0.050131,0.071473,0.112877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003299,0.004448,0.005848,0.008670,0.014559,0.026983,0.052407", \ - "0.003300,0.004448,0.005850,0.008671,0.014560,0.026983,0.052412", \ - "0.003396,0.004527,0.005920,0.008718,0.014579,0.026988,0.052417", \ - "0.004479,0.005484,0.006732,0.009311,0.014860,0.027039,0.052412", \ - "0.005714,0.006718,0.007902,0.010328,0.015566,0.027344,0.052473", \ - "0.007220,0.008254,0.009429,0.011745,0.016648,0.027847,0.052670", \ - "0.008993,0.010104,0.011306,0.013586,0.018275,0.028878,0.053045"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003923,0.005767,0.007965,0.012346,0.021456,0.040373,0.078610", \ - "0.003919,0.005767,0.007964,0.012343,0.021458,0.040371,0.078630", \ - "0.003957,0.005795,0.007988,0.012360,0.021475,0.040395,0.078617", \ - "0.004338,0.006142,0.008306,0.012603,0.021587,0.040393,0.078609", \ - "0.005185,0.006903,0.009034,0.013239,0.022012,0.040546,0.078654", \ - "0.006401,0.008040,0.010152,0.014348,0.023056,0.041299,0.078811", \ - "0.007874,0.009468,0.011555,0.015750,0.024430,0.042814,0.079807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014273,0.016565,0.018987,0.023248,0.030965,0.045771,0.075224", \ - "0.015202,0.017490,0.019913,0.024174,0.031892,0.046701,0.076154", \ - "0.019313,0.021557,0.023951,0.028190,0.035893,0.050690,0.080141", \ - "0.025538,0.027980,0.030511,0.034893,0.042669,0.057415,0.086809", \ - "0.032608,0.035284,0.038026,0.042677,0.050702,0.065522,0.094869", \ - "0.040697,0.043633,0.046623,0.051629,0.060048,0.075043,0.104370", \ - "0.049736,0.052944,0.056215,0.061655,0.070631,0.086082,0.115529"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003305,0.004453,0.005853,0.008674,0.014560,0.026972,0.052655", \ - "0.003306,0.004452,0.005853,0.008677,0.014562,0.026977,0.052655", \ - "0.003402,0.004531,0.005926,0.008720,0.014579,0.026982,0.052661", \ - "0.004488,0.005492,0.006740,0.009314,0.014861,0.027033,0.052682", \ - "0.005719,0.006730,0.007916,0.010334,0.015560,0.027331,0.052753", \ - "0.007222,0.008270,0.009437,0.011753,0.016641,0.027832,0.052950", \ - "0.009011,0.010118,0.011326,0.013597,0.018271,0.028861,0.053255"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014285,0.016570,0.018992,0.023252,0.030968,0.045777,0.075225", \ - "0.015213,0.017498,0.019917,0.024178,0.031894,0.046704,0.076152", \ - "0.019320,0.021563,0.023956,0.028193,0.035894,0.050693,0.080136", \ - "0.025547,0.027984,0.030514,0.034898,0.042669,0.057419,0.086785", \ - "0.032614,0.035285,0.038027,0.042672,0.050695,0.065516,0.094820", \ - "0.040692,0.043623,0.046610,0.051615,0.060035,0.075031,0.104284", \ - "0.049735,0.052933,0.056204,0.061636,0.070614,0.086079,0.115411"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017375,0.020131,0.023179,0.028737,0.039183,0.059667,0.100558", \ - "0.017874,0.020628,0.023675,0.029233,0.039679,0.060162,0.101055", \ - "0.019463,0.022205,0.025233,0.030765,0.041186,0.061659,0.102541", \ - "0.021946,0.024727,0.027791,0.033345,0.043747,0.064139,0.104984", \ - "0.024297,0.027207,0.030394,0.036103,0.046600,0.066966,0.107683", \ - "0.025599,0.028709,0.032092,0.038101,0.048970,0.069681,0.110411", \ - "0.025490,0.028838,0.032437,0.038811,0.050131,0.071473,0.112877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003299,0.004448,0.005848,0.008670,0.014559,0.026983,0.052407", \ - "0.003300,0.004448,0.005850,0.008671,0.014560,0.026983,0.052412", \ - "0.003396,0.004527,0.005919,0.008718,0.014578,0.026988,0.052417", \ - "0.004479,0.005484,0.006732,0.009311,0.014860,0.027039,0.052412", \ - "0.005714,0.006718,0.007902,0.010328,0.015566,0.027344,0.052473", \ - "0.007220,0.008254,0.009429,0.011745,0.016648,0.027847,0.052670", \ - "0.008993,0.010104,0.011306,0.013586,0.018275,0.028878,0.053045"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003923,0.005767,0.007965,0.012346,0.021456,0.040373,0.078610", \ - "0.003919,0.005767,0.007964,0.012343,0.021458,0.040371,0.078630", \ - "0.003957,0.005795,0.007988,0.012360,0.021475,0.040395,0.078617", \ - "0.004338,0.006142,0.008306,0.012603,0.021590,0.040393,0.078609", \ - "0.005185,0.006903,0.009034,0.013239,0.022012,0.040546,0.078654", \ - "0.006401,0.008040,0.010152,0.014348,0.023056,0.041299,0.078811", \ - "0.007874,0.009468,0.011555,0.015750,0.024430,0.042814,0.079807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014273,0.016565,0.018987,0.023248,0.030965,0.045771,0.075224", \ - "0.015202,0.017490,0.019913,0.024174,0.031892,0.046701,0.076154", \ - "0.019313,0.021557,0.023951,0.028190,0.035893,0.050690,0.080141", \ - "0.025538,0.027980,0.030511,0.034893,0.042669,0.057415,0.086809", \ - "0.032608,0.035284,0.038026,0.042677,0.050702,0.065522,0.094869", \ - "0.040697,0.043633,0.046623,0.051629,0.060048,0.075043,0.104370", \ - "0.049736,0.052944,0.056215,0.061655,0.070631,0.086082,0.115529"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003305,0.004453,0.005853,0.008674,0.014560,0.026972,0.052655", \ - "0.003306,0.004452,0.005853,0.008677,0.014562,0.026977,0.052655", \ - "0.003402,0.004531,0.005926,0.008721,0.014579,0.026982,0.052661", \ - "0.004488,0.005492,0.006740,0.009314,0.014861,0.027033,0.052682", \ - "0.005719,0.006730,0.007916,0.010334,0.015560,0.027331,0.052753", \ - "0.007222,0.008270,0.009437,0.011753,0.016641,0.027832,0.052950", \ - "0.009011,0.010118,0.011326,0.013597,0.018271,0.028861,0.053255"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014285,0.016570,0.018992,0.023252,0.030968,0.045777,0.075225", \ - "0.015213,0.017498,0.019917,0.024178,0.031894,0.046704,0.076152", \ - "0.019320,0.021563,0.023955,0.028193,0.035895,0.050693,0.080136", \ - "0.025547,0.027984,0.030514,0.034898,0.042669,0.057419,0.086785", \ - "0.032614,0.035285,0.038027,0.042672,0.050695,0.065516,0.094820", \ - "0.040692,0.043623,0.046610,0.051615,0.060035,0.075031,0.104284", \ - "0.049735,0.052933,0.056204,0.061636,0.070614,0.086079,0.115411"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017375,0.020131,0.023179,0.028737,0.039183,0.059667,0.100558", \ - "0.017874,0.020628,0.023675,0.029233,0.039679,0.060162,0.101055", \ - "0.019463,0.022205,0.025233,0.030765,0.041186,0.061659,0.102541", \ - "0.021946,0.024727,0.027791,0.033345,0.043747,0.064139,0.104984", \ - "0.024297,0.027207,0.030394,0.036103,0.046600,0.066966,0.107683", \ - "0.025599,0.028709,0.032092,0.038101,0.048970,0.069681,0.110411", \ - "0.025490,0.028838,0.032437,0.038811,0.050131,0.071473,0.112877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003299,0.004448,0.005848,0.008670,0.014559,0.026983,0.052407", \ - "0.003300,0.004448,0.005850,0.008671,0.014560,0.026983,0.052412", \ - "0.003396,0.004527,0.005919,0.008718,0.014579,0.026988,0.052417", \ - "0.004479,0.005484,0.006732,0.009311,0.014860,0.027039,0.052412", \ - "0.005714,0.006718,0.007902,0.010328,0.015566,0.027344,0.052473", \ - "0.007220,0.008254,0.009429,0.011745,0.016648,0.027847,0.052670", \ - "0.008993,0.010104,0.011306,0.013586,0.018275,0.028878,0.053045"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003923,0.005767,0.007965,0.012346,0.021456,0.040373,0.078610", \ - "0.003919,0.005767,0.007964,0.012343,0.021458,0.040371,0.078630", \ - "0.003957,0.005795,0.007989,0.012360,0.021475,0.040395,0.078617", \ - "0.004338,0.006142,0.008306,0.012603,0.021590,0.040393,0.078609", \ - "0.005185,0.006903,0.009034,0.013239,0.022012,0.040546,0.078654", \ - "0.006401,0.008040,0.010152,0.014348,0.023056,0.041299,0.078811", \ - "0.007874,0.009468,0.011555,0.015750,0.024430,0.042814,0.079807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014273,0.016565,0.018987,0.023248,0.030965,0.045771,0.075225", \ - "0.015202,0.017490,0.019913,0.024174,0.031892,0.046701,0.076154", \ - "0.019313,0.021557,0.023951,0.028190,0.035893,0.050690,0.080141", \ - "0.025538,0.027980,0.030511,0.034893,0.042669,0.057415,0.086809", \ - "0.032608,0.035284,0.038026,0.042677,0.050702,0.065522,0.094869", \ - "0.040697,0.043633,0.046623,0.051629,0.060048,0.075042,0.104370", \ - "0.049736,0.052944,0.056215,0.061655,0.070631,0.086082,0.115530"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003305,0.004453,0.005853,0.008674,0.014560,0.026972,0.052655", \ - "0.003306,0.004452,0.005853,0.008677,0.014562,0.026978,0.052655", \ - "0.003402,0.004531,0.005926,0.008721,0.014579,0.026982,0.052661", \ - "0.004488,0.005492,0.006740,0.009314,0.014861,0.027033,0.052682", \ - "0.005719,0.006730,0.007916,0.010334,0.015560,0.027331,0.052753", \ - "0.007222,0.008270,0.009437,0.011753,0.016641,0.027832,0.052952", \ - "0.009011,0.010118,0.011326,0.013597,0.018271,0.028861,0.053255"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014285,0.016570,0.018992,0.023252,0.030968,0.045777,0.075225", \ - "0.015213,0.017498,0.019917,0.024178,0.031894,0.046704,0.076152", \ - "0.019320,0.021563,0.023956,0.028193,0.035895,0.050693,0.080136", \ - "0.025547,0.027984,0.030514,0.034898,0.042669,0.057419,0.086785", \ - "0.032614,0.035285,0.038027,0.042672,0.050695,0.065516,0.094820", \ - "0.040692,0.043623,0.046610,0.051615,0.060035,0.075031,0.104284", \ - "0.049735,0.052933,0.056204,0.061636,0.070614,0.086079,0.115411"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017375,0.020131,0.023179,0.028737,0.039183,0.059667,0.100558", \ - "0.017874,0.020628,0.023675,0.029233,0.039679,0.060162,0.101055", \ - "0.019463,0.022205,0.025233,0.030765,0.041186,0.061659,0.102541", \ - "0.021946,0.024727,0.027791,0.033345,0.043747,0.064139,0.104984", \ - "0.024297,0.027207,0.030394,0.036103,0.046600,0.066966,0.107683", \ - "0.025599,0.028709,0.032092,0.038101,0.048970,0.069681,0.110411", \ - "0.025490,0.028838,0.032437,0.038811,0.050131,0.071473,0.112877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003299,0.004448,0.005848,0.008670,0.014559,0.026983,0.052407", \ - "0.003300,0.004448,0.005850,0.008671,0.014560,0.026983,0.052412", \ - "0.003396,0.004527,0.005919,0.008718,0.014579,0.026988,0.052417", \ - "0.004479,0.005484,0.006732,0.009311,0.014860,0.027039,0.052412", \ - "0.005714,0.006718,0.007902,0.010328,0.015566,0.027344,0.052473", \ - "0.007220,0.008254,0.009429,0.011745,0.016648,0.027847,0.052670", \ - "0.008993,0.010104,0.011306,0.013586,0.018275,0.028878,0.053045"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003923,0.005767,0.007965,0.012346,0.021456,0.040373,0.078610", \ - "0.003919,0.005767,0.007964,0.012343,0.021458,0.040371,0.078630", \ - "0.003957,0.005795,0.007989,0.012360,0.021475,0.040395,0.078617", \ - "0.004338,0.006142,0.008306,0.012603,0.021590,0.040393,0.078609", \ - "0.005185,0.006903,0.009034,0.013239,0.022012,0.040546,0.078654", \ - "0.006401,0.008040,0.010152,0.014348,0.023056,0.041299,0.078811", \ - "0.007874,0.009468,0.011555,0.015750,0.024430,0.042814,0.079807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014273,0.016565,0.018987,0.023248,0.030965,0.045771,0.075224", \ - "0.015202,0.017490,0.019913,0.024174,0.031892,0.046701,0.076154", \ - "0.019313,0.021557,0.023951,0.028190,0.035893,0.050690,0.080141", \ - "0.025538,0.027980,0.030511,0.034893,0.042669,0.057415,0.086809", \ - "0.032608,0.035284,0.038026,0.042677,0.050702,0.065522,0.094869", \ - "0.040697,0.043633,0.046623,0.051629,0.060048,0.075042,0.104370", \ - "0.049736,0.052944,0.056215,0.061655,0.070631,0.086082,0.115530"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003305,0.004453,0.005853,0.008674,0.014560,0.026972,0.052654", \ - "0.003306,0.004452,0.005853,0.008677,0.014562,0.026978,0.052655", \ - "0.003402,0.004531,0.005926,0.008721,0.014579,0.026982,0.052661", \ - "0.004488,0.005492,0.006740,0.009314,0.014861,0.027033,0.052682", \ - "0.005719,0.006730,0.007916,0.010334,0.015560,0.027331,0.052753", \ - "0.007222,0.008270,0.009437,0.011753,0.016641,0.027832,0.052952", \ - "0.009011,0.010118,0.011326,0.013597,0.018271,0.028861,0.053255"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014285,0.016570,0.018992,0.023252,0.030968,0.045777,0.075225", \ - "0.015213,0.017498,0.019917,0.024178,0.031894,0.046704,0.076152", \ - "0.019320,0.021563,0.023955,0.028193,0.035894,0.050693,0.080136", \ - "0.025547,0.027984,0.030514,0.034898,0.042669,0.057419,0.086785", \ - "0.032614,0.035285,0.038027,0.042672,0.050695,0.065516,0.094820", \ - "0.040692,0.043623,0.046610,0.051615,0.060035,0.075031,0.104284", \ - "0.049735,0.052933,0.056204,0.061636,0.070614,0.086079,0.115411"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017375,0.020131,0.023179,0.028737,0.039183,0.059667,0.100558", \ - "0.017874,0.020628,0.023675,0.029233,0.039679,0.060162,0.101055", \ - "0.019463,0.022205,0.025233,0.030765,0.041186,0.061659,0.102541", \ - "0.021946,0.024727,0.027791,0.033345,0.043747,0.064139,0.104984", \ - "0.024297,0.027207,0.030394,0.036103,0.046600,0.066966,0.107683", \ - "0.025599,0.028709,0.032092,0.038101,0.048970,0.069681,0.110411", \ - "0.025490,0.028838,0.032437,0.038811,0.050131,0.071473,0.112877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003299,0.004448,0.005848,0.008670,0.014559,0.026983,0.052407", \ - "0.003300,0.004448,0.005850,0.008671,0.014560,0.026983,0.052412", \ - "0.003396,0.004527,0.005920,0.008718,0.014578,0.026988,0.052417", \ - "0.004479,0.005484,0.006732,0.009311,0.014860,0.027039,0.052412", \ - "0.005714,0.006718,0.007902,0.010328,0.015566,0.027344,0.052473", \ - "0.007220,0.008254,0.009429,0.011745,0.016648,0.027847,0.052670", \ - "0.008993,0.010104,0.011306,0.013586,0.018275,0.028878,0.053045"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003923,0.005767,0.007965,0.012346,0.021456,0.040373,0.078610", \ - "0.003919,0.005767,0.007964,0.012343,0.021458,0.040371,0.078630", \ - "0.003957,0.005795,0.007989,0.012360,0.021475,0.040395,0.078617", \ - "0.004338,0.006142,0.008306,0.012603,0.021590,0.040393,0.078609", \ - "0.005185,0.006903,0.009034,0.013239,0.022012,0.040546,0.078654", \ - "0.006401,0.008040,0.010152,0.014348,0.023056,0.041299,0.078811", \ - "0.007874,0.009468,0.011555,0.015750,0.024430,0.042814,0.079807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014273,0.016565,0.018987,0.023248,0.030965,0.045771,0.075225", \ - "0.015202,0.017490,0.019913,0.024174,0.031892,0.046701,0.076154", \ - "0.019313,0.021557,0.023951,0.028190,0.035893,0.050690,0.080141", \ - "0.025538,0.027980,0.030511,0.034893,0.042669,0.057415,0.086809", \ - "0.032608,0.035284,0.038026,0.042677,0.050702,0.065522,0.094869", \ - "0.040697,0.043633,0.046623,0.051629,0.060048,0.075042,0.104370", \ - "0.049736,0.052944,0.056215,0.061655,0.070631,0.086082,0.115530"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003305,0.004453,0.005853,0.008674,0.014560,0.026972,0.052655", \ - "0.003306,0.004452,0.005853,0.008677,0.014562,0.026978,0.052655", \ - "0.003402,0.004531,0.005926,0.008721,0.014579,0.026982,0.052661", \ - "0.004488,0.005492,0.006740,0.009314,0.014861,0.027033,0.052682", \ - "0.005719,0.006730,0.007916,0.010334,0.015560,0.027331,0.052753", \ - "0.007222,0.008270,0.009437,0.011753,0.016641,0.027832,0.052952", \ - "0.009011,0.010118,0.011326,0.013597,0.018271,0.028861,0.053255"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014285,0.016570,0.018992,0.023252,0.030968,0.045777,0.075225", \ - "0.015213,0.017498,0.019917,0.024178,0.031894,0.046704,0.076152", \ - "0.019320,0.021563,0.023956,0.028193,0.035894,0.050693,0.080136", \ - "0.025547,0.027984,0.030514,0.034898,0.042669,0.057419,0.086785", \ - "0.032614,0.035285,0.038027,0.042672,0.050695,0.065516,0.094820", \ - "0.040692,0.043623,0.046610,0.051615,0.060035,0.075031,0.104284", \ - "0.049735,0.052933,0.056204,0.061636,0.070614,0.086079,0.115411"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017375,0.020131,0.023179,0.028737,0.039183,0.059667,0.100558", \ - "0.017874,0.020628,0.023675,0.029233,0.039679,0.060162,0.101055", \ - "0.019463,0.022205,0.025233,0.030765,0.041186,0.061659,0.102541", \ - "0.021946,0.024727,0.027791,0.033345,0.043746,0.064139,0.104984", \ - "0.024297,0.027207,0.030394,0.036103,0.046600,0.066966,0.107683", \ - "0.025599,0.028709,0.032092,0.038101,0.048970,0.069681,0.110411", \ - "0.025490,0.028838,0.032437,0.038811,0.050131,0.071473,0.112877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003299,0.004448,0.005848,0.008670,0.014559,0.026983,0.052407", \ - "0.003300,0.004448,0.005850,0.008671,0.014560,0.026983,0.052412", \ - "0.003396,0.004527,0.005919,0.008718,0.014578,0.026988,0.052417", \ - "0.004479,0.005484,0.006732,0.009311,0.014860,0.027039,0.052412", \ - "0.005714,0.006718,0.007902,0.010328,0.015566,0.027344,0.052473", \ - "0.007220,0.008254,0.009429,0.011745,0.016648,0.027847,0.052670", \ - "0.008993,0.010104,0.011306,0.013586,0.018275,0.028878,0.053045"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003923,0.005767,0.007965,0.012346,0.021456,0.040373,0.078610", \ - "0.003919,0.005767,0.007964,0.012343,0.021458,0.040371,0.078630", \ - "0.003957,0.005795,0.007988,0.012360,0.021475,0.040395,0.078617", \ - "0.004338,0.006142,0.008306,0.012603,0.021587,0.040393,0.078609", \ - "0.005185,0.006903,0.009034,0.013239,0.022012,0.040546,0.078654", \ - "0.006401,0.008040,0.010152,0.014348,0.023056,0.041299,0.078811", \ - "0.007874,0.009468,0.011555,0.015750,0.024430,0.042814,0.079807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014273,0.016565,0.018987,0.023248,0.030965,0.045771,0.075225", \ - "0.015202,0.017490,0.019913,0.024174,0.031892,0.046701,0.076154", \ - "0.019313,0.021557,0.023951,0.028190,0.035894,0.050690,0.080141", \ - "0.025538,0.027980,0.030511,0.034893,0.042669,0.057415,0.086809", \ - "0.032608,0.035284,0.038026,0.042677,0.050702,0.065522,0.094869", \ - "0.040697,0.043633,0.046623,0.051629,0.060048,0.075043,0.104370", \ - "0.049736,0.052944,0.056215,0.061655,0.070631,0.086082,0.115529"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003305,0.004453,0.005853,0.008674,0.014560,0.026972,0.052655", \ - "0.003306,0.004452,0.005853,0.008677,0.014562,0.026977,0.052655", \ - "0.003402,0.004531,0.005926,0.008721,0.014579,0.026982,0.052661", \ - "0.004488,0.005492,0.006740,0.009314,0.014861,0.027033,0.052682", \ - "0.005719,0.006730,0.007916,0.010334,0.015560,0.027331,0.052753", \ - "0.007222,0.008270,0.009437,0.011753,0.016641,0.027832,0.052950", \ - "0.009011,0.010118,0.011326,0.013597,0.018271,0.028861,0.053255"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014285,0.016570,0.018992,0.023252,0.030968,0.045777,0.075225", \ - "0.015213,0.017498,0.019917,0.024178,0.031894,0.046704,0.076152", \ - "0.019320,0.021563,0.023955,0.028193,0.035894,0.050693,0.080136", \ - "0.025547,0.027984,0.030514,0.034898,0.042669,0.057419,0.086785", \ - "0.032614,0.035285,0.038027,0.042672,0.050695,0.065516,0.094820", \ - "0.040692,0.043623,0.046610,0.051615,0.060035,0.075031,0.104284", \ - "0.049735,0.052933,0.056204,0.061636,0.070614,0.086079,0.115411"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.017375,0.020131,0.023179,0.028737,0.039183,0.059667,0.100558", \ - "0.017874,0.020628,0.023675,0.029233,0.039679,0.060162,0.101055", \ - "0.019463,0.022205,0.025233,0.030765,0.041186,0.061659,0.102541", \ - "0.021946,0.024727,0.027791,0.033345,0.043747,0.064139,0.104984", \ - "0.024297,0.027207,0.030394,0.036103,0.046600,0.066966,0.107683", \ - "0.025599,0.028709,0.032092,0.038101,0.048970,0.069681,0.110411", \ - "0.025490,0.028838,0.032437,0.038811,0.050131,0.071473,0.112877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003299,0.004448,0.005848,0.008670,0.014559,0.026983,0.052407", \ - "0.003300,0.004448,0.005850,0.008671,0.014560,0.026983,0.052412", \ - "0.003396,0.004527,0.005920,0.008718,0.014578,0.026988,0.052417", \ - "0.004479,0.005484,0.006732,0.009311,0.014860,0.027039,0.052412", \ - "0.005714,0.006718,0.007902,0.010328,0.015566,0.027344,0.052473", \ - "0.007220,0.008254,0.009429,0.011745,0.016648,0.027847,0.052670", \ - "0.008993,0.010104,0.011306,0.013586,0.018275,0.028878,0.053045"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003923,0.005767,0.007965,0.012346,0.021456,0.040373,0.078610", \ - "0.003919,0.005767,0.007964,0.012343,0.021458,0.040371,0.078630", \ - "0.003957,0.005795,0.007989,0.012360,0.021475,0.040395,0.078617", \ - "0.004338,0.006142,0.008306,0.012603,0.021590,0.040393,0.078609", \ - "0.005185,0.006903,0.009034,0.013239,0.022012,0.040546,0.078654", \ - "0.006401,0.008040,0.010152,0.014348,0.023056,0.041299,0.078811", \ - "0.007874,0.009468,0.011555,0.015750,0.024430,0.042814,0.079807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.014273,0.016565,0.018987,0.023248,0.030965,0.045771,0.075224", \ - "0.015202,0.017490,0.019913,0.024174,0.031892,0.046701,0.076154", \ - "0.019313,0.021557,0.023951,0.028190,0.035894,0.050690,0.080141", \ - "0.025538,0.027980,0.030511,0.034893,0.042669,0.057415,0.086809", \ - "0.032608,0.035284,0.038026,0.042677,0.050702,0.065522,0.094869", \ - "0.040697,0.043633,0.046623,0.051629,0.060048,0.075042,0.104370", \ - "0.049736,0.052944,0.056215,0.061655,0.070631,0.086082,0.115530"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.003305,0.004453,0.005853,0.008674,0.014560,0.026972,0.052654", \ - "0.003306,0.004452,0.005853,0.008677,0.014562,0.026978,0.052655", \ - "0.003402,0.004531,0.005926,0.008721,0.014579,0.026982,0.052661", \ - "0.004488,0.005492,0.006740,0.009314,0.014861,0.027033,0.052682", \ - "0.005719,0.006730,0.007916,0.010334,0.015560,0.027331,0.052753", \ - "0.007222,0.008270,0.009437,0.011753,0.016641,0.027832,0.052952", \ - "0.009011,0.010118,0.011326,0.013597,0.018271,0.028861,0.053255"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035042,0.037496,0.040241,0.045433,0.055610,0.075920,0.116717", \ - "0.035954,0.038407,0.041151,0.046343,0.056520,0.076832,0.117624", \ - "0.039929,0.042382,0.045126,0.050316,0.060488,0.080795,0.121587", \ - "0.047750,0.050143,0.052833,0.057958,0.068063,0.088313,0.129068", \ - "0.057380,0.059678,0.062252,0.067218,0.077172,0.097307,0.137984", \ - "0.068240,0.070486,0.072960,0.077770,0.087562,0.107553,0.148127", \ - "0.080395,0.082616,0.085011,0.089660,0.099266,0.119082,0.159525"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004143,0.005969,0.008154,0.012470,0.021507,0.040354,0.078539", \ - "0.004142,0.005971,0.008156,0.012468,0.021510,0.040359,0.078534", \ - "0.004147,0.005974,0.008153,0.012472,0.021507,0.040354,0.078537", \ - "0.004185,0.006004,0.008184,0.012490,0.021518,0.040356,0.078534", \ - "0.004336,0.006134,0.008290,0.012568,0.021559,0.040371,0.078541", \ - "0.004525,0.006291,0.008433,0.012665,0.021608,0.040377,0.078539", \ - "0.004768,0.006505,0.008618,0.012799,0.021675,0.040395,0.078534"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035042,0.037496,0.040241,0.045433,0.055610,0.075920,0.116714", \ - "0.035954,0.038407,0.041151,0.046343,0.056520,0.076832,0.117624", \ - "0.039927,0.042382,0.045126,0.050316,0.060488,0.080797,0.121587", \ - "0.047750,0.050143,0.052833,0.057958,0.068063,0.088313,0.129068", \ - "0.057380,0.059678,0.062252,0.067218,0.077172,0.097307,0.137984", \ - "0.068241,0.070486,0.072960,0.077770,0.087562,0.107553,0.148127", \ - "0.080395,0.082616,0.085011,0.089660,0.099266,0.119082,0.159525"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004143,0.005969,0.008154,0.012469,0.021507,0.040354,0.078543", \ - "0.004142,0.005971,0.008156,0.012468,0.021510,0.040359,0.078534", \ - "0.004148,0.005974,0.008153,0.012472,0.021507,0.040359,0.078537", \ - "0.004185,0.006004,0.008184,0.012490,0.021518,0.040359,0.078534", \ - "0.004336,0.006134,0.008290,0.012568,0.021559,0.040371,0.078541", \ - "0.004525,0.006291,0.008433,0.012665,0.021608,0.040377,0.078539", \ - "0.004768,0.006505,0.008618,0.012799,0.021675,0.040395,0.078534"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035042,0.037496,0.040241,0.045433,0.055610,0.075920,0.116718", \ - "0.035954,0.038407,0.041151,0.046343,0.056520,0.076832,0.117624", \ - "0.039927,0.042382,0.045126,0.050317,0.060488,0.080797,0.121587", \ - "0.047750,0.050143,0.052833,0.057958,0.068063,0.088313,0.129072", \ - "0.057380,0.059678,0.062252,0.067218,0.077172,0.097307,0.137984", \ - "0.068241,0.070486,0.072960,0.077770,0.087562,0.107553,0.148127", \ - "0.080395,0.082616,0.085011,0.089660,0.099266,0.119082,0.159525"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004143,0.005970,0.008154,0.012468,0.021507,0.040354,0.078541", \ - "0.004142,0.005971,0.008156,0.012468,0.021510,0.040359,0.078534", \ - "0.004148,0.005975,0.008153,0.012470,0.021507,0.040359,0.078537", \ - "0.004185,0.006004,0.008184,0.012490,0.021518,0.040356,0.078536", \ - "0.004336,0.006134,0.008290,0.012568,0.021559,0.040371,0.078541", \ - "0.004525,0.006291,0.008433,0.012665,0.021608,0.040377,0.078539", \ - "0.004768,0.006505,0.008618,0.012799,0.021675,0.040395,0.078534"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035039,0.037493,0.040238,0.045431,0.055607,0.075918,0.116710", \ - "0.035950,0.038404,0.041147,0.046340,0.056517,0.076829,0.117621", \ - "0.039924,0.042379,0.045123,0.050313,0.060484,0.080794,0.121585", \ - "0.047748,0.050139,0.052830,0.057953,0.068060,0.088310,0.129069", \ - "0.057376,0.059674,0.062246,0.067211,0.077168,0.097301,0.137978", \ - "0.068237,0.070483,0.072956,0.077764,0.087558,0.107546,0.148123", \ - "0.080396,0.082614,0.085008,0.089659,0.099261,0.119077,0.159517"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004143,0.005970,0.008153,0.012470,0.021507,0.040354,0.078533", \ - "0.004143,0.005971,0.008155,0.012468,0.021509,0.040359,0.078534", \ - "0.004148,0.005974,0.008153,0.012469,0.021507,0.040358,0.078537", \ - "0.004182,0.006004,0.008184,0.012492,0.021518,0.040360,0.078536", \ - "0.004332,0.006134,0.008290,0.012567,0.021559,0.040367,0.078544", \ - "0.004523,0.006293,0.008430,0.012665,0.021608,0.040377,0.078539", \ - "0.004764,0.006506,0.008618,0.012798,0.021675,0.040393,0.078534"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035039,0.037493,0.040238,0.045431,0.055607,0.075918,0.116710", \ - "0.035950,0.038404,0.041147,0.046340,0.056517,0.076829,0.117621", \ - "0.039925,0.042379,0.045123,0.050313,0.060484,0.080791,0.121585", \ - "0.047748,0.050139,0.052830,0.057953,0.068060,0.088310,0.129069", \ - "0.057376,0.059674,0.062246,0.067211,0.077168,0.097300,0.137978", \ - "0.068237,0.070483,0.072956,0.077764,0.087558,0.107546,0.148123", \ - "0.080396,0.082614,0.085008,0.089659,0.099261,0.119077,0.159517"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004143,0.005970,0.008153,0.012470,0.021507,0.040354,0.078533", \ - "0.004143,0.005971,0.008155,0.012468,0.021509,0.040359,0.078534", \ - "0.004147,0.005975,0.008153,0.012469,0.021507,0.040354,0.078537", \ - "0.004182,0.006004,0.008184,0.012492,0.021518,0.040356,0.078536", \ - "0.004332,0.006134,0.008290,0.012567,0.021559,0.040369,0.078544", \ - "0.004523,0.006293,0.008430,0.012665,0.021608,0.040377,0.078539", \ - "0.004764,0.006506,0.008618,0.012798,0.021675,0.040393,0.078534"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035039,0.037493,0.040238,0.045431,0.055607,0.075918,0.116710", \ - "0.035950,0.038404,0.041147,0.046340,0.056517,0.076829,0.117621", \ - "0.039924,0.042379,0.045123,0.050314,0.060484,0.080794,0.121585", \ - "0.047748,0.050139,0.052830,0.057953,0.068060,0.088310,0.129069", \ - "0.057376,0.059674,0.062246,0.067211,0.077168,0.097301,0.137978", \ - "0.068237,0.070483,0.072956,0.077764,0.087558,0.107546,0.148123", \ - "0.080396,0.082614,0.085008,0.089659,0.099261,0.119077,0.159517"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004143,0.005970,0.008153,0.012470,0.021507,0.040354,0.078533", \ - "0.004143,0.005971,0.008155,0.012468,0.021509,0.040359,0.078534", \ - "0.004148,0.005975,0.008153,0.012469,0.021507,0.040358,0.078537", \ - "0.004182,0.006004,0.008184,0.012492,0.021518,0.040359,0.078536", \ - "0.004332,0.006134,0.008290,0.012567,0.021559,0.040367,0.078544", \ - "0.004523,0.006293,0.008430,0.012665,0.021608,0.040377,0.078539", \ - "0.004764,0.006506,0.008618,0.012798,0.021675,0.040393,0.078534"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035042,0.037496,0.040241,0.045433,0.055610,0.075923,0.116717", \ - "0.035954,0.038407,0.041151,0.046343,0.056520,0.076832,0.117624", \ - "0.039927,0.042382,0.045126,0.050317,0.060488,0.080797,0.121587", \ - "0.047750,0.050143,0.052833,0.057958,0.068063,0.088312,0.129068", \ - "0.057380,0.059678,0.062252,0.067218,0.077172,0.097307,0.137984", \ - "0.068241,0.070486,0.072960,0.077770,0.087562,0.107553,0.148127", \ - "0.080395,0.082616,0.085011,0.089660,0.099266,0.119082,0.159525"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004143,0.005970,0.008154,0.012468,0.021507,0.040356,0.078539", \ - "0.004142,0.005971,0.008156,0.012468,0.021510,0.040359,0.078534", \ - "0.004148,0.005974,0.008153,0.012470,0.021507,0.040359,0.078537", \ - "0.004185,0.006004,0.008184,0.012490,0.021518,0.040361,0.078534", \ - "0.004336,0.006134,0.008290,0.012568,0.021559,0.040371,0.078541", \ - "0.004525,0.006291,0.008433,0.012665,0.021608,0.040377,0.078539", \ - "0.004768,0.006505,0.008618,0.012799,0.021675,0.040395,0.078534"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035039,0.037493,0.040238,0.045431,0.055607,0.075918,0.116716", \ - "0.035950,0.038404,0.041147,0.046340,0.056517,0.076829,0.117621", \ - "0.039924,0.042379,0.045124,0.050314,0.060484,0.080791,0.121585", \ - "0.047748,0.050139,0.052830,0.057953,0.068060,0.088310,0.129069", \ - "0.057376,0.059674,0.062246,0.067211,0.077168,0.097300,0.137978", \ - "0.068237,0.070483,0.072956,0.077764,0.087558,0.107546,0.148123", \ - "0.080396,0.082614,0.085008,0.089659,0.099261,0.119077,0.159517"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004143,0.005970,0.008153,0.012470,0.021507,0.040354,0.078545", \ - "0.004143,0.005971,0.008155,0.012468,0.021509,0.040359,0.078534", \ - "0.004148,0.005974,0.008153,0.012469,0.021507,0.040354,0.078537", \ - "0.004182,0.006004,0.008184,0.012492,0.021518,0.040359,0.078536", \ - "0.004332,0.006134,0.008290,0.012567,0.021559,0.040369,0.078544", \ - "0.004523,0.006293,0.008430,0.012665,0.021608,0.040377,0.078539", \ - "0.004764,0.006506,0.008618,0.012798,0.021675,0.040393,0.078534"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035087,0.037540,0.040282,0.045477,0.055651,0.075962,0.116752", \ - "0.035999,0.038450,0.041192,0.046386,0.056561,0.076870,0.117663", \ - "0.039967,0.042419,0.045162,0.050354,0.060524,0.080831,0.121621", \ - "0.047785,0.050176,0.052867,0.057996,0.068100,0.088351,0.129109", \ - "0.057397,0.059694,0.062269,0.067242,0.077199,0.097336,0.138017", \ - "0.068296,0.070546,0.073022,0.077834,0.087623,0.107620,0.148199", \ - "0.080593,0.082833,0.085235,0.089877,0.099464,0.119288,0.159729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004134,0.005961,0.008143,0.012463,0.021499,0.040349,0.078540", \ - "0.004133,0.005963,0.008148,0.012463,0.021498,0.040352,0.078534", \ - "0.004138,0.005966,0.008145,0.012461,0.021499,0.040352,0.078531", \ - "0.004175,0.005996,0.008174,0.012483,0.021511,0.040354,0.078533", \ - "0.004321,0.006123,0.008279,0.012556,0.021549,0.040365,0.078533", \ - "0.004519,0.006286,0.008422,0.012654,0.021600,0.040382,0.078539", \ - "0.004785,0.006526,0.008636,0.012800,0.021673,0.040415,0.078538"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035087,0.037540,0.040282,0.045477,0.055651,0.075962,0.116753", \ - "0.035999,0.038450,0.041192,0.046386,0.056561,0.076870,0.117663", \ - "0.039967,0.042419,0.045162,0.050354,0.060524,0.080831,0.121621", \ - "0.047785,0.050176,0.052867,0.057996,0.068100,0.088351,0.129109", \ - "0.057397,0.059694,0.062269,0.067242,0.077199,0.097336,0.138017", \ - "0.068296,0.070546,0.073022,0.077834,0.087623,0.107620,0.148199", \ - "0.080593,0.082833,0.085235,0.089877,0.099464,0.119288,0.159729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004134,0.005961,0.008143,0.012463,0.021499,0.040349,0.078537", \ - "0.004133,0.005963,0.008148,0.012463,0.021498,0.040352,0.078534", \ - "0.004138,0.005967,0.008145,0.012461,0.021499,0.040352,0.078531", \ - "0.004175,0.005996,0.008174,0.012483,0.021511,0.040354,0.078533", \ - "0.004321,0.006123,0.008279,0.012553,0.021549,0.040365,0.078538", \ - "0.004519,0.006286,0.008422,0.012654,0.021600,0.040382,0.078539", \ - "0.004785,0.006526,0.008636,0.012800,0.021673,0.040415,0.078538"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035087,0.037540,0.040282,0.045477,0.055651,0.075961,0.116753", \ - "0.035999,0.038450,0.041192,0.046386,0.056561,0.076870,0.117663", \ - "0.039967,0.042419,0.045162,0.050354,0.060524,0.080831,0.121621", \ - "0.047785,0.050176,0.052867,0.057996,0.068100,0.088351,0.129109", \ - "0.057397,0.059694,0.062269,0.067242,0.077199,0.097337,0.138017", \ - "0.068296,0.070546,0.073022,0.077834,0.087623,0.107620,0.148199", \ - "0.080593,0.082833,0.085235,0.089877,0.099464,0.119288,0.159729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004134,0.005961,0.008143,0.012463,0.021499,0.040349,0.078535", \ - "0.004133,0.005963,0.008148,0.012463,0.021498,0.040352,0.078534", \ - "0.004138,0.005967,0.008145,0.012461,0.021499,0.040352,0.078531", \ - "0.004175,0.005996,0.008174,0.012483,0.021511,0.040354,0.078533", \ - "0.004321,0.006123,0.008279,0.012554,0.021549,0.040363,0.078538", \ - "0.004519,0.006286,0.008422,0.012654,0.021600,0.040382,0.078539", \ - "0.004785,0.006526,0.008636,0.012800,0.021673,0.040415,0.078538"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035087,0.037540,0.040283,0.045477,0.055651,0.075963,0.116753", \ - "0.035999,0.038450,0.041192,0.046386,0.056561,0.076870,0.117662", \ - "0.039967,0.042420,0.045163,0.050354,0.060524,0.080831,0.121621", \ - "0.047785,0.050176,0.052867,0.057996,0.068100,0.088352,0.129110", \ - "0.057397,0.059694,0.062269,0.067242,0.077199,0.097336,0.138016", \ - "0.068296,0.070546,0.073022,0.077834,0.087623,0.107620,0.148199", \ - "0.080593,0.082833,0.085235,0.089877,0.099464,0.119288,0.159729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004134,0.005961,0.008145,0.012463,0.021499,0.040352,0.078539", \ - "0.004133,0.005963,0.008148,0.012462,0.021498,0.040351,0.078533", \ - "0.004138,0.005967,0.008145,0.012461,0.021499,0.040352,0.078531", \ - "0.004175,0.005996,0.008174,0.012483,0.021509,0.040353,0.078532", \ - "0.004321,0.006123,0.008279,0.012556,0.021549,0.040364,0.078537", \ - "0.004519,0.006286,0.008422,0.012654,0.021600,0.040382,0.078538", \ - "0.004785,0.006526,0.008636,0.012800,0.021673,0.040415,0.078538"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035087,0.037540,0.040283,0.045477,0.055651,0.075962,0.116752", \ - "0.035999,0.038450,0.041192,0.046386,0.056561,0.076870,0.117662", \ - "0.039967,0.042420,0.045162,0.050354,0.060524,0.080831,0.121621", \ - "0.047785,0.050176,0.052867,0.057996,0.068100,0.088352,0.129110", \ - "0.057397,0.059694,0.062269,0.067242,0.077199,0.097336,0.138017", \ - "0.068296,0.070546,0.073022,0.077834,0.087623,0.107620,0.148199", \ - "0.080593,0.082833,0.085235,0.089877,0.099464,0.119288,0.159729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004134,0.005961,0.008145,0.012463,0.021499,0.040349,0.078540", \ - "0.004133,0.005963,0.008148,0.012462,0.021498,0.040351,0.078533", \ - "0.004138,0.005967,0.008145,0.012461,0.021499,0.040352,0.078531", \ - "0.004175,0.005996,0.008174,0.012483,0.021509,0.040353,0.078532", \ - "0.004321,0.006123,0.008279,0.012556,0.021549,0.040364,0.078536", \ - "0.004519,0.006286,0.008422,0.012654,0.021600,0.040382,0.078538", \ - "0.004785,0.006526,0.008636,0.012800,0.021673,0.040415,0.078538"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035087,0.037540,0.040283,0.045477,0.055651,0.075960,0.116752", \ - "0.035999,0.038450,0.041192,0.046386,0.056561,0.076870,0.117662", \ - "0.039967,0.042420,0.045162,0.050356,0.060524,0.080831,0.121621", \ - "0.047785,0.050176,0.052867,0.057996,0.068100,0.088352,0.129110", \ - "0.057397,0.059694,0.062269,0.067242,0.077199,0.097336,0.138016", \ - "0.068296,0.070546,0.073022,0.077834,0.087623,0.107620,0.148199", \ - "0.080593,0.082833,0.085235,0.089877,0.099464,0.119288,0.159729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004134,0.005961,0.008145,0.012463,0.021499,0.040353,0.078537", \ - "0.004133,0.005963,0.008148,0.012462,0.021498,0.040351,0.078533", \ - "0.004138,0.005967,0.008145,0.012462,0.021499,0.040352,0.078531", \ - "0.004175,0.005996,0.008174,0.012483,0.021509,0.040353,0.078532", \ - "0.004321,0.006123,0.008279,0.012556,0.021549,0.040364,0.078537", \ - "0.004519,0.006286,0.008422,0.012654,0.021600,0.040382,0.078538", \ - "0.004785,0.006526,0.008636,0.012800,0.021673,0.040415,0.078538"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035087,0.037540,0.040282,0.045477,0.055651,0.075961,0.116753", \ - "0.035999,0.038450,0.041192,0.046386,0.056561,0.076870,0.117663", \ - "0.039967,0.042419,0.045162,0.050354,0.060524,0.080831,0.121621", \ - "0.047785,0.050176,0.052867,0.057996,0.068100,0.088351,0.129109", \ - "0.057397,0.059694,0.062269,0.067242,0.077199,0.097336,0.138017", \ - "0.068296,0.070546,0.073022,0.077834,0.087623,0.107620,0.148199", \ - "0.080593,0.082833,0.085235,0.089877,0.099464,0.119288,0.159729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004134,0.005961,0.008143,0.012463,0.021499,0.040349,0.078536", \ - "0.004133,0.005963,0.008148,0.012463,0.021498,0.040352,0.078534", \ - "0.004138,0.005966,0.008145,0.012461,0.021499,0.040352,0.078531", \ - "0.004175,0.005996,0.008174,0.012483,0.021511,0.040354,0.078533", \ - "0.004321,0.006123,0.008279,0.012553,0.021549,0.040365,0.078538", \ - "0.004519,0.006286,0.008422,0.012654,0.021600,0.040382,0.078539", \ - "0.004785,0.006526,0.008636,0.012800,0.021673,0.040415,0.078538"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035087,0.037540,0.040283,0.045477,0.055651,0.075962,0.116752", \ - "0.035999,0.038450,0.041192,0.046386,0.056561,0.076870,0.117662", \ - "0.039967,0.042420,0.045162,0.050354,0.060524,0.080831,0.121621", \ - "0.047785,0.050176,0.052867,0.057996,0.068100,0.088352,0.129110", \ - "0.057397,0.059694,0.062269,0.067242,0.077199,0.097336,0.138017", \ - "0.068296,0.070546,0.073022,0.077834,0.087623,0.107620,0.148199", \ - "0.080593,0.082833,0.085235,0.089877,0.099464,0.119288,0.159729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.004134,0.005961,0.008145,0.012463,0.021499,0.040349,0.078539", \ - "0.004133,0.005963,0.008148,0.012462,0.021498,0.040351,0.078533", \ - "0.004138,0.005966,0.008145,0.012461,0.021499,0.040352,0.078531", \ - "0.004175,0.005996,0.008174,0.012483,0.021509,0.040353,0.078532", \ - "0.004321,0.006123,0.008279,0.012556,0.021549,0.040364,0.078534", \ - "0.004519,0.006286,0.008422,0.012654,0.021600,0.040382,0.078538", \ - "0.004785,0.006526,0.008636,0.012800,0.021673,0.040415,0.078538"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.143362,8.076795,8.066867,8.059273,8.115959,8.036255,8.071459", \ - "8.136351,8.073352,8.062545,8.048176,8.103566,8.094367,8.083244", \ - "8.226201,8.155875,8.166592,8.155437,8.206686,8.203942,8.181226", \ - "8.616161,8.533481,8.536612,8.532017,8.578711,8.567402,8.546541", \ - "9.407721,9.357038,9.348471,9.351746,9.396953,9.396756,9.366909", \ - "10.702000,10.629540,10.637050,10.622030,10.672740,10.655700,10.648060", \ - "12.230510,12.198300,12.235450,12.276070,12.445970,12.462680,12.442330"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.062505,7.977706,7.923572,7.881409,7.813477,7.840830,7.827317", \ - "8.057506,7.971442,7.896402,7.862115,7.809428,7.810758,7.822973", \ - "8.161434,8.069005,8.019427,7.934051,7.890603,7.902648,7.917487", \ - "8.534392,8.441015,8.381016,8.334797,8.312029,8.289334,8.293776", \ - "9.307929,9.213803,9.170738,9.105635,9.047561,9.054429,9.070797", \ - "10.546120,10.456900,10.404190,10.335250,10.319370,10.301410,10.125170", \ - "12.060270,12.012510,11.980490,12.006170,11.969800,11.943730,11.982880"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.650712,5.872732,6.112214,6.401622,6.788739,7.383176,7.817504", \ - "5.632963,5.885042,6.108693,6.387038,6.756515,7.352035,7.775430", \ - "5.920635,6.130149,6.331940,6.588775,6.952895,7.560604,7.952398", \ - "6.715887,6.915562,7.167926,7.398293,7.716018,8.249779,8.621593", \ - "8.189862,8.287873,8.368277,8.634675,9.096753,9.621015,9.970603", \ - "10.538590,10.598600,10.629150,10.745540,11.040580,11.634200,12.111250", \ - "13.679130,13.746700,13.708900,13.704590,13.947080,14.443720,15.124690"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.134769,3.483948,3.756127,4.074318,4.374496,4.103358,4.203286", \ - "3.099397,3.436714,3.581907,4.066349,4.340703,4.107918,4.355346", \ - "3.180778,3.521886,3.634313,4.111448,4.130758,3.955010,3.883441", \ - "3.985376,4.137995,4.329553,4.528205,4.682644,4.695286,4.385900", \ - "5.512192,5.648630,5.871620,5.904275,5.836376,5.771189,5.856399", \ - "7.600471,7.655940,7.946254,8.167013,8.320144,8.115177,7.930619", \ - "10.164330,10.210780,10.327150,10.840830,11.107010,10.933740,10.806280"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.916358,6.837910,6.824591,6.894211,6.839143,6.663495,6.729620", \ - "6.892796,6.837149,6.883630,6.852658,6.878753,6.750743,6.625739", \ - "7.030197,6.963015,6.977619,6.972835,6.951137,6.870212,6.752404", \ - "7.600799,7.435919,7.438281,7.413393,7.346697,7.084144,6.947466", \ - "8.589343,8.386825,8.261930,8.226545,8.087535,7.986104,7.884710", \ - "10.184630,9.878338,9.747886,9.516135,9.368724,9.076756,8.874375", \ - "12.107550,11.861880,11.605540,11.411900,11.117940,10.742830,10.550990"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.650758,5.872755,6.112230,6.401633,6.788763,7.383169,7.817502", \ - "5.632997,5.885063,6.108711,6.387049,6.756521,7.352028,7.775392", \ - "5.940996,6.130174,6.331957,6.592871,6.952901,7.560610,7.952361", \ - "6.715927,6.915596,7.167955,7.398304,7.716025,8.249775,8.621558", \ - "8.189893,8.287901,8.368297,8.634689,9.096768,9.621012,9.970581", \ - "10.538600,10.598620,10.629170,10.745560,11.040590,11.634180,12.111220", \ - "13.679160,13.746720,13.708920,13.704600,13.947090,14.443720,15.124690"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.134775,3.483967,3.756138,4.074323,4.374502,4.103334,4.203266", \ - "3.099409,3.436728,3.581911,4.066359,4.340712,4.070353,4.356667", \ - "3.180792,3.521866,3.634301,4.111459,4.130749,3.954917,3.883400", \ - "3.985389,4.138005,4.329565,4.528210,4.682643,4.695284,4.385870", \ - "5.512206,5.648642,5.871634,5.904275,5.836369,5.771183,5.856390", \ - "7.600485,7.655987,7.946269,8.167019,8.320152,8.115186,7.930624", \ - "10.164350,10.210820,10.327160,10.841000,11.107110,10.933720,10.806270"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.916111,6.837652,6.824320,6.893902,6.838804,6.662805,6.728716", \ - "6.892552,6.836898,6.883242,6.852359,6.878428,6.750333,6.625190", \ - "7.029957,6.962766,6.977355,6.972536,6.950695,6.869803,6.751424", \ - "7.600563,7.435666,7.438028,7.413112,7.346380,7.083765,6.946985", \ - "8.589123,8.386593,8.261685,8.226281,8.087231,7.985828,7.884188", \ - "10.184420,9.878119,9.747657,9.563401,9.368441,9.076404,8.874022", \ - "12.107480,11.861710,11.605340,11.411700,11.117800,10.742530,10.550630"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.650598,5.872623,6.112119,6.401556,6.788710,7.383155,7.817500", \ - "5.632848,5.884924,6.108596,6.386966,6.756479,7.352017,7.775442", \ - "5.920521,6.130041,6.331844,6.592791,6.952857,7.560579,7.952407", \ - "6.715793,6.915465,7.167832,7.398221,7.715978,8.249759,8.621601", \ - "8.189784,8.287795,8.368203,8.634610,9.096706,9.620993,9.970607", \ - "10.538640,10.598530,10.629080,10.745480,11.040540,11.634180,12.111290", \ - "13.679070,13.746630,13.708830,13.704530,13.947040,14.443690,15.124680"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.134723,3.483887,3.756058,4.074256,4.374452,4.103336,4.203265", \ - "3.099354,3.436654,3.581845,4.066225,4.340660,4.107897,4.356641", \ - "3.180747,3.521829,3.634258,4.111385,4.130721,3.954979,3.883430", \ - "3.985342,4.137951,4.329502,4.528146,4.682602,4.695259,4.385886", \ - "5.512148,5.648578,5.871562,5.904223,5.836341,5.771162,5.856369", \ - "7.600418,7.655887,7.946191,8.166954,8.320102,8.115145,7.930591", \ - "10.164280,10.210720,10.327080,10.840790,11.106980,10.933710,10.806250"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.916273,6.837732,6.824453,6.894318,6.838982,6.663200,6.728936", \ - "6.892554,6.836783,6.883333,6.852549,6.879412,6.750520,6.625417", \ - "7.029945,6.962697,6.977282,6.972605,6.950882,6.870303,6.752556", \ - "7.600718,7.435708,7.438186,7.413285,7.325923,7.083955,6.947246", \ - "8.589163,8.386651,8.261847,8.226283,8.087395,7.985770,7.884317", \ - "10.184550,9.878328,9.747909,9.516042,9.368609,9.076577,8.874088", \ - "12.107220,11.861730,11.605490,11.365760,11.117920,10.742710,10.550800"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.350662,7.588826,7.853831,8.107708,8.479804,9.094824,9.506814", \ - "7.306722,7.572164,7.782350,8.064213,8.428106,9.053588,9.473266", \ - "7.812120,8.035703,8.253711,8.487015,8.835173,9.457268,9.856907", \ - "9.200089,9.486220,9.726492,9.983466,10.300610,10.828960,11.217840", \ - "11.891990,12.075080,12.187870,12.481290,12.947670,13.470870,13.821310", \ - "15.854580,16.025280,16.142310,16.365230,16.763340,17.449850,17.878920", \ - "20.834990,21.130670,21.275340,21.481870,22.035010,22.712000,23.464100"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.110590,4.467721,4.748067,5.069216,5.345768,5.064989,5.178293", \ - "4.045102,4.394498,4.676162,5.035489,5.286526,5.007391,5.313343", \ - "4.264726,4.622617,4.776556,5.213630,5.206959,4.912059,4.962829", \ - "5.636695,5.814704,6.023075,6.217209,6.339083,6.340767,6.041317", \ - "8.179017,8.465656,8.704586,8.790409,8.714151,8.673585,8.676460", \ - "11.547190,11.887350,12.277930,12.735660,13.034490,12.848900,12.658890", \ - "15.580260,15.870330,16.375610,17.297070,18.296060,18.340370,18.087550"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.811342,7.732967,7.801372,7.813273,7.758226,7.553149,7.616353", \ - "7.778862,7.712072,7.773322,7.737647,7.711635,7.632847,7.504882", \ - "8.028646,7.970508,7.941706,7.961379,7.943694,7.856586,7.728564", \ - "8.930562,8.768139,8.764944,8.739396,8.628058,8.406163,8.266730", \ - "10.579580,10.375070,10.297280,10.197640,10.040880,9.957288,9.833499", \ - "13.089670,12.850230,12.674060,12.503880,12.269780,11.975460,11.769690", \ - "16.349660,16.068250,15.824440,15.552260,15.330510,14.924710,14.727210"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.350570,7.588761,7.853824,8.107693,8.479777,9.094807,9.506760", \ - "7.306629,7.572126,7.782333,8.064198,8.428071,9.053570,9.473242", \ - "7.812020,8.035658,8.237789,8.495247,8.835136,9.457252,9.856852", \ - "9.199981,9.486157,9.726447,9.983440,10.300580,10.828920,11.217820", \ - "11.891900,12.075010,12.187810,12.481250,12.947650,13.470830,13.821230", \ - "15.854490,16.025200,16.142250,16.365180,16.763290,17.449830,17.878870", \ - "20.834890,21.130590,21.275270,21.481800,22.034960,22.711940,23.464090"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.110519,4.467653,4.748013,5.069177,5.345746,5.064910,5.178226", \ - "4.045033,4.394432,4.676108,5.035457,5.286506,5.007313,5.313350", \ - "4.264667,4.622558,4.776488,5.213599,5.206900,4.911943,4.962714", \ - "5.636653,5.814647,6.023025,6.217161,6.339041,6.340726,6.041221", \ - "8.178960,8.465581,8.704531,8.790353,8.714092,8.673531,8.676416", \ - "11.547120,11.887280,12.277860,12.735600,13.034460,12.848880,12.658870", \ - "15.580190,15.870250,16.375520,17.297010,18.296030,18.340310,18.087500"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.811620,7.733044,7.801793,7.813774,7.758702,7.553643,7.617117", \ - "7.779148,7.712226,7.773662,7.737967,7.711987,7.633348,7.505615", \ - "8.028950,7.971670,7.942009,7.961708,7.944277,7.857046,7.729263", \ - "8.930824,8.768428,8.765223,8.739730,8.637185,8.406582,8.267258", \ - "10.579850,10.375330,10.297570,10.197920,10.041230,9.957735,9.834030", \ - "13.089880,12.850490,12.674320,12.504170,12.270060,11.975850,11.770160", \ - "16.358960,16.065410,15.826850,15.552380,15.330950,14.925070,14.727790"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.350614,7.588833,7.853844,8.107712,8.479794,9.094819,9.506789", \ - "7.306674,7.572158,7.782358,8.064217,8.428093,9.053583,9.473257", \ - "7.812067,8.035689,8.237812,8.495266,8.843616,9.457264,9.856883", \ - "9.200042,9.486199,9.726482,9.983461,10.300600,10.828940,11.217830", \ - "11.891950,12.075060,12.187850,12.481280,12.947670,13.470850,13.821270", \ - "15.854540,16.025250,16.142290,16.365220,16.763320,17.449850,17.878900", \ - "20.834950,21.130640,21.275320,21.481840,22.034990,22.711980,23.464110"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.110546,4.467672,4.748030,5.069194,5.345757,5.064950,5.178264", \ - "4.045058,4.394452,4.676125,5.035471,5.286516,5.007353,5.313344", \ - "4.264693,4.622577,4.776511,5.213612,5.206929,4.912002,4.962776", \ - "5.636672,5.814668,6.023043,6.217183,6.339062,6.340748,6.041274", \ - "8.178991,8.465612,8.704553,8.790379,8.714123,8.673562,8.676444", \ - "11.547160,11.887320,12.277890,12.735630,13.034480,12.848890,12.658890", \ - "15.580230,15.870300,16.375570,17.297040,18.296050,18.340340,18.087540"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.811515,7.733057,7.801673,7.812264,7.758699,7.553581,7.617033", \ - "7.779140,7.712181,7.773610,7.737862,7.711922,7.633324,7.505459", \ - "8.028768,7.971676,7.941879,7.961580,7.943191,7.857023,7.729192", \ - "8.930819,8.768419,8.765472,8.739714,8.627692,8.406572,8.267245", \ - "10.579840,10.353720,10.297590,10.197950,10.041220,9.957748,9.834063", \ - "13.089900,12.850490,12.674230,12.504170,12.270070,11.975850,11.770170", \ - "16.358230,16.065410,15.826860,15.552360,15.330740,14.925070,14.727730"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.650652,5.872634,6.112121,6.401558,6.788753,7.383137,7.817495", \ - "5.632869,5.884934,6.108608,6.386965,6.756479,7.352001,7.775388", \ - "5.920542,6.130055,6.331854,6.588712,6.952857,7.560582,7.952357", \ - "6.715817,6.915485,7.167850,7.398225,7.715980,8.249748,8.621554", \ - "8.189801,8.287810,8.368211,8.634615,9.096714,9.620985,9.970576", \ - "10.538640,10.598540,10.629090,10.745490,11.040540,11.634150,12.111220", \ - "13.679080,13.746650,13.708840,13.704530,13.947040,14.443690,15.124680"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.134731,3.483894,3.756065,4.074258,4.374458,4.103303,4.203235", \ - "3.099359,3.436664,3.581846,4.066293,4.340808,4.070322,4.356635", \ - "3.180752,3.521839,3.634253,4.111393,4.130705,3.954932,3.883371", \ - "3.985350,4.137956,4.329509,4.528144,4.682597,4.695252,4.385839", \ - "5.512156,5.648582,5.871570,5.904216,5.836326,5.771141,5.856361", \ - "7.600428,7.655889,7.946198,8.166953,8.320108,8.115152,7.930590", \ - "10.164280,10.210730,10.327080,10.840800,11.106960,10.933680,10.806240"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.915930,6.837376,6.824092,6.893924,6.838511,6.662684,6.728129", \ - "6.892235,6.836431,6.882970,6.852147,6.878821,6.749946,6.624639", \ - "7.029616,6.962352,6.976922,6.972324,6.950548,6.869731,6.751278", \ - "7.600387,7.435367,7.440056,7.412881,7.325494,7.083388,6.946445", \ - "8.588840,8.386312,8.261486,8.225899,8.086944,7.985212,7.883643", \ - "10.184240,9.877998,9.747560,9.563229,9.368170,9.076043,8.873380", \ - "12.106940,11.861430,11.605170,11.365980,11.117510,10.742200,10.550170"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.350696,7.588848,7.853845,8.107722,8.479816,9.094832,9.506851", \ - "7.306756,7.572191,7.782370,8.064228,8.428125,9.053597,9.473286", \ - "7.812155,8.035728,8.253725,8.495273,8.835194,9.457276,9.856944", \ - "9.200130,9.486248,9.726517,9.983482,10.300620,10.828980,11.217850", \ - "11.892020,12.075110,12.187900,12.481310,12.947680,13.470890,13.821350", \ - "15.854610,16.025310,16.142340,16.365260,16.763350,17.449860,17.878950", \ - "20.835040,21.130700,21.275370,21.481900,22.035030,22.712040,23.464110"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.110609,4.467732,4.748079,5.069230,5.345770,5.065030,5.178334", \ - "4.057306,4.394511,4.676174,5.035499,5.286526,5.007430,5.313358", \ - "4.264745,4.622629,4.776579,5.213639,5.206985,4.912123,4.962902", \ - "5.636706,5.814719,6.023088,6.217229,6.339098,6.340784,6.041377", \ - "8.179038,8.465673,8.704601,8.790433,8.714177,8.673616,8.676486", \ - "11.547210,11.887380,12.277950,12.735680,13.034500,12.848900,12.658910", \ - "15.580290,15.870370,16.375640,17.297100,18.296070,18.340400,18.087590"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("7.811593,7.733196,7.801739,7.813578,7.758687,7.553671,7.617010", \ - "7.779191,7.712274,7.773653,7.738044,7.712087,7.633400,7.505492", \ - "8.028834,7.971743,7.942069,7.961756,7.944141,7.857113,7.729195", \ - "8.930885,8.768478,8.765277,8.739776,8.630353,8.406684,8.267375", \ - "10.579890,10.353790,10.297600,10.198010,10.041290,9.957751,9.834055", \ - "13.089960,12.850520,12.674370,12.504210,12.270160,11.975920,11.770270", \ - "16.358850,16.065440,15.826920,15.552420,15.330850,14.925120,14.727690"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.906441,7.094731,7.218480,7.328063,7.360258,7.358097,7.360577", \ - "6.874705,7.042934,7.178182,7.285403,7.318685,7.318458,7.324848", \ - "7.380226,7.505062,7.607654,7.691581,7.711762,7.722827,7.722630", \ - "8.738421,8.904159,9.056370,9.138829,9.117723,9.071891,9.054666", \ - "11.242180,11.353350,11.422790,11.548460,11.662690,11.630360,11.565850", \ - "14.995040,15.062690,15.121330,15.230980,15.334920,15.424230,15.389360", \ - "19.743640,19.885260,19.920240,20.020090,20.304740,20.451100,20.602920"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.430166,3.571674,3.595978,3.717504,3.763157,3.447642,3.569533", \ - "3.391615,3.496893,3.526650,3.521187,3.701825,3.384744,3.690021", \ - "3.574063,3.709033,3.699443,3.674100,3.613541,3.278105,3.334157", \ - "4.759874,4.850128,4.857047,4.789987,4.706536,4.671727,4.600902", \ - "7.219324,7.286725,7.393762,7.249295,6.975825,7.069178,6.811327", \ - "10.210830,10.359350,10.733190,10.958140,11.058860,10.827390,10.649330", \ - "13.978850,14.150110,14.410250,15.138570,15.950530,15.807940,15.676680"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.682506,8.661184,8.738566,8.785611,8.776471,8.739734,8.512043", \ - "8.634253,8.631804,8.726373,8.771593,8.752632,8.643019,8.727480", \ - "8.867490,8.854402,8.881347,8.975741,8.960351,8.898278,8.932877", \ - "9.767819,9.706332,9.710178,9.662497,9.606917,9.449720,9.545954", \ - "11.310320,11.185250,11.135540,11.016240,10.941860,10.748160,10.843890", \ - "13.570860,13.396720,13.271910,13.118900,12.974220,12.824200,12.669330", \ - "16.341400,16.113410,15.953990,15.714720,15.508840,15.292320,15.114570"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.906474,7.094747,7.218485,7.328062,7.360255,7.358082,7.360540", \ - "6.874738,7.042949,7.178187,7.285402,7.318679,7.318439,7.324812", \ - "7.380260,7.505079,7.628879,7.691579,7.715931,7.722825,7.722608", \ - "8.738463,8.904187,9.056387,9.138829,9.117722,9.071878,9.054631", \ - "11.242220,11.353370,11.422810,11.548470,11.662690,11.630340,11.565810", \ - "14.995080,15.062720,15.121360,15.230990,15.334920,15.424220,15.389330", \ - "19.743690,19.885290,19.920270,20.020110,20.304740,20.451090,20.602920"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.430175,3.571674,3.595923,3.717511,3.763164,3.447619,3.569517", \ - "3.391628,3.496906,3.526654,3.521185,3.701834,3.384724,3.690023", \ - "3.574074,3.709048,3.699449,3.674098,3.613531,3.278066,3.334120", \ - "4.759880,4.850137,4.857056,4.789990,4.706392,4.671723,4.600891", \ - "7.219344,7.286738,7.393783,7.249293,6.975815,7.069186,6.811319", \ - "10.210850,10.359370,10.733220,10.958150,11.058870,10.827400,10.649340", \ - "13.978890,14.150150,14.410270,15.138600,15.950550,15.807920,15.676670"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.682241,8.660934,8.738287,8.785318,8.776201,8.739331,8.511799", \ - "8.634000,8.631555,8.726145,8.771298,8.752312,8.642718,8.727117", \ - "8.867241,8.854154,8.880668,8.975291,8.960048,8.898017,8.932469", \ - "9.767571,9.706081,9.707200,9.662218,9.606626,9.449369,9.545531", \ - "11.310090,11.185020,11.135320,11.015990,10.941580,10.747840,10.843500", \ - "13.607450,13.396500,13.271700,13.118780,12.973980,12.823650,12.668960", \ - "16.342370,16.113290,15.953870,15.714560,15.508660,15.292090,15.114300"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.906191,7.094525,7.218327,7.327987,7.360242,7.358100,7.360588", \ - "6.874461,7.042731,7.178031,7.285328,7.318670,7.318463,7.324858", \ - "7.379997,7.504869,7.609094,7.691509,7.711749,7.722826,7.722636", \ - "8.738239,8.903996,9.056238,9.138752,9.117704,9.071894,9.054676", \ - "11.242020,11.353210,11.422670,11.548380,11.662670,11.630360,11.565860", \ - "14.994890,15.062560,15.121220,15.230900,15.334880,15.424220,15.389370", \ - "19.743500,19.885130,19.920120,20.020000,20.304680,20.451090,20.602910"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.430133,3.571624,3.595925,3.717450,3.763116,3.447623,3.569512", \ - "3.391581,3.496847,3.526599,3.521137,3.701784,3.384725,3.689994", \ - "3.574027,3.708987,3.699392,3.674049,3.613506,3.278093,3.334147", \ - "4.759825,4.850075,4.856993,4.789936,4.706499,4.671702,4.600882", \ - "7.219247,7.286647,7.393691,7.249237,6.975791,7.069145,6.811308", \ - "10.210740,10.359250,10.733100,10.958060,11.058810,10.827360,10.649300", \ - "13.978750,14.150000,14.410130,15.138470,15.950460,15.807910,15.676650"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.682066,8.660378,8.737979,8.784874,8.775520,8.738519,8.564543", \ - "8.624749,8.631021,8.725119,8.770858,8.751698,8.641237,8.726232", \ - "8.866869,8.853603,8.883683,8.975012,8.959512,8.896011,8.931588", \ - "9.767420,9.705869,9.670159,9.661813,9.606044,9.448639,9.544729", \ - "11.309970,11.184830,11.134910,11.015620,10.941020,10.747120,10.844640", \ - "13.571130,13.396730,13.278010,13.118390,12.973450,12.823120,12.668230", \ - "16.347030,16.112740,15.953130,15.714180,15.508140,15.291400,15.113530"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.906628,7.094890,7.218572,7.328085,7.360287,7.358157,7.360673", \ - "6.874878,7.043063,7.178272,7.285455,7.318732,7.318530,7.324945", \ - "7.380479,7.504710,7.607787,7.691636,7.715966,7.722851,7.722684", \ - "8.738581,8.904283,9.056472,9.138894,9.117766,9.071960,9.054773", \ - "11.242330,11.353470,11.422910,11.548540,11.662770,11.630430,11.565970", \ - "14.995190,15.062830,15.121450,15.231090,15.335000,15.424290,15.389470", \ - "19.743800,19.885400,19.920380,20.020230,20.304830,20.451220,20.602980"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.430163,3.571702,3.596459,3.717489,3.763136,3.447683,3.569555", \ - "3.391602,3.511329,3.526644,3.521198,3.701804,3.384785,3.690007", \ - "3.574063,3.708736,3.699345,3.674116,3.613569,3.278195,3.334238", \ - "4.759822,4.850129,4.857047,4.790000,4.706556,4.671747,4.600702", \ - "7.219370,7.286766,7.393581,7.249325,6.975874,7.069178,6.811363", \ - "10.210910,10.359440,10.733250,10.958190,11.058890,10.827410,10.649350", \ - "13.978950,14.150210,14.410350,15.138650,15.950580,15.808030,15.676730"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.652509,8.656210,8.734056,8.779361,8.767326,8.725949,8.540962", \ - "8.629857,8.626946,8.721493,8.765369,8.743484,8.625481,8.708092", \ - "8.863855,8.849199,8.869990,8.913920,8.951188,8.879525,8.913413", \ - "9.764847,9.702665,9.666251,9.656380,9.597878,9.436275,9.526774", \ - "11.307490,11.181650,11.080950,11.010240,10.932900,10.738250,10.828750", \ - "13.570670,13.394050,13.267480,13.112850,12.965420,12.810670,12.650670", \ - "16.338920,16.108610,15.947920,15.708760,15.500370,15.279280,15.094780"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.906534,7.094834,7.218537,7.328072,7.360278,7.358126,7.360598", \ - "6.874786,7.043005,7.178236,7.285439,7.318717,7.318488,7.324870", \ - "7.380390,7.505126,7.609275,7.691618,7.715951,7.722842,7.722635", \ - "8.738490,8.904218,9.056424,9.138873,9.117760,9.071931,9.054699", \ - "11.242240,11.353410,11.422860,11.548510,11.662740,11.630400,11.565890", \ - "14.995100,15.062750,15.121390,15.231040,15.334970,15.424260,15.389400", \ - "19.743710,19.885330,19.920310,20.020170,20.304800,20.451170,20.602980"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.430124,3.571670,3.596438,3.717465,3.763119,3.447606,3.569492", \ - "3.391570,3.511301,3.526605,3.521151,3.701789,3.384711,3.689981", \ - "3.574028,3.708704,3.699304,3.674069,3.613513,3.278081,3.334128", \ - "4.759777,4.850099,4.857022,4.789963,4.706515,4.671705,4.600646", \ - "7.219323,7.286716,7.393539,7.249279,6.975815,7.069157,6.811304", \ - "10.210840,10.359360,10.733200,10.958140,11.058860,10.827390,10.649320", \ - "13.978880,14.150140,14.410270,15.138590,15.950550,15.807950,15.676690"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.652789,8.656496,8.734399,8.779742,8.767691,8.726367,8.602554", \ - "8.630157,8.627244,8.721851,8.765707,8.743856,8.625953,8.708868", \ - "8.864178,8.849516,8.870382,8.914262,8.951606,8.879985,8.914095", \ - "9.765157,9.702976,9.701763,9.656714,9.598267,9.436697,9.527303", \ - "11.307750,11.181910,11.081250,11.010520,10.933240,10.738730,10.829290", \ - "13.570860,13.394290,13.273550,13.113200,12.965700,12.811070,12.651140", \ - "16.338230,16.108790,15.948090,15.708970,15.500600,15.279620,15.095320"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.906572,7.094856,7.218552,7.328079,7.360284,7.358143,7.360636", \ - "6.874823,7.043027,7.178251,7.285447,7.318726,7.318510,7.324908", \ - "7.380427,7.505148,7.628917,7.691628,7.711792,7.722849,7.722661", \ - "8.738537,8.904251,9.056448,9.138884,9.117765,9.071948,9.054737", \ - "11.242290,11.353440,11.422880,11.548520,11.662760,11.630420,11.565930", \ - "14.995150,15.062800,15.121430,15.231070,15.334990,15.424280,15.389440", \ - "19.743770,19.885370,19.920350,20.020200,20.304820,20.451200,20.602990"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.430142,3.571683,3.596436,3.717476,3.763128,3.447645,3.569527", \ - "3.391585,3.511312,3.526623,3.521174,3.701797,3.384749,3.689999", \ - "3.574045,3.708721,3.699324,3.674092,3.613541,3.278138,3.334186", \ - "4.759800,4.850113,4.857035,4.789982,4.706536,4.671728,4.600679", \ - "7.219348,7.286742,7.393565,7.249303,6.975846,7.069172,6.811339", \ - "10.210880,10.359400,10.733230,10.958170,11.058880,10.827400,10.649340", \ - "13.978920,14.150180,14.410320,15.138630,15.950570,15.807990,15.676720"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.652801,8.656510,8.734397,8.779734,8.767687,8.726486,8.537957", \ - "8.630163,8.627254,8.721843,8.765714,8.743864,8.625948,8.708730", \ - "8.864177,8.849519,8.870385,8.914266,8.951596,8.879980,8.914040", \ - "9.765154,9.702976,9.701614,9.656715,9.598261,9.436704,9.527301", \ - "11.307760,11.181910,11.081250,11.010540,10.933250,10.738710,10.829330", \ - "13.570880,13.394290,13.267730,13.113100,12.965710,12.811050,12.651130", \ - "16.337730,16.108790,15.948100,15.708970,15.500610,15.279610,15.095270"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.906213,7.094534,7.218328,7.327985,7.360237,7.358079,7.360537", \ - "6.874482,7.042739,7.178033,7.285326,7.318662,7.318437,7.324810", \ - "7.380021,7.504880,7.609095,7.691504,7.711736,7.722821,7.722604", \ - "8.738264,8.904013,9.056246,9.138749,9.117701,9.071875,9.054628", \ - "11.242040,11.353220,11.422680,11.548380,11.662660,11.630340,11.565810", \ - "14.994920,15.062570,15.121230,15.230900,15.334880,15.424210,15.389330", \ - "19.743530,19.885150,19.920130,20.020000,20.304680,20.451060,20.602910"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.430136,3.571627,3.595894,3.717454,3.763122,3.447589,3.569486", \ - "3.391589,3.496852,3.526597,3.521127,3.701792,3.384694,3.689991", \ - "3.574033,3.708995,3.699390,3.674039,3.613489,3.278036,3.334090", \ - "4.759824,4.850079,4.856998,4.789933,4.706350,4.671692,4.600860", \ - "7.219259,7.286652,7.393699,7.249228,6.975773,7.069147,6.811286", \ - "10.210750,10.359260,10.733110,10.958060,11.058820,10.827360,10.649300", \ - "13.978770,14.150020,14.410140,15.138480,15.950470,15.807880,15.676640"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.681703,8.660003,8.737582,8.784442,8.775030,8.738011,8.510727", \ - "8.624389,8.630646,8.724730,8.770426,8.751206,8.640651,8.725552", \ - "8.866507,8.853226,8.883240,8.974580,8.959075,8.895430,8.930841", \ - "9.767061,9.705490,9.706180,9.661389,9.605564,9.448052,9.543976", \ - "11.309630,11.184480,11.134540,11.015220,10.940560,10.746560,10.843940", \ - "13.570820,13.396410,13.271120,13.118030,12.973030,12.822600,12.667540", \ - "16.347440,16.112490,15.952860,15.713880,15.507770,15.290940,15.112930"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.906657,7.094907,7.218585,7.328091,7.360294,7.358177,7.360722", \ - "6.874907,7.043082,7.178285,7.285464,7.318743,7.318558,7.324994", \ - "7.380506,7.504727,7.628940,7.691646,7.711816,7.722857,7.722717", \ - "8.738613,8.904305,9.056490,9.138903,9.117770,9.071980,9.054822", \ - "11.242360,11.353500,11.422930,11.548550,11.662790,11.630450,11.566030", \ - "14.995220,15.062860,15.121480,15.231110,15.335020,15.424320,15.389510", \ - "19.743830,19.885430,19.920410,20.020260,20.304850,20.451250,20.602990"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.430179,3.571713,3.596470,3.717498,3.763143,3.447730,3.569593", \ - "3.391613,3.511340,3.526662,3.521221,3.701809,3.384830,3.690022", \ - "3.574076,3.708746,3.699363,3.674140,3.613602,3.278265,3.334307", \ - "4.759842,4.850140,4.857056,4.790017,4.706578,4.671771,4.600736", \ - "7.219388,7.286786,7.393595,7.249347,6.975907,7.069188,6.811398", \ - "10.210940,10.359470,10.733270,10.958220,11.058900,10.827420,10.649360", \ - "13.978980,14.150240,14.410390,15.138680,15.950590,15.808070,15.676760"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("8.652878,8.656597,8.734454,8.779785,8.767757,8.726522,8.605415", \ - "8.630227,8.627331,8.721889,8.765794,8.743960,8.626031,8.708767", \ - "8.864222,8.849581,8.870416,8.914342,8.951736,8.880067,8.914042", \ - "9.765198,9.703029,9.701683,9.656786,9.598327,9.436808,9.527414", \ - "11.307820,11.181990,11.081300,11.010620,10.933320,10.738760,10.829380", \ - "13.570950,13.394330,13.273610,13.113210,12.965800,12.811110,12.651230", \ - "16.338330,16.108830,15.948150,15.709020,15.500670,15.279640,15.095240"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.743293,5.747014,5.803663,5.918501,6.037018,6.013958,5.996313", \ - "5.736716,5.735556,5.711053,5.917376,6.018403,6.000809,5.910883", \ - "5.834782,5.814189,5.840650,5.994072,6.035377,6.072549,5.955966", \ - "6.453795,6.392724,6.367636,6.417581,6.459298,6.429041,6.274629", \ - "7.463873,7.355408,7.295361,7.271266,7.230310,7.128535,6.888052", \ - "8.854502,8.667060,8.596981,8.415061,8.388496,8.150990,8.087420", \ - "10.666910,10.472390,10.329370,10.111260,9.838590,9.579106,9.262813"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.743299,5.709828,5.803620,5.918459,6.036936,6.013025,6.006228", \ - "5.736685,5.735515,5.711012,5.917326,6.018330,6.000700,5.910725", \ - "5.832966,5.814151,5.840599,5.994002,6.035297,6.016863,5.955800", \ - "6.453766,6.387337,6.367585,6.417520,6.459221,6.407633,6.274507", \ - "7.463858,7.355368,7.295323,7.271219,7.230237,7.128449,6.887914", \ - "8.851982,8.666962,8.596949,8.415014,8.388430,8.150908,8.087342", \ - "10.666900,10.472380,10.329350,10.111230,9.838548,9.579045,9.262708"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.743418,5.751970,5.803740,5.918631,6.037171,6.013784,6.045422", \ - "5.736767,5.735619,5.711123,5.917481,6.018556,6.001028,5.911180", \ - "5.833047,5.827740,5.840727,5.948091,6.035529,6.017196,5.956222", \ - "6.453851,6.387441,6.367714,6.417685,6.459447,6.429252,6.413387", \ - "7.463944,7.355466,7.295430,7.271358,7.230447,7.128728,6.888315", \ - "8.852045,8.667025,8.597029,8.415131,8.388608,8.151157,8.087648", \ - "10.666910,10.472400,10.329390,10.111300,9.838666,9.579221,9.263008"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.616361,5.598770,5.668264,5.783352,5.902672,5.879510,5.871529", \ - "5.603553,5.602915,5.602658,5.784728,5.886771,5.873357,5.780030", \ - "5.699333,5.679437,5.708657,5.816059,5.904419,5.898787,5.828865", \ - "6.299422,6.198453,6.236949,6.286426,6.346994,6.282330,6.293357", \ - "7.339235,7.226420,7.183693,7.107660,7.101438,7.004006,6.983548", \ - "8.684632,8.508302,8.469120,8.359699,8.259572,8.026669,7.969232", \ - "10.503660,10.347870,10.209960,9.982148,9.711429,9.458850,9.147449"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.616390,5.598790,5.668316,5.783420,5.902735,5.879521,5.871634", \ - "5.603593,5.602947,5.602710,5.784812,5.886859,5.873462,5.780141", \ - "5.710566,5.689618,5.708577,5.816102,5.904489,5.945660,5.828963", \ - "6.299477,6.198494,6.236983,6.286475,6.347075,6.304296,6.293591", \ - "7.339272,7.226445,7.183752,7.107733,7.101508,7.031985,6.983727", \ - "8.684638,8.508338,8.469153,8.359750,8.259625,8.026782,7.969487", \ - "10.503690,10.347890,10.209970,9.982176,9.711492,9.458976,9.147582"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.616555,5.598979,5.668528,5.783666,5.903047,5.880554,5.872216", \ - "5.603768,5.603138,5.602922,5.785056,5.887172,5.873886,5.780728", \ - "5.699569,5.689815,5.708898,5.816196,5.904797,5.899288,5.829533", \ - "6.299665,6.198695,6.237200,6.286724,6.347385,6.282766,6.294136", \ - "7.339453,7.226639,7.183961,7.107966,7.101801,7.004537,6.984252", \ - "8.684794,8.508507,8.469334,8.359957,8.259885,8.027134,7.969962", \ - "10.503800,10.348010,10.210110,9.982332,9.711698,9.459270,9.148017"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.743311,5.751083,5.803594,5.918459,6.036935,5.949168,5.996207", \ - "5.736649,5.735485,5.710978,5.917308,6.018327,6.000710,5.910737", \ - "5.832929,5.814120,5.840572,5.947908,6.035294,6.016873,5.955794", \ - "6.453729,6.392655,6.367558,6.417502,6.459217,6.407752,6.274508", \ - "7.463827,7.355332,7.295288,7.271193,7.230229,7.128447,6.887912", \ - "8.851942,8.666919,8.596909,8.414982,8.388414,8.150900,8.087370", \ - "10.666850,10.472330,10.329300,10.111190,9.838522,9.579019,9.262687"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.616521,5.598959,5.668470,5.783594,5.902983,5.881035,5.939251", \ - "5.603724,5.603103,5.602861,5.784969,5.887079,5.873777,5.780644", \ - "5.700510,5.679628,5.708825,5.816153,5.904730,5.945986,5.829433", \ - "6.299602,6.198650,6.237164,6.286674,6.347302,6.282588,6.293900", \ - "7.339410,7.226611,7.183895,7.107892,7.101729,7.032220,6.984079", \ - "8.684786,8.508465,8.469298,8.359903,8.259832,8.027018,7.969684", \ - "10.503770,10.347980,10.210090,9.982303,9.711631,9.459133,9.147887"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.709457,5.660995,5.712733,6.020562,7.490478,9.608395,9.972565", \ - "5.695105,5.662116,5.646928,6.023009,7.454248,9.670148,9.936163", \ - "5.797379,5.749166,5.766314,6.070036,7.540717,9.740536,9.982858", \ - "6.455929,6.346928,6.353953,6.670367,8.129422,10.110310,10.246640", \ - "7.518672,7.379864,7.336948,7.567693,8.978347,10.907440,11.028280", \ - "9.013040,8.778479,8.724268,8.832893,10.053810,12.064390,12.275760", \ - "11.011750,10.800920,10.630690,10.567850,11.453080,14.021380,14.097490"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.709393,5.660934,5.712700,6.020526,7.490389,9.607292,9.943722", \ - "5.695089,5.662083,5.646892,6.022978,7.454204,9.670054,9.935936", \ - "5.797358,5.756011,5.766271,6.069993,7.540657,9.740419,9.982703", \ - "6.455908,6.346899,6.353914,6.670327,8.129376,10.110210,10.246520", \ - "7.518650,7.379833,7.336921,7.546747,8.978305,10.907370,11.083170", \ - "9.013017,8.778462,8.724244,8.832868,10.053800,12.064310,12.275700", \ - "11.011740,10.800910,10.630670,10.567830,11.453070,14.021340,14.097400"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.709449,5.661009,5.712791,6.020637,7.490561,9.620836,9.944228", \ - "5.695126,5.662162,5.646985,6.023085,7.454333,9.670363,9.936529", \ - "5.797419,5.766023,5.766372,6.070111,7.540801,9.740718,9.983139", \ - "6.455969,6.346977,6.354011,6.670438,8.129501,10.110490,10.246900", \ - "7.518708,7.379905,7.336997,7.546840,8.978415,10.907640,11.083550", \ - "9.013061,8.778508,8.724301,8.832935,10.053870,12.064520,12.275990", \ - "11.011730,10.800910,10.630690,10.567860,11.453100,14.021460,14.097680"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.694307,5.662418,5.713149,6.021179,7.478397,9.631714,9.955962", \ - "5.695177,5.662467,5.647359,6.023596,7.455041,9.652724,9.972490", \ - "5.797721,5.753999,5.768294,6.070630,7.529168,9.749965,9.989372", \ - "6.456338,6.348216,6.354494,6.671065,8.130346,10.112770,10.267430", \ - "7.518875,7.380390,7.343162,7.567546,8.979282,10.910030,11.094890", \ - "9.013631,8.778915,8.724931,8.833965,10.055260,12.246750,12.299480", \ - "11.015020,10.801930,10.631290,10.568720,11.447960,14.017500,14.103850"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.694327,5.662407,5.713188,6.021193,7.479536,9.606074,9.981284", \ - "5.695245,5.662493,5.647406,6.023632,7.455034,9.652931,9.974563", \ - "5.797770,5.754039,5.765953,6.070654,7.530063,9.749637,9.989759", \ - "6.456391,6.348241,6.354509,6.671062,8.130326,10.112970,10.267480", \ - "7.518912,7.380405,7.343200,7.566825,8.979256,10.910260,11.006590", \ - "9.013636,8.778949,8.724945,8.833958,10.055110,12.247030,12.299560", \ - "11.015010,10.801940,10.631290,10.568700,11.448330,14.017670,14.104100"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.694503,5.662606,5.713403,6.021456,7.479708,9.683721,9.950592", \ - "5.695397,5.662686,5.647617,6.023895,7.455376,9.653339,9.974628", \ - "5.797953,5.754238,5.766159,6.070912,7.530263,9.750119,9.990292", \ - "6.456580,6.348446,6.354732,6.671329,8.130667,10.113370,10.268060", \ - "7.519095,7.380603,7.343416,7.567200,8.979580,10.910640,11.095720", \ - "9.013795,8.779121,8.725133,8.834184,10.055420,12.247360,12.300050", \ - "11.015130,10.802070,10.631430,10.568880,11.448520,14.017960,14.104520"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.709313,5.660853,5.712652,6.020475,7.490248,9.616712,9.943790", \ - "5.694997,5.662035,5.646848,6.022926,7.454127,9.670059,9.936203", \ - "5.797307,5.749087,5.766223,6.069907,7.540572,9.740413,9.982709", \ - "6.455855,6.346847,6.353864,6.670271,8.129294,10.110190,10.246510", \ - "7.518597,7.379778,7.336864,7.546684,8.978219,10.907330,11.083160", \ - "9.012963,8.778407,8.724186,8.832802,10.053700,12.064270,12.275590", \ - "11.011680,10.800850,10.630610,10.567760,11.452970,14.021270,14.097360"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.694476,5.662588,5.713357,6.021428,7.478658,9.606977,9.971425", \ - "5.695347,5.662656,5.647568,6.023850,7.455377,9.653119,9.972647", \ - "5.797896,5.747596,5.766051,6.070917,7.529241,9.750602,9.989872", \ - "6.456519,6.348417,6.354714,6.671328,8.130683,10.113160,10.268010", \ - "7.519051,7.380583,7.343370,7.568022,8.979608,10.910390,11.051350", \ - "9.013785,8.779087,8.725117,8.834186,10.055560,12.247050,12.299940", \ - "11.015110,10.802040,10.631420,10.568890,11.448050,14.017770,14.104250"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.048461,0.051165,0.053937,0.058690,0.066998,0.082267,0.111887", \ - "0.049231,0.051935,0.054708,0.059462,0.067769,0.083037,0.112659", \ - "0.051996,0.054704,0.057475,0.062230,0.070537,0.085804,0.115429", \ - "0.054700,0.057409,0.060181,0.064934,0.073242,0.088511,0.118127", \ - "0.056478,0.059184,0.061956,0.066712,0.075022,0.090292,0.119915", \ - "0.056806,0.059512,0.062284,0.067038,0.075344,0.090614,0.120233", \ - "0.055370,0.058075,0.060850,0.065608,0.073907,0.089180,0.118803"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.046119,0.049250,0.052639,0.058704,0.069686,0.090425,0.131431", \ - "0.046886,0.050017,0.053404,0.059469,0.070452,0.091189,0.132193", \ - "0.049468,0.052599,0.055989,0.062054,0.073036,0.093774,0.134774", \ - "0.051832,0.054965,0.058350,0.064416,0.075398,0.096137,0.137136", \ - "0.053093,0.056226,0.059616,0.065680,0.076655,0.097392,0.138393", \ - "0.052793,0.055927,0.059317,0.065377,0.076356,0.097082,0.138065", \ - "0.050597,0.053734,0.057121,0.063179,0.074138,0.094850,0.135843"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003802,0.005067,0.006538,0.009405,0.015220,0.027265,0.052440", \ - "0.003803,0.005068,0.006537,0.009405,0.015221,0.027264,0.052444", \ - "0.003802,0.005067,0.006535,0.009404,0.015221,0.027264,0.052444", \ - "0.003801,0.005065,0.006538,0.009405,0.015220,0.027264,0.052453", \ - "0.003805,0.005067,0.006539,0.009407,0.015220,0.027263,0.052452", \ - "0.003806,0.005068,0.006538,0.009406,0.015220,0.027270,0.052444", \ - "0.003805,0.005071,0.006541,0.009408,0.015223,0.027267,0.052459"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004463,0.006382,0.008720,0.013181,0.022028,0.040409,0.078528", \ - "0.004463,0.006385,0.008719,0.013182,0.022028,0.040413,0.078535", \ - "0.004465,0.006384,0.008720,0.013184,0.022029,0.040416,0.078519", \ - "0.004471,0.006390,0.008723,0.013187,0.022029,0.040410,0.078529", \ - "0.004480,0.006399,0.008733,0.013187,0.022033,0.040410,0.078521", \ - "0.004505,0.006414,0.008745,0.013205,0.022041,0.040417,0.078528", \ - "0.004539,0.006441,0.008773,0.013223,0.022054,0.040417,0.078531"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.085974,0.089218,0.092642,0.098659,0.109420,0.129893,0.170763", \ - "0.086854,0.090102,0.093525,0.099543,0.110303,0.130778,0.171635", \ - "0.090054,0.093303,0.096724,0.102745,0.113506,0.133984,0.174856", \ - "0.095572,0.098818,0.102243,0.108261,0.119018,0.139486,0.180342", \ - "0.105335,0.108579,0.112001,0.118002,0.128754,0.149224,0.190060", \ - "0.119721,0.122976,0.126406,0.132419,0.143167,0.163605,0.204394", \ - "0.137789,0.141080,0.144520,0.150545,0.161289,0.181712,0.222458"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005447,0.007141,0.009292,0.013477,0.022083,0.040447,0.078523", \ - "0.005444,0.007144,0.009289,0.013476,0.022086,0.040447,0.078519", \ - "0.005445,0.007143,0.009287,0.013477,0.022081,0.040445,0.078523", \ - "0.005444,0.007138,0.009292,0.013477,0.022084,0.040445,0.078526", \ - "0.005451,0.007150,0.009296,0.013480,0.022085,0.040447,0.078527", \ - "0.005555,0.007233,0.009375,0.013545,0.022120,0.040459,0.078527", \ - "0.005722,0.007383,0.009496,0.013642,0.022178,0.040478,0.078535"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.085974,0.089218,0.092642,0.098659,0.109420,0.129894,0.170759", \ - "0.086854,0.090102,0.093525,0.099543,0.110303,0.130779,0.171636", \ - "0.090054,0.093302,0.096724,0.102744,0.113506,0.133984,0.174857", \ - "0.095572,0.098818,0.102242,0.108261,0.119018,0.139486,0.180342", \ - "0.105335,0.108579,0.112001,0.118002,0.128754,0.149223,0.190060", \ - "0.119721,0.122976,0.126406,0.132420,0.143167,0.163605,0.204394", \ - "0.137789,0.141080,0.144521,0.150545,0.161290,0.181712,0.222458"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005447,0.007141,0.009292,0.013477,0.022083,0.040447,0.078526", \ - "0.005444,0.007144,0.009289,0.013476,0.022086,0.040447,0.078519", \ - "0.005445,0.007143,0.009287,0.013474,0.022081,0.040446,0.078523", \ - "0.005444,0.007138,0.009292,0.013477,0.022084,0.040445,0.078526", \ - "0.005451,0.007150,0.009296,0.013480,0.022085,0.040447,0.078527", \ - "0.005555,0.007233,0.009375,0.013543,0.022120,0.040459,0.078527", \ - "0.005722,0.007383,0.009498,0.013642,0.022178,0.040478,0.078535"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.085972,0.089216,0.092641,0.098657,0.109418,0.129892,0.170758", \ - "0.086852,0.090100,0.093523,0.099541,0.110301,0.130777,0.171634", \ - "0.090052,0.093302,0.096722,0.102744,0.113504,0.133983,0.174855", \ - "0.095570,0.098817,0.102243,0.108259,0.119016,0.139485,0.180341", \ - "0.105334,0.108578,0.112000,0.118001,0.128753,0.149223,0.190059", \ - "0.119720,0.122975,0.126405,0.132419,0.143166,0.163604,0.204395", \ - "0.137788,0.141079,0.144520,0.150544,0.161289,0.181711,0.222457"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005447,0.007141,0.009292,0.013477,0.022084,0.040447,0.078526", \ - "0.005444,0.007143,0.009289,0.013476,0.022086,0.040447,0.078519", \ - "0.005445,0.007143,0.009287,0.013476,0.022081,0.040445,0.078523", \ - "0.005444,0.007138,0.009292,0.013477,0.022084,0.040445,0.078526", \ - "0.005450,0.007149,0.009296,0.013480,0.022084,0.040447,0.078527", \ - "0.005555,0.007233,0.009374,0.013543,0.022120,0.040459,0.078527", \ - "0.005722,0.007383,0.009498,0.013642,0.022178,0.040478,0.078535"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.086025,0.089270,0.092692,0.098712,0.109473,0.129950,0.170816", \ - "0.086904,0.090152,0.093572,0.099591,0.110351,0.130832,0.171696", \ - "0.090115,0.093363,0.096786,0.102804,0.113567,0.134044,0.174914", \ - "0.095656,0.098901,0.102325,0.108341,0.119099,0.139569,0.180433", \ - "0.105451,0.108695,0.112118,0.118121,0.128878,0.149348,0.190188", \ - "0.119868,0.123127,0.126557,0.132571,0.143325,0.163767,0.204564", \ - "0.137954,0.141241,0.144685,0.150712,0.161461,0.181892,0.222645"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005443,0.007138,0.009292,0.013474,0.022081,0.040443,0.078525", \ - "0.005441,0.007141,0.009289,0.013475,0.022083,0.040445,0.078522", \ - "0.005442,0.007142,0.009286,0.013472,0.022081,0.040443,0.078520", \ - "0.005442,0.007137,0.009287,0.013474,0.022083,0.040447,0.078527", \ - "0.005449,0.007146,0.009295,0.013480,0.022084,0.040445,0.078527", \ - "0.005553,0.007231,0.009373,0.013541,0.022120,0.040460,0.078527", \ - "0.005714,0.007381,0.009494,0.013639,0.022173,0.040479,0.078535"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.086025,0.089270,0.092694,0.098712,0.109473,0.129950,0.170822", \ - "0.086904,0.090153,0.093571,0.099590,0.110351,0.130831,0.171695", \ - "0.090115,0.093363,0.096785,0.102804,0.113567,0.134044,0.174913", \ - "0.095657,0.098901,0.102323,0.108341,0.119099,0.139569,0.180432", \ - "0.105451,0.108695,0.112118,0.118121,0.128878,0.149348,0.190188", \ - "0.119868,0.123127,0.126558,0.132571,0.143325,0.163767,0.204564", \ - "0.137953,0.141237,0.144683,0.150712,0.161461,0.181892,0.222645"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005443,0.007138,0.009292,0.013474,0.022081,0.040443,0.078523", \ - "0.005441,0.007141,0.009289,0.013475,0.022083,0.040445,0.078522", \ - "0.005442,0.007142,0.009286,0.013472,0.022080,0.040443,0.078520", \ - "0.005441,0.007137,0.009287,0.013474,0.022083,0.040447,0.078527", \ - "0.005449,0.007146,0.009295,0.013480,0.022084,0.040445,0.078527", \ - "0.005553,0.007231,0.009371,0.013541,0.022120,0.040460,0.078527", \ - "0.005716,0.007382,0.009494,0.013638,0.022173,0.040479,0.078535"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.086027,0.089271,0.092694,0.098714,0.109474,0.129950,0.170816", \ - "0.086906,0.090153,0.093573,0.099592,0.110353,0.130832,0.171695", \ - "0.090117,0.093365,0.096787,0.102804,0.113568,0.134045,0.174913", \ - "0.095657,0.098903,0.102324,0.108341,0.119099,0.139569,0.180433", \ - "0.105451,0.108695,0.112118,0.118122,0.128878,0.149348,0.190188", \ - "0.119868,0.123127,0.126557,0.132571,0.143325,0.163767,0.204564", \ - "0.137953,0.141237,0.144683,0.150712,0.161461,0.181892,0.222645"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005443,0.007138,0.009292,0.013474,0.022081,0.040443,0.078525", \ - "0.005441,0.007141,0.009289,0.013475,0.022082,0.040445,0.078522", \ - "0.005442,0.007142,0.009286,0.013472,0.022081,0.040443,0.078520", \ - "0.005441,0.007137,0.009291,0.013474,0.022083,0.040447,0.078527", \ - "0.005449,0.007146,0.009295,0.013480,0.022084,0.040445,0.078527", \ - "0.005553,0.007231,0.009373,0.013539,0.022120,0.040460,0.078527", \ - "0.005716,0.007382,0.009494,0.013638,0.022173,0.040479,0.078535"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.085972,0.089216,0.092640,0.098658,0.109418,0.129892,0.170759", \ - "0.086852,0.090100,0.093523,0.099541,0.110301,0.130777,0.171635", \ - "0.090052,0.093302,0.096722,0.102744,0.113504,0.133983,0.174855", \ - "0.095570,0.098817,0.102243,0.108259,0.119016,0.139485,0.180341", \ - "0.105334,0.108578,0.112000,0.118001,0.128753,0.149223,0.190059", \ - "0.119720,0.122975,0.126405,0.132418,0.143166,0.163603,0.204395", \ - "0.137788,0.141079,0.144520,0.150544,0.161289,0.181711,0.222457"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005447,0.007141,0.009292,0.013477,0.022084,0.040447,0.078526", \ - "0.005444,0.007144,0.009289,0.013476,0.022086,0.040447,0.078519", \ - "0.005445,0.007143,0.009287,0.013474,0.022081,0.040445,0.078523", \ - "0.005444,0.007138,0.009288,0.013477,0.022084,0.040445,0.078526", \ - "0.005450,0.007149,0.009296,0.013480,0.022084,0.040447,0.078527", \ - "0.005555,0.007233,0.009374,0.013545,0.022120,0.040456,0.078527", \ - "0.005722,0.007383,0.009498,0.013642,0.022178,0.040478,0.078535"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.086027,0.089271,0.092694,0.098712,0.109473,0.129950,0.170816", \ - "0.086906,0.090153,0.093573,0.099591,0.110351,0.130831,0.171695", \ - "0.090117,0.093364,0.096786,0.102804,0.113567,0.134044,0.174913", \ - "0.095656,0.098901,0.102324,0.108341,0.119099,0.139569,0.180433", \ - "0.105451,0.108695,0.112118,0.118121,0.128878,0.149348,0.190188", \ - "0.119868,0.123127,0.126557,0.132572,0.143325,0.163767,0.204564", \ - "0.137953,0.141237,0.144683,0.150712,0.161461,0.181892,0.222645"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005443,0.007138,0.009292,0.013474,0.022081,0.040443,0.078525", \ - "0.005441,0.007141,0.009289,0.013475,0.022083,0.040445,0.078522", \ - "0.005442,0.007142,0.009286,0.013472,0.022081,0.040443,0.078520", \ - "0.005442,0.007137,0.009287,0.013474,0.022083,0.040447,0.078527", \ - "0.005449,0.007146,0.009295,0.013480,0.022084,0.040445,0.078527", \ - "0.005553,0.007231,0.009373,0.013539,0.022120,0.040460,0.078527", \ - "0.005716,0.007382,0.009494,0.013638,0.022173,0.040479,0.078535"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.098896,0.101994,0.105332,0.111285,0.122055,0.142671,0.183648", \ - "0.099762,0.102861,0.106199,0.112149,0.122920,0.143535,0.184514", \ - "0.103156,0.106251,0.109595,0.115539,0.126305,0.146919,0.187899", \ - "0.107603,0.110703,0.114037,0.119992,0.130763,0.151376,0.192350", \ - "0.112774,0.115867,0.119209,0.125168,0.135947,0.156557,0.197534", \ - "0.118647,0.121733,0.125077,0.131030,0.141813,0.162418,0.203398", \ - "0.125150,0.128238,0.131589,0.137523,0.148305,0.168903,0.209887"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004408,0.006289,0.008565,0.012917,0.021748,0.040303,0.078476", \ - "0.004403,0.006282,0.008562,0.012920,0.021745,0.040298,0.078475", \ - "0.004398,0.006279,0.008555,0.012916,0.021740,0.040299,0.078475", \ - "0.004395,0.006279,0.008555,0.012910,0.021745,0.040303,0.078476", \ - "0.004393,0.006279,0.008557,0.012913,0.021744,0.040300,0.078481", \ - "0.004387,0.006273,0.008556,0.012915,0.021740,0.040298,0.078476", \ - "0.004392,0.006273,0.008550,0.012904,0.021732,0.040277,0.078474"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.098897,0.101994,0.105332,0.111286,0.122055,0.142672,0.183646", \ - "0.099763,0.102862,0.106199,0.112149,0.122921,0.143535,0.184514", \ - "0.103156,0.106251,0.109596,0.115539,0.126305,0.146919,0.187899", \ - "0.107604,0.110704,0.114037,0.119992,0.130763,0.151377,0.192351", \ - "0.112774,0.115867,0.119209,0.125169,0.135948,0.156557,0.197534", \ - "0.118647,0.121734,0.125077,0.131031,0.141813,0.162418,0.203398", \ - "0.125151,0.128238,0.131589,0.137523,0.148305,0.168903,0.209888"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004408,0.006289,0.008565,0.012917,0.021748,0.040303,0.078474", \ - "0.004403,0.006282,0.008562,0.012920,0.021745,0.040298,0.078475", \ - "0.004398,0.006279,0.008555,0.012914,0.021740,0.040299,0.078475", \ - "0.004395,0.006279,0.008554,0.012910,0.021745,0.040303,0.078476", \ - "0.004393,0.006279,0.008557,0.012913,0.021744,0.040300,0.078481", \ - "0.004387,0.006273,0.008555,0.012915,0.021740,0.040298,0.078476", \ - "0.004392,0.006274,0.008550,0.012904,0.021732,0.040277,0.078474"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.098892,0.101990,0.105328,0.111281,0.122051,0.142668,0.183643", \ - "0.099758,0.102857,0.106195,0.112145,0.122917,0.143532,0.184511", \ - "0.103152,0.106247,0.109592,0.115535,0.126302,0.146915,0.187896", \ - "0.107600,0.110700,0.114033,0.119988,0.130760,0.151373,0.192348", \ - "0.112770,0.115863,0.119206,0.125166,0.135944,0.156554,0.197531", \ - "0.118643,0.121730,0.125074,0.131027,0.141809,0.162415,0.203395", \ - "0.125145,0.128235,0.131586,0.137520,0.148302,0.168900,0.209885"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004408,0.006289,0.008565,0.012917,0.021748,0.040303,0.078473", \ - "0.004402,0.006282,0.008562,0.012920,0.021745,0.040298,0.078475", \ - "0.004398,0.006279,0.008556,0.012914,0.021741,0.040299,0.078475", \ - "0.004395,0.006280,0.008554,0.012910,0.021745,0.040303,0.078476", \ - "0.004393,0.006279,0.008557,0.012910,0.021744,0.040300,0.078481", \ - "0.004389,0.006273,0.008555,0.012916,0.021740,0.040298,0.078476", \ - "0.004389,0.006273,0.008550,0.012904,0.021732,0.040277,0.078474"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.098879,0.101976,0.105314,0.111268,0.122039,0.142656,0.183632", \ - "0.099745,0.102844,0.106182,0.112132,0.122904,0.143520,0.184501", \ - "0.103138,0.106234,0.109578,0.115522,0.126289,0.146904,0.187886", \ - "0.107587,0.110687,0.114020,0.119976,0.130748,0.151362,0.192340", \ - "0.112760,0.115851,0.119194,0.125154,0.135933,0.156543,0.197523", \ - "0.118631,0.121719,0.125063,0.131017,0.141799,0.162406,0.203388", \ - "0.125137,0.128225,0.131577,0.137511,0.148292,0.168893,0.209879"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004407,0.006289,0.008565,0.012917,0.021748,0.040303,0.078473", \ - "0.004403,0.006282,0.008562,0.012920,0.021745,0.040297,0.078475", \ - "0.004398,0.006279,0.008554,0.012914,0.021741,0.040298,0.078475", \ - "0.004394,0.006279,0.008554,0.012910,0.021745,0.040303,0.078473", \ - "0.004393,0.006279,0.008555,0.012911,0.021743,0.040300,0.078481", \ - "0.004388,0.006273,0.008556,0.012916,0.021740,0.040298,0.078476", \ - "0.004392,0.006273,0.008550,0.012904,0.021731,0.040280,0.078476"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.098878,0.101976,0.105314,0.111268,0.122039,0.142656,0.183633", \ - "0.099745,0.102844,0.106182,0.112132,0.122904,0.143520,0.184501", \ - "0.103138,0.106234,0.109578,0.115522,0.126289,0.146904,0.187886", \ - "0.107587,0.110687,0.114022,0.119976,0.130748,0.151362,0.192340", \ - "0.112760,0.115851,0.119194,0.125154,0.135933,0.156543,0.197523", \ - "0.118631,0.121719,0.125063,0.131017,0.141799,0.162406,0.203388", \ - "0.125137,0.128225,0.131577,0.137511,0.148292,0.168893,0.209879"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004407,0.006289,0.008565,0.012917,0.021748,0.040303,0.078477", \ - "0.004403,0.006282,0.008562,0.012920,0.021745,0.040297,0.078475", \ - "0.004398,0.006279,0.008554,0.012916,0.021741,0.040298,0.078475", \ - "0.004394,0.006279,0.008554,0.012910,0.021745,0.040303,0.078473", \ - "0.004393,0.006279,0.008555,0.012911,0.021743,0.040300,0.078481", \ - "0.004388,0.006273,0.008556,0.012916,0.021740,0.040298,0.078476", \ - "0.004392,0.006273,0.008550,0.012904,0.021731,0.040280,0.078476"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.098878,0.101976,0.105314,0.111268,0.122039,0.142656,0.183633", \ - "0.099745,0.102844,0.106182,0.112132,0.122904,0.143520,0.184501", \ - "0.103138,0.106234,0.109578,0.115522,0.126289,0.146904,0.187886", \ - "0.107587,0.110687,0.114020,0.119976,0.130748,0.151362,0.192340", \ - "0.112760,0.115851,0.119194,0.125154,0.135933,0.156543,0.197523", \ - "0.118631,0.121719,0.125063,0.131017,0.141799,0.162406,0.203388", \ - "0.125137,0.128225,0.131577,0.137511,0.148292,0.168893,0.209879"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004407,0.006289,0.008565,0.012917,0.021748,0.040303,0.078474", \ - "0.004403,0.006282,0.008562,0.012920,0.021745,0.040297,0.078475", \ - "0.004398,0.006279,0.008554,0.012914,0.021740,0.040298,0.078475", \ - "0.004394,0.006279,0.008554,0.012910,0.021745,0.040303,0.078473", \ - "0.004393,0.006279,0.008555,0.012911,0.021743,0.040300,0.078481", \ - "0.004388,0.006273,0.008556,0.012916,0.021740,0.040298,0.078476", \ - "0.004392,0.006273,0.008550,0.012904,0.021731,0.040280,0.078476"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.098892,0.101990,0.105328,0.111281,0.122051,0.142668,0.183645", \ - "0.099758,0.102857,0.106195,0.112145,0.122917,0.143532,0.184511", \ - "0.103152,0.106247,0.109592,0.115535,0.126302,0.146915,0.187896", \ - "0.107600,0.110700,0.114033,0.119988,0.130760,0.151373,0.192348", \ - "0.112770,0.115863,0.119206,0.125166,0.135944,0.156554,0.197531", \ - "0.118643,0.121730,0.125074,0.131027,0.141810,0.162415,0.203395", \ - "0.125145,0.128235,0.131586,0.137520,0.148302,0.168900,0.209885"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004408,0.006289,0.008565,0.012917,0.021748,0.040303,0.078476", \ - "0.004402,0.006282,0.008562,0.012920,0.021745,0.040298,0.078475", \ - "0.004398,0.006279,0.008556,0.012914,0.021741,0.040299,0.078475", \ - "0.004395,0.006280,0.008554,0.012910,0.021745,0.040303,0.078476", \ - "0.004393,0.006279,0.008557,0.012910,0.021744,0.040300,0.078481", \ - "0.004389,0.006273,0.008556,0.012916,0.021740,0.040298,0.078476", \ - "0.004389,0.006273,0.008550,0.012904,0.021732,0.040277,0.078474"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.098879,0.101976,0.105314,0.111268,0.122039,0.142656,0.183633", \ - "0.099745,0.102844,0.106182,0.112132,0.122904,0.143520,0.184501", \ - "0.103138,0.106234,0.109578,0.115522,0.126289,0.146904,0.187886", \ - "0.107587,0.110687,0.114020,0.119976,0.130748,0.151362,0.192340", \ - "0.112760,0.115851,0.119194,0.125154,0.135933,0.156543,0.197523", \ - "0.118631,0.121719,0.125063,0.131017,0.141799,0.162406,0.203388", \ - "0.125137,0.128225,0.131577,0.137511,0.148292,0.168893,0.209879"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004407,0.006289,0.008565,0.012917,0.021748,0.040303,0.078477", \ - "0.004403,0.006282,0.008562,0.012920,0.021745,0.040297,0.078475", \ - "0.004398,0.006279,0.008554,0.012916,0.021740,0.040298,0.078475", \ - "0.004394,0.006279,0.008555,0.012910,0.021745,0.040303,0.078473", \ - "0.004393,0.006279,0.008555,0.012911,0.021743,0.040300,0.078481", \ - "0.004388,0.006273,0.008556,0.012916,0.021740,0.040298,0.078476", \ - "0.004392,0.006273,0.008550,0.012904,0.021731,0.040280,0.078476"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016987,0.019667,0.022392,0.027056,0.035182,0.050239,0.079828", \ - "0.017900,0.020581,0.023304,0.027966,0.036093,0.051151,0.080738", \ - "0.021932,0.024583,0.027285,0.031928,0.040044,0.055096,0.084677", \ - "0.028905,0.031721,0.034532,0.039273,0.047422,0.062433,0.091954", \ - "0.036591,0.039689,0.042761,0.047834,0.056351,0.071532,0.100981", \ - "0.045340,0.048712,0.052065,0.057531,0.066504,0.081986,0.111433", \ - "0.055107,0.058758,0.062409,0.068345,0.077919,0.093964,0.123518"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021756,0.024848,0.028193,0.034148,0.044953,0.065620,0.106682", \ - "0.022267,0.025359,0.028704,0.034657,0.045466,0.066130,0.107182", \ - "0.023729,0.026815,0.030150,0.036086,0.046877,0.067537,0.108580", \ - "0.026354,0.029467,0.032821,0.038775,0.049552,0.070155,0.111147", \ - "0.029379,0.032596,0.036031,0.042096,0.052940,0.073506,0.114390", \ - "0.031666,0.035109,0.038716,0.045053,0.056238,0.077043,0.117873", \ - "0.032600,0.036311,0.040153,0.046838,0.058511,0.079919,0.121227"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003684,0.004913,0.006342,0.009152,0.014877,0.027036,0.052416", \ - "0.003683,0.004910,0.006342,0.009154,0.014876,0.027035,0.052424", \ - "0.003701,0.004939,0.006370,0.009178,0.014887,0.027039,0.052415", \ - "0.004692,0.005824,0.007112,0.009694,0.015150,0.027107,0.052422", \ - "0.005956,0.007155,0.008421,0.010891,0.016055,0.027491,0.052465", \ - "0.007428,0.008698,0.009999,0.012396,0.017289,0.028172,0.052684", \ - "0.009194,0.010548,0.011920,0.014316,0.019027,0.029342,0.053096"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004250,0.006159,0.008445,0.012810,0.021685,0.040330,0.078608", \ - "0.004251,0.006159,0.008444,0.012812,0.021689,0.040334,0.078605", \ - "0.004245,0.006157,0.008445,0.012819,0.021687,0.040325,0.078605", \ - "0.004500,0.006380,0.008653,0.012991,0.021785,0.040361,0.078620", \ - "0.005168,0.006965,0.009214,0.013511,0.022154,0.040508,0.078626", \ - "0.006316,0.008027,0.010233,0.014538,0.023109,0.041085,0.078730", \ - "0.007754,0.009425,0.011582,0.015903,0.024492,0.042478,0.079537"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016987,0.019667,0.022392,0.027056,0.035182,0.050239,0.079828", \ - "0.017900,0.020581,0.023304,0.027966,0.036093,0.051151,0.080738", \ - "0.021931,0.024582,0.027285,0.031929,0.040044,0.055096,0.084677", \ - "0.028905,0.031721,0.034532,0.039273,0.047422,0.062433,0.091954", \ - "0.036591,0.039689,0.042761,0.047834,0.056351,0.071532,0.100981", \ - "0.045340,0.048712,0.052065,0.057531,0.066504,0.081986,0.111433", \ - "0.055107,0.058758,0.062409,0.068345,0.077919,0.093964,0.123518"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021756,0.024848,0.028193,0.034148,0.044953,0.065620,0.106682", \ - "0.022267,0.025359,0.028704,0.034657,0.045466,0.066130,0.107182", \ - "0.023729,0.026815,0.030150,0.036086,0.046877,0.067537,0.108580", \ - "0.026354,0.029467,0.032821,0.038775,0.049552,0.070155,0.111147", \ - "0.029379,0.032596,0.036031,0.042096,0.052940,0.073506,0.114390", \ - "0.031666,0.035109,0.038716,0.045053,0.056238,0.077043,0.117873", \ - "0.032600,0.036311,0.040153,0.046838,0.058511,0.079919,0.121227"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003684,0.004913,0.006342,0.009152,0.014877,0.027036,0.052416", \ - "0.003683,0.004910,0.006342,0.009154,0.014876,0.027035,0.052424", \ - "0.003700,0.004940,0.006370,0.009176,0.014887,0.027039,0.052415", \ - "0.004692,0.005824,0.007112,0.009694,0.015150,0.027107,0.052422", \ - "0.005956,0.007155,0.008421,0.010891,0.016055,0.027491,0.052465", \ - "0.007428,0.008698,0.009999,0.012396,0.017289,0.028172,0.052684", \ - "0.009194,0.010548,0.011920,0.014316,0.019027,0.029342,0.053096"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004250,0.006159,0.008445,0.012810,0.021685,0.040330,0.078608", \ - "0.004251,0.006159,0.008444,0.012812,0.021689,0.040334,0.078605", \ - "0.004245,0.006157,0.008443,0.012819,0.021687,0.040325,0.078605", \ - "0.004500,0.006380,0.008653,0.012991,0.021785,0.040361,0.078620", \ - "0.005168,0.006965,0.009214,0.013511,0.022154,0.040508,0.078626", \ - "0.006316,0.008027,0.010233,0.014538,0.023109,0.041085,0.078730", \ - "0.007754,0.009425,0.011582,0.015903,0.024492,0.042478,0.079537"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016987,0.019667,0.022392,0.027056,0.035182,0.050239,0.079828", \ - "0.017900,0.020581,0.023304,0.027966,0.036093,0.051151,0.080738", \ - "0.021932,0.024583,0.027285,0.031928,0.040044,0.055096,0.084677", \ - "0.028905,0.031721,0.034532,0.039273,0.047422,0.062433,0.091954", \ - "0.036591,0.039689,0.042761,0.047834,0.056351,0.071532,0.100981", \ - "0.045340,0.048712,0.052065,0.057531,0.066504,0.081986,0.111433", \ - "0.055107,0.058758,0.062409,0.068345,0.077919,0.093964,0.123518"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021756,0.024848,0.028193,0.034148,0.044953,0.065620,0.106682", \ - "0.022267,0.025359,0.028704,0.034657,0.045466,0.066130,0.107182", \ - "0.023729,0.026815,0.030149,0.036086,0.046877,0.067537,0.108580", \ - "0.026354,0.029467,0.032821,0.038775,0.049552,0.070155,0.111147", \ - "0.029379,0.032596,0.036031,0.042096,0.052940,0.073506,0.114390", \ - "0.031666,0.035109,0.038716,0.045053,0.056238,0.077043,0.117873", \ - "0.032600,0.036311,0.040153,0.046838,0.058511,0.079919,0.121227"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003684,0.004913,0.006342,0.009152,0.014877,0.027036,0.052416", \ - "0.003683,0.004910,0.006342,0.009154,0.014876,0.027035,0.052424", \ - "0.003699,0.004939,0.006370,0.009178,0.014887,0.027039,0.052415", \ - "0.004692,0.005824,0.007112,0.009694,0.015150,0.027107,0.052422", \ - "0.005956,0.007155,0.008421,0.010891,0.016055,0.027491,0.052465", \ - "0.007428,0.008698,0.009999,0.012396,0.017289,0.028172,0.052684", \ - "0.009194,0.010548,0.011920,0.014316,0.019027,0.029342,0.053096"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004250,0.006159,0.008445,0.012810,0.021685,0.040330,0.078608", \ - "0.004251,0.006159,0.008444,0.012812,0.021689,0.040334,0.078605", \ - "0.004245,0.006156,0.008442,0.012819,0.021687,0.040325,0.078605", \ - "0.004500,0.006380,0.008653,0.012991,0.021785,0.040361,0.078620", \ - "0.005168,0.006965,0.009214,0.013511,0.022154,0.040508,0.078626", \ - "0.006316,0.008027,0.010233,0.014538,0.023109,0.041085,0.078730", \ - "0.007754,0.009425,0.011582,0.015903,0.024492,0.042478,0.079537"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016987,0.019666,0.022392,0.027055,0.035181,0.050238,0.079827", \ - "0.017899,0.020580,0.023304,0.027966,0.036093,0.051150,0.080737", \ - "0.021931,0.024582,0.027285,0.031928,0.040044,0.055095,0.084677", \ - "0.028904,0.031717,0.034532,0.039271,0.047421,0.062434,0.091952", \ - "0.036588,0.039689,0.042757,0.047834,0.056350,0.071533,0.100983", \ - "0.045340,0.048715,0.052065,0.057528,0.066504,0.081985,0.111432", \ - "0.055106,0.058758,0.062411,0.068346,0.077921,0.093962,0.123525"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021759,0.024850,0.028195,0.034149,0.044959,0.065622,0.106680", \ - "0.022269,0.025361,0.028704,0.034659,0.045465,0.066130,0.107192", \ - "0.023731,0.026816,0.030149,0.036088,0.046878,0.067539,0.108582", \ - "0.026357,0.029470,0.032822,0.038779,0.049551,0.070152,0.111139", \ - "0.029380,0.032597,0.036032,0.042097,0.052941,0.073506,0.114390", \ - "0.031668,0.035110,0.038718,0.045057,0.056240,0.077044,0.117876", \ - "0.032605,0.036316,0.040157,0.046843,0.058514,0.079920,0.121231"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003685,0.004913,0.006342,0.009152,0.014878,0.027036,0.052417", \ - "0.003683,0.004910,0.006342,0.009154,0.014876,0.027035,0.052424", \ - "0.003701,0.004939,0.006371,0.009178,0.014887,0.027039,0.052415", \ - "0.004692,0.005822,0.007111,0.009694,0.015150,0.027109,0.052426", \ - "0.005961,0.007156,0.008419,0.010891,0.016060,0.027491,0.052465", \ - "0.007428,0.008700,0.010004,0.012400,0.017289,0.028179,0.052685", \ - "0.009194,0.010548,0.011918,0.014316,0.019034,0.029342,0.053096"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004250,0.006159,0.008444,0.012810,0.021693,0.040327,0.078593", \ - "0.004251,0.006158,0.008443,0.012809,0.021686,0.040335,0.078608", \ - "0.004242,0.006159,0.008445,0.012819,0.021688,0.040325,0.078606", \ - "0.004500,0.006380,0.008653,0.012992,0.021787,0.040360,0.078601", \ - "0.005169,0.006965,0.009214,0.013509,0.022155,0.040489,0.078628", \ - "0.006317,0.008028,0.010232,0.014540,0.023108,0.041092,0.078731", \ - "0.007748,0.009427,0.011580,0.015903,0.024495,0.042480,0.079540"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017255,0.020006,0.022812,0.027611,0.036006,0.051400,0.081086", \ - "0.018170,0.020920,0.023723,0.028522,0.036918,0.052316,0.082003", \ - "0.022207,0.024927,0.027708,0.032486,0.040872,0.056266,0.085950", \ - "0.029322,0.032203,0.035091,0.039955,0.048356,0.063689,0.093298", \ - "0.037195,0.040368,0.043524,0.048749,0.057549,0.073027,0.102493", \ - "0.046171,0.049613,0.053028,0.058641,0.067897,0.083602,0.112929", \ - "0.056189,0.059889,0.063582,0.069623,0.079430,0.095432,0.124536"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003831,0.005101,0.006582,0.009483,0.015375,0.027395,0.052433", \ - "0.003829,0.005104,0.006584,0.009483,0.015376,0.027401,0.052432", \ - "0.003845,0.005128,0.006609,0.009506,0.015388,0.027397,0.052434", \ - "0.004865,0.006032,0.007360,0.010019,0.015634,0.027447,0.052429", \ - "0.006206,0.007431,0.008752,0.011310,0.016606,0.027775,0.052433", \ - "0.007726,0.009008,0.010351,0.012836,0.017860,0.028308,0.052513", \ - "0.009495,0.010822,0.012220,0.014697,0.019444,0.028986,0.052563"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017255,0.020006,0.022812,0.027611,0.036006,0.051400,0.081087", \ - "0.018170,0.020920,0.023723,0.028522,0.036918,0.052316,0.082003", \ - "0.022207,0.024927,0.027708,0.032486,0.040872,0.056266,0.085950", \ - "0.029322,0.032203,0.035091,0.039955,0.048356,0.063689,0.093299", \ - "0.037195,0.040368,0.043524,0.048749,0.057549,0.073027,0.102493", \ - "0.046175,0.049613,0.053028,0.058641,0.067897,0.083602,0.112929", \ - "0.056189,0.059889,0.063582,0.069623,0.079430,0.095432,0.124536"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003831,0.005101,0.006582,0.009483,0.015375,0.027397,0.052435", \ - "0.003829,0.005104,0.006584,0.009483,0.015376,0.027401,0.052432", \ - "0.003846,0.005128,0.006609,0.009506,0.015388,0.027397,0.052434", \ - "0.004865,0.006032,0.007360,0.010019,0.015634,0.027447,0.052427", \ - "0.006206,0.007431,0.008752,0.011310,0.016606,0.027775,0.052433", \ - "0.007732,0.009009,0.010351,0.012836,0.017860,0.028308,0.052513", \ - "0.009495,0.010822,0.012220,0.014697,0.019444,0.028986,0.052563"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017255,0.020006,0.022812,0.027611,0.036006,0.051400,0.081087", \ - "0.018170,0.020920,0.023723,0.028522,0.036918,0.052316,0.082003", \ - "0.022207,0.024927,0.027708,0.032486,0.040872,0.056266,0.085950", \ - "0.029322,0.032203,0.035091,0.039955,0.048356,0.063690,0.093299", \ - "0.037195,0.040368,0.043524,0.048749,0.057549,0.073027,0.102493", \ - "0.046175,0.049613,0.053028,0.058641,0.067897,0.083602,0.112929", \ - "0.056189,0.059889,0.063582,0.069623,0.079430,0.095432,0.124536"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003831,0.005101,0.006582,0.009483,0.015375,0.027395,0.052439", \ - "0.003829,0.005104,0.006584,0.009483,0.015376,0.027401,0.052432", \ - "0.003846,0.005126,0.006609,0.009506,0.015388,0.027397,0.052434", \ - "0.004865,0.006032,0.007360,0.010019,0.015634,0.027446,0.052427", \ - "0.006206,0.007431,0.008752,0.011310,0.016606,0.027775,0.052433", \ - "0.007732,0.009009,0.010351,0.012836,0.017860,0.028308,0.052513", \ - "0.009495,0.010822,0.012220,0.014697,0.019444,0.028986,0.052563"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017254,0.020003,0.022810,0.027608,0.036001,0.051398,0.081085", \ - "0.018170,0.020917,0.023720,0.028519,0.036915,0.052313,0.082000", \ - "0.022204,0.024924,0.027705,0.032483,0.040869,0.056261,0.085947", \ - "0.029319,0.032201,0.035087,0.039951,0.048353,0.063688,0.093297", \ - "0.037191,0.040364,0.043519,0.048742,0.057544,0.073020,0.102486", \ - "0.046165,0.049608,0.053027,0.058635,0.067894,0.083597,0.112921", \ - "0.056187,0.059888,0.063580,0.069623,0.079426,0.095428,0.124528"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003832,0.005101,0.006582,0.009483,0.015375,0.027395,0.052443", \ - "0.003833,0.005104,0.006583,0.009482,0.015375,0.027401,0.052432", \ - "0.003845,0.005128,0.006609,0.009506,0.015387,0.027400,0.052434", \ - "0.004865,0.006032,0.007359,0.010021,0.015634,0.027448,0.052427", \ - "0.006200,0.007431,0.008749,0.011310,0.016609,0.027776,0.052432", \ - "0.007724,0.009004,0.010346,0.012837,0.017860,0.028306,0.052512", \ - "0.009497,0.010824,0.012220,0.014696,0.019445,0.028990,0.052562"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016987,0.019666,0.022392,0.027055,0.035181,0.050238,0.079827", \ - "0.017899,0.020580,0.023304,0.027966,0.036093,0.051150,0.080737", \ - "0.021932,0.024582,0.027286,0.031928,0.040044,0.055095,0.084677", \ - "0.028904,0.031717,0.034532,0.039271,0.047421,0.062434,0.091952", \ - "0.036588,0.039689,0.042757,0.047834,0.056350,0.071533,0.100983", \ - "0.045340,0.048715,0.052065,0.057528,0.066504,0.081985,0.111432", \ - "0.055106,0.058758,0.062411,0.068346,0.077921,0.093962,0.123525"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021759,0.024850,0.028195,0.034149,0.044959,0.065622,0.106680", \ - "0.022269,0.025361,0.028704,0.034659,0.045465,0.066132,0.107192", \ - "0.023731,0.026816,0.030149,0.036088,0.046878,0.067539,0.108582", \ - "0.026357,0.029470,0.032822,0.038779,0.049551,0.070152,0.111139", \ - "0.029380,0.032597,0.036032,0.042097,0.052941,0.073506,0.114390", \ - "0.031668,0.035110,0.038718,0.045057,0.056240,0.077044,0.117876", \ - "0.032605,0.036316,0.040157,0.046843,0.058514,0.079920,0.121231"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003685,0.004913,0.006342,0.009152,0.014878,0.027036,0.052417", \ - "0.003683,0.004910,0.006342,0.009154,0.014876,0.027035,0.052424", \ - "0.003699,0.004940,0.006370,0.009178,0.014887,0.027039,0.052415", \ - "0.004692,0.005822,0.007111,0.009694,0.015150,0.027109,0.052426", \ - "0.005961,0.007156,0.008419,0.010891,0.016060,0.027491,0.052465", \ - "0.007428,0.008700,0.010004,0.012400,0.017289,0.028179,0.052685", \ - "0.009194,0.010548,0.011918,0.014316,0.019034,0.029342,0.053096"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004250,0.006159,0.008444,0.012810,0.021693,0.040327,0.078593", \ - "0.004251,0.006158,0.008443,0.012809,0.021686,0.040352,0.078608", \ - "0.004242,0.006159,0.008445,0.012819,0.021688,0.040325,0.078606", \ - "0.004500,0.006380,0.008653,0.012992,0.021787,0.040360,0.078601", \ - "0.005169,0.006965,0.009214,0.013509,0.022155,0.040489,0.078628", \ - "0.006317,0.008028,0.010232,0.014540,0.023108,0.041092,0.078731", \ - "0.007748,0.009427,0.011580,0.015903,0.024495,0.042480,0.079540"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016987,0.019666,0.022392,0.027055,0.035181,0.050238,0.079827", \ - "0.017899,0.020580,0.023304,0.027966,0.036093,0.051150,0.080737", \ - "0.021931,0.024582,0.027285,0.031928,0.040044,0.055095,0.084677", \ - "0.028904,0.031717,0.034532,0.039271,0.047421,0.062434,0.091952", \ - "0.036588,0.039689,0.042757,0.047834,0.056350,0.071533,0.100983", \ - "0.045340,0.048715,0.052065,0.057528,0.066504,0.081985,0.111432", \ - "0.055106,0.058758,0.062411,0.068346,0.077921,0.093962,0.123525"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021759,0.024850,0.028195,0.034149,0.044959,0.065622,0.106680", \ - "0.022269,0.025361,0.028704,0.034659,0.045465,0.066132,0.107192", \ - "0.023731,0.026816,0.030149,0.036088,0.046878,0.067539,0.108582", \ - "0.026357,0.029470,0.032822,0.038779,0.049551,0.070152,0.111139", \ - "0.029380,0.032597,0.036032,0.042097,0.052941,0.073506,0.114390", \ - "0.031668,0.035110,0.038718,0.045057,0.056240,0.077044,0.117876", \ - "0.032605,0.036316,0.040157,0.046843,0.058514,0.079920,0.121231"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003685,0.004913,0.006342,0.009152,0.014878,0.027036,0.052417", \ - "0.003683,0.004910,0.006342,0.009154,0.014876,0.027035,0.052424", \ - "0.003701,0.004939,0.006371,0.009178,0.014887,0.027039,0.052415", \ - "0.004692,0.005822,0.007111,0.009694,0.015150,0.027109,0.052426", \ - "0.005961,0.007156,0.008419,0.010891,0.016060,0.027491,0.052465", \ - "0.007428,0.008700,0.010004,0.012400,0.017289,0.028179,0.052685", \ - "0.009194,0.010548,0.011918,0.014316,0.019034,0.029342,0.053096"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004250,0.006159,0.008444,0.012810,0.021693,0.040327,0.078593", \ - "0.004251,0.006158,0.008443,0.012809,0.021686,0.040352,0.078608", \ - "0.004242,0.006159,0.008445,0.012819,0.021688,0.040325,0.078606", \ - "0.004500,0.006380,0.008653,0.012992,0.021787,0.040360,0.078601", \ - "0.005169,0.006965,0.009214,0.013509,0.022155,0.040489,0.078628", \ - "0.006317,0.008028,0.010232,0.014540,0.023108,0.041092,0.078731", \ - "0.007748,0.009427,0.011580,0.015903,0.024495,0.042480,0.079540"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016987,0.019667,0.022392,0.027056,0.035182,0.050239,0.079828", \ - "0.017900,0.020581,0.023304,0.027966,0.036093,0.051151,0.080738", \ - "0.021932,0.024583,0.027286,0.031928,0.040044,0.055096,0.084677", \ - "0.028905,0.031721,0.034532,0.039273,0.047422,0.062433,0.091954", \ - "0.036591,0.039689,0.042761,0.047834,0.056351,0.071532,0.100981", \ - "0.045340,0.048712,0.052065,0.057531,0.066504,0.081986,0.111433", \ - "0.055107,0.058758,0.062409,0.068345,0.077919,0.093964,0.123518"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021756,0.024848,0.028193,0.034148,0.044953,0.065620,0.106682", \ - "0.022267,0.025359,0.028704,0.034657,0.045466,0.066130,0.107182", \ - "0.023729,0.026815,0.030150,0.036086,0.046877,0.067537,0.108580", \ - "0.026354,0.029467,0.032821,0.038775,0.049552,0.070155,0.111147", \ - "0.029379,0.032596,0.036031,0.042096,0.052940,0.073506,0.114390", \ - "0.031666,0.035109,0.038716,0.045053,0.056238,0.077043,0.117873", \ - "0.032600,0.036311,0.040153,0.046838,0.058511,0.079919,0.121227"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003684,0.004913,0.006342,0.009152,0.014877,0.027036,0.052416", \ - "0.003683,0.004910,0.006342,0.009154,0.014876,0.027035,0.052424", \ - "0.003699,0.004939,0.006370,0.009178,0.014887,0.027039,0.052415", \ - "0.004692,0.005824,0.007112,0.009694,0.015150,0.027107,0.052422", \ - "0.005956,0.007155,0.008421,0.010891,0.016055,0.027491,0.052465", \ - "0.007428,0.008698,0.009999,0.012396,0.017289,0.028172,0.052684", \ - "0.009194,0.010548,0.011920,0.014316,0.019027,0.029342,0.053096"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004250,0.006159,0.008445,0.012810,0.021685,0.040330,0.078608", \ - "0.004251,0.006159,0.008444,0.012812,0.021689,0.040334,0.078605", \ - "0.004245,0.006157,0.008443,0.012819,0.021687,0.040325,0.078605", \ - "0.004500,0.006380,0.008653,0.012991,0.021785,0.040361,0.078620", \ - "0.005168,0.006965,0.009214,0.013511,0.022154,0.040508,0.078626", \ - "0.006316,0.008027,0.010233,0.014538,0.023109,0.041085,0.078730", \ - "0.007754,0.009425,0.011582,0.015903,0.024492,0.042478,0.079537"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016987,0.019666,0.022392,0.027055,0.035181,0.050238,0.079827", \ - "0.017899,0.020580,0.023304,0.027966,0.036093,0.051150,0.080737", \ - "0.021931,0.024582,0.027286,0.031928,0.040044,0.055095,0.084677", \ - "0.028904,0.031717,0.034532,0.039271,0.047421,0.062434,0.091952", \ - "0.036588,0.039689,0.042757,0.047834,0.056350,0.071533,0.100983", \ - "0.045340,0.048715,0.052065,0.057528,0.066504,0.081985,0.111432", \ - "0.055106,0.058758,0.062411,0.068346,0.077921,0.093962,0.123525"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021759,0.024850,0.028195,0.034149,0.044959,0.065622,0.106680", \ - "0.022268,0.025361,0.028706,0.034660,0.045466,0.066130,0.107192", \ - "0.023731,0.026816,0.030149,0.036088,0.046878,0.067539,0.108582", \ - "0.026357,0.029470,0.032822,0.038779,0.049551,0.070152,0.111139", \ - "0.029380,0.032597,0.036032,0.042097,0.052941,0.073506,0.114390", \ - "0.031668,0.035110,0.038718,0.045057,0.056240,0.077044,0.117876", \ - "0.032605,0.036316,0.040157,0.046843,0.058514,0.079920,0.121231"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003685,0.004913,0.006342,0.009152,0.014878,0.027036,0.052417", \ - "0.003683,0.004910,0.006342,0.009154,0.014876,0.027035,0.052424", \ - "0.003701,0.004940,0.006370,0.009178,0.014887,0.027039,0.052415", \ - "0.004692,0.005822,0.007111,0.009694,0.015150,0.027109,0.052426", \ - "0.005961,0.007156,0.008419,0.010891,0.016060,0.027491,0.052465", \ - "0.007428,0.008700,0.010004,0.012400,0.017289,0.028179,0.052685", \ - "0.009194,0.010548,0.011918,0.014316,0.019034,0.029342,0.053096"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004250,0.006159,0.008444,0.012810,0.021693,0.040327,0.078593", \ - "0.004252,0.006158,0.008445,0.012812,0.021685,0.040335,0.078608", \ - "0.004242,0.006159,0.008445,0.012819,0.021688,0.040325,0.078606", \ - "0.004500,0.006380,0.008653,0.012992,0.021787,0.040360,0.078601", \ - "0.005169,0.006965,0.009214,0.013509,0.022155,0.040489,0.078628", \ - "0.006317,0.008028,0.010232,0.014540,0.023108,0.041092,0.078731", \ - "0.007748,0.009427,0.011580,0.015903,0.024495,0.042480,0.079540"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017254,0.020003,0.022810,0.027608,0.036001,0.051398,0.081085", \ - "0.018170,0.020917,0.023720,0.028519,0.036915,0.052313,0.082000", \ - "0.022204,0.024924,0.027705,0.032484,0.040869,0.056261,0.085947", \ - "0.029319,0.032201,0.035087,0.039951,0.048353,0.063688,0.093297", \ - "0.037191,0.040364,0.043519,0.048742,0.057544,0.073020,0.102486", \ - "0.046165,0.049608,0.053027,0.058635,0.067894,0.083597,0.112921", \ - "0.056187,0.059888,0.063580,0.069623,0.079426,0.095428,0.124528"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003832,0.005101,0.006582,0.009483,0.015375,0.027395,0.052443", \ - "0.003833,0.005104,0.006583,0.009482,0.015375,0.027401,0.052432", \ - "0.003845,0.005128,0.006609,0.009506,0.015387,0.027400,0.052434", \ - "0.004865,0.006032,0.007359,0.010021,0.015634,0.027447,0.052427", \ - "0.006200,0.007431,0.008749,0.011310,0.016609,0.027776,0.052432", \ - "0.007724,0.009004,0.010346,0.012837,0.017860,0.028306,0.052512", \ - "0.009497,0.010824,0.012220,0.014696,0.019445,0.028990,0.052563"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017254,0.020003,0.022810,0.027608,0.036001,0.051398,0.081085", \ - "0.018170,0.020917,0.023720,0.028519,0.036915,0.052313,0.082000", \ - "0.022204,0.024924,0.027705,0.032484,0.040869,0.056261,0.085947", \ - "0.029319,0.032201,0.035087,0.039951,0.048353,0.063688,0.093297", \ - "0.037191,0.040364,0.043519,0.048742,0.057544,0.073020,0.102486", \ - "0.046165,0.049608,0.053027,0.058635,0.067894,0.083597,0.112921", \ - "0.056187,0.059888,0.063580,0.069623,0.079426,0.095428,0.124528"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003832,0.005101,0.006582,0.009483,0.015375,0.027395,0.052443", \ - "0.003833,0.005104,0.006583,0.009482,0.015375,0.027401,0.052432", \ - "0.003845,0.005128,0.006608,0.009506,0.015387,0.027397,0.052434", \ - "0.004865,0.006032,0.007359,0.010021,0.015634,0.027447,0.052427", \ - "0.006200,0.007431,0.008749,0.011310,0.016609,0.027780,0.052432", \ - "0.007724,0.009004,0.010346,0.012837,0.017860,0.028306,0.052512", \ - "0.009497,0.010824,0.012220,0.014696,0.019445,0.028990,0.052563"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017255,0.020006,0.022812,0.027611,0.036006,0.051400,0.081086", \ - "0.018170,0.020920,0.023723,0.028522,0.036918,0.052316,0.082003", \ - "0.022207,0.024927,0.027708,0.032486,0.040872,0.056266,0.085950", \ - "0.029322,0.032203,0.035091,0.039955,0.048356,0.063690,0.093299", \ - "0.037195,0.040368,0.043524,0.048749,0.057549,0.073027,0.102493", \ - "0.046175,0.049613,0.053028,0.058641,0.067897,0.083602,0.112929", \ - "0.056189,0.059889,0.063582,0.069623,0.079430,0.095432,0.124536"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003831,0.005101,0.006582,0.009483,0.015375,0.027397,0.052433", \ - "0.003829,0.005104,0.006584,0.009483,0.015376,0.027401,0.052432", \ - "0.003846,0.005128,0.006609,0.009506,0.015387,0.027397,0.052434", \ - "0.004865,0.006032,0.007360,0.010019,0.015634,0.027448,0.052427", \ - "0.006206,0.007431,0.008752,0.011310,0.016606,0.027775,0.052433", \ - "0.007732,0.009009,0.010351,0.012836,0.017860,0.028308,0.052513", \ - "0.009495,0.010822,0.012220,0.014697,0.019444,0.028986,0.052563"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017254,0.020003,0.022810,0.027608,0.036001,0.051398,0.081084", \ - "0.018170,0.020917,0.023720,0.028519,0.036915,0.052313,0.082000", \ - "0.022204,0.024924,0.027705,0.032483,0.040869,0.056261,0.085947", \ - "0.029319,0.032201,0.035087,0.039951,0.048353,0.063688,0.093296", \ - "0.037191,0.040364,0.043519,0.048742,0.057544,0.073020,0.102486", \ - "0.046165,0.049608,0.053027,0.058635,0.067894,0.083597,0.112921", \ - "0.056187,0.059888,0.063580,0.069623,0.079426,0.095428,0.124528"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003832,0.005101,0.006582,0.009483,0.015375,0.027395,0.052436", \ - "0.003833,0.005104,0.006583,0.009482,0.015375,0.027401,0.052432", \ - "0.003844,0.005128,0.006609,0.009506,0.015387,0.027397,0.052434", \ - "0.004865,0.006032,0.007359,0.010021,0.015634,0.027448,0.052429", \ - "0.006200,0.007431,0.008749,0.011310,0.016609,0.027776,0.052432", \ - "0.007724,0.009004,0.010346,0.012837,0.017860,0.028306,0.052512", \ - "0.009497,0.010824,0.012220,0.014696,0.019445,0.028990,0.052562"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017080,0.019755,0.022477,0.027138,0.035265,0.050315,0.079970", \ - "0.017994,0.020667,0.023388,0.028047,0.036176,0.051227,0.080882", \ - "0.022018,0.024663,0.027363,0.032005,0.040124,0.055170,0.084826", \ - "0.029006,0.031815,0.034621,0.039354,0.047505,0.062513,0.092119", \ - "0.036696,0.039789,0.042849,0.047917,0.056435,0.071619,0.101145", \ - "0.045446,0.048813,0.052147,0.057599,0.066570,0.082046,0.111556", \ - "0.055207,0.058848,0.062480,0.068399,0.077959,0.093988,0.123566"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.090522,0.093605,0.096938,0.102853,0.113611,0.134221,0.175218", \ - "0.091012,0.094101,0.097428,0.103346,0.114107,0.134708,0.175705", \ - "0.091783,0.094865,0.098191,0.104113,0.114875,0.135480,0.176484", \ - "0.092980,0.096060,0.099384,0.105308,0.116067,0.136679,0.177672", \ - "0.094833,0.097914,0.101237,0.107163,0.117918,0.138524,0.179526", \ - "0.097313,0.100413,0.103756,0.109706,0.120463,0.141084,0.182074", \ - "0.099242,0.102395,0.105791,0.111817,0.122675,0.143347,0.184365"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003686,0.004908,0.006340,0.009153,0.014878,0.027030,0.052672", \ - "0.003685,0.004907,0.006338,0.009155,0.014876,0.027034,0.052672", \ - "0.003701,0.004934,0.006365,0.009180,0.014890,0.027039,0.052675", \ - "0.004689,0.005811,0.007103,0.009690,0.015149,0.027113,0.052688", \ - "0.005958,0.007134,0.008403,0.010880,0.016050,0.027501,0.052729", \ - "0.007408,0.008668,0.009966,0.012369,0.017285,0.028176,0.052925", \ - "0.009165,0.010498,0.011859,0.014265,0.019005,0.029313,0.053239"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004363,0.006244,0.008522,0.012877,0.021707,0.040313,0.078585", \ - "0.004364,0.006248,0.008519,0.012880,0.021712,0.040308,0.078593", \ - "0.004363,0.006245,0.008522,0.012878,0.021717,0.040300,0.078557", \ - "0.004363,0.006244,0.008522,0.012875,0.021710,0.040312,0.078581", \ - "0.004363,0.006245,0.008522,0.012872,0.021724,0.040307,0.078573", \ - "0.004441,0.006323,0.008600,0.012956,0.021766,0.040322,0.078556", \ - "0.004665,0.006541,0.008831,0.013190,0.021969,0.040466,0.078598"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017080,0.019755,0.022477,0.027138,0.035265,0.050315,0.079970", \ - "0.017994,0.020667,0.023388,0.028047,0.036176,0.051227,0.080882", \ - "0.022018,0.024662,0.027363,0.032005,0.040124,0.055170,0.084826", \ - "0.029006,0.031815,0.034621,0.039354,0.047505,0.062513,0.092119", \ - "0.036696,0.039789,0.042849,0.047917,0.056435,0.071619,0.101145", \ - "0.045446,0.048813,0.052147,0.057599,0.066570,0.082046,0.111556", \ - "0.055207,0.058848,0.062480,0.068399,0.077959,0.093988,0.123566"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.090522,0.093605,0.096938,0.102853,0.113611,0.134221,0.175218", \ - "0.091012,0.094101,0.097428,0.103346,0.114107,0.134708,0.175705", \ - "0.091783,0.094865,0.098191,0.104113,0.114875,0.135480,0.176484", \ - "0.092980,0.096060,0.099384,0.105308,0.116067,0.136679,0.177672", \ - "0.094833,0.097914,0.101237,0.107163,0.117918,0.138523,0.179526", \ - "0.097313,0.100413,0.103756,0.109706,0.120463,0.141085,0.182074", \ - "0.099242,0.102395,0.105791,0.111817,0.122675,0.143347,0.184365"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003686,0.004908,0.006340,0.009153,0.014878,0.027030,0.052672", \ - "0.003685,0.004907,0.006338,0.009155,0.014876,0.027034,0.052672", \ - "0.003701,0.004932,0.006366,0.009180,0.014890,0.027039,0.052675", \ - "0.004689,0.005811,0.007103,0.009690,0.015149,0.027113,0.052688", \ - "0.005958,0.007134,0.008403,0.010880,0.016050,0.027501,0.052729", \ - "0.007408,0.008668,0.009966,0.012369,0.017285,0.028176,0.052925", \ - "0.009165,0.010498,0.011859,0.014265,0.019005,0.029313,0.053239"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004363,0.006244,0.008522,0.012877,0.021707,0.040313,0.078585", \ - "0.004364,0.006248,0.008519,0.012880,0.021712,0.040308,0.078593", \ - "0.004363,0.006245,0.008522,0.012878,0.021717,0.040300,0.078557", \ - "0.004363,0.006244,0.008522,0.012875,0.021710,0.040312,0.078581", \ - "0.004363,0.006245,0.008522,0.012872,0.021724,0.040309,0.078573", \ - "0.004441,0.006323,0.008600,0.012956,0.021766,0.040322,0.078556", \ - "0.004665,0.006541,0.008831,0.013190,0.021969,0.040466,0.078598"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017080,0.019755,0.022477,0.027138,0.035265,0.050315,0.079970", \ - "0.017994,0.020667,0.023388,0.028047,0.036176,0.051227,0.080882", \ - "0.022018,0.024663,0.027363,0.032005,0.040124,0.055170,0.084826", \ - "0.029006,0.031815,0.034621,0.039354,0.047505,0.062513,0.092119", \ - "0.036696,0.039789,0.042849,0.047917,0.056435,0.071619,0.101145", \ - "0.045446,0.048813,0.052147,0.057599,0.066570,0.082046,0.111556", \ - "0.055207,0.058848,0.062480,0.068399,0.077959,0.093988,0.123566"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.090522,0.093605,0.096938,0.102853,0.113611,0.134221,0.175218", \ - "0.091012,0.094101,0.097428,0.103346,0.114107,0.134708,0.175705", \ - "0.091783,0.094865,0.098191,0.104113,0.114875,0.135480,0.176484", \ - "0.092980,0.096060,0.099384,0.105308,0.116067,0.136679,0.177672", \ - "0.094833,0.097914,0.101237,0.107163,0.117918,0.138524,0.179526", \ - "0.097313,0.100413,0.103756,0.109706,0.120463,0.141085,0.182074", \ - "0.099242,0.102395,0.105791,0.111817,0.122675,0.143347,0.184365"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003686,0.004908,0.006340,0.009153,0.014878,0.027030,0.052672", \ - "0.003685,0.004907,0.006338,0.009155,0.014876,0.027034,0.052672", \ - "0.003701,0.004934,0.006366,0.009180,0.014890,0.027039,0.052675", \ - "0.004689,0.005811,0.007103,0.009690,0.015149,0.027113,0.052688", \ - "0.005958,0.007134,0.008403,0.010880,0.016050,0.027501,0.052729", \ - "0.007408,0.008668,0.009966,0.012369,0.017285,0.028176,0.052925", \ - "0.009165,0.010498,0.011859,0.014265,0.019005,0.029313,0.053239"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004363,0.006244,0.008522,0.012877,0.021707,0.040313,0.078585", \ - "0.004364,0.006248,0.008519,0.012880,0.021712,0.040308,0.078593", \ - "0.004363,0.006245,0.008522,0.012878,0.021717,0.040300,0.078557", \ - "0.004363,0.006244,0.008522,0.012875,0.021710,0.040312,0.078581", \ - "0.004363,0.006245,0.008522,0.012872,0.021724,0.040307,0.078573", \ - "0.004441,0.006323,0.008600,0.012956,0.021766,0.040322,0.078556", \ - "0.004665,0.006541,0.008831,0.013190,0.021969,0.040466,0.078598"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017080,0.019755,0.022477,0.027138,0.035265,0.050315,0.079970", \ - "0.017994,0.020667,0.023388,0.028047,0.036176,0.051227,0.080883", \ - "0.022018,0.024663,0.027363,0.032006,0.040124,0.055170,0.084826", \ - "0.029006,0.031815,0.034621,0.039354,0.047506,0.062513,0.092119", \ - "0.036697,0.039789,0.042848,0.047917,0.056435,0.071619,0.101145", \ - "0.045446,0.048813,0.052147,0.057599,0.066570,0.082046,0.111556", \ - "0.055207,0.058848,0.062480,0.068399,0.077959,0.093988,0.123566"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.090533,0.093615,0.096949,0.102863,0.113622,0.134228,0.175229", \ - "0.091023,0.094112,0.097439,0.103356,0.114118,0.134723,0.175713", \ - "0.091794,0.094876,0.098202,0.104124,0.114886,0.135498,0.176495", \ - "0.092993,0.096073,0.099397,0.105320,0.116079,0.136691,0.177682", \ - "0.094845,0.097925,0.101249,0.107175,0.117930,0.138536,0.179538", \ - "0.097326,0.100426,0.103770,0.109719,0.120475,0.141099,0.182087", \ - "0.099256,0.102411,0.105805,0.111832,0.122689,0.143362,0.184379"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003686,0.004908,0.006340,0.009153,0.014878,0.027030,0.052672", \ - "0.003685,0.004907,0.006338,0.009155,0.014876,0.027035,0.052672", \ - "0.003701,0.004934,0.006365,0.009177,0.014890,0.027039,0.052675", \ - "0.004688,0.005811,0.007103,0.009690,0.015149,0.027113,0.052688", \ - "0.005955,0.007134,0.008403,0.010880,0.016050,0.027502,0.052730", \ - "0.007408,0.008668,0.009965,0.012369,0.017285,0.028177,0.052925", \ - "0.009165,0.010498,0.011858,0.014265,0.019005,0.029313,0.053239"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004364,0.006244,0.008523,0.012877,0.021708,0.040314,0.078586", \ - "0.004362,0.006248,0.008519,0.012879,0.021712,0.040302,0.078569", \ - "0.004362,0.006246,0.008522,0.012877,0.021717,0.040299,0.078558", \ - "0.004363,0.006243,0.008521,0.012875,0.021710,0.040300,0.078568", \ - "0.004363,0.006244,0.008522,0.012872,0.021724,0.040307,0.078573", \ - "0.004441,0.006323,0.008600,0.012957,0.021767,0.040321,0.078556", \ - "0.004665,0.006541,0.008831,0.013190,0.021969,0.040464,0.078597"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017348,0.020095,0.022891,0.027669,0.036003,0.051301,0.081023", \ - "0.018261,0.021007,0.023801,0.028579,0.036914,0.052214,0.081936", \ - "0.022292,0.025008,0.027781,0.032540,0.040865,0.056161,0.085886", \ - "0.029415,0.032292,0.035167,0.040011,0.048354,0.063610,0.093284", \ - "0.037288,0.040450,0.043595,0.048799,0.057538,0.072987,0.102579", \ - "0.046240,0.049681,0.053107,0.058718,0.067953,0.083757,0.113359", \ - "0.056232,0.059957,0.063688,0.069784,0.079660,0.096074,0.125776"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003847,0.005100,0.006564,0.009434,0.015255,0.027317,0.052725", \ - "0.003844,0.005100,0.006567,0.009433,0.015256,0.027322,0.052723", \ - "0.003856,0.005126,0.006589,0.009457,0.015268,0.027324,0.052728", \ - "0.004863,0.006013,0.007326,0.009965,0.015523,0.027406,0.052743", \ - "0.006182,0.007399,0.008709,0.011243,0.016497,0.027832,0.052795", \ - "0.007687,0.008985,0.010336,0.012821,0.017837,0.028597,0.053013", \ - "0.009465,0.010863,0.012306,0.014818,0.019683,0.029838,0.053361"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017348,0.020095,0.022891,0.027669,0.036003,0.051301,0.081023", \ - "0.018261,0.021007,0.023801,0.028579,0.036914,0.052214,0.081936", \ - "0.022292,0.025008,0.027781,0.032540,0.040865,0.056161,0.085886", \ - "0.029415,0.032292,0.035167,0.040011,0.048354,0.063610,0.093284", \ - "0.037288,0.040450,0.043595,0.048799,0.057538,0.072987,0.102579", \ - "0.046240,0.049681,0.053107,0.058718,0.067953,0.083757,0.113359", \ - "0.056232,0.059957,0.063688,0.069784,0.079660,0.096074,0.125776"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003847,0.005100,0.006564,0.009434,0.015255,0.027317,0.052727", \ - "0.003844,0.005100,0.006567,0.009433,0.015256,0.027322,0.052723", \ - "0.003856,0.005126,0.006589,0.009456,0.015268,0.027324,0.052728", \ - "0.004863,0.006013,0.007326,0.009965,0.015523,0.027406,0.052743", \ - "0.006182,0.007399,0.008709,0.011243,0.016497,0.027836,0.052791", \ - "0.007687,0.008985,0.010336,0.012821,0.017837,0.028597,0.053013", \ - "0.009465,0.010863,0.012306,0.014818,0.019683,0.029838,0.053361"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017348,0.020095,0.022891,0.027669,0.036003,0.051301,0.081023", \ - "0.018261,0.021007,0.023801,0.028579,0.036914,0.052214,0.081936", \ - "0.022292,0.025008,0.027781,0.032540,0.040865,0.056161,0.085886", \ - "0.029415,0.032292,0.035167,0.040011,0.048354,0.063610,0.093284", \ - "0.037288,0.040450,0.043595,0.048799,0.057538,0.072987,0.102579", \ - "0.046240,0.049681,0.053107,0.058718,0.067953,0.083757,0.113359", \ - "0.056232,0.059957,0.063688,0.069784,0.079660,0.096074,0.125776"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003847,0.005100,0.006564,0.009434,0.015255,0.027316,0.052726", \ - "0.003844,0.005100,0.006567,0.009433,0.015256,0.027322,0.052723", \ - "0.003856,0.005126,0.006589,0.009457,0.015268,0.027324,0.052728", \ - "0.004863,0.006013,0.007326,0.009965,0.015523,0.027406,0.052743", \ - "0.006182,0.007399,0.008709,0.011243,0.016497,0.027832,0.052791", \ - "0.007687,0.008985,0.010336,0.012821,0.017837,0.028597,0.053013", \ - "0.009465,0.010863,0.012306,0.014818,0.019683,0.029838,0.053361"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017348,0.020095,0.022891,0.027669,0.036003,0.051301,0.081023", \ - "0.018261,0.021007,0.023801,0.028579,0.036914,0.052214,0.081937", \ - "0.022292,0.025008,0.027781,0.032540,0.040865,0.056161,0.085886", \ - "0.029415,0.032291,0.035167,0.040011,0.048354,0.063610,0.093284", \ - "0.037288,0.040450,0.043595,0.048799,0.057539,0.072987,0.102579", \ - "0.046240,0.049681,0.053107,0.058718,0.067953,0.083757,0.113358", \ - "0.056232,0.059957,0.063688,0.069784,0.079660,0.096074,0.125776"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003847,0.005100,0.006563,0.009434,0.015255,0.027317,0.052726", \ - "0.003843,0.005100,0.006567,0.009433,0.015256,0.027322,0.052723", \ - "0.003856,0.005126,0.006589,0.009457,0.015268,0.027324,0.052728", \ - "0.004863,0.006013,0.007326,0.009965,0.015523,0.027407,0.052743", \ - "0.006182,0.007399,0.008707,0.011243,0.016497,0.027832,0.052794", \ - "0.007687,0.008985,0.010336,0.012821,0.017837,0.028599,0.053013", \ - "0.009465,0.010863,0.012306,0.014818,0.019683,0.029838,0.053362"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017080,0.019755,0.022477,0.027138,0.035265,0.050315,0.079970", \ - "0.017994,0.020667,0.023388,0.028047,0.036176,0.051227,0.080883", \ - "0.022018,0.024663,0.027363,0.032005,0.040124,0.055170,0.084826", \ - "0.029006,0.031815,0.034621,0.039354,0.047506,0.062513,0.092119", \ - "0.036697,0.039789,0.042848,0.047917,0.056435,0.071619,0.101145", \ - "0.045446,0.048813,0.052147,0.057599,0.066570,0.082046,0.111556", \ - "0.055207,0.058848,0.062480,0.068399,0.077959,0.093988,0.123566"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.090533,0.093615,0.096949,0.102863,0.113622,0.134228,0.175229", \ - "0.091023,0.094112,0.097439,0.103356,0.114118,0.134723,0.175713", \ - "0.091794,0.094876,0.098202,0.104124,0.114886,0.135498,0.176495", \ - "0.092993,0.096073,0.099397,0.105320,0.116079,0.136691,0.177682", \ - "0.094845,0.097925,0.101249,0.107175,0.117930,0.138535,0.179538", \ - "0.097326,0.100426,0.103770,0.109719,0.120475,0.141099,0.182087", \ - "0.099256,0.102411,0.105805,0.111832,0.122689,0.143362,0.184379"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003686,0.004908,0.006340,0.009153,0.014878,0.027030,0.052672", \ - "0.003685,0.004907,0.006338,0.009155,0.014876,0.027035,0.052672", \ - "0.003701,0.004934,0.006365,0.009180,0.014890,0.027039,0.052675", \ - "0.004688,0.005811,0.007103,0.009690,0.015149,0.027113,0.052688", \ - "0.005955,0.007134,0.008403,0.010880,0.016050,0.027502,0.052730", \ - "0.007408,0.008668,0.009965,0.012369,0.017285,0.028177,0.052925", \ - "0.009165,0.010498,0.011858,0.014265,0.019005,0.029313,0.053239"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004364,0.006244,0.008523,0.012877,0.021708,0.040314,0.078586", \ - "0.004362,0.006248,0.008519,0.012879,0.021712,0.040302,0.078569", \ - "0.004362,0.006246,0.008522,0.012877,0.021717,0.040299,0.078558", \ - "0.004363,0.006243,0.008521,0.012875,0.021710,0.040300,0.078568", \ - "0.004363,0.006244,0.008522,0.012872,0.021724,0.040309,0.078573", \ - "0.004441,0.006323,0.008600,0.012957,0.021767,0.040321,0.078556", \ - "0.004665,0.006541,0.008831,0.013190,0.021969,0.040464,0.078597"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017080,0.019755,0.022477,0.027138,0.035265,0.050315,0.079970", \ - "0.017994,0.020667,0.023388,0.028047,0.036176,0.051227,0.080883", \ - "0.022018,0.024663,0.027363,0.032005,0.040124,0.055170,0.084826", \ - "0.029006,0.031815,0.034621,0.039354,0.047506,0.062513,0.092119", \ - "0.036697,0.039789,0.042848,0.047917,0.056435,0.071619,0.101145", \ - "0.045446,0.048813,0.052147,0.057599,0.066570,0.082046,0.111556", \ - "0.055207,0.058848,0.062480,0.068399,0.077959,0.093988,0.123566"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.090533,0.093615,0.096949,0.102863,0.113622,0.134228,0.175229", \ - "0.091023,0.094112,0.097439,0.103356,0.114118,0.134723,0.175713", \ - "0.091794,0.094876,0.098202,0.104124,0.114886,0.135498,0.176495", \ - "0.092993,0.096073,0.099397,0.105320,0.116079,0.136691,0.177682", \ - "0.094845,0.097925,0.101249,0.107175,0.117930,0.138536,0.179538", \ - "0.097326,0.100426,0.103770,0.109719,0.120475,0.141097,0.182087", \ - "0.099256,0.102411,0.105805,0.111832,0.122689,0.143362,0.184379"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003686,0.004908,0.006340,0.009153,0.014878,0.027030,0.052672", \ - "0.003685,0.004907,0.006338,0.009155,0.014876,0.027035,0.052672", \ - "0.003701,0.004934,0.006365,0.009180,0.014890,0.027039,0.052675", \ - "0.004688,0.005811,0.007103,0.009690,0.015149,0.027113,0.052688", \ - "0.005955,0.007134,0.008403,0.010880,0.016050,0.027502,0.052730", \ - "0.007408,0.008668,0.009965,0.012369,0.017285,0.028177,0.052925", \ - "0.009165,0.010498,0.011858,0.014265,0.019005,0.029313,0.053239"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004364,0.006244,0.008523,0.012877,0.021708,0.040314,0.078586", \ - "0.004362,0.006248,0.008519,0.012879,0.021712,0.040302,0.078569", \ - "0.004362,0.006246,0.008522,0.012877,0.021717,0.040299,0.078558", \ - "0.004363,0.006243,0.008521,0.012875,0.021710,0.040300,0.078568", \ - "0.004363,0.006244,0.008522,0.012872,0.021724,0.040307,0.078573", \ - "0.004441,0.006323,0.008600,0.012957,0.021767,0.040322,0.078556", \ - "0.004665,0.006541,0.008831,0.013190,0.021969,0.040464,0.078597"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017080,0.019755,0.022477,0.027138,0.035265,0.050315,0.079970", \ - "0.017994,0.020667,0.023388,0.028047,0.036176,0.051227,0.080882", \ - "0.022018,0.024663,0.027363,0.032005,0.040124,0.055170,0.084826", \ - "0.029006,0.031815,0.034621,0.039354,0.047505,0.062513,0.092119", \ - "0.036696,0.039789,0.042849,0.047917,0.056435,0.071619,0.101145", \ - "0.045446,0.048813,0.052147,0.057599,0.066570,0.082046,0.111556", \ - "0.055207,0.058848,0.062480,0.068399,0.077959,0.093988,0.123566"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.090522,0.093605,0.096938,0.102853,0.113611,0.134221,0.175218", \ - "0.091012,0.094101,0.097428,0.103346,0.114107,0.134708,0.175705", \ - "0.091783,0.094865,0.098191,0.104113,0.114875,0.135480,0.176484", \ - "0.092980,0.096060,0.099384,0.105308,0.116067,0.136679,0.177672", \ - "0.094833,0.097914,0.101237,0.107163,0.117918,0.138524,0.179526", \ - "0.097313,0.100413,0.103756,0.109706,0.120463,0.141085,0.182074", \ - "0.099242,0.102395,0.105791,0.111817,0.122675,0.143347,0.184365"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003686,0.004908,0.006340,0.009153,0.014878,0.027030,0.052672", \ - "0.003685,0.004907,0.006338,0.009155,0.014876,0.027034,0.052672", \ - "0.003701,0.004934,0.006365,0.009180,0.014890,0.027039,0.052675", \ - "0.004689,0.005811,0.007103,0.009690,0.015149,0.027113,0.052688", \ - "0.005958,0.007134,0.008403,0.010880,0.016050,0.027501,0.052729", \ - "0.007408,0.008668,0.009966,0.012369,0.017285,0.028176,0.052925", \ - "0.009165,0.010498,0.011859,0.014265,0.019005,0.029313,0.053239"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004363,0.006244,0.008522,0.012877,0.021707,0.040313,0.078585", \ - "0.004364,0.006248,0.008519,0.012880,0.021712,0.040308,0.078593", \ - "0.004363,0.006245,0.008522,0.012878,0.021717,0.040300,0.078557", \ - "0.004363,0.006244,0.008522,0.012875,0.021710,0.040312,0.078581", \ - "0.004363,0.006245,0.008522,0.012872,0.021724,0.040307,0.078573", \ - "0.004441,0.006323,0.008600,0.012956,0.021766,0.040322,0.078556", \ - "0.004665,0.006541,0.008831,0.013190,0.021969,0.040466,0.078598"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017080,0.019755,0.022477,0.027138,0.035265,0.050315,0.079970", \ - "0.017994,0.020667,0.023388,0.028047,0.036176,0.051227,0.080883", \ - "0.022018,0.024663,0.027363,0.032005,0.040124,0.055170,0.084826", \ - "0.029006,0.031815,0.034621,0.039354,0.047506,0.062513,0.092119", \ - "0.036697,0.039789,0.042848,0.047917,0.056435,0.071619,0.101145", \ - "0.045446,0.048813,0.052147,0.057599,0.066570,0.082046,0.111556", \ - "0.055207,0.058848,0.062480,0.068399,0.077959,0.093988,0.123566"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.090533,0.093615,0.096949,0.102863,0.113622,0.134228,0.175229", \ - "0.091023,0.094112,0.097439,0.103356,0.114118,0.134723,0.175713", \ - "0.091794,0.094876,0.098202,0.104124,0.114886,0.135498,0.176495", \ - "0.092993,0.096073,0.099397,0.105320,0.116079,0.136691,0.177682", \ - "0.094845,0.097925,0.101249,0.107175,0.117930,0.138536,0.179538", \ - "0.097326,0.100426,0.103770,0.109719,0.120475,0.141099,0.182087", \ - "0.099256,0.102411,0.105805,0.111832,0.122689,0.143362,0.184379"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003686,0.004908,0.006340,0.009153,0.014878,0.027030,0.052672", \ - "0.003685,0.004907,0.006338,0.009155,0.014876,0.027035,0.052672", \ - "0.003701,0.004934,0.006365,0.009180,0.014890,0.027039,0.052675", \ - "0.004688,0.005811,0.007103,0.009690,0.015149,0.027113,0.052688", \ - "0.005955,0.007134,0.008403,0.010880,0.016050,0.027502,0.052730", \ - "0.007408,0.008668,0.009965,0.012369,0.017285,0.028177,0.052925", \ - "0.009165,0.010498,0.011858,0.014265,0.019005,0.029313,0.053239"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004364,0.006244,0.008523,0.012877,0.021708,0.040314,0.078586", \ - "0.004362,0.006248,0.008519,0.012879,0.021712,0.040302,0.078569", \ - "0.004362,0.006246,0.008522,0.012877,0.021717,0.040299,0.078558", \ - "0.004363,0.006243,0.008521,0.012875,0.021710,0.040300,0.078568", \ - "0.004363,0.006244,0.008522,0.012872,0.021724,0.040307,0.078573", \ - "0.004441,0.006323,0.008600,0.012957,0.021767,0.040321,0.078556", \ - "0.004665,0.006541,0.008831,0.013190,0.021969,0.040464,0.078597"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017348,0.020095,0.022891,0.027669,0.036003,0.051301,0.081022", \ - "0.018261,0.021007,0.023801,0.028579,0.036914,0.052214,0.081937", \ - "0.022292,0.025010,0.027781,0.032540,0.040865,0.056161,0.085886", \ - "0.029415,0.032291,0.035167,0.040011,0.048354,0.063610,0.093284", \ - "0.037288,0.040450,0.043595,0.048799,0.057539,0.072987,0.102579", \ - "0.046240,0.049681,0.053107,0.058718,0.067953,0.083757,0.113358", \ - "0.056232,0.059957,0.063688,0.069784,0.079660,0.096074,0.125776"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003847,0.005100,0.006563,0.009434,0.015255,0.027317,0.052726", \ - "0.003843,0.005100,0.006567,0.009433,0.015256,0.027322,0.052723", \ - "0.003856,0.005124,0.006589,0.009456,0.015268,0.027324,0.052728", \ - "0.004863,0.006013,0.007326,0.009965,0.015523,0.027407,0.052743", \ - "0.006182,0.007399,0.008707,0.011243,0.016497,0.027836,0.052792", \ - "0.007687,0.008985,0.010336,0.012821,0.017837,0.028599,0.053013", \ - "0.009465,0.010863,0.012306,0.014818,0.019683,0.029838,0.053362"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017348,0.020095,0.022891,0.027669,0.036003,0.051301,0.081022", \ - "0.018261,0.021007,0.023801,0.028579,0.036914,0.052214,0.081937", \ - "0.022292,0.025009,0.027781,0.032540,0.040865,0.056161,0.085886", \ - "0.029415,0.032291,0.035167,0.040011,0.048354,0.063610,0.093284", \ - "0.037288,0.040450,0.043595,0.048799,0.057539,0.072987,0.102579", \ - "0.046240,0.049681,0.053107,0.058718,0.067953,0.083757,0.113358", \ - "0.056232,0.059957,0.063688,0.069784,0.079660,0.096074,0.125776"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003847,0.005100,0.006563,0.009434,0.015255,0.027316,0.052725", \ - "0.003843,0.005100,0.006567,0.009433,0.015256,0.027322,0.052723", \ - "0.003856,0.005127,0.006589,0.009457,0.015268,0.027324,0.052728", \ - "0.004863,0.006013,0.007326,0.009965,0.015523,0.027407,0.052743", \ - "0.006182,0.007399,0.008707,0.011243,0.016497,0.027836,0.052794", \ - "0.007687,0.008985,0.010336,0.012821,0.017837,0.028599,0.053013", \ - "0.009465,0.010863,0.012306,0.014818,0.019683,0.029838,0.053362"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017348,0.020095,0.022891,0.027669,0.036003,0.051301,0.081022", \ - "0.018261,0.021007,0.023801,0.028579,0.036914,0.052214,0.081936", \ - "0.022292,0.025009,0.027781,0.032540,0.040865,0.056161,0.085886", \ - "0.029415,0.032292,0.035167,0.040011,0.048354,0.063610,0.093284", \ - "0.037288,0.040450,0.043595,0.048799,0.057538,0.072987,0.102579", \ - "0.046240,0.049681,0.053107,0.058718,0.067953,0.083757,0.113359", \ - "0.056232,0.059957,0.063688,0.069784,0.079660,0.096074,0.125776"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003847,0.005100,0.006564,0.009434,0.015255,0.027317,0.052725", \ - "0.003844,0.005100,0.006567,0.009433,0.015256,0.027322,0.052723", \ - "0.003856,0.005124,0.006589,0.009457,0.015268,0.027324,0.052728", \ - "0.004863,0.006013,0.007326,0.009965,0.015523,0.027406,0.052743", \ - "0.006182,0.007399,0.008709,0.011243,0.016497,0.027836,0.052795", \ - "0.007687,0.008985,0.010336,0.012821,0.017837,0.028597,0.053013", \ - "0.009465,0.010863,0.012306,0.014818,0.019683,0.029838,0.053361"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017348,0.020095,0.022891,0.027669,0.036003,0.051301,0.081023", \ - "0.018261,0.021007,0.023801,0.028579,0.036914,0.052214,0.081937", \ - "0.022292,0.025009,0.027781,0.032540,0.040865,0.056161,0.085886", \ - "0.029415,0.032291,0.035167,0.040011,0.048354,0.063610,0.093284", \ - "0.037288,0.040450,0.043595,0.048799,0.057539,0.072987,0.102579", \ - "0.046240,0.049681,0.053107,0.058718,0.067953,0.083757,0.113358", \ - "0.056232,0.059957,0.063688,0.069784,0.079660,0.096074,0.125776"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003847,0.005100,0.006563,0.009434,0.015255,0.027317,0.052726", \ - "0.003843,0.005100,0.006567,0.009433,0.015256,0.027322,0.052723", \ - "0.003856,0.005127,0.006589,0.009457,0.015268,0.027324,0.052728", \ - "0.004863,0.006013,0.007326,0.009965,0.015523,0.027407,0.052743", \ - "0.006182,0.007399,0.008707,0.011243,0.016497,0.027836,0.052791", \ - "0.007687,0.008985,0.010336,0.012821,0.017837,0.028599,0.053013", \ - "0.009465,0.010863,0.012306,0.014818,0.019683,0.029838,0.053362"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.066564,7.975019,7.920567,7.871932,7.848382,7.811216,7.815338", \ - "8.058196,7.973368,7.888914,7.877844,7.845818,7.807228,7.810487", \ - "8.164922,8.068541,8.014342,7.941586,7.942938,7.911935,7.918860", \ - "8.534332,8.438967,8.376071,8.326116,8.292844,8.273471,8.265939", \ - "9.307933,9.211089,9.164392,9.090792,9.095804,9.030482,9.072114", \ - "10.546080,10.442070,10.404590,10.325450,10.300160,10.303610,10.092360", \ - "12.060280,12.009920,12.002370,11.996700,11.949900,11.984420,11.978700"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.143543,8.074997,8.065872,8.064103,8.113062,8.101840,8.103641", \ - "8.136551,8.071563,8.061332,8.058933,8.107863,8.085600,8.025727", \ - "8.227279,8.157676,8.152118,8.142165,8.205198,8.202232,8.182283", \ - "8.616158,8.533167,8.540579,8.533163,8.558292,8.579555,8.537531", \ - "9.407827,9.355106,9.345558,9.344690,9.391392,9.388543,9.352403", \ - "10.702000,10.628700,10.637520,10.635140,10.680880,10.646930,10.568820", \ - "12.230710,12.192630,12.232830,12.291660,12.443560,12.458220,12.437640"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.882036,6.826038,6.902381,6.927747,6.839661,6.814884,6.657796", \ - "6.892807,6.818511,6.878259,6.842099,6.807592,6.710592,6.544622", \ - "7.030205,6.959180,6.995685,7.005806,6.946692,6.830425,6.687912", \ - "7.604275,7.432467,7.435381,7.403074,7.353945,7.235478,7.176163", \ - "8.589313,8.385609,8.255326,8.224989,8.078337,7.839373,7.805040", \ - "10.128660,9.875443,9.763918,9.589291,9.358748,9.056485,8.792094", \ - "12.107540,11.856020,11.621750,11.397260,11.101140,10.699990,10.459210"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.881792,6.825781,6.902109,6.927453,6.838731,6.814413,6.648909", \ - "6.892563,6.818251,6.877992,6.841801,6.807255,6.710179,6.544066", \ - "7.029965,6.959045,6.995468,6.962314,6.946371,6.829603,6.664124", \ - "7.604039,7.432225,7.434928,7.402793,7.353594,7.235104,7.175697", \ - "8.589092,8.385376,8.255081,8.224725,8.078008,7.839091,7.804512", \ - "10.128420,9.875223,9.763694,9.588962,9.358463,9.055937,8.795704", \ - "12.107470,11.855840,11.597580,11.397060,11.100790,10.699690,10.458850"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.881792,6.825789,6.902274,6.927621,6.874055,6.814574,6.649137", \ - "6.892564,6.818379,6.877999,6.841996,6.807427,6.710370,6.544304", \ - "7.029953,6.959106,6.994778,7.004628,6.945897,6.830218,6.688051", \ - "7.604193,7.432266,7.437921,7.402968,7.352533,7.235276,7.175805", \ - "8.589136,8.385441,8.255244,8.224732,8.079789,7.839046,7.804652", \ - "10.128700,9.875428,9.763736,9.589105,9.358726,9.055985,8.791809", \ - "12.107210,11.855870,11.597730,11.397140,11.100960,10.699860,10.459030"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("7.814800,7.724771,7.796385,7.820365,7.741657,7.704610,7.536393", \ - "7.778902,7.706992,7.777047,7.729919,7.737551,7.702267,7.423740", \ - "8.028643,7.949515,7.969545,7.950951,7.918598,7.816391,7.647825", \ - "8.931266,8.764920,8.759282,8.729039,8.686150,8.557822,8.184071", \ - "10.579530,10.350060,10.297960,10.123200,10.084000,9.953966,9.753664", \ - "13.093190,12.802030,12.667330,12.492590,12.265840,11.962700,11.687200", \ - "16.349650,16.060220,15.787130,15.539540,15.310000,15.074180,14.631810"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("7.815078,7.725074,7.796613,7.820745,7.742062,7.705139,7.545203", \ - "7.779187,7.707106,7.777168,7.730229,7.737860,7.702568,7.424458", \ - "8.028947,7.949817,7.969880,7.951269,7.971686,7.816842,7.648509", \ - "8.931476,8.765209,8.759677,8.729373,8.684723,8.558274,8.184584", \ - "10.579800,10.350310,10.298240,10.123470,10.084390,9.954450,9.754180", \ - "13.093400,12.802290,12.667480,12.492880,12.266130,11.963050,11.687650", \ - "16.359000,16.057870,15.821390,15.539620,15.310170,15.074570,14.632360"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("7.814975,7.724924,7.796610,7.820629,7.742224,7.705068,7.537061", \ - "7.779179,7.707084,7.778767,7.730182,7.712302,7.702691,7.424309", \ - "8.028765,7.949675,7.969531,7.951270,7.918878,7.816823,7.648444", \ - "8.931471,8.764681,8.759826,8.729355,8.686908,8.558253,8.184578", \ - "10.579780,10.350330,10.298270,10.123470,10.084340,9.954420,9.754220", \ - "13.093420,12.802290,12.667590,12.492800,12.266140,11.963080,11.687660", \ - "16.358260,16.057880,15.821400,15.539620,15.310290,15.074550,14.632330"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.881475,6.825452,6.901913,6.927220,6.874083,6.814060,6.648325", \ - "6.892245,6.818041,6.877636,6.841593,6.806954,6.709793,6.543520", \ - "7.029624,6.958759,6.994442,6.961983,6.945500,6.829384,6.686782", \ - "7.603862,7.431924,7.432271,7.402564,7.352322,7.234711,7.175053", \ - "8.588813,8.385102,8.254883,8.224348,8.079274,7.838482,7.803971", \ - "10.128370,9.875098,9.763391,9.588814,9.358280,9.033959,8.791095", \ - "12.106930,11.855570,11.597410,11.396790,11.100560,10.699360,10.458390"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("7.815051,7.725010,7.796656,7.820758,7.742114,7.705128,7.537055", \ - "7.779230,7.707191,7.778539,7.730318,7.738132,7.702567,7.424357", \ - "8.028832,7.949751,7.969902,7.951343,7.919039,7.816921,7.648462", \ - "8.931588,8.765259,8.759732,8.729420,8.686268,8.558336,8.184722", \ - "10.579840,10.350390,10.298270,10.123570,10.084410,9.954445,9.754227", \ - "13.093480,12.802330,12.667640,12.492870,12.266230,11.963150,11.687780", \ - "16.358890,16.057920,15.821460,15.539680,15.310340,15.074570,14.632340"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.655479,8.660369,8.755273,8.775587,8.756493,8.699370,8.763640", \ - "8.634229,8.635159,8.727036,8.761785,8.732703,8.771950,8.648146", \ - "8.867501,8.853443,8.936224,8.966038,8.940801,8.848644,8.853627", \ - "9.771560,9.703377,9.710419,9.652161,9.586331,9.602198,9.465920", \ - "11.314180,11.181710,11.133910,11.005230,10.923950,10.899180,10.780330", \ - "13.607710,13.383580,13.283390,13.107750,12.953660,12.783620,12.588500", \ - "16.341310,16.109000,15.954760,15.702840,15.487470,15.295930,15.190130"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.655280,8.660121,8.755018,8.775293,8.756173,8.698942,8.763320", \ - "8.633976,8.634931,8.726785,8.761490,8.732382,8.771601,8.647598", \ - "8.867252,8.853203,8.935956,8.965526,8.940500,8.848433,8.853222", \ - "9.771312,9.703126,9.706188,9.651882,9.586037,9.601854,9.465501", \ - "11.313960,11.181480,11.133680,11.004980,10.923680,10.898860,10.779840", \ - "13.607530,13.383390,13.265150,13.107540,12.953420,12.783350,12.588130", \ - "16.342250,16.108890,15.954680,15.702680,15.487280,15.295700,15.189850"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.654467,8.659320,8.754343,8.774847,8.755556,8.698143,8.762437", \ - "8.624734,8.634203,8.726094,8.761063,8.731772,8.770780,8.646711", \ - "8.866881,8.852592,8.935678,8.965094,8.939858,8.845954,8.852352", \ - "9.771157,9.702904,9.705552,9.651486,9.585457,9.601095,9.464710", \ - "11.313830,11.181290,11.133260,11.023410,10.923080,10.898110,10.781080", \ - "13.570740,13.382860,13.264890,13.107200,12.952890,12.782640,12.587400", \ - "16.346880,16.108350,15.953510,15.702310,15.486760,15.294510,15.189070"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.652452,8.655437,8.749177,8.769366,8.747319,8.685591,8.744042", \ - "8.629838,8.630073,8.720898,8.755604,8.723568,8.758031,8.628599", \ - "8.863866,8.848237,8.931635,8.959575,8.931586,8.827874,8.834197", \ - "9.768572,9.699701,9.700457,9.646065,9.577294,9.588476,9.446521", \ - "11.311340,11.178110,11.125800,11.015570,10.912290,10.885520,10.765170", \ - "13.570620,13.379820,13.260910,13.101770,12.944870,12.770330,12.569760", \ - "16.338790,16.104140,15.948100,15.696890,15.479100,15.238200,15.171600"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.652731,8.655726,8.749523,8.769745,8.747722,8.686036,8.744727", \ - "8.630137,8.630367,8.721255,8.755941,8.723936,8.758537,8.629359", \ - "8.864188,8.848550,8.931951,8.960115,8.931999,8.828317,8.834863", \ - "9.768882,9.700011,9.700725,9.646397,9.579965,9.588932,9.447035", \ - "11.311600,11.178370,11.126110,11.015840,10.912630,10.885990,10.765660", \ - "13.570800,13.380420,13.261180,13.102050,12.945140,12.770720,12.570210", \ - "16.338120,16.104310,15.948280,15.697100,15.479330,15.238540,15.172160"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.652744,8.655739,8.749520,8.769737,8.747720,8.686123,8.744836", \ - "8.630143,8.630378,8.721248,8.755948,8.723946,8.758520,8.629163", \ - "8.864188,8.848553,8.931957,8.959928,8.932012,8.828310,8.834815", \ - "9.768879,9.700012,9.700766,9.646399,9.577675,9.588926,9.447040", \ - "11.311610,11.178380,11.126100,11.015860,10.912640,10.885970,10.765760", \ - "13.570820,13.380420,13.261190,13.102040,12.945150,12.770720,12.570210", \ - "16.337640,16.104320,15.948290,15.697100,15.479340,15.238530,15.172090"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.654112,8.658947,8.753950,8.774416,8.755064,8.697633,8.761623", \ - "8.624373,8.633831,8.725700,8.760631,8.731279,8.770188,8.646028", \ - "8.866519,8.852215,8.935279,8.964662,8.939320,8.845368,8.851600", \ - "9.770799,9.702532,9.705163,9.651061,9.584974,9.600511,9.463950", \ - "11.313490,11.180940,11.132890,11.023020,10.922620,10.897550,10.780370", \ - "13.570430,13.382900,13.282960,13.106830,12.952470,12.782120,12.586710", \ - "16.347240,16.108090,15.953240,15.702000,15.486400,15.294050,15.188470"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.652821,8.655825,8.749575,8.769790,8.747794,8.686163,8.744725", \ - "8.630208,8.630457,8.721293,8.756031,8.724046,8.758578,8.629279", \ - "8.864234,8.848617,8.932030,8.960183,8.932074,8.828411,8.834832", \ - "9.768923,9.700067,9.704838,9.646472,9.580031,9.589003,9.447168", \ - "11.311670,11.178450,11.126150,11.015940,10.912710,10.886000,10.765810", \ - "13.570900,13.380460,13.261240,13.102090,12.945240,12.770780,12.570320", \ - "16.338220,16.104360,15.948340,15.697150,15.479410,15.238570,15.172050"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.122703,5.325951,5.554348,5.742097,5.803190,5.806072,5.802608", \ - "5.102413,5.327030,5.533242,5.710869,5.788844,5.781184,5.782748", \ - "5.308590,5.514351,5.690001,5.868672,5.926696,5.921172,5.925176", \ - "6.073596,6.307229,6.472476,6.576895,6.598052,6.536644,6.511709", \ - "7.465205,7.628278,7.660222,7.825469,7.886950,7.767534,7.694813", \ - "9.649603,9.744596,9.775146,9.779825,9.783822,9.701850,9.564193", \ - "12.545470,12.596940,12.604990,12.486950,12.459240,12.303400,12.195270"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.600301,3.733867,3.924153,3.946231,4.026310,4.013439,3.628144", \ - "3.565035,3.733735,3.754946,3.943697,3.951509,3.994580,3.786861", \ - "3.607303,3.767399,3.900323,3.966451,3.775749,3.797400,3.293138", \ - "4.141289,4.145950,4.259658,4.307354,4.368254,3.924975,4.255494", \ - "5.421678,5.360235,5.483113,5.402961,5.319148,5.112547,4.975375", \ - "7.216624,7.231162,7.305390,7.372620,7.228526,6.748666,6.390918", \ - "9.497023,9.384558,9.508388,9.707377,9.807340,9.279139,8.644204"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.122726,5.325966,5.554356,5.742109,5.803182,5.806072,5.802586", \ - "5.102441,5.327049,5.533256,5.710870,5.788843,5.781180,5.782732", \ - "5.256229,5.512277,5.690012,5.862604,5.926694,5.921171,5.925173", \ - "6.073623,6.307251,6.472491,6.576895,6.598053,6.536634,6.511693", \ - "7.465225,7.628298,7.660233,7.825478,7.886947,7.767516,7.694801", \ - "9.649619,9.744612,9.775161,9.779833,9.783824,9.701837,9.564154", \ - "12.545480,12.596950,12.605010,12.486950,12.459230,12.303400,12.197330"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.600309,3.733875,3.924164,3.946235,4.026315,4.013445,3.628116", \ - "3.565045,3.733746,3.754949,3.943704,3.951513,3.994588,3.786850", \ - "3.607312,3.767410,3.896532,3.966460,3.775736,3.797391,3.293088", \ - "4.141301,4.145955,4.259665,4.307358,4.368264,3.924952,4.255504", \ - "5.421690,5.360241,5.483122,5.402963,5.319151,5.112545,4.975367", \ - "7.216635,7.231175,7.305408,7.372629,7.228530,6.748651,6.390891", \ - "9.497035,9.384568,9.508401,9.707389,9.807347,9.279114,8.644155"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.122612,5.325868,5.554275,5.742053,5.803181,5.806071,5.802615", \ - "5.102325,5.326948,5.533171,5.710826,5.788832,5.781184,5.782752", \ - "5.296293,5.514270,5.689930,5.868627,5.926685,5.921171,5.925175", \ - "6.073528,6.307162,6.472409,6.576850,6.598038,6.536646,6.511714", \ - "7.465147,7.628220,7.660169,7.825425,7.886932,7.767538,7.694816", \ - "9.649549,9.744545,9.775096,9.779785,9.783800,9.710281,9.564208", \ - "12.545410,12.596890,12.604950,12.486910,12.459210,12.303400,12.197330"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.600270,3.733822,3.924097,3.946176,4.026264,4.013410,3.628129", \ - "3.565004,3.733689,3.754895,3.943641,3.951468,3.994550,3.786839", \ - "3.607270,3.767374,3.856453,3.966395,3.775708,3.797376,3.293132", \ - "4.141256,4.145907,4.259607,4.307300,4.368209,3.924957,4.255470", \ - "5.421639,5.360191,5.483060,5.402909,5.319105,5.112520,4.975352", \ - "7.216583,7.231112,7.305337,7.372564,7.228485,6.748644,6.390902", \ - "9.496976,9.384508,9.508331,9.707317,9.807295,9.279115,8.644196"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.113161,5.293701,5.522496,5.709291,5.777655,5.769334,5.777030", \ - "5.068111,5.293576,5.500138,5.677778,5.756997,5.749719,5.752304", \ - "5.273873,5.480079,5.647873,5.834820,5.893286,5.888422,5.892847", \ - "6.109726,6.269087,6.437361,6.542046,6.556797,6.503445,6.482732", \ - "7.497492,7.593932,7.661228,7.789723,7.851862,7.733823,7.661601", \ - "9.677752,9.741007,9.741146,9.735821,9.750453,9.670950,9.531039", \ - "12.509360,12.613310,12.545250,12.476090,12.444060,12.272320,12.154020"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.608628,3.742089,3.931518,3.952643,3.983802,4.025785,3.654977", \ - "3.587837,3.742783,3.899613,3.951964,3.967846,4.009681,3.792370", \ - "3.594873,3.777236,3.910722,3.975325,3.772642,3.814634,3.865993", \ - "4.150957,4.255920,4.268900,4.311491,4.264755,3.942946,3.676908", \ - "5.431342,5.435672,5.492439,5.412403,5.329384,5.131125,4.984649", \ - "7.253996,7.221437,7.238193,7.370414,7.246207,6.766373,6.398196", \ - "9.500296,9.393365,9.516598,9.715417,9.825481,9.286347,8.652878"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.743286,5.743307,5.798771,5.908814,6.017687,6.072316,5.916457", \ - "5.740693,5.734979,5.726190,5.915577,6.030475,5.960996,5.872442", \ - "5.832998,5.819516,5.835474,5.982406,6.043109,6.062298,6.076428", \ - "6.453770,6.325603,6.362237,6.407440,6.439423,6.367720,6.231788", \ - "7.463823,7.351929,7.308949,7.229611,7.210215,7.155966,7.103753", \ - "8.854491,8.661868,8.590607,8.458250,8.371202,8.223622,8.070783", \ - "10.666910,10.477090,10.326800,10.099170,9.821477,9.662928,9.321863"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.743292,5.742054,5.798728,5.908762,6.017604,6.072306,5.916288", \ - "5.740662,5.734938,5.726145,5.915528,6.030401,5.960884,5.872280", \ - "5.834751,5.819479,5.835423,5.982351,6.043014,6.062177,6.076271", \ - "6.453741,6.391563,6.362187,6.407379,6.439345,6.367607,6.272862", \ - "7.463809,7.351889,7.308912,7.225864,7.210141,7.155882,7.103629", \ - "8.851965,8.661501,8.590573,8.458205,8.371135,8.223545,8.070703", \ - "10.666910,10.477080,10.326780,10.099140,9.821439,9.662874,9.321762"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.743411,5.741231,5.798848,5.908928,6.017841,6.072536,6.014093", \ - "5.740744,5.735044,5.726275,5.915692,6.030629,5.961216,5.872740", \ - "5.834833,5.827780,5.835551,5.982513,6.043273,6.062511,6.076705", \ - "6.453826,6.391666,6.362316,6.407545,6.439573,6.434882,6.273260", \ - "7.463894,7.351987,7.309018,7.226007,7.210353,7.156160,7.104014", \ - "8.852028,8.661565,8.590655,8.458322,8.371315,8.223790,8.071012", \ - "10.666910,10.477100,10.326820,10.099210,9.821563,9.663046,9.322058"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.616316,5.598734,5.663388,5.773754,5.883369,5.942192,5.791754", \ - "5.607420,5.604405,5.598141,5.775319,5.897737,5.833534,5.742841", \ - "5.699327,5.687187,5.744963,5.806132,5.975438,5.877027,5.948761", \ - "6.299415,6.256707,6.231552,6.276191,6.309345,6.341161,6.154820", \ - "7.339202,7.222923,7.177646,7.096856,7.099924,7.008377,6.953408", \ - "8.684663,8.510382,8.462478,8.328185,8.243116,8.099754,7.952668", \ - "10.503620,10.356300,10.203300,9.970016,9.704644,9.442923,9.206305"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.113117,5.293654,5.522467,5.709268,5.777640,5.769297,5.776980", \ - "5.068055,5.293534,5.500113,5.677758,5.756992,5.749711,5.752270", \ - "5.261097,5.478228,5.659006,5.834808,5.893277,5.888420,5.892838", \ - "6.109673,6.269034,6.437330,6.542021,6.556779,6.503422,6.482721", \ - "7.497446,7.593891,7.661194,7.789703,7.851845,7.733784,7.661574", \ - "9.677710,9.740970,9.741116,9.735793,9.750444,9.670925,9.530958", \ - "12.509320,12.613270,12.545210,12.476060,12.444050,12.272310,12.153990"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.608582,3.742047,3.931491,3.952607,3.983771,4.025766,3.654515", \ - "3.587796,3.742747,3.899588,3.951934,3.967819,4.008784,3.792314", \ - "3.594828,3.777202,3.910695,3.975298,3.772582,3.814584,3.865954", \ - "4.150923,4.255894,4.268859,4.311453,4.264716,3.942866,3.676784", \ - "5.431312,5.435635,5.492407,5.412363,5.329352,5.131088,4.984598", \ - "7.253966,7.221403,7.238147,7.370383,7.246182,6.766309,6.398109", \ - "9.500264,9.393321,9.516563,9.715387,9.825455,9.286261,8.652743"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.113145,5.293679,5.522483,5.709287,5.777649,5.769317,5.777005", \ - "5.068087,5.293556,5.500126,5.677767,5.756995,5.749716,5.752288", \ - "5.273849,5.480060,5.647858,5.834814,5.893282,5.888422,5.892843", \ - "6.109705,6.269062,6.437346,6.542034,6.556788,6.503434,6.482728", \ - "7.497473,7.593915,7.661213,7.789714,7.851854,7.733804,7.661588", \ - "9.677735,9.740992,9.741134,9.735809,9.750450,9.670939,9.530999", \ - "12.509350,12.613290,12.545230,12.476080,12.444050,12.272320,12.158640"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.608606,3.742068,3.931504,3.952624,3.983787,4.025777,3.654916", \ - "3.587817,3.742765,3.899600,3.951950,3.967833,4.008793,3.792346", \ - "3.594852,3.777218,3.910708,3.975311,3.772612,3.814610,3.865978", \ - "4.150941,4.255908,4.268879,4.311473,4.264737,3.942907,3.676850", \ - "5.431328,5.435655,5.492423,5.412384,5.329370,5.131108,4.984629", \ - "7.253983,7.221422,7.238170,7.370399,7.246196,6.766343,6.398157", \ - "9.500282,9.393345,9.516582,9.715404,9.825470,9.286307,8.652815"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.122630,5.325876,5.554282,5.742053,5.803168,5.806070,5.802585", \ - "5.102341,5.326958,5.533180,5.710823,5.788831,5.781178,5.782731", \ - "5.296309,5.514281,5.693158,5.868629,5.926681,5.921169,5.925171", \ - "6.073541,6.307171,6.472419,6.576846,6.598038,6.536632,6.511691", \ - "7.465156,7.628231,7.660171,7.825430,7.886926,7.767514,7.694799", \ - "9.649555,9.744551,9.775105,9.779788,9.783801,9.710276,9.564155", \ - "12.545420,12.596900,12.604950,12.486910,12.459200,12.303390,12.193860"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.600270,3.733823,3.924104,3.946174,4.026265,4.013412,3.628085", \ - "3.565005,3.733694,3.754889,3.943643,3.951469,3.994556,3.786817", \ - "3.607273,3.767358,3.896471,3.966399,3.775687,3.797359,3.293058", \ - "4.141260,4.145905,4.259607,4.307298,4.368214,3.924921,4.255471", \ - "5.421646,5.360188,5.483064,5.402904,5.319102,5.112512,4.975334", \ - "7.216586,7.231118,7.305346,7.372567,7.228486,6.748619,6.390859", \ - "9.496983,9.384510,9.508337,9.707324,9.807298,9.279076,8.644124"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.113185,5.293721,5.522506,5.709301,5.777665,5.769358,5.777063", \ - "5.068132,5.293592,5.500147,5.677787,5.756999,5.749725,5.752326", \ - "5.273892,5.478284,5.659041,5.834825,5.893291,5.888424,5.892852", \ - "6.109746,6.269107,6.437371,6.542058,6.556808,6.503459,6.482739", \ - "7.497509,7.593947,7.661241,7.789731,7.851872,7.733848,7.661618", \ - "9.677768,9.741021,9.741157,9.735834,9.750457,9.670966,9.531093", \ - "12.509380,12.613320,12.545270,12.476110,12.444060,12.272330,12.158640"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.608647,3.742108,3.931527,3.952659,3.983817,4.025795,3.655093", \ - "3.619668,3.742797,3.763439,3.951616,4.006930,4.009688,3.792403", \ - "3.594892,3.777248,3.910732,3.975335,3.772676,3.814663,3.866017", \ - "4.150972,4.255929,4.268917,4.311509,4.264775,3.942996,3.676987", \ - "5.431353,5.435686,5.492451,5.412423,5.329400,5.131145,4.984680", \ - "7.254008,7.221453,7.238214,7.370427,7.246220,6.766412,6.398251", \ - "9.500308,9.393384,9.516612,9.715429,9.825494,9.286399,8.652963"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.616345,5.598752,5.663440,5.773820,5.883429,5.942273,5.791845", \ - "5.607460,5.604437,5.598202,5.775401,5.897827,5.833631,5.742946", \ - "5.699382,5.687235,5.744998,5.805975,5.975522,5.877106,5.948885", \ - "6.299470,6.256758,6.231586,6.276238,6.309418,6.298732,6.155025", \ - "7.339239,7.222948,7.177705,7.096923,7.099995,7.008517,6.953579", \ - "8.684668,8.510419,8.462509,8.328230,8.243166,8.099881,7.952919", \ - "10.503650,10.356320,10.203300,9.970041,9.704705,9.443045,9.206449"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.616509,5.598946,5.663652,5.774067,5.883742,5.942707,5.792430", \ - "5.607635,5.604628,5.598413,5.775645,5.898141,5.834057,5.743535", \ - "5.699563,5.687431,5.703572,5.806223,5.975829,5.936037,5.949454", \ - "6.299657,6.256959,6.231803,6.276488,6.309729,6.319379,6.155580", \ - "7.339420,7.223142,7.177914,7.097161,7.100288,6.964506,6.954109", \ - "8.684824,8.510588,8.462691,8.328439,8.243427,8.100232,7.953397", \ - "10.503760,10.356440,10.203440,9.970199,9.704911,9.443341,9.206884"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.743304,5.740791,5.798701,5.908752,6.017604,6.072207,5.916344", \ - "5.740626,5.734910,5.726126,5.915517,6.030400,5.960894,5.872293", \ - "5.834715,5.819447,5.835396,5.982331,6.091101,6.062190,6.076275", \ - "6.453705,6.391530,6.362159,6.407361,6.439341,6.417014,6.272859", \ - "7.463777,7.351853,7.308877,7.229536,7.210133,7.155880,7.103626", \ - "8.851924,8.661458,8.590532,8.458175,8.371120,8.223537,8.070732", \ - "10.666860,10.477030,10.326730,10.099100,9.821418,9.662849,9.321740"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.616476,5.598927,5.663594,5.773997,5.883682,5.942614,5.927766", \ - "5.607591,5.604592,5.598354,5.775560,5.898045,5.833957,5.743456", \ - "5.700508,5.687378,5.703687,5.806379,5.975746,5.935945,5.949337", \ - "6.299595,6.256902,6.231768,6.276440,6.309653,6.341572,6.112812", \ - "7.339378,7.223114,7.177849,7.097088,7.100215,7.008759,6.953941", \ - "8.684817,8.510546,8.462655,8.328390,8.243377,8.100100,7.953122", \ - "10.503720,10.356420,10.203430,9.970172,9.704845,9.443207,9.206741"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.588635,5.900295,6.229793,6.610002,7.117037,9.179968,12.097770", \ - "5.564627,5.896857,6.179996,6.567380,7.099231,9.187744,12.071170", \ - "5.815303,6.090985,6.354892,6.747773,7.242087,9.296803,12.206360", \ - "6.714184,6.987852,7.168687,7.542344,8.036931,10.165190,12.822440", \ - "8.207672,8.386644,8.517899,8.868974,9.401789,11.490960,14.101170", \ - "10.624190,10.758300,10.835480,11.017620,11.464830,13.358480,16.233630", \ - "13.752940,13.941630,13.982220,14.066400,14.374580,15.946970,19.264820"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.658288,8.836264,9.012850,9.085705,8.962061,8.907832,8.907914", \ - "8.641888,8.868901,9.004664,8.979116,8.889581,8.923693,8.709348", \ - "8.639890,8.819144,8.994847,9.069394,9.110523,8.830339,8.858483", \ - "8.662288,8.821147,9.023024,9.073089,8.878360,8.908503,9.057966", \ - "8.795954,8.986019,9.156118,9.212614,9.197460,9.240891,9.035196", \ - "9.223875,9.315422,9.531101,9.489754,9.606141,9.334109,9.462160", \ - "10.003900,10.133470,10.282020,10.363600,10.327780,10.220730,9.952125"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.588677,5.900326,6.229821,6.610020,7.117050,9.179986,12.097770", \ - "5.564664,5.896890,6.180021,6.567395,7.099244,9.187770,12.071160", \ - "5.815347,6.054829,6.316994,6.747793,7.242098,9.296820,12.206350", \ - "6.714229,6.987891,7.168711,7.542366,8.036951,10.165210,12.822420", \ - "8.207707,8.386676,8.517924,8.868997,9.401804,11.490980,14.101160", \ - "10.624220,10.758330,10.835510,11.017640,11.464850,13.358500,16.233620", \ - "13.752970,13.941660,13.982240,14.066420,14.374600,15.947000,19.264820"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.658313,8.836273,9.012860,9.085711,8.962045,8.907822,8.907904", \ - "8.641908,8.868917,9.004676,8.979127,8.889556,8.923684,8.709238", \ - "8.639910,8.819157,8.994859,9.069400,9.110530,8.830324,8.858480", \ - "8.662411,8.821159,9.023041,9.073093,8.878521,8.908493,9.057964", \ - "8.795971,8.986032,9.156131,9.212619,9.197460,8.913738,9.035170", \ - "9.223892,9.315432,9.531113,9.489751,9.606146,9.325625,9.462207", \ - "10.003930,10.133480,10.282030,10.363610,10.327780,10.220730,9.952101"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.588519,5.900185,6.229693,6.609925,7.116978,9.179887,12.097750", \ - "5.564513,5.896747,6.179896,6.567300,7.099172,9.187658,12.071150", \ - "5.815192,6.090877,6.316876,6.747692,7.242027,9.296722,12.206350", \ - "6.714090,6.987754,7.168592,7.542261,8.036868,10.165100,12.822430", \ - "8.207589,8.386560,8.517818,8.868896,9.425024,11.490870,14.101150", \ - "10.624110,10.758220,10.835400,11.017550,11.464770,13.358400,16.233600", \ - "13.752870,13.941560,13.982140,14.066330,14.374530,15.946890,19.264790"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.658180,8.836152,9.012752,9.085631,8.962007,8.907802,8.907886", \ - "8.641776,8.868792,9.004568,8.979058,8.889525,8.923662,8.709224", \ - "8.639776,8.819035,8.994750,9.069318,9.110474,8.830310,8.858466", \ - "8.662248,8.821038,9.022930,9.073014,8.878440,8.908472,9.057929", \ - "8.795837,8.985910,9.156021,9.212539,9.197413,9.240853,9.035152", \ - "9.223761,9.315316,9.531005,9.489681,9.606092,9.325613,9.462179", \ - "10.003800,10.133360,10.281920,10.363530,10.327730,10.220700,9.952100"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.588995,5.900709,6.230240,6.610260,7.116861,9.185812,12.099780", \ - "5.565038,5.897283,6.180465,6.567937,7.102131,9.192113,12.072030", \ - "5.815684,6.091490,6.355427,6.747210,7.242468,9.299557,12.206570", \ - "6.714775,6.988477,7.169383,7.543145,8.024046,10.171450,12.813430", \ - "8.195009,8.387402,8.520132,8.870351,9.398515,11.503510,14.102880", \ - "10.625380,10.759110,10.836440,11.019020,11.465890,13.360240,16.235840", \ - "13.753960,13.942820,13.983310,13.998440,14.376160,15.976100,19.267080"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.647467,8.834164,9.024587,9.083496,8.957338,8.940226,8.904627", \ - "8.656165,8.866731,9.004288,8.988056,8.880585,8.920746,9.010567", \ - "8.648066,8.816954,8.994196,9.067107,9.107884,8.827800,8.970286", \ - "8.651233,8.819143,8.871906,9.070537,8.863782,8.905529,9.054005", \ - "8.797302,8.956978,9.155533,9.210088,9.194492,9.241920,9.031450", \ - "9.223093,9.315083,9.530215,9.486999,9.603026,9.321961,9.466435", \ - "10.003230,10.130930,10.281730,10.360600,10.324710,10.216550,9.947574"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.709470,5.662029,5.707921,6.010909,7.458759,9.735909,9.958282", \ - "5.697292,5.655909,5.707012,6.013558,7.434764,9.610327,9.934108", \ - "5.797375,5.751974,5.768448,6.061735,7.545639,9.706600,9.961269", \ - "6.455912,6.344097,6.348485,6.660228,8.109439,10.119510,10.277490", \ - "7.518648,7.376357,7.330851,7.535885,8.958512,10.884760,11.121010", \ - "9.013032,8.772368,8.717537,8.844932,10.032630,12.226540,12.249180", \ - "11.011710,10.808980,10.667890,10.555540,11.462130,14.063930,14.061670"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.709407,5.661910,5.707887,6.010873,7.458685,9.735759,9.914442", \ - "5.697268,5.655875,5.706983,6.013526,7.434719,9.610225,9.933848", \ - "5.797353,5.751944,5.767287,6.059955,7.545610,9.706531,9.961111", \ - "6.455891,6.344068,6.348445,6.660188,8.109392,10.119440,10.277400", \ - "7.518626,7.376326,7.330824,7.539009,8.958468,10.867400,11.121070", \ - "9.013009,8.772351,8.717512,8.844907,10.032600,12.226470,12.249120", \ - "11.011690,10.808970,10.667880,10.555520,11.462430,14.063890,14.061570"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.709464,5.661969,5.707979,6.010984,7.458842,9.735968,9.919472", \ - "5.697329,5.655955,5.707070,6.013634,7.434849,9.610511,9.934510", \ - "5.797414,5.752022,5.767388,6.061820,7.545717,9.706771,9.961551", \ - "6.455953,6.344147,6.348543,6.660299,8.109519,10.119710,10.277760", \ - "7.518684,7.376398,7.330900,7.535958,8.958580,10.884930,11.121440", \ - "9.013053,8.772397,8.717570,8.844976,10.032680,12.226670,12.249420", \ - "11.011690,10.808970,10.667890,10.555560,11.462320,14.064010,14.061860"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.698207,5.665896,5.708269,6.011483,7.458920,9.738286,9.941810", \ - "5.697643,5.656259,5.707445,6.014142,7.435556,9.617457,9.910461", \ - "5.797717,5.752615,5.766916,6.072012,7.462288,9.716480,9.967649", \ - "6.456322,6.345332,6.349026,6.660925,8.159013,10.132880,10.251340", \ - "7.518849,7.376882,7.337072,7.565599,8.959198,10.891000,11.127010", \ - "9.013623,8.772780,8.718200,8.845040,10.033580,12.229050,12.264250", \ - "11.014990,10.810100,10.668610,10.556410,11.433610,14.066280,14.068020"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.588911,5.900637,6.230188,6.610217,7.116810,9.185741,12.099730", \ - "5.564959,5.897215,6.180406,6.567887,7.102090,9.192049,12.071980", \ - "5.815606,6.091422,6.355367,6.748364,7.242412,9.299465,12.206500", \ - "6.714696,6.988405,7.169311,7.543103,8.023999,10.171370,12.813330", \ - "8.194938,8.387336,8.520067,8.870304,9.398456,11.503440,14.102830", \ - "10.625320,10.759050,10.836390,11.018980,11.465850,13.360160,16.235800", \ - "13.753900,13.942770,13.983260,13.998370,14.376110,15.976010,19.267040"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.647416,8.834121,9.024547,9.083468,8.957294,8.940006,8.904576", \ - "8.656115,8.866695,9.004257,8.988006,8.880529,8.920703,9.010633", \ - "8.648017,8.816909,8.994163,9.067077,9.107869,8.827741,8.970241", \ - "8.651474,8.819096,8.871901,9.070504,8.863721,8.905479,9.053979", \ - "8.797251,8.956929,9.155500,9.210055,9.194462,8.910289,9.031382", \ - "9.223048,9.315031,9.530184,9.486951,9.603007,9.321898,9.466390", \ - "10.003200,10.130900,10.281700,10.360570,10.324680,10.216520,9.947498"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.588960,5.900677,6.230217,6.610242,7.116840,9.185783,12.099760", \ - "5.565003,5.897253,6.180438,6.567915,7.102113,9.192088,12.072010", \ - "5.815651,6.091460,6.355400,6.748389,7.242445,9.299518,12.206540", \ - "6.714743,6.988447,7.169350,7.543128,8.024028,10.171420,12.813390", \ - "8.194980,8.387375,8.520104,8.870332,9.422984,11.503480,14.102860", \ - "10.625360,10.759090,10.836420,11.019000,11.465880,13.360210,16.235830", \ - "13.753940,13.942810,13.983290,13.998410,14.376140,15.976060,19.267070"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.647447,8.834147,9.024569,9.083486,8.957319,8.940160,8.904609", \ - "8.656145,8.866717,9.004276,8.988032,8.880559,8.920728,9.010625", \ - "8.648047,8.816935,8.994183,9.067095,9.107879,8.827774,8.970262", \ - "8.651378,8.819124,8.871911,9.070524,8.863754,8.905508,9.053999", \ - "8.797282,8.956958,9.155519,9.210075,9.194480,9.241916,9.031431", \ - "9.223076,9.315061,9.530203,9.486979,9.603020,9.325477,9.466420", \ - "10.003220,10.130920,10.281720,10.360590,10.324700,10.216540,9.947543"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.588542,5.900201,6.229709,6.609932,7.116979,9.179894,12.097740", \ - "5.564533,5.896767,6.179910,6.567306,7.099174,9.187672,12.071130", \ - "5.815219,6.090897,6.354807,6.747704,7.242026,9.296720,12.206320", \ - "6.714116,6.987777,7.168602,7.542274,8.036879,10.165110,12.822390", \ - "8.207608,8.386578,8.517830,8.868909,9.425033,11.490880,14.101130", \ - "10.624130,10.758240,10.835420,11.017560,11.464780,13.358400,16.233590", \ - "13.752880,13.941570,13.982160,14.066340,14.374530,15.946900,19.264780"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.658188,8.836158,9.012759,9.085633,8.961992,8.907788,8.907867", \ - "8.641784,8.868803,9.004576,8.979053,8.889507,8.923651,8.709173", \ - "8.639785,8.819041,8.994758,9.069321,9.110483,8.830290,8.858447", \ - "8.662283,8.821043,9.022940,9.073014,8.878460,8.908458,9.057926", \ - "8.795845,8.985917,9.156029,9.212539,9.197412,9.240857,9.035133", \ - "9.223770,9.315318,9.531013,9.489672,9.606098,9.325590,9.462170", \ - "10.003810,10.133370,10.281930,10.363530,10.327730,10.220690,9.952064"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.589026,5.900737,6.230259,6.610277,7.116885,9.185845,12.099800", \ - "5.565070,5.897308,6.180487,6.567959,7.102151,9.192142,12.072060", \ - "5.815712,6.091514,6.355449,6.748422,7.242495,9.299602,12.206610", \ - "6.714804,6.988503,7.169413,7.543161,8.024068,10.171490,12.813490", \ - "8.195037,8.387427,8.520159,8.870369,9.423023,11.503540,14.102910", \ - "10.625410,10.759130,10.836460,11.019040,11.465910,13.360280,16.235870", \ - "13.753990,13.942840,13.983330,13.998470,14.376180,15.976130,19.267110"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("8.647490,8.834184,9.024598,9.083510,8.957366,8.940239,8.904658", \ - "8.656186,8.866746,9.004301,8.988079,8.880619,8.920773,9.010587", \ - "8.648088,8.816974,8.994210,9.067121,9.107892,8.827838,8.970304", \ - "8.651286,8.819166,8.871943,9.070554,8.863820,8.905560,9.054021", \ - "8.797326,8.957001,9.155546,9.210105,9.194509,9.241928,9.031468", \ - "9.223114,9.315108,9.530228,9.487026,9.603037,9.322001,9.466459", \ - "10.003250,10.130950,10.281740,10.360610,10.324730,10.216570,9.947624"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.698226,5.665838,5.708310,6.011505,7.458884,9.738441,9.932626", \ - "5.697676,5.656284,5.707496,6.014176,7.435545,9.617368,9.910997", \ - "5.797765,5.753085,5.767009,6.060616,7.462257,9.717791,9.968032", \ - "6.456374,6.345357,6.349040,6.660921,8.157232,10.132340,10.251460", \ - "7.518885,7.376897,7.337109,7.536671,8.959168,10.870240,11.127520", \ - "9.013627,8.772815,8.718213,8.845100,10.033500,12.229250,12.264490", \ - "11.014980,10.810110,10.668610,10.556400,11.434950,14.066460,14.068270"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.698403,5.666051,5.708524,6.011767,7.459226,9.738903,9.893498", \ - "5.697854,5.656477,5.707713,6.014439,7.435888,9.617826,9.911504", \ - "5.797948,5.750094,5.767215,6.071611,7.462606,9.718034,9.968568", \ - "6.456563,6.345562,6.349264,6.661189,8.157854,10.132870,10.252020", \ - "7.519068,7.377095,7.337325,7.566635,8.959494,10.933080,11.127900", \ - "9.013786,8.772987,8.718401,8.845318,10.033800,12.229590,12.264960", \ - "11.015090,10.810240,10.668750,10.556570,11.435050,14.066760,14.068690"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.709329,5.661749,5.707831,6.010822,7.458592,9.735672,9.893835", \ - "5.697218,5.655828,5.706934,6.013474,7.434641,9.610201,9.934226", \ - "5.797303,5.750728,5.767238,6.061688,7.545567,9.706454,9.961117", \ - "6.455838,6.344017,6.348395,6.660131,8.109310,10.119410,10.277390", \ - "7.518573,7.376271,7.330767,7.535806,8.958382,10.867300,11.120880", \ - "9.012954,8.772296,8.717454,8.844843,10.032510,12.226430,12.249010", \ - "11.011640,10.808910,10.667810,10.555460,11.462150,14.063820,14.061540"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.698377,5.666025,5.708479,6.011739,7.459260,9.738727,9.947920", \ - "5.697814,5.656448,5.707653,6.014396,7.435893,9.617991,9.910934", \ - "5.797892,5.750048,5.767106,6.072503,7.462629,9.716390,9.968153", \ - "6.456503,6.345533,6.349247,6.661190,8.159886,10.133570,10.251910", \ - "7.519025,7.377075,7.337281,7.565570,8.959525,10.870350,11.127530", \ - "9.013777,8.772953,8.718387,8.845245,10.033880,12.229380,12.264660", \ - "11.015070,10.810200,10.668750,10.556580,11.433340,14.066550,14.068420"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFRS_X2 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and active low set, and drive strength X2 - *******************************************************************************************/ - - cell (SDFFRS_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - preset : "!SN"; - clear : "!RN"; - clear_preset_var1 : L; - clear_preset_var2 : L; - } - - area : 8.246000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 563.334269; - - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 531.635000; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & SN & !Q & QN"; - value : 475.692750; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 565.302500; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & SN & !Q & QN"; - value : 509.360250; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 545.781500; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & SN & !Q & QN"; - value : 489.838001; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & !SN & !Q & !QN"; - value : 623.037125; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & SN & !Q & QN"; - value : 576.693500; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & !SN & Q & !QN"; - value : 499.333875; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & SN & !Q & QN"; - value : 488.666500; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & SN & Q & !QN"; - value : 512.775513; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & !SN & Q & !QN"; - value : 533.001375; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & SN & !Q & QN"; - value : 522.334000; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & SN & Q & !QN"; - value : 546.443012; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & !SN & Q & !QN"; - value : 513.479125; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & SN & !Q & QN"; - value : 502.811750; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & SN & Q & !QN"; - value : 526.922012; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & !SN & Q & !QN"; - value : 589.984125; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & SN & !Q & QN"; - value : 603.308250; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & SN & Q & !QN"; - value : 599.883488; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 617.855250; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & SN & !Q & QN"; - value : 571.510375; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 624.043000; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & SN & !Q & QN"; - value : 577.699375; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 590.398125; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & SN & !Q & QN"; - value : 534.454625; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & !SN & !Q & !QN"; - value : 631.004750; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & SN & !Q & QN"; - value : 584.659875; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & !SN & Q & !QN"; - value : 584.802250; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & SN & !Q & QN"; - value : 598.125125; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & SN & Q & !QN"; - value : 594.700363; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & !SN & Q & !QN"; - value : 590.990000; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & SN & !Q & QN"; - value : 604.314125; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & SN & Q & !QN"; - value : 600.889363; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & !SN & Q & !QN"; - value : 558.095750; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & SN & !Q & QN"; - value : 547.428375; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & SN & Q & !QN"; - value : 571.538637; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & !SN & Q & !QN"; - value : 597.951750; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & SN & !Q & QN"; - value : 611.274626; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & SN & Q & !QN"; - value : 607.849863; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 548.369625; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & SN & !Q & QN"; - value : 464.651625; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 582.033375; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & SN & !Q & QN"; - value : 498.317875; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 562.512375; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & SN & !Q & QN"; - value : 478.795625; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & !SN & !Q & !QN"; - value : 578.084375; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & SN & !Q & QN"; - value : 521.802501; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & !SN & Q & !QN"; - value : 571.338500; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & SN & !Q & QN"; - value : 475.755412; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & SN & Q & !QN"; - value : 583.091575; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & !SN & Q & !QN"; - value : 605.003500; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & SN & !Q & QN"; - value : 509.421662; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & SN & Q & !QN"; - value : 616.756575; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & !SN & Q & !QN"; - value : 585.482500; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & SN & !Q & QN"; - value : 489.899413; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & SN & Q & !QN"; - value : 597.235575; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & !SN & Q & !QN"; - value : 601.002000; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & SN & !Q & QN"; - value : 532.915038; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & SN & Q & !QN"; - value : 612.755075; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 572.902375; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & SN & !Q & QN"; - value : 516.621750; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 579.092625; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & SN & !Q & QN"; - value : 522.812000; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 607.125250; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & SN & !Q & QN"; - value : 523.408500; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & !SN & !Q & !QN"; - value : 586.054375; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & SN & !Q & QN"; - value : 529.772500; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & !SN & Q & !QN"; - value : 595.820000; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & SN & !Q & QN"; - value : 527.733037; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & SN & Q & !QN"; - value : 607.573075; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & !SN & Q & !QN"; - value : 602.010250; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & SN & !Q & QN"; - value : 533.923287; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & SN & Q & !QN"; - value : 613.763325; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & !SN & Q & !QN"; - value : 630.094125; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & SN & !Q & QN"; - value : 534.512288; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & SN & Q & !QN"; - value : 641.847200; - } - leakage_power () { - when : "CK & D & RN & SE & SI & !SN & Q & !QN"; - value : 608.972000; - } - leakage_power () { - when : "CK & D & RN & SE & SI & SN & !Q & QN"; - value : 540.885037; - } - leakage_power () { - when : "CK & D & RN & SE & SI & SN & Q & !QN"; - value : 620.725075; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.138936; - fall_capacitance : 1.098136; - rise_capacitance : 1.138936; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & !SE & SN"; - sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.011118,-0.005116,-0.006054", \ - "-0.007652,-0.000763,-0.001655", \ - "0.107403,0.114383,0.113629"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.007925,-0.003829,-0.007542", \ - "-0.007268,-0.004177,-0.009987", \ - "0.064177,0.065574,0.052466"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & !SE & SN"; - sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.044015,0.043112,0.056967", \ - "0.053518,0.052792,0.066347", \ - "0.082666,0.081279,0.094172"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.037618,0.030795,0.031667", \ - "0.041151,0.034270,0.035252", \ - "0.039431,0.032458,0.033247"); - } - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.996848,6.966331,6.966156,7.367027,8.399560,10.095530,12.458140"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.483767,5.426395,5.435294,5.800263,6.786453,8.437477,10.791870"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.999086,6.967668,6.967804,7.367829,8.401435,10.097000,12.460050"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.526093,5.468708,5.477039,5.844816,6.828211,8.484533,10.833660"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.995640,6.965014,6.964692,7.365242,8.398014,10.093320,12.456160"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.505270,5.447964,5.456775,5.821130,6.808250,8.459021,10.813390"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.996437,6.965390,6.965684,7.365501,8.398943,10.094280,12.457180"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.547578,5.490609,5.498762,5.863957,6.850419,8.501884,10.855370"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.962020,0.929026,0.908799,0.905098,0.901001,0.903026,0.900793"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.798874,-0.807048,-0.808867,-0.815035,-0.814154,-0.821196,-0.816703"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.961077,0.927470,0.907904,0.903847,0.899916,0.901403,0.899548"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.802193,-0.809755,-0.812405,-0.818039,-0.817684,-0.823808,-0.820220"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.865389,0.879275,0.877381,0.879387,0.878069,0.880472,0.877749"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.529230,-0.633767,-0.697523,-0.727145,-0.737791,-0.751584,-0.751584"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.865863,0.879206,0.877896,0.879585,0.878410,0.880333,0.877942"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.528821,-0.632814,-0.697310,-0.726462,-0.737571,-0.750555,-0.751353"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.474480,9.443728,9.443955,9.848899,10.892580,12.605780,14.995310"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.857362,6.800630,6.808501,7.175659,8.166117,9.827665,12.194770"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.629355,9.599487,9.599865,10.004140,11.047670,12.759480,15.151380"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.042497,6.985194,6.992981,7.362558,8.350467,10.015030,12.376620"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.588138,9.556629,9.556670,9.961246,11.005550,12.718950,15.108680"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.895451,6.838867,6.846340,7.216224,8.204798,9.871106,12.233560"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.474034,9.441952,9.442678,9.847634,10.891060,12.604010,14.993340"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.878872,6.822203,6.829983,7.197263,8.187499,9.849083,12.216050"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.628142,9.597323,9.598618,10.002590,11.046140,12.757690,15.149380"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.063991,7.007058,7.014463,7.383854,8.372024,10.036880,12.397740"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.586819,9.555345,9.555410,9.959691,11.004030,12.716070,15.106700"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.916958,6.860438,6.867822,7.237755,8.226180,9.893032,12.255070"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960599,0.927144,0.907417,0.903446,0.899474,0.901093,0.899143"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.802755,-0.810467,-0.812917,-0.818682,-0.818200,-0.824552,-0.820745"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960741,0.927167,0.907567,0.903529,0.899591,0.901108,0.899234"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803119,-0.810709,-0.813318,-0.816417,-0.818596,-0.820354,-0.821129"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.961019,0.927602,0.907832,0.903887,0.899905,0.901560,0.899588"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.802132,-0.809882,-0.812276,-0.815376,-0.817555,-0.819314,-0.820091"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.865176,0.878471,0.877214,0.878872,0.877708,0.879586,0.877222"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.529861,-0.633806,-0.698366,-0.727475,-0.738632,-0.751547,-0.752426"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.865413,0.878748,0.877449,0.879130,0.877958,0.879869,0.877482"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.529398,-0.633381,-0.697889,-0.724421,-0.738153,-0.746640,-0.751944"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.865138,0.878450,0.877180,0.878847,0.877681,0.879571,0.877200"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530068,-0.634025,-0.698566,-0.725100,-0.738831,-0.747319,-0.752623"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.010033,3.978930,3.981655,4.371243,5.381837,7.020642,9.302022"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.019787,1.962711,1.974923,2.341057,3.300181,4.900533,7.152069"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.121204,4.089671,4.093128,4.479916,5.485856,7.123390,9.401531"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.969429,1.913124,1.924615,2.292554,3.254609,4.855797,7.107671"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.005843,3.974687,3.977424,4.366933,5.377354,7.015998,9.297060"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.020129,1.963122,1.975215,2.341375,3.300826,4.900765,7.152200"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.117947,4.086636,4.090127,4.487672,5.482812,7.124005,9.397757"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.973422,1.917117,1.928475,2.296443,3.258326,4.859613,7.111321"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.961191,0.927859,0.907736,0.900969,0.899929,0.896718,0.899772"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.802215,-0.810378,-0.812315,-0.815328,-0.817636,-0.819093,-0.820097"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960671,0.926547,0.907279,0.900734,0.899219,0.896483,0.898838"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803600,-0.810968,-0.813988,-0.816995,-0.819303,-0.820760,-0.821767"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.865549,0.878881,0.877465,0.876492,0.878032,0.875377,0.877572"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.529574,-0.633546,-0.698028,-0.724591,-0.738551,-0.746714,-0.752036"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.865539,0.878269,0.877502,0.876698,0.877873,0.875582,0.877241"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.529969,-0.633337,-0.698646,-0.725204,-0.739162,-0.747324,-0.752643"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.009908,3.978583,3.981550,4.371208,5.381573,7.020621,9.301816"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.019623,1.962774,1.974681,2.340797,3.299912,4.900254,7.151754"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.117812,4.086676,4.089663,4.476199,5.482529,7.120126,9.398168"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.034177,1.977582,1.989340,2.357965,3.320616,4.922013,7.173342"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.006192,3.975551,3.978472,4.368634,5.378115,7.017671,9.298696"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.096928,2.039747,2.051798,2.418845,3.379692,4.979778,7.230334"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.005719,3.974341,3.977320,4.366898,5.377083,7.015978,9.296855"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.019969,1.963186,1.974972,2.341115,3.300557,4.900486,7.151885"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.629807; - fall_capacitance : 2.609437; - rise_capacitance : 2.629807; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.044566,-0.051166,-0.051830", \ - "-0.042616,-0.049233,-0.049867", \ - "0.010805,-0.000369,-0.001582"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.114468,0.121042,0.122069", \ - "0.154787,0.161238,0.162195", \ - "0.296258,0.302718,0.303684"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.076932,0.096668,0.177261"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.033035,2.040041,2.037450,2.038787,2.036320,2.036945,2.033907"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.588490,-1.597400,-1.596900,-1.598720,-1.598750,-1.600740,-1.601530"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.032485,2.039655,2.036105,2.038156,2.035849,2.036472,2.033381"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.388760,-1.392600,-1.399100,-1.400380,-1.398430,-1.403830,-1.399690"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.032431,2.039470,2.036060,2.038037,2.035770,2.036280,2.033267"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.388910,-1.392610,-1.399290,-1.400470,-1.398630,-1.403840,-1.399890"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.801613,3.791167,3.999470,4.679031,5.943229,7.847943,10.416320"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.198840,-0.227889,-0.095478,0.469004,1.669153,3.525677,6.074497"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.801685,3.791419,3.999522,4.679293,5.943337,7.848215,10.416480"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.198627,-0.227863,-0.095202,0.469126,1.669434,3.525682,6.074776"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.801649,3.791299,3.999499,4.679218,5.943287,7.848087,10.416410"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.198731,-0.227878,-0.095337,0.469066,1.669299,3.525681,6.074644"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.032470,2.039633,2.036093,2.038141,2.035841,2.036458,2.033372"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.388770,-1.392590,-1.399110,-1.400390,-1.398450,-1.403830,-1.399710"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.801568,3.791006,3.999439,4.679031,5.943159,7.847768,10.416220"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.198979,-0.227909,-0.095659,0.468924,1.668972,3.525673,6.074317"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.000330,2.018815,2.020932,2.021713,2.021683,2.018220,2.019767"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.376040,-1.384260,-1.381340,-1.380350,-1.379180,-1.381450,-1.381560"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.999812,2.018301,2.020389,2.023542,2.021171,2.021776,2.019305"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.355510,-1.363770,-1.360820,-1.359740,-1.358760,-1.361680,-1.362510"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.999531,2.018117,2.020024,2.020818,2.020774,2.017352,2.018875"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.389450,-1.398490,-1.394970,-1.394840,-1.392440,-1.395190,-1.395450"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.998625,2.017089,2.019228,2.020017,2.019976,2.016531,2.018063"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.380260,-1.388450,-1.385570,-1.384570,-1.383410,-1.385680,-1.385800"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.998825,2.017609,2.019290,2.020023,2.020096,2.016555,2.018259"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.391080,-1.400310,-1.396530,-1.396390,-1.394000,-1.396750,-1.397010"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.998744,2.017303,2.019339,2.020103,2.020119,2.016618,2.018233"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.379830,-1.388120,-1.385110,-1.384120,-1.382960,-1.385220,-1.385350"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.999748,2.018321,2.020355,2.021119,2.021144,2.017636,2.019256"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.377380,-1.385690,-1.382660,-1.381670,-1.380510,-1.382770,-1.382890"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.005352,2.018554,2.019777,2.022588,2.020168,2.020535,2.018174"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.357640,-1.365510,-1.363380,-1.363250,-1.360420,-1.361990,-1.359320"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.042614; - fall_capacitance : 1.874482; - rise_capacitance : 2.042614; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.009744,-0.005569,-0.009963", \ - "-0.017536,-0.015735,-0.020594", \ - "0.096028,0.098577,0.084666"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.007025,-0.001766,-0.005517", \ - "-0.008933,-0.003718,-0.009782", \ - "0.071003,0.076266,0.063444"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.041650,0.037857,0.052021", \ - "0.052626,0.048026,0.061879", \ - "0.075839,0.070584,0.083193"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.049710,0.048749,0.062393", \ - "0.052313,0.051546,0.065157", \ - "0.050808,0.048268,0.062217"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.853999,2.864584,3.189947,4.033310,5.549579,7.818395,10.870240"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.100584,-0.129433,0.104884,0.940907,2.485393,4.754339,7.816545"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.854368,2.864384,3.190402,4.033429,5.549840,7.818115,10.870320"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.100366,-0.128596,0.104893,0.943887,2.485413,4.759465,7.816577"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.809664,7.805565,8.313178,9.783038,12.491300,16.593940,22.178690"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.682330,4.642930,4.986137,6.352266,9.047770,13.159780,18.783990"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.810509,7.805378,8.314139,9.784667,12.492100,16.593670,22.180050"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.725847,4.686666,5.027618,6.394935,9.089630,13.202600,18.825520"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.852637,2.862704,3.188566,4.031660,5.548050,7.816462,10.868580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.104535,-0.132852,0.100838,0.937261,2.481348,4.750983,7.812501"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.852884,2.862721,3.188711,4.031740,5.548167,7.816477,10.868680"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.104952,-0.133092,0.100433,0.939425,2.480952,4.755008,7.812119"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.852979,2.863161,3.188980,4.032121,5.548482,7.816930,10.869030"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.103863,-0.132267,0.101477,0.940468,2.481993,4.756047,7.813155"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.284670,10.279570,10.788040,12.266710,14.987170,19.123020,24.758970"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.057177,6.018127,6.359639,7.730005,10.429850,14.557110,20.204240"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.440250,10.434780,10.943250,12.421130,15.142040,19.277560,24.913260"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.242501,6.203594,6.545125,7.918102,10.616080,14.747560,20.388280"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.398510,10.392830,10.901330,12.379270,15.099750,19.236420,24.871830"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.096541,6.055846,6.399238,7.770195,10.467150,14.599510,20.241340"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.264033,8.287061,8.716222,9.750949,11.480020,13.958630,17.200100"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.072022,6.031795,6.187405,6.999402,8.610803,11.040850,14.409800"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.264182,8.286926,8.716381,9.750428,11.479430,13.957790,17.199580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.987903,5.948587,6.102349,6.915551,8.526859,10.957540,14.325870"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.636077,2.653150,2.989558,3.846149,5.371671,7.644552,10.697300"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.038581,0.014414,0.239223,1.066696,2.605323,4.884178,7.945836"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.636816,2.653167,2.990174,3.846211,5.371938,7.644360,10.697440"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.039147,0.015544,0.239617,1.064824,2.605412,4.880101,7.946240"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.637923,9.659910,10.090690,11.125140,12.855210,15.337260,18.582980"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.545759,8.505289,8.659230,9.470071,11.082090,13.515990,16.886080"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.577154,9.599513,10.029770,11.064080,12.793460,15.273450,18.517940"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.632694,8.592423,8.746253,9.560693,11.170360,13.608140,16.975320"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.673113,9.695272,10.125360,11.160140,12.891330,15.373670,18.619670"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.674740,8.635043,8.788762,9.602369,11.212660,13.650270,17.016180"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.635969,2.652427,2.989473,3.845730,5.371410,7.643765,10.696870"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.038125,0.014553,0.238558,1.063461,2.604657,4.879103,7.945177"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.636173,2.652704,2.989710,3.845745,5.371656,7.644045,10.697130"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.038589,0.014982,0.239037,1.066821,2.605138,4.884000,7.945663"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.635929,2.652405,2.989443,3.845705,5.371384,7.643751,10.696850"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.037927,0.014335,0.238357,1.065827,2.604458,4.883319,7.944980"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.852005,2.863097,3.188885,4.029856,5.548779,7.812320,10.869120"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.091092,-0.119921,0.114349,0.953678,2.494725,4.771339,7.826151"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.852846,2.863206,3.189935,4.030329,5.549647,7.813609,10.869700"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.089129,-0.116769,0.116027,0.955452,2.497319,4.773074,7.827824"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.820507,4.817535,5.329389,6.788902,9.460049,13.480910,18.934680"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.159925,1.120504,1.468178,2.828928,5.471128,9.479472,14.918730"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.937192,4.942175,5.453846,6.901776,9.572380,13.592690,19.031230"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.117896,1.080004,1.426976,2.788994,5.431266,9.441035,14.878070"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.851874,2.862747,3.188775,4.029756,5.548599,7.812273,10.868880"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.091575,-0.120183,0.113784,0.953115,2.494161,4.770773,7.825585"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.851145,2.861957,3.188458,4.029075,5.547847,7.812003,10.868230"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.105696,-0.133492,0.099988,0.938739,2.480245,4.754385,7.811513"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.851312,2.862908,3.188808,4.029247,5.548373,7.812172,10.868910"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.104809,-0.133215,0.101074,0.939827,2.481315,4.755473,7.812596"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.820376,4.817184,5.329286,6.788870,9.459894,13.480900,18.934490"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.159768,1.120568,1.467941,2.828650,5.470811,9.479257,14.918500"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.935658,4.932074,5.444420,6.897942,9.562212,13.579230,19.029570"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.232866,1.194733,1.540533,2.896740,5.527186,9.524131,14.956170"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.820350,4.816908,5.329748,6.788559,9.459793,13.480290,18.934360"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.292175,1.253671,1.600120,2.954520,5.583323,9.579560,15.011110"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.802509,4.824586,5.254150,6.285883,8.019673,10.489950,13.731920"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.859040,2.833448,3.040401,3.898854,5.520864,7.958083,11.310830"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.752111,4.774228,5.204372,6.236308,7.969199,10.439280,13.679870"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.963023,2.938989,3.144873,4.004252,5.625808,8.064710,11.418990"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.879709; - fall_capacitance : 0.847955; - rise_capacitance : 0.879709; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SE & SN"; - sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.012958,-0.006847,-0.007567", \ - "-0.010443,-0.003322,-0.004112", \ - "0.102853,0.110200,0.109635"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.008260,-0.004474,-0.008501", \ - "-0.007116,-0.004688,-0.010682", \ - "0.058723,0.059999,0.046965"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SE & SN"; - sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.047827,0.046982,0.060471", \ - "0.057583,0.056664,0.070072", \ - "0.088122,0.086856,0.099674"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.039499,0.032562,0.033203", \ - "0.043942,0.036830,0.037710", \ - "0.043981,0.036643,0.037242"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.724609,0.702738,0.686722,0.684813,0.681564,0.683403,0.680962"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.608412,-0.615469,-0.613504,-0.617965,-0.615786,-0.621582,-0.616251"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.725026,0.702538,0.687183,0.684912,0.681825,0.683120,0.681049"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.608193,-0.614634,-0.613495,-0.614878,-0.615770,-0.616265,-0.616219"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.962840,6.945620,6.940693,7.214376,7.956625,9.205830,10.971930"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.875411,4.833548,4.839770,5.086256,5.791953,7.011818,8.782505"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.963784,6.945564,6.941683,7.214580,7.957430,9.206393,10.972840"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.917493,4.876786,4.882741,5.128352,5.834223,7.054547,8.824953"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723192,0.700858,0.685343,0.683161,0.680037,0.681471,0.679312"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612295,-0.618893,-0.617555,-0.621613,-0.619833,-0.624938,-0.620293"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723327,0.700877,0.685490,0.683242,0.680153,0.681484,0.679403"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612657,-0.619130,-0.617954,-0.619335,-0.620229,-0.620722,-0.620677"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723605,0.701310,0.685756,0.683601,0.680468,0.681937,0.679756"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.611668,-0.618303,-0.616913,-0.618294,-0.619187,-0.619683,-0.619639"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.450865,9.433044,9.428538,9.707398,10.461390,11.730910,13.528670"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.249463,6.208613,6.214450,6.462513,7.172821,8.403662,10.187590"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.605830,9.588027,9.583612,9.861973,10.616180,11.885560,13.683230"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.434751,6.394042,6.399730,6.649345,7.357897,8.592111,10.370050"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.563827,9.545325,9.541276,9.819764,10.573560,11.843900,13.640910"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.288554,6.245830,6.252084,6.502738,7.210913,8.446941,10.226570"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634138,0.647303,0.645093,0.647665,0.646238,0.649353,0.646679"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.392440,-0.471300,-0.516644,-0.539487,-0.546035,-0.557246,-0.555156"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634625,0.647236,0.645611,0.647863,0.646580,0.649215,0.646872"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.392033,-0.470354,-0.516430,-0.538804,-0.545814,-0.556218,-0.554926"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.961176,6.943558,6.939001,7.212513,7.954468,9.203212,10.968920"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.937475,4.896341,4.902745,5.151199,5.853773,7.080324,8.844819"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.962283,6.944707,6.939997,7.213802,7.955407,9.203818,10.970050"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.940094,4.899173,4.904291,5.149931,5.856123,7.076940,8.847036"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633935,0.646507,0.644932,0.647152,0.645879,0.648468,0.646152"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393073,-0.471341,-0.517487,-0.539818,-0.546876,-0.557209,-0.555998"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634164,0.646776,0.645163,0.647407,0.646127,0.648750,0.646412"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.392603,-0.470914,-0.517006,-0.536577,-0.546394,-0.551983,-0.555514"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633882,0.646479,0.644893,0.647124,0.645851,0.648452,0.646130"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393276,-0.471559,-0.517686,-0.537258,-0.547075,-0.552664,-0.556196"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.449751,9.431639,9.426461,9.705402,10.459220,11.728370,13.525630"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.271417,6.230899,6.236689,6.484528,7.194815,8.426041,10.209690"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.603868,9.585901,9.581766,9.859641,10.614070,11.882640,13.680280"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.456974,6.416582,6.421988,6.672377,7.379712,8.614333,10.392590"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.562268,9.544036,9.539947,9.817767,10.571390,11.841020,13.638320"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.309013,6.268144,6.274365,6.524880,7.232600,8.469165,10.248680"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723542,0.701630,0.685639,0.680686,0.680506,0.677104,0.679916"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.611516,-0.618872,-0.616981,-0.618311,-0.619184,-0.619377,-0.619716"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.724557,0.701855,0.686714,0.681976,0.681320,0.678385,0.680502"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.609518,-0.616086,-0.615266,-0.616593,-0.617459,-0.617653,-0.617986"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.962072,3.944291,3.941285,4.200248,4.912048,6.097780,7.776229"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.488607,1.447874,1.455918,1.700829,2.375117,3.533773,5.187720"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.067126,4.048994,4.046911,4.304824,5.010766,6.195772,7.868963"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.429188,1.389091,1.396544,1.642068,2.317433,3.476881,5.131351"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723419,0.701281,0.685530,0.680639,0.680326,0.677059,0.679678"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612004,-0.619132,-0.617545,-0.618875,-0.619748,-0.619940,-0.620279"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723021,0.700346,0.685203,0.680451,0.679808,0.676838,0.678993"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613125,-0.619375,-0.618518,-0.619905,-0.620801,-0.621300,-0.621260"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723404,0.701302,0.685539,0.680628,0.680331,0.677020,0.679684"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612241,-0.619060,-0.617420,-0.618809,-0.619708,-0.620205,-0.620163"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.962222,3.944211,3.941304,4.200483,4.912154,6.098023,7.776281"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.488884,1.448355,1.456106,1.701018,2.375313,3.533949,5.187877"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.063221,4.045180,4.043055,4.300962,5.006860,6.191888,7.865074"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.429197,1.389186,1.396552,1.641996,2.317470,3.476916,5.131398"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.957899,3.939926,3.937139,4.196156,4.907836,6.093680,7.771945"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.488333,1.447776,1.455563,1.700485,2.374769,3.533440,5.187422"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634883,0.647569,0.645849,0.645246,0.646865,0.644579,0.647145"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.391033,-0.468717,-0.515092,-0.534642,-0.544562,-0.550194,-0.553561"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633788,0.645868,0.644808,0.644373,0.645624,0.643708,0.645734"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393826,-0.470895,-0.518109,-0.537659,-0.547580,-0.553210,-0.556580"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.888195; - fall_capacitance : 1.888195; - rise_capacitance : 1.799679; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.029609,-0.036761,-0.035358", \ - "-0.014420,-0.021847,-0.020849", \ - "0.065505,0.053299,0.052196"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.071428,0.077522,0.082909", \ - "0.081232,0.087388,0.092302", \ - "0.128741,0.134538,0.138872"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.079069,0.099122,0.176014"); - } - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.315623,1.325302,1.322329,1.323931,1.321597,1.323595,1.320440"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.759368,-0.778341,-0.793334,-0.804050,-0.805942,-0.813489,-0.812280"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.315651,1.325424,1.322354,1.324009,1.321651,1.323729,1.320520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.759262,-0.778326,-0.793196,-0.803989,-0.805804,-0.813485,-0.812142"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.315597,1.325241,1.322312,1.323895,1.321573,1.323538,1.320407"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.759410,-0.778347,-0.793391,-0.804074,-0.806000,-0.813491,-0.812338"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.276213,1.291735,1.292809,1.296308,1.295248,1.297707,1.294449"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.914491,-0.921713,-0.919880,-0.921978,-0.918710,-0.923205,-0.918194"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.276281,1.291984,1.292860,1.296466,1.295358,1.297979,1.294611"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.914274,-0.921682,-0.919598,-0.921854,-0.918429,-0.923195,-0.917912"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.276247,1.291863,1.292835,1.296390,1.295305,1.297848,1.294533"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.914380,-0.921696,-0.919735,-0.921915,-0.918566,-0.923201,-0.918049"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.315640,1.325404,1.322346,1.323999,1.321645,1.323716,1.320512"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.759270,-0.778327,-0.793208,-0.803995,-0.805817,-0.813487,-0.812155"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.276170,1.291573,1.292776,1.296206,1.295177,1.297530,1.294344"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.914631,-0.921734,-0.920063,-0.922058,-0.918893,-0.923210,-0.918376"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.310850,1.314456,1.309621,1.310303,1.311757,1.309236,1.310686"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.910306,-0.922437,-0.920741,-0.921122,-0.921217,-0.921396,-0.920636"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.310259,1.313957,1.309026,1.309684,1.311193,1.308622,1.310152"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.911751,-0.923971,-0.922156,-0.922536,-0.922632,-0.922811,-0.922052"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.310884,1.314602,1.309804,1.310496,1.311928,1.309427,1.310870"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.908552,-0.915701,-0.914023,-0.914342,-0.914689,-0.915022,-0.915138"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.310066,1.313613,1.308847,1.309592,1.310939,1.308534,1.309802"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.912021,-0.923935,-0.922516,-0.922885,-0.922987,-0.923167,-0.922411"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.309933,1.314090,1.309113,1.309812,1.311308,1.308765,1.310231"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.907711,-0.916151,-0.914357,-0.914555,-0.914781,-0.915025,-0.915593"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.310185,1.313828,1.308960,1.309679,1.311082,1.308620,1.309972"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.911597,-0.923606,-0.922058,-0.922429,-0.922532,-0.922714,-0.921961"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.310258,1.313947,1.309026,1.309689,1.311195,1.308627,1.310153"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.911751,-0.923963,-0.922159,-0.922540,-0.922636,-0.922815,-0.922056"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.309822,1.313680,1.309030,1.309813,1.311126,1.308765,1.309965"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.908067,-0.916205,-0.914821,-0.915018,-0.915244,-0.915488,-0.916056"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.952483; - fall_capacitance : 0.877992; - rise_capacitance : 0.952483; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.032371,0.042997,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.025961,0.033183,0.146386"); - } - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.653535,9.651007,9.909766,10.735520,12.250320,14.635900,17.993390"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("11.190800,11.172580,11.354720,12.082570,13.649780,16.132760,19.607320"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.119443,5.116032,5.361950,6.159639,7.619341,9.922889,13.177520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.198400,5.182885,5.371531,6.097539,7.623474,10.034770,13.380320"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.653564,9.651131,9.909793,10.737170,12.250380,14.636030,17.993470"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("11.190910,11.172600,11.354860,12.082640,13.649920,16.132770,19.607460"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.120226,5.117299,5.363180,6.161166,7.620633,9.924612,13.178830"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.824162,4.808167,4.996580,5.721903,7.250271,9.664804,13.013730"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.653530,9.650964,9.909772,10.735500,12.250300,14.635850,17.993360"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("11.190760,11.172580,11.354650,12.082540,13.649710,16.132750,19.607260"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.120066,5.117021,5.363042,6.160961,7.620500,9.924417,13.178710"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.824080,4.808208,4.996513,5.721865,7.250088,9.664820,13.013580"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.700326,7.698122,7.951406,8.754718,10.230620,12.558500,15.836310"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.492535,6.475734,6.678857,7.436020,9.018836,11.496430,14.912010"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.243779,8.240315,8.491813,9.309435,10.793150,13.128370,16.409820"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("32.773280,32.758300,32.964550,33.732080,35.321720,37.814350,41.233550"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.643731,9.640923,9.898852,10.748490,12.298910,14.750270,18.214580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("16.279160,16.257300,16.436860,17.198090,18.836260,21.458170,25.155080"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.126910,5.124397,5.370096,6.166673,7.626189,9.930905,13.184730"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.845303,4.829415,5.017645,5.747375,7.271612,9.690094,13.036070"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.841946,9.826419,10.089750,10.936670,12.487470,14.928350,18.382770"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.643760,9.641047,9.898801,10.748570,12.298970,14.750410,18.214660"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("16.279260,16.257320,16.436990,17.198150,18.836400,21.458170,25.155220"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.126355,5.124528,5.370128,6.166756,7.626250,9.931046,13.184820"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.845414,4.829444,5.017781,5.747515,7.271756,9.690235,13.036210"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.842058,9.826442,10.089890,10.936810,12.487620,14.928500,18.382720"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.643546,9.640695,9.898592,10.748300,12.298740,14.750210,18.214520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("16.279130,16.257300,16.436790,17.198060,18.836190,21.458150,25.155010"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.126205,5.124195,5.369953,6.166498,7.626125,9.930851,13.184970"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.845328,4.829483,5.017985,5.747372,7.271573,9.690059,13.036050"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.841727,9.826240,10.089390,10.936450,12.487250,14.928270,18.382480"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.292801,5.290282,5.542604,6.340147,7.809041,10.125210,13.382770"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.632052,4.615521,4.805456,5.543102,7.084412,9.503993,12.854330"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.840695,9.826492,10.082960,10.893920,12.373930,14.693420,17.960940"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.292989,5.290343,5.542881,6.339798,7.809078,10.125880,13.382810"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.651880,4.634573,4.825393,5.563908,7.104812,9.526347,12.871510"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.700844,7.698566,7.950794,8.754873,10.230730,12.558760,15.836470"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.492755,6.475787,6.679144,7.436146,9.019120,11.496440,14.912290"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.243847,8.240588,8.492036,9.309596,10.793280,13.128660,16.410040"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("32.774190,32.758660,32.964570,33.732020,35.321790,37.814350,41.233750"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.700772,7.698283,7.951382,8.754686,10.229660,12.558260,15.836220"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.531541,6.515817,6.721208,7.478929,9.058876,11.540210,14.948140"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.243828,8.240469,8.491853,9.309524,10.793230,13.128530,16.409980"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("32.775970,32.758640,32.964140,33.731970,35.321670,37.814360,41.233620"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.653275,9.650833,9.909524,10.735550,12.250840,14.636040,17.993810"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("11.309590,11.290490,11.471090,12.207270,13.768830,16.257350,19.719760"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.120122,5.117200,5.363087,6.161078,7.620585,9.924607,13.178830"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.824224,4.808221,4.996706,5.721945,7.250279,9.664831,13.013760"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.700273,7.697953,7.951351,8.754607,10.230540,12.558310,15.836200"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.492394,6.475712,6.678673,7.435941,9.018654,11.496420,14.911830"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.243731,8.240153,8.491438,9.309333,10.793080,13.128200,16.409730"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("32.772390,32.758330,32.964610,33.732010,35.321550,37.814350,41.233380"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.292827,5.290528,5.542645,6.340298,7.809138,10.125480,13.382920"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.632264,4.615547,4.805734,5.543228,7.084686,9.503999,12.854610"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.828947,9.814465,10.071170,10.883750,12.365540,14.687670,17.956840"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.293053,5.290583,5.542922,6.339948,7.809183,10.126140,13.382940"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.652117,4.634604,4.825677,5.564188,7.105089,9.526625,12.871790"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.292791,5.290405,5.542618,6.340219,7.809081,10.125350,13.382840"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.632161,4.615532,4.805599,5.543169,7.084552,9.503998,12.854480"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.828842,9.814450,10.071030,10.883610,12.365430,14.687540,17.956700"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.293017,5.290459,5.542894,6.339869,7.809128,10.126010,13.382860"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.652014,4.634590,4.825540,5.564054,7.104954,9.526492,12.871650"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.643596,9.640867,9.898712,10.748410,12.298820,14.750400,18.214630"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("16.279270,16.257320,16.436980,17.198140,18.836380,21.458160,25.155190"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.126258,5.124375,5.371226,6.166615,7.626211,9.931043,13.184810"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.845476,4.829497,5.017830,5.747556,7.271764,9.690248,13.036240"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.841893,9.826287,10.089720,10.936660,12.487460,14.928480,18.382690"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.292750,5.290114,5.542564,6.340039,7.808962,10.125030,13.382650"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.631910,4.615496,4.805272,5.543019,7.084228,9.503987,12.854150"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.828728,9.814459,10.070750,10.882850,12.365360,14.687240,17.956280"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.292938,5.290175,5.542841,6.339689,7.809000,10.125700,13.382700"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.651742,4.634552,4.825210,5.563725,7.104630,9.526164,12.871330"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.063852,0.066056,0.068226,0.072299,0.079902,0.094639,0.124116", \ - "0.064617,0.066822,0.068993,0.073062,0.080667,0.095404,0.124879", \ - "0.067222,0.069424,0.071595,0.075667,0.083272,0.098009,0.127491", \ - "0.069668,0.071872,0.074044,0.078115,0.085722,0.100455,0.129937", \ - "0.071063,0.073265,0.075436,0.079505,0.087109,0.101842,0.131321", \ - "0.070972,0.073175,0.075343,0.079413,0.087012,0.101752,0.131220", \ - "0.069007,0.071216,0.073390,0.077447,0.085046,0.099773,0.129252"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.069796,0.072514,0.075300,0.080644,0.090981,0.111430,0.152431", \ - "0.070563,0.073281,0.076067,0.081411,0.091747,0.112196,0.153192", \ - "0.073367,0.076087,0.078871,0.084214,0.094552,0.115003,0.155998", \ - "0.076159,0.078877,0.081662,0.087008,0.097343,0.117796,0.158791", \ - "0.078004,0.080722,0.083509,0.088852,0.099187,0.119640,0.160639", \ - "0.078392,0.081111,0.083898,0.089243,0.099578,0.120030,0.161030", \ - "0.077033,0.079751,0.082537,0.087881,0.098217,0.118668,0.159670"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003993,0.005243,0.006537,0.009192,0.014867,0.027162,0.052670", \ - "0.003993,0.005246,0.006539,0.009190,0.014869,0.027162,0.052661", \ - "0.003989,0.005242,0.006539,0.009190,0.014868,0.027163,0.052667", \ - "0.003991,0.005244,0.006540,0.009189,0.014870,0.027166,0.052664", \ - "0.003986,0.005243,0.006534,0.009188,0.014870,0.027164,0.052668", \ - "0.003993,0.005250,0.006540,0.009194,0.014869,0.027168,0.052652", \ - "0.003996,0.005249,0.006545,0.009193,0.014870,0.027163,0.052670"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003939,0.006027,0.008264,0.012614,0.021636,0.040505,0.078854", \ - "0.003936,0.006026,0.008265,0.012613,0.021635,0.040504,0.078853", \ - "0.003939,0.006027,0.008262,0.012612,0.021636,0.040504,0.078856", \ - "0.003939,0.006026,0.008260,0.012612,0.021636,0.040504,0.078853", \ - "0.003936,0.006026,0.008266,0.012613,0.021634,0.040501,0.078859", \ - "0.003937,0.006026,0.008262,0.012612,0.021635,0.040503,0.078853", \ - "0.003940,0.006030,0.008261,0.012613,0.021635,0.040503,0.078855"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013282,0.015959,0.018431,0.022756,0.030536,0.045411,0.074954", \ - "0.014218,0.016888,0.019361,0.023686,0.031467,0.046341,0.075889", \ - "0.018196,0.020806,0.023244,0.027542,0.035305,0.050166,0.079705", \ - "0.023723,0.026580,0.029177,0.033640,0.041502,0.056300,0.085756", \ - "0.029509,0.032656,0.035494,0.040293,0.048479,0.063394,0.092757", \ - "0.035458,0.038916,0.042049,0.047301,0.056067,0.071402,0.100780", \ - "0.041404,0.045159,0.048599,0.054370,0.063883,0.080030,0.109886"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017053,0.020286,0.023441,0.029143,0.039723,0.060311,0.101326", \ - "0.017643,0.020874,0.024028,0.029728,0.040309,0.060889,0.101913", \ - "0.020587,0.023787,0.026910,0.032565,0.043097,0.063649,0.104642", \ - "0.025099,0.028312,0.031435,0.037079,0.047550,0.067957,0.108849", \ - "0.029468,0.032864,0.036094,0.041817,0.052259,0.072585,0.113307", \ - "0.033492,0.037149,0.040588,0.046622,0.057311,0.077683,0.118311", \ - "0.036928,0.040863,0.044551,0.051000,0.062167,0.082930,0.123767"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004416,0.005842,0.008683,0.014582,0.027026,0.052552", \ - "0.003098,0.004416,0.005841,0.008684,0.014582,0.027027,0.052546", \ - "0.003251,0.004533,0.005931,0.008742,0.014607,0.027032,0.052557", \ - "0.004500,0.005657,0.006911,0.009467,0.014971,0.027098,0.052554", \ - "0.005980,0.007157,0.008360,0.010758,0.015882,0.027497,0.052622", \ - "0.007818,0.009064,0.010295,0.012646,0.017484,0.028400,0.052911", \ - "0.009982,0.011302,0.012613,0.015010,0.019755,0.030178,0.053829"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003820,0.005911,0.008146,0.012534,0.021606,0.040478,0.078806", \ - "0.003819,0.005911,0.008147,0.012535,0.021609,0.040486,0.078816", \ - "0.003835,0.005930,0.008165,0.012554,0.021615,0.040476,0.078826", \ - "0.004627,0.006472,0.008615,0.012894,0.021780,0.040527,0.078820", \ - "0.005884,0.007511,0.009501,0.013534,0.022169,0.040713,0.078861", \ - "0.007575,0.009078,0.010970,0.014847,0.023122,0.041241,0.079044", \ - "0.009646,0.011069,0.012887,0.016707,0.024692,0.042512,0.079738"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013279,0.015957,0.018430,0.022754,0.030533,0.045406,0.075017", \ - "0.014215,0.016887,0.019359,0.023684,0.031465,0.046338,0.075950", \ - "0.018195,0.020807,0.023243,0.027541,0.035304,0.050164,0.079770", \ - "0.023722,0.026580,0.029171,0.033638,0.041499,0.056295,0.085820", \ - "0.029509,0.032659,0.035495,0.040291,0.048477,0.063387,0.092810", \ - "0.035458,0.038916,0.042047,0.047300,0.056065,0.071395,0.100820", \ - "0.041402,0.045155,0.048599,0.054369,0.063883,0.080024,0.109912"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003098,0.004415,0.005840,0.008683,0.014582,0.027042,0.052743", \ - "0.003099,0.004417,0.005841,0.008682,0.014585,0.027043,0.052743", \ - "0.003250,0.004534,0.005931,0.008743,0.014606,0.027049,0.052744", \ - "0.004501,0.005658,0.006904,0.009467,0.014965,0.027124,0.052746", \ - "0.005979,0.007156,0.008360,0.010758,0.015881,0.027511,0.052805", \ - "0.007825,0.009060,0.010296,0.012644,0.017479,0.028405,0.053086", \ - "0.009981,0.011308,0.012608,0.015013,0.019748,0.030174,0.053939"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013282,0.015959,0.018431,0.022756,0.030536,0.045411,0.074954", \ - "0.014218,0.016888,0.019361,0.023686,0.031467,0.046341,0.075889", \ - "0.018196,0.020806,0.023244,0.027542,0.035305,0.050166,0.079705", \ - "0.023723,0.026580,0.029177,0.033640,0.041502,0.056300,0.085756", \ - "0.029509,0.032656,0.035494,0.040293,0.048479,0.063394,0.092757", \ - "0.035458,0.038916,0.042049,0.047301,0.056067,0.071402,0.100780", \ - "0.041404,0.045159,0.048599,0.054370,0.063883,0.080030,0.109886"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017053,0.020286,0.023441,0.029143,0.039723,0.060311,0.101326", \ - "0.017643,0.020874,0.024028,0.029728,0.040309,0.060889,0.101913", \ - "0.020587,0.023787,0.026910,0.032565,0.043097,0.063649,0.104642", \ - "0.025099,0.028312,0.031435,0.037079,0.047550,0.067957,0.108849", \ - "0.029468,0.032864,0.036094,0.041817,0.052259,0.072585,0.113307", \ - "0.033492,0.037149,0.040588,0.046622,0.057311,0.077683,0.118311", \ - "0.036928,0.040863,0.044551,0.051000,0.062167,0.082930,0.123767"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004416,0.005842,0.008683,0.014582,0.027026,0.052552", \ - "0.003098,0.004416,0.005841,0.008684,0.014582,0.027027,0.052546", \ - "0.003251,0.004533,0.005931,0.008742,0.014607,0.027032,0.052557", \ - "0.004500,0.005657,0.006911,0.009467,0.014971,0.027098,0.052554", \ - "0.005980,0.007157,0.008360,0.010758,0.015882,0.027497,0.052623", \ - "0.007818,0.009064,0.010295,0.012646,0.017484,0.028400,0.052911", \ - "0.009982,0.011302,0.012613,0.015010,0.019755,0.030178,0.053829"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003820,0.005911,0.008146,0.012534,0.021606,0.040478,0.078806", \ - "0.003819,0.005910,0.008146,0.012535,0.021609,0.040486,0.078816", \ - "0.003835,0.005930,0.008165,0.012554,0.021615,0.040476,0.078826", \ - "0.004627,0.006472,0.008615,0.012894,0.021780,0.040527,0.078820", \ - "0.005884,0.007511,0.009501,0.013534,0.022169,0.040713,0.078861", \ - "0.007575,0.009078,0.010970,0.014847,0.023122,0.041241,0.079044", \ - "0.009646,0.011069,0.012887,0.016707,0.024692,0.042512,0.079738"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013279,0.015957,0.018430,0.022754,0.030533,0.045406,0.075017", \ - "0.014215,0.016887,0.019359,0.023684,0.031465,0.046338,0.075950", \ - "0.018195,0.020807,0.023243,0.027541,0.035304,0.050163,0.079770", \ - "0.023722,0.026580,0.029171,0.033638,0.041499,0.056295,0.085820", \ - "0.029509,0.032659,0.035495,0.040291,0.048477,0.063387,0.092810", \ - "0.035458,0.038916,0.042047,0.047300,0.056065,0.071395,0.100820", \ - "0.041402,0.045155,0.048599,0.054369,0.063883,0.080024,0.109912"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003098,0.004415,0.005840,0.008683,0.014582,0.027042,0.052743", \ - "0.003099,0.004417,0.005841,0.008682,0.014585,0.027043,0.052743", \ - "0.003250,0.004534,0.005931,0.008743,0.014606,0.027049,0.052744", \ - "0.004501,0.005658,0.006904,0.009467,0.014965,0.027124,0.052746", \ - "0.005979,0.007156,0.008360,0.010758,0.015881,0.027511,0.052805", \ - "0.007825,0.009060,0.010296,0.012644,0.017479,0.028405,0.053086", \ - "0.009981,0.011308,0.012608,0.015013,0.019748,0.030174,0.053939"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013282,0.015959,0.018431,0.022756,0.030536,0.045411,0.074954", \ - "0.014218,0.016888,0.019361,0.023686,0.031467,0.046341,0.075889", \ - "0.018196,0.020806,0.023244,0.027542,0.035306,0.050165,0.079705", \ - "0.023723,0.026580,0.029177,0.033640,0.041502,0.056300,0.085756", \ - "0.029509,0.032656,0.035494,0.040293,0.048479,0.063394,0.092757", \ - "0.035458,0.038916,0.042049,0.047301,0.056067,0.071402,0.100780", \ - "0.041404,0.045159,0.048599,0.054370,0.063883,0.080030,0.109886"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017053,0.020286,0.023441,0.029143,0.039723,0.060311,0.101326", \ - "0.017643,0.020874,0.024028,0.029728,0.040309,0.060889,0.101913", \ - "0.020587,0.023787,0.026910,0.032565,0.043097,0.063649,0.104642", \ - "0.025099,0.028312,0.031435,0.037079,0.047550,0.067957,0.108849", \ - "0.029468,0.032864,0.036094,0.041817,0.052259,0.072585,0.113307", \ - "0.033492,0.037149,0.040588,0.046622,0.057311,0.077683,0.118311", \ - "0.036928,0.040863,0.044551,0.051000,0.062167,0.082930,0.123767"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004416,0.005842,0.008683,0.014582,0.027026,0.052552", \ - "0.003098,0.004416,0.005841,0.008684,0.014582,0.027027,0.052546", \ - "0.003251,0.004533,0.005931,0.008742,0.014607,0.027030,0.052557", \ - "0.004500,0.005657,0.006911,0.009467,0.014971,0.027098,0.052554", \ - "0.005980,0.007157,0.008360,0.010758,0.015882,0.027497,0.052623", \ - "0.007818,0.009064,0.010295,0.012646,0.017484,0.028400,0.052911", \ - "0.009982,0.011302,0.012613,0.015010,0.019755,0.030178,0.053829"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003820,0.005911,0.008146,0.012534,0.021606,0.040478,0.078806", \ - "0.003819,0.005910,0.008147,0.012535,0.021609,0.040486,0.078816", \ - "0.003835,0.005931,0.008165,0.012554,0.021615,0.040476,0.078826", \ - "0.004627,0.006472,0.008615,0.012894,0.021780,0.040527,0.078820", \ - "0.005884,0.007511,0.009501,0.013534,0.022169,0.040713,0.078861", \ - "0.007575,0.009078,0.010970,0.014847,0.023122,0.041241,0.079044", \ - "0.009646,0.011069,0.012887,0.016707,0.024692,0.042512,0.079738"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013279,0.015957,0.018430,0.022754,0.030533,0.045406,0.075017", \ - "0.014215,0.016887,0.019359,0.023684,0.031465,0.046338,0.075950", \ - "0.018195,0.020807,0.023243,0.027541,0.035304,0.050164,0.079770", \ - "0.023722,0.026580,0.029171,0.033638,0.041499,0.056295,0.085820", \ - "0.029509,0.032659,0.035495,0.040291,0.048477,0.063387,0.092810", \ - "0.035458,0.038916,0.042047,0.047300,0.056065,0.071395,0.100820", \ - "0.041402,0.045155,0.048599,0.054369,0.063883,0.080024,0.109912"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003098,0.004415,0.005840,0.008683,0.014582,0.027042,0.052743", \ - "0.003099,0.004417,0.005841,0.008682,0.014585,0.027043,0.052743", \ - "0.003250,0.004534,0.005931,0.008743,0.014606,0.027049,0.052744", \ - "0.004501,0.005658,0.006904,0.009467,0.014965,0.027124,0.052746", \ - "0.005979,0.007156,0.008360,0.010758,0.015881,0.027511,0.052805", \ - "0.007825,0.009060,0.010296,0.012644,0.017479,0.028405,0.053086", \ - "0.009981,0.011308,0.012608,0.015013,0.019748,0.030174,0.053939"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013292,0.015969,0.018443,0.022766,0.030547,0.045422,0.074968", \ - "0.014228,0.016900,0.019371,0.023695,0.031476,0.046353,0.075897", \ - "0.018208,0.020821,0.023257,0.027555,0.035320,0.050179,0.079720", \ - "0.023743,0.026603,0.029197,0.033662,0.041523,0.056322,0.085776", \ - "0.029540,0.032691,0.035527,0.040324,0.048511,0.063426,0.092788", \ - "0.035500,0.038959,0.042092,0.047344,0.056108,0.071445,0.100821", \ - "0.041455,0.045212,0.048654,0.054421,0.063935,0.080081,0.109932"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017073,0.020306,0.023461,0.029163,0.039745,0.060324,0.101349", \ - "0.017663,0.020895,0.024049,0.029748,0.040331,0.060912,0.101932", \ - "0.020609,0.023809,0.026932,0.032586,0.043119,0.063665,0.104666", \ - "0.025127,0.028341,0.031465,0.037108,0.047576,0.067989,0.108877", \ - "0.029503,0.032898,0.036128,0.041850,0.052294,0.072620,0.113339", \ - "0.033527,0.037185,0.040624,0.046658,0.057346,0.077720,0.118344", \ - "0.036967,0.040902,0.044588,0.051037,0.062204,0.082966,0.123800"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003101,0.004417,0.005845,0.008686,0.014585,0.027025,0.052545", \ - "0.003100,0.004418,0.005844,0.008685,0.014583,0.027027,0.052552", \ - "0.003251,0.004535,0.005932,0.008744,0.014607,0.027031,0.052547", \ - "0.004499,0.005659,0.006904,0.009471,0.014970,0.027095,0.052555", \ - "0.005983,0.007164,0.008359,0.010758,0.015883,0.027496,0.052613", \ - "0.007822,0.009067,0.010297,0.012645,0.017489,0.028398,0.052923", \ - "0.009984,0.011303,0.012616,0.015013,0.019754,0.030178,0.053825"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003822,0.005913,0.008146,0.012535,0.021612,0.040474,0.078805", \ - "0.003822,0.005910,0.008147,0.012537,0.021611,0.040472,0.078815", \ - "0.003836,0.005932,0.008166,0.012555,0.021619,0.040487,0.078803", \ - "0.004625,0.006473,0.008613,0.012895,0.021777,0.040520,0.078818", \ - "0.005883,0.007512,0.009501,0.013537,0.022175,0.040715,0.078859", \ - "0.007577,0.009083,0.010971,0.014846,0.023120,0.041239,0.079046", \ - "0.009648,0.011070,0.012887,0.016707,0.024681,0.042510,0.079738"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013290,0.015968,0.018442,0.022764,0.030543,0.045416,0.075025", \ - "0.014225,0.016897,0.019370,0.023694,0.031474,0.046347,0.075959", \ - "0.018209,0.020818,0.023256,0.027553,0.035317,0.050176,0.079781", \ - "0.023742,0.026600,0.029193,0.033659,0.041520,0.056318,0.085843", \ - "0.029543,0.032690,0.035528,0.040325,0.048512,0.063427,0.092852", \ - "0.035499,0.038958,0.042090,0.047343,0.056112,0.071442,0.100873", \ - "0.041452,0.045209,0.048655,0.054421,0.063938,0.080088,0.109983"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004418,0.005840,0.008685,0.014584,0.027045,0.052742", \ - "0.003101,0.004420,0.005840,0.008684,0.014584,0.027043,0.052742", \ - "0.003251,0.004532,0.005935,0.008742,0.014610,0.027049,0.052744", \ - "0.004498,0.005655,0.006905,0.009467,0.014963,0.027121,0.052746", \ - "0.005982,0.007158,0.008361,0.010756,0.015880,0.027511,0.052804", \ - "0.007827,0.009060,0.010297,0.012644,0.017477,0.028404,0.053084", \ - "0.009985,0.011307,0.012612,0.015011,0.019745,0.030171,0.053934"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013292,0.015969,0.018443,0.022766,0.030547,0.045422,0.074968", \ - "0.014228,0.016900,0.019371,0.023695,0.031476,0.046353,0.075897", \ - "0.018208,0.020821,0.023257,0.027555,0.035320,0.050179,0.079720", \ - "0.023743,0.026603,0.029197,0.033662,0.041523,0.056322,0.085776", \ - "0.029540,0.032691,0.035527,0.040324,0.048511,0.063426,0.092788", \ - "0.035500,0.038959,0.042092,0.047344,0.056108,0.071445,0.100821", \ - "0.041455,0.045212,0.048654,0.054421,0.063935,0.080081,0.109932"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017073,0.020306,0.023461,0.029163,0.039745,0.060324,0.101349", \ - "0.017663,0.020895,0.024049,0.029748,0.040331,0.060913,0.101932", \ - "0.020609,0.023809,0.026932,0.032586,0.043119,0.063665,0.104666", \ - "0.025127,0.028341,0.031465,0.037108,0.047576,0.067989,0.108877", \ - "0.029503,0.032898,0.036128,0.041850,0.052294,0.072620,0.113339", \ - "0.033527,0.037185,0.040624,0.046658,0.057346,0.077720,0.118344", \ - "0.036967,0.040902,0.044588,0.051037,0.062204,0.082966,0.123800"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003101,0.004417,0.005845,0.008686,0.014585,0.027025,0.052545", \ - "0.003100,0.004418,0.005844,0.008685,0.014583,0.027027,0.052552", \ - "0.003251,0.004535,0.005932,0.008744,0.014607,0.027031,0.052547", \ - "0.004499,0.005659,0.006904,0.009471,0.014970,0.027095,0.052555", \ - "0.005983,0.007164,0.008359,0.010758,0.015883,0.027496,0.052613", \ - "0.007822,0.009067,0.010297,0.012645,0.017489,0.028398,0.052923", \ - "0.009984,0.011303,0.012616,0.015013,0.019754,0.030178,0.053825"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003822,0.005913,0.008146,0.012535,0.021612,0.040474,0.078804", \ - "0.003822,0.005910,0.008147,0.012537,0.021611,0.040472,0.078815", \ - "0.003836,0.005932,0.008166,0.012555,0.021619,0.040487,0.078803", \ - "0.004625,0.006473,0.008613,0.012895,0.021777,0.040520,0.078818", \ - "0.005883,0.007512,0.009501,0.013537,0.022175,0.040715,0.078859", \ - "0.007577,0.009083,0.010971,0.014846,0.023120,0.041239,0.079046", \ - "0.009648,0.011070,0.012887,0.016707,0.024681,0.042510,0.079738"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013290,0.015968,0.018442,0.022764,0.030543,0.045415,0.075025", \ - "0.014225,0.016897,0.019370,0.023694,0.031474,0.046347,0.075959", \ - "0.018209,0.020818,0.023256,0.027553,0.035317,0.050176,0.079781", \ - "0.023742,0.026600,0.029193,0.033659,0.041520,0.056318,0.085843", \ - "0.029543,0.032690,0.035528,0.040325,0.048512,0.063427,0.092852", \ - "0.035499,0.038959,0.042091,0.047342,0.056112,0.071442,0.100873", \ - "0.041452,0.045209,0.048653,0.054420,0.063938,0.080088,0.109983"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004418,0.005840,0.008685,0.014584,0.027043,0.052742", \ - "0.003101,0.004420,0.005840,0.008684,0.014584,0.027043,0.052742", \ - "0.003251,0.004532,0.005935,0.008742,0.014610,0.027049,0.052744", \ - "0.004498,0.005655,0.006905,0.009467,0.014963,0.027121,0.052746", \ - "0.005982,0.007158,0.008361,0.010756,0.015880,0.027511,0.052804", \ - "0.007827,0.009062,0.010291,0.012644,0.017477,0.028404,0.053084", \ - "0.009985,0.011307,0.012613,0.015012,0.019745,0.030171,0.053934"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013292,0.015969,0.018443,0.022766,0.030547,0.045422,0.074968", \ - "0.014228,0.016900,0.019371,0.023695,0.031476,0.046353,0.075897", \ - "0.018208,0.020821,0.023257,0.027555,0.035320,0.050179,0.079720", \ - "0.023743,0.026603,0.029197,0.033662,0.041523,0.056322,0.085776", \ - "0.029540,0.032691,0.035527,0.040324,0.048511,0.063426,0.092788", \ - "0.035500,0.038959,0.042092,0.047344,0.056108,0.071445,0.100821", \ - "0.041455,0.045212,0.048654,0.054421,0.063935,0.080081,0.109932"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017073,0.020306,0.023461,0.029163,0.039745,0.060324,0.101349", \ - "0.017663,0.020895,0.024049,0.029748,0.040331,0.060913,0.101932", \ - "0.020609,0.023809,0.026932,0.032586,0.043119,0.063665,0.104666", \ - "0.025127,0.028341,0.031465,0.037108,0.047576,0.067989,0.108877", \ - "0.029503,0.032898,0.036128,0.041850,0.052294,0.072620,0.113339", \ - "0.033527,0.037185,0.040624,0.046658,0.057346,0.077720,0.118344", \ - "0.036967,0.040902,0.044588,0.051037,0.062204,0.082966,0.123800"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003101,0.004417,0.005845,0.008686,0.014585,0.027025,0.052545", \ - "0.003100,0.004418,0.005844,0.008685,0.014583,0.027027,0.052552", \ - "0.003251,0.004535,0.005932,0.008744,0.014607,0.027031,0.052547", \ - "0.004499,0.005659,0.006904,0.009471,0.014970,0.027095,0.052555", \ - "0.005983,0.007164,0.008359,0.010758,0.015883,0.027496,0.052613", \ - "0.007822,0.009067,0.010297,0.012645,0.017489,0.028398,0.052923", \ - "0.009984,0.011303,0.012616,0.015013,0.019754,0.030178,0.053825"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003822,0.005913,0.008146,0.012535,0.021612,0.040474,0.078804", \ - "0.003822,0.005910,0.008147,0.012537,0.021611,0.040472,0.078815", \ - "0.003836,0.005932,0.008166,0.012555,0.021619,0.040487,0.078803", \ - "0.004625,0.006473,0.008613,0.012895,0.021777,0.040520,0.078818", \ - "0.005883,0.007512,0.009501,0.013537,0.022175,0.040715,0.078859", \ - "0.007577,0.009083,0.010971,0.014846,0.023120,0.041239,0.079046", \ - "0.009648,0.011070,0.012887,0.016707,0.024681,0.042510,0.079738"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013290,0.015968,0.018442,0.022764,0.030543,0.045415,0.075025", \ - "0.014225,0.016897,0.019370,0.023694,0.031474,0.046347,0.075959", \ - "0.018209,0.020818,0.023256,0.027553,0.035317,0.050176,0.079781", \ - "0.023742,0.026600,0.029193,0.033659,0.041520,0.056318,0.085843", \ - "0.029543,0.032690,0.035528,0.040325,0.048512,0.063427,0.092852", \ - "0.035499,0.038959,0.042091,0.047342,0.056112,0.071442,0.100873", \ - "0.041452,0.045209,0.048653,0.054420,0.063938,0.080088,0.109983"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004418,0.005840,0.008685,0.014584,0.027046,0.052742", \ - "0.003101,0.004420,0.005840,0.008684,0.014584,0.027043,0.052742", \ - "0.003251,0.004532,0.005935,0.008742,0.014610,0.027049,0.052744", \ - "0.004498,0.005655,0.006905,0.009467,0.014963,0.027121,0.052746", \ - "0.005982,0.007158,0.008361,0.010756,0.015880,0.027509,0.052804", \ - "0.007827,0.009062,0.010291,0.012644,0.017477,0.028404,0.053084", \ - "0.009985,0.011307,0.012613,0.015012,0.019745,0.030171,0.053934"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013282,0.015959,0.018431,0.022756,0.030536,0.045411,0.074954", \ - "0.014218,0.016888,0.019361,0.023686,0.031467,0.046341,0.075889", \ - "0.018196,0.020806,0.023244,0.027542,0.035305,0.050165,0.079705", \ - "0.023723,0.026580,0.029177,0.033640,0.041502,0.056300,0.085756", \ - "0.029509,0.032656,0.035494,0.040293,0.048479,0.063394,0.092757", \ - "0.035458,0.038916,0.042049,0.047301,0.056067,0.071402,0.100780", \ - "0.041404,0.045159,0.048599,0.054370,0.063883,0.080030,0.109886"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017053,0.020286,0.023441,0.029143,0.039723,0.060311,0.101326", \ - "0.017643,0.020874,0.024028,0.029728,0.040309,0.060889,0.101913", \ - "0.020587,0.023787,0.026910,0.032565,0.043097,0.063649,0.104642", \ - "0.025099,0.028312,0.031435,0.037079,0.047550,0.067957,0.108849", \ - "0.029468,0.032864,0.036094,0.041817,0.052259,0.072585,0.113307", \ - "0.033492,0.037149,0.040588,0.046622,0.057311,0.077683,0.118311", \ - "0.036928,0.040863,0.044551,0.051000,0.062167,0.082930,0.123767"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004416,0.005842,0.008683,0.014582,0.027026,0.052552", \ - "0.003098,0.004416,0.005841,0.008684,0.014582,0.027027,0.052546", \ - "0.003251,0.004533,0.005931,0.008742,0.014607,0.027030,0.052557", \ - "0.004500,0.005657,0.006911,0.009467,0.014971,0.027098,0.052554", \ - "0.005980,0.007157,0.008360,0.010758,0.015882,0.027497,0.052622", \ - "0.007818,0.009064,0.010295,0.012646,0.017484,0.028400,0.052911", \ - "0.009982,0.011302,0.012613,0.015010,0.019755,0.030178,0.053829"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003820,0.005911,0.008146,0.012534,0.021606,0.040478,0.078806", \ - "0.003819,0.005911,0.008147,0.012535,0.021609,0.040486,0.078816", \ - "0.003835,0.005931,0.008165,0.012554,0.021615,0.040476,0.078826", \ - "0.004627,0.006472,0.008615,0.012894,0.021780,0.040527,0.078820", \ - "0.005884,0.007511,0.009501,0.013534,0.022169,0.040713,0.078861", \ - "0.007575,0.009078,0.010970,0.014847,0.023122,0.041241,0.079044", \ - "0.009646,0.011069,0.012887,0.016707,0.024692,0.042512,0.079738"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013279,0.015957,0.018430,0.022754,0.030533,0.045406,0.075017", \ - "0.014215,0.016887,0.019359,0.023684,0.031465,0.046338,0.075950", \ - "0.018195,0.020807,0.023243,0.027541,0.035304,0.050164,0.079770", \ - "0.023722,0.026580,0.029171,0.033638,0.041499,0.056295,0.085820", \ - "0.029509,0.032659,0.035495,0.040291,0.048477,0.063387,0.092810", \ - "0.035458,0.038916,0.042047,0.047300,0.056065,0.071395,0.100820", \ - "0.041402,0.045155,0.048599,0.054369,0.063883,0.080024,0.109912"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003098,0.004415,0.005840,0.008683,0.014582,0.027042,0.052743", \ - "0.003099,0.004417,0.005841,0.008682,0.014585,0.027043,0.052743", \ - "0.003250,0.004534,0.005931,0.008743,0.014606,0.027049,0.052744", \ - "0.004501,0.005658,0.006904,0.009467,0.014965,0.027124,0.052746", \ - "0.005979,0.007156,0.008360,0.010758,0.015881,0.027511,0.052805", \ - "0.007825,0.009060,0.010296,0.012644,0.017479,0.028405,0.053086", \ - "0.009981,0.011308,0.012608,0.015013,0.019748,0.030174,0.053939"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013292,0.015969,0.018443,0.022766,0.030547,0.045422,0.074968", \ - "0.014228,0.016900,0.019371,0.023695,0.031476,0.046353,0.075897", \ - "0.018208,0.020821,0.023257,0.027555,0.035320,0.050179,0.079720", \ - "0.023743,0.026603,0.029197,0.033662,0.041523,0.056322,0.085776", \ - "0.029540,0.032691,0.035527,0.040324,0.048511,0.063426,0.092788", \ - "0.035500,0.038959,0.042092,0.047344,0.056108,0.071445,0.100821", \ - "0.041455,0.045212,0.048654,0.054421,0.063935,0.080081,0.109932"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017073,0.020306,0.023461,0.029164,0.039745,0.060324,0.101349", \ - "0.017663,0.020895,0.024049,0.029748,0.040331,0.060913,0.101932", \ - "0.020609,0.023809,0.026932,0.032586,0.043119,0.063665,0.104666", \ - "0.025127,0.028341,0.031465,0.037108,0.047576,0.067989,0.108877", \ - "0.029503,0.032898,0.036128,0.041850,0.052294,0.072620,0.113339", \ - "0.033527,0.037185,0.040624,0.046658,0.057346,0.077720,0.118344", \ - "0.036967,0.040902,0.044588,0.051037,0.062204,0.082966,0.123800"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003101,0.004417,0.005845,0.008686,0.014585,0.027025,0.052545", \ - "0.003100,0.004418,0.005844,0.008685,0.014583,0.027027,0.052552", \ - "0.003251,0.004535,0.005932,0.008744,0.014607,0.027031,0.052547", \ - "0.004499,0.005659,0.006904,0.009471,0.014970,0.027095,0.052555", \ - "0.005983,0.007164,0.008359,0.010758,0.015883,0.027496,0.052613", \ - "0.007822,0.009067,0.010297,0.012645,0.017489,0.028398,0.052923", \ - "0.009984,0.011303,0.012616,0.015013,0.019754,0.030178,0.053825"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003822,0.005913,0.008146,0.012535,0.021612,0.040474,0.078805", \ - "0.003822,0.005910,0.008147,0.012537,0.021611,0.040472,0.078815", \ - "0.003836,0.005932,0.008166,0.012555,0.021619,0.040487,0.078803", \ - "0.004625,0.006473,0.008613,0.012895,0.021777,0.040520,0.078818", \ - "0.005883,0.007512,0.009501,0.013537,0.022175,0.040715,0.078859", \ - "0.007577,0.009083,0.010971,0.014846,0.023120,0.041239,0.079046", \ - "0.009648,0.011070,0.012887,0.016707,0.024681,0.042510,0.079738"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013290,0.015968,0.018442,0.022764,0.030543,0.045415,0.075025", \ - "0.014225,0.016897,0.019370,0.023694,0.031474,0.046347,0.075959", \ - "0.018209,0.020818,0.023256,0.027553,0.035317,0.050176,0.079781", \ - "0.023742,0.026600,0.029193,0.033659,0.041520,0.056318,0.085843", \ - "0.029543,0.032690,0.035528,0.040325,0.048512,0.063427,0.092852", \ - "0.035499,0.038959,0.042091,0.047342,0.056112,0.071442,0.100873", \ - "0.041452,0.045209,0.048653,0.054420,0.063938,0.080088,0.109983"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004418,0.005840,0.008685,0.014584,0.027043,0.052742", \ - "0.003101,0.004420,0.005840,0.008684,0.014584,0.027043,0.052742", \ - "0.003251,0.004532,0.005935,0.008742,0.014610,0.027049,0.052744", \ - "0.004498,0.005655,0.006905,0.009467,0.014963,0.027121,0.052746", \ - "0.005982,0.007158,0.008361,0.010756,0.015880,0.027511,0.052804", \ - "0.007827,0.009062,0.010291,0.012644,0.017477,0.028404,0.053084", \ - "0.009985,0.011307,0.012613,0.015012,0.019745,0.030171,0.053934"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013291,0.015969,0.018443,0.022766,0.030546,0.045420,0.074974", \ - "0.014227,0.016900,0.019373,0.023695,0.031478,0.046352,0.075902", \ - "0.018207,0.020820,0.023257,0.027555,0.035319,0.050182,0.079724", \ - "0.023743,0.026600,0.029196,0.033661,0.041522,0.056322,0.085782", \ - "0.029540,0.032689,0.035527,0.040325,0.048510,0.063425,0.092785", \ - "0.035497,0.038956,0.042090,0.047341,0.056107,0.071439,0.100820", \ - "0.041449,0.045204,0.048646,0.054418,0.063932,0.080073,0.109932"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017063,0.020294,0.023448,0.029148,0.039727,0.060318,0.101352", \ - "0.017653,0.020883,0.024036,0.029734,0.040313,0.060899,0.101936", \ - "0.020597,0.023797,0.026919,0.032571,0.043102,0.063652,0.104673", \ - "0.025121,0.028333,0.031454,0.037097,0.047565,0.067969,0.108868", \ - "0.029498,0.032891,0.036120,0.041840,0.052280,0.072605,0.113329", \ - "0.033523,0.037180,0.040618,0.046648,0.057332,0.077702,0.118340", \ - "0.036962,0.040897,0.044582,0.051027,0.062193,0.082952,0.123805"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005841,0.008685,0.014583,0.027029,0.052561", \ - "0.003100,0.004418,0.005841,0.008685,0.014581,0.027031,0.052552", \ - "0.003251,0.004535,0.005932,0.008744,0.014606,0.027040,0.052560", \ - "0.004499,0.005659,0.006904,0.009472,0.014969,0.027108,0.052554", \ - "0.005982,0.007158,0.008359,0.010759,0.015881,0.027504,0.052623", \ - "0.007822,0.009067,0.010295,0.012645,0.017485,0.028413,0.052937", \ - "0.009984,0.011304,0.012617,0.015013,0.019756,0.030188,0.053842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003816,0.005908,0.008142,0.012530,0.021597,0.040503,0.078851", \ - "0.003817,0.005908,0.008143,0.012528,0.021598,0.040495,0.078861", \ - "0.003832,0.005928,0.008161,0.012550,0.021612,0.040505,0.078855", \ - "0.004625,0.006469,0.008608,0.012889,0.021776,0.040534,0.078846", \ - "0.005879,0.007508,0.009494,0.013534,0.022167,0.040722,0.078885", \ - "0.007573,0.009077,0.010967,0.014843,0.023116,0.041241,0.079057", \ - "0.009640,0.011063,0.012881,0.016702,0.024677,0.042510,0.079766"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013290,0.015968,0.018441,0.022766,0.030546,0.045418,0.074963", \ - "0.014226,0.016898,0.019370,0.023694,0.031476,0.046349,0.075896", \ - "0.018209,0.020820,0.023256,0.027554,0.035319,0.050178,0.079718", \ - "0.023743,0.026601,0.029194,0.033661,0.041522,0.056320,0.085779", \ - "0.029542,0.032691,0.035525,0.040324,0.048510,0.063424,0.092800", \ - "0.035496,0.038956,0.042087,0.047341,0.056108,0.071424,0.100828", \ - "0.041447,0.045203,0.048649,0.054415,0.063932,0.080050,0.109953"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005842,0.008685,0.014583,0.027023,0.052710", \ - "0.003101,0.004420,0.005842,0.008684,0.014583,0.027021,0.052711", \ - "0.003251,0.004535,0.005933,0.008744,0.014608,0.027029,0.052715", \ - "0.004499,0.005659,0.006904,0.009469,0.014964,0.027093,0.052729", \ - "0.005983,0.007162,0.008365,0.010759,0.015880,0.027493,0.052808", \ - "0.007823,0.009064,0.010296,0.012643,0.017471,0.028377,0.053127", \ - "0.009986,0.011305,0.012615,0.015016,0.019737,0.030134,0.054033"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013291,0.015969,0.018443,0.022766,0.030546,0.045420,0.074974", \ - "0.014227,0.016900,0.019373,0.023695,0.031478,0.046352,0.075902", \ - "0.018207,0.020820,0.023257,0.027555,0.035319,0.050182,0.079724", \ - "0.023743,0.026600,0.029196,0.033661,0.041522,0.056322,0.085782", \ - "0.029540,0.032689,0.035527,0.040325,0.048510,0.063425,0.092785", \ - "0.035497,0.038956,0.042090,0.047341,0.056107,0.071439,0.100820", \ - "0.041449,0.045204,0.048646,0.054418,0.063932,0.080073,0.109932"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017063,0.020294,0.023448,0.029148,0.039727,0.060318,0.101352", \ - "0.017653,0.020883,0.024036,0.029734,0.040313,0.060899,0.101936", \ - "0.020596,0.023797,0.026919,0.032571,0.043102,0.063652,0.104673", \ - "0.025121,0.028333,0.031454,0.037097,0.047565,0.067969,0.108868", \ - "0.029498,0.032891,0.036120,0.041840,0.052280,0.072605,0.113329", \ - "0.033523,0.037180,0.040618,0.046648,0.057332,0.077702,0.118340", \ - "0.036962,0.040897,0.044582,0.051027,0.062193,0.082952,0.123805"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005841,0.008685,0.014583,0.027029,0.052561", \ - "0.003100,0.004418,0.005841,0.008685,0.014581,0.027031,0.052552", \ - "0.003251,0.004535,0.005932,0.008744,0.014606,0.027040,0.052560", \ - "0.004499,0.005659,0.006904,0.009472,0.014969,0.027108,0.052554", \ - "0.005982,0.007158,0.008359,0.010759,0.015881,0.027504,0.052623", \ - "0.007822,0.009067,0.010295,0.012645,0.017485,0.028413,0.052937", \ - "0.009984,0.011304,0.012617,0.015013,0.019756,0.030188,0.053842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003816,0.005908,0.008142,0.012530,0.021597,0.040503,0.078851", \ - "0.003817,0.005908,0.008143,0.012528,0.021598,0.040495,0.078861", \ - "0.003832,0.005928,0.008161,0.012550,0.021612,0.040505,0.078855", \ - "0.004625,0.006469,0.008608,0.012889,0.021776,0.040534,0.078846", \ - "0.005879,0.007508,0.009494,0.013534,0.022167,0.040722,0.078885", \ - "0.007573,0.009077,0.010967,0.014843,0.023116,0.041241,0.079057", \ - "0.009640,0.011063,0.012881,0.016702,0.024677,0.042510,0.079766"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013290,0.015968,0.018441,0.022766,0.030546,0.045418,0.074963", \ - "0.014226,0.016898,0.019370,0.023694,0.031476,0.046349,0.075896", \ - "0.018209,0.020820,0.023256,0.027554,0.035319,0.050178,0.079718", \ - "0.023743,0.026601,0.029194,0.033661,0.041522,0.056320,0.085779", \ - "0.029542,0.032691,0.035525,0.040324,0.048510,0.063424,0.092800", \ - "0.035496,0.038956,0.042087,0.047341,0.056108,0.071424,0.100828", \ - "0.041447,0.045203,0.048649,0.054415,0.063932,0.080050,0.109953"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005842,0.008685,0.014583,0.027023,0.052710", \ - "0.003101,0.004420,0.005842,0.008684,0.014583,0.027021,0.052711", \ - "0.003251,0.004535,0.005933,0.008744,0.014608,0.027029,0.052715", \ - "0.004499,0.005659,0.006904,0.009469,0.014964,0.027093,0.052729", \ - "0.005983,0.007162,0.008365,0.010759,0.015880,0.027493,0.052808", \ - "0.007823,0.009064,0.010296,0.012643,0.017471,0.028377,0.053127", \ - "0.009986,0.011305,0.012615,0.015016,0.019737,0.030134,0.054033"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013291,0.015969,0.018443,0.022766,0.030546,0.045420,0.074974", \ - "0.014227,0.016900,0.019373,0.023695,0.031478,0.046352,0.075902", \ - "0.018207,0.020820,0.023257,0.027555,0.035319,0.050182,0.079724", \ - "0.023743,0.026600,0.029196,0.033661,0.041522,0.056322,0.085782", \ - "0.029540,0.032689,0.035527,0.040325,0.048510,0.063425,0.092785", \ - "0.035497,0.038956,0.042090,0.047341,0.056107,0.071439,0.100820", \ - "0.041449,0.045204,0.048646,0.054418,0.063932,0.080073,0.109932"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017063,0.020294,0.023448,0.029148,0.039727,0.060318,0.101352", \ - "0.017653,0.020883,0.024036,0.029734,0.040313,0.060899,0.101936", \ - "0.020596,0.023797,0.026919,0.032571,0.043102,0.063652,0.104673", \ - "0.025121,0.028333,0.031454,0.037097,0.047565,0.067969,0.108868", \ - "0.029498,0.032891,0.036120,0.041840,0.052280,0.072605,0.113329", \ - "0.033523,0.037180,0.040618,0.046648,0.057332,0.077702,0.118340", \ - "0.036962,0.040897,0.044582,0.051027,0.062193,0.082952,0.123805"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005841,0.008685,0.014583,0.027029,0.052561", \ - "0.003100,0.004418,0.005841,0.008685,0.014581,0.027031,0.052552", \ - "0.003251,0.004535,0.005932,0.008744,0.014606,0.027040,0.052560", \ - "0.004499,0.005659,0.006904,0.009472,0.014969,0.027108,0.052554", \ - "0.005982,0.007158,0.008359,0.010759,0.015881,0.027504,0.052623", \ - "0.007822,0.009067,0.010295,0.012645,0.017485,0.028413,0.052937", \ - "0.009984,0.011304,0.012617,0.015013,0.019756,0.030188,0.053842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003816,0.005908,0.008142,0.012530,0.021597,0.040503,0.078851", \ - "0.003817,0.005908,0.008143,0.012528,0.021598,0.040495,0.078861", \ - "0.003832,0.005928,0.008161,0.012550,0.021612,0.040505,0.078855", \ - "0.004625,0.006469,0.008608,0.012889,0.021776,0.040534,0.078846", \ - "0.005879,0.007508,0.009494,0.013534,0.022167,0.040722,0.078885", \ - "0.007573,0.009077,0.010967,0.014843,0.023116,0.041241,0.079057", \ - "0.009640,0.011063,0.012881,0.016702,0.024677,0.042510,0.079766"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013290,0.015968,0.018441,0.022766,0.030546,0.045418,0.074963", \ - "0.014226,0.016898,0.019370,0.023694,0.031476,0.046349,0.075896", \ - "0.018209,0.020820,0.023256,0.027554,0.035319,0.050178,0.079718", \ - "0.023743,0.026601,0.029194,0.033661,0.041522,0.056320,0.085779", \ - "0.029542,0.032691,0.035525,0.040324,0.048510,0.063424,0.092800", \ - "0.035496,0.038956,0.042087,0.047341,0.056108,0.071424,0.100828", \ - "0.041447,0.045203,0.048649,0.054415,0.063932,0.080050,0.109953"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005842,0.008685,0.014583,0.027023,0.052710", \ - "0.003101,0.004420,0.005842,0.008684,0.014583,0.027021,0.052711", \ - "0.003251,0.004535,0.005933,0.008743,0.014608,0.027028,0.052715", \ - "0.004499,0.005659,0.006904,0.009469,0.014964,0.027093,0.052729", \ - "0.005983,0.007162,0.008365,0.010759,0.015880,0.027493,0.052808", \ - "0.007823,0.009064,0.010296,0.012643,0.017471,0.028377,0.053127", \ - "0.009986,0.011305,0.012615,0.015016,0.019737,0.030134,0.054033"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013291,0.015969,0.018443,0.022766,0.030546,0.045420,0.074974", \ - "0.014227,0.016900,0.019373,0.023695,0.031478,0.046352,0.075902", \ - "0.018207,0.020820,0.023257,0.027555,0.035319,0.050182,0.079724", \ - "0.023743,0.026600,0.029196,0.033661,0.041522,0.056322,0.085782", \ - "0.029540,0.032689,0.035527,0.040325,0.048510,0.063425,0.092785", \ - "0.035497,0.038956,0.042090,0.047341,0.056107,0.071439,0.100820", \ - "0.041449,0.045204,0.048646,0.054418,0.063932,0.080073,0.109932"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017063,0.020294,0.023448,0.029148,0.039727,0.060318,0.101352", \ - "0.017653,0.020883,0.024036,0.029734,0.040313,0.060899,0.101936", \ - "0.020596,0.023797,0.026919,0.032571,0.043102,0.063652,0.104673", \ - "0.025121,0.028333,0.031454,0.037097,0.047565,0.067969,0.108868", \ - "0.029498,0.032891,0.036120,0.041840,0.052280,0.072605,0.113329", \ - "0.033523,0.037180,0.040618,0.046648,0.057332,0.077702,0.118340", \ - "0.036962,0.040897,0.044582,0.051027,0.062193,0.082952,0.123805"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005841,0.008685,0.014583,0.027029,0.052561", \ - "0.003100,0.004418,0.005841,0.008685,0.014581,0.027031,0.052552", \ - "0.003251,0.004535,0.005932,0.008744,0.014606,0.027040,0.052560", \ - "0.004499,0.005659,0.006904,0.009472,0.014969,0.027108,0.052554", \ - "0.005982,0.007158,0.008359,0.010759,0.015881,0.027504,0.052623", \ - "0.007822,0.009067,0.010295,0.012645,0.017485,0.028413,0.052937", \ - "0.009984,0.011304,0.012617,0.015013,0.019756,0.030188,0.053842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003816,0.005908,0.008142,0.012530,0.021597,0.040503,0.078851", \ - "0.003817,0.005908,0.008143,0.012528,0.021598,0.040495,0.078861", \ - "0.003832,0.005928,0.008161,0.012550,0.021612,0.040506,0.078855", \ - "0.004625,0.006469,0.008608,0.012889,0.021776,0.040534,0.078846", \ - "0.005879,0.007508,0.009494,0.013534,0.022167,0.040722,0.078885", \ - "0.007573,0.009077,0.010967,0.014843,0.023116,0.041241,0.079057", \ - "0.009640,0.011063,0.012881,0.016702,0.024677,0.042510,0.079766"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013290,0.015968,0.018441,0.022766,0.030546,0.045418,0.074963", \ - "0.014226,0.016898,0.019370,0.023694,0.031476,0.046349,0.075896", \ - "0.018209,0.020820,0.023256,0.027554,0.035319,0.050178,0.079718", \ - "0.023743,0.026601,0.029194,0.033661,0.041522,0.056320,0.085779", \ - "0.029542,0.032691,0.035525,0.040324,0.048510,0.063424,0.092800", \ - "0.035496,0.038956,0.042087,0.047341,0.056108,0.071424,0.100828", \ - "0.041447,0.045203,0.048649,0.054415,0.063932,0.080050,0.109953"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005842,0.008685,0.014583,0.027023,0.052710", \ - "0.003101,0.004420,0.005842,0.008684,0.014583,0.027021,0.052711", \ - "0.003251,0.004535,0.005933,0.008744,0.014608,0.027029,0.052715", \ - "0.004499,0.005659,0.006904,0.009469,0.014964,0.027093,0.052729", \ - "0.005983,0.007162,0.008365,0.010759,0.015880,0.027493,0.052808", \ - "0.007823,0.009064,0.010296,0.012643,0.017471,0.028377,0.053127", \ - "0.009986,0.011305,0.012615,0.015016,0.019738,0.030134,0.054033"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013291,0.015969,0.018443,0.022766,0.030546,0.045420,0.074974", \ - "0.014227,0.016900,0.019373,0.023695,0.031478,0.046352,0.075902", \ - "0.018207,0.020820,0.023257,0.027555,0.035319,0.050182,0.079724", \ - "0.023743,0.026600,0.029196,0.033661,0.041522,0.056322,0.085782", \ - "0.029540,0.032689,0.035527,0.040325,0.048510,0.063425,0.092785", \ - "0.035497,0.038956,0.042090,0.047341,0.056107,0.071439,0.100820", \ - "0.041449,0.045204,0.048646,0.054418,0.063932,0.080073,0.109932"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017063,0.020294,0.023448,0.029148,0.039727,0.060318,0.101352", \ - "0.017653,0.020883,0.024036,0.029734,0.040313,0.060899,0.101936", \ - "0.020596,0.023797,0.026919,0.032571,0.043102,0.063652,0.104673", \ - "0.025121,0.028333,0.031454,0.037097,0.047565,0.067969,0.108868", \ - "0.029498,0.032891,0.036120,0.041840,0.052280,0.072605,0.113329", \ - "0.033523,0.037180,0.040618,0.046648,0.057332,0.077702,0.118340", \ - "0.036962,0.040897,0.044582,0.051027,0.062193,0.082952,0.123805"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005841,0.008685,0.014583,0.027029,0.052561", \ - "0.003100,0.004418,0.005841,0.008685,0.014581,0.027031,0.052552", \ - "0.003251,0.004535,0.005932,0.008744,0.014606,0.027040,0.052560", \ - "0.004499,0.005659,0.006904,0.009472,0.014969,0.027108,0.052554", \ - "0.005982,0.007158,0.008359,0.010759,0.015881,0.027504,0.052623", \ - "0.007822,0.009067,0.010295,0.012645,0.017485,0.028413,0.052937", \ - "0.009984,0.011304,0.012617,0.015013,0.019756,0.030188,0.053842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003816,0.005908,0.008142,0.012530,0.021597,0.040503,0.078851", \ - "0.003817,0.005908,0.008143,0.012528,0.021598,0.040495,0.078861", \ - "0.003832,0.005928,0.008161,0.012550,0.021612,0.040506,0.078855", \ - "0.004625,0.006469,0.008608,0.012889,0.021776,0.040534,0.078846", \ - "0.005879,0.007508,0.009494,0.013534,0.022167,0.040722,0.078885", \ - "0.007573,0.009077,0.010967,0.014843,0.023116,0.041241,0.079057", \ - "0.009640,0.011063,0.012881,0.016702,0.024677,0.042510,0.079766"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013290,0.015968,0.018441,0.022766,0.030546,0.045418,0.074963", \ - "0.014226,0.016898,0.019370,0.023694,0.031476,0.046349,0.075896", \ - "0.018209,0.020820,0.023256,0.027554,0.035319,0.050178,0.079718", \ - "0.023743,0.026601,0.029194,0.033661,0.041522,0.056320,0.085779", \ - "0.029542,0.032691,0.035525,0.040324,0.048510,0.063424,0.092800", \ - "0.035496,0.038956,0.042087,0.047341,0.056108,0.071424,0.100828", \ - "0.041447,0.045203,0.048649,0.054415,0.063932,0.080050,0.109953"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005842,0.008685,0.014583,0.027023,0.052710", \ - "0.003101,0.004420,0.005842,0.008684,0.014583,0.027021,0.052711", \ - "0.003251,0.004535,0.005933,0.008743,0.014608,0.027028,0.052715", \ - "0.004499,0.005659,0.006904,0.009469,0.014964,0.027093,0.052729", \ - "0.005983,0.007162,0.008365,0.010759,0.015880,0.027493,0.052808", \ - "0.007823,0.009064,0.010296,0.012643,0.017471,0.028377,0.053127", \ - "0.009986,0.011305,0.012615,0.015016,0.019738,0.030134,0.054033"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013291,0.015969,0.018443,0.022766,0.030546,0.045420,0.074974", \ - "0.014227,0.016900,0.019373,0.023695,0.031478,0.046352,0.075902", \ - "0.018207,0.020820,0.023257,0.027555,0.035319,0.050182,0.079724", \ - "0.023743,0.026600,0.029196,0.033661,0.041522,0.056322,0.085782", \ - "0.029540,0.032689,0.035527,0.040325,0.048510,0.063425,0.092785", \ - "0.035497,0.038956,0.042090,0.047341,0.056107,0.071439,0.100820", \ - "0.041449,0.045204,0.048646,0.054418,0.063932,0.080073,0.109932"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017063,0.020294,0.023448,0.029148,0.039727,0.060318,0.101352", \ - "0.017653,0.020883,0.024036,0.029734,0.040313,0.060899,0.101936", \ - "0.020596,0.023797,0.026919,0.032571,0.043102,0.063652,0.104673", \ - "0.025121,0.028333,0.031454,0.037097,0.047565,0.067969,0.108868", \ - "0.029498,0.032891,0.036120,0.041840,0.052280,0.072605,0.113329", \ - "0.033523,0.037180,0.040618,0.046648,0.057332,0.077702,0.118340", \ - "0.036962,0.040897,0.044582,0.051027,0.062193,0.082952,0.123805"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005841,0.008685,0.014583,0.027029,0.052561", \ - "0.003100,0.004418,0.005841,0.008685,0.014581,0.027031,0.052552", \ - "0.003251,0.004535,0.005932,0.008744,0.014606,0.027040,0.052560", \ - "0.004499,0.005659,0.006904,0.009472,0.014969,0.027108,0.052554", \ - "0.005982,0.007158,0.008359,0.010759,0.015881,0.027504,0.052623", \ - "0.007822,0.009067,0.010295,0.012645,0.017485,0.028413,0.052937", \ - "0.009984,0.011304,0.012617,0.015013,0.019756,0.030188,0.053842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003816,0.005908,0.008142,0.012530,0.021597,0.040503,0.078851", \ - "0.003817,0.005908,0.008143,0.012528,0.021598,0.040495,0.078861", \ - "0.003832,0.005928,0.008161,0.012550,0.021612,0.040506,0.078855", \ - "0.004625,0.006469,0.008608,0.012889,0.021776,0.040534,0.078846", \ - "0.005879,0.007508,0.009494,0.013534,0.022167,0.040722,0.078885", \ - "0.007573,0.009077,0.010967,0.014843,0.023116,0.041241,0.079057", \ - "0.009640,0.011063,0.012881,0.016702,0.024677,0.042510,0.079766"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013290,0.015968,0.018441,0.022766,0.030546,0.045418,0.074963", \ - "0.014226,0.016898,0.019370,0.023694,0.031476,0.046349,0.075896", \ - "0.018209,0.020820,0.023256,0.027554,0.035319,0.050178,0.079718", \ - "0.023743,0.026601,0.029194,0.033661,0.041522,0.056320,0.085779", \ - "0.029542,0.032691,0.035525,0.040324,0.048510,0.063424,0.092800", \ - "0.035496,0.038956,0.042087,0.047341,0.056108,0.071424,0.100828", \ - "0.041447,0.045203,0.048649,0.054415,0.063932,0.080050,0.109953"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005842,0.008685,0.014583,0.027023,0.052710", \ - "0.003101,0.004420,0.005842,0.008684,0.014583,0.027021,0.052711", \ - "0.003251,0.004535,0.005933,0.008743,0.014608,0.027028,0.052715", \ - "0.004499,0.005659,0.006904,0.009469,0.014964,0.027093,0.052729", \ - "0.005983,0.007162,0.008365,0.010759,0.015880,0.027493,0.052808", \ - "0.007823,0.009064,0.010296,0.012643,0.017471,0.028377,0.053127", \ - "0.009986,0.011305,0.012615,0.015016,0.019738,0.030134,0.054033"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013291,0.015969,0.018443,0.022766,0.030546,0.045420,0.074974", \ - "0.014227,0.016900,0.019373,0.023695,0.031478,0.046352,0.075902", \ - "0.018207,0.020820,0.023257,0.027555,0.035319,0.050182,0.079724", \ - "0.023743,0.026600,0.029196,0.033661,0.041522,0.056322,0.085782", \ - "0.029540,0.032689,0.035527,0.040325,0.048510,0.063425,0.092785", \ - "0.035497,0.038956,0.042090,0.047341,0.056107,0.071439,0.100820", \ - "0.041449,0.045204,0.048646,0.054418,0.063932,0.080073,0.109932"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017063,0.020294,0.023448,0.029148,0.039727,0.060318,0.101352", \ - "0.017653,0.020883,0.024036,0.029734,0.040313,0.060899,0.101936", \ - "0.020596,0.023797,0.026919,0.032571,0.043102,0.063652,0.104673", \ - "0.025121,0.028333,0.031454,0.037097,0.047565,0.067969,0.108868", \ - "0.029498,0.032891,0.036120,0.041840,0.052280,0.072605,0.113329", \ - "0.033523,0.037180,0.040618,0.046648,0.057332,0.077702,0.118340", \ - "0.036962,0.040897,0.044582,0.051027,0.062193,0.082952,0.123805"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005841,0.008685,0.014583,0.027029,0.052561", \ - "0.003100,0.004418,0.005841,0.008685,0.014581,0.027031,0.052552", \ - "0.003251,0.004535,0.005932,0.008744,0.014606,0.027040,0.052560", \ - "0.004499,0.005659,0.006904,0.009472,0.014969,0.027108,0.052554", \ - "0.005982,0.007158,0.008359,0.010759,0.015881,0.027504,0.052623", \ - "0.007822,0.009067,0.010295,0.012645,0.017485,0.028413,0.052937", \ - "0.009984,0.011304,0.012617,0.015013,0.019756,0.030188,0.053842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003816,0.005908,0.008142,0.012530,0.021597,0.040503,0.078851", \ - "0.003817,0.005908,0.008143,0.012528,0.021598,0.040495,0.078861", \ - "0.003832,0.005928,0.008161,0.012550,0.021612,0.040505,0.078855", \ - "0.004625,0.006469,0.008608,0.012889,0.021776,0.040534,0.078846", \ - "0.005879,0.007508,0.009494,0.013534,0.022167,0.040722,0.078885", \ - "0.007573,0.009077,0.010967,0.014843,0.023116,0.041241,0.079057", \ - "0.009640,0.011063,0.012881,0.016702,0.024677,0.042510,0.079766"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013290,0.015968,0.018441,0.022766,0.030546,0.045418,0.074963", \ - "0.014226,0.016898,0.019370,0.023694,0.031476,0.046349,0.075896", \ - "0.018209,0.020820,0.023256,0.027554,0.035319,0.050178,0.079718", \ - "0.023743,0.026601,0.029194,0.033661,0.041522,0.056320,0.085779", \ - "0.029542,0.032691,0.035525,0.040324,0.048510,0.063424,0.092800", \ - "0.035496,0.038956,0.042087,0.047341,0.056108,0.071424,0.100828", \ - "0.041447,0.045203,0.048649,0.054415,0.063932,0.080050,0.109953"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005842,0.008685,0.014583,0.027023,0.052710", \ - "0.003101,0.004420,0.005842,0.008684,0.014583,0.027021,0.052711", \ - "0.003251,0.004535,0.005933,0.008744,0.014608,0.027029,0.052715", \ - "0.004499,0.005659,0.006904,0.009469,0.014964,0.027093,0.052729", \ - "0.005983,0.007162,0.008365,0.010759,0.015880,0.027493,0.052808", \ - "0.007823,0.009064,0.010296,0.012643,0.017471,0.028377,0.053127", \ - "0.009986,0.011305,0.012615,0.015016,0.019737,0.030134,0.054033"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013291,0.015969,0.018443,0.022766,0.030546,0.045420,0.074974", \ - "0.014227,0.016900,0.019373,0.023695,0.031478,0.046352,0.075902", \ - "0.018207,0.020820,0.023257,0.027555,0.035319,0.050182,0.079724", \ - "0.023743,0.026600,0.029196,0.033661,0.041522,0.056322,0.085782", \ - "0.029540,0.032689,0.035527,0.040325,0.048510,0.063425,0.092785", \ - "0.035497,0.038956,0.042090,0.047341,0.056107,0.071439,0.100820", \ - "0.041449,0.045204,0.048646,0.054418,0.063932,0.080073,0.109932"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017063,0.020294,0.023448,0.029148,0.039727,0.060318,0.101352", \ - "0.017653,0.020883,0.024036,0.029734,0.040313,0.060899,0.101936", \ - "0.020596,0.023797,0.026919,0.032571,0.043102,0.063652,0.104673", \ - "0.025121,0.028333,0.031454,0.037097,0.047565,0.067969,0.108868", \ - "0.029498,0.032891,0.036120,0.041840,0.052280,0.072605,0.113329", \ - "0.033523,0.037180,0.040618,0.046648,0.057332,0.077702,0.118340", \ - "0.036962,0.040897,0.044582,0.051027,0.062193,0.082952,0.123805"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005841,0.008685,0.014583,0.027029,0.052561", \ - "0.003100,0.004418,0.005841,0.008685,0.014581,0.027031,0.052552", \ - "0.003251,0.004535,0.005932,0.008744,0.014606,0.027040,0.052560", \ - "0.004499,0.005659,0.006904,0.009472,0.014969,0.027108,0.052554", \ - "0.005982,0.007158,0.008359,0.010759,0.015881,0.027504,0.052623", \ - "0.007822,0.009067,0.010295,0.012645,0.017485,0.028413,0.052937", \ - "0.009984,0.011304,0.012617,0.015013,0.019756,0.030188,0.053842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003816,0.005908,0.008142,0.012530,0.021597,0.040503,0.078851", \ - "0.003817,0.005908,0.008143,0.012528,0.021598,0.040495,0.078861", \ - "0.003832,0.005928,0.008161,0.012550,0.021612,0.040506,0.078855", \ - "0.004625,0.006469,0.008608,0.012889,0.021776,0.040534,0.078846", \ - "0.005879,0.007508,0.009494,0.013534,0.022167,0.040722,0.078885", \ - "0.007573,0.009077,0.010967,0.014843,0.023116,0.041241,0.079057", \ - "0.009640,0.011063,0.012881,0.016702,0.024677,0.042510,0.079766"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013290,0.015968,0.018441,0.022766,0.030546,0.045418,0.074963", \ - "0.014226,0.016898,0.019370,0.023694,0.031476,0.046349,0.075896", \ - "0.018209,0.020820,0.023256,0.027554,0.035319,0.050178,0.079718", \ - "0.023743,0.026601,0.029194,0.033661,0.041522,0.056320,0.085779", \ - "0.029542,0.032691,0.035525,0.040324,0.048510,0.063424,0.092800", \ - "0.035496,0.038956,0.042087,0.047341,0.056108,0.071424,0.100828", \ - "0.041447,0.045203,0.048649,0.054415,0.063932,0.080050,0.109953"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003100,0.004417,0.005842,0.008685,0.014583,0.027023,0.052710", \ - "0.003101,0.004420,0.005842,0.008684,0.014583,0.027021,0.052711", \ - "0.003251,0.004535,0.005933,0.008744,0.014608,0.027028,0.052715", \ - "0.004499,0.005659,0.006904,0.009469,0.014964,0.027093,0.052729", \ - "0.005983,0.007162,0.008365,0.010759,0.015880,0.027493,0.052808", \ - "0.007823,0.009064,0.010296,0.012643,0.017471,0.028377,0.053127", \ - "0.009986,0.011305,0.012615,0.015016,0.019738,0.030134,0.054033"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034430,0.037167,0.039980,0.045363,0.055738,0.076218,0.117234", \ - "0.035347,0.038085,0.040898,0.046280,0.056654,0.077135,0.118149", \ - "0.039215,0.041955,0.044766,0.050141,0.060506,0.080979,0.121992", \ - "0.046438,0.049068,0.051778,0.057035,0.067295,0.087691,0.128659", \ - "0.054759,0.057253,0.059795,0.064839,0.074909,0.095163,0.136043", \ - "0.063778,0.066204,0.068601,0.073412,0.083242,0.103298,0.144043", \ - "0.073285,0.075674,0.077949,0.082535,0.092092,0.111894,0.152460"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003926,0.006019,0.008253,0.012609,0.021634,0.040503,0.078853", \ - "0.003928,0.006018,0.008250,0.012609,0.021634,0.040505,0.078856", \ - "0.003927,0.006018,0.008258,0.012608,0.021633,0.040507,0.078859", \ - "0.003956,0.006045,0.008282,0.012628,0.021643,0.040508,0.078860", \ - "0.004057,0.006143,0.008374,0.012696,0.021679,0.040518,0.078864", \ - "0.004228,0.006300,0.008525,0.012814,0.021740,0.040536,0.078858", \ - "0.004507,0.006559,0.008783,0.013018,0.021844,0.040564,0.078866"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034430,0.037167,0.039980,0.045363,0.055738,0.076218,0.117234", \ - "0.035347,0.038085,0.040898,0.046280,0.056654,0.077135,0.118149", \ - "0.039215,0.041955,0.044766,0.050141,0.060506,0.080979,0.121992", \ - "0.046438,0.049068,0.051778,0.057035,0.067295,0.087691,0.128659", \ - "0.054759,0.057253,0.059795,0.064839,0.074909,0.095163,0.136043", \ - "0.063778,0.066204,0.068601,0.073412,0.083242,0.103298,0.144043", \ - "0.073285,0.075674,0.077949,0.082535,0.092092,0.111894,0.152460"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003926,0.006019,0.008253,0.012609,0.021634,0.040504,0.078853", \ - "0.003928,0.006018,0.008250,0.012609,0.021634,0.040505,0.078856", \ - "0.003927,0.006017,0.008258,0.012608,0.021633,0.040507,0.078859", \ - "0.003956,0.006045,0.008282,0.012628,0.021643,0.040508,0.078860", \ - "0.004057,0.006141,0.008374,0.012696,0.021679,0.040518,0.078864", \ - "0.004228,0.006300,0.008525,0.012814,0.021740,0.040536,0.078858", \ - "0.004507,0.006559,0.008783,0.013018,0.021844,0.040564,0.078866"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034430,0.037167,0.039980,0.045363,0.055738,0.076218,0.117231", \ - "0.035347,0.038085,0.040898,0.046280,0.056654,0.077135,0.118149", \ - "0.039215,0.041955,0.044766,0.050141,0.060506,0.080979,0.121992", \ - "0.046439,0.049068,0.051778,0.057035,0.067295,0.087691,0.128659", \ - "0.054759,0.057254,0.059795,0.064839,0.074909,0.095163,0.136043", \ - "0.063778,0.066204,0.068601,0.073412,0.083242,0.103298,0.144043", \ - "0.073285,0.075674,0.077949,0.082535,0.092092,0.111894,0.152460"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003926,0.006019,0.008253,0.012609,0.021634,0.040504,0.078853", \ - "0.003928,0.006018,0.008250,0.012609,0.021634,0.040505,0.078856", \ - "0.003927,0.006017,0.008258,0.012608,0.021633,0.040507,0.078859", \ - "0.003956,0.006045,0.008282,0.012628,0.021643,0.040508,0.078860", \ - "0.004057,0.006141,0.008374,0.012698,0.021679,0.040518,0.078864", \ - "0.004228,0.006300,0.008525,0.012814,0.021740,0.040536,0.078858", \ - "0.004507,0.006559,0.008783,0.013018,0.021844,0.040564,0.078866"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034426,0.037165,0.039977,0.045360,0.055736,0.076216,0.117233", \ - "0.035345,0.038082,0.040895,0.046277,0.056653,0.077133,0.118146", \ - "0.039213,0.041952,0.044763,0.050139,0.060504,0.080977,0.121990", \ - "0.046436,0.049065,0.051775,0.057031,0.067292,0.087687,0.128656", \ - "0.054756,0.057250,0.059792,0.064837,0.074905,0.095161,0.136039", \ - "0.063774,0.066199,0.068596,0.073408,0.083239,0.103297,0.144040", \ - "0.073284,0.075673,0.077949,0.082532,0.092087,0.111894,0.152457"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003926,0.006019,0.008252,0.012609,0.021635,0.040508,0.078851", \ - "0.003928,0.006018,0.008251,0.012609,0.021634,0.040505,0.078851", \ - "0.003927,0.006019,0.008257,0.012608,0.021633,0.040507,0.078858", \ - "0.003957,0.006045,0.008281,0.012629,0.021643,0.040509,0.078860", \ - "0.004057,0.006142,0.008373,0.012696,0.021679,0.040519,0.078864", \ - "0.004229,0.006301,0.008525,0.012813,0.021740,0.040536,0.078858", \ - "0.004507,0.006559,0.008782,0.013017,0.021845,0.040563,0.078865"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034426,0.037165,0.039977,0.045360,0.055736,0.076215,0.117233", \ - "0.035345,0.038082,0.040895,0.046277,0.056653,0.077133,0.118146", \ - "0.039213,0.041952,0.044763,0.050139,0.060504,0.080977,0.121990", \ - "0.046436,0.049065,0.051775,0.057031,0.067292,0.087687,0.128656", \ - "0.054756,0.057250,0.059792,0.064837,0.074905,0.095161,0.136039", \ - "0.063774,0.066199,0.068596,0.073408,0.083239,0.103297,0.144040", \ - "0.073284,0.075673,0.077949,0.082532,0.092087,0.111894,0.152457"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003926,0.006019,0.008252,0.012609,0.021635,0.040503,0.078851", \ - "0.003928,0.006018,0.008251,0.012609,0.021634,0.040505,0.078851", \ - "0.003927,0.006019,0.008257,0.012608,0.021633,0.040507,0.078858", \ - "0.003957,0.006045,0.008281,0.012629,0.021643,0.040509,0.078860", \ - "0.004057,0.006142,0.008373,0.012696,0.021679,0.040519,0.078864", \ - "0.004229,0.006301,0.008525,0.012813,0.021740,0.040536,0.078858", \ - "0.004507,0.006559,0.008782,0.013017,0.021845,0.040563,0.078865"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034426,0.037165,0.039977,0.045360,0.055736,0.076216,0.117233", \ - "0.035345,0.038082,0.040895,0.046277,0.056653,0.077133,0.118146", \ - "0.039213,0.041952,0.044763,0.050139,0.060504,0.080977,0.121990", \ - "0.046435,0.049065,0.051775,0.057031,0.067292,0.087687,0.128656", \ - "0.054756,0.057250,0.059792,0.064837,0.074905,0.095161,0.136039", \ - "0.063774,0.066199,0.068596,0.073408,0.083239,0.103297,0.144040", \ - "0.073284,0.075673,0.077949,0.082532,0.092087,0.111894,0.152457"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003926,0.006019,0.008252,0.012609,0.021635,0.040504,0.078851", \ - "0.003928,0.006018,0.008251,0.012609,0.021634,0.040505,0.078851", \ - "0.003927,0.006019,0.008257,0.012608,0.021633,0.040507,0.078858", \ - "0.003956,0.006045,0.008281,0.012629,0.021643,0.040509,0.078860", \ - "0.004057,0.006142,0.008373,0.012696,0.021679,0.040519,0.078864", \ - "0.004229,0.006301,0.008525,0.012813,0.021740,0.040536,0.078858", \ - "0.004507,0.006559,0.008782,0.013017,0.021845,0.040563,0.078865"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034430,0.037167,0.039980,0.045363,0.055738,0.076218,0.117233", \ - "0.035347,0.038085,0.040898,0.046280,0.056654,0.077135,0.118149", \ - "0.039215,0.041955,0.044766,0.050141,0.060506,0.080979,0.121992", \ - "0.046439,0.049068,0.051778,0.057035,0.067295,0.087691,0.128659", \ - "0.054759,0.057254,0.059795,0.064839,0.074909,0.095163,0.136043", \ - "0.063778,0.066204,0.068601,0.073412,0.083242,0.103298,0.144043", \ - "0.073285,0.075674,0.077949,0.082535,0.092092,0.111894,0.152460"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003926,0.006019,0.008253,0.012609,0.021634,0.040508,0.078853", \ - "0.003928,0.006018,0.008250,0.012609,0.021634,0.040505,0.078856", \ - "0.003927,0.006018,0.008258,0.012608,0.021633,0.040507,0.078859", \ - "0.003956,0.006045,0.008282,0.012628,0.021643,0.040508,0.078860", \ - "0.004057,0.006141,0.008374,0.012698,0.021679,0.040518,0.078864", \ - "0.004228,0.006300,0.008525,0.012814,0.021740,0.040536,0.078858", \ - "0.004507,0.006559,0.008783,0.013018,0.021844,0.040564,0.078866"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034426,0.037165,0.039977,0.045360,0.055736,0.076215,0.117233", \ - "0.035345,0.038082,0.040895,0.046277,0.056653,0.077133,0.118146", \ - "0.039213,0.041952,0.044763,0.050139,0.060504,0.080977,0.121990", \ - "0.046436,0.049065,0.051775,0.057031,0.067292,0.087687,0.128656", \ - "0.054756,0.057250,0.059792,0.064837,0.074905,0.095161,0.136039", \ - "0.063774,0.066199,0.068596,0.073408,0.083239,0.103297,0.144040", \ - "0.073284,0.075673,0.077949,0.082532,0.092087,0.111894,0.152457"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003926,0.006019,0.008252,0.012609,0.021635,0.040507,0.078851", \ - "0.003928,0.006018,0.008251,0.012609,0.021634,0.040505,0.078851", \ - "0.003927,0.006019,0.008257,0.012608,0.021633,0.040507,0.078858", \ - "0.003957,0.006045,0.008281,0.012629,0.021643,0.040509,0.078860", \ - "0.004057,0.006142,0.008373,0.012696,0.021679,0.040519,0.078864", \ - "0.004229,0.006301,0.008525,0.012813,0.021740,0.040536,0.078858", \ - "0.004507,0.006559,0.008782,0.013017,0.021845,0.040563,0.078865"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034443,0.037179,0.039992,0.045375,0.055747,0.076224,0.117239", \ - "0.035362,0.038097,0.040909,0.046292,0.056664,0.077142,0.118157", \ - "0.039229,0.041968,0.044778,0.050154,0.060516,0.080988,0.121999", \ - "0.046451,0.049080,0.051791,0.057049,0.067308,0.087704,0.128670", \ - "0.054747,0.057238,0.059783,0.064831,0.074901,0.095158,0.136035", \ - "0.063713,0.066133,0.068530,0.073349,0.083183,0.103247,0.143995", \ - "0.073155,0.075535,0.077810,0.082404,0.091966,0.111776,0.152352"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003923,0.006013,0.008249,0.012604,0.021630,0.040505,0.078846", \ - "0.003925,0.006014,0.008247,0.012605,0.021630,0.040504,0.078852", \ - "0.003925,0.006016,0.008251,0.012604,0.021629,0.040505,0.078849", \ - "0.003953,0.006040,0.008278,0.012622,0.021639,0.040506,0.078850", \ - "0.004050,0.006134,0.008368,0.012688,0.021673,0.040518,0.078852", \ - "0.004213,0.006287,0.008511,0.012799,0.021731,0.040533,0.078854", \ - "0.004482,0.006537,0.008759,0.012996,0.021831,0.040572,0.078861"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034443,0.037179,0.039992,0.045375,0.055747,0.076224,0.117239", \ - "0.035362,0.038097,0.040909,0.046292,0.056664,0.077142,0.118157", \ - "0.039229,0.041967,0.044778,0.050154,0.060516,0.080988,0.121999", \ - "0.046451,0.049080,0.051791,0.057049,0.067308,0.087704,0.128670", \ - "0.054747,0.057238,0.059783,0.064831,0.074901,0.095158,0.136035", \ - "0.063713,0.066133,0.068530,0.073349,0.083183,0.103247,0.143995", \ - "0.073155,0.075535,0.077810,0.082404,0.091966,0.111776,0.152352"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003923,0.006013,0.008248,0.012604,0.021630,0.040505,0.078846", \ - "0.003925,0.006014,0.008247,0.012605,0.021630,0.040504,0.078852", \ - "0.003925,0.006017,0.008251,0.012603,0.021629,0.040505,0.078849", \ - "0.003953,0.006040,0.008278,0.012622,0.021640,0.040506,0.078850", \ - "0.004050,0.006134,0.008368,0.012688,0.021673,0.040518,0.078849", \ - "0.004213,0.006287,0.008511,0.012799,0.021731,0.040533,0.078854", \ - "0.004482,0.006537,0.008759,0.012996,0.021831,0.040572,0.078861"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034443,0.037179,0.039992,0.045375,0.055747,0.076227,0.117240", \ - "0.035362,0.038097,0.040909,0.046292,0.056664,0.077142,0.118157", \ - "0.039229,0.041967,0.044778,0.050154,0.060516,0.080988,0.121999", \ - "0.046450,0.049080,0.051791,0.057049,0.067308,0.087704,0.128670", \ - "0.054747,0.057238,0.059783,0.064831,0.074901,0.095158,0.136035", \ - "0.063713,0.066133,0.068530,0.073349,0.083183,0.103247,0.143995", \ - "0.073155,0.075535,0.077810,0.082404,0.091966,0.111776,0.152352"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003923,0.006013,0.008249,0.012604,0.021630,0.040506,0.078846", \ - "0.003925,0.006014,0.008247,0.012605,0.021630,0.040504,0.078852", \ - "0.003925,0.006017,0.008251,0.012603,0.021629,0.040505,0.078849", \ - "0.003953,0.006040,0.008278,0.012622,0.021640,0.040506,0.078850", \ - "0.004050,0.006134,0.008368,0.012688,0.021673,0.040518,0.078852", \ - "0.004213,0.006287,0.008511,0.012799,0.021731,0.040533,0.078854", \ - "0.004482,0.006537,0.008759,0.012996,0.021831,0.040572,0.078861"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034443,0.037179,0.039992,0.045375,0.055747,0.076226,0.117239", \ - "0.035362,0.038097,0.040909,0.046292,0.056664,0.077145,0.118156", \ - "0.039229,0.041968,0.044779,0.050154,0.060516,0.080988,0.121999", \ - "0.046451,0.049080,0.051791,0.057049,0.067308,0.087704,0.128670", \ - "0.054747,0.057238,0.059783,0.064831,0.074901,0.095158,0.136035", \ - "0.063713,0.066133,0.068530,0.073349,0.083183,0.103247,0.143995", \ - "0.073155,0.075535,0.077810,0.082404,0.091966,0.111777,0.152352"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003922,0.006013,0.008249,0.012604,0.021630,0.040505,0.078847", \ - "0.003925,0.006014,0.008247,0.012605,0.021630,0.040504,0.078851", \ - "0.003925,0.006016,0.008254,0.012604,0.021629,0.040505,0.078853", \ - "0.003953,0.006042,0.008278,0.012622,0.021639,0.040508,0.078850", \ - "0.004050,0.006134,0.008368,0.012688,0.021673,0.040518,0.078849", \ - "0.004213,0.006287,0.008512,0.012799,0.021731,0.040538,0.078854", \ - "0.004482,0.006537,0.008759,0.012996,0.021831,0.040571,0.078857"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034443,0.037179,0.039992,0.045375,0.055747,0.076228,0.117239", \ - "0.035362,0.038097,0.040909,0.046292,0.056664,0.077144,0.118156", \ - "0.039229,0.041968,0.044779,0.050154,0.060516,0.080988,0.121999", \ - "0.046451,0.049080,0.051791,0.057049,0.067308,0.087704,0.128670", \ - "0.054747,0.057238,0.059783,0.064831,0.074901,0.095158,0.136035", \ - "0.063713,0.066133,0.068530,0.073349,0.083183,0.103247,0.143995", \ - "0.073155,0.075535,0.077810,0.082404,0.091966,0.111777,0.152352"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003922,0.006013,0.008249,0.012604,0.021630,0.040508,0.078846", \ - "0.003925,0.006014,0.008247,0.012605,0.021630,0.040504,0.078851", \ - "0.003925,0.006014,0.008254,0.012603,0.021629,0.040505,0.078853", \ - "0.003953,0.006042,0.008278,0.012622,0.021639,0.040508,0.078850", \ - "0.004050,0.006134,0.008368,0.012688,0.021673,0.040518,0.078852", \ - "0.004213,0.006287,0.008512,0.012799,0.021731,0.040538,0.078854", \ - "0.004482,0.006537,0.008759,0.012996,0.021831,0.040571,0.078857"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034443,0.037179,0.039992,0.045375,0.055747,0.076226,0.117239", \ - "0.035362,0.038097,0.040909,0.046292,0.056664,0.077144,0.118156", \ - "0.039229,0.041968,0.044779,0.050154,0.060516,0.080988,0.121999", \ - "0.046450,0.049080,0.051791,0.057049,0.067308,0.087704,0.128670", \ - "0.054747,0.057238,0.059783,0.064831,0.074901,0.095158,0.136035", \ - "0.063713,0.066133,0.068530,0.073349,0.083183,0.103247,0.143995", \ - "0.073155,0.075535,0.077810,0.082404,0.091966,0.111777,0.152352"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003922,0.006013,0.008249,0.012604,0.021630,0.040505,0.078846", \ - "0.003925,0.006014,0.008247,0.012605,0.021630,0.040504,0.078851", \ - "0.003925,0.006014,0.008254,0.012603,0.021629,0.040505,0.078853", \ - "0.003953,0.006042,0.008278,0.012622,0.021639,0.040508,0.078850", \ - "0.004050,0.006134,0.008368,0.012688,0.021673,0.040518,0.078852", \ - "0.004213,0.006287,0.008512,0.012799,0.021731,0.040538,0.078854", \ - "0.004482,0.006537,0.008759,0.012996,0.021831,0.040571,0.078857"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034443,0.037179,0.039992,0.045375,0.055747,0.076226,0.117240", \ - "0.035362,0.038097,0.040909,0.046292,0.056664,0.077142,0.118157", \ - "0.039229,0.041968,0.044778,0.050154,0.060516,0.080988,0.121999", \ - "0.046451,0.049080,0.051791,0.057049,0.067308,0.087704,0.128670", \ - "0.054747,0.057238,0.059783,0.064831,0.074901,0.095158,0.136035", \ - "0.063713,0.066133,0.068530,0.073349,0.083183,0.103247,0.143995", \ - "0.073155,0.075535,0.077810,0.082404,0.091966,0.111776,0.152352"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003923,0.006013,0.008249,0.012604,0.021630,0.040506,0.078846", \ - "0.003925,0.006014,0.008247,0.012605,0.021630,0.040504,0.078852", \ - "0.003925,0.006016,0.008251,0.012603,0.021629,0.040505,0.078849", \ - "0.003953,0.006040,0.008278,0.012622,0.021640,0.040506,0.078850", \ - "0.004050,0.006134,0.008368,0.012688,0.021673,0.040518,0.078852", \ - "0.004213,0.006287,0.008511,0.012799,0.021731,0.040533,0.078854", \ - "0.004482,0.006537,0.008759,0.012996,0.021831,0.040572,0.078861"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034443,0.037179,0.039992,0.045375,0.055747,0.076224,0.117240", \ - "0.035362,0.038097,0.040909,0.046292,0.056664,0.077145,0.118156", \ - "0.039229,0.041968,0.044778,0.050154,0.060516,0.080988,0.121999", \ - "0.046451,0.049080,0.051791,0.057049,0.067308,0.087704,0.128670", \ - "0.054747,0.057238,0.059783,0.064831,0.074901,0.095158,0.136035", \ - "0.063713,0.066133,0.068530,0.073349,0.083183,0.103247,0.143995", \ - "0.073155,0.075535,0.077810,0.082404,0.091966,0.111777,0.152352"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003922,0.006013,0.008249,0.012604,0.021630,0.040505,0.078846", \ - "0.003925,0.006014,0.008247,0.012605,0.021630,0.040504,0.078851", \ - "0.003925,0.006014,0.008251,0.012603,0.021629,0.040505,0.078853", \ - "0.003953,0.006042,0.008278,0.012622,0.021640,0.040508,0.078850", \ - "0.004050,0.006134,0.008368,0.012688,0.021673,0.040518,0.078849", \ - "0.004213,0.006287,0.008512,0.012799,0.021731,0.040538,0.078854", \ - "0.004482,0.006537,0.008759,0.012996,0.021831,0.040571,0.078857"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.097940,11.791670,11.689070,11.619670,11.622340,11.595860,11.429970", \ - "12.087880,11.785280,11.690670,11.614810,11.617370,11.605190,11.421750", \ - "12.217290,11.878200,11.791020,11.705860,11.705820,11.582620,11.526890", \ - "12.578370,12.270560,12.153890,12.046870,12.089960,11.999360,11.967620", \ - "13.386340,13.051790,12.956310,12.880040,12.857000,12.788640,12.826970", \ - "14.683790,14.355940,14.239130,14.163170,14.151020,14.148290,14.034730", \ - "16.265540,15.969660,15.878250,15.854750,15.938690,15.851300,15.751570"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.186210,11.783260,11.635790,11.493040,11.426900,11.375110,11.344210", \ - "12.180390,11.744430,11.619430,11.467730,11.409800,11.305500,11.370100", \ - "12.270220,11.887880,11.714520,11.597960,11.529560,11.471090,11.417010", \ - "12.643180,12.192970,12.052230,11.871590,11.871030,11.848360,11.801180", \ - "13.417760,13.014150,12.866430,12.754530,12.622750,12.558880,12.412700", \ - "14.653270,14.243880,14.109390,13.931140,13.852650,13.801620,13.582200", \ - "16.201300,15.860290,15.717030,15.595050,15.579620,15.410430,15.476490"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.184535,8.440107,8.790646,9.149714,9.591421,10.211770,10.871730", \ - "8.077199,8.401104,8.755401,9.109663,9.544875,10.172210,10.815310", \ - "8.598272,8.778289,9.075963,9.379604,9.805888,10.406530,11.052830", \ - "9.955504,10.116380,10.330820,10.591050,10.831880,11.366970,11.971180", \ - "12.572360,12.433150,12.333950,12.517970,12.849320,13.361910,13.849960", \ - "16.160260,16.078250,15.901030,15.769570,15.916480,16.412600,17.005340", \ - "20.902970,20.871840,20.553530,20.221070,20.171660,20.605050,21.391380"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.350428,5.466823,5.940315,6.233257,6.680476,6.287837,6.148818", \ - "5.284720,5.585971,5.916268,6.231799,6.510259,6.265279,6.472547", \ - "5.799092,6.006932,6.255210,6.401251,6.590646,6.911021,6.840513", \ - "7.632091,7.414302,7.654149,7.851107,7.993323,6.943892,7.268610", \ - "10.739450,10.432850,10.347510,10.298270,10.020910,9.520724,9.576072", \ - "15.077360,14.623620,14.550650,14.452570,13.537570,13.365190,11.849060", \ - "20.518640,19.768270,19.427860,19.409850,18.817330,18.409160,17.073720"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("10.951480,10.708850,10.650100,10.605560,10.445910,10.261350,10.264650", \ - "10.936070,10.611730,10.657120,10.590690,10.443250,10.066990,10.248250", \ - "11.181630,10.883110,10.701480,10.769470,10.660660,10.281120,10.357790", \ - "12.195640,11.716210,11.498220,11.304180,11.187900,10.954360,10.257860", \ - "13.899490,13.258200,12.822080,12.600480,12.286300,11.807850,11.804170", \ - "16.578380,15.675290,15.245350,14.656310,14.134040,13.854300,13.408190", \ - "19.996440,19.163900,18.533060,17.682200,17.027580,16.367090,15.772210"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.184628,8.440327,8.790677,9.149731,9.591434,10.211770,10.871730", \ - "8.077279,8.401146,8.755436,9.109672,9.544888,10.172210,10.815280", \ - "8.598342,8.778334,9.075995,9.379620,9.805902,10.406540,11.052820", \ - "9.955569,10.116430,10.330860,10.591070,10.831880,11.366960,11.971160", \ - "12.572420,12.433190,12.333980,12.518000,12.849330,13.361910,13.850320", \ - "16.160310,16.078290,15.901070,15.769600,15.916490,16.417390,17.005340", \ - "20.903010,20.871880,20.553560,20.221100,20.171670,20.605040,21.391370"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.350450,5.466821,5.940613,6.233274,6.680589,6.287824,6.148797", \ - "5.284739,5.447588,5.912958,6.231816,6.510267,6.265268,6.472542", \ - "5.799116,6.006956,6.255231,6.401265,6.590652,6.911033,6.840520", \ - "7.632110,7.414318,7.654172,7.851128,7.993342,6.943870,7.268601", \ - "10.739470,10.432870,10.347520,10.298280,10.020920,9.520721,9.576081", \ - "15.077380,14.623650,14.550680,14.452600,13.537560,13.365200,11.849030", \ - "20.518660,19.768290,19.427880,19.409870,18.817330,18.409160,17.073700"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("10.951220,10.708590,10.649820,10.605260,10.445310,10.261090,10.264190", \ - "10.935810,10.611450,10.656840,10.590370,10.442870,10.066740,10.247790", \ - "11.181380,10.883140,10.701210,10.769170,10.660280,10.280480,10.357700", \ - "12.195400,11.715960,11.497650,11.303890,11.187530,10.953970,10.257260", \ - "13.899360,13.257960,12.821830,12.600230,12.285980,11.807500,11.803620", \ - "16.578170,15.675070,15.244860,14.656060,14.133740,13.853450,13.407710", \ - "19.996270,19.163720,18.532720,17.681980,17.027340,16.439660,15.771840"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.184321,8.439965,8.790476,9.149598,9.591364,10.211740,10.871720", \ - "8.077009,8.400911,8.755234,9.109540,9.544821,10.172180,10.815310", \ - "8.598080,8.778103,9.075795,9.379488,9.775553,10.383460,11.052830", \ - "9.955387,10.116220,10.330660,10.590930,10.831820,11.366950,11.971170", \ - "12.572220,12.433010,12.333820,12.517860,12.849250,13.361880,13.850360", \ - "16.160130,16.078120,15.900910,15.769470,15.916410,16.417350,17.005330", \ - "20.902840,20.871720,20.553420,20.220970,20.171580,20.605010,21.391370"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.350369,5.466725,5.940047,6.233136,6.680368,6.287778,6.148767", \ - "5.284657,5.447471,5.916171,6.231676,6.510168,6.265219,6.472491", \ - "5.799036,6.021469,6.255098,6.401129,6.590541,6.910956,6.840454", \ - "7.632033,7.414224,7.654046,7.850994,7.993230,6.943836,7.268556", \ - "10.739380,10.432760,10.347400,10.298150,10.020800,9.520647,9.576010", \ - "15.077290,14.623560,14.550540,14.452460,13.537480,13.365120,11.849010", \ - "20.518550,19.768170,19.427750,19.409710,18.817240,18.409080,17.073660"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("10.951170,10.708520,10.649940,10.605280,10.445600,10.261160,10.263980", \ - "10.935770,10.611530,10.656940,10.590170,10.442950,10.066780,10.247660", \ - "11.181350,10.884180,10.701350,10.769290,10.689090,10.280920,10.357680", \ - "12.195450,11.715910,11.498070,11.303950,11.187640,10.954160,10.257640", \ - "13.899200,13.257940,12.797630,12.600330,12.286080,11.807350,11.803880", \ - "16.578280,15.675190,15.244980,14.656180,14.133880,13.854870,13.407720", \ - "19.996380,19.163670,18.533160,17.682100,17.027290,16.366950,15.772020"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.830283,10.169450,10.490090,10.842250,11.265160,11.871930,12.527260", \ - "9.788177,10.101050,10.433610,10.784550,11.211960,11.832740,12.513530", \ - "10.428750,10.686490,10.974750,11.290810,11.689990,12.289790,12.926000", \ - "12.401340,12.609820,12.892560,13.167350,13.420290,13.947930,14.545380", \ - "16.207610,16.190450,16.136330,16.358500,16.689630,17.218600,17.695980", \ - "21.209140,21.332930,21.310860,21.338370,21.600170,22.161400,22.740620", \ - "27.716170,27.943710,27.858490,27.794630,28.221230,28.936410,29.721700"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.325274,6.658314,6.903183,7.223697,7.697538,7.447524,7.117803", \ - "6.253233,6.422690,6.876500,7.197037,7.648776,7.402448,7.935127", \ - "6.887501,7.105263,7.240065,7.509713,7.822625,8.173319,7.925588", \ - "9.319536,9.117043,9.348175,9.491428,9.201442,8.797350,8.921302", \ - "13.574370,13.288060,13.215540,13.255080,12.801530,12.332520,12.435040", \ - "19.337600,18.861070,19.071960,19.116980,18.626880,17.194430,16.574850", \ - "26.555090,25.996950,25.859800,26.249050,26.445280,25.694970,24.350210"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.894960,11.530860,11.529570,11.460360,11.322220,11.136700,10.847380", \ - "11.773080,11.485020,11.546890,11.473900,11.313220,11.093550,11.121460", \ - "12.163040,11.866740,11.676100,11.744800,11.634280,11.253910,11.324270", \ - "13.567550,13.043510,12.842610,12.695560,12.553730,12.260640,12.165040", \ - "15.843760,15.220340,14.740100,14.539590,14.221040,13.712830,13.732700", \ - "19.468400,18.695880,18.132160,17.588180,17.014030,16.568720,16.218010", \ - "24.168340,23.359650,22.706990,21.941310,21.215970,20.525120,19.925790"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.830147,10.169380,10.490060,10.842220,11.265130,11.871880,12.527180", \ - "9.788009,10.100960,10.433540,10.784520,11.211930,11.832690,12.513520", \ - "10.428570,10.686400,10.974700,11.290780,11.689970,12.289750,12.925920", \ - "12.401170,12.609710,12.892480,13.167320,13.420250,13.947880,14.545340", \ - "16.207460,16.190350,16.136250,16.358450,16.689580,17.218570,17.695890", \ - "21.208990,21.332810,21.310770,21.338310,21.600110,22.161360,22.740570", \ - "27.716020,27.943590,27.858380,27.794540,28.221180,28.936380,29.721640"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.325211,6.658279,6.903159,7.223642,7.697500,7.447449,7.117691", \ - "6.253149,6.422611,6.876425,7.196768,7.648734,7.402286,7.935097", \ - "6.887432,7.105180,7.239980,7.509642,7.822570,8.173293,7.925532", \ - "9.319478,9.116957,9.348106,9.491368,9.201365,8.797249,8.921213", \ - "13.574290,13.287990,13.215460,13.255010,12.801470,12.332430,12.434990", \ - "19.337510,18.860960,19.071890,19.116930,18.626790,17.194300,16.574710", \ - "26.554990,25.996840,25.859690,26.248970,26.445230,25.694910,24.350110"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.895260,11.531170,11.529870,11.460710,11.322620,11.137270,10.848020", \ - "11.774660,11.485280,11.547160,11.474190,11.313590,11.094140,11.122170", \ - "12.163390,11.867000,11.676360,11.745120,11.634620,11.254370,11.324930", \ - "13.567810,13.043790,12.842890,12.697580,12.553400,12.261090,12.165380", \ - "15.844030,15.221370,14.740390,14.539860,14.221390,13.713270,13.733370", \ - "19.468640,18.695740,18.131440,17.586470,17.014340,16.569140,16.218450", \ - "24.168510,23.359840,22.730230,21.849470,21.216260,20.525460,19.926330"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.830191,10.169430,10.490090,10.842250,11.265150,11.871910,12.527220", \ - "9.788090,10.101020,10.433610,10.784550,11.211950,11.832720,12.513530", \ - "10.428660,10.686450,10.974740,11.290810,11.689980,12.289770,12.925960", \ - "12.401270,12.609780,12.892530,13.167340,13.420280,13.947910,14.545360", \ - "16.207550,16.190410,16.136300,16.358490,16.689610,17.218600,17.695940", \ - "21.209080,21.332880,21.310830,21.338350,21.600150,22.161390,22.740600", \ - "27.716120,27.943670,27.858450,27.794600,28.221220,28.936400,29.721680"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.325250,6.658269,6.903174,7.223672,7.697520,7.447490,7.117756", \ - "6.253185,6.422631,6.876682,7.196800,7.648756,7.402326,7.935120", \ - "6.887463,7.105211,7.240015,7.509676,7.822598,8.173311,7.925571", \ - "9.319502,9.116994,9.348137,9.491397,9.201404,8.797302,8.921268", \ - "13.574330,13.288020,13.215500,13.255050,12.801500,12.332480,12.435020", \ - "19.337560,18.861020,19.071930,19.116960,18.626860,17.194370,16.574790", \ - "26.555050,25.996900,25.859750,26.249020,26.445260,25.694950,24.350170"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.895270,11.531200,11.529880,11.460720,11.322620,11.137060,10.848000", \ - "11.773460,11.485310,11.547290,11.474290,11.313600,11.093980,11.122120", \ - "12.163340,11.866970,11.676380,11.745110,11.634630,11.254380,11.324920", \ - "13.567820,13.043810,12.842910,12.697080,12.553440,12.261100,12.165590", \ - "15.844040,15.220610,14.740420,14.539900,14.221400,13.713080,13.733380", \ - "19.468650,18.695680,18.131450,17.586480,17.014350,16.569150,16.218350", \ - "24.168530,23.359870,22.730240,21.849490,21.216270,20.525480,19.926300"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.184400,8.440027,8.790496,9.149607,9.591370,10.211730,10.871720", \ - "8.077056,8.400936,8.755258,9.109540,9.544825,10.172170,10.815270", \ - "8.598123,8.778130,9.075815,9.379495,9.805836,10.383440,11.052810", \ - "9.955423,10.116250,10.330690,10.590940,10.831810,11.366930,11.971150", \ - "12.572260,12.433040,12.333840,12.517880,12.849250,13.361870,13.849910", \ - "16.160160,16.078140,15.900940,15.769480,15.916410,16.417350,17.005320", \ - "20.902860,20.871740,20.553440,20.220980,20.171580,20.604990,21.391340"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.350379,5.466725,5.940102,6.233141,6.680392,6.287753,6.148725", \ - "5.284664,5.585834,5.916192,6.231684,6.510170,6.265197,6.472471", \ - "5.799051,6.021485,6.255111,6.401131,6.590531,6.910963,6.840449", \ - "7.632045,7.414230,7.654060,7.851009,7.993246,6.943797,7.268529", \ - "10.739390,10.432770,10.347410,10.298150,10.020790,9.520627,9.576009", \ - "15.077290,14.623610,14.550560,14.452470,13.537470,13.365130,11.848960", \ - "20.518560,19.768180,19.427760,19.409720,18.817230,18.409080,17.073630"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("10.950830,10.708160,10.649550,10.606220,10.444960,10.260490,10.263250", \ - "10.935430,10.611160,10.656560,10.589740,10.442320,10.066150,10.246940", \ - "11.181350,10.883760,10.700960,10.768540,10.686220,10.280290,10.356680", \ - "12.194910,11.715610,11.497370,11.303150,11.186840,10.953550,10.256770", \ - "13.898970,13.257590,12.797080,12.599930,12.285640,11.806790,11.803060", \ - "16.577960,15.674850,15.244610,14.655790,14.133440,13.854340,13.407070", \ - "19.996080,19.163360,18.532840,17.681740,17.026870,16.399420,15.771360"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.830336,10.169490,10.490120,10.842270,11.265170,11.871960,12.527310", \ - "9.788235,10.101090,10.433640,10.784570,11.211980,11.832770,12.513540", \ - "10.428810,10.686530,10.974770,11.290820,11.690000,12.289810,12.926040", \ - "12.401410,12.609870,12.892590,13.167370,13.420310,13.947950,14.545400", \ - "16.207660,16.190500,16.136370,16.358520,16.689660,17.218620,17.696030", \ - "21.209200,21.332980,21.310910,21.338400,21.600200,22.161430,22.740660", \ - "27.716240,27.943760,27.858540,27.794670,28.221260,28.936430,29.721730"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.325311,6.658257,6.903175,7.223714,7.697553,7.447566,7.117872", \ - "6.253259,6.422721,6.876520,7.196858,7.648794,7.402399,7.935146", \ - "6.887521,7.105284,7.240093,7.509730,7.822651,8.173330,7.925620", \ - "9.319546,9.117069,9.348197,9.491451,9.201482,8.797407,8.921355", \ - "13.574400,13.288090,13.215570,13.255070,12.801570,12.332560,12.435060", \ - "19.337640,18.861160,19.071990,19.117010,18.626940,17.194490,16.574930", \ - "26.555130,25.996990,25.859840,26.249100,26.445530,25.695000,24.350270"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.895350,11.531310,11.529990,11.460810,11.322720,11.137210,10.848050", \ - "11.773210,11.485430,11.547300,11.474480,11.313730,11.094200,11.122110", \ - "12.163290,11.867160,11.676520,11.745240,11.634770,11.254440,11.324870", \ - "13.567920,13.043890,12.843010,12.698270,12.554340,12.261180,12.165780", \ - "15.844110,15.220710,14.740490,14.540000,14.221500,13.713350,13.733300", \ - "19.468740,18.695840,18.131560,17.586450,17.014450,16.569240,16.218600", \ - "24.168620,23.359940,22.730690,21.849580,21.216320,20.525550,19.926310"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.427724,9.675647,9.906245,10.085720,10.155540,10.172840,10.171060", \ - "9.388398,9.649501,9.859923,10.032670,10.119040,10.119030,10.130070", \ - "10.022990,10.187950,10.380840,10.519990,10.571600,10.564950,10.569240", \ - "12.082100,12.116900,12.261460,12.362370,12.289770,12.208600,12.165980", \ - "15.475350,15.521530,15.418930,15.475990,15.528510,15.400450,15.263100", \ - "20.451220,20.499680,20.404470,20.287530,20.301900,20.245630,20.081620", \ - "26.702730,26.815620,26.669420,26.500450,26.559650,26.774350,26.767750"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.702205,5.831807,5.914118,5.849752,6.007909,5.408608,5.244064", \ - "5.619844,5.792683,5.851054,5.888952,5.904186,5.645328,5.771842", \ - "6.189115,6.232649,6.206034,6.095964,5.907336,6.129236,6.016365", \ - "8.452575,8.099830,8.123378,7.953557,7.514164,6.721355,6.986889", \ - "12.526710,12.112070,11.811960,11.600350,10.718660,10.467720,10.394230", \ - "17.938720,17.393700,17.357060,17.166220,16.162210,15.900410,14.308500", \ - "24.706980,24.020440,23.745410,23.839730,23.598320,23.070550,21.722010"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.174290,11.959210,11.881670,12.010780,12.047950,11.794860,11.515510", \ - "12.145420,11.954350,11.878300,12.004060,11.843890,11.915660,11.308180", \ - "12.495310,12.257310,12.148350,12.248130,12.126870,11.810240,11.587190", \ - "13.815260,13.342730,13.269840,13.103790,12.949970,12.968420,12.586260", \ - "16.074500,15.437040,15.207350,14.933690,14.755270,14.313970,14.400390", \ - "19.401730,18.697300,18.191840,17.753390,17.393270,16.824150,16.679840", \ - "23.475780,22.737240,22.078560,21.467980,20.855810,20.295520,19.904340"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.427796,9.675644,9.906266,10.085720,10.155530,10.172830,10.171030", \ - "9.388470,9.649534,9.859940,10.032680,10.119040,10.119010,10.130030", \ - "10.023070,10.187990,10.380860,10.519990,10.571600,10.564930,10.569200", \ - "12.082180,12.116960,12.261490,12.362380,12.289770,12.208590,12.165950", \ - "15.475420,15.521580,15.418960,15.476010,15.528510,15.400440,15.263100", \ - "20.451290,20.499730,20.404510,20.287560,20.301920,20.245620,20.081590", \ - "26.702800,26.815680,26.669470,26.500490,26.559660,26.774340,26.767730"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.702221,5.831767,5.914135,5.849763,6.007925,5.408595,5.243983", \ - "5.619862,5.792703,5.851073,5.888972,5.904200,5.645336,5.771850", \ - "6.188508,6.232670,6.206050,6.095981,5.907336,6.129248,6.016370", \ - "8.452591,8.099843,8.123396,7.953572,7.514163,6.721333,6.986879", \ - "12.526730,12.112090,11.811980,11.600360,10.718660,10.467730,10.394240", \ - "17.938740,17.393730,17.357090,17.166240,16.162210,15.900420,14.308470", \ - "24.707020,24.020470,23.745440,23.839760,23.598330,23.070560,21.722000"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.173830,11.958870,11.881380,12.010490,12.047630,11.794170,11.515150", \ - "12.145160,11.954080,11.878010,12.003770,11.843550,11.915310,11.307990", \ - "12.495050,12.257040,12.148070,12.247840,12.126550,11.809890,11.586830", \ - "13.815140,13.342470,13.269570,13.103530,12.949670,12.968090,12.585830", \ - "16.074300,15.436810,15.207110,14.932590,14.755010,14.313660,14.400200", \ - "19.401540,18.697130,18.191570,17.750830,17.393040,16.823870,16.679320", \ - "23.475980,22.737100,22.078410,21.467820,20.855630,20.295290,19.904080"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.427370,9.675359,9.906010,10.085590,10.155500,10.172840,10.171070", \ - "9.388052,9.649204,9.859690,10.032550,10.119010,10.119030,10.130070", \ - "10.022670,10.187670,10.380610,10.519860,10.571570,10.564950,10.569240", \ - "12.081840,12.116660,12.261250,12.362230,12.289730,12.208600,12.165980", \ - "15.475120,15.521310,15.418740,15.475860,15.528450,15.400440,15.263100", \ - "20.451010,20.499480,20.404280,20.287390,20.301830,20.245610,20.081630", \ - "26.702520,26.815420,26.669240,26.500300,26.559560,26.774320,26.767750"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.702153,5.831738,5.914016,5.849644,6.007814,5.408550,5.244043", \ - "5.619794,5.792598,5.850954,5.888848,5.904093,5.645269,5.771787", \ - "6.188430,6.232564,6.205933,6.095860,5.907245,6.129173,6.016308", \ - "8.452503,8.099745,8.123280,7.953451,7.514073,6.721302,6.986837", \ - "12.526610,12.111960,11.811850,11.600240,10.718580,10.467650,10.394170", \ - "17.938590,17.393570,17.356920,17.166090,16.162130,15.900340,14.308450", \ - "24.706850,24.020290,23.745260,23.839580,23.598210,23.070480,21.721960"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.180050,11.974030,11.881310,12.010210,12.047200,11.791180,11.514450", \ - "12.145120,11.954020,11.877930,12.003490,11.842900,11.914660,11.307140", \ - "12.495170,12.256970,12.147970,12.247510,12.126220,11.808600,11.586160", \ - "13.813810,13.342460,13.269440,13.103130,12.949260,12.967490,12.586470", \ - "16.072810,15.559650,15.206980,14.924270,14.754570,14.313100,14.399420", \ - "19.401660,18.697030,18.192260,17.753620,17.392630,16.823350,16.680730", \ - "23.464970,22.736990,22.078290,21.467550,20.855180,20.294760,19.903050"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.427985,9.675719,9.906367,10.085780,10.155580,10.172880,10.171170", \ - "9.388656,9.649758,9.860075,10.032740,10.119080,10.119100,10.130150", \ - "10.023250,10.188140,10.380960,10.520050,10.571630,10.565010,10.569310", \ - "12.082320,12.117080,12.261590,12.362440,12.289820,12.208660,12.166040", \ - "15.475580,15.521700,15.419070,15.476070,15.528570,15.400500,15.263130", \ - "20.451430,20.499860,20.404620,20.287650,20.301970,20.245700,20.081710", \ - "26.702940,26.815810,26.669600,26.500590,26.559790,26.774450,26.767830"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.702652,5.831910,5.914207,5.849790,6.007891,5.408688,5.242878", \ - "5.619862,5.792651,5.851074,5.887323,5.904178,5.645479,5.772206", \ - "6.188501,6.232672,6.206064,6.095572,5.907603,6.129168,6.016398", \ - "8.452596,8.099871,8.123423,7.953598,7.514214,6.721479,6.986968", \ - "12.526740,12.112110,11.812030,11.600400,10.718760,10.467770,10.394270", \ - "17.938810,17.393760,17.357130,17.166280,16.162330,15.900470,14.308640", \ - "24.707110,24.020560,23.745540,23.839850,23.598420,23.070630,21.722130"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.177100,11.984280,11.878330,12.005380,12.039710,11.771040,11.497200", \ - "12.143140,11.951490,11.874850,11.998660,11.835880,11.902650,11.290010", \ - "12.492990,12.254340,12.144770,12.242620,12.118710,11.797620,11.568930", \ - "13.810420,13.340060,13.266070,13.096580,12.941690,12.955470,12.565250", \ - "16.068800,15.550090,15.203570,14.870380,14.746870,14.301310,14.381910", \ - "19.400000,18.694340,18.191370,17.790030,17.384940,16.811660,16.668610", \ - "23.462630,22.734130,22.074920,21.462570,20.847570,20.283070,19.886800"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.427825,9.675645,9.906315,10.085760,10.155560,10.172850,10.171100", \ - "9.388497,9.649632,9.860019,10.032720,10.119070,10.119050,10.130080", \ - "10.023090,10.188030,10.380910,10.520020,10.571620,10.564970,10.569230", \ - "12.082180,12.116970,12.261520,12.362410,12.289800,12.208630,12.165990", \ - "15.475430,15.521600,15.418990,15.476030,15.528550,15.400480,15.263120", \ - "20.451290,20.499750,20.404530,20.287590,20.301940,20.245670,20.081640", \ - "26.702800,26.815690,26.669500,26.500510,26.559720,26.774400,26.767790"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.702598,5.831971,5.914156,5.849734,6.007842,5.408602,5.243088", \ - "5.619807,5.792603,5.851026,5.887283,5.904135,5.645419,5.772153", \ - "6.188457,6.232624,6.206009,6.095512,5.907532,6.129129,6.016341", \ - "8.452555,8.099813,8.123372,7.953548,7.514151,6.721365,6.986878", \ - "12.526690,12.112060,11.811960,11.600350,10.718680,10.467700,10.394220", \ - "17.938730,17.393680,17.357070,17.166240,16.162250,15.900430,14.308510", \ - "24.707010,24.020470,23.745440,23.839770,23.598340,23.070570,21.722030"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.177500,11.985760,11.878620,12.005730,12.040120,11.771490,11.497760", \ - "12.143440,11.951760,11.875160,11.998970,11.836240,11.903150,11.290500", \ - "12.493250,12.254630,12.145040,12.242930,12.119060,11.797650,11.569420", \ - "13.810640,13.340320,13.266340,13.096890,12.942070,12.955920,12.565780", \ - "16.069050,15.550340,15.203840,14.870630,14.747200,14.301740,14.382460", \ - "19.400190,18.694550,18.191570,17.790290,17.385250,16.812010,16.669050", \ - "23.462740,22.734270,22.075040,21.462750,20.847820,20.283370,19.887300"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.427900,9.675667,9.906338,10.085770,10.155580,10.172870,10.171130", \ - "9.388572,9.649706,9.860046,10.032730,10.119080,10.119080,10.130120", \ - "10.023170,10.188080,10.380930,10.520040,10.571630,10.564990,10.569270", \ - "12.082260,12.117030,12.261560,12.362430,12.289810,12.208650,12.166020", \ - "15.475510,15.521660,15.419030,15.476050,15.528560,15.400500,15.263130", \ - "20.451370,20.499810,20.404580,20.287630,20.301960,20.245690,20.081680", \ - "26.702890,26.815760,26.669560,26.500570,26.559760,26.774430,26.767820"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.702627,5.831885,5.914181,5.849762,6.007875,5.408647,5.242794", \ - "5.619837,5.792627,5.851049,5.887301,5.904160,5.645452,5.772189", \ - "6.188481,6.232648,6.206036,6.095543,5.907570,6.129153,6.016380", \ - "8.452577,8.099843,8.123398,7.953574,7.514183,6.721426,6.986933", \ - "12.526720,12.112090,11.812000,11.600380,10.718720,10.467740,10.394250", \ - "17.938780,17.393730,17.357110,17.166260,16.162290,15.900460,14.308590", \ - "24.707070,24.020520,23.745500,23.839820,23.598390,23.070610,21.722090"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.177460,11.985000,11.878650,12.005740,12.040130,11.771500,11.497800", \ - "12.143450,11.951790,11.875170,11.998990,11.836260,11.903150,11.290500", \ - "12.493280,12.254650,12.145070,12.242940,12.119080,11.797670,11.569490", \ - "13.810670,13.340350,13.266360,13.096910,12.942080,12.955930,12.565800", \ - "16.069070,15.434600,15.203850,14.870660,14.747210,14.301740,14.382480", \ - "19.400210,18.694570,18.191590,17.790300,17.385260,16.812030,16.669080", \ - "23.462770,22.734300,22.075080,21.462770,20.847820,20.283380,19.887280"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.427422,9.675374,9.906023,10.085590,10.155500,10.172820,10.171030", \ - "9.388103,9.649232,9.859703,10.032550,10.119010,10.119000,10.130030", \ - "10.022720,10.187690,10.380630,10.519870,10.571570,10.564930,10.569190", \ - "12.081890,12.116690,12.261270,12.362240,12.289730,12.208580,12.165950", \ - "15.475160,15.521340,15.418760,15.475870,15.528450,15.400430,15.263090", \ - "20.451040,20.499510,20.404310,20.287410,20.301840,20.245600,20.081580", \ - "26.702560,26.815450,26.669270,26.500310,26.559550,26.774300,26.767720"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.702161,5.831716,5.914027,5.849646,6.007821,5.408527,5.244022", \ - "5.619803,5.792613,5.850965,5.888858,5.904100,5.645261,5.771782", \ - "6.188443,6.232578,6.205942,6.095868,5.907232,6.129181,6.016301", \ - "8.452513,8.099749,8.123290,7.953457,7.514064,6.721264,6.986809", \ - "12.526620,12.111970,11.811860,11.600240,10.718570,10.467640,10.394160", \ - "17.938610,17.393580,17.356940,17.166100,16.162110,15.900350,14.308400", \ - "24.706860,24.020300,23.745270,23.839590,23.598210,23.070470,21.721920"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.179720,11.972760,11.880920,12.009790,12.046710,11.790740,11.513700", \ - "12.144770,11.953650,11.877530,12.003060,11.842410,11.914070,11.306370", \ - "12.494810,12.256590,12.147570,12.247140,12.125740,11.808730,11.585510", \ - "13.813460,13.342100,13.269060,13.102710,12.948780,12.966910,12.585580", \ - "16.072490,15.436460,15.206610,14.922550,14.754120,14.312540,14.398820", \ - "19.401360,18.696720,18.191930,17.753260,17.392210,16.822820,16.680010", \ - "23.464720,22.736730,22.078020,21.467250,20.854820,20.294300,19.902440"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.428037,9.675747,9.906384,10.085790,10.155600,10.172900,10.171210", \ - "9.388708,9.649786,9.860092,10.032760,10.119090,10.119130,10.130200", \ - "10.023310,10.188170,10.380980,10.520060,10.571640,10.565030,10.569360", \ - "12.082370,12.117120,12.261620,12.362450,12.289830,12.208680,12.166080", \ - "15.475630,15.521740,15.419100,15.476090,15.528580,15.400520,15.263140", \ - "20.451490,20.499900,20.404650,20.287680,20.301980,20.245720,20.081760", \ - "26.703000,26.815860,26.669650,26.500630,26.559820,26.774480,26.767860"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.702674,5.831923,5.914224,5.849814,6.007907,5.408738,5.242929", \ - "5.619885,5.792666,5.851090,5.887340,5.904196,5.645513,5.772237", \ - "6.188518,6.232688,6.206085,6.095598,5.907639,6.129188,6.016431", \ - "8.452613,8.099896,8.123442,7.953619,7.514247,6.721547,6.987021", \ - "12.526760,12.112130,11.812050,11.600420,10.718800,10.467810,10.394290", \ - "17.938840,17.393790,17.357150,17.166300,16.162380,15.900490,14.308730", \ - "24.707150,24.020600,23.745580,23.839880,23.598460,23.070660,21.722190"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.177580,11.984920,11.878750,12.005820,12.040190,11.771610,11.497800", \ - "12.143530,11.951900,11.875260,11.999100,11.836370,11.903210,11.290560", \ - "12.493380,12.254740,12.145180,12.243050,12.119200,11.797780,11.569470", \ - "13.810770,13.340440,13.266460,13.097010,12.942160,12.956010,12.565940", \ - "16.069140,15.434710,15.203930,14.870770,14.747300,14.301810,14.382420", \ - "19.400290,18.694640,18.191690,17.790370,17.385310,16.812120,16.669200", \ - "23.462850,22.734370,22.075170,21.462840,20.847880,20.283460,19.887280"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.074868,8.907783,8.780719,8.937082,9.073781,9.159370,9.095576", \ - "9.056187,8.783156,8.796954,8.943112,9.060057,9.139368,9.169483", \ - "9.225603,9.018721,8.985289,8.996760,9.109420,9.252623,8.885827", \ - "10.321850,9.908806,9.786712,9.640701,9.770606,9.671418,9.428733", \ - "12.028960,11.520670,11.285400,10.992560,10.778040,10.758060,10.431450", \ - "14.371030,13.881650,13.513760,12.956910,12.709850,12.390420,12.152290", \ - "17.520390,16.968190,16.468920,15.877300,15.259960,14.807700,14.322120"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.074840,8.907703,8.780675,8.937029,9.073721,9.153669,9.095427", \ - "9.056162,8.783127,8.796913,8.943063,9.059979,9.139275,9.169347", \ - "9.225569,9.018713,8.985256,8.996699,9.109352,9.252526,8.885672", \ - "10.321810,9.908768,9.786677,9.640642,9.770542,9.671320,9.428625", \ - "12.028940,11.493780,11.285360,10.992510,10.778150,10.757980,10.431330", \ - "14.371020,13.881640,13.513750,12.956870,12.709790,12.390350,12.152220", \ - "17.520390,16.968190,16.468920,15.877270,15.259920,14.807650,14.322020"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.074879,8.907705,8.780758,8.937150,9.073924,9.153965,9.186557", \ - "9.056198,8.783182,8.797018,8.943182,9.060182,9.139567,9.169756", \ - "9.225616,9.018777,8.985332,8.982627,9.109543,9.252817,8.886101", \ - "10.322980,9.908831,9.786751,9.640767,9.770725,9.671605,9.428992", \ - "12.028960,11.493810,11.285420,10.992650,10.778300,10.758230,10.431700", \ - "14.371020,13.881640,13.513780,12.956930,12.709930,12.390560,12.152500", \ - "17.520340,16.968140,16.468870,15.877280,15.260000,14.807800,14.322290"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.970333,8.809196,8.776275,8.838877,9.019033,8.978947,9.010069", \ - "8.958989,8.796318,8.765800,8.847070,8.992900,9.050218,8.994121", \ - "9.129206,8.923332,8.743664,8.887082,9.015248,9.162684,8.789036", \ - "10.206910,9.814671,9.692479,9.546824,9.677503,9.581204,9.335684", \ - "11.849410,11.401330,11.192440,10.899150,10.730160,10.669600,10.349290", \ - "14.281070,13.791580,13.392970,12.941350,12.618520,12.302220,12.033770", \ - "17.428630,16.876680,16.448690,15.786440,15.159990,14.710050,14.241100"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.970960,8.809202,8.776332,8.838957,9.019076,9.070396,9.010216", \ - "8.959016,8.796365,8.765844,8.847127,8.992992,9.050348,8.994277", \ - "9.129204,8.923351,8.743701,8.905804,9.015332,9.162801,8.789144", \ - "10.206910,9.814687,9.692535,9.546848,9.677594,9.581309,9.335901", \ - "11.849430,11.401370,11.192470,10.899200,10.730220,10.669740,10.349440", \ - "14.281060,13.791580,13.392960,12.941380,12.618540,12.302320,12.034000", \ - "17.428600,16.876660,16.448710,15.786430,15.160010,14.710150,14.241220"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.970534,8.809483,8.776511,8.839175,9.019432,8.937321,9.010848", \ - "8.959193,8.796566,8.766049,8.847377,8.993275,9.050773,8.994867", \ - "9.129386,8.923547,8.743924,8.887354,9.015654,9.163224,8.789736", \ - "10.227290,9.814888,9.692753,9.547096,9.677907,9.581724,9.336459", \ - "11.849610,11.401560,11.192680,10.899430,10.730520,10.670130,10.349980", \ - "14.281220,13.791750,13.393140,12.941580,12.618800,12.302670,12.034480", \ - "17.428710,16.876770,16.448830,15.786580,15.160200,14.710430,14.241650"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.074767,8.907572,8.780611,8.936976,9.073699,9.071142,9.143330", \ - "9.056087,8.783057,8.796869,8.943009,9.059947,9.139259,9.169371", \ - "9.225496,9.018616,8.985190,8.982446,9.109317,9.252510,8.885664", \ - "10.322860,9.908697,9.786609,9.640587,9.770506,9.671302,9.428603", \ - "12.028850,11.493690,11.285290,10.992490,10.778080,10.757960,10.431310", \ - "14.370930,13.881550,13.513670,12.956790,12.709740,12.390310,12.152180", \ - "17.520290,16.968090,16.468810,15.877180,15.259860,14.807600,14.321980"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.970504,8.809313,8.776487,8.839129,9.019350,9.014579,9.010723", \ - "8.959164,8.796511,8.766013,8.847321,8.993222,9.050634,8.994705", \ - "9.129387,8.923530,8.743882,8.906031,9.015567,9.163103,8.789631", \ - "10.207090,9.814872,9.692695,9.547080,9.677816,9.581615,9.336238", \ - "11.849590,11.401520,11.192650,10.899380,10.730460,10.669980,10.349820", \ - "14.281220,13.791740,13.393140,12.941550,12.618780,12.302570,12.034240", \ - "17.428730,16.876790,16.448810,15.786590,15.160180,14.710330,14.241530"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.106194,8.811709,8.850506,9.142918,10.594460,12.623800,12.975410", \ - "9.090673,8.886587,8.863931,9.152140,10.581240,12.597140,12.637130", \ - "9.301801,9.023361,8.960190,9.199168,10.674370,12.859920,12.971110", \ - "10.384470,9.945899,9.845670,9.956694,11.503160,13.307810,13.403770", \ - "12.117850,11.554690,11.353210,11.293350,12.356060,14.434700,14.401880", \ - "14.523090,13.984330,13.497160,13.273900,14.061440,16.203390,16.135860", \ - "17.735930,17.169000,16.573700,16.031520,16.306660,18.878090,18.908300"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.106224,8.811685,8.847154,9.142885,10.594420,12.623710,12.757310", \ - "9.090655,8.886567,8.863901,9.152111,10.581190,12.597050,12.636810", \ - "9.301775,9.028665,8.960197,9.295893,10.674340,12.859830,12.970940", \ - "10.384450,9.945870,9.845647,9.956657,11.502980,13.307690,13.403630", \ - "12.117840,11.554670,11.353180,11.293320,12.356020,14.434640,14.490800", \ - "14.523080,13.984320,13.497070,13.273880,14.061400,16.203330,16.135780", \ - "17.735930,17.169000,16.573710,16.031510,16.306640,18.878050,18.908180"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.106252,8.811713,8.889050,9.142955,10.594510,12.674660,12.755330", \ - "9.090669,8.886596,8.863946,9.152178,10.581290,12.597300,12.637140", \ - "9.301799,9.028703,8.960229,9.295968,10.674440,12.860080,12.971310", \ - "10.385720,9.945905,9.845689,9.956726,11.503050,13.307940,13.403980", \ - "12.117850,11.554690,11.353210,11.293370,12.356090,14.434840,14.402100", \ - "14.523060,13.984310,13.497130,13.273900,14.061440,16.203490,16.136050", \ - "17.735870,17.168940,16.573650,16.031490,16.306640,18.878120,18.908450"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.138839,8.812880,8.772245,9.143536,10.595230,12.682100,12.988070", \ - "9.091026,8.886968,8.862180,9.152774,10.582040,12.592190,12.859110", \ - "9.302127,9.023677,9.002154,9.199836,10.674250,12.862480,12.726940", \ - "10.384820,9.946318,9.846199,9.957397,11.504040,13.299520,13.401020", \ - "12.118710,11.555220,11.353820,11.294080,12.356970,14.437290,14.499840", \ - "14.523620,13.984900,13.485990,13.274770,14.062330,16.205650,16.141630", \ - "17.736490,17.169640,16.574590,16.032320,16.307670,18.879830,18.828760"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.141030,8.812894,8.772245,9.143530,10.595220,12.633550,12.963490", \ - "9.091046,8.887009,8.862396,9.152779,10.581990,12.592470,12.864270", \ - "9.302121,9.023757,9.002606,9.200010,10.675490,12.862710,12.729470", \ - "10.384820,9.946324,9.846236,9.957367,11.504040,13.299740,13.402270", \ - "12.118720,11.555250,11.353830,11.294080,12.356940,14.437530,14.409190", \ - "14.523610,13.984900,13.486140,13.274760,14.062260,16.205810,16.141740", \ - "17.736450,17.169620,16.574600,16.032290,16.307610,18.879940,18.829040"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.137546,8.813096,8.772464,9.143800,10.595570,12.683520,12.969840", \ - "9.091227,8.887209,8.862597,9.153049,10.582340,12.592870,12.864210", \ - "9.302304,9.023958,9.002778,9.200279,10.675640,12.863120,12.729710", \ - "10.386320,9.946530,9.846463,9.957638,11.504380,13.300140,13.402700", \ - "12.118900,11.555450,11.354040,11.294340,12.357260,14.437900,14.409720", \ - "14.523760,13.985070,13.486310,13.274970,14.062550,16.206160,16.142240", \ - "17.736560,17.169730,16.574720,16.032450,16.307840,18.880240,18.829450"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.106167,8.811592,8.888448,9.142795,10.594310,12.675370,12.753850", \ - "9.090564,8.886479,8.863814,9.152021,10.581080,12.597000,12.636440", \ - "9.301686,9.023244,8.960109,9.295807,10.674220,12.859780,12.970930", \ - "10.384350,9.945778,9.845556,9.956561,11.502860,13.307640,13.403590", \ - "12.117750,11.554570,11.353090,11.293220,12.355900,14.434580,14.401720", \ - "14.522980,13.984220,13.496940,13.273780,14.061280,16.203250,16.135730", \ - "17.735820,17.168890,16.573610,16.031400,16.306520,18.877940,18.908130"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.138380,8.813067,8.772459,9.143796,10.595570,12.701260,12.763150", \ - "9.091200,8.887158,8.862340,9.153035,10.582380,12.592570,12.858360", \ - "9.302305,9.023944,8.967339,9.200303,10.674270,12.862870,12.727040", \ - "10.385000,9.946518,9.846416,9.957663,11.504250,13.299900,13.401300", \ - "12.118890,11.555410,11.354030,11.294330,12.357290,14.437640,14.500310", \ - "14.523770,13.985060,13.486070,13.274990,14.062610,16.205970,16.142130", \ - "17.736580,17.169750,16.574720,16.032470,16.307890,18.880100,18.829140"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.051397,0.054473,0.057249,0.062009,0.070286,0.085476,0.115065", \ - "0.052162,0.055241,0.058015,0.062776,0.071053,0.086241,0.115829", \ - "0.054968,0.058044,0.060820,0.065579,0.073856,0.089048,0.118634", \ - "0.057762,0.060835,0.063609,0.068373,0.076649,0.091840,0.121429", \ - "0.059604,0.062683,0.065455,0.070217,0.078492,0.093684,0.123275", \ - "0.059992,0.063069,0.065845,0.070607,0.078883,0.094076,0.123663", \ - "0.058633,0.061710,0.064483,0.069246,0.077524,0.092714,0.122306"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.048752,0.052253,0.055644,0.061737,0.072766,0.093566,0.134576", \ - "0.049517,0.053018,0.056410,0.062501,0.073531,0.094332,0.135343", \ - "0.052123,0.055620,0.059012,0.065106,0.076136,0.096939,0.137952", \ - "0.054568,0.058069,0.061461,0.067555,0.078586,0.099384,0.140398", \ - "0.055964,0.059465,0.062859,0.068949,0.079977,0.100779,0.141793", \ - "0.055872,0.059373,0.062764,0.068853,0.079875,0.100678,0.141676", \ - "0.053907,0.057416,0.060813,0.066891,0.077909,0.098695,0.139705"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004145,0.005511,0.006927,0.009716,0.015394,0.027392,0.052604", \ - "0.004147,0.005507,0.006930,0.009716,0.015393,0.027393,0.052600", \ - "0.004143,0.005510,0.006929,0.009716,0.015394,0.027392,0.052601", \ - "0.004146,0.005512,0.006928,0.009716,0.015394,0.027395,0.052611", \ - "0.004149,0.005509,0.006928,0.009717,0.015393,0.027396,0.052602", \ - "0.004150,0.005509,0.006929,0.009718,0.015395,0.027398,0.052603", \ - "0.004150,0.005513,0.006929,0.009719,0.015398,0.027395,0.052606"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004503,0.006634,0.008981,0.013457,0.022334,0.040712,0.078756", \ - "0.004502,0.006633,0.008978,0.013455,0.022331,0.040710,0.078757", \ - "0.004503,0.006635,0.008980,0.013453,0.022331,0.040714,0.078760", \ - "0.004503,0.006634,0.008980,0.013454,0.022332,0.040718,0.078759", \ - "0.004510,0.006640,0.008984,0.013454,0.022336,0.040714,0.078767", \ - "0.004520,0.006648,0.008996,0.013469,0.022340,0.040714,0.078758", \ - "0.004537,0.006663,0.009006,0.013479,0.022348,0.040721,0.078758"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.094705,0.098309,0.101737,0.107834,0.118742,0.139307,0.180141", \ - "0.095586,0.099192,0.102618,0.108716,0.119622,0.140187,0.181022", \ - "0.098755,0.102360,0.105789,0.111887,0.122798,0.143364,0.184199", \ - "0.104188,0.107791,0.111221,0.117319,0.128225,0.148783,0.189613", \ - "0.113842,0.117444,0.120866,0.126957,0.137859,0.158409,0.199225", \ - "0.128514,0.132121,0.135544,0.141632,0.152529,0.173066,0.213839", \ - "0.147052,0.150679,0.154116,0.160212,0.171111,0.191630,0.232373"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005402,0.007334,0.009565,0.013869,0.022496,0.040736,0.078736", \ - "0.005400,0.007334,0.009565,0.013870,0.022494,0.040742,0.078740", \ - "0.005400,0.007333,0.009563,0.013873,0.022496,0.040736,0.078738", \ - "0.005402,0.007334,0.009567,0.013868,0.022498,0.040735,0.078738", \ - "0.005403,0.007337,0.009568,0.013875,0.022498,0.040736,0.078740", \ - "0.005474,0.007392,0.009618,0.013914,0.022523,0.040754,0.078736", \ - "0.005637,0.007529,0.009738,0.014022,0.022586,0.040792,0.078742"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.094705,0.098309,0.101737,0.107834,0.118743,0.139306,0.180141", \ - "0.095586,0.099192,0.102618,0.108716,0.119622,0.140186,0.181022", \ - "0.098756,0.102360,0.105788,0.111887,0.122798,0.143365,0.184200", \ - "0.104188,0.107791,0.111223,0.117319,0.128226,0.148783,0.189614", \ - "0.113843,0.117444,0.120866,0.126956,0.137859,0.158409,0.199225", \ - "0.128514,0.132121,0.135546,0.141632,0.152529,0.173066,0.213840", \ - "0.147052,0.150679,0.154116,0.160212,0.171112,0.191633,0.232373"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005402,0.007334,0.009565,0.013869,0.022496,0.040736,0.078736", \ - "0.005400,0.007334,0.009565,0.013870,0.022494,0.040742,0.078740", \ - "0.005400,0.007333,0.009563,0.013873,0.022496,0.040736,0.078738", \ - "0.005402,0.007334,0.009567,0.013868,0.022498,0.040735,0.078738", \ - "0.005403,0.007337,0.009568,0.013875,0.022498,0.040736,0.078740", \ - "0.005474,0.007392,0.009618,0.013914,0.022523,0.040754,0.078736", \ - "0.005637,0.007529,0.009738,0.014022,0.022586,0.040794,0.078742"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.094704,0.098309,0.101736,0.107833,0.118741,0.139306,0.180140", \ - "0.095586,0.099191,0.102617,0.108717,0.119622,0.140186,0.181021", \ - "0.098755,0.102359,0.105787,0.111886,0.122797,0.143363,0.184200", \ - "0.104187,0.107790,0.111220,0.117318,0.128225,0.148782,0.189612", \ - "0.113841,0.117443,0.120865,0.126956,0.137858,0.158409,0.199224", \ - "0.128513,0.132120,0.135545,0.141631,0.152528,0.173065,0.213839", \ - "0.147051,0.150678,0.154115,0.160211,0.171111,0.191629,0.232372"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005402,0.007334,0.009565,0.013869,0.022496,0.040736,0.078736", \ - "0.005400,0.007334,0.009565,0.013870,0.022494,0.040742,0.078740", \ - "0.005400,0.007333,0.009563,0.013872,0.022496,0.040736,0.078738", \ - "0.005402,0.007334,0.009567,0.013868,0.022498,0.040735,0.078738", \ - "0.005403,0.007337,0.009566,0.013875,0.022498,0.040736,0.078740", \ - "0.005474,0.007391,0.009617,0.013914,0.022523,0.040755,0.078736", \ - "0.005637,0.007529,0.009738,0.014022,0.022586,0.040791,0.078742"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.094767,0.098373,0.101799,0.107896,0.118806,0.139369,0.180203", \ - "0.095643,0.099250,0.102675,0.108773,0.119680,0.140242,0.181081", \ - "0.098821,0.102428,0.105856,0.111954,0.122863,0.143428,0.184266", \ - "0.104288,0.107890,0.111317,0.117416,0.128322,0.148877,0.189712", \ - "0.113968,0.117570,0.120995,0.127086,0.137991,0.158548,0.199366", \ - "0.128673,0.132278,0.135704,0.141794,0.152693,0.173235,0.214021", \ - "0.147224,0.150855,0.154289,0.160386,0.171288,0.191819,0.232567"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005400,0.007335,0.009565,0.013870,0.022496,0.040740,0.078735", \ - "0.005398,0.007333,0.009563,0.013868,0.022494,0.040737,0.078740", \ - "0.005399,0.007333,0.009562,0.013867,0.022495,0.040735,0.078738", \ - "0.005398,0.007332,0.009565,0.013871,0.022496,0.040746,0.078739", \ - "0.005403,0.007337,0.009566,0.013871,0.022495,0.040736,0.078741", \ - "0.005474,0.007390,0.009613,0.013909,0.022524,0.040753,0.078735", \ - "0.005637,0.007527,0.009737,0.014020,0.022584,0.040793,0.078741"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.094767,0.098373,0.101799,0.107896,0.118806,0.139367,0.180203", \ - "0.095643,0.099250,0.102675,0.108773,0.119680,0.140243,0.181081", \ - "0.098821,0.102429,0.105856,0.111954,0.122863,0.143428,0.184266", \ - "0.104288,0.107890,0.111317,0.117416,0.128321,0.148877,0.189712", \ - "0.113968,0.117570,0.120996,0.127086,0.137991,0.158548,0.199366", \ - "0.128673,0.132278,0.135705,0.141794,0.152693,0.173234,0.214021", \ - "0.147224,0.150855,0.154288,0.160384,0.171288,0.191819,0.232567"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005400,0.007335,0.009565,0.013870,0.022496,0.040741,0.078735", \ - "0.005398,0.007333,0.009563,0.013868,0.022494,0.040737,0.078740", \ - "0.005399,0.007333,0.009562,0.013867,0.022495,0.040735,0.078738", \ - "0.005398,0.007332,0.009565,0.013871,0.022496,0.040746,0.078739", \ - "0.005403,0.007337,0.009566,0.013871,0.022495,0.040736,0.078741", \ - "0.005474,0.007390,0.009614,0.013909,0.022524,0.040753,0.078735", \ - "0.005637,0.007527,0.009736,0.014018,0.022584,0.040793,0.078741"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.094767,0.098373,0.101799,0.107896,0.118806,0.139368,0.180203", \ - "0.095643,0.099250,0.102675,0.108773,0.119680,0.140242,0.181081", \ - "0.098821,0.102429,0.105856,0.111954,0.122864,0.143428,0.184266", \ - "0.104288,0.107890,0.111317,0.117416,0.128321,0.148877,0.189712", \ - "0.113968,0.117570,0.120996,0.127086,0.137991,0.158548,0.199366", \ - "0.128673,0.132278,0.135705,0.141794,0.152693,0.173234,0.214021", \ - "0.147224,0.150855,0.154288,0.160384,0.171288,0.191819,0.232567"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005400,0.007335,0.009565,0.013870,0.022496,0.040735,0.078735", \ - "0.005398,0.007333,0.009563,0.013868,0.022494,0.040737,0.078740", \ - "0.005399,0.007333,0.009562,0.013867,0.022495,0.040735,0.078738", \ - "0.005398,0.007332,0.009565,0.013871,0.022496,0.040746,0.078739", \ - "0.005403,0.007337,0.009566,0.013871,0.022495,0.040736,0.078741", \ - "0.005474,0.007390,0.009614,0.013909,0.022524,0.040753,0.078735", \ - "0.005637,0.007527,0.009736,0.014018,0.022584,0.040793,0.078741"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.094704,0.098309,0.101736,0.107835,0.118742,0.139306,0.180140", \ - "0.095586,0.099191,0.102617,0.108717,0.119623,0.140186,0.181021", \ - "0.098757,0.102359,0.105787,0.111889,0.122799,0.143363,0.184199", \ - "0.104189,0.107793,0.111222,0.117320,0.128227,0.148782,0.189613", \ - "0.113842,0.117444,0.120866,0.126955,0.137858,0.158409,0.199224", \ - "0.128513,0.132120,0.135545,0.141631,0.152528,0.173065,0.213839", \ - "0.147051,0.150678,0.154115,0.160211,0.171111,0.191632,0.232372"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005402,0.007334,0.009565,0.013869,0.022496,0.040736,0.078736", \ - "0.005400,0.007334,0.009565,0.013870,0.022494,0.040742,0.078740", \ - "0.005400,0.007333,0.009563,0.013873,0.022495,0.040736,0.078738", \ - "0.005402,0.007334,0.009567,0.013868,0.022498,0.040735,0.078738", \ - "0.005403,0.007337,0.009566,0.013875,0.022498,0.040736,0.078740", \ - "0.005474,0.007391,0.009617,0.013914,0.022523,0.040755,0.078736", \ - "0.005637,0.007529,0.009738,0.014022,0.022586,0.040794,0.078742"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.094767,0.098373,0.101799,0.107896,0.118806,0.139368,0.180203", \ - "0.095643,0.099250,0.102675,0.108773,0.119680,0.140243,0.181081", \ - "0.098821,0.102428,0.105856,0.111954,0.122863,0.143429,0.184266", \ - "0.104287,0.107890,0.111317,0.117415,0.128322,0.148877,0.189712", \ - "0.113968,0.117570,0.120995,0.127086,0.137991,0.158548,0.199366", \ - "0.128673,0.132278,0.135705,0.141794,0.152693,0.173234,0.214021", \ - "0.147224,0.150855,0.154288,0.160384,0.171288,0.191819,0.232567"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005400,0.007335,0.009565,0.013870,0.022496,0.040741,0.078735", \ - "0.005398,0.007333,0.009563,0.013868,0.022494,0.040737,0.078740", \ - "0.005399,0.007333,0.009562,0.013867,0.022495,0.040735,0.078738", \ - "0.005398,0.007332,0.009565,0.013871,0.022496,0.040746,0.078739", \ - "0.005403,0.007337,0.009566,0.013871,0.022495,0.040736,0.078741", \ - "0.005474,0.007390,0.009614,0.013909,0.022524,0.040753,0.078735", \ - "0.005637,0.007527,0.009736,0.014018,0.022584,0.040793,0.078741"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100131,0.103616,0.106969,0.112981,0.123836,0.144483,0.185428", \ - "0.101013,0.104489,0.107848,0.113858,0.124716,0.145362,0.186309", \ - "0.104410,0.107892,0.111255,0.117253,0.128106,0.148750,0.189700", \ - "0.108857,0.112337,0.115698,0.121708,0.132565,0.153211,0.194159", \ - "0.114031,0.117510,0.120871,0.126890,0.137752,0.158392,0.199344", \ - "0.119900,0.123372,0.126729,0.132753,0.143618,0.164259,0.205209", \ - "0.126374,0.129849,0.133217,0.139224,0.150097,0.170725,0.211671"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004478,0.006575,0.008877,0.013262,0.022084,0.040570,0.078696", \ - "0.004475,0.006574,0.008871,0.013258,0.022083,0.040574,0.078698", \ - "0.004472,0.006571,0.008868,0.013257,0.022077,0.040566,0.078698", \ - "0.004472,0.006569,0.008871,0.013255,0.022080,0.040569,0.078697", \ - "0.004471,0.006570,0.008870,0.013254,0.022081,0.040565,0.078697", \ - "0.004464,0.006568,0.008868,0.013256,0.022081,0.040562,0.078697", \ - "0.004470,0.006571,0.008869,0.013256,0.022077,0.040551,0.078690"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100132,0.103616,0.106969,0.112981,0.123836,0.144483,0.185428", \ - "0.101014,0.104489,0.107848,0.113859,0.124716,0.145362,0.186309", \ - "0.104410,0.107892,0.111255,0.117254,0.128106,0.148750,0.189700", \ - "0.108857,0.112337,0.115698,0.121708,0.132565,0.153212,0.194159", \ - "0.114032,0.117510,0.120871,0.126891,0.137752,0.158393,0.199344", \ - "0.119900,0.123373,0.126729,0.132754,0.143618,0.164259,0.205209", \ - "0.126374,0.129849,0.133217,0.139225,0.150097,0.170726,0.211671"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004478,0.006575,0.008877,0.013262,0.022084,0.040570,0.078696", \ - "0.004475,0.006574,0.008871,0.013258,0.022083,0.040574,0.078698", \ - "0.004472,0.006571,0.008868,0.013257,0.022077,0.040566,0.078698", \ - "0.004472,0.006569,0.008871,0.013255,0.022080,0.040569,0.078697", \ - "0.004471,0.006570,0.008870,0.013254,0.022081,0.040565,0.078697", \ - "0.004464,0.006569,0.008868,0.013255,0.022081,0.040562,0.078697", \ - "0.004470,0.006571,0.008869,0.013256,0.022077,0.040551,0.078690"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100127,0.103612,0.106965,0.112977,0.123832,0.144480,0.185425", \ - "0.101010,0.104485,0.107844,0.113855,0.124712,0.145359,0.186306", \ - "0.104406,0.107888,0.111251,0.117249,0.128102,0.148751,0.189697", \ - "0.108853,0.112333,0.115694,0.121704,0.132561,0.153208,0.194156", \ - "0.114027,0.117507,0.120868,0.126887,0.137749,0.158390,0.199341", \ - "0.119897,0.123369,0.126726,0.132750,0.143615,0.164256,0.205207", \ - "0.126372,0.129846,0.133214,0.139221,0.150094,0.170722,0.211668"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004478,0.006578,0.008877,0.013262,0.022084,0.040570,0.078696", \ - "0.004475,0.006574,0.008871,0.013258,0.022082,0.040574,0.078698", \ - "0.004472,0.006571,0.008868,0.013255,0.022077,0.040567,0.078698", \ - "0.004471,0.006569,0.008871,0.013255,0.022080,0.040569,0.078697", \ - "0.004471,0.006570,0.008870,0.013253,0.022081,0.040565,0.078697", \ - "0.004464,0.006569,0.008868,0.013256,0.022081,0.040562,0.078697", \ - "0.004471,0.006571,0.008869,0.013255,0.022076,0.040551,0.078690"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100114,0.103599,0.106952,0.112964,0.123820,0.144468,0.185415", \ - "0.100996,0.104472,0.107831,0.113842,0.124700,0.145347,0.186296", \ - "0.104394,0.107875,0.111238,0.117237,0.128090,0.148735,0.189688", \ - "0.108841,0.112321,0.115682,0.121692,0.132550,0.153198,0.194147", \ - "0.114015,0.117494,0.120856,0.126876,0.137738,0.158379,0.199334", \ - "0.119886,0.123359,0.126715,0.132739,0.143605,0.164247,0.205199", \ - "0.126361,0.129836,0.133204,0.139212,0.150089,0.170714,0.211662"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004478,0.006579,0.008876,0.013262,0.022085,0.040570,0.078696", \ - "0.004475,0.006574,0.008871,0.013258,0.022083,0.040573,0.078698", \ - "0.004473,0.006571,0.008868,0.013257,0.022077,0.040566,0.078698", \ - "0.004471,0.006569,0.008871,0.013255,0.022080,0.040569,0.078697", \ - "0.004471,0.006570,0.008870,0.013255,0.022081,0.040565,0.078697", \ - "0.004464,0.006569,0.008868,0.013257,0.022081,0.040562,0.078697", \ - "0.004471,0.006571,0.008868,0.013255,0.022077,0.040551,0.078689"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100114,0.103599,0.106952,0.112964,0.123820,0.144468,0.185415", \ - "0.100996,0.104472,0.107831,0.113842,0.124700,0.145347,0.186296", \ - "0.104394,0.107875,0.111238,0.117236,0.128090,0.148742,0.189688", \ - "0.108841,0.112321,0.115682,0.121692,0.132550,0.153198,0.194147", \ - "0.114015,0.117494,0.120856,0.126876,0.137738,0.158379,0.199334", \ - "0.119886,0.123359,0.126715,0.132739,0.143605,0.164247,0.205199", \ - "0.126361,0.129836,0.133204,0.139212,0.150089,0.170714,0.211662"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004478,0.006579,0.008876,0.013262,0.022085,0.040570,0.078696", \ - "0.004475,0.006574,0.008871,0.013258,0.022083,0.040573,0.078698", \ - "0.004473,0.006571,0.008868,0.013255,0.022077,0.040573,0.078698", \ - "0.004471,0.006569,0.008871,0.013255,0.022080,0.040569,0.078697", \ - "0.004471,0.006570,0.008870,0.013255,0.022081,0.040565,0.078697", \ - "0.004464,0.006569,0.008868,0.013257,0.022081,0.040562,0.078697", \ - "0.004471,0.006571,0.008868,0.013255,0.022077,0.040551,0.078689"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100114,0.103599,0.106952,0.112964,0.123820,0.144468,0.185415", \ - "0.100996,0.104472,0.107831,0.113842,0.124700,0.145347,0.186296", \ - "0.104394,0.107875,0.111238,0.117236,0.128090,0.148742,0.189688", \ - "0.108841,0.112321,0.115682,0.121692,0.132550,0.153198,0.194147", \ - "0.114015,0.117495,0.120856,0.126876,0.137738,0.158379,0.199334", \ - "0.119886,0.123359,0.126715,0.132739,0.143605,0.164247,0.205199", \ - "0.126361,0.129836,0.133204,0.139212,0.150089,0.170714,0.211662"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004478,0.006579,0.008876,0.013262,0.022085,0.040570,0.078696", \ - "0.004475,0.006574,0.008871,0.013258,0.022083,0.040573,0.078698", \ - "0.004473,0.006571,0.008868,0.013255,0.022077,0.040573,0.078698", \ - "0.004471,0.006569,0.008871,0.013255,0.022080,0.040569,0.078697", \ - "0.004471,0.006570,0.008870,0.013255,0.022081,0.040565,0.078697", \ - "0.004464,0.006569,0.008868,0.013257,0.022081,0.040562,0.078697", \ - "0.004471,0.006571,0.008868,0.013255,0.022077,0.040551,0.078689"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100127,0.103612,0.106965,0.112977,0.123832,0.144480,0.185425", \ - "0.101010,0.104485,0.107844,0.113855,0.124712,0.145359,0.186306", \ - "0.104406,0.107888,0.111251,0.117250,0.128102,0.148746,0.189697", \ - "0.108853,0.112333,0.115694,0.121704,0.132561,0.153208,0.194156", \ - "0.114027,0.117506,0.120868,0.126887,0.137749,0.158390,0.199341", \ - "0.119897,0.123369,0.126726,0.132750,0.143615,0.164256,0.205207", \ - "0.126372,0.129846,0.133214,0.139221,0.150094,0.170723,0.211668"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004478,0.006578,0.008877,0.013262,0.022084,0.040570,0.078696", \ - "0.004475,0.006574,0.008871,0.013258,0.022082,0.040574,0.078698", \ - "0.004472,0.006571,0.008868,0.013257,0.022077,0.040566,0.078698", \ - "0.004471,0.006569,0.008871,0.013255,0.022080,0.040569,0.078697", \ - "0.004471,0.006570,0.008870,0.013253,0.022081,0.040565,0.078697", \ - "0.004464,0.006569,0.008868,0.013256,0.022081,0.040562,0.078697", \ - "0.004471,0.006571,0.008869,0.013255,0.022076,0.040551,0.078690"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100114,0.103599,0.106952,0.112964,0.123820,0.144468,0.185415", \ - "0.100996,0.104472,0.107831,0.113842,0.124700,0.145347,0.186296", \ - "0.104394,0.107875,0.111238,0.117237,0.128090,0.148742,0.189688", \ - "0.108841,0.112321,0.115682,0.121692,0.132550,0.153198,0.194147", \ - "0.114015,0.117495,0.120856,0.126876,0.137738,0.158379,0.199334", \ - "0.119886,0.123359,0.126715,0.132739,0.143605,0.164247,0.205199", \ - "0.126361,0.129836,0.133204,0.139212,0.150089,0.170714,0.211662"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004478,0.006579,0.008876,0.013262,0.022085,0.040570,0.078696", \ - "0.004475,0.006574,0.008871,0.013258,0.022083,0.040573,0.078698", \ - "0.004473,0.006571,0.008868,0.013257,0.022077,0.040573,0.078698", \ - "0.004471,0.006569,0.008871,0.013255,0.022080,0.040569,0.078697", \ - "0.004471,0.006570,0.008870,0.013255,0.022081,0.040565,0.078697", \ - "0.004464,0.006569,0.008868,0.013257,0.022081,0.040562,0.078697", \ - "0.004471,0.006571,0.008868,0.013255,0.022077,0.040551,0.078689"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016155,0.019112,0.021801,0.026436,0.034544,0.049590,0.079142", \ - "0.017077,0.020032,0.022717,0.027354,0.035463,0.050510,0.080060", \ - "0.021007,0.023923,0.026585,0.031200,0.039301,0.054338,0.083891", \ - "0.027404,0.030512,0.033295,0.038024,0.046176,0.061172,0.090647", \ - "0.033962,0.037382,0.040417,0.045503,0.054057,0.069257,0.098644", \ - "0.040742,0.044483,0.047828,0.053370,0.062500,0.078162,0.107601", \ - "0.047550,0.051607,0.055271,0.061345,0.071238,0.087743,0.117629"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020844,0.024307,0.027658,0.033651,0.044514,0.065202,0.106224", \ - "0.021457,0.024921,0.028271,0.034264,0.045127,0.065813,0.106836", \ - "0.024313,0.027769,0.031107,0.037075,0.047910,0.068580,0.109581", \ - "0.029642,0.033110,0.036436,0.042377,0.053123,0.073654,0.114542", \ - "0.034810,0.038475,0.041897,0.047924,0.058692,0.079145,0.119834", \ - "0.039687,0.043642,0.047276,0.053592,0.064599,0.085043,0.125595", \ - "0.043997,0.048261,0.052167,0.058894,0.070417,0.091248,0.131881"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003624,0.004999,0.006442,0.009276,0.015020,0.027170,0.052530", \ - "0.003622,0.004999,0.006442,0.009277,0.015021,0.027168,0.052528", \ - "0.003648,0.005040,0.006482,0.009312,0.015039,0.027177,0.052534", \ - "0.004798,0.006053,0.007349,0.009939,0.015356,0.027260,0.052530", \ - "0.006261,0.007565,0.008836,0.011310,0.016414,0.027733,0.052598", \ - "0.008027,0.009428,0.010746,0.013173,0.018030,0.028709,0.052893", \ - "0.010136,0.011628,0.013040,0.015546,0.020326,0.030502,0.053741"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004316,0.006440,0.008739,0.013140,0.022009,0.040605,0.078783", \ - "0.004316,0.006437,0.008739,0.013140,0.022014,0.040586,0.078806", \ - "0.004313,0.006437,0.008744,0.013149,0.022013,0.040585,0.078789", \ - "0.004939,0.006876,0.009107,0.013426,0.022154,0.040610,0.078799", \ - "0.006142,0.007878,0.009951,0.014086,0.022600,0.040815,0.078801", \ - "0.007750,0.009384,0.011351,0.015338,0.023496,0.041268,0.078976", \ - "0.009739,0.011313,0.013211,0.017152,0.025088,0.042425,0.079543"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016155,0.019112,0.021801,0.026436,0.034544,0.049590,0.079142", \ - "0.017077,0.020032,0.022717,0.027354,0.035463,0.050510,0.080060", \ - "0.021007,0.023922,0.026585,0.031199,0.039299,0.054338,0.083891", \ - "0.027404,0.030512,0.033295,0.038024,0.046176,0.061172,0.090647", \ - "0.033962,0.037382,0.040417,0.045503,0.054057,0.069257,0.098644", \ - "0.040742,0.044483,0.047828,0.053370,0.062500,0.078162,0.107601", \ - "0.047550,0.051607,0.055271,0.061345,0.071238,0.087743,0.117629"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020844,0.024307,0.027658,0.033652,0.044514,0.065202,0.106224", \ - "0.021457,0.024921,0.028271,0.034264,0.045127,0.065813,0.106836", \ - "0.024313,0.027769,0.031107,0.037075,0.047910,0.068580,0.109581", \ - "0.029642,0.033110,0.036436,0.042377,0.053123,0.073654,0.114542", \ - "0.034810,0.038475,0.041897,0.047924,0.058692,0.079145,0.119834", \ - "0.039687,0.043642,0.047276,0.053592,0.064599,0.085043,0.125595", \ - "0.043997,0.048261,0.052167,0.058894,0.070417,0.091248,0.131881"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003624,0.004999,0.006442,0.009276,0.015020,0.027170,0.052530", \ - "0.003622,0.004999,0.006442,0.009277,0.015021,0.027168,0.052528", \ - "0.003648,0.005040,0.006482,0.009313,0.015038,0.027177,0.052534", \ - "0.004798,0.006053,0.007349,0.009939,0.015356,0.027260,0.052530", \ - "0.006261,0.007565,0.008836,0.011310,0.016414,0.027733,0.052598", \ - "0.008027,0.009428,0.010746,0.013173,0.018030,0.028709,0.052893", \ - "0.010136,0.011628,0.013040,0.015546,0.020326,0.030502,0.053741"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004316,0.006440,0.008739,0.013140,0.022009,0.040605,0.078783", \ - "0.004316,0.006437,0.008739,0.013140,0.022014,0.040586,0.078806", \ - "0.004313,0.006439,0.008744,0.013149,0.022013,0.040585,0.078789", \ - "0.004939,0.006876,0.009107,0.013426,0.022154,0.040610,0.078799", \ - "0.006142,0.007878,0.009951,0.014086,0.022614,0.040815,0.078801", \ - "0.007750,0.009384,0.011351,0.015338,0.023496,0.041268,0.078976", \ - "0.009739,0.011313,0.013211,0.017152,0.025088,0.042425,0.079543"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016155,0.019112,0.021801,0.026436,0.034544,0.049590,0.079142", \ - "0.017077,0.020032,0.022717,0.027354,0.035463,0.050510,0.080060", \ - "0.021007,0.023922,0.026585,0.031200,0.039299,0.054338,0.083891", \ - "0.027404,0.030512,0.033295,0.038024,0.046176,0.061172,0.090647", \ - "0.033962,0.037382,0.040417,0.045503,0.054057,0.069257,0.098644", \ - "0.040742,0.044483,0.047828,0.053370,0.062500,0.078162,0.107601", \ - "0.047550,0.051607,0.055271,0.061345,0.071238,0.087743,0.117629"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020844,0.024307,0.027658,0.033651,0.044514,0.065202,0.106224", \ - "0.021457,0.024921,0.028271,0.034264,0.045127,0.065813,0.106836", \ - "0.024313,0.027769,0.031107,0.037075,0.047910,0.068580,0.109581", \ - "0.029642,0.033110,0.036436,0.042377,0.053123,0.073654,0.114542", \ - "0.034810,0.038475,0.041897,0.047924,0.058692,0.079146,0.119834", \ - "0.039687,0.043642,0.047276,0.053592,0.064599,0.085043,0.125595", \ - "0.043997,0.048261,0.052167,0.058894,0.070417,0.091248,0.131881"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003624,0.004999,0.006442,0.009276,0.015020,0.027170,0.052530", \ - "0.003622,0.004999,0.006442,0.009277,0.015021,0.027168,0.052528", \ - "0.003648,0.005040,0.006482,0.009312,0.015038,0.027180,0.052534", \ - "0.004798,0.006053,0.007349,0.009939,0.015356,0.027260,0.052530", \ - "0.006261,0.007565,0.008836,0.011310,0.016414,0.027733,0.052598", \ - "0.008027,0.009428,0.010746,0.013173,0.018030,0.028709,0.052893", \ - "0.010136,0.011628,0.013040,0.015546,0.020326,0.030502,0.053741"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004316,0.006440,0.008739,0.013140,0.022009,0.040591,0.078783", \ - "0.004316,0.006437,0.008739,0.013140,0.022014,0.040586,0.078806", \ - "0.004313,0.006437,0.008744,0.013149,0.022013,0.040585,0.078789", \ - "0.004939,0.006876,0.009107,0.013426,0.022154,0.040610,0.078799", \ - "0.006142,0.007878,0.009951,0.014086,0.022600,0.040812,0.078801", \ - "0.007750,0.009384,0.011351,0.015338,0.023496,0.041268,0.078976", \ - "0.009739,0.011313,0.013211,0.017152,0.025088,0.042425,0.079543"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016155,0.019112,0.021800,0.026436,0.034544,0.049590,0.079142", \ - "0.017076,0.020031,0.022717,0.027353,0.035462,0.050509,0.080060", \ - "0.021007,0.023922,0.026585,0.031198,0.039300,0.054338,0.083890", \ - "0.027403,0.030512,0.033294,0.038024,0.046175,0.061174,0.090647", \ - "0.033962,0.037381,0.040416,0.045498,0.054057,0.069257,0.098643", \ - "0.040742,0.044483,0.047827,0.053370,0.062501,0.078161,0.107601", \ - "0.047549,0.051610,0.055275,0.061346,0.071234,0.087743,0.117634"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020844,0.024308,0.027658,0.033652,0.044513,0.065203,0.106223", \ - "0.021457,0.024921,0.028271,0.034265,0.045127,0.065814,0.106833", \ - "0.024311,0.027769,0.031107,0.037077,0.047912,0.068582,0.109583", \ - "0.029646,0.033112,0.036435,0.042376,0.053125,0.073658,0.114542", \ - "0.034811,0.038476,0.041897,0.047924,0.058690,0.079141,0.119833", \ - "0.039689,0.043642,0.047278,0.053594,0.064600,0.085044,0.125597", \ - "0.043996,0.048263,0.052167,0.058895,0.070418,0.091249,0.131879"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003624,0.004999,0.006440,0.009276,0.015020,0.027170,0.052530", \ - "0.003622,0.004999,0.006442,0.009277,0.015021,0.027168,0.052528", \ - "0.003648,0.005040,0.006482,0.009309,0.015039,0.027177,0.052534", \ - "0.004798,0.006053,0.007349,0.009939,0.015356,0.027259,0.052530", \ - "0.006251,0.007564,0.008836,0.011308,0.016414,0.027733,0.052598", \ - "0.008028,0.009428,0.010746,0.013173,0.018030,0.028708,0.052891", \ - "0.010137,0.011627,0.013042,0.015543,0.020325,0.030502,0.053729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004316,0.006440,0.008739,0.013140,0.022009,0.040594,0.078788", \ - "0.004316,0.006436,0.008738,0.013140,0.022014,0.040604,0.078797", \ - "0.004314,0.006437,0.008744,0.013146,0.022008,0.040600,0.078789", \ - "0.004938,0.006878,0.009111,0.013425,0.022154,0.040608,0.078799", \ - "0.006142,0.007878,0.009951,0.014086,0.022601,0.040817,0.078811", \ - "0.007750,0.009385,0.011351,0.015334,0.023494,0.041269,0.078970", \ - "0.009744,0.011311,0.013214,0.017152,0.025087,0.042425,0.079552"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016248,0.019254,0.021995,0.026712,0.034966,0.050191,0.079813", \ - "0.017167,0.020173,0.022912,0.027629,0.035883,0.051110,0.080733", \ - "0.021105,0.024072,0.026784,0.031480,0.039724,0.054946,0.084564", \ - "0.027584,0.030749,0.033582,0.038395,0.046687,0.061852,0.091387", \ - "0.034246,0.037735,0.040847,0.046049,0.054781,0.070124,0.099508", \ - "0.041179,0.045007,0.048436,0.054135,0.063507,0.079268,0.108533", \ - "0.048171,0.052328,0.056098,0.062365,0.072561,0.089068,0.118242"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003707,0.005122,0.006600,0.009473,0.015289,0.027364,0.052514", \ - "0.003709,0.005124,0.006596,0.009473,0.015292,0.027369,0.052516", \ - "0.003733,0.005161,0.006638,0.009505,0.015308,0.027366,0.052512", \ - "0.004914,0.006211,0.007535,0.010149,0.015626,0.027437,0.052524", \ - "0.006423,0.007791,0.009109,0.011616,0.016750,0.027856,0.052545", \ - "0.008287,0.009744,0.011129,0.013616,0.018483,0.028698,0.052677", \ - "0.010493,0.012049,0.013546,0.016142,0.020888,0.030111,0.052908"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016248,0.019254,0.021995,0.026712,0.034966,0.050191,0.079813", \ - "0.017167,0.020173,0.022912,0.027629,0.035883,0.051110,0.080733", \ - "0.021105,0.024072,0.026784,0.031480,0.039724,0.054946,0.084564", \ - "0.027584,0.030749,0.033582,0.038395,0.046687,0.061852,0.091387", \ - "0.034246,0.037735,0.040847,0.046049,0.054781,0.070124,0.099508", \ - "0.041179,0.045007,0.048436,0.054135,0.063507,0.079268,0.108533", \ - "0.048171,0.052328,0.056098,0.062365,0.072561,0.089068,0.118242"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003707,0.005122,0.006600,0.009473,0.015289,0.027364,0.052514", \ - "0.003709,0.005124,0.006596,0.009473,0.015292,0.027369,0.052516", \ - "0.003733,0.005161,0.006638,0.009505,0.015308,0.027366,0.052512", \ - "0.004914,0.006211,0.007535,0.010149,0.015626,0.027437,0.052524", \ - "0.006423,0.007791,0.009109,0.011616,0.016750,0.027856,0.052545", \ - "0.008287,0.009744,0.011129,0.013616,0.018483,0.028698,0.052677", \ - "0.010493,0.012049,0.013546,0.016142,0.020888,0.030111,0.052908"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016248,0.019254,0.021995,0.026712,0.034966,0.050191,0.079813", \ - "0.017167,0.020173,0.022912,0.027629,0.035883,0.051110,0.080733", \ - "0.021105,0.024072,0.026784,0.031480,0.039724,0.054946,0.084564", \ - "0.027584,0.030749,0.033582,0.038395,0.046687,0.061852,0.091387", \ - "0.034246,0.037735,0.040847,0.046049,0.054781,0.070124,0.099508", \ - "0.041179,0.045007,0.048436,0.054135,0.063507,0.079268,0.108533", \ - "0.048171,0.052328,0.056098,0.062365,0.072561,0.089068,0.118242"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003707,0.005122,0.006600,0.009473,0.015289,0.027364,0.052517", \ - "0.003709,0.005124,0.006596,0.009473,0.015292,0.027369,0.052516", \ - "0.003733,0.005161,0.006638,0.009506,0.015308,0.027366,0.052512", \ - "0.004914,0.006211,0.007535,0.010149,0.015626,0.027437,0.052524", \ - "0.006423,0.007791,0.009109,0.011616,0.016750,0.027856,0.052545", \ - "0.008287,0.009744,0.011129,0.013616,0.018483,0.028698,0.052677", \ - "0.010493,0.012049,0.013546,0.016142,0.020888,0.030111,0.052908"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016243,0.019253,0.021992,0.026708,0.034963,0.050187,0.079811", \ - "0.017164,0.020171,0.022909,0.027625,0.035881,0.051107,0.080729", \ - "0.021103,0.024069,0.026782,0.031477,0.039722,0.054943,0.084561", \ - "0.027579,0.030747,0.033579,0.038392,0.046684,0.061848,0.091384", \ - "0.034242,0.037732,0.040845,0.046047,0.054778,0.070120,0.099505", \ - "0.041171,0.045003,0.048430,0.054132,0.063504,0.079266,0.108531", \ - "0.048170,0.052327,0.056097,0.062361,0.072556,0.089068,0.118238"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003709,0.005120,0.006595,0.009473,0.015289,0.027361,0.052515", \ - "0.003710,0.005121,0.006596,0.009473,0.015291,0.027369,0.052513", \ - "0.003733,0.005161,0.006638,0.009506,0.015307,0.027366,0.052511", \ - "0.004911,0.006211,0.007535,0.010149,0.015625,0.027439,0.052524", \ - "0.006427,0.007791,0.009107,0.011616,0.016750,0.027857,0.052545", \ - "0.008288,0.009745,0.011127,0.013616,0.018484,0.028698,0.052675", \ - "0.010493,0.012049,0.013546,0.016144,0.020891,0.030107,0.052909"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016155,0.019112,0.021800,0.026436,0.034544,0.049590,0.079142", \ - "0.017076,0.020031,0.022717,0.027353,0.035462,0.050509,0.080060", \ - "0.021007,0.023922,0.026585,0.031199,0.039299,0.054337,0.083890", \ - "0.027403,0.030512,0.033294,0.038024,0.046175,0.061174,0.090647", \ - "0.033962,0.037381,0.040416,0.045498,0.054057,0.069257,0.098643", \ - "0.040742,0.044483,0.047827,0.053370,0.062501,0.078161,0.107601", \ - "0.047549,0.051610,0.055275,0.061346,0.071234,0.087743,0.117634"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020844,0.024308,0.027658,0.033652,0.044513,0.065203,0.106223", \ - "0.021457,0.024921,0.028271,0.034265,0.045127,0.065814,0.106833", \ - "0.024311,0.027769,0.031107,0.037077,0.047912,0.068582,0.109583", \ - "0.029646,0.033112,0.036435,0.042376,0.053125,0.073658,0.114542", \ - "0.034811,0.038476,0.041897,0.047924,0.058690,0.079141,0.119833", \ - "0.039689,0.043642,0.047278,0.053594,0.064600,0.085044,0.125597", \ - "0.043996,0.048263,0.052167,0.058895,0.070418,0.091249,0.131879"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003624,0.004999,0.006440,0.009276,0.015020,0.027170,0.052530", \ - "0.003622,0.004999,0.006442,0.009277,0.015021,0.027168,0.052528", \ - "0.003648,0.005040,0.006482,0.009313,0.015038,0.027180,0.052534", \ - "0.004798,0.006053,0.007349,0.009939,0.015356,0.027259,0.052530", \ - "0.006251,0.007564,0.008836,0.011308,0.016414,0.027733,0.052598", \ - "0.008028,0.009428,0.010746,0.013173,0.018030,0.028708,0.052891", \ - "0.010137,0.011627,0.013042,0.015543,0.020325,0.030502,0.053729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004316,0.006440,0.008739,0.013140,0.022009,0.040594,0.078788", \ - "0.004316,0.006436,0.008738,0.013140,0.022014,0.040604,0.078797", \ - "0.004315,0.006437,0.008744,0.013146,0.022008,0.040600,0.078789", \ - "0.004938,0.006878,0.009111,0.013425,0.022154,0.040608,0.078799", \ - "0.006142,0.007878,0.009951,0.014086,0.022601,0.040817,0.078811", \ - "0.007750,0.009385,0.011351,0.015334,0.023494,0.041269,0.078970", \ - "0.009744,0.011311,0.013214,0.017152,0.025087,0.042425,0.079552"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016155,0.019112,0.021800,0.026436,0.034544,0.049590,0.079142", \ - "0.017076,0.020031,0.022717,0.027353,0.035462,0.050509,0.080060", \ - "0.021007,0.023922,0.026585,0.031199,0.039300,0.054338,0.083890", \ - "0.027403,0.030512,0.033294,0.038024,0.046175,0.061174,0.090647", \ - "0.033962,0.037381,0.040416,0.045498,0.054057,0.069257,0.098643", \ - "0.040742,0.044483,0.047827,0.053370,0.062501,0.078161,0.107601", \ - "0.047549,0.051610,0.055275,0.061346,0.071234,0.087743,0.117634"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020844,0.024308,0.027658,0.033652,0.044513,0.065203,0.106223", \ - "0.021457,0.024921,0.028271,0.034265,0.045127,0.065814,0.106833", \ - "0.024311,0.027769,0.031107,0.037077,0.047912,0.068582,0.109583", \ - "0.029646,0.033112,0.036435,0.042376,0.053125,0.073658,0.114542", \ - "0.034811,0.038476,0.041897,0.047924,0.058690,0.079141,0.119833", \ - "0.039689,0.043642,0.047278,0.053594,0.064600,0.085044,0.125597", \ - "0.043996,0.048263,0.052167,0.058895,0.070418,0.091249,0.131879"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003624,0.004999,0.006440,0.009276,0.015020,0.027170,0.052530", \ - "0.003622,0.004999,0.006442,0.009277,0.015021,0.027168,0.052528", \ - "0.003648,0.005040,0.006482,0.009312,0.015039,0.027177,0.052534", \ - "0.004798,0.006053,0.007349,0.009939,0.015356,0.027259,0.052530", \ - "0.006251,0.007564,0.008836,0.011308,0.016414,0.027733,0.052598", \ - "0.008028,0.009428,0.010746,0.013173,0.018030,0.028708,0.052891", \ - "0.010137,0.011627,0.013042,0.015543,0.020325,0.030502,0.053729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004316,0.006440,0.008739,0.013141,0.022009,0.040594,0.078788", \ - "0.004316,0.006436,0.008738,0.013140,0.022014,0.040604,0.078797", \ - "0.004313,0.006437,0.008744,0.013146,0.022008,0.040600,0.078789", \ - "0.004938,0.006878,0.009111,0.013425,0.022154,0.040608,0.078799", \ - "0.006142,0.007878,0.009951,0.014086,0.022601,0.040817,0.078811", \ - "0.007750,0.009385,0.011351,0.015334,0.023494,0.041269,0.078970", \ - "0.009744,0.011311,0.013214,0.017152,0.025087,0.042425,0.079552"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016155,0.019112,0.021801,0.026436,0.034544,0.049590,0.079142", \ - "0.017077,0.020032,0.022717,0.027354,0.035463,0.050510,0.080060", \ - "0.021007,0.023923,0.026585,0.031199,0.039299,0.054338,0.083891", \ - "0.027404,0.030512,0.033295,0.038024,0.046176,0.061172,0.090647", \ - "0.033962,0.037382,0.040417,0.045503,0.054057,0.069257,0.098644", \ - "0.040742,0.044483,0.047828,0.053370,0.062500,0.078162,0.107601", \ - "0.047550,0.051607,0.055271,0.061345,0.071238,0.087743,0.117629"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020844,0.024307,0.027658,0.033652,0.044514,0.065202,0.106223", \ - "0.021457,0.024921,0.028271,0.034264,0.045127,0.065813,0.106836", \ - "0.024313,0.027769,0.031107,0.037075,0.047910,0.068580,0.109581", \ - "0.029642,0.033110,0.036436,0.042377,0.053123,0.073654,0.114542", \ - "0.034810,0.038475,0.041897,0.047924,0.058692,0.079146,0.119834", \ - "0.039687,0.043642,0.047276,0.053592,0.064599,0.085043,0.125595", \ - "0.043997,0.048261,0.052167,0.058894,0.070417,0.091248,0.131881"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003624,0.004999,0.006442,0.009276,0.015020,0.027170,0.052530", \ - "0.003622,0.004999,0.006442,0.009277,0.015021,0.027168,0.052528", \ - "0.003648,0.005040,0.006482,0.009313,0.015038,0.027180,0.052534", \ - "0.004798,0.006053,0.007349,0.009939,0.015356,0.027260,0.052530", \ - "0.006261,0.007565,0.008836,0.011310,0.016414,0.027733,0.052598", \ - "0.008027,0.009428,0.010746,0.013173,0.018030,0.028709,0.052893", \ - "0.010136,0.011628,0.013040,0.015546,0.020326,0.030502,0.053741"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004316,0.006440,0.008739,0.013140,0.022009,0.040605,0.078789", \ - "0.004316,0.006437,0.008739,0.013140,0.022014,0.040586,0.078806", \ - "0.004313,0.006437,0.008744,0.013149,0.022013,0.040585,0.078789", \ - "0.004939,0.006876,0.009107,0.013426,0.022154,0.040610,0.078799", \ - "0.006142,0.007878,0.009951,0.014086,0.022614,0.040812,0.078801", \ - "0.007750,0.009384,0.011351,0.015338,0.023496,0.041268,0.078976", \ - "0.009739,0.011313,0.013211,0.017152,0.025088,0.042425,0.079543"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016155,0.019112,0.021800,0.026436,0.034544,0.049590,0.079142", \ - "0.017076,0.020031,0.022717,0.027353,0.035462,0.050509,0.080060", \ - "0.021007,0.023922,0.026585,0.031199,0.039299,0.054338,0.083890", \ - "0.027403,0.030512,0.033294,0.038024,0.046175,0.061174,0.090647", \ - "0.033962,0.037381,0.040416,0.045498,0.054057,0.069257,0.098643", \ - "0.040742,0.044483,0.047827,0.053370,0.062501,0.078161,0.107601", \ - "0.047549,0.051610,0.055275,0.061346,0.071234,0.087743,0.117634"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020844,0.024308,0.027659,0.033652,0.044513,0.065199,0.106218", \ - "0.021457,0.024921,0.028271,0.034265,0.045127,0.065814,0.106833", \ - "0.024311,0.027769,0.031107,0.037077,0.047912,0.068582,0.109583", \ - "0.029646,0.033112,0.036436,0.042376,0.053125,0.073658,0.114542", \ - "0.034811,0.038476,0.041897,0.047924,0.058690,0.079141,0.119833", \ - "0.039689,0.043642,0.047278,0.053594,0.064600,0.085044,0.125597", \ - "0.043996,0.048263,0.052167,0.058895,0.070418,0.091249,0.131879"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003624,0.004999,0.006440,0.009276,0.015020,0.027170,0.052530", \ - "0.003622,0.004999,0.006442,0.009277,0.015021,0.027168,0.052528", \ - "0.003648,0.005040,0.006482,0.009313,0.015038,0.027177,0.052534", \ - "0.004798,0.006053,0.007349,0.009939,0.015356,0.027259,0.052530", \ - "0.006251,0.007564,0.008836,0.011308,0.016414,0.027733,0.052598", \ - "0.008028,0.009428,0.010746,0.013173,0.018030,0.028708,0.052891", \ - "0.010137,0.011627,0.013042,0.015543,0.020325,0.030502,0.053729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004316,0.006440,0.008739,0.013140,0.022009,0.040597,0.078793", \ - "0.004316,0.006436,0.008738,0.013140,0.022014,0.040604,0.078797", \ - "0.004314,0.006437,0.008744,0.013146,0.022008,0.040600,0.078789", \ - "0.004938,0.006878,0.009111,0.013425,0.022154,0.040608,0.078799", \ - "0.006142,0.007878,0.009951,0.014086,0.022601,0.040817,0.078811", \ - "0.007750,0.009385,0.011351,0.015334,0.023494,0.041269,0.078970", \ - "0.009744,0.011311,0.013214,0.017152,0.025087,0.042425,0.079552"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016243,0.019253,0.021992,0.026708,0.034963,0.050187,0.079811", \ - "0.017164,0.020171,0.022909,0.027625,0.035881,0.051107,0.080729", \ - "0.021103,0.024069,0.026782,0.031477,0.039722,0.054943,0.084561", \ - "0.027579,0.030747,0.033579,0.038392,0.046684,0.061848,0.091384", \ - "0.034242,0.037732,0.040845,0.046047,0.054778,0.070120,0.099505", \ - "0.041171,0.045003,0.048430,0.054132,0.063504,0.079266,0.108531", \ - "0.048170,0.052327,0.056097,0.062361,0.072556,0.089068,0.118238"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003709,0.005120,0.006595,0.009473,0.015289,0.027363,0.052515", \ - "0.003710,0.005121,0.006596,0.009473,0.015291,0.027369,0.052513", \ - "0.003733,0.005161,0.006638,0.009505,0.015307,0.027366,0.052511", \ - "0.004911,0.006211,0.007535,0.010149,0.015625,0.027439,0.052524", \ - "0.006427,0.007791,0.009107,0.011616,0.016750,0.027857,0.052545", \ - "0.008288,0.009745,0.011127,0.013616,0.018484,0.028698,0.052675", \ - "0.010493,0.012049,0.013546,0.016144,0.020891,0.030107,0.052909"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016243,0.019253,0.021992,0.026708,0.034963,0.050187,0.079811", \ - "0.017164,0.020171,0.022909,0.027625,0.035881,0.051107,0.080729", \ - "0.021103,0.024069,0.026782,0.031477,0.039722,0.054943,0.084561", \ - "0.027579,0.030747,0.033579,0.038392,0.046684,0.061848,0.091384", \ - "0.034242,0.037732,0.040845,0.046047,0.054778,0.070120,0.099505", \ - "0.041171,0.045003,0.048430,0.054132,0.063504,0.079266,0.108531", \ - "0.048170,0.052327,0.056097,0.062361,0.072556,0.089068,0.118238"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003709,0.005120,0.006595,0.009473,0.015289,0.027364,0.052515", \ - "0.003710,0.005121,0.006596,0.009473,0.015291,0.027369,0.052513", \ - "0.003733,0.005161,0.006638,0.009506,0.015307,0.027366,0.052511", \ - "0.004911,0.006211,0.007535,0.010149,0.015625,0.027439,0.052524", \ - "0.006427,0.007791,0.009107,0.011616,0.016750,0.027857,0.052545", \ - "0.008288,0.009745,0.011127,0.013616,0.018484,0.028698,0.052675", \ - "0.010493,0.012049,0.013546,0.016144,0.020891,0.030107,0.052909"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016248,0.019254,0.021995,0.026712,0.034966,0.050190,0.079811", \ - "0.017167,0.020173,0.022912,0.027629,0.035883,0.051110,0.080733", \ - "0.021105,0.024072,0.026784,0.031480,0.039724,0.054946,0.084564", \ - "0.027584,0.030749,0.033582,0.038395,0.046687,0.061852,0.091387", \ - "0.034246,0.037735,0.040847,0.046049,0.054781,0.070124,0.099508", \ - "0.041179,0.045007,0.048436,0.054135,0.063507,0.079268,0.108533", \ - "0.048171,0.052328,0.056098,0.062365,0.072561,0.089068,0.118242"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003707,0.005122,0.006600,0.009473,0.015289,0.027361,0.052519", \ - "0.003709,0.005124,0.006596,0.009473,0.015292,0.027369,0.052516", \ - "0.003733,0.005161,0.006638,0.009506,0.015308,0.027366,0.052512", \ - "0.004914,0.006211,0.007535,0.010149,0.015626,0.027437,0.052524", \ - "0.006423,0.007791,0.009109,0.011616,0.016750,0.027856,0.052545", \ - "0.008287,0.009744,0.011129,0.013616,0.018483,0.028698,0.052677", \ - "0.010493,0.012049,0.013546,0.016142,0.020888,0.030111,0.052908"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016243,0.019253,0.021992,0.026708,0.034963,0.050187,0.079811", \ - "0.017164,0.020171,0.022909,0.027625,0.035881,0.051107,0.080729", \ - "0.021103,0.024069,0.026782,0.031477,0.039722,0.054943,0.084561", \ - "0.027579,0.030747,0.033579,0.038392,0.046684,0.061848,0.091384", \ - "0.034242,0.037732,0.040845,0.046047,0.054778,0.070120,0.099505", \ - "0.041171,0.045003,0.048430,0.054132,0.063504,0.079266,0.108531", \ - "0.048170,0.052327,0.056097,0.062361,0.072556,0.089068,0.118238"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003709,0.005120,0.006595,0.009473,0.015289,0.027361,0.052515", \ - "0.003710,0.005121,0.006596,0.009473,0.015291,0.027369,0.052513", \ - "0.003733,0.005161,0.006638,0.009505,0.015307,0.027366,0.052511", \ - "0.004911,0.006211,0.007535,0.010149,0.015625,0.027439,0.052524", \ - "0.006427,0.007791,0.009107,0.011616,0.016750,0.027857,0.052545", \ - "0.008288,0.009745,0.011127,0.013616,0.018484,0.028698,0.052675", \ - "0.010493,0.012049,0.013546,0.016144,0.020891,0.030107,0.052909"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016182,0.019143,0.021835,0.026475,0.034588,0.049632,0.079237", \ - "0.017104,0.020062,0.022751,0.027393,0.035509,0.050553,0.080159", \ - "0.021035,0.023954,0.026619,0.031238,0.039346,0.054384,0.083989", \ - "0.027438,0.030549,0.033334,0.038068,0.046225,0.061223,0.090760", \ - "0.034003,0.037425,0.040468,0.045552,0.054114,0.069316,0.098762", \ - "0.040790,0.044532,0.047880,0.053425,0.062569,0.078228,0.107710", \ - "0.047608,0.051669,0.055338,0.061412,0.071306,0.087819,0.117731"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.091684,0.095151,0.098499,0.104486,0.115330,0.135982,0.176972", \ - "0.092162,0.095630,0.098977,0.104964,0.115811,0.136461,0.177450", \ - "0.092908,0.096371,0.099725,0.105710,0.116558,0.137204,0.178194", \ - "0.094039,0.097502,0.100859,0.106840,0.117690,0.138329,0.179321", \ - "0.095681,0.099154,0.102507,0.108483,0.119339,0.139998,0.180987", \ - "0.099844,0.103321,0.106664,0.112656,0.123480,0.144117,0.185034", \ - "0.104411,0.108012,0.111398,0.117475,0.128304,0.148919,0.189860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003631,0.005008,0.006451,0.009288,0.015029,0.027178,0.052697", \ - "0.003631,0.005005,0.006451,0.009287,0.015028,0.027175,0.052697", \ - "0.003654,0.005048,0.006491,0.009321,0.015047,0.027181,0.052699", \ - "0.004803,0.006060,0.007357,0.009947,0.015367,0.027267,0.052709", \ - "0.006265,0.007573,0.008852,0.011314,0.016427,0.027745,0.052768", \ - "0.008036,0.009432,0.010755,0.013185,0.018046,0.028713,0.053061", \ - "0.010140,0.011634,0.013051,0.015554,0.020341,0.030507,0.053844"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004456,0.006550,0.008847,0.013235,0.022071,0.040589,0.078795", \ - "0.004454,0.006551,0.008846,0.013230,0.022067,0.040586,0.078787", \ - "0.004456,0.006552,0.008846,0.013232,0.022070,0.040591,0.078808", \ - "0.004456,0.006553,0.008849,0.013235,0.022061,0.040596,0.078784", \ - "0.004458,0.006554,0.008846,0.013233,0.022060,0.040595,0.078805", \ - "0.004737,0.006753,0.009016,0.013373,0.022159,0.040619,0.078814", \ - "0.005493,0.007364,0.009537,0.013784,0.022432,0.040804,0.078860"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016182,0.019143,0.021835,0.026475,0.034588,0.049632,0.079237", \ - "0.017104,0.020062,0.022751,0.027393,0.035509,0.050553,0.080159", \ - "0.021035,0.023954,0.026619,0.031237,0.039346,0.054384,0.083989", \ - "0.027438,0.030549,0.033334,0.038068,0.046225,0.061223,0.090760", \ - "0.034003,0.037425,0.040468,0.045552,0.054114,0.069316,0.098762", \ - "0.040790,0.044532,0.047880,0.053425,0.062569,0.078228,0.107710", \ - "0.047608,0.051669,0.055338,0.061412,0.071306,0.087819,0.117731"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.091684,0.095151,0.098500,0.104486,0.115330,0.135982,0.176972", \ - "0.092162,0.095630,0.098978,0.104964,0.115810,0.136460,0.177450", \ - "0.092908,0.096371,0.099725,0.105710,0.116558,0.137204,0.178194", \ - "0.094035,0.097507,0.100859,0.106840,0.117690,0.138329,0.179321", \ - "0.095681,0.099154,0.102507,0.108483,0.119339,0.139998,0.180987", \ - "0.099844,0.103321,0.106664,0.112656,0.123480,0.144117,0.185034", \ - "0.104411,0.108012,0.111398,0.117475,0.128304,0.148919,0.189860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003631,0.005008,0.006451,0.009288,0.015029,0.027178,0.052697", \ - "0.003631,0.005005,0.006451,0.009287,0.015028,0.027175,0.052697", \ - "0.003654,0.005048,0.006491,0.009321,0.015047,0.027181,0.052699", \ - "0.004803,0.006060,0.007357,0.009947,0.015367,0.027267,0.052709", \ - "0.006265,0.007573,0.008852,0.011314,0.016427,0.027745,0.052768", \ - "0.008036,0.009432,0.010755,0.013185,0.018046,0.028713,0.053061", \ - "0.010140,0.011634,0.013051,0.015554,0.020341,0.030507,0.053844"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004456,0.006550,0.008847,0.013235,0.022071,0.040589,0.078795", \ - "0.004454,0.006551,0.008847,0.013230,0.022068,0.040586,0.078787", \ - "0.004456,0.006552,0.008846,0.013232,0.022070,0.040591,0.078808", \ - "0.004453,0.006553,0.008849,0.013235,0.022061,0.040596,0.078784", \ - "0.004458,0.006554,0.008846,0.013233,0.022060,0.040595,0.078805", \ - "0.004737,0.006753,0.009016,0.013373,0.022159,0.040619,0.078814", \ - "0.005493,0.007364,0.009537,0.013784,0.022432,0.040804,0.078860"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016182,0.019143,0.021835,0.026475,0.034588,0.049632,0.079237", \ - "0.017104,0.020062,0.022751,0.027393,0.035509,0.050553,0.080159", \ - "0.021035,0.023954,0.026619,0.031238,0.039346,0.054384,0.083989", \ - "0.027438,0.030549,0.033334,0.038068,0.046225,0.061223,0.090760", \ - "0.034003,0.037425,0.040468,0.045552,0.054114,0.069316,0.098762", \ - "0.040790,0.044532,0.047880,0.053425,0.062569,0.078228,0.107710", \ - "0.047608,0.051669,0.055338,0.061412,0.071306,0.087819,0.117731"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.091684,0.095151,0.098499,0.104486,0.115330,0.135982,0.176972", \ - "0.092161,0.095628,0.098978,0.104964,0.115810,0.136461,0.177450", \ - "0.092908,0.096371,0.099725,0.105710,0.116558,0.137204,0.178194", \ - "0.094039,0.097507,0.100859,0.106835,0.117690,0.138339,0.179326", \ - "0.095681,0.099154,0.102507,0.108483,0.119339,0.139998,0.180987", \ - "0.099844,0.103321,0.106664,0.112656,0.123480,0.144117,0.185034", \ - "0.104411,0.108012,0.111398,0.117475,0.128304,0.148919,0.189860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003631,0.005008,0.006451,0.009288,0.015029,0.027178,0.052697", \ - "0.003631,0.005005,0.006451,0.009287,0.015028,0.027175,0.052697", \ - "0.003654,0.005048,0.006491,0.009323,0.015047,0.027181,0.052699", \ - "0.004803,0.006060,0.007357,0.009947,0.015367,0.027267,0.052709", \ - "0.006265,0.007573,0.008852,0.011314,0.016427,0.027745,0.052768", \ - "0.008036,0.009432,0.010755,0.013185,0.018046,0.028713,0.053061", \ - "0.010140,0.011634,0.013051,0.015554,0.020341,0.030507,0.053844"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004456,0.006550,0.008847,0.013235,0.022071,0.040589,0.078795", \ - "0.004456,0.006552,0.008847,0.013230,0.022068,0.040586,0.078787", \ - "0.004456,0.006552,0.008846,0.013232,0.022070,0.040591,0.078808", \ - "0.004456,0.006553,0.008849,0.013235,0.022061,0.040592,0.078774", \ - "0.004458,0.006554,0.008846,0.013233,0.022060,0.040595,0.078805", \ - "0.004737,0.006753,0.009016,0.013373,0.022159,0.040619,0.078814", \ - "0.005493,0.007364,0.009537,0.013784,0.022432,0.040804,0.078860"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016182,0.019143,0.021835,0.026475,0.034588,0.049633,0.079237", \ - "0.017104,0.020062,0.022751,0.027393,0.035509,0.050553,0.080159", \ - "0.021035,0.023954,0.026619,0.031238,0.039345,0.054384,0.083989", \ - "0.027438,0.030549,0.033334,0.038068,0.046225,0.061223,0.090760", \ - "0.034003,0.037420,0.040468,0.045552,0.054115,0.069316,0.098762", \ - "0.040791,0.044533,0.047881,0.053425,0.062567,0.078228,0.107710", \ - "0.047608,0.051669,0.055339,0.061412,0.071302,0.087819,0.117731"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.091693,0.095161,0.098509,0.104495,0.115341,0.135990,0.176982", \ - "0.092173,0.095641,0.098988,0.104974,0.115819,0.136468,0.177461", \ - "0.092919,0.096382,0.099735,0.105721,0.116569,0.137213,0.178204", \ - "0.094046,0.097517,0.100868,0.106846,0.117690,0.138345,0.179330", \ - "0.095690,0.099166,0.102518,0.108494,0.119348,0.140006,0.180999", \ - "0.099852,0.103332,0.106676,0.112668,0.123491,0.144129,0.185050", \ - "0.104425,0.108028,0.111410,0.117489,0.128316,0.148931,0.189873"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003631,0.005008,0.006451,0.009288,0.015029,0.027177,0.052697", \ - "0.003631,0.005005,0.006451,0.009287,0.015030,0.027172,0.052697", \ - "0.003654,0.005048,0.006491,0.009321,0.015046,0.027179,0.052699", \ - "0.004803,0.006060,0.007357,0.009947,0.015365,0.027273,0.052709", \ - "0.006266,0.007571,0.008852,0.011315,0.016429,0.027742,0.052767", \ - "0.008039,0.009433,0.010756,0.013185,0.018049,0.028712,0.053062", \ - "0.010140,0.011634,0.013051,0.015554,0.020340,0.030507,0.053845"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004456,0.006550,0.008847,0.013233,0.022062,0.040592,0.078795", \ - "0.004451,0.006551,0.008847,0.013233,0.022059,0.040598,0.078786", \ - "0.004456,0.006553,0.008847,0.013232,0.022062,0.040591,0.078808", \ - "0.004455,0.006552,0.008847,0.013235,0.022062,0.040608,0.078785", \ - "0.004458,0.006553,0.008847,0.013234,0.022062,0.040595,0.078803", \ - "0.004737,0.006753,0.009016,0.013373,0.022151,0.040630,0.078796", \ - "0.005493,0.007364,0.009537,0.013781,0.022431,0.040804,0.078860"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016265,0.019279,0.022019,0.026722,0.034933,0.050090,0.079737", \ - "0.017187,0.020200,0.022935,0.027640,0.035852,0.051010,0.080657", \ - "0.021126,0.024100,0.026809,0.031492,0.039693,0.054846,0.084493", \ - "0.027617,0.030785,0.033611,0.038409,0.046654,0.061756,0.091335", \ - "0.034293,0.037771,0.040873,0.046049,0.054719,0.070020,0.099493", \ - "0.041228,0.045033,0.048441,0.054103,0.063394,0.079155,0.108661", \ - "0.048202,0.052336,0.056074,0.062295,0.072396,0.089037,0.118944"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003727,0.005131,0.006595,0.009441,0.015205,0.027314,0.052741", \ - "0.003727,0.005132,0.006596,0.009441,0.015206,0.027319,0.052741", \ - "0.003750,0.005170,0.006635,0.009473,0.015224,0.027324,0.052743", \ - "0.004926,0.006214,0.007516,0.010109,0.015541,0.027407,0.052759", \ - "0.006434,0.007772,0.009073,0.011549,0.016641,0.027892,0.052808", \ - "0.008250,0.009682,0.011046,0.013503,0.018341,0.028870,0.053102", \ - "0.010397,0.011944,0.013414,0.015974,0.020745,0.030700,0.053865"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016265,0.019279,0.022019,0.026722,0.034933,0.050090,0.079737", \ - "0.017187,0.020200,0.022935,0.027640,0.035852,0.051010,0.080657", \ - "0.021126,0.024099,0.026809,0.031492,0.039693,0.054846,0.084493", \ - "0.027617,0.030785,0.033611,0.038409,0.046654,0.061756,0.091335", \ - "0.034293,0.037771,0.040873,0.046049,0.054719,0.070020,0.099493", \ - "0.041228,0.045033,0.048441,0.054103,0.063394,0.079155,0.108661", \ - "0.048202,0.052336,0.056074,0.062295,0.072396,0.089037,0.118944"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003727,0.005131,0.006595,0.009441,0.015205,0.027314,0.052741", \ - "0.003727,0.005132,0.006596,0.009441,0.015206,0.027319,0.052741", \ - "0.003750,0.005170,0.006635,0.009473,0.015224,0.027324,0.052743", \ - "0.004926,0.006214,0.007516,0.010109,0.015541,0.027407,0.052759", \ - "0.006434,0.007772,0.009073,0.011549,0.016641,0.027892,0.052811", \ - "0.008250,0.009682,0.011046,0.013503,0.018341,0.028870,0.053102", \ - "0.010397,0.011944,0.013414,0.015974,0.020745,0.030700,0.053865"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016265,0.019279,0.022019,0.026722,0.034933,0.050090,0.079737", \ - "0.017187,0.020200,0.022935,0.027640,0.035852,0.051010,0.080657", \ - "0.021126,0.024099,0.026809,0.031492,0.039693,0.054846,0.084493", \ - "0.027617,0.030785,0.033611,0.038409,0.046654,0.061756,0.091335", \ - "0.034293,0.037771,0.040874,0.046049,0.054719,0.070020,0.099493", \ - "0.041228,0.045033,0.048441,0.054103,0.063394,0.079155,0.108661", \ - "0.048202,0.052336,0.056074,0.062295,0.072396,0.089037,0.118944"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003727,0.005131,0.006595,0.009441,0.015205,0.027316,0.052741", \ - "0.003727,0.005132,0.006596,0.009441,0.015206,0.027319,0.052741", \ - "0.003750,0.005170,0.006635,0.009473,0.015224,0.027324,0.052743", \ - "0.004926,0.006214,0.007516,0.010109,0.015541,0.027407,0.052759", \ - "0.006434,0.007772,0.009073,0.011549,0.016641,0.027892,0.052808", \ - "0.008250,0.009682,0.011046,0.013503,0.018341,0.028870,0.053102", \ - "0.010397,0.011944,0.013414,0.015974,0.020745,0.030700,0.053865"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016265,0.019279,0.022018,0.026722,0.034933,0.050090,0.079736", \ - "0.017187,0.020200,0.022935,0.027640,0.035852,0.051010,0.080657", \ - "0.021126,0.024100,0.026809,0.031492,0.039693,0.054846,0.084493", \ - "0.027617,0.030785,0.033611,0.038409,0.046654,0.061757,0.091335", \ - "0.034293,0.037771,0.040873,0.046049,0.054719,0.070020,0.099493", \ - "0.041228,0.045033,0.048442,0.054103,0.063393,0.079155,0.108661", \ - "0.048202,0.052336,0.056074,0.062295,0.072396,0.089037,0.118944"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003724,0.005131,0.006594,0.009441,0.015205,0.027315,0.052741", \ - "0.003727,0.005132,0.006596,0.009441,0.015206,0.027319,0.052741", \ - "0.003750,0.005170,0.006636,0.009473,0.015224,0.027322,0.052743", \ - "0.004926,0.006211,0.007516,0.010109,0.015541,0.027407,0.052759", \ - "0.006434,0.007772,0.009073,0.011549,0.016641,0.027892,0.052811", \ - "0.008250,0.009682,0.011047,0.013503,0.018341,0.028870,0.053102", \ - "0.010397,0.011944,0.013414,0.015974,0.020745,0.030694,0.053865"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016182,0.019143,0.021835,0.026475,0.034588,0.049633,0.079237", \ - "0.017104,0.020062,0.022751,0.027393,0.035509,0.050553,0.080159", \ - "0.021035,0.023954,0.026619,0.031238,0.039346,0.054384,0.083989", \ - "0.027438,0.030549,0.033334,0.038068,0.046225,0.061223,0.090760", \ - "0.034003,0.037420,0.040468,0.045552,0.054115,0.069316,0.098762", \ - "0.040791,0.044533,0.047881,0.053425,0.062567,0.078228,0.107710", \ - "0.047608,0.051669,0.055339,0.061412,0.071302,0.087819,0.117731"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.091693,0.095161,0.098509,0.104495,0.115341,0.135990,0.176982", \ - "0.092173,0.095638,0.098987,0.104975,0.115819,0.136468,0.177461", \ - "0.092919,0.096382,0.099735,0.105721,0.116569,0.137213,0.178204", \ - "0.094046,0.097511,0.100868,0.106851,0.117696,0.138338,0.179331", \ - "0.095690,0.099166,0.102518,0.108494,0.119348,0.140006,0.180999", \ - "0.099852,0.103332,0.106676,0.112668,0.123491,0.144129,0.185050", \ - "0.104425,0.108028,0.111410,0.117489,0.128316,0.148931,0.189873"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003631,0.005008,0.006451,0.009288,0.015029,0.027177,0.052697", \ - "0.003631,0.005005,0.006451,0.009287,0.015030,0.027172,0.052697", \ - "0.003654,0.005048,0.006491,0.009323,0.015047,0.027181,0.052699", \ - "0.004803,0.006060,0.007357,0.009947,0.015365,0.027273,0.052709", \ - "0.006266,0.007571,0.008852,0.011315,0.016429,0.027742,0.052767", \ - "0.008039,0.009433,0.010756,0.013185,0.018049,0.028712,0.053062", \ - "0.010140,0.011634,0.013051,0.015554,0.020340,0.030507,0.053845"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004456,0.006550,0.008847,0.013233,0.022062,0.040592,0.078795", \ - "0.004451,0.006552,0.008847,0.013229,0.022058,0.040598,0.078786", \ - "0.004456,0.006553,0.008847,0.013232,0.022062,0.040591,0.078808", \ - "0.004455,0.006552,0.008847,0.013235,0.022062,0.040610,0.078784", \ - "0.004458,0.006553,0.008847,0.013234,0.022062,0.040595,0.078803", \ - "0.004737,0.006753,0.009016,0.013373,0.022151,0.040630,0.078796", \ - "0.005493,0.007364,0.009537,0.013781,0.022431,0.040804,0.078860"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016182,0.019143,0.021835,0.026475,0.034588,0.049633,0.079237", \ - "0.017104,0.020062,0.022751,0.027393,0.035509,0.050553,0.080159", \ - "0.021035,0.023953,0.026619,0.031237,0.039346,0.054384,0.083989", \ - "0.027438,0.030549,0.033334,0.038068,0.046225,0.061223,0.090760", \ - "0.034003,0.037420,0.040468,0.045552,0.054115,0.069316,0.098762", \ - "0.040791,0.044533,0.047881,0.053425,0.062567,0.078228,0.107710", \ - "0.047608,0.051669,0.055339,0.061412,0.071302,0.087819,0.117731"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.091693,0.095161,0.098509,0.104495,0.115341,0.135990,0.176982", \ - "0.092173,0.095641,0.098988,0.104975,0.115819,0.136468,0.177460", \ - "0.092919,0.096382,0.099735,0.105721,0.116569,0.137213,0.178204", \ - "0.094051,0.097517,0.100868,0.106851,0.117690,0.138338,0.179330", \ - "0.095690,0.099166,0.102518,0.108493,0.119348,0.140006,0.180999", \ - "0.099852,0.103332,0.106677,0.112668,0.123491,0.144129,0.185050", \ - "0.104425,0.108028,0.111410,0.117489,0.128316,0.148931,0.189873"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003631,0.005008,0.006451,0.009288,0.015029,0.027177,0.052697", \ - "0.003631,0.005005,0.006451,0.009287,0.015030,0.027172,0.052697", \ - "0.003654,0.005048,0.006491,0.009321,0.015047,0.027181,0.052699", \ - "0.004803,0.006060,0.007357,0.009947,0.015365,0.027273,0.052709", \ - "0.006266,0.007571,0.008852,0.011315,0.016429,0.027742,0.052767", \ - "0.008039,0.009433,0.010756,0.013185,0.018049,0.028712,0.053062", \ - "0.010140,0.011634,0.013051,0.015554,0.020340,0.030507,0.053845"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004456,0.006550,0.008847,0.013233,0.022062,0.040592,0.078795", \ - "0.004451,0.006551,0.008847,0.013229,0.022059,0.040598,0.078787", \ - "0.004456,0.006553,0.008847,0.013232,0.022062,0.040591,0.078808", \ - "0.004456,0.006552,0.008847,0.013235,0.022062,0.040610,0.078785", \ - "0.004458,0.006553,0.008847,0.013234,0.022062,0.040595,0.078803", \ - "0.004737,0.006753,0.009016,0.013373,0.022151,0.040630,0.078796", \ - "0.005493,0.007364,0.009537,0.013781,0.022431,0.040804,0.078860"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016182,0.019143,0.021835,0.026475,0.034588,0.049632,0.079237", \ - "0.017104,0.020062,0.022751,0.027393,0.035509,0.050553,0.080159", \ - "0.021035,0.023954,0.026619,0.031238,0.039345,0.054384,0.083989", \ - "0.027438,0.030549,0.033334,0.038068,0.046225,0.061223,0.090760", \ - "0.034003,0.037425,0.040468,0.045552,0.054114,0.069316,0.098762", \ - "0.040790,0.044532,0.047880,0.053425,0.062569,0.078228,0.107710", \ - "0.047608,0.051669,0.055338,0.061412,0.071306,0.087819,0.117731"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.091684,0.095151,0.098500,0.104486,0.115330,0.135982,0.176972", \ - "0.092161,0.095630,0.098977,0.104964,0.115810,0.136460,0.177454", \ - "0.092908,0.096371,0.099725,0.105710,0.116558,0.137204,0.178194", \ - "0.094039,0.097507,0.100859,0.106840,0.117684,0.138339,0.179326", \ - "0.095681,0.099154,0.102507,0.108483,0.119339,0.139998,0.180987", \ - "0.099844,0.103321,0.106664,0.112656,0.123480,0.144117,0.185034", \ - "0.104411,0.108012,0.111398,0.117475,0.128304,0.148919,0.189860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003631,0.005008,0.006451,0.009288,0.015029,0.027178,0.052697", \ - "0.003631,0.005005,0.006451,0.009287,0.015028,0.027175,0.052697", \ - "0.003654,0.005048,0.006491,0.009323,0.015048,0.027181,0.052699", \ - "0.004803,0.006060,0.007357,0.009947,0.015367,0.027267,0.052709", \ - "0.006265,0.007573,0.008852,0.011314,0.016427,0.027745,0.052768", \ - "0.008036,0.009432,0.010755,0.013185,0.018046,0.028713,0.053061", \ - "0.010140,0.011634,0.013051,0.015554,0.020341,0.030507,0.053844"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004456,0.006550,0.008847,0.013235,0.022071,0.040589,0.078795", \ - "0.004456,0.006551,0.008846,0.013230,0.022068,0.040586,0.078791", \ - "0.004456,0.006552,0.008846,0.013232,0.022070,0.040591,0.078808", \ - "0.004456,0.006553,0.008849,0.013234,0.022060,0.040592,0.078774", \ - "0.004458,0.006554,0.008846,0.013233,0.022060,0.040595,0.078805", \ - "0.004737,0.006753,0.009016,0.013373,0.022159,0.040619,0.078814", \ - "0.005493,0.007364,0.009537,0.013784,0.022432,0.040804,0.078860"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016182,0.019143,0.021835,0.026475,0.034588,0.049633,0.079237", \ - "0.017104,0.020062,0.022751,0.027393,0.035509,0.050553,0.080159", \ - "0.021035,0.023954,0.026619,0.031237,0.039346,0.054384,0.083989", \ - "0.027438,0.030549,0.033334,0.038068,0.046225,0.061223,0.090760", \ - "0.034003,0.037420,0.040468,0.045552,0.054115,0.069316,0.098762", \ - "0.040791,0.044533,0.047881,0.053425,0.062567,0.078228,0.107710", \ - "0.047608,0.051669,0.055339,0.061412,0.071302,0.087819,0.117731"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.091693,0.095161,0.098509,0.104495,0.115341,0.135990,0.176982", \ - "0.092172,0.095641,0.098988,0.104975,0.115819,0.136468,0.177461", \ - "0.092919,0.096382,0.099735,0.105721,0.116569,0.137213,0.178204", \ - "0.094047,0.097511,0.100868,0.106851,0.117690,0.138338,0.179330", \ - "0.095690,0.099166,0.102518,0.108494,0.119348,0.140006,0.180999", \ - "0.099852,0.103332,0.106676,0.112668,0.123491,0.144129,0.185050", \ - "0.104425,0.108028,0.111410,0.117489,0.128316,0.148931,0.189873"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003631,0.005008,0.006451,0.009288,0.015029,0.027177,0.052697", \ - "0.003631,0.005005,0.006451,0.009287,0.015030,0.027172,0.052697", \ - "0.003654,0.005048,0.006491,0.009321,0.015047,0.027179,0.052699", \ - "0.004803,0.006060,0.007357,0.009947,0.015365,0.027273,0.052709", \ - "0.006266,0.007571,0.008852,0.011315,0.016429,0.027742,0.052767", \ - "0.008039,0.009433,0.010756,0.013185,0.018049,0.028712,0.053062", \ - "0.010140,0.011634,0.013051,0.015554,0.020340,0.030507,0.053845"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004456,0.006550,0.008847,0.013233,0.022062,0.040592,0.078795", \ - "0.004454,0.006551,0.008847,0.013229,0.022059,0.040598,0.078786", \ - "0.004456,0.006553,0.008847,0.013232,0.022062,0.040591,0.078808", \ - "0.004455,0.006552,0.008847,0.013234,0.022062,0.040610,0.078785", \ - "0.004458,0.006553,0.008847,0.013234,0.022062,0.040595,0.078803", \ - "0.004737,0.006753,0.009016,0.013373,0.022151,0.040630,0.078796", \ - "0.005493,0.007364,0.009537,0.013781,0.022431,0.040804,0.078860"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016265,0.019279,0.022018,0.026722,0.034933,0.050090,0.079737", \ - "0.017187,0.020200,0.022935,0.027640,0.035852,0.051010,0.080657", \ - "0.021126,0.024100,0.026809,0.031492,0.039693,0.054846,0.084493", \ - "0.027617,0.030785,0.033611,0.038409,0.046654,0.061757,0.091335", \ - "0.034293,0.037771,0.040873,0.046049,0.054719,0.070020,0.099493", \ - "0.041228,0.045033,0.048442,0.054103,0.063393,0.079155,0.108661", \ - "0.048202,0.052336,0.056074,0.062295,0.072396,0.089037,0.118944"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003724,0.005131,0.006594,0.009441,0.015205,0.027317,0.052741", \ - "0.003727,0.005132,0.006596,0.009441,0.015206,0.027319,0.052741", \ - "0.003750,0.005170,0.006636,0.009473,0.015224,0.027322,0.052743", \ - "0.004926,0.006211,0.007516,0.010109,0.015541,0.027407,0.052759", \ - "0.006434,0.007772,0.009073,0.011549,0.016641,0.027892,0.052808", \ - "0.008250,0.009682,0.011047,0.013503,0.018341,0.028870,0.053102", \ - "0.010397,0.011944,0.013414,0.015974,0.020745,0.030694,0.053865"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016265,0.019279,0.022018,0.026722,0.034933,0.050090,0.079737", \ - "0.017187,0.020200,0.022935,0.027640,0.035852,0.051010,0.080657", \ - "0.021126,0.024100,0.026809,0.031492,0.039693,0.054846,0.084493", \ - "0.027617,0.030785,0.033611,0.038409,0.046654,0.061757,0.091335", \ - "0.034293,0.037771,0.040873,0.046049,0.054719,0.070020,0.099493", \ - "0.041228,0.045033,0.048442,0.054103,0.063393,0.079155,0.108661", \ - "0.048202,0.052336,0.056074,0.062295,0.072396,0.089037,0.118944"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003724,0.005131,0.006594,0.009441,0.015205,0.027315,0.052741", \ - "0.003727,0.005132,0.006596,0.009441,0.015206,0.027319,0.052741", \ - "0.003750,0.005170,0.006636,0.009473,0.015224,0.027322,0.052743", \ - "0.004926,0.006211,0.007516,0.010109,0.015541,0.027407,0.052759", \ - "0.006434,0.007772,0.009073,0.011549,0.016641,0.027892,0.052808", \ - "0.008250,0.009682,0.011047,0.013503,0.018341,0.028870,0.053102", \ - "0.010397,0.011944,0.013414,0.015974,0.020745,0.030694,0.053865"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016265,0.019279,0.022019,0.026722,0.034933,0.050090,0.079737", \ - "0.017187,0.020200,0.022935,0.027640,0.035852,0.051010,0.080657", \ - "0.021126,0.024100,0.026809,0.031492,0.039693,0.054846,0.084493", \ - "0.027617,0.030785,0.033611,0.038409,0.046654,0.061756,0.091335", \ - "0.034293,0.037771,0.040874,0.046049,0.054719,0.070020,0.099493", \ - "0.041228,0.045033,0.048441,0.054103,0.063394,0.079155,0.108661", \ - "0.048202,0.052336,0.056074,0.062295,0.072396,0.089037,0.118944"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003727,0.005131,0.006595,0.009441,0.015205,0.027317,0.052741", \ - "0.003727,0.005132,0.006596,0.009441,0.015206,0.027319,0.052741", \ - "0.003750,0.005170,0.006635,0.009473,0.015224,0.027324,0.052743", \ - "0.004926,0.006214,0.007516,0.010109,0.015541,0.027407,0.052759", \ - "0.006434,0.007772,0.009073,0.011549,0.016641,0.027892,0.052808", \ - "0.008250,0.009682,0.011046,0.013503,0.018341,0.028870,0.053102", \ - "0.010397,0.011944,0.013414,0.015974,0.020745,0.030700,0.053865"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016265,0.019279,0.022018,0.026722,0.034933,0.050090,0.079738", \ - "0.017187,0.020200,0.022935,0.027640,0.035852,0.051010,0.080657", \ - "0.021126,0.024100,0.026809,0.031492,0.039693,0.054846,0.084493", \ - "0.027617,0.030785,0.033611,0.038409,0.046654,0.061757,0.091335", \ - "0.034293,0.037771,0.040873,0.046049,0.054719,0.070020,0.099493", \ - "0.041228,0.045033,0.048442,0.054103,0.063393,0.079155,0.108661", \ - "0.048202,0.052336,0.056074,0.062295,0.072396,0.089037,0.118944"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.003724,0.005131,0.006594,0.009441,0.015205,0.027315,0.052741", \ - "0.003727,0.005132,0.006596,0.009441,0.015206,0.027319,0.052741", \ - "0.003750,0.005170,0.006635,0.009473,0.015224,0.027322,0.052743", \ - "0.004926,0.006211,0.007516,0.010109,0.015541,0.027407,0.052759", \ - "0.006434,0.007772,0.009073,0.011549,0.016641,0.027892,0.052811", \ - "0.008250,0.009682,0.011047,0.013503,0.018341,0.028870,0.053102", \ - "0.010397,0.011944,0.013414,0.015974,0.020745,0.030694,0.053865"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.186210,11.783260,11.635790,11.493040,11.426900,11.375110,11.344210", \ - "12.180390,11.744430,11.619430,11.467730,11.409800,11.305500,11.370100", \ - "12.270220,11.887880,11.714520,11.597960,11.529560,11.471090,11.417010", \ - "12.643180,12.192970,12.052230,11.871590,11.871030,11.848360,11.801180", \ - "13.417760,13.014150,12.866430,12.754530,12.622750,12.558880,12.412700", \ - "14.653270,14.243880,14.109390,13.931140,13.852650,13.801620,13.582200", \ - "16.201300,15.860290,15.717030,15.595050,15.579620,15.410430,15.476490"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.097940,11.791670,11.689070,11.619670,11.622340,11.595860,11.429970", \ - "12.087880,11.785280,11.690670,11.614810,11.617370,11.605190,11.421750", \ - "12.217290,11.878200,11.791020,11.705860,11.705820,11.582620,11.526890", \ - "12.578370,12.270560,12.153890,12.046870,12.089960,11.999360,11.967620", \ - "13.386340,13.051790,12.956310,12.880040,12.857000,12.788640,12.826970", \ - "14.683790,14.355940,14.239130,14.163170,14.151020,14.148290,14.034730", \ - "16.265540,15.969660,15.878250,15.854750,15.938690,15.851300,15.751570"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("10.951480,10.708850,10.650100,10.605560,10.445910,10.261350,10.264650", \ - "10.936070,10.611730,10.657120,10.590690,10.443250,10.066990,10.248250", \ - "11.181630,10.883110,10.701480,10.769470,10.660660,10.281120,10.357790", \ - "12.195640,11.716210,11.498220,11.304180,11.187900,10.954360,10.257860", \ - "13.899490,13.258200,12.822080,12.600480,12.286300,11.807850,11.804170", \ - "16.578380,15.675290,15.245350,14.656310,14.134040,13.854300,13.408190", \ - "19.996440,19.163900,18.533060,17.682200,17.027580,16.367090,15.772210"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("10.951220,10.708590,10.649820,10.605260,10.445310,10.261090,10.264190", \ - "10.935810,10.611450,10.656840,10.590370,10.442870,10.066740,10.247790", \ - "11.181380,10.883140,10.701210,10.769170,10.660280,10.280480,10.357700", \ - "12.195400,11.715960,11.497650,11.303890,11.187530,10.953970,10.257260", \ - "13.899360,13.257960,12.821830,12.600230,12.285980,11.807500,11.803620", \ - "16.578170,15.675070,15.244860,14.656060,14.133740,13.853450,13.407710", \ - "19.996270,19.163720,18.532720,17.681980,17.027340,16.439660,15.771840"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("10.951170,10.708520,10.649940,10.605280,10.445600,10.261160,10.263980", \ - "10.935770,10.611530,10.656940,10.590170,10.442950,10.066780,10.247660", \ - "11.181350,10.884180,10.701350,10.769290,10.689090,10.280920,10.357680", \ - "12.195450,11.715910,11.498070,11.303950,11.187640,10.954160,10.257640", \ - "13.899200,13.257940,12.797630,12.600330,12.286080,11.807350,11.803880", \ - "16.578280,15.675190,15.244980,14.656180,14.133880,13.854870,13.407720", \ - "19.996380,19.163670,18.533160,17.682100,17.027290,16.366950,15.772020"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.894960,11.530860,11.529570,11.460360,11.322220,11.136700,10.847380", \ - "11.773080,11.485020,11.546890,11.473900,11.313220,11.093550,11.121460", \ - "12.163040,11.866740,11.676100,11.744800,11.634280,11.253910,11.324270", \ - "13.567550,13.043510,12.842610,12.695560,12.553730,12.260640,12.165040", \ - "15.843760,15.220340,14.740100,14.539590,14.221040,13.712830,13.732700", \ - "19.468400,18.695880,18.132160,17.588180,17.014030,16.568720,16.218010", \ - "24.168340,23.359650,22.706990,21.941310,21.215970,20.525120,19.925790"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.895260,11.531170,11.529870,11.460710,11.322620,11.137270,10.848020", \ - "11.774660,11.485280,11.547160,11.474190,11.313590,11.094140,11.122170", \ - "12.163390,11.867000,11.676360,11.745120,11.634620,11.254370,11.324930", \ - "13.567810,13.043790,12.842890,12.697580,12.553400,12.261090,12.165380", \ - "15.844030,15.221370,14.740390,14.539860,14.221390,13.713270,13.733370", \ - "19.468640,18.695740,18.131440,17.586470,17.014340,16.569140,16.218450", \ - "24.168510,23.359840,22.730230,21.849470,21.216260,20.525460,19.926330"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.895270,11.531200,11.529880,11.460720,11.322620,11.137060,10.848000", \ - "11.773460,11.485310,11.547290,11.474290,11.313600,11.093980,11.122120", \ - "12.163340,11.866970,11.676380,11.745110,11.634630,11.254380,11.324920", \ - "13.567820,13.043810,12.842910,12.697080,12.553440,12.261100,12.165590", \ - "15.844040,15.220610,14.740420,14.539900,14.221400,13.713080,13.733380", \ - "19.468650,18.695680,18.131450,17.586480,17.014350,16.569150,16.218350", \ - "24.168530,23.359870,22.730240,21.849490,21.216270,20.525480,19.926300"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("10.950830,10.708160,10.649550,10.606220,10.444960,10.260490,10.263250", \ - "10.935430,10.611160,10.656560,10.589740,10.442320,10.066150,10.246940", \ - "11.181350,10.883760,10.700960,10.768540,10.686220,10.280290,10.356680", \ - "12.194910,11.715610,11.497370,11.303150,11.186840,10.953550,10.256770", \ - "13.898970,13.257590,12.797080,12.599930,12.285640,11.806790,11.803060", \ - "16.577960,15.674850,15.244610,14.655790,14.133440,13.854340,13.407070", \ - "19.996080,19.163360,18.532840,17.681740,17.026870,16.399420,15.771360"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.895350,11.531310,11.529990,11.460810,11.322720,11.137210,10.848050", \ - "11.773210,11.485430,11.547300,11.474480,11.313730,11.094200,11.122110", \ - "12.163290,11.867160,11.676520,11.745240,11.634770,11.254440,11.324870", \ - "13.567920,13.043890,12.843010,12.698270,12.554340,12.261180,12.165780", \ - "15.844110,15.220710,14.740490,14.540000,14.221500,13.713350,13.733300", \ - "19.468740,18.695840,18.131560,17.586450,17.014450,16.569240,16.218600", \ - "24.168620,23.359940,22.730690,21.849580,21.216320,20.525550,19.926310"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.174290,11.959210,11.881670,12.010780,12.047950,11.794860,11.515510", \ - "12.145420,11.954350,11.878300,12.004060,11.843890,11.915660,11.308180", \ - "12.495310,12.257310,12.148350,12.248130,12.126870,11.810240,11.587190", \ - "13.815260,13.342730,13.269840,13.103790,12.949970,12.968420,12.586260", \ - "16.074500,15.437040,15.207350,14.933690,14.755270,14.313970,14.400390", \ - "19.401730,18.697300,18.191840,17.753390,17.393270,16.824150,16.679840", \ - "23.475780,22.737240,22.078560,21.467980,20.855810,20.295520,19.904340"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.173830,11.958870,11.881380,12.010490,12.047630,11.794170,11.515150", \ - "12.145160,11.954080,11.878010,12.003770,11.843550,11.915310,11.307990", \ - "12.495050,12.257040,12.148070,12.247840,12.126550,11.809890,11.586830", \ - "13.815140,13.342470,13.269570,13.103530,12.949670,12.968090,12.585830", \ - "16.074300,15.436810,15.207110,14.932590,14.755010,14.313660,14.400200", \ - "19.401540,18.697130,18.191570,17.750830,17.393040,16.823870,16.679320", \ - "23.475980,22.737100,22.078410,21.467820,20.855630,20.295290,19.904080"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.180050,11.974030,11.881310,12.010210,12.047200,11.791180,11.514450", \ - "12.145120,11.954020,11.877930,12.003490,11.842900,11.914660,11.307140", \ - "12.495170,12.256970,12.147970,12.247510,12.126220,11.808600,11.586160", \ - "13.813810,13.342460,13.269440,13.103130,12.949260,12.967490,12.586470", \ - "16.072810,15.559650,15.206980,14.924270,14.754570,14.313100,14.399420", \ - "19.401660,18.697030,18.192260,17.753620,17.392630,16.823350,16.680730", \ - "23.464970,22.736990,22.078290,21.467550,20.855180,20.294760,19.903050"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.177100,11.984280,11.878330,12.005380,12.039710,11.771040,11.497200", \ - "12.143140,11.951490,11.874850,11.998660,11.835880,11.902650,11.290010", \ - "12.492990,12.254340,12.144770,12.242620,12.118710,11.797620,11.568930", \ - "13.810420,13.340060,13.266070,13.096580,12.941690,12.955470,12.565250", \ - "16.068800,15.550090,15.203570,14.870380,14.746870,14.301310,14.381910", \ - "19.400000,18.694340,18.191370,17.790030,17.384940,16.811660,16.668610", \ - "23.462630,22.734130,22.074920,21.462570,20.847570,20.283070,19.886800"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.177500,11.985760,11.878620,12.005730,12.040120,11.771490,11.497760", \ - "12.143440,11.951760,11.875160,11.998970,11.836240,11.903150,11.290500", \ - "12.493250,12.254630,12.145040,12.242930,12.119060,11.797650,11.569420", \ - "13.810640,13.340320,13.266340,13.096890,12.942070,12.955920,12.565780", \ - "16.069050,15.550340,15.203840,14.870630,14.747200,14.301740,14.382460", \ - "19.400190,18.694550,18.191570,17.790290,17.385250,16.812010,16.669050", \ - "23.462740,22.734270,22.075040,21.462750,20.847820,20.283370,19.887300"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.177460,11.985000,11.878650,12.005740,12.040130,11.771500,11.497800", \ - "12.143450,11.951790,11.875170,11.998990,11.836260,11.903150,11.290500", \ - "12.493280,12.254650,12.145070,12.242940,12.119080,11.797670,11.569490", \ - "13.810670,13.340350,13.266360,13.096910,12.942080,12.955930,12.565800", \ - "16.069070,15.434600,15.203850,14.870660,14.747210,14.301740,14.382480", \ - "19.400210,18.694570,18.191590,17.790300,17.385260,16.812030,16.669080", \ - "23.462770,22.734300,22.075080,21.462770,20.847820,20.283380,19.887280"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.179720,11.972760,11.880920,12.009790,12.046710,11.790740,11.513700", \ - "12.144770,11.953650,11.877530,12.003060,11.842410,11.914070,11.306370", \ - "12.494810,12.256590,12.147570,12.247140,12.125740,11.808730,11.585510", \ - "13.813460,13.342100,13.269060,13.102710,12.948780,12.966910,12.585580", \ - "16.072490,15.436460,15.206610,14.922550,14.754120,14.312540,14.398820", \ - "19.401360,18.696720,18.191930,17.753260,17.392210,16.822820,16.680010", \ - "23.464720,22.736730,22.078020,21.467250,20.854820,20.294300,19.902440"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.177580,11.984920,11.878750,12.005820,12.040190,11.771610,11.497800", \ - "12.143530,11.951900,11.875260,11.999100,11.836370,11.903210,11.290560", \ - "12.493380,12.254740,12.145180,12.243050,12.119200,11.797780,11.569470", \ - "13.810770,13.340440,13.266460,13.097010,12.942160,12.956010,12.565940", \ - "16.069140,15.434710,15.203930,14.870770,14.747300,14.301810,14.382420", \ - "19.400290,18.694640,18.191690,17.790370,17.385310,16.812120,16.669200", \ - "23.462850,22.734370,22.075170,21.462840,20.847880,20.283460,19.887280"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.269675,8.528255,8.738875,9.014532,9.132996,9.132457,9.105247", \ - "8.222150,8.484417,8.728193,8.991488,9.108979,9.108196,9.094926", \ - "8.502488,8.755278,8.959303,9.159678,9.269072,9.268351,9.264019", \ - "10.041680,10.169410,10.200940,10.300270,10.276100,10.168170,10.088670", \ - "12.348950,12.357490,12.242160,12.279090,12.252840,11.988270,11.788690", \ - "16.015630,15.874520,15.698830,15.406440,15.186990,14.933710,14.611040", \ - "20.410760,20.444220,20.164120,19.679010,19.346920,19.029200,18.678960"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.526032,6.534712,6.516376,6.731363,6.418051,5.439139,6.395722", \ - "6.356848,6.471686,6.484105,6.615273,6.406089,6.121409,6.471523", \ - "6.635370,6.569984,6.779226,6.678504,6.409918,6.090693,6.570665", \ - "8.275814,8.097445,7.933072,7.729836,7.610324,7.183220,7.079189", \ - "10.968920,10.615270,10.330360,10.116010,9.604511,9.030697,8.698233", \ - "15.064420,14.314520,13.929260,13.866510,12.911550,11.422280,11.756180", \ - "20.136720,19.354760,18.970710,18.391640,17.676880,16.850500,16.266910"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.269733,8.528293,8.738907,9.014541,9.132997,9.132454,9.105211", \ - "8.222208,8.484454,8.728218,8.991499,9.108981,9.108196,9.094918", \ - "8.502550,8.764014,8.959328,9.162016,9.263533,9.268341,9.264008", \ - "10.041750,10.169460,10.200960,10.300270,10.276100,10.168160,10.088650", \ - "12.349000,12.357530,12.242190,12.279110,12.265600,11.985150,11.788660", \ - "16.015690,15.874550,15.698860,15.406460,15.186990,14.933710,14.611040", \ - "20.410800,20.444260,20.164150,19.679030,19.346930,19.029200,18.679520"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.525691,6.515890,6.516408,6.707051,6.418082,5.439134,6.395798", \ - "6.356883,6.471723,6.484136,6.615306,6.406123,6.121432,6.471552", \ - "6.635406,6.569913,6.779263,6.678535,6.409948,6.090710,6.570691", \ - "8.275850,8.097484,7.933105,7.729863,7.610362,7.183248,7.079207", \ - "10.968950,10.615310,10.330390,10.116040,9.605188,9.030726,8.698250", \ - "15.064450,14.314550,13.929290,13.866540,12.911580,11.422280,11.756210", \ - "20.136750,19.354790,18.970750,18.391670,17.676910,16.850520,16.266940"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.269471,8.528095,8.738743,9.014444,9.132968,9.132453,9.105259", \ - "8.221992,8.484264,8.728057,8.991399,9.108948,9.108189,9.094926", \ - "8.502326,8.763820,8.959169,9.159590,9.263511,9.267056,9.264021", \ - "10.041540,10.169270,10.200810,10.300170,10.276070,10.168160,10.088670", \ - "12.348810,12.357360,12.242050,12.279000,12.253660,11.988260,11.788690", \ - "16.015500,15.874390,15.698720,15.406360,15.186940,14.933700,14.611040", \ - "20.410630,20.444100,20.164010,19.678930,19.346870,19.029190,18.674110"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.525547,6.515696,6.516186,6.731154,6.417878,6.270665,6.395654", \ - "6.356747,6.471529,6.483915,6.615065,6.405918,6.121277,6.471395", \ - "6.635264,6.569829,6.779036,6.678297,6.409747,6.090566,6.570544", \ - "8.275701,8.097290,7.932887,7.729631,7.610153,7.183091,7.079071", \ - "10.968810,10.615120,10.330180,10.115810,9.604341,9.029238,8.698113", \ - "15.064290,14.314370,13.929090,13.866310,12.911380,11.422160,11.756060", \ - "20.136590,19.354610,18.970540,18.391440,17.676710,16.850360,16.266780"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.250482,8.502407,8.735596,9.000103,9.111905,9.113046,9.088974", \ - "8.197321,8.456707,8.703583,8.967176,9.085291,9.085415,9.073601", \ - "8.477444,8.739285,8.934425,9.139650,9.244687,9.244240,9.240163", \ - "10.016780,10.144590,10.176190,10.275590,10.251150,10.142700,10.065160", \ - "12.301900,12.332910,12.218800,12.238810,12.232820,11.964070,11.765050", \ - "15.991110,15.849970,15.674250,15.381330,15.157880,14.906580,14.589520", \ - "20.395990,20.415350,20.133520,19.642310,19.323670,19.002180,18.653520"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.533913,6.510563,6.477172,6.718647,6.539937,6.345740,6.702726", \ - "6.366472,6.480109,6.446710,6.681446,6.412679,5.433657,6.233885", \ - "6.645481,6.579920,6.788528,6.734388,6.732951,6.099478,6.576428", \ - "8.285659,8.106540,8.035164,7.739424,7.620326,7.192067,7.095914", \ - "10.982130,10.625250,10.340050,10.081080,9.616568,9.039050,8.615801", \ - "15.074120,14.324220,13.938020,13.889190,13.301980,11.564960,11.822290", \ - "20.141390,19.363640,18.859420,18.399330,18.102870,16.859170,16.282380"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.074868,8.907783,8.780719,8.937082,9.073781,9.159370,9.095576", \ - "9.056187,8.783156,8.796954,8.943112,9.060057,9.139368,9.169483", \ - "9.225603,9.018721,8.985289,8.996760,9.109420,9.252623,8.885827", \ - "10.321850,9.908806,9.786712,9.640701,9.770606,9.671418,9.428733", \ - "12.028960,11.520670,11.285400,10.992560,10.778040,10.758060,10.431450", \ - "14.371030,13.881650,13.513760,12.956910,12.709850,12.390420,12.152290", \ - "17.520390,16.968190,16.468920,15.877300,15.259960,14.807700,14.322120"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.074840,8.907703,8.780675,8.937029,9.073721,9.153669,9.095427", \ - "9.056162,8.783127,8.796913,8.943063,9.059979,9.139275,9.169347", \ - "9.225569,9.018713,8.985256,8.996699,9.109352,9.252526,8.885672", \ - "10.321810,9.908768,9.786677,9.640642,9.770542,9.671320,9.428625", \ - "12.028940,11.493780,11.285360,10.992510,10.778150,10.757980,10.431330", \ - "14.371020,13.881640,13.513750,12.956870,12.709790,12.390350,12.152220", \ - "17.520390,16.968190,16.468920,15.877270,15.259920,14.807650,14.322020"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.074879,8.907705,8.780758,8.937150,9.073924,9.153965,9.186557", \ - "9.056198,8.783182,8.797018,8.943182,9.060182,9.139567,9.169756", \ - "9.225616,9.018777,8.985332,8.982627,9.109543,9.252817,8.886101", \ - "10.322980,9.908831,9.786751,9.640767,9.770725,9.671605,9.428992", \ - "12.028960,11.493810,11.285420,10.992650,10.778300,10.758230,10.431700", \ - "14.371020,13.881640,13.513780,12.956930,12.709930,12.390560,12.152500", \ - "17.520340,16.968140,16.468870,15.877280,15.260000,14.807800,14.322290"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.970333,8.809196,8.776275,8.838877,9.019033,8.978947,9.010069", \ - "8.958989,8.796318,8.765800,8.847070,8.992900,9.050218,8.994121", \ - "9.129206,8.923332,8.743664,8.887082,9.015248,9.162684,8.789036", \ - "10.206910,9.814671,9.692479,9.546824,9.677503,9.581204,9.335684", \ - "11.849410,11.401330,11.192440,10.899150,10.730160,10.669600,10.349290", \ - "14.281070,13.791580,13.392970,12.941350,12.618520,12.302220,12.033770", \ - "17.428630,16.876680,16.448690,15.786440,15.159990,14.710050,14.241100"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.250367,8.502329,8.735542,9.000085,9.111891,9.113034,9.088894", \ - "8.197233,8.456608,8.703530,8.967148,9.085281,9.085411,9.073580", \ - "8.477323,8.739206,8.934373,9.137272,9.239021,9.243161,9.240132", \ - "10.016670,10.144500,10.176120,10.275550,10.251130,10.142680,10.065110", \ - "12.301790,12.332820,12.218740,12.238770,12.233730,11.964050,11.765000", \ - "15.991010,15.849890,15.674190,15.381290,15.157840,14.906550,14.589510", \ - "20.395880,20.415270,20.133450,19.642250,19.323630,19.002160,18.653510"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.533990,6.514225,6.477021,6.718568,6.540549,6.346128,6.703783", \ - "6.366376,6.480020,6.446598,6.681367,6.412590,5.433491,6.233785", \ - "6.670558,6.579818,6.788443,6.734301,6.732874,6.099360,6.576348", \ - "8.285589,8.106458,8.035076,7.739319,7.620246,7.191974,7.095815", \ - "10.982040,10.625170,10.339940,10.080990,9.616483,9.038959,8.615663", \ - "15.074050,14.324130,13.937910,13.889100,13.301910,11.564780,11.822220", \ - "20.141320,19.363560,18.859330,18.399220,18.102890,16.859070,16.282310"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.250434,8.502371,8.735571,9.000091,9.111899,9.113042,9.088934", \ - "8.197270,8.456669,8.703558,8.967163,9.085288,9.085414,9.073592", \ - "8.477392,8.739248,8.934400,9.134959,9.244676,9.244228,9.241059", \ - "10.016730,10.144550,10.176160,10.275570,10.251140,10.142690,10.065140", \ - "12.301850,12.332870,12.218770,12.238790,12.229410,11.964060,11.765020", \ - "15.991070,15.849930,15.674220,15.381310,15.157860,14.906570,14.589520", \ - "20.395940,20.415310,20.133490,19.642280,19.323660,19.002170,18.653520"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.534029,6.510510,6.477114,6.738402,6.539948,6.345739,6.702801", \ - "6.366427,6.480064,6.446657,6.681408,6.412636,5.433580,6.233856", \ - "6.645464,6.579868,6.788484,6.734345,6.732919,6.099426,6.576409", \ - "8.285626,8.106500,8.035119,7.739373,7.620288,7.192028,7.095886", \ - "10.982090,10.625210,10.340000,10.081040,9.616528,9.039012,8.615754", \ - "15.074080,14.324180,13.937970,13.889150,13.301950,11.564880,11.822270", \ - "20.141360,19.363600,18.859380,18.399280,18.102850,16.859130,16.282370"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.269513,8.528121,8.738760,9.014448,9.132965,9.132447,9.105209", \ - "8.222044,8.484264,8.728073,8.991404,9.108948,9.108189,9.094914", \ - "8.502363,8.755147,8.959185,9.161921,9.263499,9.267041,9.264004", \ - "10.041580,10.169300,10.200820,10.300180,10.276060,10.168160,10.088650", \ - "12.348840,12.357390,12.242060,12.279010,12.261000,11.988250,11.788660", \ - "16.015530,15.874420,15.698740,15.406370,15.186930,14.933690,14.611030", \ - "20.410650,20.444120,20.164030,19.678930,19.346860,19.029180,18.678950"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.525571,6.515718,6.516204,6.706831,6.417892,5.438978,6.572519", \ - "6.356764,6.471553,6.483933,6.615085,6.405934,6.121269,6.471402", \ - "6.635285,6.569848,6.779060,6.678312,6.409757,6.090560,6.570551", \ - "8.275725,8.097316,7.932904,7.729640,7.610175,7.183099,7.079067", \ - "10.968820,10.615140,10.330190,10.115820,9.605001,9.029247,8.698109", \ - "15.064310,14.314380,13.929100,13.866330,12.911390,11.422130,11.756070", \ - "20.136610,19.354630,18.970570,18.391450,17.676720,16.850360,16.266800"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.250524,8.502435,8.735615,9.000113,9.111914,9.113055,9.089026", \ - "8.197364,8.456736,8.703601,8.967186,9.085296,9.085418,9.073614", \ - "8.477488,8.730590,8.934442,9.137324,9.239068,9.244257,9.240183", \ - "10.016820,10.144620,10.176220,10.275610,10.251160,10.142710,10.065190", \ - "12.301940,12.332940,12.218830,12.238830,12.236560,11.964080,11.765080", \ - "15.991150,15.850000,15.674270,15.381350,15.157900,14.906600,14.589520", \ - "20.396030,20.415380,20.133550,19.642330,19.323690,19.002190,18.653650"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.533940,6.510603,6.512585,6.718675,6.539877,5.442181,5.917567", \ - "6.366510,6.480140,6.446749,6.681473,6.412717,5.433748,6.233941", \ - "6.645513,6.579958,6.788556,6.734422,6.732982,6.099540,6.576471", \ - "8.285684,8.106568,8.035196,7.739468,7.620359,7.192114,7.095968", \ - "10.982160,10.625280,10.340090,10.081120,9.616605,9.039094,8.615857", \ - "15.074140,14.324260,13.938070,13.889220,13.302010,11.565050,11.822330", \ - "20.141420,19.363660,18.859460,18.399370,18.102900,16.859230,16.282410"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.970960,8.809202,8.776332,8.838957,9.019076,9.070396,9.010216", \ - "8.959016,8.796365,8.765844,8.847127,8.992992,9.050348,8.994277", \ - "9.129204,8.923351,8.743701,8.905804,9.015332,9.162801,8.789144", \ - "10.206910,9.814687,9.692535,9.546848,9.677594,9.581309,9.335901", \ - "11.849430,11.401370,11.192470,10.899200,10.730220,10.669740,10.349440", \ - "14.281060,13.791580,13.392960,12.941380,12.618540,12.302320,12.034000", \ - "17.428600,16.876660,16.448710,15.786430,15.160010,14.710150,14.241220"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.970534,8.809483,8.776511,8.839175,9.019432,8.937321,9.010848", \ - "8.959193,8.796566,8.766049,8.847377,8.993275,9.050773,8.994867", \ - "9.129386,8.923547,8.743924,8.887354,9.015654,9.163224,8.789736", \ - "10.227290,9.814888,9.692753,9.547096,9.677907,9.581724,9.336459", \ - "11.849610,11.401560,11.192680,10.899430,10.730520,10.670130,10.349980", \ - "14.281220,13.791750,13.393140,12.941580,12.618800,12.302670,12.034480", \ - "17.428710,16.876770,16.448830,15.786580,15.160200,14.710430,14.241650"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.074767,8.907572,8.780611,8.936976,9.073699,9.071142,9.143330", \ - "9.056087,8.783057,8.796869,8.943009,9.059947,9.139259,9.169371", \ - "9.225496,9.018616,8.985190,8.982446,9.109317,9.252510,8.885664", \ - "10.322860,9.908697,9.786609,9.640587,9.770506,9.671302,9.428603", \ - "12.028850,11.493690,11.285290,10.992490,10.778080,10.757960,10.431310", \ - "14.370930,13.881550,13.513670,12.956790,12.709740,12.390310,12.152180", \ - "17.520290,16.968090,16.468810,15.877180,15.259860,14.807600,14.321980"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.970504,8.809313,8.776487,8.839129,9.019350,9.014579,9.010723", \ - "8.959164,8.796511,8.766013,8.847321,8.993222,9.050634,8.994705", \ - "9.129387,8.923530,8.743882,8.906031,9.015567,9.163103,8.789631", \ - "10.207090,9.814872,9.692695,9.547080,9.677816,9.581615,9.336238", \ - "11.849590,11.401520,11.192650,10.899380,10.730460,10.669980,10.349820", \ - "14.281220,13.791740,13.393140,12.941550,12.618780,12.302570,12.034240", \ - "17.428730,16.876790,16.448810,15.786590,15.160180,14.710330,14.241530"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.755594,9.032978,9.425775,9.876075,10.421260,12.442860,15.394970", \ - "8.731463,9.049797,9.393392,9.835446,10.406010,12.379330,15.363010", \ - "8.994628,9.331727,9.629979,10.012200,10.587340,12.702910,15.530870", \ - "10.592340,10.800480,10.908730,11.212210,11.673290,13.700690,16.412980", \ - "12.989280,13.097040,13.088690,13.259470,13.729190,15.556380,18.184640", \ - "16.914540,16.875390,16.722960,16.576770,16.722710,18.329160,21.295750", \ - "21.548780,21.668050,21.481210,21.120320,21.126650,22.373460,25.811540"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.249380,12.258120,12.198780,12.450380,12.314000,12.002210,12.169850", \ - "12.092920,12.121210,12.271380,12.346310,12.374420,12.065980,12.202510", \ - "12.215380,12.245600,12.185850,12.437150,12.269480,11.959470,12.110020", \ - "12.225920,12.236330,12.174190,12.427890,11.971850,12.229510,12.134840", \ - "12.202140,12.382970,12.329190,12.581130,12.094700,12.315150,12.345250", \ - "12.973150,12.908940,13.000790,13.001550,12.912350,12.575040,11.178620", \ - "14.308600,14.120700,13.989770,14.103550,13.780110,13.340550,13.193770"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.755651,9.033030,9.425815,9.876104,10.421280,12.442880,15.394950", \ - "8.731546,9.049851,9.393433,9.835472,10.406030,12.379340,15.362990", \ - "8.994705,9.331781,9.630019,10.029710,10.587360,12.702950,15.530850", \ - "10.592420,10.800540,10.908770,11.212240,11.673320,13.700720,16.412970", \ - "12.989350,13.097080,13.088750,13.259500,13.729210,15.556410,18.184610", \ - "16.914600,16.875440,16.723000,16.576800,16.722720,18.329180,21.295750", \ - "21.548840,21.668100,21.481250,21.120350,21.126670,22.373490,25.811550"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.249430,12.258160,12.198810,12.450410,12.314020,12.002220,12.169870", \ - "12.094840,12.121290,12.270980,12.346350,12.374860,12.066250,12.202550", \ - "12.215430,12.245640,12.185890,12.437180,12.269500,11.959480,12.110060", \ - "12.227610,12.284470,12.174220,12.427920,11.971890,12.229530,12.134850", \ - "12.202180,12.383010,12.329230,12.581160,12.094730,12.315160,12.345290", \ - "12.973190,12.908990,13.000830,13.001590,12.912370,12.575050,11.178580", \ - "14.308630,14.120730,13.989820,14.103560,13.780130,13.340550,13.193780"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.755383,9.032787,9.425604,9.875945,10.421180,12.442760,15.394950", \ - "8.731268,9.049608,9.393223,9.835319,10.405930,12.379230,15.362990", \ - "8.994435,9.331540,9.629811,10.042780,10.587250,12.702820,15.530850", \ - "10.592170,10.800300,10.908570,11.212080,11.673210,13.700600,16.412960", \ - "12.989120,13.096870,13.088560,13.259350,13.729090,15.556280,18.184620", \ - "16.914370,16.875240,16.722820,16.576650,16.722620,18.329060,21.295720", \ - "21.548620,21.667900,21.481080,21.120210,21.126560,22.373370,25.811500"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.249170,12.257890,12.198530,12.450150,12.313790,12.002040,12.169720", \ - "12.150570,12.070430,12.270700,12.346080,12.374660,12.065810,12.202390", \ - "12.215180,12.245370,12.185610,12.436920,12.269270,11.959300,12.109900", \ - "12.225710,12.284200,12.173950,12.428820,11.971690,12.227080,12.062920", \ - "12.201930,12.382740,12.328950,12.580900,12.094520,12.314970,12.345120", \ - "12.972950,12.908710,13.000550,13.001330,12.912130,12.574860,11.178500", \ - "14.308420,14.120490,13.989550,14.103310,13.779900,13.340370,13.193640"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.756149,9.033495,9.426225,9.859207,10.437250,12.431990,15.395670", \ - "8.732021,9.050258,9.393880,9.836016,10.403130,12.412730,15.349390", \ - "8.995142,9.332046,9.630531,10.012770,10.561130,12.665220,15.532020", \ - "10.592970,10.801130,10.909420,11.212990,11.664130,13.753190,16.414150", \ - "12.997480,13.143510,13.090830,13.260390,13.726580,15.558340,18.184500", \ - "16.756410,16.867610,16.728230,16.577810,16.723490,18.337080,21.297710", \ - "21.549950,21.669040,21.488970,21.124140,21.134080,22.365000,25.813770"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.246500,12.254760,12.194970,12.446730,12.018350,12.195390,12.176390", \ - "12.231620,12.119770,12.267250,12.514050,11.967910,12.258540,12.251340", \ - "12.232510,12.242110,12.182230,12.433400,12.454280,12.153340,12.108960", \ - "12.224650,12.231790,12.170680,12.425660,12.086660,11.093760,11.682630", \ - "12.201540,12.379030,12.324920,12.577180,12.174590,11.969190,12.191850", \ - "12.969700,12.901200,12.935140,12.997160,12.507190,12.771190,12.830690", \ - "14.303960,14.116600,14.009710,14.086400,13.974940,13.543570,13.202040"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.106194,8.811709,8.850506,9.142918,10.594460,12.623800,12.975410", \ - "9.090673,8.886587,8.863931,9.152140,10.581240,12.597140,12.637130", \ - "9.301801,9.023361,8.960190,9.199168,10.674370,12.859920,12.971110", \ - "10.384470,9.945899,9.845670,9.956694,11.503160,13.307810,13.403770", \ - "12.117850,11.554690,11.353210,11.293350,12.356060,14.434700,14.401880", \ - "14.523090,13.984330,13.497160,13.273900,14.061440,16.203390,16.135860", \ - "17.735930,17.169000,16.573700,16.031520,16.306660,18.878090,18.908300"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.106224,8.811685,8.847154,9.142885,10.594420,12.623710,12.757310", \ - "9.090655,8.886567,8.863901,9.152111,10.581190,12.597050,12.636810", \ - "9.301775,9.028665,8.960197,9.295893,10.674340,12.859830,12.970940", \ - "10.384450,9.945870,9.845647,9.956657,11.502980,13.307690,13.403630", \ - "12.117840,11.554670,11.353180,11.293320,12.356020,14.434640,14.490800", \ - "14.523080,13.984320,13.497070,13.273880,14.061400,16.203330,16.135780", \ - "17.735930,17.169000,16.573710,16.031510,16.306640,18.878050,18.908180"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.106252,8.811713,8.889050,9.142955,10.594510,12.674660,12.755330", \ - "9.090669,8.886596,8.863946,9.152178,10.581290,12.597300,12.637140", \ - "9.301799,9.028703,8.960229,9.295968,10.674440,12.860080,12.971310", \ - "10.385720,9.945905,9.845689,9.956726,11.503050,13.307940,13.403980", \ - "12.117850,11.554690,11.353210,11.293370,12.356090,14.434840,14.402100", \ - "14.523060,13.984310,13.497130,13.273900,14.061440,16.203490,16.136050", \ - "17.735870,17.168940,16.573650,16.031490,16.306640,18.878120,18.908450"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.138839,8.812880,8.772245,9.143536,10.595230,12.682100,12.988070", \ - "9.091026,8.886968,8.862180,9.152774,10.582040,12.592190,12.859110", \ - "9.302127,9.023677,9.002154,9.199836,10.674250,12.862480,12.726940", \ - "10.384820,9.946318,9.846199,9.957397,11.504040,13.299520,13.401020", \ - "12.118710,11.555220,11.353820,11.294080,12.356970,14.437290,14.499840", \ - "14.523620,13.984900,13.485990,13.274770,14.062330,16.205650,16.141630", \ - "17.736490,17.169640,16.574590,16.032320,16.307670,18.879830,18.828760"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.756029,9.033383,9.426146,9.859150,10.437210,12.431900,15.395600", \ - "8.731869,9.050152,9.393803,9.835959,10.403090,12.412710,15.349300", \ - "8.994996,9.331940,9.630452,10.043480,10.607190,12.695950,15.531940", \ - "10.592830,10.801020,10.909320,11.212930,11.664070,13.753130,16.414110", \ - "12.997340,13.143400,13.090740,13.260320,13.726530,15.558270,18.184420", \ - "16.756280,16.867510,16.728150,16.577750,16.723420,18.337000,21.297670", \ - "21.549830,21.668950,21.488880,21.124060,21.134010,22.364950,25.813740"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.246410,12.254660,12.194860,12.446640,12.018810,12.195290,12.176290", \ - "12.231260,12.051610,12.267190,12.513900,11.940200,12.258450,12.251250", \ - "12.232420,12.242010,12.182110,12.433310,12.454210,12.153250,12.108860", \ - "12.224560,12.232860,12.170560,12.423930,12.085140,11.095030,12.132850", \ - "12.201430,12.378940,12.324810,12.577590,12.174470,11.969070,12.191750", \ - "12.969610,12.901100,12.935870,12.997080,12.507080,12.771110,12.830630", \ - "14.303860,14.116500,14.009600,14.086310,13.974870,13.543480,13.201950"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.756090,9.033445,9.426189,9.859183,10.437240,12.431960,15.395640", \ - "8.731962,9.050211,9.393846,9.835993,10.403110,12.412700,15.349350", \ - "8.995080,9.337721,9.630495,10.030380,10.607210,12.695990,15.531990", \ - "10.592920,10.801080,10.909380,11.212960,11.664110,13.753180,16.414130", \ - "12.997420,13.143460,13.090800,13.260360,13.726560,15.558310,18.184470", \ - "16.756360,16.867570,16.728200,16.577790,16.723460,18.337070,21.297690", \ - "21.549900,21.669000,21.488940,21.124110,21.134060,22.364990,25.813770"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.246460,12.254720,12.194920,12.446690,12.019040,12.195360,12.176340", \ - "12.231390,12.119730,12.267200,12.513940,11.967940,12.258520,12.193320", \ - "12.232470,12.242060,12.182170,12.433360,12.454260,12.153320,12.108940", \ - "12.222510,12.231750,12.170620,12.423980,12.086620,11.095140,11.682590", \ - "12.201490,12.378990,12.324860,12.577560,12.174550,11.969140,12.191820", \ - "12.969660,12.901150,12.937600,12.997130,12.507150,12.771170,12.830680", \ - "14.303920,14.116550,14.009660,14.086360,13.974920,13.543540,13.202020"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.755431,9.032819,9.425631,9.875958,10.421190,12.442770,15.394920", \ - "8.731317,9.049640,9.393248,9.835332,10.405940,12.379230,15.362960", \ - "8.994482,9.331573,9.629836,10.042800,10.593810,12.702840,15.530820", \ - "10.592220,10.800340,10.908590,11.212090,11.673220,13.700600,16.412940", \ - "12.989160,13.096900,13.088590,13.259370,13.729090,15.556290,18.184590", \ - "16.914400,16.875270,16.722840,16.576660,16.722620,18.329070,21.295710", \ - "21.548650,21.667930,21.481110,21.120220,21.126570,22.373390,25.811500"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.249200,12.257910,12.198550,12.450170,12.313770,12.002000,12.169720", \ - "12.150600,12.121000,12.271160,12.346100,12.374640,12.066040,12.048410", \ - "12.215210,12.245390,12.185630,12.436940,12.269250,11.959260,12.109890", \ - "12.225750,12.284230,12.173960,12.427600,12.061900,12.227060,12.062910", \ - "12.201960,12.382770,12.328970,12.580920,12.094510,12.314950,12.345140", \ - "12.972980,12.908740,13.000580,13.001350,12.912120,12.574830,11.178430", \ - "14.308430,14.120510,13.989580,14.103300,13.779880,13.340340,13.193630"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.756198,9.033537,9.426253,9.859230,10.437280,12.432030,15.395710", \ - "8.732072,9.050297,9.393908,9.836037,10.403150,12.412730,15.349450", \ - "8.995196,9.332085,9.630559,10.030430,10.607240,12.665270,15.532070", \ - "10.593020,10.801170,10.909460,11.213020,11.664150,13.753220,16.414180", \ - "12.997530,13.143540,13.090870,13.260410,13.726600,15.558370,18.184560", \ - "16.756460,16.867650,16.728260,16.577840,16.723520,18.337110,21.297730", \ - "21.550000,21.669080,21.489000,21.124180,21.134120,22.365030,25.813790"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.246540,12.254800,12.195020,12.446760,12.018450,12.195470,12.176440", \ - "12.198950,12.119830,12.267300,12.514000,11.968030,12.258610,12.251380", \ - "12.232550,12.242150,12.182280,12.433430,12.454350,12.153430,12.109010", \ - "12.224460,12.233000,12.170730,12.423870,12.086750,11.095360,11.682700", \ - "12.201590,12.379070,12.324960,12.577700,12.174680,11.969250,12.191900", \ - "12.969740,12.901240,12.935250,12.997200,12.507250,12.771270,12.830730", \ - "14.304000,14.116640,14.009760,14.086440,13.975010,13.543650,13.202100"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.141030,8.812894,8.772245,9.143530,10.595220,12.633550,12.963490", \ - "9.091046,8.887009,8.862396,9.152779,10.581990,12.592470,12.864270", \ - "9.302121,9.023757,9.002606,9.200010,10.675490,12.862710,12.729470", \ - "10.384820,9.946324,9.846236,9.957367,11.504040,13.299740,13.402270", \ - "12.118720,11.555250,11.353830,11.294080,12.356940,14.437530,14.409190", \ - "14.523610,13.984900,13.486140,13.274760,14.062260,16.205810,16.141740", \ - "17.736450,17.169620,16.574600,16.032290,16.307610,18.879940,18.829040"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.137546,8.813096,8.772464,9.143800,10.595570,12.683520,12.969840", \ - "9.091227,8.887209,8.862597,9.153049,10.582340,12.592870,12.864210", \ - "9.302304,9.023958,9.002778,9.200279,10.675640,12.863120,12.729710", \ - "10.386320,9.946530,9.846463,9.957638,11.504380,13.300140,13.402700", \ - "12.118900,11.555450,11.354040,11.294340,12.357260,14.437900,14.409720", \ - "14.523760,13.985070,13.486310,13.274970,14.062550,16.206160,16.142240", \ - "17.736560,17.169730,16.574720,16.032450,16.307840,18.880240,18.829450"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.106167,8.811592,8.888448,9.142795,10.594310,12.675370,12.753850", \ - "9.090564,8.886479,8.863814,9.152021,10.581080,12.597000,12.636440", \ - "9.301686,9.023244,8.960109,9.295807,10.674220,12.859780,12.970930", \ - "10.384350,9.945778,9.845556,9.956561,11.502860,13.307640,13.403590", \ - "12.117750,11.554570,11.353090,11.293220,12.355900,14.434580,14.401720", \ - "14.522980,13.984220,13.496940,13.273780,14.061280,16.203250,16.135730", \ - "17.735820,17.168890,16.573610,16.031400,16.306520,18.877940,18.908130"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("9.138380,8.813067,8.772459,9.143796,10.595570,12.701260,12.763150", \ - "9.091200,8.887158,8.862340,9.153035,10.582380,12.592570,12.858360", \ - "9.302305,9.023944,8.967339,9.200303,10.674270,12.862870,12.727040", \ - "10.385000,9.946518,9.846416,9.957663,11.504250,13.299900,13.401300", \ - "12.118890,11.555410,11.354030,11.294330,12.357290,14.437640,14.500310", \ - "14.523770,13.985060,13.486070,13.274990,14.062610,16.205970,16.142130", \ - "17.736580,17.169750,16.574720,16.032470,16.307890,18.880100,18.829140"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFR_X1 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and drive strength X1 - *******************************************************************************************/ - - cell (SDFFR_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - clear : "!RN"; - } - - area : 6.650000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 355.943747; - - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & !Q & QN"; - value : 283.703750; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & !Q & QN"; - value : 317.371125; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & !Q & QN"; - value : 297.850375; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & !Q & QN"; - value : 351.592250; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & !Q & QN"; - value : 321.676250; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & Q & !QN"; - value : 356.421250; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & !Q & QN"; - value : 355.343625; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & Q & !QN"; - value : 390.089875; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & !Q & QN"; - value : 335.822875; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & Q & !QN"; - value : 370.567875; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & !Q & QN"; - value : 383.153500; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & Q & !QN"; - value : 390.354875; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & !Q & QN"; - value : 346.408875; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & !Q & QN"; - value : 352.598000; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & !Q & QN"; - value : 342.465625; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & !Q & QN"; - value : 359.558500; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & !Q & QN"; - value : 377.970250; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & Q & !QN"; - value : 385.171625; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & !Q & QN"; - value : 384.159375; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & Q & !QN"; - value : 391.360750; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & !Q & QN"; - value : 380.439375; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & Q & !QN"; - value : 415.184375; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & !Q & QN"; - value : 391.119875; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & Q & !QN"; - value : 398.321250; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & !Q & QN"; - value : 273.783375; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & !Q & QN"; - value : 307.448250; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & !Q & QN"; - value : 287.927500; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & !Q & QN"; - value : 330.935625; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & !Q & QN"; - value : 307.133500; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & Q & !QN"; - value : 356.522000; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & !Q & QN"; - value : 340.798375; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & Q & !QN"; - value : 390.186875; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & !Q & QN"; - value : 321.277625; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & Q & !QN"; - value : 370.666125; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & !Q & QN"; - value : 364.293250; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & Q & !QN"; - value : 386.210500; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & !Q & QN"; - value : 325.753500; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & !Q & QN"; - value : 331.943875; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & !Q & QN"; - value : 332.539000; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & !Q & QN"; - value : 338.905750; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & !Q & QN"; - value : 359.111125; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & Q & !QN"; - value : 381.028375; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & !Q & QN"; - value : 365.301500; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & Q & !QN"; - value : 387.218750; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & !Q & QN"; - value : 365.889125; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & Q & !QN"; - value : 415.277625; - } - leakage_power () { - when : "CK & D & RN & SE & SI & !Q & QN"; - value : 372.263375; - } - leakage_power () { - when : "CK & D & RN & SE & SI & Q & !QN"; - value : 394.179375; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.169230; - fall_capacitance : 1.131892; - rise_capacitance : 1.169230; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & !SE"; - sdf_cond : "RN_AND_NEG_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.012545,-0.006254,-0.007573", \ - "-0.009766,-0.002652,-0.002859", \ - "0.105391,0.112306,0.112026"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.008447,-0.004144,-0.007668", \ - "-0.007853,-0.004169,-0.009628", \ - "0.063268,0.066035,0.054473"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & !SE"; - sdf_cond : "RN_AND_NEG_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.044476,0.043045,0.054979", \ - "0.054084,0.052424,0.064246", \ - "0.083575,0.080817,0.092165"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.039543,0.032481,0.033140", \ - "0.043264,0.036158,0.036455", \ - "0.041441,0.034535,0.034849"); - } - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.656761,6.626288,6.627267,7.023401,8.052698,9.746987,12.113800"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.358481,5.302528,5.308449,5.667986,6.648064,8.299735,10.652870"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.655574,6.624986,6.626816,7.021811,8.050946,9.744998,12.111640"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.323001,5.267096,5.273211,5.634224,6.612954,8.266971,10.617190"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960075,0.926325,0.907704,0.903104,0.899497,0.900072,0.898698"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.802621,-0.809266,-0.813327,-0.818083,-0.818799,-0.822999,-0.821146"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.865003,0.877416,0.877586,0.878450,0.877566,0.878583,0.876799"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.529764,-0.632492,-0.698947,-0.726830,-0.739286,-0.750213,-0.752882"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.793763,8.762611,8.763400,9.159554,10.188500,11.887020,14.257550"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.923359,5.867735,5.873960,6.235239,7.213862,8.870812,11.224010"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.751897,8.720911,8.721353,9.115652,10.146740,11.841170,14.213390"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.967927,5.912073,5.918124,6.280562,7.260076,8.918955,11.272950"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.792512,8.761288,8.762108,9.158133,10.187300,11.885210,14.255560"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.944867,5.889330,5.895400,6.256774,7.234623,8.891912,11.245390"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.747128,8.716598,8.718049,9.111280,10.141410,11.836480,14.208380"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.968281,5.912526,5.918451,6.280912,7.260270,8.918031,11.273100"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.959401,0.925714,0.907019,0.902463,0.898841,0.899476,0.898067"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.804381,-0.811089,-0.815061,-0.818153,-0.820533,-0.821866,-0.822878"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.959938,0.926302,0.907432,0.902974,0.899294,0.900097,0.898614"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803214,-0.810179,-0.813840,-0.816838,-0.819178,-0.820828,-0.821614"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864594,0.877033,0.877172,0.878049,0.877159,0.878198,0.876401"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530727,-0.633477,-0.699904,-0.726225,-0.740241,-0.748490,-0.753838"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864362,0.876846,0.876936,0.877837,0.876935,0.878014,0.876190"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531075,-0.633869,-0.700237,-0.726559,-0.740578,-0.748829,-0.754183"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.272159,4.241923,4.245986,4.627511,5.624544,7.255520,9.527501"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.967857,1.912736,1.921218,2.281279,3.233307,4.826620,7.071383"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.268034,4.237629,4.241173,4.622992,5.620020,7.250855,9.522034"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.968133,1.913173,1.921470,2.281612,3.233606,4.826287,7.071522"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.959407,0.925288,0.906947,0.900719,0.898666,0.896459,0.897850"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.804710,-0.811184,-0.815524,-0.818526,-0.820864,-0.822518,-0.823290"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864336,0.876403,0.876752,0.876238,0.876731,0.875232,0.875891"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531768,-0.634299,-0.701012,-0.727407,-0.741264,-0.749673,-0.755015"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.269537,4.238710,4.242446,4.624302,5.621629,7.252548,9.524077"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.033365,1.978334,1.986693,2.347362,3.300095,4.893376,7.137593"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.162961,4.131492,4.135148,4.521230,5.520744,7.156277,9.427834"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.018243,1.962796,1.971553,2.329800,3.279313,4.871255,7.115735"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.268341,4.237405,4.241090,4.622972,5.620103,7.250898,9.522110"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.054870,1.999910,2.008049,2.368842,3.321269,4.914398,7.158986"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.158800,4.127772,4.131483,4.518257,5.516803,7.152368,9.423426"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.019536,1.964461,1.973055,2.331472,3.280875,4.872507,7.117104"); - } - - } - - internal_power () { - - when : "CK & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.959285,0.925371,0.906919,0.900719,0.898682,0.896491,0.897846"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.804621,-0.811109,-0.815397,-0.818491,-0.820872,-0.822205,-0.823218"); - } - - } - - internal_power () { - - when : "CK & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960365,0.926620,0.907890,0.903361,0.899714,0.900405,0.899000"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.802738,-0.809586,-0.813421,-0.816418,-0.818751,-0.820402,-0.821168"); - } - - } - - internal_power () { - - when : "CK & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864263,0.876439,0.876847,0.876221,0.876752,0.875239,0.875923"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531701,-0.634196,-0.700984,-0.727312,-0.741316,-0.749559,-0.754906"); - } - - } - - internal_power () { - - when : "CK & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.865880,0.878209,0.878450,0.879258,0.878391,0.879331,0.877594"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.527504,-0.630154,-0.696734,-0.724552,-0.737067,-0.747869,-0.750662"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.497279; - fall_capacitance : 1.477132; - rise_capacitance : 1.497279; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.020452,-0.027260,-0.027899", \ - "-0.019018,-0.026155,-0.026465", \ - "-0.027422,-0.034067,-0.034164"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.066239,0.072619,0.073585", \ - "0.106363,0.112928,0.114143", \ - "0.248085,0.254354,0.255601"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.039085,0.059865,0.146386"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.921666,0.894831,0.876780,0.871717,0.867413,0.866604,0.863923"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.800245,-0.804645,-0.804369,-0.807900,-0.808058,-0.811720,-0.808896"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.922499,0.895681,0.877600,0.872589,0.868258,0.867532,0.864744"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.801580,-0.805936,-0.805175,-0.807197,-0.808822,-0.809689,-0.810398"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.921650,0.894776,0.876770,0.871681,0.867389,0.866548,0.863889"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.800287,-0.804651,-0.804423,-0.807924,-0.808115,-0.811722,-0.808953"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.065737,3.044428,3.069947,3.230190,3.566849,4.090923,4.830503"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.195240,-0.203531,-0.199037,-0.129428,0.098792,0.544020,1.233362"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.065804,3.044677,3.069997,3.230347,3.566958,4.091196,4.830664"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.195031,-0.203499,-0.198757,-0.129303,0.099072,0.544028,1.233642"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.065773,3.044558,3.069975,3.230274,3.566909,4.091068,4.830591"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.195134,-0.203515,-0.198892,-0.129363,0.098937,0.544025,1.233508"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.921693,0.894940,0.876803,0.871782,0.867460,0.866726,0.863995"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.800147,-0.804632,-0.804241,-0.807802,-0.807932,-0.811718,-0.808770"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.065694,3.044266,3.069915,3.230088,3.566780,4.090747,4.830401"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.195379,-0.203551,-0.199219,-0.129506,0.098611,0.544016,1.233182"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.832353,0.831155,0.828173,0.827064,0.827292,0.826075,0.827455"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.791529,-0.795648,-0.795080,-0.796814,-0.798175,-0.798158,-0.797641"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.831761,0.830656,0.827579,0.826445,0.826728,0.825460,0.826921"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.792976,-0.797184,-0.796496,-0.798230,-0.799592,-0.799575,-0.799059"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.831449,0.830204,0.827268,0.826173,0.826388,0.825192,0.826541"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.793991,-0.798071,-0.797754,-0.799124,-0.800274,-0.800285,-0.799981"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.830646,0.829428,0.826470,0.825365,0.825584,0.824386,0.825753"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.795721,-0.799815,-0.799278,-0.801011,-0.802376,-0.802357,-0.801843"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.830725,0.829690,0.826531,0.825381,0.825712,0.824396,0.825926"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.795601,-0.799872,-0.799281,-0.800884,-0.801801,-0.801814,-0.801515"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.830764,0.829642,0.826581,0.825451,0.825728,0.824472,0.825923"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.795295,-0.799484,-0.798820,-0.800554,-0.801920,-0.801904,-0.801392"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.831757,0.830637,0.827574,0.826444,0.826726,0.825463,0.826918"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.792982,-0.797182,-0.796504,-0.798239,-0.799603,-0.799586,-0.799071"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.830603,0.829266,0.826368,0.825364,0.825519,0.824386,0.825648"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.795986,-0.799850,-0.799532,-0.801291,-0.802421,-0.802532,-0.802033"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.994334; - fall_capacitance : 1.927151; - rise_capacitance : 1.994334; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.010755,-0.006475,-0.010465", \ - "-0.018366,-0.016630,-0.021396", \ - "0.093681,0.097109,0.085121"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.008340,-0.002049,-0.005597", \ - "-0.010893,-0.004246,-0.009800", \ - "0.068252,0.075285,0.065686"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.044078,0.037765,0.049999", \ - "0.055225,0.048208,0.059750", \ - "0.078590,0.071565,0.081199"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.050903,0.048912,0.060726", \ - "0.053775,0.052106,0.064246", \ - "0.053155,0.049735,0.061761"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.083818,3.087365,3.401021,4.224425,5.717823,7.969228,11.008140"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.099708,-0.127025,0.087398,0.895600,2.419769,4.677063,7.726007"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.705698,7.690401,8.177761,9.621368,12.301790,16.387140,21.970780"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.475116,4.436842,4.754681,6.089841,8.764832,12.874980,18.498320"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.083129,3.086750,3.400335,4.223782,5.717166,7.968635,11.007500"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.101475,-0.128851,0.085658,0.895428,2.418033,4.678022,7.724273"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.080696,3.087498,3.401075,4.222531,5.717617,7.966152,11.008010"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.086972,-0.114879,0.100234,0.909967,2.432564,4.692386,7.738635"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.841622,9.825859,10.313880,11.757060,14.438870,18.530600,24.121740"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.130474,5.092683,5.409758,6.740947,9.406255,13.513230,19.131880"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.795925,9.782343,10.269530,11.710700,14.393130,18.483860,24.076390"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.118827,5.080718,5.398474,6.734833,9.408755,13.526030,19.154780"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.267836,8.280810,8.698943,9.711866,11.417620,13.875870,17.109250"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.660304,5.624171,5.763506,6.547716,8.138649,10.557080,13.909970"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.869991,2.878102,3.201072,4.037601,5.539055,7.794806,10.835760"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.042245,0.019172,0.226859,1.023657,2.544820,4.805107,7.858418"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.898324,8.910740,9.328958,10.342580,12.046630,14.507390,17.742140"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.799842,7.763619,7.903323,8.688146,10.277780,12.699750,16.048350"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.998668,9.012494,9.429762,10.443640,12.150430,14.611930,17.848670"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.838403,7.801132,7.941144,8.726905,10.315400,12.738370,16.085250"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.869497,2.877784,3.200652,4.037191,5.538650,7.794425,10.835360"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.041026,0.018169,0.225892,1.024250,2.543854,4.806818,7.857461"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.869214,2.877596,3.200415,4.036982,5.538430,7.794241,10.835150"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.040676,0.017772,0.225564,1.023910,2.543518,4.806478,7.857116"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.081199,3.087613,3.401117,4.223368,5.717787,7.966857,11.008270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.086344,-0.112847,0.101553,0.910854,2.435533,4.695412,7.739375"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.325624,5.311940,5.804098,7.226927,9.856618,13.845680,19.276730"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.118018,1.080429,1.401374,2.720397,5.330173,9.314097,14.736670"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.082979,3.086285,3.400035,4.222298,5.716993,7.965820,11.007240"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.101887,-0.128985,0.085196,0.894974,2.417607,4.677636,7.723923"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.080556,3.087076,3.400581,4.224691,5.717093,7.969022,11.007770"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.088220,-0.115279,0.100066,0.909331,2.433804,4.693668,7.738242"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.325719,5.312578,5.804284,7.226237,9.855900,13.845780,19.276250"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.239252,1.202361,1.522024,2.836148,5.432559,9.404601,14.818580"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.211799,5.197591,5.690936,7.120023,9.753385,13.751000,19.181210"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.166555,1.128346,1.449234,2.766969,5.375892,9.359890,14.783310"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.002182,5.014547,5.432195,6.444190,8.151610,10.603460,13.830800"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.127552,3.104798,3.296283,4.125566,5.726624,8.150178,11.487810"); - } - - } - - internal_power () { - - when : "CK & D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.869396,2.877547,3.200683,4.035302,5.538165,7.791712,10.835130"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.196068,-0.218809,-0.009258,0.792597,2.313076,4.574433,7.622095"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.001963,5.013928,5.432293,6.443769,8.151691,10.603750,13.831610"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.281847,3.245590,3.384747,4.180487,5.768670,8.189268,11.530070"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.050967,5.063661,5.481181,6.495191,8.201493,10.656970,13.883550"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.183799,3.146898,3.285651,4.078305,5.669322,8.084597,11.428620"); - } - - } - - internal_power () { - - when : "CK & D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.869113,2.877193,3.200195,4.035507,5.538020,7.791365,10.834850"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.040213,0.017471,0.225064,1.023299,2.542863,4.805749,7.856520"); - } - - } - - internal_power () { - - when : "CK & D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.870706,2.878740,3.201798,4.038555,5.539659,7.795449,10.836530"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.044438,0.021509,0.229315,1.026226,2.547067,4.807449,7.860747"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.893871; - fall_capacitance : 0.867049; - rise_capacitance : 0.893871; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SE"; - sdf_cond : "RN_AND_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.014186,-0.007982,-0.008691", \ - "-0.012485,-0.005165,-0.005689", \ - "0.100861,0.107677,0.107951"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.008987,-0.004794,-0.009006", \ - "-0.007986,-0.004653,-0.010715", \ - "0.057821,0.060006,0.048991"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SE"; - sdf_cond : "RN_AND_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.048493,0.046859,0.058810", \ - "0.058412,0.056571,0.068333", \ - "0.089023,0.086848,0.097648"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.041410,0.034161,0.034609", \ - "0.045983,0.038671,0.039285", \ - "0.045971,0.039164,0.038925"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723371,0.699648,0.685676,0.682749,0.679943,0.680666,0.678945"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.611999,-0.617756,-0.617876,-0.620958,-0.620212,-0.623530,-0.620678"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.628328,6.609842,6.605895,6.878285,7.617724,8.866985,10.640860"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.749876,4.710270,4.714192,4.957230,5.659593,6.881626,8.652913"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.722696,0.699034,0.684991,0.682106,0.679286,0.680071,0.678312"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613756,-0.619572,-0.619608,-0.621015,-0.621946,-0.622379,-0.622411"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723090,0.699818,0.685361,0.680771,0.679737,0.677402,0.678831"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612545,-0.618557,-0.618409,-0.619729,-0.620657,-0.620854,-0.621199"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.766238,8.747443,8.742905,9.016563,9.756572,11.009430,12.792090"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.316454,5.276978,5.280795,5.525642,6.225100,7.453530,9.224796"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.724178,8.705752,8.702006,8.974510,9.713802,10.968300,12.749700"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.360331,5.320532,5.324634,5.569619,6.269760,7.500687,9.273006"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634125,0.645773,0.645206,0.646743,0.645833,0.647367,0.645799"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.392721,-0.469911,-0.517845,-0.539117,-0.547278,-0.555951,-0.556410"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.626617,6.607664,6.604050,6.876267,7.615345,8.864377,10.637740"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.772097,4.732873,4.736359,4.979566,5.681785,6.903676,8.675053"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633745,0.645402,0.644806,0.646348,0.645428,0.646983,0.645401"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393683,-0.470904,-0.518807,-0.538333,-0.548236,-0.553911,-0.557367"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633514,0.645217,0.644572,0.646138,0.645205,0.646799,0.645191"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394019,-0.471285,-0.519129,-0.538656,-0.548562,-0.554239,-0.557699"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.763391,8.745646,8.741167,9.014547,9.754386,11.006870,12.784190"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.338110,5.299323,5.302957,5.548188,6.247275,7.475594,9.246947"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.716797,8.699776,8.695943,8.968415,9.707574,10.961660,12.737980"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.413373,5.374125,5.378124,5.623093,6.322705,7.552708,9.326780"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723662,0.699911,0.685977,0.681527,0.680199,0.678155,0.679154"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.611453,-0.616973,-0.617495,-0.618819,-0.619747,-0.619943,-0.620283"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.220100,4.201415,4.199781,4.453989,5.152318,6.330132,8.003533"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.428206,1.389313,1.394367,1.634483,2.301292,3.452609,5.099702"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.722592,0.698709,0.684910,0.680361,0.679137,0.677078,0.678101"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.614010,-0.619594,-0.619947,-0.621352,-0.622292,-0.622726,-0.622758"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.722974,0.699584,0.685262,0.682523,0.679595,0.680470,0.678658"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613315,-0.619205,-0.619231,-0.620548,-0.621473,-0.621666,-0.622007"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.216096,4.197752,4.195830,4.450083,5.148694,6.326206,7.994321"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.428244,1.389305,1.394688,1.634270,2.300969,3.452680,5.099774"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.115372,4.096920,4.094843,4.351515,5.053507,6.235714,7.902887"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.410743,1.371874,1.377124,1.615641,2.280539,3.430724,5.078138"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633405,0.644719,0.644475,0.644347,0.645012,0.643720,0.644900"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394706,-0.470893,-0.519557,-0.539104,-0.549071,-0.554870,-0.558186"); - } - - } - - internal_power () { - - when : "CK & D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.214247,4.195443,4.193956,4.448156,5.146182,6.323815,7.991617"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.363440,1.324864,1.329705,1.569395,2.235466,3.386702,5.033677"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633395,0.644797,0.644477,0.644332,0.645019,0.643704,0.644921"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394659,-0.471621,-0.519876,-0.539397,-0.549295,-0.554967,-0.558422"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633804,0.645342,0.644867,0.646334,0.645444,0.646900,0.645387"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393845,-0.470957,-0.519015,-0.540202,-0.548430,-0.556965,-0.557554"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.214235,4.195726,4.194135,4.448133,5.146531,6.323965,7.991573"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.450446,1.411590,1.416763,1.657129,2.323059,3.474803,5.122244"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.109199,4.090504,4.088456,4.343445,5.046579,6.225669,7.895442"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.462816,1.424062,1.429128,1.667937,2.333064,3.483286,5.130843"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 1.044853; - fall_capacitance : 0.970429; - rise_capacitance : 1.044853; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.032371,0.042690,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.035728,0.035943,0.146386"); - } - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.668720,5.657627,5.903431,6.713754,8.188402,10.510010,13.785960"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.891192,3.870967,4.054117,4.775854,6.301198,8.720384,12.075420"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.664313,5.653584,5.899389,6.708522,8.183863,10.505290,13.781000"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.177515,4.158830,4.339419,5.061930,6.590348,9.008515,12.359080"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.668576,5.657458,5.903383,6.713610,8.188320,10.509950,13.785910"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.891227,3.871043,4.054126,4.775921,6.301168,8.720417,12.075420"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.551425,8.540548,8.794078,9.626706,11.126100,13.479490,16.781600"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("19.730090,19.711620,19.925410,20.733270,22.387970,25.014320,28.646480"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.682692,5.671685,5.917102,6.727400,8.202029,10.526460,13.801230"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.908878,3.888344,4.071898,4.794741,6.318144,8.741895,12.094890"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.700887,9.678194,9.936973,10.777410,12.307520,14.716310,18.134360"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.682731,5.671368,5.917130,6.727484,8.202091,10.526600,13.801310"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.908983,3.888373,4.072038,4.794883,6.318288,8.742039,12.095030"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.234910,10.211570,10.470520,11.309680,12.836920,15.248650,18.653490"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.682536,5.671540,5.917233,6.727292,8.201973,10.526400,13.801180"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.908914,3.888416,4.071913,4.794757,6.318104,8.741875,12.094890"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.235540,10.213230,10.472010,11.311160,12.838420,15.250160,18.655000"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.267036,9.246523,9.501590,10.328500,11.822230,14.165020,17.465990"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.719501,5.708377,5.960417,6.770460,8.252336,10.585980,13.869450"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.753512,3.733556,3.916907,4.646677,6.181655,8.606228,11.958360"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.551579,8.540850,8.793985,9.626892,11.126240,13.479800,16.781970"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("19.729370,19.711560,19.925710,20.732130,22.388230,25.014260,28.647320"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.551548,8.540760,8.793934,9.626538,11.126200,13.479680,16.781770"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("19.730020,19.711520,19.925570,20.731480,22.388110,25.014260,28.647190"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.668633,5.657632,5.903343,6.713792,8.188405,10.510140,13.786030"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.891364,3.871055,4.054302,4.775992,6.301355,8.720416,12.075600"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.551382,8.540382,8.794080,9.626020,11.126030,13.479320,16.781940"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("19.729950,19.711590,19.925210,20.732230,22.387790,25.014310,28.646280"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.268016,9.246430,9.501912,10.328810,11.822280,14.165330,17.466300"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.719577,5.708635,5.960477,6.770678,8.252464,10.586260,13.869610"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.754395,3.733581,3.917203,4.646964,6.181932,8.606503,11.958640"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.267787,9.245986,9.501804,10.328680,11.822150,14.165200,17.466170"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.719525,5.708498,5.960472,6.770534,8.252396,10.586660,13.869520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.754296,3.733572,3.917072,4.646832,6.181803,8.604962,11.958510"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.682595,5.671718,5.917050,6.727410,8.202059,10.526600,13.801300"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.909050,3.888430,4.072099,4.794949,6.318292,8.742062,12.095070"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.726768,9.704353,9.962662,10.803270,12.333820,14.741120,18.161420"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.266926,9.246498,9.501093,10.328320,11.822050,14.164840,17.465810"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.719465,5.708223,5.960394,6.770648,8.252273,10.585810,13.869350"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.753367,3.733531,3.916717,4.646490,6.181468,8.606042,11.958180"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.424800; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.035991,0.039256,0.042609,0.048296,0.057956,0.074563,0.104837", \ - "0.036739,0.040005,0.043357,0.049043,0.058703,0.075309,0.105579", \ - "0.039367,0.042633,0.045983,0.051668,0.061328,0.077935,0.108212", \ - "0.042076,0.045340,0.048685,0.054362,0.064020,0.080625,0.110898", \ - "0.043987,0.047244,0.050593,0.056269,0.065921,0.082530,0.112805", \ - "0.044828,0.048092,0.051440,0.057116,0.066776,0.083396,0.113667", \ - "0.044219,0.047503,0.050867,0.056550,0.066222,0.082859,0.113148"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.042109,0.045179,0.048528,0.054533,0.065475,0.086243,0.127217", \ - "0.042869,0.045936,0.049287,0.055290,0.066232,0.087002,0.127974", \ - "0.045677,0.048748,0.052104,0.058109,0.069049,0.089811,0.130784", \ - "0.048502,0.051572,0.054923,0.060927,0.071865,0.092635,0.133602", \ - "0.050350,0.053420,0.056768,0.062771,0.073714,0.094481,0.135450", \ - "0.050776,0.053841,0.057188,0.063187,0.074131,0.094895,0.135860", \ - "0.049484,0.052547,0.055891,0.061889,0.072828,0.093587,0.134566"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006248,0.007701,0.009304,0.012340,0.018181,0.029543,0.053591", \ - "0.006247,0.007704,0.009306,0.012342,0.018181,0.029549,0.053579", \ - "0.006246,0.007703,0.009307,0.012344,0.018183,0.029549,0.053587", \ - "0.006256,0.007711,0.009316,0.012354,0.018192,0.029551,0.053582", \ - "0.006299,0.007761,0.009366,0.012397,0.018222,0.029576,0.053597", \ - "0.006462,0.007922,0.009517,0.012528,0.018329,0.029646,0.053611", \ - "0.006774,0.008213,0.009777,0.012746,0.018498,0.029761,0.053675"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004499,0.006422,0.008742,0.013189,0.022117,0.040546,0.078536", \ - "0.004498,0.006423,0.008743,0.013192,0.022118,0.040546,0.078533", \ - "0.004498,0.006421,0.008746,0.013186,0.022117,0.040542,0.078530", \ - "0.004497,0.006423,0.008747,0.013188,0.022123,0.040542,0.078537", \ - "0.004502,0.006423,0.008748,0.013191,0.022122,0.040544,0.078536", \ - "0.004500,0.006422,0.008745,0.013195,0.022124,0.040548,0.078527", \ - "0.004497,0.006423,0.008745,0.013190,0.022126,0.040548,0.078538"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.035394,0.038729,0.042144,0.047895,0.057425,0.073519,0.103307", \ - "0.036345,0.039683,0.043095,0.048845,0.058376,0.074470,0.104255", \ - "0.039207,0.042542,0.045958,0.051707,0.061236,0.077333,0.107119", \ - "0.044623,0.047933,0.051320,0.057037,0.066531,0.082601,0.112375", \ - "0.052796,0.056228,0.059725,0.065552,0.075095,0.091137,0.120828", \ - "0.063356,0.066913,0.070537,0.076522,0.086167,0.102240,0.131871", \ - "0.076221,0.079904,0.083647,0.089770,0.099468,0.115510,0.145028"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006818,0.008250,0.009810,0.012647,0.017941,0.028803,0.053022", \ - "0.006815,0.008253,0.009808,0.012647,0.017942,0.028807,0.053019", \ - "0.006823,0.008246,0.009811,0.012646,0.017942,0.028803,0.053016", \ - "0.006873,0.008304,0.009864,0.012697,0.017983,0.028823,0.053022", \ - "0.007756,0.009165,0.010667,0.013324,0.018352,0.028970,0.053054", \ - "0.008750,0.010168,0.011660,0.014186,0.018943,0.029280,0.053145", \ - "0.009946,0.011348,0.012774,0.015109,0.019539,0.029568,0.053242"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.035394,0.038729,0.042144,0.047895,0.057425,0.073519,0.103307", \ - "0.036345,0.039680,0.043095,0.048845,0.058376,0.074470,0.104255", \ - "0.039207,0.042542,0.045958,0.051707,0.061236,0.077333,0.107119", \ - "0.044623,0.047932,0.051320,0.057037,0.066531,0.082600,0.112375", \ - "0.052796,0.056228,0.059725,0.065552,0.075095,0.091137,0.120828", \ - "0.063356,0.066913,0.070537,0.076522,0.086167,0.102240,0.131871", \ - "0.076221,0.079904,0.083647,0.089770,0.099468,0.115510,0.145028"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006818,0.008250,0.009810,0.012647,0.017941,0.028803,0.053022", \ - "0.006815,0.008251,0.009808,0.012647,0.017942,0.028807,0.053019", \ - "0.006823,0.008246,0.009811,0.012646,0.017942,0.028803,0.053016", \ - "0.006873,0.008304,0.009864,0.012697,0.017983,0.028823,0.053022", \ - "0.007756,0.009165,0.010667,0.013324,0.018352,0.028970,0.053054", \ - "0.008750,0.010168,0.011660,0.014186,0.018943,0.029280,0.053145", \ - "0.009946,0.011348,0.012774,0.015109,0.019539,0.029568,0.053242"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.035394,0.038728,0.042144,0.047895,0.057424,0.073519,0.103307", \ - "0.036345,0.039680,0.043094,0.048845,0.058376,0.074470,0.104255", \ - "0.039207,0.042542,0.045958,0.051707,0.061236,0.077332,0.107119", \ - "0.044623,0.047932,0.051320,0.057037,0.066531,0.082600,0.112375", \ - "0.052796,0.056229,0.059725,0.065552,0.075095,0.091137,0.120828", \ - "0.063356,0.066913,0.070537,0.076522,0.086167,0.102240,0.131870", \ - "0.076221,0.079904,0.083647,0.089770,0.099468,0.115510,0.145027"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006818,0.008250,0.009809,0.012647,0.017941,0.028803,0.053024", \ - "0.006815,0.008252,0.009808,0.012647,0.017942,0.028807,0.053019", \ - "0.006823,0.008246,0.009811,0.012646,0.017942,0.028803,0.053016", \ - "0.006873,0.008309,0.009864,0.012697,0.017983,0.028823,0.053022", \ - "0.007756,0.009165,0.010667,0.013324,0.018352,0.028970,0.053054", \ - "0.008750,0.010168,0.011660,0.014186,0.018943,0.029280,0.053145", \ - "0.009946,0.011348,0.012773,0.015109,0.019539,0.029567,0.053242"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.035383,0.038720,0.042132,0.047884,0.057414,0.073507,0.103289", \ - "0.036334,0.039672,0.043085,0.048835,0.058365,0.074459,0.104239", \ - "0.039198,0.042530,0.045945,0.051694,0.061223,0.077317,0.107103", \ - "0.044608,0.047922,0.051308,0.057021,0.066516,0.082582,0.112352", \ - "0.052788,0.056219,0.059718,0.065544,0.075084,0.091124,0.120808", \ - "0.063360,0.066914,0.070540,0.076525,0.086160,0.102236,0.131860", \ - "0.076222,0.079905,0.083647,0.089774,0.099468,0.115508,0.145025"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006817,0.008245,0.009806,0.012644,0.017937,0.028794,0.053008", \ - "0.006813,0.008251,0.009806,0.012644,0.017937,0.028798,0.053004", \ - "0.006825,0.008247,0.009808,0.012644,0.017939,0.028800,0.053010", \ - "0.006871,0.008302,0.009863,0.012694,0.017977,0.028819,0.053007", \ - "0.007756,0.009156,0.010661,0.013319,0.018349,0.028963,0.053038", \ - "0.008743,0.010162,0.011656,0.014179,0.018939,0.029275,0.053138", \ - "0.009938,0.011342,0.012770,0.015101,0.019538,0.029568,0.053229"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.035383,0.038720,0.042132,0.047884,0.057415,0.073507,0.103291", \ - "0.036335,0.039672,0.043085,0.048836,0.058366,0.074459,0.104239", \ - "0.039199,0.042529,0.045945,0.051695,0.061224,0.077318,0.107103", \ - "0.044608,0.047922,0.051308,0.057021,0.066516,0.082582,0.112352", \ - "0.052788,0.056221,0.059717,0.065544,0.075084,0.091124,0.120808", \ - "0.063360,0.066914,0.070540,0.076525,0.086160,0.102236,0.131860", \ - "0.076219,0.079905,0.083649,0.089769,0.099468,0.115508,0.145025"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006817,0.008245,0.009806,0.012644,0.017937,0.028794,0.053010", \ - "0.006813,0.008251,0.009806,0.012644,0.017937,0.028798,0.053004", \ - "0.006825,0.008247,0.009808,0.012644,0.017939,0.028800,0.053010", \ - "0.006871,0.008302,0.009861,0.012694,0.017977,0.028819,0.053007", \ - "0.007756,0.009158,0.010662,0.013319,0.018349,0.028963,0.053038", \ - "0.008743,0.010162,0.011656,0.014179,0.018939,0.029275,0.053138", \ - "0.009948,0.011343,0.012771,0.015101,0.019538,0.029568,0.053229"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.035383,0.038720,0.042132,0.047884,0.057414,0.073507,0.103289", \ - "0.036335,0.039672,0.043085,0.048835,0.058366,0.074459,0.104239", \ - "0.039198,0.042529,0.045945,0.051695,0.061224,0.077317,0.107103", \ - "0.044608,0.047923,0.051309,0.057020,0.066515,0.082582,0.112352", \ - "0.052788,0.056220,0.059718,0.065544,0.075084,0.091124,0.120808", \ - "0.063360,0.066913,0.070540,0.076525,0.086160,0.102236,0.131860", \ - "0.076219,0.079905,0.083649,0.089769,0.099468,0.115508,0.145025"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006817,0.008245,0.009806,0.012644,0.017937,0.028798,0.053008", \ - "0.006813,0.008251,0.009806,0.012644,0.017937,0.028798,0.053004", \ - "0.006825,0.008247,0.009808,0.012644,0.017939,0.028800,0.053010", \ - "0.006871,0.008302,0.009863,0.012694,0.017977,0.028820,0.053007", \ - "0.007756,0.009160,0.010661,0.013319,0.018349,0.028965,0.053038", \ - "0.008744,0.010162,0.011653,0.014179,0.018939,0.029275,0.053138", \ - "0.009948,0.011343,0.012771,0.015101,0.019538,0.029568,0.053229"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.035395,0.038729,0.042144,0.047895,0.057425,0.073519,0.103307", \ - "0.036346,0.039682,0.043095,0.048846,0.058376,0.074470,0.104255", \ - "0.039208,0.042543,0.045958,0.051707,0.061236,0.077333,0.107119", \ - "0.044623,0.047933,0.051320,0.057038,0.066532,0.082600,0.112375", \ - "0.052796,0.056227,0.059724,0.065552,0.075096,0.091137,0.120828", \ - "0.063356,0.066913,0.070537,0.076522,0.086167,0.102240,0.131870", \ - "0.076221,0.079904,0.083647,0.089770,0.099468,0.115510,0.145028"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006817,0.008250,0.009809,0.012647,0.017941,0.028805,0.053024", \ - "0.006815,0.008253,0.009808,0.012647,0.017942,0.028807,0.053019", \ - "0.006823,0.008246,0.009811,0.012646,0.017942,0.028803,0.053016", \ - "0.006873,0.008304,0.009864,0.012697,0.017983,0.028823,0.053022", \ - "0.007756,0.009165,0.010667,0.013325,0.018353,0.028967,0.053054", \ - "0.008749,0.010168,0.011660,0.014186,0.018943,0.029280,0.053145", \ - "0.009946,0.011348,0.012774,0.015109,0.019539,0.029567,0.053242"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.035383,0.038720,0.042132,0.047884,0.057414,0.073507,0.103289", \ - "0.036334,0.039672,0.043085,0.048835,0.058365,0.074459,0.104239", \ - "0.039198,0.042530,0.045945,0.051694,0.061223,0.077317,0.107103", \ - "0.044608,0.047922,0.051307,0.057021,0.066516,0.082582,0.112352", \ - "0.052788,0.056219,0.059718,0.065544,0.075084,0.091124,0.120808", \ - "0.063360,0.066913,0.070540,0.076525,0.086160,0.102236,0.131860", \ - "0.076219,0.079905,0.083649,0.089769,0.099468,0.115508,0.145025"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006817,0.008245,0.009806,0.012644,0.017937,0.028798,0.053008", \ - "0.006813,0.008251,0.009806,0.012644,0.017937,0.028798,0.053004", \ - "0.006825,0.008247,0.009808,0.012644,0.017939,0.028800,0.053010", \ - "0.006871,0.008302,0.009861,0.012694,0.017977,0.028819,0.053007", \ - "0.007756,0.009156,0.010661,0.013319,0.018349,0.028963,0.053038", \ - "0.008743,0.010162,0.011653,0.014179,0.018939,0.029275,0.053138", \ - "0.009948,0.011343,0.012771,0.015101,0.019538,0.029568,0.053229"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.085001,0.088281,0.091650,0.097414,0.107377,0.124399,0.154888", \ - "0.085839,0.089114,0.092473,0.098204,0.108113,0.125105,0.155586", \ - "0.089812,0.093084,0.096443,0.102158,0.112034,0.128998,0.159467", \ - "0.098144,0.101415,0.104772,0.110484,0.120348,0.137304,0.167778", \ - "0.109407,0.112689,0.116042,0.121749,0.131594,0.148543,0.179017", \ - "0.122442,0.125718,0.129074,0.134781,0.144676,0.161554,0.192039", \ - "0.137621,0.140895,0.144250,0.149947,0.159728,0.176518,0.206933"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006522,0.007990,0.009621,0.012797,0.018894,0.030143,0.053832", \ - "0.006517,0.007971,0.009576,0.012715,0.018808,0.030103,0.053821", \ - "0.006512,0.007958,0.009558,0.012674,0.018753,0.030071,0.053815", \ - "0.006519,0.007956,0.009557,0.012659,0.018732,0.030057,0.053816", \ - "0.006518,0.007958,0.009556,0.012653,0.018722,0.030050,0.053809", \ - "0.006513,0.007961,0.009557,0.012651,0.018717,0.030050,0.053808", \ - "0.006519,0.007960,0.009549,0.012610,0.018579,0.029912,0.053767"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.085001,0.088281,0.091650,0.097415,0.107377,0.124407,0.154889", \ - "0.085839,0.089114,0.092474,0.098204,0.108113,0.125106,0.155587", \ - "0.089812,0.093084,0.096443,0.102158,0.112034,0.128998,0.159467", \ - "0.098144,0.101415,0.104772,0.110484,0.120348,0.137304,0.167778", \ - "0.109407,0.112689,0.116042,0.121749,0.131594,0.148543,0.179017", \ - "0.122442,0.125718,0.129074,0.134781,0.144676,0.161554,0.192039", \ - "0.137621,0.140895,0.144250,0.149947,0.159728,0.176518,0.206933"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006522,0.007990,0.009621,0.012797,0.018894,0.030140,0.053834", \ - "0.006517,0.007971,0.009576,0.012715,0.018807,0.030103,0.053821", \ - "0.006512,0.007958,0.009558,0.012674,0.018753,0.030070,0.053815", \ - "0.006519,0.007956,0.009557,0.012659,0.018732,0.030057,0.053816", \ - "0.006518,0.007957,0.009556,0.012653,0.018722,0.030050,0.053809", \ - "0.006513,0.007961,0.009557,0.012651,0.018717,0.030050,0.053808", \ - "0.006519,0.007960,0.009549,0.012610,0.018579,0.029912,0.053767"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.084999,0.088278,0.091647,0.097412,0.107374,0.124407,0.154890", \ - "0.085836,0.089110,0.092470,0.098201,0.108111,0.125103,0.155584", \ - "0.089809,0.093083,0.096440,0.102155,0.112031,0.128995,0.159465", \ - "0.098141,0.101413,0.104770,0.110482,0.120345,0.137307,0.167776", \ - "0.109405,0.112687,0.116040,0.121747,0.131592,0.148540,0.179016", \ - "0.122440,0.125715,0.129072,0.134779,0.144674,0.161552,0.192037", \ - "0.137618,0.140893,0.144248,0.149945,0.159726,0.176516,0.206931"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006522,0.007987,0.009619,0.012796,0.018893,0.030143,0.053839", \ - "0.006514,0.007971,0.009579,0.012714,0.018808,0.030102,0.053821", \ - "0.006512,0.007959,0.009558,0.012673,0.018752,0.030071,0.053814", \ - "0.006518,0.007956,0.009554,0.012659,0.018732,0.030057,0.053816", \ - "0.006518,0.007958,0.009556,0.012653,0.018722,0.030049,0.053811", \ - "0.006512,0.007961,0.009557,0.012651,0.018717,0.030050,0.053808", \ - "0.006518,0.007960,0.009549,0.012609,0.018579,0.029911,0.053767"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.084987,0.088267,0.091637,0.097404,0.107363,0.124382,0.154875", \ - "0.085827,0.089100,0.092458,0.098190,0.108100,0.125091,0.155573", \ - "0.089799,0.093073,0.096430,0.102145,0.112020,0.128984,0.159454", \ - "0.098132,0.101404,0.104761,0.110472,0.120335,0.137291,0.167762", \ - "0.109405,0.112678,0.116028,0.121737,0.131582,0.148532,0.179004", \ - "0.122435,0.125707,0.129067,0.134773,0.144664,0.161542,0.192027", \ - "0.137612,0.140885,0.144241,0.149938,0.159716,0.176507,0.206923"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006521,0.007983,0.009617,0.012794,0.018892,0.030137,0.053831", \ - "0.006515,0.007964,0.009574,0.012712,0.018805,0.030101,0.053820", \ - "0.006509,0.007955,0.009555,0.012671,0.018750,0.030069,0.053813", \ - "0.006517,0.007954,0.009552,0.012656,0.018730,0.030055,0.053815", \ - "0.006513,0.007955,0.009551,0.012650,0.018720,0.030049,0.053808", \ - "0.006511,0.007958,0.009555,0.012649,0.018714,0.030048,0.053806", \ - "0.006517,0.007962,0.009547,0.012608,0.018578,0.029911,0.053766"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.084987,0.088267,0.091637,0.097404,0.107363,0.124380,0.154875", \ - "0.085827,0.089100,0.092458,0.098190,0.108100,0.125091,0.155573", \ - "0.089799,0.093073,0.096430,0.102145,0.112020,0.128984,0.159454", \ - "0.098132,0.101404,0.104761,0.110472,0.120335,0.137291,0.167762", \ - "0.109405,0.112678,0.116028,0.121737,0.131582,0.148532,0.179004", \ - "0.122435,0.125707,0.129067,0.134773,0.144664,0.161542,0.192027", \ - "0.137612,0.140885,0.144241,0.149938,0.159716,0.176507,0.206923"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006521,0.007983,0.009617,0.012794,0.018892,0.030140,0.053831", \ - "0.006515,0.007964,0.009574,0.012712,0.018805,0.030101,0.053820", \ - "0.006509,0.007955,0.009555,0.012671,0.018750,0.030069,0.053813", \ - "0.006517,0.007954,0.009552,0.012656,0.018730,0.030055,0.053815", \ - "0.006513,0.007955,0.009551,0.012650,0.018720,0.030049,0.053808", \ - "0.006511,0.007958,0.009555,0.012649,0.018714,0.030048,0.053806", \ - "0.006517,0.007962,0.009547,0.012608,0.018578,0.029911,0.053766"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.084987,0.088267,0.091637,0.097404,0.107364,0.124384,0.154874", \ - "0.085827,0.089100,0.092458,0.098190,0.108100,0.125091,0.155573", \ - "0.089799,0.093073,0.096430,0.102145,0.112020,0.128984,0.159454", \ - "0.098132,0.101404,0.104761,0.110472,0.120335,0.137291,0.167762", \ - "0.109397,0.112678,0.116028,0.121737,0.131582,0.148532,0.179004", \ - "0.122435,0.125707,0.129067,0.134773,0.144664,0.161542,0.192027", \ - "0.137612,0.140885,0.144241,0.149938,0.159716,0.176507,0.206923"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006521,0.007983,0.009617,0.012794,0.018891,0.030140,0.053831", \ - "0.006515,0.007964,0.009574,0.012712,0.018805,0.030101,0.053820", \ - "0.006509,0.007955,0.009555,0.012671,0.018750,0.030069,0.053813", \ - "0.006517,0.007954,0.009552,0.012656,0.018730,0.030055,0.053815", \ - "0.006517,0.007955,0.009551,0.012650,0.018720,0.030049,0.053808", \ - "0.006511,0.007958,0.009555,0.012649,0.018714,0.030048,0.053806", \ - "0.006517,0.007962,0.009547,0.012608,0.018578,0.029911,0.053766"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.084999,0.088278,0.091647,0.097412,0.107374,0.124402,0.154890", \ - "0.085836,0.089110,0.092470,0.098201,0.108111,0.125103,0.155584", \ - "0.089809,0.093083,0.096440,0.102155,0.112031,0.128996,0.159465", \ - "0.098141,0.101413,0.104770,0.110482,0.120345,0.137307,0.167776", \ - "0.109405,0.112687,0.116040,0.121747,0.131592,0.148540,0.179016", \ - "0.122440,0.125715,0.129072,0.134779,0.144674,0.161552,0.192037", \ - "0.137618,0.140893,0.144248,0.149945,0.159726,0.176516,0.206931"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006522,0.007988,0.009619,0.012796,0.018893,0.030140,0.053839", \ - "0.006514,0.007971,0.009579,0.012714,0.018808,0.030102,0.053821", \ - "0.006512,0.007959,0.009558,0.012673,0.018752,0.030071,0.053814", \ - "0.006518,0.007956,0.009554,0.012659,0.018732,0.030057,0.053816", \ - "0.006518,0.007958,0.009556,0.012653,0.018722,0.030049,0.053811", \ - "0.006512,0.007961,0.009557,0.012651,0.018717,0.030050,0.053807", \ - "0.006518,0.007960,0.009549,0.012609,0.018579,0.029911,0.053767"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.084987,0.088267,0.091637,0.097404,0.107363,0.124382,0.154875", \ - "0.085827,0.089100,0.092458,0.098190,0.108100,0.125091,0.155573", \ - "0.089799,0.093073,0.096430,0.102145,0.112020,0.128984,0.159454", \ - "0.098132,0.101404,0.104761,0.110472,0.120335,0.137291,0.167762", \ - "0.109405,0.112678,0.116028,0.121737,0.131582,0.148532,0.179004", \ - "0.122435,0.125707,0.129067,0.134773,0.144664,0.161542,0.192027", \ - "0.137612,0.140885,0.144241,0.149938,0.159716,0.176507,0.206923"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006521,0.007983,0.009617,0.012794,0.018892,0.030137,0.053831", \ - "0.006515,0.007964,0.009574,0.012712,0.018805,0.030101,0.053820", \ - "0.006509,0.007955,0.009555,0.012671,0.018750,0.030069,0.053813", \ - "0.006517,0.007954,0.009552,0.012656,0.018730,0.030055,0.053815", \ - "0.006513,0.007955,0.009551,0.012650,0.018720,0.030049,0.053808", \ - "0.006511,0.007958,0.009555,0.012649,0.018714,0.030048,0.053806", \ - "0.006517,0.007962,0.009547,0.012608,0.018578,0.029911,0.053766"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("7.624293,7.494103,7.325077,7.144941,7.045426,6.944432,6.865078", \ - "7.630208,7.481556,7.282604,7.136952,7.034723,6.912170,6.910017", \ - "7.702303,7.576246,7.403255,7.230246,7.121984,7.053807,6.958514", \ - "8.099641,7.950426,7.791707,7.614480,7.521623,7.436100,7.370652", \ - "8.948704,8.768684,8.618004,8.449384,8.295629,8.219124,8.117215", \ - "10.278750,10.143620,9.953519,9.788416,9.663242,9.561587,9.357995", \ - "11.948260,11.840970,11.696850,11.557380,11.499100,11.406730,11.191990"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("6.931121,6.900822,6.909493,6.912236,6.917699,6.932347,6.803039", \ - "6.924818,6.893943,6.902588,6.913437,6.906980,6.906535,6.925804", \ - "7.015498,6.985088,6.992576,6.995538,7.012868,6.991698,7.000734", \ - "7.372126,7.353369,7.362748,7.368810,7.376850,7.344813,7.372291", \ - "8.160963,8.124967,8.138023,8.129814,8.095629,8.109431,8.156610", \ - "9.382152,9.360924,9.369342,9.379983,9.374892,9.373257,9.223107", \ - "10.824390,10.837790,10.881870,10.948350,11.048890,11.038500,11.042160"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("6.667935,6.520621,6.325065,6.168375,5.927740,5.868156,5.765704", \ - "6.644481,6.516105,6.315533,6.072689,5.989937,5.756458,5.817468", \ - "6.670455,6.504930,6.287163,6.114858,5.922113,5.828925,5.760397", \ - "6.820137,6.648374,6.459501,6.204086,6.074464,5.940544,5.774698", \ - "7.380158,7.206166,6.980913,6.723423,6.514929,6.346452,6.163413", \ - "8.215492,8.050998,7.776690,7.509874,7.225467,6.987701,6.803971", \ - "9.389360,9.199765,8.888292,8.562104,8.233481,7.959790,7.635868"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("6.668154,6.520427,6.324900,6.168167,5.929525,5.867829,5.767980", \ - "6.644339,6.499731,6.315364,6.072482,5.989682,5.756129,5.817043", \ - "6.670304,6.504765,6.287450,6.114651,5.921857,5.828606,5.759986", \ - "6.819989,6.648232,6.459324,6.203883,6.074255,5.940212,5.774219", \ - "7.380032,7.206015,6.980738,6.723237,6.514699,6.346155,6.162761", \ - "8.216566,8.050873,7.776545,7.509709,7.225259,6.987484,6.803614", \ - "9.389274,9.199673,8.888181,8.561961,8.233321,7.959565,7.635531"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("6.670011,6.520121,6.324919,6.168201,6.015738,5.868102,5.628264", \ - "6.644451,6.513240,6.315379,6.072517,5.989757,5.756184,5.817099", \ - "6.670309,6.504765,6.287595,6.114697,5.921949,5.828727,5.760251", \ - "6.820037,6.648370,6.459354,6.203934,6.075254,5.940182,5.774358", \ - "7.380046,7.213281,6.980771,6.723275,6.514786,6.346234,6.163121", \ - "8.214418,8.050830,7.776470,7.509745,7.225342,6.987546,6.803904", \ - "9.389313,9.199671,8.888290,8.561982,8.233377,7.959671,7.635734"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("7.761560,7.572188,7.408287,7.249968,7.096927,6.950339,6.789261", \ - "7.744302,7.585655,7.393443,7.156280,7.076347,6.941524,6.821027", \ - "7.752813,7.598269,7.408977,7.220923,7.067240,6.979694,6.865622", \ - "7.944260,7.815023,7.636037,7.390107,7.239076,7.153891,7.077969", \ - "8.673161,8.519842,8.309246,8.072300,7.872404,7.670356,7.502002", \ - "9.726684,9.546035,9.365772,9.108461,8.843980,8.607516,8.435378", \ - "11.197550,11.052370,10.774360,10.506280,10.217260,9.966450,9.627268"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("7.727519,7.572354,7.408277,7.250211,7.099256,6.950744,6.774607", \ - "7.744570,7.585821,7.393577,7.156498,7.076626,6.941945,6.821673", \ - "7.752880,7.596909,7.409136,7.221131,7.069094,6.980521,6.866278", \ - "7.943320,7.815225,7.636134,7.390306,7.239265,7.154304,7.078526", \ - "8.673302,8.519906,8.309523,8.072518,7.872667,7.670687,7.502426", \ - "9.726778,9.546045,9.366122,9.108646,8.844195,8.607809,8.435887", \ - "11.215470,11.052780,10.732310,10.506300,10.217490,9.966718,9.627644"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("7.761761,7.572374,7.408498,7.250207,7.096733,6.951092,6.790220", \ - "7.744578,7.585830,7.393643,7.156530,7.076623,6.941929,6.821597", \ - "7.753017,7.598367,7.409167,7.221138,7.069100,6.980137,6.866190", \ - "7.943145,7.815162,7.636184,7.390330,7.239595,7.126803,7.078510", \ - "8.673332,8.520235,8.309432,8.072512,7.872685,7.699802,7.502436", \ - "9.727392,9.544797,9.343100,9.108656,8.844235,8.607815,8.435851", \ - "11.215240,11.052790,10.732330,10.506300,10.217480,9.966723,9.627641"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("6.668397,6.520246,6.324643,6.167904,5.969759,5.867534,5.629398", \ - "6.642248,6.515510,6.315113,6.072214,5.989388,5.755773,5.816448", \ - "6.670070,6.504560,6.281337,6.114389,5.921671,5.828241,5.759492", \ - "6.819452,6.647980,6.459077,6.203621,6.065692,5.939224,5.773834", \ - "7.379808,7.205711,6.980595,6.722988,6.514690,6.312186,6.162262", \ - "8.219598,8.050792,7.776171,7.509491,7.225013,6.987105,6.803085", \ - "9.389256,9.199500,8.887595,8.561785,8.233097,7.959286,7.635158"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("7.727525,7.572436,7.408466,7.250251,7.096983,6.951153,6.790694", \ - "7.744530,7.585894,7.393671,7.156565,7.076692,6.941787,6.821577", \ - "7.753047,7.593463,7.409230,7.221206,7.067327,6.980324,6.866161", \ - "7.944509,7.815257,7.636246,7.390384,7.239547,7.154238,7.078534", \ - "8.673377,8.520068,8.309481,8.072561,7.872727,7.670763,7.502549", \ - "9.725169,9.545282,9.342603,9.108693,8.844266,8.607892,8.435859", \ - "11.215600,11.052830,10.732400,10.506350,10.217490,9.966763,9.627723"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.426054,8.325422,8.164662,7.948705,7.837480,7.720562,7.639451", \ - "8.422708,8.258083,8.101555,7.939831,7.830572,7.773341,7.642554", \ - "8.382237,8.272401,8.111777,7.907932,7.852303,7.737298,7.599801", \ - "8.459804,8.328404,8.174532,8.029657,7.902372,7.850756,7.787243", \ - "8.664240,8.516082,8.340366,8.178930,8.070551,7.988105,7.966285", \ - "8.882815,8.779669,8.600462,8.463440,8.342403,8.220639,8.085305", \ - "9.207815,9.100562,8.939837,8.741844,8.655002,8.563279,8.538625"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.425824,8.325186,8.164404,7.948440,7.837169,7.720613,7.667816", \ - "8.422463,8.248030,8.101323,7.939569,7.809610,7.773164,7.641883", \ - "8.382144,8.272164,8.111525,7.907707,7.852013,7.737138,7.599217", \ - "8.459632,8.328109,8.174291,8.029397,7.902175,7.850491,7.786825", \ - "8.664016,8.518573,8.340150,8.178686,8.070279,7.988105,7.965868", \ - "8.882663,8.779483,8.600272,8.463219,8.341793,8.220341,8.084872", \ - "9.208220,9.100405,8.939663,8.741733,8.654803,8.561933,8.538284"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.436655,8.324397,8.163620,7.947667,7.836311,7.719099,7.679810", \ - "8.421986,8.260226,8.104627,7.938782,7.812710,7.770019,7.644067", \ - "8.382413,8.271301,8.110806,7.906259,7.851141,7.736009,7.600357", \ - "8.463418,8.328834,8.170506,8.028672,7.900085,7.800062,7.785886", \ - "8.663548,8.479259,8.339270,8.177997,8.069482,8.006430,7.964904", \ - "8.892329,8.778097,8.599415,8.462586,8.331189,8.219546,8.074372", \ - "9.206507,9.099906,8.939125,8.740221,8.654221,8.562682,8.537506"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.429980,8.318160,8.156982,7.939784,7.873517,7.705967,7.626507", \ - "8.416680,8.248336,8.091710,7.930676,7.805325,7.715672,7.623426", \ - "8.376112,8.265480,8.104314,7.894721,7.840765,7.721845,7.582327", \ - "8.458482,8.322995,8.162388,8.020822,7.891310,7.784539,7.767165", \ - "8.658175,8.506095,8.327719,8.170212,8.059300,7.940382,7.946301", \ - "8.884605,8.771371,8.596132,8.454799,8.338141,8.205769,8.068458", \ - "9.200688,9.094315,8.932704,8.755064,8.666615,8.561800,8.518869"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.430260,8.318443,8.157311,7.940136,7.873986,7.788657,7.622490", \ - "8.416979,8.248623,8.092045,7.931046,7.805718,7.716203,7.624108", \ - "8.376418,8.265789,8.104612,7.895042,7.841147,7.722347,7.582975", \ - "8.458721,8.323298,8.162678,8.021151,7.891692,7.785100,7.767752", \ - "8.658450,8.506417,8.328029,8.170553,8.059656,7.940791,7.946804", \ - "8.884818,8.771643,8.596505,8.455091,8.321542,8.206136,8.069000", \ - "9.200844,9.094526,8.932875,8.755269,8.655810,8.562161,8.519318"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.430277,8.318463,8.157316,7.940141,7.826425,7.789036,7.621442", \ - "8.416991,8.248641,8.092048,7.931043,7.805709,7.716200,7.624089", \ - "8.376427,8.265798,8.104627,7.895055,7.841152,7.722331,7.582988", \ - "8.458752,8.323308,8.162693,8.021160,7.891695,7.785077,7.767730", \ - "8.658532,8.506066,8.328029,8.170551,8.059660,7.940798,7.946807", \ - "8.884858,8.771647,8.596502,8.455097,8.321552,8.206146,8.068982", \ - "9.200874,9.094535,8.932889,8.755303,8.661260,8.562156,8.519318"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.436302,8.324020,8.163226,7.947240,7.835825,7.721300,7.679106", \ - "8.421623,8.260083,8.104232,7.938355,7.812043,7.769449,7.643268", \ - "8.382041,8.270921,8.110407,7.905835,7.850656,7.735420,7.599566", \ - "8.463142,8.328431,8.170107,8.028239,7.899603,7.799463,7.785132", \ - "8.663179,8.479182,8.338873,8.177569,8.068998,8.005904,7.964154", \ - "8.891908,8.777735,8.599036,8.462176,8.330725,8.218981,8.084500", \ - "9.206156,9.099579,8.938780,8.739830,8.653801,8.562178,8.536829"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.430372,8.318563,8.157392,7.940217,7.874198,7.706564,7.628009", \ - "8.417070,8.248736,8.092118,7.931105,7.805794,7.716248,7.624059", \ - "8.376498,8.265876,8.104722,7.895150,7.841237,7.722388,7.582966", \ - "8.458866,8.323386,8.162791,8.021245,7.891775,7.785130,7.767804", \ - "8.658545,8.506289,8.328101,8.170614,8.059747,7.940906,7.946931", \ - "8.884967,8.771711,8.596599,8.455171,8.321638,8.206257,8.069034", \ - "9.200979,9.094602,8.932975,8.755383,8.656144,8.562221,8.519401"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.424800; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.057133,0.059285,0.061631,0.065902,0.073679,0.088491,0.117957", \ - "0.057894,0.060043,0.062389,0.066659,0.074437,0.089248,0.118718", \ - "0.060700,0.062855,0.065205,0.069477,0.077254,0.092061,0.121530", \ - "0.063525,0.065680,0.068026,0.072297,0.080070,0.094882,0.124344", \ - "0.065376,0.067528,0.069872,0.074135,0.081915,0.096725,0.126190", \ - "0.065798,0.067947,0.070291,0.074560,0.082340,0.097146,0.126610", \ - "0.064503,0.066653,0.068995,0.073265,0.081043,0.095847,0.125318"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.053956,0.056172,0.058577,0.063271,0.072961,0.093035,0.133768", \ - "0.054705,0.056921,0.059326,0.064018,0.073708,0.093779,0.134513", \ - "0.057332,0.059549,0.061952,0.066644,0.076335,0.096404,0.137143", \ - "0.060040,0.062254,0.064655,0.069346,0.079038,0.099106,0.139838", \ - "0.061958,0.064172,0.066579,0.071270,0.080949,0.101013,0.141743", \ - "0.062877,0.065083,0.067482,0.072159,0.081824,0.101870,0.142587", \ - "0.062414,0.064614,0.067007,0.071659,0.081295,0.101308,0.142010"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004226,0.005386,0.006724,0.009406,0.015042,0.027214,0.052649", \ - "0.004226,0.005386,0.006726,0.009408,0.015042,0.027215,0.052643", \ - "0.004226,0.005387,0.006723,0.009409,0.015038,0.027215,0.052640", \ - "0.004225,0.005388,0.006726,0.009405,0.015038,0.027216,0.052644", \ - "0.004228,0.005387,0.006723,0.009401,0.015039,0.027218,0.052639", \ - "0.004228,0.005387,0.006722,0.009409,0.015042,0.027224,0.052638", \ - "0.004227,0.005389,0.006728,0.009406,0.015039,0.027218,0.052649"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004343,0.006039,0.007985,0.012056,0.021150,0.040181,0.078469", \ - "0.004343,0.006042,0.007984,0.012057,0.021150,0.040186,0.078471", \ - "0.004345,0.006043,0.007984,0.012056,0.021151,0.040184,0.078471", \ - "0.004345,0.006042,0.007986,0.012058,0.021151,0.040185,0.078469", \ - "0.004352,0.006050,0.007992,0.012057,0.021154,0.040185,0.078474", \ - "0.004373,0.006070,0.008008,0.012070,0.021156,0.040183,0.078467", \ - "0.004407,0.006103,0.008043,0.012084,0.021161,0.040185,0.078471"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.053506,0.055673,0.058038,0.062705,0.072400,0.092488,0.133244", \ - "0.054456,0.056625,0.058989,0.063655,0.073353,0.093441,0.134197", \ - "0.057314,0.059481,0.061850,0.066516,0.076212,0.096301,0.137055", \ - "0.062668,0.064833,0.067202,0.071873,0.081561,0.101636,0.142384", \ - "0.071412,0.073545,0.075888,0.080501,0.090114,0.110118,0.150808", \ - "0.082707,0.084803,0.087102,0.091647,0.101157,0.121065,0.161689", \ - "0.096299,0.098337,0.100594,0.105064,0.114470,0.134269,0.174804"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004245,0.005928,0.007872,0.011992,0.021142,0.040183,0.078468", \ - "0.004247,0.005927,0.007872,0.011991,0.021145,0.040186,0.078472", \ - "0.004245,0.005929,0.007873,0.011993,0.021143,0.040180,0.078474", \ - "0.004254,0.005933,0.007874,0.011993,0.021144,0.040180,0.078465", \ - "0.004332,0.006016,0.007948,0.012033,0.021155,0.040185,0.078468", \ - "0.004482,0.006157,0.008065,0.012096,0.021172,0.040188,0.078471", \ - "0.004629,0.006298,0.008186,0.012161,0.021191,0.040193,0.078469"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.053506,0.055673,0.058038,0.062705,0.072400,0.092488,0.133244", \ - "0.054456,0.056623,0.058989,0.063655,0.073353,0.093441,0.134197", \ - "0.057314,0.059481,0.061849,0.066516,0.076212,0.096301,0.137055", \ - "0.062668,0.064833,0.067202,0.071873,0.081561,0.101636,0.142384", \ - "0.071412,0.073545,0.075888,0.080501,0.090114,0.110118,0.150807", \ - "0.082707,0.084803,0.087102,0.091647,0.101157,0.121065,0.161689", \ - "0.096299,0.098337,0.100594,0.105064,0.114470,0.134269,0.174804"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004245,0.005928,0.007872,0.011992,0.021143,0.040183,0.078467", \ - "0.004247,0.005927,0.007872,0.011991,0.021145,0.040186,0.078472", \ - "0.004245,0.005929,0.007873,0.011993,0.021143,0.040180,0.078474", \ - "0.004254,0.005933,0.007874,0.011993,0.021144,0.040180,0.078465", \ - "0.004332,0.006016,0.007948,0.012033,0.021155,0.040185,0.078468", \ - "0.004482,0.006157,0.008065,0.012096,0.021172,0.040188,0.078471", \ - "0.004629,0.006298,0.008186,0.012161,0.021191,0.040192,0.078469"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.053506,0.055673,0.058038,0.062705,0.072400,0.092488,0.133244", \ - "0.054455,0.056623,0.058989,0.063655,0.073353,0.093441,0.134197", \ - "0.057314,0.059481,0.061849,0.066516,0.076212,0.096300,0.137055", \ - "0.062668,0.064833,0.067202,0.071873,0.081561,0.101636,0.142385", \ - "0.071412,0.073544,0.075888,0.080501,0.090114,0.110118,0.150807", \ - "0.082707,0.084803,0.087102,0.091647,0.101157,0.121065,0.161689", \ - "0.096299,0.098337,0.100594,0.105063,0.114470,0.134269,0.174804"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004245,0.005928,0.007872,0.011992,0.021143,0.040183,0.078467", \ - "0.004247,0.005925,0.007872,0.011991,0.021145,0.040186,0.078472", \ - "0.004245,0.005929,0.007873,0.011993,0.021143,0.040180,0.078474", \ - "0.004254,0.005932,0.007874,0.011993,0.021144,0.040180,0.078465", \ - "0.004332,0.006014,0.007948,0.012033,0.021155,0.040185,0.078468", \ - "0.004482,0.006157,0.008065,0.012096,0.021172,0.040188,0.078471", \ - "0.004629,0.006298,0.008186,0.012161,0.021191,0.040192,0.078469"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.053494,0.055663,0.058026,0.062693,0.072390,0.092477,0.133231", \ - "0.054445,0.056613,0.058978,0.063644,0.073340,0.093429,0.134183", \ - "0.057301,0.059470,0.061836,0.066502,0.076200,0.096290,0.137047", \ - "0.062652,0.064821,0.067188,0.071855,0.081544,0.101620,0.142375", \ - "0.071403,0.073535,0.075878,0.080492,0.090106,0.110110,0.150799", \ - "0.082707,0.084803,0.087102,0.091649,0.101152,0.121065,0.161689", \ - "0.096299,0.098336,0.100594,0.105068,0.114472,0.134275,0.174808"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004245,0.005924,0.007869,0.011992,0.021144,0.040184,0.078474", \ - "0.004246,0.005926,0.007868,0.011991,0.021143,0.040179,0.078475", \ - "0.004245,0.005928,0.007869,0.011992,0.021143,0.040185,0.078469", \ - "0.004253,0.005931,0.007874,0.011993,0.021143,0.040184,0.078468", \ - "0.004332,0.006016,0.007947,0.012034,0.021155,0.040187,0.078472", \ - "0.004482,0.006154,0.008068,0.012095,0.021173,0.040187,0.078469", \ - "0.004628,0.006297,0.008185,0.012160,0.021191,0.040191,0.078469"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.053494,0.055663,0.058026,0.062694,0.072390,0.092477,0.133231", \ - "0.054446,0.056613,0.058978,0.063645,0.073340,0.093429,0.134183", \ - "0.057302,0.059470,0.061836,0.066503,0.076201,0.096290,0.137047", \ - "0.062653,0.064821,0.067189,0.071855,0.081544,0.101620,0.142375", \ - "0.071403,0.073536,0.075877,0.080492,0.090106,0.110110,0.150799", \ - "0.082708,0.084803,0.087102,0.091649,0.101152,0.121065,0.161689", \ - "0.096297,0.098335,0.100593,0.105062,0.114472,0.134275,0.174808"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004246,0.005924,0.007869,0.011991,0.021144,0.040184,0.078472", \ - "0.004246,0.005926,0.007868,0.011991,0.021143,0.040179,0.078475", \ - "0.004245,0.005928,0.007869,0.011992,0.021143,0.040186,0.078469", \ - "0.004253,0.005931,0.007874,0.011993,0.021143,0.040184,0.078468", \ - "0.004332,0.006016,0.007947,0.012034,0.021155,0.040187,0.078472", \ - "0.004482,0.006154,0.008068,0.012095,0.021173,0.040187,0.078469", \ - "0.004626,0.006297,0.008190,0.012160,0.021191,0.040191,0.078469"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.053494,0.055663,0.058026,0.062694,0.072390,0.092478,0.133231", \ - "0.054446,0.056613,0.058978,0.063644,0.073340,0.093429,0.134183", \ - "0.057301,0.059470,0.061836,0.066503,0.076201,0.096290,0.137047", \ - "0.062653,0.064821,0.067189,0.071855,0.081543,0.101618,0.142375", \ - "0.071403,0.073535,0.075878,0.080492,0.090106,0.110110,0.150799", \ - "0.082708,0.084803,0.087102,0.091649,0.101152,0.121065,0.161689", \ - "0.096297,0.098335,0.100593,0.105062,0.114472,0.134275,0.174808"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004245,0.005924,0.007869,0.011991,0.021144,0.040185,0.078474", \ - "0.004246,0.005926,0.007868,0.011991,0.021143,0.040179,0.078475", \ - "0.004245,0.005928,0.007869,0.011992,0.021143,0.040185,0.078469", \ - "0.004253,0.005931,0.007874,0.011993,0.021143,0.040182,0.078468", \ - "0.004332,0.006016,0.007947,0.012034,0.021155,0.040185,0.078472", \ - "0.004482,0.006154,0.008064,0.012095,0.021172,0.040187,0.078469", \ - "0.004626,0.006297,0.008190,0.012160,0.021191,0.040191,0.078469"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.053505,0.055673,0.058038,0.062705,0.072402,0.092490,0.133244", \ - "0.054456,0.056625,0.058989,0.063656,0.073354,0.093441,0.134197", \ - "0.057315,0.059482,0.061850,0.066516,0.076212,0.096301,0.137055", \ - "0.062669,0.064833,0.067203,0.071874,0.081562,0.101636,0.142384", \ - "0.071412,0.073544,0.075887,0.080501,0.090117,0.110118,0.150807", \ - "0.082707,0.084803,0.087102,0.091647,0.101157,0.121065,0.161689", \ - "0.096299,0.098337,0.100594,0.105063,0.114470,0.134269,0.174804"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004246,0.005928,0.007872,0.011992,0.021144,0.040186,0.078467", \ - "0.004247,0.005927,0.007872,0.011991,0.021145,0.040186,0.078472", \ - "0.004245,0.005929,0.007875,0.011993,0.021143,0.040180,0.078474", \ - "0.004253,0.005933,0.007874,0.011993,0.021143,0.040180,0.078465", \ - "0.004332,0.006016,0.007948,0.012033,0.021155,0.040186,0.078468", \ - "0.004482,0.006157,0.008065,0.012096,0.021172,0.040188,0.078471", \ - "0.004629,0.006298,0.008186,0.012161,0.021191,0.040192,0.078470"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.053495,0.055663,0.058026,0.062693,0.072390,0.092478,0.133231", \ - "0.054444,0.056613,0.058978,0.063644,0.073339,0.093428,0.134183", \ - "0.057301,0.059470,0.061836,0.066502,0.076200,0.096290,0.137047", \ - "0.062652,0.064821,0.067188,0.071855,0.081544,0.101619,0.142375", \ - "0.071403,0.073535,0.075878,0.080492,0.090106,0.110110,0.150799", \ - "0.082708,0.084803,0.087102,0.091649,0.101152,0.121065,0.161689", \ - "0.096297,0.098335,0.100593,0.105062,0.114472,0.134275,0.174808"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004243,0.005924,0.007869,0.011992,0.021144,0.040185,0.078474", \ - "0.004246,0.005926,0.007868,0.011991,0.021143,0.040179,0.078475", \ - "0.004245,0.005928,0.007869,0.011992,0.021143,0.040185,0.078469", \ - "0.004253,0.005931,0.007874,0.011993,0.021143,0.040184,0.078468", \ - "0.004332,0.006016,0.007947,0.012034,0.021155,0.040187,0.078472", \ - "0.004482,0.006154,0.008064,0.012095,0.021173,0.040187,0.078469", \ - "0.004626,0.006297,0.008190,0.012160,0.021191,0.040191,0.078469"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.102925,0.105142,0.107559,0.112271,0.121960,0.142025,0.182768", \ - "0.103670,0.105878,0.108295,0.113003,0.122699,0.142776,0.183524", \ - "0.107600,0.109804,0.112217,0.116926,0.126624,0.146702,0.187443", \ - "0.115920,0.118121,0.120534,0.125242,0.134941,0.155021,0.195769", \ - "0.127180,0.129387,0.131797,0.136502,0.146189,0.166264,0.207015", \ - "0.140207,0.142410,0.144820,0.149526,0.159270,0.179277,0.220042", \ - "0.155379,0.157575,0.159980,0.164680,0.174373,0.194397,0.235140"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004370,0.006094,0.008044,0.012102,0.021180,0.040203,0.078477", \ - "0.004346,0.006067,0.008021,0.012091,0.021178,0.040198,0.078480", \ - "0.004338,0.006057,0.008009,0.012084,0.021175,0.040198,0.078484", \ - "0.004332,0.006048,0.008003,0.012082,0.021175,0.040200,0.078483", \ - "0.004327,0.006047,0.008001,0.012080,0.021174,0.040197,0.078484", \ - "0.004331,0.006046,0.007999,0.012080,0.021174,0.040199,0.078480", \ - "0.004323,0.006035,0.007983,0.012063,0.021165,0.040192,0.078477"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.102925,0.105142,0.107560,0.112271,0.121960,0.142034,0.182768", \ - "0.103670,0.105878,0.108295,0.113004,0.122699,0.142776,0.183524", \ - "0.107601,0.109804,0.112217,0.116926,0.126624,0.146702,0.187443", \ - "0.115920,0.118121,0.120534,0.125242,0.134941,0.155021,0.195769", \ - "0.127181,0.129387,0.131797,0.136502,0.146189,0.166264,0.207015", \ - "0.140208,0.142410,0.144820,0.149526,0.159270,0.179277,0.220042", \ - "0.155379,0.157575,0.159980,0.164680,0.174373,0.194397,0.235140"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004370,0.006094,0.008044,0.012102,0.021180,0.040203,0.078478", \ - "0.004346,0.006067,0.008021,0.012091,0.021177,0.040198,0.078480", \ - "0.004338,0.006057,0.008009,0.012084,0.021175,0.040201,0.078484", \ - "0.004332,0.006048,0.008003,0.012082,0.021175,0.040200,0.078483", \ - "0.004327,0.006048,0.008001,0.012080,0.021174,0.040197,0.078484", \ - "0.004331,0.006046,0.007999,0.012080,0.021174,0.040199,0.078480", \ - "0.004323,0.006035,0.007983,0.012063,0.021165,0.040192,0.078477"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.102920,0.105139,0.107556,0.112268,0.121958,0.142035,0.182772", \ - "0.103667,0.105875,0.108292,0.113001,0.122698,0.142773,0.183521", \ - "0.107597,0.109801,0.112214,0.116923,0.126622,0.146699,0.187440", \ - "0.115917,0.118118,0.120531,0.125240,0.134939,0.155024,0.195767", \ - "0.127178,0.129385,0.131795,0.136500,0.146186,0.166262,0.207016", \ - "0.140204,0.142407,0.144818,0.149524,0.159268,0.179275,0.220040", \ - "0.155375,0.157572,0.159978,0.164678,0.174371,0.194395,0.235139"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004367,0.006093,0.008043,0.012102,0.021180,0.040204,0.078474", \ - "0.004348,0.006072,0.008022,0.012091,0.021177,0.040198,0.078480", \ - "0.004336,0.006052,0.008008,0.012084,0.021175,0.040198,0.078484", \ - "0.004329,0.006047,0.008003,0.012082,0.021174,0.040196,0.078483", \ - "0.004327,0.006046,0.008001,0.012080,0.021174,0.040199,0.078484", \ - "0.004331,0.006046,0.007999,0.012080,0.021174,0.040199,0.078479", \ - "0.004320,0.006035,0.007981,0.012063,0.021165,0.040192,0.078477"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.102908,0.105126,0.107545,0.112259,0.121947,0.142012,0.182758", \ - "0.103656,0.105863,0.108278,0.112990,0.122687,0.142765,0.183513", \ - "0.107586,0.109790,0.112203,0.116913,0.126611,0.146690,0.187432", \ - "0.115907,0.118107,0.120521,0.125229,0.134929,0.155010,0.195760", \ - "0.127173,0.129374,0.131781,0.136490,0.146177,0.166258,0.207006", \ - "0.140196,0.142397,0.144812,0.149517,0.159258,0.179267,0.220033", \ - "0.155368,0.157563,0.159969,0.164671,0.174361,0.194387,0.235133"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004367,0.006091,0.008043,0.012101,0.021181,0.040203,0.078477", \ - "0.004346,0.006071,0.008021,0.012091,0.021177,0.040197,0.078480", \ - "0.004336,0.006056,0.008009,0.012083,0.021175,0.040198,0.078484", \ - "0.004328,0.006047,0.008002,0.012082,0.021175,0.040196,0.078482", \ - "0.004328,0.006047,0.008000,0.012080,0.021174,0.040201,0.078484", \ - "0.004326,0.006045,0.007999,0.012080,0.021175,0.040199,0.078480", \ - "0.004321,0.006030,0.007983,0.012063,0.021167,0.040191,0.078477"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.102908,0.105126,0.107545,0.112259,0.121947,0.142010,0.182758", \ - "0.103656,0.105863,0.108278,0.112990,0.122687,0.142765,0.183513", \ - "0.107586,0.109790,0.112203,0.116913,0.126611,0.146690,0.187432", \ - "0.115907,0.118107,0.120521,0.125229,0.134929,0.155010,0.195760", \ - "0.127173,0.129374,0.131781,0.136490,0.146177,0.166258,0.207006", \ - "0.140196,0.142397,0.144812,0.149517,0.159258,0.179267,0.220033", \ - "0.155368,0.157563,0.159970,0.164671,0.174361,0.194387,0.235133"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004367,0.006091,0.008043,0.012101,0.021181,0.040201,0.078477", \ - "0.004346,0.006071,0.008021,0.012091,0.021177,0.040197,0.078480", \ - "0.004336,0.006056,0.008009,0.012083,0.021175,0.040198,0.078484", \ - "0.004328,0.006047,0.008002,0.012082,0.021175,0.040196,0.078482", \ - "0.004328,0.006047,0.008000,0.012080,0.021174,0.040201,0.078484", \ - "0.004326,0.006045,0.007999,0.012080,0.021174,0.040199,0.078480", \ - "0.004321,0.006030,0.007981,0.012063,0.021166,0.040191,0.078477"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.102908,0.105126,0.107545,0.112259,0.121948,0.142014,0.182758", \ - "0.103656,0.105863,0.108278,0.112990,0.122687,0.142765,0.183513", \ - "0.107586,0.109790,0.112203,0.116913,0.126611,0.146690,0.187432", \ - "0.115907,0.118107,0.120521,0.125229,0.134929,0.155010,0.195760", \ - "0.127168,0.129374,0.131781,0.136490,0.146177,0.166258,0.207006", \ - "0.140196,0.142397,0.144812,0.149517,0.159258,0.179267,0.220033", \ - "0.155368,0.157563,0.159970,0.164671,0.174361,0.194387,0.235133"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004367,0.006091,0.008043,0.012101,0.021180,0.040201,0.078477", \ - "0.004346,0.006071,0.008021,0.012091,0.021177,0.040197,0.078480", \ - "0.004336,0.006056,0.008009,0.012083,0.021175,0.040198,0.078484", \ - "0.004328,0.006047,0.008002,0.012082,0.021175,0.040196,0.078482", \ - "0.004327,0.006047,0.008000,0.012080,0.021174,0.040201,0.078484", \ - "0.004326,0.006045,0.007999,0.012080,0.021174,0.040199,0.078480", \ - "0.004321,0.006030,0.007981,0.012063,0.021166,0.040191,0.078477"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.102920,0.105139,0.107556,0.112268,0.121958,0.142029,0.182772", \ - "0.103667,0.105875,0.108292,0.113001,0.122698,0.142773,0.183521", \ - "0.107597,0.109801,0.112214,0.116924,0.126622,0.146699,0.187440", \ - "0.115918,0.118118,0.120531,0.125240,0.134939,0.155024,0.195767", \ - "0.127178,0.129385,0.131795,0.136500,0.146186,0.166262,0.207016", \ - "0.140205,0.142407,0.144818,0.149524,0.159268,0.179275,0.220040", \ - "0.155375,0.157573,0.159978,0.164678,0.174371,0.194395,0.235139"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004367,0.006093,0.008043,0.012102,0.021180,0.040203,0.078474", \ - "0.004348,0.006072,0.008022,0.012091,0.021177,0.040198,0.078480", \ - "0.004336,0.006052,0.008008,0.012084,0.021175,0.040198,0.078484", \ - "0.004329,0.006047,0.008003,0.012082,0.021174,0.040196,0.078483", \ - "0.004327,0.006046,0.008001,0.012080,0.021174,0.040199,0.078484", \ - "0.004331,0.006046,0.007999,0.012080,0.021174,0.040199,0.078480", \ - "0.004320,0.006035,0.007981,0.012063,0.021165,0.040192,0.078477"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.102908,0.105126,0.107545,0.112259,0.121947,0.142012,0.182758", \ - "0.103656,0.105863,0.108278,0.112990,0.122687,0.142765,0.183513", \ - "0.107586,0.109790,0.112203,0.116913,0.126611,0.146690,0.187432", \ - "0.115907,0.118107,0.120521,0.125229,0.134929,0.155010,0.195760", \ - "0.127173,0.129374,0.131781,0.136490,0.146177,0.166258,0.207006", \ - "0.140196,0.142397,0.144812,0.149517,0.159258,0.179267,0.220033", \ - "0.155368,0.157563,0.159970,0.164671,0.174361,0.194387,0.235133"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004367,0.006091,0.008043,0.012101,0.021181,0.040203,0.078477", \ - "0.004346,0.006071,0.008021,0.012091,0.021177,0.040197,0.078480", \ - "0.004336,0.006056,0.008009,0.012083,0.021175,0.040198,0.078484", \ - "0.004328,0.006047,0.008002,0.012082,0.021175,0.040196,0.078482", \ - "0.004328,0.006047,0.008000,0.012080,0.021174,0.040201,0.078484", \ - "0.004326,0.006045,0.007999,0.012080,0.021174,0.040199,0.078480", \ - "0.004321,0.006030,0.007981,0.012063,0.021166,0.040191,0.078477"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("6.931121,6.900822,6.909493,6.912236,6.917699,6.932347,6.803039", \ - "6.924818,6.893943,6.902588,6.913437,6.906980,6.906535,6.925804", \ - "7.015498,6.985088,6.992576,6.995538,7.012868,6.991698,7.000734", \ - "7.372126,7.353369,7.362748,7.368810,7.376850,7.344813,7.372291", \ - "8.160963,8.124967,8.138023,8.129814,8.095629,8.109431,8.156610", \ - "9.382152,9.360924,9.369342,9.379983,9.374892,9.373257,9.223107", \ - "10.824390,10.837790,10.881870,10.948350,11.048890,11.038500,11.042160"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("7.624293,7.494103,7.325077,7.144941,7.045426,6.944432,6.865078", \ - "7.630208,7.481556,7.282604,7.136952,7.034723,6.912170,6.910017", \ - "7.702303,7.576246,7.403255,7.230246,7.121984,7.053807,6.958514", \ - "8.099641,7.950426,7.791707,7.614480,7.521623,7.436100,7.370652", \ - "8.948704,8.768684,8.618004,8.449384,8.295629,8.219124,8.117215", \ - "10.278750,10.143620,9.953519,9.788416,9.663242,9.561587,9.357995", \ - "11.948260,11.840970,11.696850,11.557380,11.499100,11.406730,11.191990"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("6.667935,6.520621,6.325065,6.168375,5.927740,5.868156,5.765704", \ - "6.644481,6.516105,6.315533,6.072689,5.989937,5.756458,5.817468", \ - "6.670455,6.504930,6.287163,6.114858,5.922113,5.828925,5.760397", \ - "6.820137,6.648374,6.459501,6.204086,6.074464,5.940544,5.774698", \ - "7.380158,7.206166,6.980913,6.723423,6.514929,6.346452,6.163413", \ - "8.215492,8.050998,7.776690,7.509874,7.225467,6.987701,6.803971", \ - "9.389360,9.199765,8.888292,8.562104,8.233481,7.959790,7.635868"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("6.668154,6.520427,6.324900,6.168167,5.929525,5.867829,5.767980", \ - "6.644339,6.499731,6.315364,6.072482,5.989682,5.756129,5.817043", \ - "6.670304,6.504765,6.287450,6.114651,5.921857,5.828606,5.759986", \ - "6.819989,6.648232,6.459324,6.203883,6.074255,5.940212,5.774219", \ - "7.380032,7.206015,6.980738,6.723237,6.514699,6.346155,6.162761", \ - "8.216566,8.050873,7.776545,7.509709,7.225259,6.987484,6.803614", \ - "9.389274,9.199673,8.888181,8.561961,8.233321,7.959565,7.635531"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("6.670011,6.520121,6.324919,6.168201,6.015738,5.868102,5.628264", \ - "6.644451,6.513240,6.315379,6.072517,5.989757,5.756184,5.817099", \ - "6.670309,6.504765,6.287595,6.114697,5.921949,5.828727,5.760251", \ - "6.820037,6.648370,6.459354,6.203934,6.075254,5.940182,5.774358", \ - "7.380046,7.213281,6.980771,6.723275,6.514786,6.346234,6.163121", \ - "8.214418,8.050830,7.776470,7.509745,7.225342,6.987546,6.803904", \ - "9.389313,9.199671,8.888290,8.561982,8.233377,7.959671,7.635734"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("7.761560,7.572188,7.408287,7.249968,7.096927,6.950339,6.789261", \ - "7.744302,7.585655,7.393443,7.156280,7.076347,6.941524,6.821027", \ - "7.752813,7.598269,7.408977,7.220923,7.067240,6.979694,6.865622", \ - "7.944260,7.815023,7.636037,7.390107,7.239076,7.153891,7.077969", \ - "8.673161,8.519842,8.309246,8.072300,7.872404,7.670356,7.502002", \ - "9.726684,9.546035,9.365772,9.108461,8.843980,8.607516,8.435378", \ - "11.197550,11.052370,10.774360,10.506280,10.217260,9.966450,9.627268"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("7.727519,7.572354,7.408277,7.250211,7.099256,6.950744,6.774607", \ - "7.744570,7.585821,7.393577,7.156498,7.076626,6.941945,6.821673", \ - "7.752880,7.596909,7.409136,7.221131,7.069094,6.980521,6.866278", \ - "7.943320,7.815225,7.636134,7.390306,7.239265,7.154304,7.078526", \ - "8.673302,8.519906,8.309523,8.072518,7.872667,7.670687,7.502426", \ - "9.726778,9.546045,9.366122,9.108646,8.844195,8.607809,8.435887", \ - "11.215470,11.052780,10.732310,10.506300,10.217490,9.966718,9.627644"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("7.761761,7.572374,7.408498,7.250207,7.096733,6.951092,6.790220", \ - "7.744578,7.585830,7.393643,7.156530,7.076623,6.941929,6.821597", \ - "7.753017,7.598367,7.409167,7.221138,7.069100,6.980137,6.866190", \ - "7.943145,7.815162,7.636184,7.390330,7.239595,7.126803,7.078510", \ - "8.673332,8.520235,8.309432,8.072512,7.872685,7.699802,7.502436", \ - "9.727392,9.544797,9.343100,9.108656,8.844235,8.607815,8.435851", \ - "11.215240,11.052790,10.732330,10.506300,10.217480,9.966723,9.627641"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("6.668397,6.520246,6.324643,6.167904,5.969759,5.867534,5.629398", \ - "6.642248,6.515510,6.315113,6.072214,5.989388,5.755773,5.816448", \ - "6.670070,6.504560,6.281337,6.114389,5.921671,5.828241,5.759492", \ - "6.819452,6.647980,6.459077,6.203621,6.065692,5.939224,5.773834", \ - "7.379808,7.205711,6.980595,6.722988,6.514690,6.312186,6.162262", \ - "8.219598,8.050792,7.776171,7.509491,7.225013,6.987105,6.803085", \ - "9.389256,9.199500,8.887595,8.561785,8.233097,7.959286,7.635158"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("7.727525,7.572436,7.408466,7.250251,7.096983,6.951153,6.790694", \ - "7.744530,7.585894,7.393671,7.156565,7.076692,6.941787,6.821577", \ - "7.753047,7.593463,7.409230,7.221206,7.067327,6.980324,6.866161", \ - "7.944509,7.815257,7.636246,7.390384,7.239547,7.154238,7.078534", \ - "8.673377,8.520068,8.309481,8.072561,7.872727,7.670763,7.502549", \ - "9.725169,9.545282,9.342603,9.108693,8.844266,8.607892,8.435859", \ - "11.215600,11.052830,10.732400,10.506350,10.217490,9.966763,9.627723"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.426054,8.325422,8.164662,7.948705,7.837480,7.720562,7.639451", \ - "8.422708,8.258083,8.101555,7.939831,7.830572,7.773341,7.642554", \ - "8.382237,8.272401,8.111777,7.907932,7.852303,7.737298,7.599801", \ - "8.459804,8.328404,8.174532,8.029657,7.902372,7.850756,7.787243", \ - "8.664240,8.516082,8.340366,8.178930,8.070551,7.988105,7.966285", \ - "8.882815,8.779669,8.600462,8.463440,8.342403,8.220639,8.085305", \ - "9.207815,9.100562,8.939837,8.741844,8.655002,8.563279,8.538625"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.425824,8.325186,8.164404,7.948440,7.837169,7.720613,7.667816", \ - "8.422463,8.248030,8.101323,7.939569,7.809610,7.773164,7.641883", \ - "8.382144,8.272164,8.111525,7.907707,7.852013,7.737138,7.599217", \ - "8.459632,8.328109,8.174291,8.029397,7.902175,7.850491,7.786825", \ - "8.664016,8.518573,8.340150,8.178686,8.070279,7.988105,7.965868", \ - "8.882663,8.779483,8.600272,8.463219,8.341793,8.220341,8.084872", \ - "9.208220,9.100405,8.939663,8.741733,8.654803,8.561933,8.538284"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.436655,8.324397,8.163620,7.947667,7.836311,7.719099,7.679810", \ - "8.421986,8.260226,8.104627,7.938782,7.812710,7.770019,7.644067", \ - "8.382413,8.271301,8.110806,7.906259,7.851141,7.736009,7.600357", \ - "8.463418,8.328834,8.170506,8.028672,7.900085,7.800062,7.785886", \ - "8.663548,8.479259,8.339270,8.177997,8.069482,8.006430,7.964904", \ - "8.892329,8.778097,8.599415,8.462586,8.331189,8.219546,8.074372", \ - "9.206507,9.099906,8.939125,8.740221,8.654221,8.562682,8.537506"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.429980,8.318160,8.156982,7.939784,7.873517,7.705967,7.626507", \ - "8.416680,8.248336,8.091710,7.930676,7.805325,7.715672,7.623426", \ - "8.376112,8.265480,8.104314,7.894721,7.840765,7.721845,7.582327", \ - "8.458482,8.322995,8.162388,8.020822,7.891310,7.784539,7.767165", \ - "8.658175,8.506095,8.327719,8.170212,8.059300,7.940382,7.946301", \ - "8.884605,8.771371,8.596132,8.454799,8.338141,8.205769,8.068458", \ - "9.200688,9.094315,8.932704,8.755064,8.666615,8.561800,8.518869"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.430260,8.318443,8.157311,7.940136,7.873986,7.788657,7.622490", \ - "8.416979,8.248623,8.092045,7.931046,7.805718,7.716203,7.624108", \ - "8.376418,8.265789,8.104612,7.895042,7.841147,7.722347,7.582975", \ - "8.458721,8.323298,8.162678,8.021151,7.891692,7.785100,7.767752", \ - "8.658450,8.506417,8.328029,8.170553,8.059656,7.940791,7.946804", \ - "8.884818,8.771643,8.596505,8.455091,8.321542,8.206136,8.069000", \ - "9.200844,9.094526,8.932875,8.755269,8.655810,8.562161,8.519318"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.430277,8.318463,8.157316,7.940141,7.826425,7.789036,7.621442", \ - "8.416991,8.248641,8.092048,7.931043,7.805709,7.716200,7.624089", \ - "8.376427,8.265798,8.104627,7.895055,7.841152,7.722331,7.582988", \ - "8.458752,8.323308,8.162693,8.021160,7.891695,7.785077,7.767730", \ - "8.658532,8.506066,8.328029,8.170551,8.059660,7.940798,7.946807", \ - "8.884858,8.771647,8.596502,8.455097,8.321552,8.206146,8.068982", \ - "9.200874,9.094535,8.932889,8.755303,8.661260,8.562156,8.519318"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.436302,8.324020,8.163226,7.947240,7.835825,7.721300,7.679106", \ - "8.421623,8.260083,8.104232,7.938355,7.812043,7.769449,7.643268", \ - "8.382041,8.270921,8.110407,7.905835,7.850656,7.735420,7.599566", \ - "8.463142,8.328431,8.170107,8.028239,7.899603,7.799463,7.785132", \ - "8.663179,8.479182,8.338873,8.177569,8.068998,8.005904,7.964154", \ - "8.891908,8.777735,8.599036,8.462176,8.330725,8.218981,8.084500", \ - "9.206156,9.099579,8.938780,8.739830,8.653801,8.562178,8.536829"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("8.430372,8.318563,8.157392,7.940217,7.874198,7.706564,7.628009", \ - "8.417070,8.248736,8.092118,7.931105,7.805794,7.716248,7.624059", \ - "8.376498,8.265876,8.104722,7.895150,7.841237,7.722388,7.582966", \ - "8.458866,8.323386,8.162791,8.021245,7.891775,7.785130,7.767804", \ - "8.658545,8.506289,8.328101,8.170614,8.059747,7.940906,7.946931", \ - "8.884967,8.771711,8.596599,8.455171,8.321638,8.206257,8.069034", \ - "9.200979,9.094602,8.932975,8.755383,8.656144,8.562221,8.519401"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFR_X2 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and drive strength X2 - *******************************************************************************************/ - - cell (SDFFR_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - clear : "!RN"; - } - - area : 6.916000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 491.667073; - - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & !Q & QN"; - value : 424.443750; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & !Q & QN"; - value : 458.111125; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & !Q & QN"; - value : 438.590250; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & !Q & QN"; - value : 492.349625; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & !Q & QN"; - value : 462.527500; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & Q & !QN"; - value : 481.999750; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & !Q & QN"; - value : 496.194875; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & Q & !QN"; - value : 515.667125; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & !Q & QN"; - value : 476.672750; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & Q & !QN"; - value : 496.145000; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & !Q & QN"; - value : 524.027250; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & Q & !QN"; - value : 515.902125; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & !Q & QN"; - value : 487.166375; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & !Q & QN"; - value : 493.354250; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & !Q & QN"; - value : 483.205625; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & !Q & QN"; - value : 500.316000; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & !Q & QN"; - value : 518.844000; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & Q & !QN"; - value : 510.718875; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & !Q & QN"; - value : 525.031875; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & Q & !QN"; - value : 516.906750; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & !Q & QN"; - value : 521.289375; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & Q & !QN"; - value : 540.761625; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & !Q & QN"; - value : 531.993625; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & Q & !QN"; - value : 523.868500; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & !Q & QN"; - value : 414.632125; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & !Q & QN"; - value : 448.298250; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & !Q & QN"; - value : 428.776125; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & !Q & QN"; - value : 471.785625; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & !Q & QN"; - value : 447.983500; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & Q & !QN"; - value : 481.989500; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & !Q & QN"; - value : 481.648375; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & Q & !QN"; - value : 515.654375; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & !Q & QN"; - value : 462.127500; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & Q & !QN"; - value : 496.133500; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & !Q & QN"; - value : 505.143250; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & Q & !QN"; - value : 511.678000; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & !Q & QN"; - value : 466.603625; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & !Q & QN"; - value : 472.793875; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & !Q & QN"; - value : 473.389000; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & !Q & QN"; - value : 479.755625; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & !Q & QN"; - value : 499.961250; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & Q & !QN"; - value : 506.496000; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & !Q & QN"; - value : 506.151500; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & Q & !QN"; - value : 512.686250; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & !Q & QN"; - value : 506.739125; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & Q & !QN"; - value : 540.745125; - } - leakage_power () { - when : "CK & D & RN & SE & SI & !Q & QN"; - value : 513.113250; - } - leakage_power () { - when : "CK & D & RN & SE & SI & Q & !QN"; - value : 519.646750; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.156199; - fall_capacitance : 1.116645; - rise_capacitance : 1.156199; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & !SE"; - sdf_cond : "RN_AND_NEG_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.012495,-0.006353,-0.007584", \ - "-0.008687,-0.001778,-0.002521", \ - "0.106238,0.113216,0.113115"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.008556,-0.004423,-0.008538", \ - "-0.007665,-0.004510,-0.010020", \ - "0.064193,0.066559,0.055083"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & !SE"; - sdf_cond : "RN_AND_NEG_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.043631,0.042186,0.054407", \ - "0.053259,0.051809,0.063783", \ - "0.082658,0.080302,0.091559"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.038652,0.031616,0.032556", \ - "0.042188,0.035288,0.036120", \ - "0.040598,0.033627,0.033763"); - } - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.630824,6.600477,6.600662,6.998502,8.029371,9.726413,12.095580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.218420,5.161900,5.169408,5.530711,6.514117,8.166808,10.524820"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.629523,6.599132,6.598908,6.996932,8.028154,9.724417,12.093410"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.183161,5.126699,5.134330,5.498111,6.478762,8.135257,10.489430"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.958255,0.927418,0.907985,0.903899,0.900013,0.901419,0.899569"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.801587,-0.809103,-0.811827,-0.817461,-0.817277,-0.823000,-0.819737"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.865559,0.878727,0.877759,0.879288,0.878122,0.879962,0.877627"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.528783,-0.632394,-0.697413,-0.726258,-0.737765,-0.750233,-0.751470"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.568409,8.536865,8.537045,8.934409,9.966084,11.666680,14.039220"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.782584,5.726225,5.734470,6.098235,7.078829,8.738643,11.095190"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.525545,8.494134,8.494097,8.889894,9.923577,11.618930,13.995900"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.827779,5.771553,5.779118,6.143737,7.126078,8.788133,11.145400"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.566786,8.535544,8.535574,8.933269,9.964438,11.664880,14.037250"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.804083,5.747795,5.755590,6.119399,7.100378,8.759938,11.116430"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.521342,8.489819,8.489829,8.886551,9.918995,11.614240,13.990890"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.828138,5.771998,5.779543,6.144322,7.126351,8.787081,11.145540"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.957579,0.926806,0.907301,0.903256,0.899356,0.900824,0.898937"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803349,-0.810927,-0.813562,-0.816741,-0.819014,-0.820504,-0.821471"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960137,0.927329,0.907635,0.903677,0.899748,0.901336,0.899394"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.802263,-0.810007,-0.812566,-0.815576,-0.817881,-0.819375,-0.820350"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.865151,0.878345,0.877347,0.878889,0.877716,0.879579,0.877231"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.529730,-0.633371,-0.698361,-0.724851,-0.738711,-0.747137,-0.752419"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864891,0.878029,0.877089,0.878595,0.877437,0.879250,0.876936"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530134,-0.633720,-0.698787,-0.725278,-0.739140,-0.747570,-0.752856"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.247417,4.216452,4.219438,4.601538,5.600263,7.232042,9.505550"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.968847,1.912821,1.923084,2.285342,3.239215,4.834157,7.080755"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.242716,4.212148,4.215172,4.597207,5.595742,7.227664,9.501196"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.969053,1.913244,1.923482,2.285883,3.239381,4.833731,7.080867"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.959649,0.926449,0.907173,0.900711,0.899177,0.896479,0.898718"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803647,-0.810999,-0.814104,-0.817121,-0.819428,-0.820915,-0.821898"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864823,0.877707,0.877011,0.876235,0.877260,0.875191,0.876719"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530769,-0.634090,-0.699484,-0.726028,-0.740064,-0.748232,-0.753585"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.244188,4.213395,4.215993,4.598305,5.597347,7.229085,9.502612"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.034256,1.978041,1.988554,2.351577,3.305929,4.900883,7.146870"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.136353,4.105928,4.108633,4.496341,5.496523,7.134860,9.406276"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.018951,1.963097,1.973411,2.333844,3.284873,4.878887,7.125122"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.242804,4.212093,4.214730,4.596976,5.595846,7.227031,9.501273"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.055664,1.999561,2.010023,2.373117,3.327537,4.922438,7.168261"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.132797,4.102126,4.104884,4.492433,5.492532,7.130313,9.402234"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.020421,1.964639,1.974929,2.335337,3.286498,4.879858,7.126359"); - } - - } - - internal_power () { - - when : "CK & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.957469,0.926468,0.907200,0.900718,0.899198,0.896472,0.898716"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803589,-0.810944,-0.813897,-0.817079,-0.819353,-0.820843,-0.821812"); - } - - } - - internal_power () { - - when : "CK & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960572,0.927648,0.908091,0.904065,0.900168,0.901644,0.899782"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.801791,-0.809421,-0.812153,-0.815164,-0.817466,-0.818950,-0.819926"); - } - - } - - internal_power () { - - when : "CK & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864819,0.877741,0.877019,0.876265,0.877307,0.875252,0.876751"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530724,-0.634094,-0.699446,-0.725946,-0.739794,-0.748214,-0.753493"); - } - - } - - internal_power () { - - when : "CK & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.866406,0.879381,0.878599,0.880012,0.878890,0.880563,0.878337"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.526640,-0.630064,-0.695343,-0.724042,-0.735692,-0.747889,-0.749397"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.536569; - fall_capacitance : 1.520950; - rise_capacitance : 1.536569; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.018315,-0.025421,-0.025723", \ - "-0.016259,-0.023386,-0.023657", \ - "-0.025558,-0.032507,-0.032583"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.023504,0.030019,0.030385", \ - "0.022695,0.029232,0.029586", \ - "0.038922,0.045300,0.044604"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.051905,0.073666,0.146386"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.920146,0.894570,0.875493,0.871507,0.866970,0.868398,0.864801"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.795647,-0.800912,-0.799963,-0.804417,-0.805922,-0.810710,-0.807545"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.920969,0.895482,0.876302,0.872403,0.867813,0.869338,0.865655"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.797160,-0.802674,-0.800954,-0.803588,-0.806765,-0.807583,-0.808753"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.920129,0.894515,0.875479,0.871470,0.866945,0.868342,0.864766"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.795686,-0.800917,-0.800016,-0.804439,-0.805979,-0.810712,-0.807603"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.867459,2.846564,2.873768,3.041212,3.382189,3.911314,4.658334"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.192639,-0.202520,-0.196585,-0.122408,0.118658,0.576344,1.278579"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.867527,2.846814,2.873819,3.041369,3.382298,3.911588,4.658495"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.192428,-0.202490,-0.196304,-0.122284,0.118938,0.576350,1.278857"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.867495,2.846695,2.873796,3.041296,3.382248,3.911459,4.658422"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.192531,-0.202504,-0.196440,-0.122343,0.118802,0.576347,1.278724"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.920172,0.894678,0.875512,0.871574,0.867016,0.868520,0.864872"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.795547,-0.800897,-0.799833,-0.804360,-0.805796,-0.810707,-0.807420"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.867416,2.846403,2.873736,3.041110,3.382119,3.911139,4.658232"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.192778,-0.202540,-0.196767,-0.122487,0.118476,0.576340,1.278399"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.833020,0.832731,0.828545,0.827262,0.827970,0.826096,0.828282"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.790347,-0.795382,-0.793948,-0.795642,-0.796913,-0.796816,-0.796261"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.832432,0.832232,0.827950,0.826643,0.827407,0.825482,0.827748"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.791793,-0.796917,-0.795364,-0.797058,-0.798329,-0.798232,-0.797678"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.832116,0.831454,0.827638,0.826552,0.827059,0.825214,0.827368"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.792834,-0.798039,-0.796626,-0.797962,-0.798944,-0.798942,-0.798618"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.831314,0.831004,0.826840,0.825563,0.826263,0.824408,0.826579"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.794537,-0.799550,-0.798149,-0.799837,-0.801114,-0.801014,-0.800459"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.831392,0.830936,0.826903,0.825756,0.826382,0.824418,0.826754"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.794435,-0.799827,-0.798156,-0.799489,-0.800472,-0.800471,-0.800152"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.831432,0.831216,0.826951,0.825649,0.826405,0.824493,0.826749"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.794111,-0.799219,-0.797691,-0.799381,-0.800658,-0.800561,-0.800008"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.832428,0.832219,0.827942,0.826642,0.827405,0.825485,0.827746"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.791798,-0.796913,-0.795371,-0.797066,-0.798340,-0.798243,-0.797690"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.831271,0.830843,0.826807,0.825579,0.826190,0.824407,0.826475"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.794777,-0.799587,-0.798330,-0.800128,-0.801137,-0.801176,-0.800654"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.923300; - fall_capacitance : 1.856045; - rise_capacitance : 1.923300; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.010978,-0.006817,-0.011003", \ - "-0.018153,-0.016646,-0.021610", \ - "0.094815,0.097735,0.086000"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.008762,-0.002547,-0.006409", \ - "-0.011049,-0.004317,-0.010188", \ - "0.068759,0.075865,0.065983"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.043251,0.037053,0.049315", \ - "0.054366,0.047552,0.059193", \ - "0.078091,0.070993,0.080658"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.049987,0.048526,0.060283", \ - "0.052942,0.051482,0.063366", \ - "0.052029,0.049118,0.060885"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.093783,3.099701,3.413198,4.237043,5.729549,7.981523,11.019910"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.098310,-0.127426,0.086794,0.891954,2.415586,4.671751,7.722012"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.688080,7.676129,8.164161,9.609640,12.292810,16.381770,21.968700"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.335164,4.294958,4.613314,5.949316,8.626658,12.739620,18.366580"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.092877,3.099086,3.412509,4.236397,5.728890,7.980927,11.019270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.100073,-0.129254,0.085052,0.892571,2.413848,4.674073,7.720278"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.094215,3.098878,3.412396,4.234301,5.729504,7.977083,11.019690"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.086048,-0.115145,0.099509,0.907068,2.428737,4.688313,7.734501"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.624411,9.611864,10.099020,11.546010,14.231070,18.325800,23.919860"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.989487,4.950133,5.267328,6.599807,9.268952,13.378920,19.000460"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.579693,9.566109,10.054170,11.497610,14.184540,18.275870,23.875960"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.978558,4.938586,5.256962,6.594203,9.271437,13.389110,19.024960"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.139048,8.154040,8.571942,9.587098,11.292110,13.751830,16.985100"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.637106,5.599391,5.737270,6.518608,8.110809,10.527500,13.882910"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.879673,2.890173,3.212903,4.049522,5.551404,7.807234,10.847910"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.043131,0.018744,0.226107,1.020531,2.540277,4.799601,7.854340"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.767953,8.783029,9.201987,10.216130,11.920410,14.382840,17.616860"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.577080,7.539048,7.676678,8.460566,10.051990,12.471910,15.820420"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.870270,8.885812,9.304166,10.318040,12.024130,14.487950,17.724540"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.615524,7.577432,7.715398,8.499172,10.088880,12.509250,15.856870"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.879249,2.889799,3.212480,4.049089,5.550997,7.806852,10.847510"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.042155,0.017769,0.225157,1.021926,2.539323,4.802686,7.853393"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.879002,2.889467,3.212226,4.048806,5.550717,7.806522,10.847220"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.041775,0.017418,0.224732,1.021495,2.538891,4.802251,7.852952"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.090894,3.099353,3.413644,4.234592,5.729625,7.977753,11.020050"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.084919,-0.113764,0.100612,0.907969,2.432111,4.689030,7.735391"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.308695,5.298249,5.790618,7.213810,9.845043,13.836100,19.269170"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.118738,1.079701,1.400751,2.720279,5.331263,9.317083,14.740840"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.092798,3.098671,3.412482,4.233955,5.728757,7.976750,11.019010"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.100475,-0.129597,0.084510,0.892075,2.413551,4.673699,7.719933"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.090271,3.099028,3.412527,4.236279,5.729018,7.981185,11.019550"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.086760,-0.115857,0.098283,0.906171,2.430348,4.689453,7.733594"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.308879,5.298208,5.790335,7.213796,9.845177,13.836210,19.268730"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.240211,1.201267,1.520898,2.835632,5.433923,9.406407,14.822910"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.194657,5.183287,5.675712,7.107265,9.742623,13.742350,19.174470"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.166753,1.127470,1.448597,2.766325,5.377235,9.362536,14.786880"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.013782,5.028098,5.445957,6.458528,8.166296,10.617780,13.847670"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.106138,3.081895,3.270942,4.097836,5.698772,8.122120,11.460840"); - } - - } - - internal_power () { - - when : "CK & D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.879102,2.889186,3.212480,4.046660,5.550380,7.802767,10.847250"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.195019,-0.219207,-0.010108,0.789925,2.308831,4.570260,7.618126"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.013193,5.027897,5.446249,6.458035,8.166336,10.618040,13.848640"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.258319,3.221274,3.358208,4.153322,5.741180,8.161010,11.502450"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.062304,5.077924,5.495365,6.510635,8.217341,10.672780,13.899710"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.160105,3.121922,3.259269,4.049461,5.642485,8.055964,11.401000"); - } - - } - - internal_power () { - - when : "CK & D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.878818,2.888910,3.212276,4.046550,5.550199,7.802372,10.846930"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.041093,0.017004,0.224312,1.020741,2.538310,4.801573,7.852199"); - } - - } - - internal_power () { - - when : "CK & D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.880515,2.890664,3.213875,4.050043,5.551790,7.807683,10.848520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.045168,0.021046,0.228411,1.022647,2.542393,4.801910,7.856286"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.896994; - fall_capacitance : 0.869917; - rise_capacitance : 0.896994; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SE"; - sdf_cond : "RN_AND_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.014106,-0.008008,-0.009106", \ - "-0.011491,-0.004537,-0.005142", \ - "0.101656,0.108596,0.108559"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.009414,-0.005104,-0.009533", \ - "-0.007497,-0.004692,-0.010724", \ - "0.058643,0.060890,0.049507"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SE"; - sdf_cond : "RN_AND_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.047776,0.046111,0.057933", \ - "0.057389,0.055739,0.067539", \ - "0.088210,0.085971,0.097136"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.040587,0.033427,0.034101", \ - "0.044998,0.038053,0.038747", \ - "0.045186,0.038254,0.038326"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723673,0.701047,0.685918,0.683556,0.680487,0.682035,0.679755"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.610891,-0.617582,-0.616459,-0.620336,-0.618801,-0.623467,-0.619264"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.601854,6.584067,6.579345,6.853694,7.594749,8.848491,10.623040"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.610238,4.569859,4.575128,4.819403,5.523602,6.746713,8.522993"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.722997,0.700433,0.685232,0.682911,0.679828,0.681439,0.679122"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612651,-0.619403,-0.618193,-0.619601,-0.620537,-0.620953,-0.620998"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723360,0.700912,0.685581,0.680701,0.680221,0.677407,0.679561"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.611539,-0.618388,-0.617143,-0.618464,-0.619395,-0.619590,-0.619948"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.540612,8.522103,8.517753,8.792337,9.533826,10.790720,12.575590"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.175887,5.135754,5.140136,5.386461,6.088706,7.319200,9.094110"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.498335,8.480607,8.476189,8.750867,9.491876,10.747990,12.532270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.220378,5.179601,5.185101,5.431777,6.133372,7.365846,9.142394"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634272,0.646950,0.645449,0.647531,0.646385,0.648734,0.646613"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.391703,-0.469739,-0.516442,-0.538505,-0.545864,-0.556096,-0.555002"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.600144,6.582224,6.577420,6.851707,7.592781,8.845888,10.620250"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.632410,4.592209,4.597503,4.840459,5.545219,6.769089,8.545570"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633872,0.646579,0.645049,0.647137,0.645981,0.648352,0.646217"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.392657,-0.470721,-0.517393,-0.536918,-0.546812,-0.552682,-0.555950"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633616,0.646271,0.644797,0.646848,0.645704,0.648025,0.645923"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393057,-0.471068,-0.517817,-0.537342,-0.547237,-0.553110,-0.556383"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.538776,8.520343,8.515921,8.790345,9.531376,10.788360,12.572780"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.197515,5.157380,5.162596,5.408674,6.110747,7.341405,9.116836"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.490345,8.475001,8.470358,8.745239,9.485330,10.741370,12.525350"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.273426,5.233022,5.238529,5.484136,6.186807,7.419233,9.196494"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723965,0.701132,0.686215,0.681451,0.680741,0.678158,0.679971"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.610335,-0.616786,-0.616078,-0.617405,-0.618339,-0.618535,-0.618887"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.193571,4.176085,4.173488,4.428367,5.128882,6.307051,7.982184"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.429306,1.389398,1.395636,1.636504,2.304912,3.457757,5.105863"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.722893,0.700105,0.685149,0.680371,0.679679,0.677080,0.678911"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612904,-0.619425,-0.618529,-0.619944,-0.620882,-0.621300,-0.621345"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723321,0.700756,0.685562,0.683244,0.680162,0.681776,0.679472"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612213,-0.618939,-0.617868,-0.619187,-0.620115,-0.620306,-0.620658"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.189698,4.172237,4.169599,4.424464,5.124993,6.303127,7.972902"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.429346,1.389401,1.395687,1.636903,2.304987,3.457827,5.106038"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.088950,4.071224,4.068372,4.327079,5.029519,6.214031,7.880974"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.411815,1.371997,1.378378,1.617927,2.284562,3.436018,5.084303"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633521,0.645877,0.644715,0.644338,0.645563,0.643722,0.645716"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393784,-0.470830,-0.518146,-0.537662,-0.547652,-0.553296,-0.556831"); - } - - } - - internal_power () { - - when : "CK & D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.187937,4.170370,4.167824,4.422529,5.122758,6.300704,7.975420"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.364584,1.324970,1.331094,1.571483,2.239030,3.391751,5.039951"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633503,0.645971,0.644717,0.644324,0.645570,0.643706,0.645735"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393630,-0.471445,-0.518470,-0.537990,-0.547880,-0.553746,-0.557014"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633999,0.646492,0.645187,0.647141,0.646040,0.648224,0.646219"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.392787,-0.470654,-0.517616,-0.539509,-0.547019,-0.556967,-0.556149"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.187917,4.170412,4.167786,4.422538,5.122848,6.300748,7.975444"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.451535,1.411671,1.417900,1.659307,2.326774,3.479942,5.128131"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.082871,4.064971,4.062147,4.318481,5.022599,6.203321,7.873670"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.464201,1.424508,1.430734,1.670341,2.337263,3.488708,5.137292"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.980283; - fall_capacitance : 0.902633; - rise_capacitance : 0.980283; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.031760,0.042077,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.048852,0.047904,0.146386"); - } - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.569843,5.559491,5.805437,6.613478,8.091276,10.416290,13.692160"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.978058,3.956919,4.142249,4.867561,6.398894,8.816378,12.173970"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.565405,5.555096,5.800585,6.609987,8.086841,10.411730,13.687320"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.260804,4.242095,4.424111,5.150390,6.680901,9.105802,12.458130"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.569693,5.559306,5.804886,6.613338,8.091241,10.416230,13.692120"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.978107,3.957006,4.142273,4.867620,6.398860,8.816403,12.173960"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.318205,8.308655,8.562091,9.393767,10.895860,13.250080,16.554420"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("20.959230,20.937880,21.161560,21.964300,23.630280,26.275180,29.916940"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.582296,5.571889,5.818527,6.627074,8.104576,10.431350,13.707740"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.996824,3.976210,4.162934,4.889409,6.415742,8.839554,12.194340"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.391482,9.367853,9.629710,10.470290,12.003080,14.416100,17.840820"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.582336,5.572009,5.818554,6.627111,8.104638,10.431490,13.707830"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.996933,3.976231,4.163072,4.886879,6.415883,8.839693,12.194480"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.935937,9.911358,10.173320,11.012260,12.542350,14.954320,18.372840"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.582131,5.571713,5.818733,6.626934,8.104519,10.431290,13.707700"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.996872,3.976296,4.162951,4.889422,6.415704,8.839536,12.194340"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.937306,9.914730,10.173410,11.013770,12.543840,14.955870,18.375180"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.033279,9.012078,9.269562,10.095860,11.590340,13.937380,17.239310"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.612444,5.602667,5.855850,6.665616,8.148204,10.485060,13.770520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.845039,3.823567,4.008767,4.742049,6.283794,8.711111,12.064020"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.318308,8.308941,8.562157,9.394042,10.896000,13.250340,16.554610"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("20.957170,20.937700,21.154850,21.964220,23.630530,26.275140,29.917210"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.318276,8.308820,8.562137,9.393968,10.895950,13.250150,16.554540"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("20.957310,20.937690,21.154730,21.964160,23.630390,26.275140,29.917080"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.569749,5.559478,5.804936,6.613453,8.091326,10.416420,13.692230"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.978255,3.957033,4.142463,4.867698,6.399043,8.816409,12.174150"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.318162,8.308493,8.562058,9.393666,10.895790,13.249730,16.554320"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("20.959110,20.937860,21.161750,21.964220,23.630090,26.275180,29.916750"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.033936,9.011463,9.269860,10.096160,11.590340,13.937780,17.239620"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.612513,5.602892,5.855898,6.665871,8.148317,10.485330,13.770680"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.845261,3.823667,4.009057,4.742384,6.284078,8.711393,12.064300"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.033831,9.011450,9.269726,10.096030,11.590210,13.937650,17.239490"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.612467,5.602764,5.855864,6.665789,8.148257,10.485190,13.770600"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.845154,3.823651,4.008916,4.742246,6.283941,8.711257,12.064160"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.582189,5.571888,5.818786,6.627001,8.104605,10.431480,13.707820"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.997008,3.976322,4.163141,4.886947,6.415890,8.839723,12.194520"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.909889,9.886116,10.147500,10.987420,12.517790,14.929510,18.349580"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.033142,9.011423,9.269380,10.095680,11.590160,13.937200,17.239140"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.612395,5.602500,5.855496,6.665508,8.148128,10.484880,13.770410"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.844899,3.823544,4.008585,4.741864,6.283611,8.710929,12.063830"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.047600,0.051751,0.055580,0.062074,0.072924,0.090891,0.122205", \ - "0.048350,0.052494,0.056327,0.062819,0.073668,0.091640,0.122949", \ - "0.050932,0.055080,0.058911,0.065403,0.076251,0.094221,0.125536", \ - "0.053468,0.057616,0.061445,0.067933,0.078782,0.096748,0.128064", \ - "0.055205,0.059352,0.063181,0.069675,0.080519,0.098489,0.129801", \ - "0.056003,0.060160,0.063994,0.070486,0.081333,0.099301,0.130603", \ - "0.055502,0.059669,0.063516,0.070015,0.080882,0.098870,0.130206"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.049681,0.053466,0.057091,0.063634,0.075335,0.096569,0.137524", \ - "0.050436,0.054222,0.057848,0.064389,0.076091,0.097326,0.138282", \ - "0.053228,0.057013,0.060638,0.067181,0.078882,0.100116,0.141072", \ - "0.056004,0.059790,0.063417,0.069959,0.081658,0.102890,0.143842", \ - "0.057827,0.061611,0.065237,0.071778,0.083479,0.104713,0.145672", \ - "0.058238,0.062022,0.065646,0.072184,0.083883,0.105118,0.146074", \ - "0.056951,0.060727,0.064348,0.070884,0.082585,0.103818,0.144772"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009467,0.011242,0.012979,0.016133,0.021860,0.032717,0.055609", \ - "0.009466,0.011240,0.012981,0.016135,0.021860,0.032717,0.055608", \ - "0.009467,0.011241,0.012980,0.016136,0.021862,0.032716,0.055609", \ - "0.009469,0.011246,0.012986,0.016140,0.021865,0.032718,0.055619", \ - "0.009506,0.011275,0.013014,0.016167,0.021888,0.032737,0.055618", \ - "0.009638,0.011401,0.013134,0.016272,0.021970,0.032792,0.055626", \ - "0.009844,0.011617,0.013347,0.016477,0.022148,0.032923,0.055705"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.005998,0.008059,0.010442,0.015105,0.023919,0.041633,0.079040", \ - "0.005997,0.008061,0.010442,0.015102,0.023920,0.041637,0.079038", \ - "0.005994,0.008059,0.010440,0.015104,0.023919,0.041632,0.079040", \ - "0.005998,0.008064,0.010444,0.015106,0.023921,0.041633,0.079035", \ - "0.005998,0.008064,0.010446,0.015106,0.023924,0.041635,0.079030", \ - "0.005995,0.008062,0.010442,0.015104,0.023924,0.041642,0.079037", \ - "0.005987,0.008055,0.010440,0.015105,0.023922,0.041637,0.079039"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.047417,0.051628,0.055508,0.061956,0.072360,0.089361,0.119641", \ - "0.048377,0.052589,0.056470,0.062916,0.073321,0.090322,0.120603", \ - "0.051283,0.055492,0.059372,0.065819,0.076225,0.093226,0.123506", \ - "0.056559,0.060773,0.064644,0.071083,0.081468,0.098455,0.128722", \ - "0.065554,0.069777,0.073652,0.080056,0.090377,0.107298,0.137490", \ - "0.077025,0.081372,0.085370,0.091927,0.102329,0.119236,0.149346", \ - "0.091045,0.095487,0.099571,0.106224,0.116655,0.133540,0.163572"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009966,0.011717,0.013338,0.016051,0.020942,0.031126,0.054229", \ - "0.009972,0.011717,0.013339,0.016051,0.020941,0.031124,0.054227", \ - "0.009969,0.011719,0.013340,0.016052,0.020941,0.031125,0.054236", \ - "0.009964,0.011716,0.013346,0.016065,0.020959,0.031133,0.054231", \ - "0.010590,0.012265,0.013806,0.016404,0.021173,0.031255,0.054269", \ - "0.011823,0.013488,0.014997,0.017426,0.021864,0.031616,0.054386", \ - "0.013176,0.014782,0.016212,0.018453,0.022576,0.032057,0.054584"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.047417,0.051628,0.055509,0.061956,0.072360,0.089361,0.119641", \ - "0.048377,0.052589,0.056469,0.062917,0.073321,0.090322,0.120603", \ - "0.051283,0.055492,0.059372,0.065819,0.076225,0.093226,0.123506", \ - "0.056559,0.060773,0.064644,0.071083,0.081468,0.098455,0.128723", \ - "0.065554,0.069777,0.073652,0.080056,0.090377,0.107298,0.137490", \ - "0.077025,0.081372,0.085370,0.091927,0.102329,0.119236,0.149346", \ - "0.091045,0.095487,0.099571,0.106224,0.116655,0.133540,0.163572"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009966,0.011717,0.013338,0.016051,0.020942,0.031126,0.054229", \ - "0.009972,0.011717,0.013339,0.016052,0.020942,0.031124,0.054227", \ - "0.009968,0.011719,0.013340,0.016052,0.020941,0.031125,0.054236", \ - "0.009964,0.011716,0.013343,0.016065,0.020959,0.031133,0.054231", \ - "0.010590,0.012265,0.013806,0.016404,0.021173,0.031255,0.054269", \ - "0.011823,0.013488,0.014997,0.017426,0.021864,0.031616,0.054386", \ - "0.013176,0.014782,0.016212,0.018453,0.022576,0.032057,0.054584"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.047417,0.051628,0.055509,0.061956,0.072359,0.089361,0.119640", \ - "0.048377,0.052590,0.056469,0.062917,0.073321,0.090322,0.120602", \ - "0.051283,0.055492,0.059372,0.065820,0.076224,0.093226,0.123506", \ - "0.056559,0.060773,0.064644,0.071083,0.081468,0.098455,0.128722", \ - "0.065554,0.069777,0.073652,0.080056,0.090377,0.107297,0.137490", \ - "0.077025,0.081372,0.085370,0.091927,0.102329,0.119236,0.149346", \ - "0.091045,0.095487,0.099571,0.106224,0.116654,0.133540,0.163573"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009965,0.011717,0.013338,0.016051,0.020942,0.031126,0.054229", \ - "0.009972,0.011717,0.013339,0.016051,0.020942,0.031124,0.054227", \ - "0.009968,0.011719,0.013340,0.016051,0.020941,0.031125,0.054236", \ - "0.009964,0.011716,0.013343,0.016065,0.020959,0.031133,0.054231", \ - "0.010590,0.012265,0.013805,0.016404,0.021173,0.031254,0.054269", \ - "0.011823,0.013488,0.014997,0.017426,0.021864,0.031616,0.054386", \ - "0.013176,0.014782,0.016212,0.018453,0.022576,0.032057,0.054584"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.047405,0.051616,0.055497,0.061945,0.072348,0.089348,0.119625", \ - "0.048367,0.052580,0.056460,0.062907,0.073311,0.090312,0.120584", \ - "0.051268,0.055479,0.059359,0.065805,0.076210,0.093210,0.123490", \ - "0.056534,0.060745,0.064611,0.071048,0.081434,0.098428,0.128689", \ - "0.065527,0.069750,0.073624,0.080023,0.090342,0.107264,0.137459", \ - "0.077025,0.081370,0.085363,0.091916,0.102312,0.119224,0.149332", \ - "0.091046,0.095485,0.099566,0.106223,0.116644,0.133534,0.163567"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009971,0.011715,0.013335,0.016048,0.020937,0.031115,0.054216", \ - "0.009970,0.011715,0.013335,0.016049,0.020937,0.031117,0.054227", \ - "0.009965,0.011717,0.013336,0.016048,0.020938,0.031121,0.054226", \ - "0.009962,0.011718,0.013344,0.016062,0.020954,0.031131,0.054227", \ - "0.010590,0.012265,0.013803,0.016400,0.021169,0.031248,0.054261", \ - "0.011817,0.013487,0.014995,0.017424,0.021859,0.031611,0.054378", \ - "0.013175,0.014783,0.016211,0.018450,0.022571,0.032050,0.054575"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.047405,0.051616,0.055497,0.061945,0.072348,0.089350,0.119622", \ - "0.048366,0.052579,0.056460,0.062907,0.073311,0.090312,0.120584", \ - "0.051268,0.055478,0.059359,0.065805,0.076211,0.093211,0.123490", \ - "0.056533,0.060745,0.064611,0.071048,0.081434,0.098428,0.128689", \ - "0.065527,0.069750,0.073624,0.080023,0.090342,0.107268,0.137459", \ - "0.077025,0.081370,0.085363,0.091916,0.102313,0.119224,0.149332", \ - "0.091041,0.095481,0.099560,0.106217,0.116644,0.133534,0.163567"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009964,0.011715,0.013335,0.016048,0.020937,0.031119,0.054224", \ - "0.009970,0.011715,0.013335,0.016049,0.020937,0.031118,0.054227", \ - "0.009965,0.011717,0.013336,0.016048,0.020938,0.031121,0.054226", \ - "0.009963,0.011718,0.013341,0.016062,0.020954,0.031131,0.054227", \ - "0.010590,0.012265,0.013803,0.016400,0.021169,0.031249,0.054261", \ - "0.011817,0.013487,0.014995,0.017424,0.021859,0.031609,0.054378", \ - "0.013176,0.014783,0.016215,0.018452,0.022572,0.032050,0.054574"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.047405,0.051616,0.055497,0.061945,0.072348,0.089348,0.119622", \ - "0.048366,0.052580,0.056460,0.062907,0.073311,0.090312,0.120584", \ - "0.051268,0.055479,0.059359,0.065805,0.076211,0.093211,0.123490", \ - "0.056534,0.060745,0.064611,0.071048,0.081434,0.098428,0.128689", \ - "0.065527,0.069750,0.073624,0.080023,0.090342,0.107268,0.137459", \ - "0.077025,0.081370,0.085363,0.091916,0.102313,0.119224,0.149332", \ - "0.091041,0.095481,0.099560,0.106217,0.116644,0.133534,0.163567"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009964,0.011715,0.013335,0.016048,0.020937,0.031113,0.054225", \ - "0.009970,0.011715,0.013335,0.016049,0.020937,0.031118,0.054227", \ - "0.009965,0.011717,0.013336,0.016048,0.020938,0.031121,0.054226", \ - "0.009962,0.011718,0.013341,0.016062,0.020954,0.031131,0.054227", \ - "0.010590,0.012265,0.013803,0.016400,0.021169,0.031249,0.054261", \ - "0.011817,0.013487,0.014995,0.017424,0.021859,0.031611,0.054378", \ - "0.013176,0.014783,0.016215,0.018452,0.022572,0.032050,0.054574"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.047416,0.051628,0.055508,0.061956,0.072359,0.089361,0.119640", \ - "0.048377,0.052590,0.056469,0.062916,0.073320,0.090322,0.120602", \ - "0.051282,0.055492,0.059372,0.065819,0.076224,0.093226,0.123506", \ - "0.056559,0.060773,0.064644,0.071083,0.081468,0.098455,0.128722", \ - "0.065554,0.069777,0.073652,0.080055,0.090377,0.107297,0.137490", \ - "0.077025,0.081373,0.085370,0.091927,0.102329,0.119236,0.149346", \ - "0.091045,0.095487,0.099571,0.106224,0.116654,0.133540,0.163573"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009968,0.011717,0.013338,0.016051,0.020942,0.031126,0.054229", \ - "0.009972,0.011717,0.013339,0.016051,0.020942,0.031124,0.054227", \ - "0.009969,0.011719,0.013340,0.016051,0.020941,0.031125,0.054236", \ - "0.009964,0.011716,0.013343,0.016065,0.020959,0.031133,0.054231", \ - "0.010590,0.012265,0.013805,0.016404,0.021173,0.031254,0.054269", \ - "0.011823,0.013488,0.014997,0.017426,0.021864,0.031616,0.054386", \ - "0.013176,0.014782,0.016212,0.018453,0.022576,0.032057,0.054584"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.047405,0.051616,0.055497,0.061945,0.072348,0.089350,0.119623", \ - "0.048367,0.052580,0.056460,0.062907,0.073311,0.090312,0.120584", \ - "0.051268,0.055479,0.059359,0.065805,0.076211,0.093211,0.123490", \ - "0.056535,0.060745,0.064611,0.071048,0.081434,0.098428,0.128689", \ - "0.065527,0.069750,0.073624,0.080023,0.090342,0.107268,0.137459", \ - "0.077025,0.081370,0.085363,0.091916,0.102313,0.119224,0.149332", \ - "0.091041,0.095481,0.099560,0.106217,0.116644,0.133534,0.163567"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009971,0.011715,0.013335,0.016048,0.020937,0.031116,0.054226", \ - "0.009970,0.011715,0.013335,0.016049,0.020937,0.031117,0.054227", \ - "0.009965,0.011717,0.013336,0.016048,0.020938,0.031121,0.054226", \ - "0.009962,0.011718,0.013344,0.016062,0.020954,0.031131,0.054227", \ - "0.010590,0.012265,0.013803,0.016400,0.021169,0.031249,0.054261", \ - "0.011817,0.013487,0.014995,0.017424,0.021859,0.031611,0.054378", \ - "0.013176,0.014783,0.016215,0.018452,0.022572,0.032050,0.054574"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.094398,0.098566,0.102430,0.109042,0.120217,0.138532,0.170074", \ - "0.095226,0.099384,0.103231,0.109801,0.120933,0.139232,0.170756", \ - "0.099229,0.103378,0.107221,0.113767,0.124866,0.143141,0.174654", \ - "0.107504,0.111648,0.115488,0.122030,0.133118,0.151388,0.182909", \ - "0.118474,0.122625,0.126461,0.133002,0.144076,0.162338,0.193843", \ - "0.131222,0.135372,0.139210,0.145742,0.156798,0.175073,0.206559", \ - "0.146076,0.150227,0.154061,0.160582,0.171601,0.189722,0.221216"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009588,0.011412,0.013251,0.016653,0.022587,0.033293,0.055909", \ - "0.009561,0.011363,0.013174,0.016546,0.022496,0.033246,0.055908", \ - "0.009546,0.011346,0.013141,0.016484,0.022437,0.033213,0.055892", \ - "0.009543,0.011341,0.013129,0.016463,0.022415,0.033202,0.055890", \ - "0.009547,0.011342,0.013125,0.016453,0.022403,0.033199,0.055875", \ - "0.009549,0.011337,0.013121,0.016448,0.022397,0.033192,0.055889", \ - "0.009544,0.011336,0.013106,0.016380,0.022268,0.033088,0.055832"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.094399,0.098566,0.102430,0.109042,0.120217,0.138532,0.170073", \ - "0.095226,0.099384,0.103232,0.109802,0.120933,0.139232,0.170757", \ - "0.099229,0.103378,0.107221,0.113768,0.124866,0.143141,0.174655", \ - "0.107504,0.111648,0.115486,0.122031,0.133118,0.151389,0.182909", \ - "0.118474,0.122625,0.126461,0.133003,0.144076,0.162338,0.193843", \ - "0.131222,0.135372,0.139210,0.145742,0.156798,0.175073,0.206559", \ - "0.146076,0.150227,0.154061,0.160582,0.171601,0.189722,0.221216"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009588,0.011412,0.013251,0.016653,0.022587,0.033293,0.055911", \ - "0.009561,0.011363,0.013174,0.016546,0.022496,0.033246,0.055908", \ - "0.009546,0.011346,0.013141,0.016484,0.022437,0.033213,0.055892", \ - "0.009543,0.011341,0.013128,0.016463,0.022415,0.033202,0.055890", \ - "0.009547,0.011342,0.013125,0.016453,0.022403,0.033199,0.055875", \ - "0.009549,0.011337,0.013121,0.016448,0.022397,0.033192,0.055889", \ - "0.009544,0.011336,0.013106,0.016380,0.022268,0.033088,0.055832"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.094395,0.098562,0.102427,0.109039,0.120214,0.138529,0.170062", \ - "0.095222,0.099381,0.103228,0.109798,0.120930,0.139229,0.170753", \ - "0.099225,0.103374,0.107217,0.113764,0.124863,0.143138,0.174652", \ - "0.107500,0.111649,0.115485,0.122027,0.133114,0.151385,0.182906", \ - "0.118471,0.122622,0.126458,0.132999,0.144073,0.162337,0.193840", \ - "0.131219,0.135369,0.139207,0.145739,0.156795,0.175070,0.206557", \ - "0.146073,0.150225,0.154058,0.160579,0.171599,0.189719,0.221214"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009587,0.011412,0.013251,0.016653,0.022586,0.033292,0.055907", \ - "0.009561,0.011362,0.013173,0.016545,0.022496,0.033246,0.055908", \ - "0.009546,0.011345,0.013140,0.016484,0.022436,0.033213,0.055892", \ - "0.009542,0.011341,0.013128,0.016463,0.022414,0.033201,0.055890", \ - "0.009546,0.011341,0.013124,0.016453,0.022403,0.033198,0.055875", \ - "0.009548,0.011337,0.013120,0.016448,0.022396,0.033192,0.055889", \ - "0.009546,0.011335,0.013105,0.016380,0.022269,0.033088,0.055832"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.094383,0.098545,0.102409,0.109017,0.120196,0.138510,0.170051", \ - "0.095208,0.099366,0.103212,0.109782,0.120914,0.139212,0.170733", \ - "0.099211,0.103359,0.107199,0.113747,0.124845,0.143120,0.174633", \ - "0.107487,0.111634,0.115470,0.122011,0.133098,0.151369,0.182887", \ - "0.118458,0.122608,0.126444,0.132982,0.144057,0.162318,0.193823", \ - "0.131205,0.135359,0.139193,0.145727,0.156780,0.175055,0.206540", \ - "0.146062,0.150212,0.154046,0.160567,0.171585,0.189704,0.221197"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009573,0.011411,0.013247,0.016649,0.022581,0.033289,0.055905", \ - "0.009556,0.011358,0.013169,0.016541,0.022491,0.033242,0.055897", \ - "0.009543,0.011341,0.013134,0.016479,0.022431,0.033209,0.055889", \ - "0.009542,0.011337,0.013124,0.016459,0.022410,0.033198,0.055890", \ - "0.009543,0.011338,0.013121,0.016449,0.022399,0.033195,0.055873", \ - "0.009543,0.011335,0.013116,0.016443,0.022392,0.033189,0.055881", \ - "0.009541,0.011332,0.013102,0.016376,0.022266,0.033083,0.055831"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.094383,0.098545,0.102409,0.109017,0.120197,0.138510,0.170047", \ - "0.095208,0.099366,0.103212,0.109782,0.120914,0.139212,0.170733", \ - "0.099211,0.103359,0.107199,0.113747,0.124845,0.143120,0.174633", \ - "0.107487,0.111633,0.115470,0.122011,0.133098,0.151369,0.182887", \ - "0.118458,0.122608,0.126444,0.132982,0.144057,0.162318,0.193823", \ - "0.131205,0.135359,0.139193,0.145727,0.156780,0.175055,0.206540", \ - "0.146062,0.150212,0.154046,0.160567,0.171585,0.189704,0.221197"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009573,0.011411,0.013247,0.016649,0.022581,0.033289,0.055905", \ - "0.009556,0.011358,0.013169,0.016541,0.022491,0.033242,0.055897", \ - "0.009543,0.011341,0.013134,0.016479,0.022431,0.033209,0.055889", \ - "0.009542,0.011337,0.013124,0.016459,0.022410,0.033198,0.055890", \ - "0.009543,0.011338,0.013121,0.016449,0.022399,0.033195,0.055873", \ - "0.009543,0.011335,0.013116,0.016443,0.022393,0.033189,0.055881", \ - "0.009541,0.011332,0.013102,0.016376,0.022266,0.033083,0.055831"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.094383,0.098545,0.102409,0.109017,0.120197,0.138510,0.170047", \ - "0.095208,0.099366,0.103212,0.109782,0.120914,0.139212,0.170733", \ - "0.099211,0.103359,0.107199,0.113747,0.124845,0.143120,0.174633", \ - "0.107487,0.111633,0.115470,0.122011,0.133098,0.151369,0.182887", \ - "0.118458,0.122608,0.126444,0.132982,0.144057,0.162318,0.193823", \ - "0.131205,0.135359,0.139193,0.145727,0.156780,0.175055,0.206540", \ - "0.146062,0.150212,0.154046,0.160567,0.171585,0.189704,0.221197"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009573,0.011411,0.013247,0.016649,0.022581,0.033289,0.055905", \ - "0.009556,0.011358,0.013169,0.016541,0.022491,0.033242,0.055897", \ - "0.009543,0.011341,0.013134,0.016479,0.022431,0.033209,0.055889", \ - "0.009542,0.011337,0.013124,0.016459,0.022410,0.033198,0.055890", \ - "0.009543,0.011338,0.013121,0.016449,0.022399,0.033195,0.055873", \ - "0.009543,0.011335,0.013116,0.016443,0.022393,0.033189,0.055881", \ - "0.009541,0.011332,0.013102,0.016376,0.022266,0.033083,0.055831"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.094395,0.098562,0.102427,0.109039,0.120214,0.138528,0.170062", \ - "0.095222,0.099381,0.103228,0.109798,0.120930,0.139229,0.170753", \ - "0.099225,0.103374,0.107217,0.113764,0.124863,0.143138,0.174652", \ - "0.107501,0.111645,0.115482,0.122027,0.133114,0.151385,0.182906", \ - "0.118471,0.122622,0.126458,0.132999,0.144073,0.162337,0.193840", \ - "0.131219,0.135369,0.139207,0.145739,0.156796,0.175070,0.206557", \ - "0.146073,0.150225,0.154058,0.160579,0.171599,0.189719,0.221214"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009587,0.011412,0.013251,0.016653,0.022586,0.033293,0.055907", \ - "0.009561,0.011362,0.013173,0.016545,0.022496,0.033246,0.055908", \ - "0.009546,0.011345,0.013140,0.016484,0.022436,0.033213,0.055892", \ - "0.009542,0.011341,0.013130,0.016463,0.022414,0.033201,0.055890", \ - "0.009546,0.011341,0.013124,0.016453,0.022403,0.033198,0.055875", \ - "0.009548,0.011339,0.013120,0.016448,0.022396,0.033192,0.055889", \ - "0.009546,0.011335,0.013105,0.016380,0.022269,0.033088,0.055832"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.094383,0.098545,0.102409,0.109017,0.120197,0.138510,0.170045", \ - "0.095208,0.099366,0.103212,0.109782,0.120914,0.139212,0.170733", \ - "0.099211,0.103359,0.107199,0.113747,0.124845,0.143120,0.174633", \ - "0.107487,0.111633,0.115470,0.122011,0.133098,0.151369,0.182887", \ - "0.118458,0.122608,0.126444,0.132982,0.144057,0.162318,0.193823", \ - "0.131205,0.135359,0.139193,0.145727,0.156780,0.175055,0.206540", \ - "0.146061,0.150212,0.154046,0.160567,0.171585,0.189704,0.221197"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009573,0.011411,0.013247,0.016649,0.022581,0.033289,0.055906", \ - "0.009556,0.011358,0.013169,0.016541,0.022491,0.033242,0.055897", \ - "0.009543,0.011341,0.013134,0.016479,0.022431,0.033209,0.055889", \ - "0.009542,0.011337,0.013124,0.016459,0.022410,0.033198,0.055890", \ - "0.009543,0.011338,0.013121,0.016449,0.022399,0.033195,0.055873", \ - "0.009543,0.011335,0.013116,0.016443,0.022393,0.033189,0.055881", \ - "0.009541,0.011332,0.013102,0.016376,0.022266,0.033083,0.055831"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("14.559930,14.047880,13.482900,12.683920,11.982560,11.527470,11.165550", \ - "14.516830,14.038580,13.475680,12.661130,11.973280,11.502100,11.109930", \ - "14.611280,14.066870,13.551490,12.769860,12.051910,11.583360,11.273370", \ - "15.013510,14.481030,13.935350,13.135970,12.434820,11.968070,11.447880", \ - "15.754250,15.312780,14.762570,13.928030,13.217110,12.727530,12.436590", \ - "17.228250,16.728630,16.051320,15.335920,14.593110,14.081970,13.707320", \ - "19.084320,18.470910,18.037170,17.214660,16.487200,15.997210,15.316970"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("11.501690,10.985450,10.727760,10.597740,10.637220,10.483730,10.408980", \ - "11.494420,10.976860,10.720120,10.617330,10.628880,10.505670,10.399330", \ - "11.580520,11.069570,10.829160,10.694940,10.723010,10.567260,10.453440", \ - "11.966450,11.442390,11.188900,11.033510,11.075410,10.961740,10.567280", \ - "12.725670,12.204770,11.973820,11.855570,11.861830,11.730010,11.626680", \ - "13.980880,13.459640,13.227620,13.089200,13.075800,12.920550,12.861300", \ - "15.470420,14.981790,14.768950,14.709340,14.778880,14.665720,14.334180"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.635590,13.083530,12.432490,11.657830,10.823550,10.300410,10.021830", \ - "13.638040,13.061930,12.414660,11.635800,10.802940,10.275370,10.016000", \ - "13.556370,13.054160,12.406680,11.609410,10.841120,10.248440,10.032760", \ - "13.678020,13.160710,12.502390,11.650530,10.939220,10.364040,10.022750", \ - "14.476300,13.903780,13.207340,12.344300,11.552160,10.997400,10.296760", \ - "15.911940,15.266960,14.584040,13.591100,12.659060,11.906880,11.115750", \ - "17.658150,17.001180,16.195900,15.161760,14.129630,13.078700,12.539120"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.635440,13.083360,12.432320,11.657630,10.823250,10.300080,10.022440", \ - "13.637900,13.061770,12.369250,11.524800,10.805490,10.275000,10.015570", \ - "13.615290,13.054000,12.406510,11.609200,10.840860,10.248090,10.032330", \ - "13.677860,13.160550,12.502470,11.650330,10.938970,10.363660,10.022300", \ - "14.476130,13.903640,13.207170,12.344090,11.551930,10.997110,10.296410", \ - "15.911800,15.266770,14.583910,13.590930,12.658860,11.906620,11.116050", \ - "17.658070,17.001080,16.195820,15.161670,14.129460,13.078480,12.538800"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.635370,13.083190,12.432180,11.657320,10.823650,10.300120,10.022330", \ - "13.637870,13.062080,12.369100,11.635460,10.805280,10.293700,10.015610", \ - "13.621530,13.053870,12.406360,11.608990,10.840920,10.248650,10.032450", \ - "13.677740,13.160410,12.502250,11.650170,10.938880,10.363750,10.022470", \ - "14.476050,13.903480,13.207020,12.344010,11.551860,10.997040,10.296630", \ - "15.911700,15.270540,14.587130,13.590780,12.658790,11.906630,11.114750", \ - "17.657940,17.000970,16.195670,15.161510,14.129400,13.078470,12.538790"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("14.617890,14.066050,13.377910,12.531400,11.845920,11.280360,11.088400", \ - "14.622550,14.044250,13.385390,12.502620,11.787490,11.250380,10.933260", \ - "14.623240,14.060120,13.457420,12.641620,11.886590,11.286360,11.039010", \ - "14.764990,14.248790,13.598870,12.747460,12.046640,11.448510,10.935300", \ - "15.738660,15.159350,14.469980,13.576040,12.818850,12.245970,11.514480", \ - "17.417650,16.701930,16.064460,15.115740,14.184190,13.436510,12.645380", \ - "19.514070,18.868100,18.108450,17.088920,16.030190,14.998930,14.441300"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("14.617880,14.066210,13.379290,12.531680,11.846220,11.281280,10.777690", \ - "14.622840,14.044430,13.385320,12.502820,11.787170,11.250740,10.933750", \ - "14.623420,14.060190,13.324910,12.641630,11.886920,11.287660,11.039480", \ - "14.722080,14.248910,13.599200,12.747660,12.046850,11.448780,10.935850", \ - "15.738800,15.159500,14.470100,13.576200,12.819100,12.258840,11.515110", \ - "17.417720,16.697910,16.064590,15.115860,14.184340,13.436820,12.645910", \ - "19.513190,18.869310,18.131590,17.075420,16.029920,14.998460,14.441570"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("14.617920,14.066240,13.379280,12.531700,11.846250,11.285150,10.755700", \ - "14.622880,14.044450,13.385440,12.502860,11.787150,11.250750,10.933770", \ - "14.623450,14.060300,13.327390,12.641630,11.886960,11.287710,11.039510", \ - "14.765190,14.248960,13.599230,12.747690,12.046870,11.448800,10.935840", \ - "15.738840,15.159540,14.470140,13.576230,12.819110,12.258830,11.515130", \ - "17.417770,16.697990,16.064620,15.115900,14.184370,13.436840,12.645900", \ - "19.513230,18.869360,18.131670,17.075440,16.029940,14.998490,14.441580"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.644100,13.083000,12.431900,11.657190,10.823320,10.324660,10.022520", \ - "13.637700,13.061870,12.368880,11.635170,10.804900,10.292700,10.014930", \ - "13.559190,13.053610,12.406090,11.608730,10.840560,10.248290,10.031770", \ - "13.677520,13.160170,12.502070,11.649910,10.938520,10.363280,10.021820", \ - "14.475860,13.903240,13.206790,12.343770,11.551530,10.996540,10.295160", \ - "15.911500,15.272110,14.588360,13.590500,12.658450,11.906180,11.113190", \ - "17.657750,17.000780,16.195450,15.161260,14.129100,13.078080,12.538210"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("14.618170,14.066350,13.378960,12.531810,11.846350,11.346880,10.753520", \ - "14.622840,14.044550,13.385830,12.502970,11.787300,11.250860,10.933890", \ - "14.623530,14.060420,13.325410,12.641600,11.887080,11.287870,11.039630", \ - "14.757310,14.249080,13.599150,12.747770,12.046950,11.448910,10.935890", \ - "15.738930,15.159630,14.470260,13.576330,12.819210,12.258910,11.515220", \ - "17.417960,16.698000,16.064720,15.116020,14.184470,13.436910,12.645920", \ - "19.513320,18.869480,18.131790,17.075510,16.030010,14.998530,14.441650"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("15.204180,14.714410,14.100110,13.485330,12.685060,12.180210,11.965440", \ - "15.153200,14.724210,14.186980,13.364530,12.653860,12.206270,11.727900", \ - "15.121450,14.706670,14.173630,13.378490,12.568740,12.123130,11.837670", \ - "15.225110,14.742120,14.232580,13.463200,12.641190,12.201060,11.725830", \ - "15.359860,14.942190,14.404110,13.588210,12.897700,12.352140,12.073510", \ - "15.666330,15.179190,14.600640,13.837870,13.181570,12.629630,12.159220", \ - "15.975910,15.517220,15.000150,14.236150,13.397330,12.975380,12.389450"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("15.192170,14.714160,14.099950,13.485340,12.684770,12.183750,11.938310", \ - "15.153040,14.723960,14.186780,13.364380,12.653570,12.205930,11.727480", \ - "15.084500,14.705870,14.173380,13.378240,12.568450,12.122780,11.836860", \ - "15.225080,14.741890,14.182270,13.463000,12.640900,12.200720,11.725440", \ - "15.359660,14.941920,14.403810,13.587970,12.897490,12.351990,12.073130", \ - "15.666130,15.178990,14.601980,13.837570,13.181320,12.629340,12.158870", \ - "15.975720,15.517100,14.999990,14.235970,13.397120,12.975140,12.389110"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("15.200710,14.713620,14.098280,13.483050,12.683760,12.179730,11.937850", \ - "15.152070,14.723320,14.185300,13.362520,12.652670,12.204950,11.726600", \ - "15.124940,14.703020,14.172650,13.377380,12.567790,12.121860,11.843580", \ - "15.221870,14.739520,14.231010,13.461320,12.640000,12.199830,11.724560", \ - "15.358880,14.942230,14.403210,13.587120,12.895590,12.353890,12.072290", \ - "15.665740,15.178480,14.579680,13.839090,13.133510,12.628530,12.158050", \ - "15.979140,15.515920,14.999370,14.235420,13.396240,12.974380,12.388410"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("15.219120,14.738170,14.268580,13.478030,12.672460,12.161840,11.918190", \ - "15.122100,14.705950,14.068930,13.316770,12.641350,12.190760,11.830370", \ - "15.116890,14.691070,14.164930,13.369080,12.653960,12.107840,11.816800", \ - "15.220580,14.730950,14.214170,13.390580,12.629450,12.185610,11.706240", \ - "15.348990,14.931820,14.392700,13.590030,12.881730,12.315510,12.053820", \ - "15.660250,15.172200,14.640370,13.850190,13.058800,12.614530,12.316980", \ - "15.934750,15.506100,14.991860,14.192430,13.385780,12.955080,12.371050"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("15.219470,14.740060,14.268850,13.478570,12.686190,12.156160,11.919160", \ - "15.122170,14.706220,14.069180,13.317010,12.641730,12.191220,11.831040", \ - "15.117140,14.691400,14.165220,13.369400,12.654280,12.108260,11.816950", \ - "15.221050,14.732340,14.214440,13.390840,12.629820,12.186030,11.706850", \ - "15.349220,14.932010,14.392960,13.590290,12.882060,12.315940,12.054340", \ - "15.660420,15.172390,14.640530,13.850380,13.059280,12.614910,12.317580", \ - "15.934570,15.506230,14.992040,14.192640,13.386010,12.955440,12.371360"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("15.219590,14.741380,14.268910,13.478810,12.693390,12.156410,11.919210", \ - "15.122200,14.706270,14.069230,13.316930,12.641750,12.191240,11.830980", \ - "15.117190,14.691440,14.165260,13.369430,12.654320,12.108290,11.816960", \ - "15.221250,14.694600,14.214470,13.390860,12.629840,12.186050,11.706840", \ - "15.349270,14.932070,14.393000,13.590330,12.882090,12.315960,12.054360", \ - "15.660480,15.172440,14.640570,13.850440,13.059320,12.614930,12.317560", \ - "15.934780,15.506280,14.992070,14.192670,13.386040,12.955450,12.371450"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("15.200360,14.713230,14.097890,13.482620,12.683280,12.174840,11.937100", \ - "15.151710,14.722930,14.184900,13.362120,12.652180,12.204350,11.725830", \ - "15.124620,14.702650,14.172240,13.376940,12.567300,12.121260,11.842700", \ - "15.221520,14.703440,14.233280,13.460900,12.639500,12.199240,11.723810", \ - "15.358500,14.941830,14.402830,13.586680,12.895120,12.353320,12.071550", \ - "15.665370,15.178310,14.579200,13.838640,13.122910,12.627970,12.157350", \ - "15.978880,15.515600,14.999030,14.235060,13.395820,12.973870,12.387730"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("15.219590,14.738970,14.269040,13.478540,12.683720,12.162710,11.920210", \ - "15.122450,14.706400,14.069390,13.317030,12.641860,12.191340,11.831090", \ - "15.117320,14.691550,14.165390,13.369550,12.654440,12.108420,11.817370", \ - "15.221180,14.694080,14.214610,13.390960,12.629970,12.186180,11.706900", \ - "15.349430,14.932220,14.393140,13.590470,12.882210,12.316050,12.054460", \ - "15.660630,15.172590,14.640690,13.850580,13.059470,12.615030,12.317580", \ - "15.934840,15.506430,14.992200,14.192780,13.386160,12.955520,12.371600"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.063613,0.065549,0.067592,0.071545,0.079030,0.093692,0.123185", \ - "0.064366,0.066305,0.068349,0.072300,0.079787,0.094449,0.123943", \ - "0.067159,0.069095,0.071139,0.075092,0.082578,0.097241,0.126733", \ - "0.069935,0.071875,0.073916,0.077871,0.085353,0.100013,0.129502", \ - "0.071760,0.073691,0.075738,0.079687,0.087171,0.101835,0.131327", \ - "0.072168,0.074106,0.076149,0.080098,0.087581,0.102247,0.131732", \ - "0.070876,0.072809,0.074850,0.078798,0.086287,0.100952,0.130440"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.066251,0.068235,0.070247,0.074458,0.083701,0.103498,0.144216", \ - "0.066999,0.068977,0.070994,0.075203,0.084447,0.104249,0.144964", \ - "0.069581,0.071564,0.073579,0.077787,0.087028,0.106831,0.147544", \ - "0.072119,0.074101,0.076114,0.080320,0.089562,0.109361,0.150072", \ - "0.073867,0.075847,0.077860,0.082070,0.091305,0.111099,0.151814", \ - "0.074735,0.076709,0.078719,0.082918,0.092140,0.111916,0.152618", \ - "0.074362,0.076324,0.078329,0.082506,0.091704,0.111450,0.152131"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004084,0.005316,0.006536,0.009101,0.014740,0.027077,0.052661", \ - "0.004087,0.005316,0.006532,0.009100,0.014740,0.027078,0.052660", \ - "0.004086,0.005314,0.006533,0.009101,0.014740,0.027080,0.052660", \ - "0.004086,0.005314,0.006534,0.009101,0.014740,0.027084,0.052651", \ - "0.004083,0.005315,0.006532,0.009106,0.014739,0.027080,0.052666", \ - "0.004085,0.005317,0.006533,0.009106,0.014740,0.027084,0.052654", \ - "0.004088,0.005314,0.006533,0.009100,0.014740,0.027081,0.052661"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004518,0.006459,0.008287,0.012140,0.021146,0.040203,0.078662", \ - "0.004520,0.006458,0.008287,0.012141,0.021146,0.040202,0.078664", \ - "0.004519,0.006460,0.008289,0.012143,0.021144,0.040202,0.078663", \ - "0.004518,0.006459,0.008289,0.012142,0.021144,0.040202,0.078670", \ - "0.004524,0.006466,0.008293,0.012144,0.021145,0.040205,0.078663", \ - "0.004544,0.006483,0.008312,0.012158,0.021149,0.040204,0.078663", \ - "0.004589,0.006524,0.008351,0.012182,0.021157,0.040206,0.078668"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.066021,0.067949,0.069939,0.074125,0.083363,0.103187,0.143927", \ - "0.066980,0.068910,0.070900,0.075087,0.084324,0.104148,0.144891", \ - "0.069885,0.071813,0.073802,0.077990,0.087227,0.107052,0.147797", \ - "0.075153,0.077085,0.079076,0.083270,0.092503,0.112318,0.153050", \ - "0.084282,0.086199,0.088194,0.092363,0.101546,0.121299,0.161981", \ - "0.096546,0.098393,0.100342,0.104428,0.113483,0.133084,0.173669", \ - "0.111262,0.113039,0.114938,0.118936,0.127852,0.147317,0.187770"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004413,0.006314,0.008126,0.012024,0.021088,0.040189,0.078662", \ - "0.004416,0.006313,0.008126,0.012024,0.021088,0.040191,0.078657", \ - "0.004418,0.006313,0.008125,0.012024,0.021087,0.040188,0.078661", \ - "0.004414,0.006314,0.008127,0.012023,0.021088,0.040185,0.078655", \ - "0.004463,0.006353,0.008162,0.012047,0.021093,0.040192,0.078655", \ - "0.004671,0.006546,0.008339,0.012151,0.021125,0.040198,0.078651", \ - "0.004885,0.006727,0.008508,0.012261,0.021160,0.040204,0.078654"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.066021,0.067949,0.069939,0.074125,0.083363,0.103186,0.143927", \ - "0.066980,0.068910,0.070900,0.075087,0.084324,0.104148,0.144891", \ - "0.069883,0.071813,0.073802,0.077990,0.087227,0.107052,0.147797", \ - "0.075153,0.077085,0.079076,0.083270,0.092503,0.112318,0.153051", \ - "0.084282,0.086199,0.088194,0.092363,0.101546,0.121299,0.161981", \ - "0.096546,0.098393,0.100342,0.104428,0.113483,0.133084,0.173669", \ - "0.111262,0.113039,0.114939,0.118936,0.127852,0.147317,0.187770"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004414,0.006314,0.008126,0.012024,0.021088,0.040186,0.078662", \ - "0.004416,0.006314,0.008125,0.012024,0.021088,0.040191,0.078657", \ - "0.004418,0.006313,0.008125,0.012024,0.021087,0.040188,0.078661", \ - "0.004414,0.006314,0.008127,0.012023,0.021088,0.040185,0.078655", \ - "0.004463,0.006353,0.008162,0.012047,0.021093,0.040192,0.078655", \ - "0.004671,0.006546,0.008339,0.012151,0.021125,0.040198,0.078651", \ - "0.004885,0.006727,0.008508,0.012260,0.021160,0.040204,0.078654"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.066020,0.067949,0.069939,0.074125,0.083362,0.103186,0.143927", \ - "0.066979,0.068910,0.070899,0.075086,0.084323,0.104148,0.144891", \ - "0.069883,0.071813,0.073802,0.077990,0.087226,0.107051,0.147797", \ - "0.075153,0.077085,0.079076,0.083270,0.092503,0.112318,0.153050", \ - "0.084282,0.086199,0.088194,0.092363,0.101546,0.121298,0.161982", \ - "0.096546,0.098393,0.100342,0.104428,0.113482,0.133084,0.173669", \ - "0.111262,0.113039,0.114939,0.118936,0.127852,0.147317,0.187770"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004413,0.006314,0.008126,0.012024,0.021088,0.040187,0.078662", \ - "0.004416,0.006313,0.008125,0.012024,0.021088,0.040191,0.078657", \ - "0.004418,0.006313,0.008125,0.012024,0.021087,0.040188,0.078660", \ - "0.004414,0.006314,0.008127,0.012023,0.021088,0.040185,0.078655", \ - "0.004463,0.006353,0.008162,0.012047,0.021093,0.040192,0.078655", \ - "0.004671,0.006546,0.008339,0.012151,0.021125,0.040198,0.078651", \ - "0.004885,0.006728,0.008508,0.012261,0.021160,0.040204,0.078654"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.066007,0.067936,0.069926,0.074113,0.083350,0.103173,0.143916", \ - "0.066970,0.068899,0.070889,0.075075,0.084312,0.104134,0.144881", \ - "0.069868,0.071799,0.073788,0.077975,0.087213,0.107038,0.147779", \ - "0.075127,0.077055,0.079043,0.083233,0.092466,0.112290,0.153020", \ - "0.084255,0.086174,0.088165,0.092329,0.101508,0.121262,0.161951", \ - "0.096544,0.098392,0.100335,0.104415,0.113462,0.133069,0.173653", \ - "0.111262,0.113038,0.114933,0.118933,0.127838,0.147304,0.187759"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004412,0.006313,0.008127,0.012024,0.021087,0.040189,0.078657", \ - "0.004413,0.006314,0.008125,0.012023,0.021088,0.040190,0.078660", \ - "0.004417,0.006313,0.008126,0.012023,0.021086,0.040188,0.078663", \ - "0.004413,0.006314,0.008125,0.012023,0.021089,0.040186,0.078649", \ - "0.004462,0.006352,0.008162,0.012045,0.021094,0.040191,0.078651", \ - "0.004673,0.006544,0.008338,0.012152,0.021125,0.040195,0.078651", \ - "0.004884,0.006729,0.008508,0.012261,0.021162,0.040204,0.078660"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.066006,0.067936,0.069926,0.074113,0.083350,0.103174,0.143920", \ - "0.066969,0.068898,0.070889,0.075075,0.084312,0.104134,0.144881", \ - "0.069867,0.071798,0.073788,0.077975,0.087213,0.107039,0.147779", \ - "0.075126,0.077055,0.079043,0.083233,0.092467,0.112291,0.153020", \ - "0.084255,0.086174,0.088165,0.092329,0.101508,0.121266,0.161951", \ - "0.096544,0.098392,0.100335,0.104415,0.113462,0.133070,0.173653", \ - "0.111258,0.113033,0.114928,0.118928,0.127836,0.147304,0.187759"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004414,0.006313,0.008127,0.012024,0.021087,0.040186,0.078655", \ - "0.004412,0.006314,0.008127,0.012023,0.021088,0.040190,0.078660", \ - "0.004417,0.006313,0.008126,0.012023,0.021086,0.040188,0.078663", \ - "0.004417,0.006314,0.008125,0.012023,0.021089,0.040186,0.078649", \ - "0.004462,0.006352,0.008162,0.012045,0.021094,0.040190,0.078651", \ - "0.004673,0.006544,0.008338,0.012152,0.021125,0.040195,0.078651", \ - "0.004884,0.006728,0.008509,0.012261,0.021162,0.040204,0.078658"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.066006,0.067936,0.069926,0.074113,0.083350,0.103173,0.143920", \ - "0.066969,0.068899,0.070889,0.075075,0.084312,0.104134,0.144881", \ - "0.069867,0.071799,0.073788,0.077975,0.087213,0.107039,0.147779", \ - "0.075127,0.077055,0.079043,0.083233,0.092467,0.112291,0.153020", \ - "0.084255,0.086174,0.088165,0.092329,0.101508,0.121266,0.161951", \ - "0.096544,0.098392,0.100335,0.104415,0.113462,0.133069,0.173653", \ - "0.111258,0.113033,0.114928,0.118928,0.127836,0.147304,0.187759"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004414,0.006313,0.008127,0.012024,0.021087,0.040190,0.078652", \ - "0.004412,0.006314,0.008127,0.012023,0.021088,0.040190,0.078660", \ - "0.004417,0.006313,0.008126,0.012023,0.021086,0.040188,0.078663", \ - "0.004413,0.006314,0.008125,0.012023,0.021089,0.040186,0.078649", \ - "0.004462,0.006352,0.008162,0.012045,0.021094,0.040190,0.078651", \ - "0.004673,0.006544,0.008338,0.012152,0.021125,0.040195,0.078651", \ - "0.004884,0.006728,0.008509,0.012261,0.021162,0.040204,0.078658"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.066018,0.067949,0.069939,0.074125,0.083362,0.103186,0.143927", \ - "0.066981,0.068911,0.070900,0.075086,0.084323,0.104148,0.144891", \ - "0.069885,0.071813,0.073802,0.077990,0.087226,0.107051,0.147797", \ - "0.075153,0.077085,0.079076,0.083270,0.092503,0.112318,0.153050", \ - "0.084282,0.086199,0.088194,0.092363,0.101546,0.121298,0.161981", \ - "0.096546,0.098393,0.100342,0.104428,0.113482,0.133084,0.173669", \ - "0.111262,0.113039,0.114938,0.118936,0.127852,0.147316,0.187770"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004414,0.006314,0.008126,0.012024,0.021088,0.040189,0.078662", \ - "0.004415,0.006314,0.008126,0.012024,0.021088,0.040191,0.078657", \ - "0.004418,0.006313,0.008125,0.012024,0.021087,0.040188,0.078660", \ - "0.004414,0.006314,0.008127,0.012023,0.021088,0.040185,0.078655", \ - "0.004463,0.006353,0.008162,0.012047,0.021093,0.040192,0.078654", \ - "0.004671,0.006546,0.008339,0.012151,0.021125,0.040198,0.078651", \ - "0.004885,0.006728,0.008508,0.012261,0.021160,0.040204,0.078654"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.066007,0.067936,0.069926,0.074113,0.083350,0.103174,0.143920", \ - "0.066970,0.068899,0.070888,0.075075,0.084312,0.104134,0.144881", \ - "0.069868,0.071799,0.073788,0.077975,0.087213,0.107039,0.147779", \ - "0.075128,0.077055,0.079042,0.083233,0.092467,0.112291,0.153020", \ - "0.084255,0.086174,0.088165,0.092329,0.101508,0.121266,0.161951", \ - "0.096544,0.098392,0.100335,0.104415,0.113462,0.133069,0.173653", \ - "0.111258,0.113033,0.114928,0.118928,0.127836,0.147304,0.187759"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004412,0.006313,0.008127,0.012024,0.021087,0.040185,0.078650", \ - "0.004413,0.006314,0.008125,0.012023,0.021088,0.040190,0.078660", \ - "0.004417,0.006313,0.008126,0.012023,0.021086,0.040188,0.078663", \ - "0.004415,0.006314,0.008125,0.012023,0.021089,0.040186,0.078649", \ - "0.004462,0.006352,0.008162,0.012045,0.021094,0.040190,0.078651", \ - "0.004673,0.006544,0.008338,0.012152,0.021125,0.040195,0.078651", \ - "0.004884,0.006728,0.008509,0.012261,0.021162,0.040204,0.078658"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.113037,0.115030,0.117083,0.121340,0.130601,0.150402,0.191126", \ - "0.113771,0.115756,0.117808,0.122065,0.131338,0.151151,0.191875", \ - "0.117728,0.119708,0.121755,0.126010,0.135286,0.155100,0.195820", \ - "0.125990,0.127965,0.130010,0.134266,0.143545,0.163363,0.204088", \ - "0.136957,0.138932,0.140977,0.145235,0.154505,0.174320,0.215043", \ - "0.149701,0.151674,0.153720,0.157973,0.167234,0.187061,0.227760", \ - "0.164536,0.166510,0.168548,0.172799,0.182084,0.201833,0.242576"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004565,0.006535,0.008390,0.012241,0.021202,0.040222,0.078671", \ - "0.004542,0.006510,0.008364,0.012221,0.021196,0.040228,0.078673", \ - "0.004527,0.006495,0.008346,0.012209,0.021195,0.040230,0.078667", \ - "0.004523,0.006487,0.008339,0.012204,0.021191,0.040228,0.078670", \ - "0.004518,0.006484,0.008335,0.012202,0.021193,0.040221,0.078673", \ - "0.004516,0.006482,0.008334,0.012202,0.021188,0.040228,0.078669", \ - "0.004505,0.006465,0.008314,0.012185,0.021179,0.040218,0.078674"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.113037,0.115030,0.117084,0.121340,0.130602,0.150402,0.191129", \ - "0.113771,0.115757,0.117808,0.122065,0.131338,0.151151,0.191875", \ - "0.117727,0.119708,0.121756,0.126010,0.135286,0.155100,0.195820", \ - "0.125990,0.127965,0.130008,0.134266,0.143545,0.163363,0.204088", \ - "0.136957,0.138933,0.140977,0.145235,0.154505,0.174320,0.215043", \ - "0.149701,0.151673,0.153720,0.157974,0.167231,0.187062,0.227760", \ - "0.164536,0.166510,0.168549,0.172799,0.182085,0.201833,0.242576"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004567,0.006535,0.008390,0.012241,0.021202,0.040222,0.078674", \ - "0.004542,0.006510,0.008364,0.012221,0.021196,0.040228,0.078673", \ - "0.004527,0.006495,0.008346,0.012209,0.021195,0.040230,0.078667", \ - "0.004523,0.006487,0.008339,0.012204,0.021191,0.040228,0.078670", \ - "0.004518,0.006484,0.008335,0.012202,0.021193,0.040221,0.078673", \ - "0.004516,0.006482,0.008334,0.012202,0.021192,0.040228,0.078669", \ - "0.004505,0.006465,0.008314,0.012185,0.021179,0.040218,0.078674"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.113034,0.115026,0.117080,0.121337,0.130599,0.150399,0.191120", \ - "0.113767,0.115753,0.117804,0.122061,0.131335,0.151148,0.191872", \ - "0.117724,0.119704,0.121752,0.126007,0.135283,0.155097,0.195817", \ - "0.125986,0.127958,0.130006,0.134263,0.143542,0.163360,0.204086", \ - "0.136953,0.138929,0.140974,0.145232,0.154502,0.174319,0.215040", \ - "0.149698,0.151670,0.153717,0.157970,0.167229,0.187059,0.227758", \ - "0.164532,0.166507,0.168546,0.172797,0.182083,0.201830,0.242574"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004565,0.006536,0.008390,0.012239,0.021202,0.040222,0.078674", \ - "0.004542,0.006510,0.008364,0.012221,0.021196,0.040228,0.078673", \ - "0.004526,0.006492,0.008346,0.012209,0.021195,0.040230,0.078668", \ - "0.004522,0.006486,0.008339,0.012204,0.021191,0.040228,0.078670", \ - "0.004518,0.006484,0.008335,0.012202,0.021193,0.040221,0.078673", \ - "0.004516,0.006482,0.008335,0.012201,0.021192,0.040228,0.078669", \ - "0.004506,0.006465,0.008314,0.012185,0.021179,0.040218,0.078674"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.113015,0.115008,0.117061,0.121314,0.130581,0.150383,0.191113", \ - "0.113750,0.115735,0.117787,0.122044,0.131319,0.151134,0.191858", \ - "0.117708,0.119687,0.121735,0.125989,0.135267,0.155083,0.195805", \ - "0.125969,0.127944,0.129990,0.134247,0.143526,0.163347,0.204075", \ - "0.136936,0.138913,0.140957,0.145215,0.154488,0.174303,0.215028", \ - "0.149679,0.151656,0.153702,0.157957,0.167215,0.187046,0.227747", \ - "0.164520,0.166492,0.168532,0.172783,0.182070,0.201818,0.242563"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004567,0.006534,0.008389,0.012240,0.021202,0.040222,0.078674", \ - "0.004541,0.006509,0.008363,0.012221,0.021196,0.040227,0.078674", \ - "0.004523,0.006491,0.008345,0.012209,0.021195,0.040228,0.078668", \ - "0.004522,0.006486,0.008338,0.012203,0.021192,0.040228,0.078668", \ - "0.004517,0.006483,0.008334,0.012201,0.021192,0.040221,0.078673", \ - "0.004519,0.006481,0.008334,0.012200,0.021192,0.040228,0.078667", \ - "0.004506,0.006464,0.008313,0.012181,0.021179,0.040218,0.078673"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.113015,0.115008,0.117061,0.121314,0.130581,0.150390,0.191111", \ - "0.113750,0.115735,0.117787,0.122044,0.131319,0.151134,0.191858", \ - "0.117708,0.119687,0.121735,0.125989,0.135267,0.155083,0.195805", \ - "0.125969,0.127944,0.129990,0.134247,0.143526,0.163347,0.204075", \ - "0.136936,0.138913,0.140957,0.145215,0.154488,0.174303,0.215028", \ - "0.149679,0.151656,0.153702,0.157957,0.167215,0.187046,0.227747", \ - "0.164520,0.166492,0.168532,0.172784,0.182070,0.201818,0.242563"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004567,0.006534,0.008389,0.012240,0.021202,0.040221,0.078675", \ - "0.004541,0.006509,0.008363,0.012221,0.021196,0.040227,0.078674", \ - "0.004523,0.006491,0.008345,0.012209,0.021195,0.040228,0.078668", \ - "0.004522,0.006486,0.008338,0.012203,0.021192,0.040228,0.078668", \ - "0.004517,0.006483,0.008334,0.012201,0.021192,0.040221,0.078673", \ - "0.004519,0.006481,0.008334,0.012200,0.021192,0.040228,0.078667", \ - "0.004506,0.006464,0.008313,0.012181,0.021179,0.040218,0.078673"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.113015,0.115008,0.117061,0.121314,0.130581,0.150384,0.191110", \ - "0.113750,0.115735,0.117787,0.122044,0.131319,0.151134,0.191858", \ - "0.117708,0.119687,0.121735,0.125989,0.135267,0.155083,0.195805", \ - "0.125969,0.127945,0.129990,0.134247,0.143526,0.163347,0.204075", \ - "0.136936,0.138913,0.140957,0.145215,0.154488,0.174303,0.215028", \ - "0.149679,0.151656,0.153702,0.157957,0.167215,0.187046,0.227747", \ - "0.164520,0.166492,0.168532,0.172784,0.182070,0.201818,0.242563"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004567,0.006534,0.008389,0.012240,0.021202,0.040222,0.078676", \ - "0.004541,0.006509,0.008363,0.012221,0.021196,0.040227,0.078674", \ - "0.004523,0.006491,0.008345,0.012209,0.021195,0.040228,0.078668", \ - "0.004522,0.006486,0.008338,0.012203,0.021192,0.040228,0.078668", \ - "0.004517,0.006483,0.008334,0.012201,0.021192,0.040221,0.078673", \ - "0.004519,0.006481,0.008334,0.012200,0.021192,0.040228,0.078667", \ - "0.004506,0.006464,0.008313,0.012181,0.021179,0.040218,0.078673"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.113034,0.115027,0.117080,0.121337,0.130600,0.150399,0.191120", \ - "0.113767,0.115753,0.117804,0.122061,0.131335,0.151148,0.191872", \ - "0.117725,0.119704,0.121752,0.126007,0.135283,0.155097,0.195817", \ - "0.125986,0.127958,0.130005,0.134263,0.143542,0.163360,0.204086", \ - "0.136953,0.138929,0.140974,0.145232,0.154502,0.174319,0.215040", \ - "0.149698,0.151670,0.153717,0.157971,0.167228,0.187059,0.227758", \ - "0.164532,0.166507,0.168546,0.172797,0.182084,0.201830,0.242574"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004565,0.006535,0.008390,0.012239,0.021201,0.040222,0.078676", \ - "0.004542,0.006510,0.008364,0.012221,0.021196,0.040228,0.078673", \ - "0.004526,0.006492,0.008346,0.012209,0.021195,0.040230,0.078668", \ - "0.004522,0.006486,0.008339,0.012204,0.021191,0.040228,0.078670", \ - "0.004518,0.006484,0.008335,0.012202,0.021193,0.040221,0.078673", \ - "0.004516,0.006482,0.008335,0.012201,0.021192,0.040228,0.078669", \ - "0.004506,0.006465,0.008314,0.012185,0.021179,0.040218,0.078674"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.113015,0.115008,0.117061,0.121314,0.130581,0.150383,0.191108", \ - "0.113750,0.115735,0.117787,0.122044,0.131319,0.151134,0.191858", \ - "0.117708,0.119687,0.121735,0.125989,0.135267,0.155083,0.195805", \ - "0.125969,0.127944,0.129990,0.134247,0.143526,0.163347,0.204075", \ - "0.136936,0.138913,0.140957,0.145215,0.154488,0.174303,0.215028", \ - "0.149679,0.151656,0.153702,0.157957,0.167215,0.187046,0.227747", \ - "0.164520,0.166492,0.168532,0.172783,0.182070,0.201818,0.242563"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004567,0.006534,0.008389,0.012240,0.021202,0.040222,0.078675", \ - "0.004541,0.006509,0.008363,0.012221,0.021196,0.040227,0.078674", \ - "0.004523,0.006491,0.008345,0.012209,0.021195,0.040228,0.078668", \ - "0.004522,0.006486,0.008338,0.012203,0.021192,0.040228,0.078668", \ - "0.004517,0.006483,0.008334,0.012201,0.021192,0.040221,0.078673", \ - "0.004519,0.006481,0.008334,0.012200,0.021192,0.040228,0.078667", \ - "0.004506,0.006464,0.008313,0.012181,0.021179,0.040218,0.078673"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("11.507220,10.983190,10.735370,10.594710,10.633430,10.506160,10.419190", \ - "11.494460,10.974280,10.717630,10.628390,10.622510,10.430400,10.413090", \ - "11.580460,11.066790,10.840160,10.722590,10.718010,10.584750,10.485630", \ - "11.966250,11.438260,11.190720,11.094600,11.093830,10.962190,10.811510", \ - "12.727470,12.200920,11.973910,11.833360,11.862830,11.650560,11.653560", \ - "13.982640,13.456830,13.230640,13.107130,13.085320,12.974140,12.876860", \ - "15.470440,14.983890,14.766140,14.716760,14.788560,14.663350,14.476040"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("14.565320,14.044590,13.471300,12.681610,11.977830,11.526930,11.228380", \ - "14.516790,14.031820,13.460700,12.654570,11.962590,11.515430,11.170170", \ - "14.611270,14.021600,13.552930,12.754980,12.047920,11.533260,11.297230", \ - "15.013510,14.501840,13.926160,13.116480,12.420280,11.961510,11.681040", \ - "15.754240,15.304340,14.708420,13.937020,13.233600,12.708310,12.360520", \ - "17.228240,16.728460,16.141880,15.328200,14.573490,14.097800,13.798300", \ - "19.080790,18.450410,18.031620,17.188080,16.462370,15.985390,15.608640"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("13.640640,13.076170,12.342360,11.529840,10.939760,10.321540,10.010030", \ - "13.643020,13.057760,12.341710,11.555140,10.908880,10.377840,9.985997", \ - "13.556470,13.049980,12.444530,11.583690,10.850010,10.217430,9.958392", \ - "13.678000,13.156040,12.487120,11.624150,11.000950,10.360080,9.987715", \ - "14.476300,13.899240,13.191470,12.376760,11.551070,10.999720,10.343900", \ - "15.917080,15.203950,14.588380,13.563600,12.635730,11.937050,11.099470", \ - "17.658150,17.007900,16.178940,15.196300,14.085540,13.243040,12.376800"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("13.640490,13.076000,12.342170,11.529630,10.939490,10.284260,10.009590", \ - "13.642870,13.057590,12.353490,11.554820,10.908620,10.377520,9.985566", \ - "13.615360,13.049810,12.444340,11.583490,10.849710,10.217090,9.956935", \ - "13.677860,13.155880,12.486950,11.623950,11.000710,10.359740,9.987267", \ - "14.476130,13.899100,13.191300,12.376550,11.550830,10.999430,10.343560", \ - "15.916940,15.203540,14.588480,13.563430,12.635530,11.936870,11.099030", \ - "17.658070,17.008250,16.178970,15.206550,14.085370,13.242820,12.376470"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("13.640430,13.075870,12.342010,11.529480,10.939530,10.269280,10.009710", \ - "13.642850,13.057440,12.353340,11.511500,10.908650,10.377560,9.985609", \ - "13.621610,13.049690,12.444200,11.583270,10.850130,10.217480,9.959799", \ - "13.677750,13.155740,12.486770,11.623790,11.000660,10.359850,9.987435", \ - "14.476040,13.898940,13.191160,12.376450,11.550670,10.999360,10.343820", \ - "15.916840,15.247040,14.586470,13.563280,12.635460,11.936820,11.099210", \ - "17.657940,17.006580,16.178830,15.197560,14.085310,13.242810,12.376570"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("14.622930,14.058130,13.454160,12.509220,11.922120,11.282330,11.068950", \ - "14.627540,14.050140,13.326150,12.493630,11.892370,11.359410,10.926820", \ - "14.623240,14.055540,13.453360,12.592810,11.820460,11.277100,10.904130", \ - "14.764990,14.252810,13.583670,12.741660,12.097770,11.429630,10.929460", \ - "15.738650,15.155070,14.454130,13.549210,12.776360,12.163900,11.522720", \ - "17.422820,16.795640,16.097480,15.088260,14.161460,13.358490,12.621300", \ - "19.514070,18.859060,18.054430,17.063040,15.986140,15.145810,14.377690"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("14.622910,14.058290,13.454400,12.509490,11.922640,11.263100,10.989040", \ - "14.627830,14.051550,13.416570,12.493910,11.892650,11.359790,10.927240", \ - "14.623420,14.055630,13.453540,12.593010,11.820750,11.278710,10.903980", \ - "14.722070,14.252980,13.583820,12.740170,12.098030,11.429270,10.929990", \ - "15.738780,15.155210,14.454250,13.549370,12.776600,12.163800,11.523370", \ - "17.422890,16.795580,16.097680,15.088390,14.161600,13.353370,12.621810", \ - "19.513190,18.860330,18.120600,17.059800,15.985630,15.146120,14.443060"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("14.622950,14.058330,13.454430,12.509510,11.922700,11.291200,10.988980", \ - "14.627870,14.050340,13.417950,12.493910,11.892670,11.359790,10.927570", \ - "14.623450,14.055720,13.453570,12.593040,11.820770,11.278760,10.904080", \ - "14.765180,14.253030,13.583850,12.740110,12.098050,11.429300,10.929980", \ - "15.738820,15.155250,14.454290,13.549400,12.776610,12.163810,11.523370", \ - "17.422940,16.795630,16.097700,15.088420,14.161640,13.358820,12.621800", \ - "19.513230,18.860380,18.120660,17.059820,15.985650,15.146130,14.443040"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("13.644010,13.075600,12.341750,11.529180,10.939170,10.317310,10.009040", \ - "13.642500,13.058300,12.341140,11.511190,10.908290,10.377090,9.984924", \ - "13.559280,13.049420,12.443950,11.583010,10.849800,10.217120,9.960407", \ - "13.677510,13.155500,12.486550,11.727380,11.000300,10.359380,9.986779", \ - "14.475850,13.898710,13.190920,12.376200,11.550520,10.998850,10.342220", \ - "15.916640,15.247770,14.586200,13.563000,12.635120,11.936370,11.098730", \ - "17.657750,17.006460,16.178490,15.196760,14.085000,13.242410,12.375970"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("14.623210,14.058440,13.454520,12.509620,11.922790,11.275460,10.989100", \ - "14.627830,14.050450,13.326460,12.494040,11.892730,11.359890,10.928230", \ - "14.623520,14.055840,13.453670,12.593120,11.820860,11.278940,10.904470", \ - "14.757310,14.253150,13.583730,12.740280,12.098120,11.429410,10.930020", \ - "15.738920,15.155340,14.454410,13.549500,12.776720,12.163860,11.523480", \ - "17.423130,16.795740,16.097720,15.088550,14.161740,13.358880,12.621810", \ - "19.513320,18.860500,18.120760,17.059890,15.985730,15.146160,14.443100"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("15.204230,14.706070,14.269880,13.462350,12.750040,12.179110,11.778330", \ - "15.153170,14.715800,14.180760,13.336640,12.611020,12.128680,11.817480", \ - "15.121460,14.627810,14.158520,13.351770,12.690190,12.116430,11.815090", \ - "15.225100,14.694420,14.214650,13.396450,12.645760,12.172520,11.966340", \ - "15.359840,14.923800,14.402880,13.566710,12.874490,12.467120,12.043140", \ - "15.671430,15.171070,14.607240,13.909730,13.055210,12.595730,12.130900", \ - "15.975900,15.503170,14.985300,14.202310,13.488720,12.887380,12.709950"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("15.197480,14.705820,14.269640,13.462350,12.752040,12.187740,11.778210", \ - "15.153010,14.715550,14.180550,13.336950,12.610740,12.129450,11.817180", \ - "15.087700,14.626990,14.158270,13.351510,12.688780,12.116150,11.813760", \ - "15.225080,14.694220,14.211350,13.396210,12.645650,12.172170,11.966100", \ - "15.359640,14.923430,14.403150,13.566470,12.874270,12.466810,12.042810", \ - "15.671190,15.170860,14.604740,13.909520,13.159130,12.598600,12.130740", \ - "15.975710,15.503050,14.985140,14.202130,13.488550,12.887140,12.709620"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("15.200730,14.705210,14.268880,13.456150,12.640540,12.180320,11.870090", \ - "15.152040,14.714930,14.179050,13.331350,12.609840,12.122970,11.813820", \ - "15.124930,14.689850,14.157540,13.350660,12.645180,12.114470,11.860100", \ - "15.221850,14.658990,14.213070,13.395400,12.643560,12.171330,11.961910", \ - "15.358860,14.925830,14.399130,13.565680,12.872480,12.465490,12.041190", \ - "15.670840,15.170350,14.643050,13.908770,13.165670,12.597650,12.125990", \ - "15.979140,15.501860,14.984520,14.201570,13.372740,12.886380,12.708870"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("15.219140,14.715330,14.261060,13.454490,12.629230,12.161950,11.851550", \ - "15.122050,14.708350,14.184940,13.374240,12.598370,12.108840,11.804590", \ - "15.116910,14.677130,14.149990,13.342020,12.629290,12.077690,11.806690", \ - "15.220580,14.684310,14.202330,13.413920,12.686960,12.154750,11.825620", \ - "15.348970,14.915500,14.393280,13.542740,12.858670,12.311410,12.019930", \ - "15.665340,15.163830,14.507100,13.899680,13.157510,12.577840,12.290630", \ - "15.934740,15.491920,14.977040,14.205770,13.368730,12.872550,12.690900"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("15.219480,14.717770,14.261310,13.455010,12.629590,12.148620,11.759270", \ - "15.122120,14.708620,14.185150,13.374580,12.598750,12.109280,11.805340", \ - "15.117160,14.677110,14.150270,13.342330,12.629670,12.078090,11.807510", \ - "15.221050,14.684660,14.202600,13.414240,12.690480,12.155120,11.826200", \ - "15.349200,14.915580,14.393390,13.543010,12.858990,12.311800,12.020490", \ - "15.665510,15.164020,14.507320,13.899910,13.158040,12.578510,12.291230", \ - "15.934560,15.492050,14.977210,14.205980,13.368950,12.872900,12.691350"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("15.219610,14.719200,14.261360,13.455240,12.629630,12.154340,11.863950", \ - "15.122150,14.708660,14.185210,13.374640,12.598770,12.109310,11.805210", \ - "15.117210,14.677130,14.150310,13.342370,12.629690,12.078180,11.807550", \ - "15.221250,14.684240,14.202640,13.414270,12.689230,12.155180,11.826180", \ - "15.349260,14.915690,14.393480,13.543050,12.859020,12.311840,12.020490", \ - "15.665560,15.164070,14.507360,13.899950,13.157940,12.578940,12.291220", \ - "15.934770,15.492100,14.977250,14.206010,13.369000,12.872920,12.691360"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("15.200370,14.704720,14.268470,13.455710,12.649780,12.180260,11.883470", \ - "15.151680,14.714540,14.178660,13.331360,12.609350,12.122370,11.813100", \ - "15.124610,14.689470,14.157130,13.350220,12.644770,12.113880,11.858600", \ - "15.221500,14.658600,14.217950,13.394970,12.643140,12.170680,11.961240", \ - "15.358480,14.925410,14.398670,13.565250,12.872010,12.464910,12.040450", \ - "15.670470,15.169980,14.642500,13.908360,13.164050,12.596480,12.125290", \ - "15.978880,15.501540,14.984180,14.201200,13.372310,12.885860,12.708200"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("15.219600,14.716260,14.261520,13.455000,12.629750,12.163360,11.855640", \ - "15.122410,14.708800,14.185380,13.374790,12.598880,12.109420,11.805330", \ - "15.117340,14.677490,14.150440,13.342490,12.629800,12.078170,11.807470", \ - "15.221180,14.685250,14.202780,13.414390,12.690590,12.155290,11.826260", \ - "15.349420,14.915880,14.393670,13.543190,12.859150,12.311940,12.020580", \ - "15.665720,15.164220,14.507500,13.900100,13.158250,12.578670,12.291250", \ - "15.934820,15.492250,14.977380,14.206120,13.369120,12.872990,12.691450"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFS_X1 - Cell Description : Pos.edge D-Flip-Flop with active high scan, and active low set, and drive strength X1 - *******************************************************************************************/ - - cell (SDFFS_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - preset : "!SN"; - } - - area : 6.650000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 367.381086; - - leakage_power () { - when : "!CK & !D & !SE & !SI & !SN & Q & !QN"; - value : 292.676250; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & SN & !Q & QN"; - value : 343.296750; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & SN & Q & !QN"; - value : 337.911375; - } - leakage_power () { - when : "!CK & !D & !SE & SI & !SN & Q & !QN"; - value : 326.345000; - } - leakage_power () { - when : "!CK & !D & !SE & SI & SN & !Q & QN"; - value : 376.964250; - } - leakage_power () { - when : "!CK & !D & !SE & SI & SN & Q & !QN"; - value : 371.580125; - } - leakage_power () { - when : "!CK & !D & SE & !SI & !SN & Q & !QN"; - value : 306.822875; - } - leakage_power () { - when : "!CK & !D & SE & !SI & SN & !Q & QN"; - value : 357.443375; - } - leakage_power () { - when : "!CK & !D & SE & !SI & SN & Q & !QN"; - value : 352.058000; - } - leakage_power () { - when : "!CK & !D & SE & SI & !SN & Q & !QN"; - value : 337.177125; - } - leakage_power () { - when : "!CK & !D & SE & SI & SN & !Q & QN"; - value : 411.866500; - } - leakage_power () { - when : "!CK & !D & SE & SI & SN & Q & !QN"; - value : 378.864750; - } - leakage_power () { - when : "!CK & D & !SE & !SI & !SN & Q & !QN"; - value : 331.993875; - } - leakage_power () { - when : "!CK & D & !SE & !SI & SN & !Q & QN"; - value : 406.683250; - } - leakage_power () { - when : "!CK & D & !SE & !SI & SN & Q & !QN"; - value : 373.681500; - } - leakage_power () { - when : "!CK & D & !SE & SI & !SN & Q & !QN"; - value : 338.183125; - } - leakage_power () { - when : "!CK & D & !SE & SI & SN & !Q & QN"; - value : 412.871250; - } - leakage_power () { - when : "!CK & D & !SE & SI & SN & Q & !QN"; - value : 379.869500; - } - leakage_power () { - when : "!CK & D & SE & !SI & !SN & Q & !QN"; - value : 351.439375; - } - leakage_power () { - when : "!CK & D & SE & !SI & SN & !Q & QN"; - value : 402.058625; - } - leakage_power () { - when : "!CK & D & SE & !SI & SN & Q & !QN"; - value : 396.674500; - } - leakage_power () { - when : "!CK & D & SE & SI & !SN & Q & !QN"; - value : 345.143500; - } - leakage_power () { - when : "!CK & D & SE & SI & SN & !Q & QN"; - value : 419.832875; - } - leakage_power () { - when : "!CK & D & SE & SI & SN & Q & !QN"; - value : 386.831125; - } - leakage_power () { - when : "CK & !D & !SE & !SI & !SN & Q & !QN"; - value : 319.348250; - } - leakage_power () { - when : "CK & !D & !SE & !SI & SN & !Q & QN"; - value : 330.130625; - } - leakage_power () { - when : "CK & !D & !SE & !SI & SN & Q & !QN"; - value : 362.885875; - } - leakage_power () { - when : "CK & !D & !SE & SI & !SN & Q & !QN"; - value : 353.013250; - } - leakage_power () { - when : "CK & !D & !SE & SI & SN & !Q & QN"; - value : 363.796875; - } - leakage_power () { - when : "CK & !D & !SE & SI & SN & Q & !QN"; - value : 396.550875; - } - leakage_power () { - when : "CK & !D & SE & !SI & !SN & Q & !QN"; - value : 333.492375; - } - leakage_power () { - when : "CK & !D & SE & !SI & SN & !Q & QN"; - value : 344.274750; - } - leakage_power () { - when : "CK & !D & SE & !SI & SN & Q & !QN"; - value : 377.030000; - } - leakage_power () { - when : "CK & !D & SE & SI & !SN & Q & !QN"; - value : 349.014250; - } - leakage_power () { - when : "CK & !D & SE & SI & SN & !Q & QN"; - value : 387.305125; - } - leakage_power () { - when : "CK & !D & SE & SI & SN & Q & !QN"; - value : 392.550625; - } - leakage_power () { - when : "CK & D & !SE & !SI & !SN & Q & !QN"; - value : 343.832125; - } - leakage_power () { - when : "CK & D & !SE & !SI & SN & !Q & QN"; - value : 382.123000; - } - leakage_power () { - when : "CK & D & !SE & !SI & SN & Q & !QN"; - value : 387.368500; - } - leakage_power () { - when : "CK & D & !SE & SI & !SN & Q & !QN"; - value : 350.022625; - } - leakage_power () { - when : "CK & D & !SE & SI & SN & !Q & QN"; - value : 388.313500; - } - leakage_power () { - when : "CK & D & !SE & SI & SN & Q & !QN"; - value : 393.559000; - } - leakage_power () { - when : "CK & D & SE & !SI & !SN & Q & !QN"; - value : 378.103875; - } - leakage_power () { - when : "CK & D & SE & !SI & SN & !Q & QN"; - value : 388.887500; - } - leakage_power () { - when : "CK & D & SE & !SI & SN & Q & !QN"; - value : 421.641500; - } - leakage_power () { - when : "CK & D & SE & SI & !SN & Q & !QN"; - value : 356.983000; - } - leakage_power () { - when : "CK & D & SE & SI & SN & !Q & QN"; - value : 395.275125; - } - leakage_power () { - when : "CK & D & SE & SI & SN & Q & !QN"; - value : 400.520625; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.168449; - fall_capacitance : 1.128461; - rise_capacitance : 1.168449; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "!SE & SN"; - sdf_cond : "NEG_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.012414,-0.006313,-0.007581", \ - "-0.008442,-0.001649,-0.003225", \ - "0.106221,0.113145,0.111851"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.008070,-0.004017,-0.008236", \ - "-0.007824,-0.004764,-0.010752", \ - "0.061905,0.063716,0.050475"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "!SE & SN"; - sdf_cond : "NEG_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.045872,0.044856,0.058481", \ - "0.055361,0.054376,0.067968", \ - "0.084938,0.083137,0.096164"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.038393,0.031473,0.033034", \ - "0.041939,0.035153,0.036819", \ - "0.040609,0.033694,0.035022"); - } - } - - internal_power () { - - when : "!CK & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.297062,9.266447,9.266758,9.665201,10.702110,12.412140,14.809010"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.712170,6.657424,6.663422,7.023071,8.005283,9.669200,12.046980"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.451968,9.421364,9.421862,9.820330,10.857850,12.566530,14.963920"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.908361,6.852523,6.859540,7.219911,8.201035,9.866165,12.240010"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.410767,9.379597,9.380126,9.778114,10.815290,12.524950,14.920020"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.747938,6.693086,6.699345,7.060654,8.042186,9.709461,12.084330"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.296205,9.265110,9.265406,9.663753,10.700350,12.410420,14.805990"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.733429,6.678997,6.684894,7.044569,8.027717,9.691016,12.065970"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.450756,9.420038,9.420506,9.818556,10.855140,12.564760,14.961970"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.929842,6.874063,6.880903,7.241482,8.221914,9.888164,12.258680"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.409151,9.378270,9.378979,9.776687,10.813740,12.522900,14.919570"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.769473,6.714662,6.721646,7.082097,8.063327,9.730747,12.102960"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.959830,0.925852,0.906973,0.902342,0.898983,0.899497,0.898170"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.804146,-0.810855,-0.814806,-0.819546,-0.820335,-0.824866,-0.822607"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960170,0.926346,0.907304,0.902762,0.899365,0.900015,0.898599"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803693,-0.810553,-0.814297,-0.817324,-0.819822,-0.821401,-0.822089"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960261,0.926402,0.907397,0.902835,0.899445,0.900063,0.898665"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803361,-0.810186,-0.813976,-0.817003,-0.819502,-0.821080,-0.821767"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864599,0.876991,0.876792,0.878001,0.877119,0.877967,0.876693"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531632,-0.634227,-0.700469,-0.728434,-0.740726,-0.751835,-0.754481"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.863214,0.874900,0.877157,0.878125,0.877574,0.875636,0.877231"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530499,-0.633172,-0.699815,-0.727266,-0.740587,-0.751033,-0.755039"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864625,0.877108,0.876812,0.878075,0.877169,0.878097,0.876768"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531594,-0.634279,-0.700398,-0.726888,-0.740654,-0.749230,-0.754407"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.298608,4.268216,4.271496,4.651538,5.647283,7.276340,9.548241"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.973793,1.919243,1.927459,2.283269,3.227174,4.814172,7.055077"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.405887,4.375464,4.378296,4.757562,5.747421,7.377526,9.644196"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.994782,1.940037,1.948685,2.304699,3.253436,4.839040,7.082810"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.293176,4.265122,4.268260,4.648401,5.644273,7.273251,9.545840"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.050981,1.996247,2.004714,2.361141,3.306842,4.894263,7.133787"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.294416,4.263885,4.267233,4.647212,5.642724,7.271671,9.543270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.974127,1.919513,1.928133,2.283734,3.227166,4.814362,7.055211"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.404662,4.374146,4.377223,4.756166,5.745883,7.375607,9.642309"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.016210,1.961564,1.970362,2.326363,3.274728,4.860785,7.104175"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.291972,4.263817,4.267162,4.647141,5.642690,7.271587,9.543874"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.072451,2.017766,2.026454,2.382802,3.327666,4.915743,7.155164"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.957477,0.925786,0.906973,0.900621,0.898953,0.896563,0.898136"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.804262,-0.811052,-0.814980,-0.818074,-0.820128,-0.821969,-0.823077"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.959816,0.925770,0.906972,0.902304,0.898964,0.899422,0.898135"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.804253,-0.810893,-0.814947,-0.819631,-0.820478,-0.824909,-0.822747"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.959871,0.926041,0.907010,0.900623,0.899070,0.896546,0.898303"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.804195,-0.811054,-0.814814,-0.817842,-0.820341,-0.821920,-0.822608"); - } - - } - - internal_power () { - - when : "CK & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864919,0.876990,0.876790,0.876546,0.877098,0.875445,0.876637"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531851,-0.634217,-0.700700,-0.727091,-0.740759,-0.749471,-0.754829"); - } - - } - - internal_power () { - - when : "CK & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.863063,0.877198,0.876958,0.878179,0.877280,0.878134,0.876858"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531337,-0.633141,-0.699821,-0.726472,-0.741364,-0.748779,-0.754021"); - } - - } - - internal_power () { - - when : "CK & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864628,0.877069,0.876810,0.876535,0.877150,0.875442,0.876737"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531678,-0.634320,-0.700517,-0.727006,-0.740758,-0.749328,-0.754501"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.001633; - fall_capacitance : 1.939355; - rise_capacitance : 2.001633; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.011166,-0.007189,-0.011763", \ - "-0.020563,-0.017876,-0.022948", \ - "0.091895,0.093427,0.080153"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.007379,-0.002235,-0.006200", \ - "-0.010050,-0.004605,-0.010940", \ - "0.067812,0.073929,0.061449"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.043717,0.039568,0.053112", \ - "0.055355,0.049905,0.063468", \ - "0.079031,0.072921,0.085189"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.052846,0.051613,0.065001", \ - "0.056599,0.055334,0.068786", \ - "0.054941,0.053419,0.066731"); - } - } - - internal_power () { - - when : "!CK & !D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.308520,3.309362,3.605669,4.404004,5.863659,8.088201,11.109870"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.030177,0.002192,0.194731,0.960164,2.452057,4.688830,7.721675"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.309014,3.309852,3.605997,4.404427,5.864038,8.088716,11.110290"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.030631,0.002487,0.195240,0.962285,2.452569,4.692121,7.722193"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.308665,3.309912,3.606093,4.404502,5.864121,8.088767,11.110360"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.030968,0.002855,0.195561,0.962607,2.452892,4.692443,7.722517"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.572330,10.550250,11.014770,12.432610,15.089230,19.178460,24.798190"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.057378,6.019617,6.308616,7.590802,10.230930,14.332640,19.971230"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.726800,10.704990,11.169330,12.586660,15.244190,19.332180,24.950510"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.253406,6.215202,6.504982,7.788421,10.427760,14.531200,20.167380"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.685600,10.663250,11.128700,12.545210,15.202510,19.291910,24.910060"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.093505,6.056156,6.344338,7.628084,10.267040,14.370310,20.007740"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.004820,10.010990,10.412550,11.400190,13.070210,15.504900,18.728730"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.527117,8.492388,8.612409,9.351925,10.915300,13.317370,16.652070"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.944515,9.950732,10.351260,11.337160,13.007730,15.438360,18.663610"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.500010,8.478491,8.647635,9.418358,10.992860,13.398360,16.727830"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.037530,10.044060,10.445690,11.433750,13.103280,15.539390,18.763300"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.657447,8.622008,8.741743,9.483521,11.045390,13.449910,16.781490"); - } - - } - - internal_power () { - - when : "!CK & D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.098170,3.099628,3.404397,4.215666,5.683144,7.912710,10.936600"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.171318,0.148286,0.335791,1.086911,2.577492,4.815984,7.852699"); - } - - } - - internal_power () { - - when : "!CK & D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.098589,3.100650,3.405010,4.214992,5.684113,7.910757,10.937440"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.199863,0.176400,0.364107,1.116887,2.605199,4.848080,7.882853"); - } - - } - - internal_power () { - - when : "!CK & D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.098197,3.099906,3.404417,4.215740,5.683401,7.912840,10.936680"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.171492,0.148141,0.335861,1.088447,2.577280,4.818575,7.852772"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.308453,3.309870,3.605688,4.402912,5.863617,8.085412,11.109840"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.042709,0.015171,0.207636,0.974757,2.464948,4.704757,7.734520"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.311651,3.309393,3.605632,4.404243,5.863638,8.088092,11.109800"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.030227,0.002017,0.194441,0.960265,2.451804,4.688644,7.721747"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.308375,3.309514,3.605668,4.402752,5.863737,8.085389,11.109970"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.030234,0.001851,0.194577,0.961778,2.451936,4.691464,7.721886"); - } - - } - - internal_power () { - - when : "CK & !D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.578035,5.557106,6.026379,7.420134,10.013700,13.976930,19.388250"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.256416,1.218738,1.510966,2.775150,5.344542,9.299506,14.704600"); - } - - } - - internal_power () { - - when : "CK & !D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.693300,5.673205,6.143682,7.531110,10.116770,14.078070,19.484180"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.335911,1.297879,1.590045,2.848889,5.406577,9.347846,14.745490"); - } - - } - - internal_power () { - - when : "CK & !D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.577863,5.557144,6.027917,7.419873,10.013900,13.976830,19.387780"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.390402,1.352043,1.644127,2.903090,5.458095,9.401212,14.795540"); - } - - } - - internal_power () { - - when : "CK & D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.268943,5.275035,5.676178,6.661636,8.332797,10.758100,13.970100"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.310229,3.289004,3.457847,4.239962,5.812612,8.208197,11.526760"); - } - - } - - internal_power () { - - when : "CK & D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.221155,5.226993,5.627658,6.614681,8.283833,10.710600,13.920260"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.414357,3.392859,3.561194,4.344618,5.918576,8.316556,11.635480"); - } - - } - - internal_power () { - - when : "CK & D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.268651,5.275450,5.675938,6.661606,8.332877,10.758190,13.970580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.454593,3.419829,3.539681,4.291282,5.853435,8.248924,11.569680"); - } - - } - - internal_power () { - - when : "CK & D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.098498,3.099940,3.405105,4.215140,5.683357,7.910385,10.936790"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.066219,-0.089113,0.100533,0.856462,2.345434,4.585766,7.617698"); - } - - } - - internal_power () { - - when : "CK & D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.098417,3.100008,3.404756,4.215966,5.683402,7.912878,10.937100"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.198810,0.175631,0.362908,1.115837,2.604220,4.846774,7.881616"); - } - - } - - internal_power () { - - when : "CK & D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.098241,3.099800,3.404928,4.214908,5.683133,7.910047,10.936820"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.171381,0.148086,0.335672,1.088487,2.577006,4.818408,7.852545"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.910903; - fall_capacitance : 0.885367; - rise_capacitance : 0.910903; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SE & SN"; - sdf_cond : "SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.013959,-0.007895,-0.009321", \ - "-0.011334,-0.004500,-0.005731", \ - "0.101702,0.108527,0.107385"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.008600,-0.004659,-0.009563", \ - "-0.007949,-0.004910,-0.011827", \ - "0.055998,0.057681,0.044988"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SE & SN"; - sdf_cond : "SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.049895,0.048675,0.062317", \ - "0.059694,0.058527,0.072059", \ - "0.090847,0.089173,0.101651"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.040254,0.033393,0.034941", \ - "0.044833,0.038007,0.039328", \ - "0.045132,0.038315,0.039492"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723333,0.699049,0.684778,0.681959,0.678948,0.679878,0.678281"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613296,-0.619402,-0.619449,-0.622480,-0.621797,-0.624840,-0.622249"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723671,0.699542,0.685108,0.682378,0.679328,0.680395,0.678710"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612843,-0.619103,-0.618940,-0.620246,-0.621284,-0.621358,-0.621730"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723763,0.699596,0.685200,0.682450,0.679408,0.680445,0.678777"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612510,-0.618735,-0.618619,-0.619925,-0.620963,-0.621036,-0.621407"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.282183,9.263331,9.259443,9.532510,10.281830,11.549410,13.356540"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.111809,6.072194,6.076851,6.321370,7.028832,8.263575,10.059730"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.436444,9.418914,9.413995,9.686749,10.435760,11.702850,13.510290"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.306990,6.267812,6.272478,6.518992,7.223288,8.460484,10.252000"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.395198,9.375865,9.372256,9.645446,10.394360,11.661710,13.468300"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.147199,6.108204,6.112627,6.358705,7.064913,8.302902,10.096000"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634196,0.644721,0.644430,0.645808,0.644904,0.646375,0.644996"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394472,-0.471591,-0.519577,-0.540740,-0.548937,-0.557598,-0.558357"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634573,0.645449,0.644788,0.644428,0.645362,0.643803,0.645537"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393473,-0.470200,-0.518126,-0.537716,-0.547602,-0.553265,-0.556913"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634216,0.644838,0.644449,0.645881,0.644954,0.646506,0.645072"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394432,-0.471643,-0.519505,-0.539009,-0.548865,-0.554676,-0.558283"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.281521,9.261479,9.257582,9.530810,10.279640,11.547440,13.353240"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.134586,6.094561,6.099105,6.344211,7.051337,8.286127,10.081890"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.434717,9.415773,9.412156,9.685236,10.433530,11.700780,13.508550"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.329252,6.290217,6.294637,6.541675,7.245940,8.483531,10.274130"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.393349,9.375645,9.370419,9.643750,10.392160,11.658480,13.465400"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.169385,6.130548,6.134842,6.382048,7.087405,8.325200,10.118910"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723355,0.699186,0.685028,0.680247,0.678996,0.676927,0.678334"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613535,-0.619660,-0.619644,-0.620943,-0.621847,-0.622082,-0.622444"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723330,0.698984,0.684784,0.681928,0.678937,0.679811,0.678256"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613415,-0.619450,-0.619597,-0.622574,-0.621948,-0.624890,-0.622395"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723382,0.699257,0.684824,0.680237,0.679043,0.676918,0.678424"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613360,-0.619617,-0.619463,-0.620770,-0.621809,-0.621883,-0.622254"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.251532,4.232225,4.229498,4.480968,5.178992,6.353973,8.020571"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.446230,1.408016,1.413234,1.650104,2.311458,3.456890,5.099316"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.350994,4.331949,4.329267,4.581219,5.274975,6.450366,8.112425"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.392076,1.353261,1.358930,1.595319,2.258995,3.402959,5.048554"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.247525,4.228330,4.225488,4.476922,5.175005,6.349912,8.016585"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.446265,1.407951,1.413310,1.650183,2.311539,3.457019,5.099488"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634853,0.645341,0.645068,0.644802,0.645517,0.644171,0.645585"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.392974,-0.469353,-0.517774,-0.537355,-0.547235,-0.552895,-0.556539"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634375,0.644940,0.644590,0.645975,0.645067,0.646551,0.645162"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394227,-0.470677,-0.519003,-0.538584,-0.548464,-0.554124,-0.557768"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634238,0.644794,0.644450,0.644156,0.644932,0.643531,0.645038"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394507,-0.471689,-0.519610,-0.539103,-0.548952,-0.554760,-0.558366"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.245743,4.226368,4.223632,4.475739,5.172860,6.347519,8.014105"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.370143,1.331652,1.336397,1.572754,2.233648,3.378536,5.021461"); - } - - } - - internal_power () { - - when : "CK & D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.349575,4.330371,4.327721,4.579739,5.273064,6.448116,8.110767"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.414894,1.376221,1.381779,1.617919,2.281840,3.426050,5.071559"); - } - - } - - internal_power () { - - when : "CK & D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.245729,4.226950,4.223600,4.475142,5.172821,6.347539,8.014076"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.468463,1.429657,1.435482,1.672159,2.333789,3.479260,5.121688"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.347040; - fall_capacitance : 1.347040; - rise_capacitance : 1.342377; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.031135,-0.038293,-0.039709", \ - "-0.030051,-0.037232,-0.037698", \ - "0.003346,-0.005985,-0.006643"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.096153,0.102040,0.108083", \ - "0.136398,0.142160,0.148466", \ - "0.277921,0.283684,0.289765"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.073575,0.095135,0.176014"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.940261,0.909259,0.892176,0.888073,0.884486,0.884127,0.882154"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.642939,-0.659782,-0.675143,-0.685810,-0.688856,-0.694383,-0.692997"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.940294,0.909381,0.892201,0.888151,0.884540,0.884261,0.882233"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.642833,-0.659768,-0.675005,-0.685750,-0.688718,-0.694379,-0.692859"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.940246,0.909203,0.892160,0.888039,0.884462,0.884070,0.882120"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.642981,-0.659787,-0.675200,-0.685835,-0.688913,-0.694385,-0.693054"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.899371,0.875123,0.862451,0.859938,0.857359,0.857419,0.855363"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.796072,-0.800992,-0.801227,-0.803847,-0.802580,-0.804792,-0.801192"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.899437,0.875371,0.862502,0.860097,0.857468,0.857691,0.855525"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.795857,-0.800960,-0.800946,-0.803725,-0.802299,-0.804784,-0.800911"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.899405,0.875251,0.862478,0.860020,0.857416,0.857559,0.855447"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.795960,-0.800974,-0.801080,-0.803783,-0.802433,-0.804787,-0.801046"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.940289,0.909365,0.892193,0.888143,0.884534,0.884248,0.882226"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.642841,-0.659767,-0.675017,-0.685755,-0.688730,-0.694380,-0.692871"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.899327,0.874961,0.862418,0.859836,0.857288,0.857242,0.855259"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.796213,-0.801013,-0.801409,-0.803927,-0.802762,-0.804798,-0.801375"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.937856,0.904105,0.885625,0.879940,0.875108,0.872759,0.872097"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.794590,-0.801437,-0.800081,-0.804031,-0.803987,-0.804075,-0.803820"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.937267,0.903604,0.885030,0.879321,0.874544,0.872144,0.871562"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.796038,-0.802974,-0.801499,-0.805449,-0.805405,-0.805492,-0.805239"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.938045,0.904251,0.885809,0.880140,0.875287,0.872950,0.872254"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.797652,-0.801589,-0.800247,-0.804116,-0.803968,-0.804161,-0.803825"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.937112,0.903145,0.884867,0.879235,0.874311,0.872058,0.871223"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.796272,-0.802941,-0.801865,-0.805793,-0.805755,-0.805849,-0.805615"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.937832,0.904051,0.885564,0.879885,0.875072,0.872692,0.872031"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.797529,-0.801687,-0.800356,-0.804271,-0.804061,-0.804234,-0.803945"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.937232,0.903359,0.884978,0.879320,0.874453,0.872143,0.871392"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.795856,-0.802620,-0.801415,-0.805344,-0.805308,-0.805403,-0.805172"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.937269,0.903596,0.885031,0.879326,0.874546,0.872150,0.871563"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.796038,-0.802966,-0.801501,-0.805451,-0.805409,-0.805496,-0.805243"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.937070,0.902985,0.884781,0.879235,0.874240,0.872058,0.871119"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.796478,-0.802950,-0.803541,-0.805917,-0.805901,-0.806130,-0.805780"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.996353; - fall_capacitance : 0.922298; - rise_capacitance : 0.996353; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.031760,0.041770,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.035118,0.035023,0.146386"); - } - } - - internal_power () { - - when : "!D & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.242801,9.233270,9.494969,10.360730,11.934310,14.410670,17.910110"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("25.442470,25.419740,25.598260,26.375100,28.075940,30.861870,34.800820"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.200875,5.194366,5.440550,6.244556,7.711137,10.025350,13.290040"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.470381,4.450178,4.635939,5.364067,6.894822,9.320386,12.672890"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.442543,9.422434,9.687705,10.549160,12.121180,14.587220,18.074120"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.242803,9.233392,9.494590,10.360810,11.934370,14.410810,17.910180"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("25.442580,25.419760,25.598410,26.375160,28.076070,30.861870,34.800940"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.200891,5.194483,5.440581,6.244639,7.711193,10.025490,13.290120"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.470486,4.450193,4.636076,5.364204,6.894953,9.320513,12.673020"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.442645,9.422458,9.688506,10.549310,12.121330,14.587360,18.074260"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.242584,9.232998,9.494696,10.360480,11.934120,14.410610,17.909790"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("25.442460,25.419750,25.598200,26.375070,28.075880,30.861870,34.800770"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.200677,5.194123,5.440418,6.244323,7.711063,10.025290,13.289990"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.470446,4.450263,4.635973,5.364089,6.894782,9.320365,12.672900"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.442193,9.422158,9.687413,10.548840,12.121350,14.587130,18.074030"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.378708,5.370288,5.624454,6.427960,7.904166,10.228270,13.500090"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.259875,4.240969,4.425276,5.161464,6.710279,9.136076,12.490910"); - } - - } - - internal_power () { - - when : "!D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.936576,9.919714,10.178680,10.983470,12.449020,14.759180,18.033360"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.378797,5.370365,5.624517,6.428233,7.903965,10.230010,13.500060"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.280111,4.260285,4.445446,5.182816,6.728488,9.156675,12.508200"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.378724,5.370533,5.624387,6.428109,7.904273,10.228540,13.500260"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.260073,4.240988,4.425553,5.161584,6.710544,9.136084,12.491190"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.936883,9.924642,10.172960,10.983780,12.450650,14.759530,18.033800"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.378868,5.370611,5.624688,6.428384,7.904076,10.230280,13.500220"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.280318,4.260311,4.445717,5.183096,6.728763,9.156944,12.508470"); - } - - } - - internal_power () { - - when : "D & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.378695,5.370410,5.624460,6.428038,7.904224,10.228410,13.500190"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.259974,4.240975,4.425419,5.161528,6.710411,9.136083,12.491060"); - } - - } - - internal_power () { - - when : "D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.869812,9.853834,10.106520,10.912310,12.373460,14.697030,17.963090"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.378823,5.370487,5.624662,6.428308,7.904025,10.230150,13.500150"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.280220,4.260299,4.445583,5.182963,6.728631,9.156815,12.508340"); - } - - } - - internal_power () { - - when : "D & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.242602,9.233154,9.494338,10.360580,11.934190,14.410800,17.909900"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("25.442610,25.419770,25.598390,26.375150,28.076070,30.861880,34.800960"); - } - - } - - internal_power () { - - when : "D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.200715,5.194292,5.440424,6.244434,7.711141,10.025480,13.290100"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.470579,4.450274,4.636157,5.364276,6.894965,9.320549,12.673080"); - } - - } - - internal_power () { - - when : "D & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.442353,9.422203,9.687579,10.549040,12.121080,14.587340,18.074240"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.378655,5.370120,5.624311,6.427854,7.904091,10.228090,13.499990"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.259737,4.240949,4.425093,5.161386,6.710100,9.136074,12.490740"); - } - - } - - internal_power () { - - when : "D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.936545,9.919801,10.172380,10.983390,12.449020,14.759300,18.033310"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.378745,5.370197,5.624606,6.428126,7.903890,10.229830,13.499950"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.279973,4.260266,4.445258,5.182635,6.728308,9.156495,12.508020"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.119600; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.034902,0.037903,0.041057,0.046481,0.055817,0.072211,0.102247", \ - "0.035658,0.038658,0.041812,0.047237,0.056574,0.072968,0.103002", \ - "0.038243,0.041241,0.044393,0.049817,0.059156,0.075553,0.105587", \ - "0.040697,0.043695,0.046843,0.052259,0.061596,0.077992,0.108025", \ - "0.042236,0.045224,0.048362,0.053772,0.063099,0.079497,0.109538", \ - "0.042501,0.045487,0.048626,0.054034,0.063369,0.079776,0.109805", \ - "0.041117,0.044124,0.047275,0.052698,0.062034,0.078446,0.108487"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.040164,0.043076,0.046307,0.052162,0.062943,0.083536,0.124304", \ - "0.040922,0.043835,0.047067,0.052923,0.063704,0.084292,0.125062", \ - "0.043705,0.046617,0.049849,0.055703,0.066486,0.087077,0.127845", \ - "0.046461,0.049375,0.052610,0.058462,0.069247,0.089836,0.130604", \ - "0.048269,0.051180,0.054411,0.060264,0.071047,0.091638,0.132398", \ - "0.048638,0.051545,0.054775,0.060625,0.071404,0.091996,0.132760", \ - "0.047281,0.050183,0.053410,0.059257,0.070031,0.090611,0.131383"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.006193,0.007558,0.009112,0.012101,0.017967,0.029498,0.053439", \ - "0.006191,0.007558,0.009112,0.012103,0.017967,0.029495,0.053435", \ - "0.006197,0.007563,0.009117,0.012110,0.017973,0.029501,0.053435", \ - "0.006210,0.007582,0.009134,0.012123,0.017986,0.029508,0.053440", \ - "0.006274,0.007643,0.009197,0.012177,0.018025,0.029533,0.053451", \ - "0.006488,0.007836,0.009375,0.012328,0.018144,0.029605,0.053453", \ - "0.006916,0.008218,0.009713,0.012599,0.018340,0.029734,0.053531"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.004733,0.006632,0.008906,0.013326,0.022235,0.040644,0.078507", \ - "0.004735,0.006633,0.008904,0.013329,0.022236,0.040643,0.078509", \ - "0.004733,0.006633,0.008907,0.013326,0.022236,0.040644,0.078509", \ - "0.004738,0.006634,0.008907,0.013329,0.022234,0.040635,0.078511", \ - "0.004740,0.006636,0.008908,0.013329,0.022232,0.040638,0.078504", \ - "0.004735,0.006634,0.008908,0.013330,0.022237,0.040644,0.078520", \ - "0.004740,0.006635,0.008910,0.013332,0.022240,0.040640,0.078516"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.057082,0.060184,0.063676,0.070129,0.081733,0.102974,0.143796", \ - "0.057992,0.061095,0.064587,0.071039,0.082643,0.103884,0.144703", \ - "0.061938,0.065043,0.068531,0.074975,0.086569,0.107802,0.148620", \ - "0.068847,0.071879,0.075292,0.081644,0.093165,0.114350,0.155136", \ - "0.077083,0.080040,0.083355,0.089562,0.100943,0.122027,0.162753", \ - "0.086540,0.089443,0.092671,0.098720,0.109921,0.130877,0.171523", \ - "0.097214,0.100082,0.103235,0.109119,0.120123,0.140922,0.181454"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007518,0.009318,0.011644,0.016219,0.024933,0.042476,0.079401", \ - "0.007519,0.009318,0.011645,0.016221,0.024931,0.042483,0.079403", \ - "0.007519,0.009317,0.011646,0.016217,0.024936,0.042482,0.079402", \ - "0.007522,0.009323,0.011647,0.016223,0.024938,0.042483,0.079409", \ - "0.007540,0.009343,0.011665,0.016238,0.024947,0.042485,0.079408", \ - "0.007555,0.009355,0.011677,0.016256,0.024949,0.042498,0.079415", \ - "0.007584,0.009381,0.011705,0.016281,0.024979,0.042505,0.079401"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.057082,0.060184,0.063676,0.070129,0.081734,0.102974,0.143796", \ - "0.057992,0.061095,0.064587,0.071039,0.082643,0.103884,0.144703", \ - "0.061938,0.065043,0.068531,0.074975,0.086569,0.107802,0.148620", \ - "0.068847,0.071879,0.075292,0.081644,0.093165,0.114350,0.155136", \ - "0.077082,0.080040,0.083355,0.089562,0.100943,0.122027,0.162753", \ - "0.086540,0.089443,0.092671,0.098720,0.109921,0.130877,0.171523", \ - "0.097214,0.100082,0.103235,0.109119,0.120123,0.140922,0.181454"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007518,0.009318,0.011644,0.016219,0.024936,0.042476,0.079403", \ - "0.007519,0.009318,0.011645,0.016221,0.024931,0.042483,0.079403", \ - "0.007519,0.009317,0.011646,0.016217,0.024936,0.042482,0.079402", \ - "0.007522,0.009323,0.011647,0.016223,0.024938,0.042483,0.079409", \ - "0.007543,0.009343,0.011665,0.016238,0.024947,0.042485,0.079408", \ - "0.007555,0.009355,0.011677,0.016256,0.024949,0.042498,0.079415", \ - "0.007584,0.009381,0.011705,0.016281,0.024979,0.042505,0.079401"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.057082,0.060184,0.063676,0.070129,0.081734,0.102974,0.143796", \ - "0.057992,0.061095,0.064587,0.071039,0.082643,0.103884,0.144703", \ - "0.061938,0.065043,0.068531,0.074975,0.086569,0.107802,0.148620", \ - "0.068847,0.071879,0.075292,0.081644,0.093165,0.114350,0.155136", \ - "0.077083,0.080040,0.083355,0.089562,0.100943,0.122027,0.162753", \ - "0.086540,0.089443,0.092671,0.098720,0.109921,0.130877,0.171523", \ - "0.097214,0.100082,0.103235,0.109119,0.120123,0.140922,0.181454"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007518,0.009318,0.011644,0.016220,0.024936,0.042476,0.079403", \ - "0.007519,0.009318,0.011645,0.016221,0.024931,0.042483,0.079403", \ - "0.007519,0.009317,0.011646,0.016217,0.024936,0.042482,0.079402", \ - "0.007522,0.009323,0.011647,0.016223,0.024938,0.042483,0.079409", \ - "0.007546,0.009343,0.011665,0.016238,0.024947,0.042485,0.079408", \ - "0.007555,0.009355,0.011677,0.016256,0.024949,0.042498,0.079415", \ - "0.007584,0.009381,0.011705,0.016281,0.024979,0.042505,0.079401"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.057084,0.060190,0.063682,0.070138,0.081749,0.103008,0.143864", \ - "0.057995,0.061100,0.064592,0.071047,0.082658,0.103919,0.144777", \ - "0.061938,0.065043,0.068531,0.074979,0.086582,0.107832,0.148684", \ - "0.068847,0.071880,0.075294,0.081647,0.093175,0.114378,0.155198", \ - "0.077080,0.080039,0.083355,0.089565,0.100953,0.122053,0.162814", \ - "0.086537,0.089440,0.092670,0.098720,0.109928,0.130900,0.171579", \ - "0.097208,0.100082,0.103241,0.109126,0.120131,0.140946,0.181507"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007521,0.009321,0.011649,0.016228,0.024953,0.042514,0.079463", \ - "0.007521,0.009322,0.011650,0.016229,0.024952,0.042521,0.079470", \ - "0.007521,0.009323,0.011652,0.016225,0.024950,0.042518,0.079464", \ - "0.007526,0.009326,0.011654,0.016231,0.024955,0.042521,0.079463", \ - "0.007548,0.009347,0.011668,0.016245,0.024965,0.042521,0.079467", \ - "0.007559,0.009358,0.011684,0.016264,0.024966,0.042533,0.079472", \ - "0.007591,0.009384,0.011710,0.016288,0.024995,0.042540,0.079458"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.057084,0.060190,0.063682,0.070138,0.081749,0.103007,0.143865", \ - "0.057995,0.061100,0.064592,0.071047,0.082658,0.103919,0.144777", \ - "0.061938,0.065043,0.068531,0.074979,0.086582,0.107832,0.148684", \ - "0.068847,0.071880,0.075294,0.081647,0.093175,0.114378,0.155198", \ - "0.077081,0.080039,0.083355,0.089565,0.100953,0.122053,0.162814", \ - "0.086537,0.089440,0.092671,0.098720,0.109928,0.130900,0.171579", \ - "0.097208,0.100082,0.103241,0.109126,0.120131,0.140946,0.181507"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007521,0.009321,0.011649,0.016228,0.024953,0.042514,0.079463", \ - "0.007521,0.009322,0.011650,0.016229,0.024952,0.042521,0.079470", \ - "0.007521,0.009323,0.011652,0.016225,0.024950,0.042518,0.079464", \ - "0.007526,0.009326,0.011654,0.016231,0.024955,0.042522,0.079463", \ - "0.007545,0.009347,0.011668,0.016245,0.024965,0.042521,0.079467", \ - "0.007559,0.009358,0.011684,0.016264,0.024966,0.042533,0.079472", \ - "0.007591,0.009384,0.011710,0.016288,0.024995,0.042540,0.079458"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.057084,0.060190,0.063682,0.070138,0.081749,0.103007,0.143864", \ - "0.057995,0.061100,0.064592,0.071047,0.082658,0.103919,0.144777", \ - "0.061938,0.065043,0.068531,0.074979,0.086582,0.107832,0.148684", \ - "0.068847,0.071880,0.075294,0.081647,0.093175,0.114378,0.155198", \ - "0.077080,0.080039,0.083355,0.089565,0.100953,0.122053,0.162814", \ - "0.086537,0.089440,0.092670,0.098720,0.109928,0.130900,0.171579", \ - "0.097208,0.100082,0.103241,0.109126,0.120131,0.140946,0.181507"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007521,0.009321,0.011649,0.016228,0.024953,0.042514,0.079463", \ - "0.007521,0.009322,0.011650,0.016229,0.024952,0.042521,0.079470", \ - "0.007521,0.009321,0.011652,0.016225,0.024950,0.042518,0.079464", \ - "0.007526,0.009326,0.011654,0.016231,0.024955,0.042522,0.079463", \ - "0.007548,0.009347,0.011668,0.016245,0.024965,0.042521,0.079467", \ - "0.007559,0.009358,0.011684,0.016264,0.024966,0.042533,0.079472", \ - "0.007591,0.009384,0.011710,0.016288,0.024995,0.042540,0.079458"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.057082,0.060184,0.063676,0.070129,0.081734,0.102974,0.143796", \ - "0.057992,0.061095,0.064587,0.071039,0.082643,0.103884,0.144703", \ - "0.061938,0.065043,0.068531,0.074975,0.086569,0.107802,0.148620", \ - "0.068847,0.071879,0.075292,0.081644,0.093165,0.114350,0.155136", \ - "0.077082,0.080040,0.083355,0.089562,0.100943,0.122027,0.162753", \ - "0.086540,0.089443,0.092671,0.098720,0.109921,0.130877,0.171523", \ - "0.097214,0.100082,0.103235,0.109119,0.120123,0.140922,0.181454"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007518,0.009318,0.011644,0.016220,0.024936,0.042476,0.079403", \ - "0.007519,0.009318,0.011645,0.016221,0.024931,0.042483,0.079403", \ - "0.007519,0.009317,0.011646,0.016217,0.024936,0.042482,0.079402", \ - "0.007522,0.009323,0.011647,0.016223,0.024938,0.042483,0.079409", \ - "0.007542,0.009343,0.011665,0.016238,0.024947,0.042485,0.079408", \ - "0.007555,0.009355,0.011677,0.016256,0.024949,0.042498,0.079415", \ - "0.007584,0.009381,0.011705,0.016281,0.024979,0.042505,0.079401"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.057084,0.060190,0.063682,0.070138,0.081749,0.103008,0.143864", \ - "0.057995,0.061100,0.064592,0.071047,0.082658,0.103919,0.144777", \ - "0.061938,0.065043,0.068531,0.074979,0.086582,0.107832,0.148684", \ - "0.068847,0.071880,0.075294,0.081647,0.093175,0.114378,0.155198", \ - "0.077080,0.080039,0.083355,0.089565,0.100953,0.122053,0.162814", \ - "0.086537,0.089440,0.092670,0.098720,0.109928,0.130900,0.171579", \ - "0.097208,0.100082,0.103241,0.109126,0.120131,0.140946,0.181507"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007521,0.009321,0.011649,0.016228,0.024953,0.042514,0.079463", \ - "0.007521,0.009322,0.011650,0.016229,0.024952,0.042521,0.079470", \ - "0.007521,0.009323,0.011652,0.016225,0.024950,0.042518,0.079464", \ - "0.007526,0.009326,0.011654,0.016231,0.024955,0.042522,0.079463", \ - "0.007548,0.009347,0.011668,0.016245,0.024965,0.042521,0.079467", \ - "0.007559,0.009358,0.011684,0.016264,0.024966,0.042533,0.079472", \ - "0.007591,0.009384,0.011710,0.016288,0.024995,0.042540,0.079458"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.103684,0.106669,0.109940,0.115784,0.126374,0.146670,0.187219", \ - "0.104590,0.107574,0.110844,0.116686,0.127276,0.147579,0.188123", \ - "0.107700,0.110686,0.113958,0.119805,0.130399,0.150695,0.191244", \ - "0.113053,0.116039,0.119310,0.125150,0.135742,0.156030,0.196581", \ - "0.122623,0.125606,0.128876,0.134715,0.145300,0.165585,0.206119", \ - "0.137281,0.140264,0.143539,0.149368,0.159957,0.180235,0.220739", \ - "0.155835,0.158825,0.162102,0.167947,0.178513,0.198789,0.239257"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.005605,0.007342,0.009506,0.013711,0.022330,0.040677,0.078524", \ - "0.005608,0.007340,0.009502,0.013708,0.022333,0.040669,0.078527", \ - "0.005606,0.007340,0.009503,0.013706,0.022335,0.040674,0.078526", \ - "0.005603,0.007339,0.009504,0.013708,0.022332,0.040664,0.078520", \ - "0.005608,0.007342,0.009506,0.013706,0.022330,0.040672,0.078520", \ - "0.005647,0.007373,0.009529,0.013730,0.022349,0.040678,0.078525", \ - "0.005737,0.007445,0.009598,0.013783,0.022358,0.040692,0.078521"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.103684,0.106669,0.109940,0.115784,0.126374,0.146671,0.187220", \ - "0.104590,0.107574,0.110844,0.116686,0.127276,0.147579,0.188123", \ - "0.107701,0.110686,0.113958,0.119804,0.130399,0.150695,0.191244", \ - "0.113053,0.116036,0.119310,0.125150,0.135742,0.156030,0.196581", \ - "0.122623,0.125606,0.128876,0.134715,0.145300,0.165585,0.206119", \ - "0.137281,0.140264,0.143539,0.149368,0.159957,0.180235,0.220739", \ - "0.155835,0.158825,0.162102,0.167947,0.178513,0.198789,0.239257"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.005605,0.007342,0.009506,0.013711,0.022330,0.040677,0.078523", \ - "0.005608,0.007340,0.009502,0.013708,0.022333,0.040669,0.078527", \ - "0.005608,0.007340,0.009503,0.013705,0.022335,0.040674,0.078526", \ - "0.005603,0.007338,0.009504,0.013708,0.022332,0.040664,0.078520", \ - "0.005608,0.007341,0.009507,0.013706,0.022330,0.040672,0.078520", \ - "0.005647,0.007373,0.009529,0.013730,0.022349,0.040678,0.078525", \ - "0.005737,0.007445,0.009598,0.013783,0.022358,0.040692,0.078521"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.103685,0.106669,0.109940,0.115784,0.126374,0.146669,0.187221", \ - "0.104590,0.107574,0.110844,0.116686,0.127276,0.147579,0.188123", \ - "0.107701,0.110686,0.113958,0.119804,0.130399,0.150695,0.191244", \ - "0.113053,0.116036,0.119310,0.125150,0.135742,0.156030,0.196581", \ - "0.122623,0.125606,0.128876,0.134715,0.145300,0.165585,0.206119", \ - "0.137281,0.140264,0.143539,0.149368,0.159957,0.180235,0.220739", \ - "0.155835,0.158825,0.162102,0.167947,0.178513,0.198789,0.239257"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.005604,0.007342,0.009506,0.013711,0.022330,0.040677,0.078522", \ - "0.005608,0.007340,0.009502,0.013708,0.022333,0.040669,0.078527", \ - "0.005608,0.007340,0.009503,0.013705,0.022335,0.040674,0.078526", \ - "0.005603,0.007338,0.009504,0.013708,0.022332,0.040664,0.078520", \ - "0.005608,0.007342,0.009507,0.013706,0.022330,0.040672,0.078520", \ - "0.005647,0.007373,0.009529,0.013730,0.022349,0.040678,0.078525", \ - "0.005737,0.007445,0.009598,0.013783,0.022358,0.040692,0.078521"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.103695,0.106679,0.109951,0.115793,0.126383,0.146678,0.187226", \ - "0.104600,0.107581,0.110854,0.116696,0.127285,0.147586,0.188127", \ - "0.107710,0.110694,0.113968,0.119814,0.130409,0.150702,0.191249", \ - "0.113061,0.116048,0.119319,0.125159,0.135751,0.156037,0.196585", \ - "0.122634,0.125615,0.128885,0.134723,0.145308,0.165592,0.206124", \ - "0.137291,0.140277,0.143548,0.149378,0.159966,0.180242,0.220745", \ - "0.155846,0.158834,0.162110,0.167957,0.178525,0.198797,0.239262"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.005605,0.007341,0.009507,0.013711,0.022331,0.040677,0.078524", \ - "0.005609,0.007340,0.009503,0.013709,0.022333,0.040670,0.078529", \ - "0.005609,0.007339,0.009504,0.013706,0.022335,0.040676,0.078526", \ - "0.005606,0.007341,0.009505,0.013709,0.022332,0.040664,0.078521", \ - "0.005607,0.007343,0.009508,0.013709,0.022331,0.040672,0.078520", \ - "0.005648,0.007371,0.009532,0.013731,0.022349,0.040672,0.078525", \ - "0.005738,0.007449,0.009598,0.013786,0.022361,0.040693,0.078521"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.103697,0.106680,0.109952,0.115795,0.126384,0.146679,0.187227", \ - "0.104602,0.107583,0.110856,0.116698,0.127287,0.147588,0.188128", \ - "0.107712,0.110698,0.113969,0.119815,0.130410,0.150704,0.191250", \ - "0.113062,0.116050,0.119321,0.125160,0.135752,0.156039,0.196586", \ - "0.122634,0.125617,0.128887,0.134725,0.145310,0.165593,0.206122", \ - "0.137292,0.140279,0.143549,0.149379,0.159967,0.180243,0.220746", \ - "0.155848,0.158835,0.162111,0.167959,0.178526,0.198799,0.239263"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.005605,0.007341,0.009507,0.013712,0.022331,0.040677,0.078524", \ - "0.005609,0.007340,0.009503,0.013709,0.022333,0.040670,0.078529", \ - "0.005609,0.007339,0.009504,0.013706,0.022335,0.040676,0.078526", \ - "0.005606,0.007340,0.009505,0.013709,0.022332,0.040664,0.078521", \ - "0.005610,0.007341,0.009508,0.013709,0.022331,0.040672,0.078524", \ - "0.005648,0.007371,0.009532,0.013731,0.022349,0.040672,0.078525", \ - "0.005737,0.007450,0.009598,0.013786,0.022361,0.040690,0.078523"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.103697,0.106680,0.109952,0.115795,0.126384,0.146679,0.187227", \ - "0.104601,0.107583,0.110855,0.116698,0.127287,0.147588,0.188128", \ - "0.107712,0.110697,0.113969,0.119815,0.130410,0.150704,0.191250", \ - "0.113062,0.116051,0.119320,0.125160,0.135752,0.156038,0.196586", \ - "0.122635,0.125616,0.128887,0.134724,0.145310,0.165593,0.206122", \ - "0.137292,0.140279,0.143549,0.149379,0.159967,0.180243,0.220746", \ - "0.155848,0.158835,0.162111,0.167958,0.178526,0.198799,0.239263"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.005605,0.007342,0.009507,0.013711,0.022331,0.040677,0.078525", \ - "0.005609,0.007340,0.009503,0.013709,0.022333,0.040670,0.078529", \ - "0.005609,0.007339,0.009504,0.013706,0.022335,0.040676,0.078526", \ - "0.005606,0.007340,0.009505,0.013709,0.022332,0.040664,0.078521", \ - "0.005607,0.007341,0.009507,0.013709,0.022331,0.040672,0.078524", \ - "0.005648,0.007371,0.009532,0.013731,0.022349,0.040672,0.078525", \ - "0.005737,0.007450,0.009598,0.013786,0.022361,0.040690,0.078521"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.103684,0.106669,0.109940,0.115784,0.126374,0.146671,0.187220", \ - "0.104590,0.107574,0.110844,0.116686,0.127276,0.147579,0.188123", \ - "0.107701,0.110685,0.113958,0.119805,0.130399,0.150695,0.191244", \ - "0.113053,0.116036,0.119310,0.125150,0.135742,0.156030,0.196581", \ - "0.122623,0.125606,0.128876,0.134715,0.145300,0.165585,0.206119", \ - "0.137281,0.140264,0.143539,0.149368,0.159957,0.180235,0.220739", \ - "0.155835,0.158825,0.162102,0.167947,0.178513,0.198789,0.239257"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.005605,0.007342,0.009506,0.013711,0.022330,0.040669,0.078524", \ - "0.005608,0.007340,0.009502,0.013708,0.022333,0.040669,0.078527", \ - "0.005608,0.007340,0.009503,0.013706,0.022335,0.040674,0.078526", \ - "0.005603,0.007338,0.009504,0.013708,0.022332,0.040664,0.078520", \ - "0.005609,0.007341,0.009507,0.013706,0.022330,0.040672,0.078520", \ - "0.005647,0.007373,0.009529,0.013730,0.022349,0.040678,0.078525", \ - "0.005737,0.007445,0.009598,0.013783,0.022358,0.040692,0.078521"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.103695,0.106678,0.109950,0.115793,0.126382,0.146677,0.187224", \ - "0.104599,0.107581,0.110854,0.116696,0.127285,0.147586,0.188126", \ - "0.107710,0.110696,0.113967,0.119814,0.130408,0.150702,0.191249", \ - "0.113060,0.116048,0.119319,0.125158,0.135750,0.156037,0.196585", \ - "0.122634,0.125615,0.128885,0.134723,0.145308,0.165592,0.206122", \ - "0.137290,0.140277,0.143547,0.149377,0.159965,0.180242,0.220745", \ - "0.155846,0.158833,0.162109,0.167957,0.178524,0.198797,0.239262"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.005605,0.007341,0.009507,0.013711,0.022331,0.040677,0.078523", \ - "0.005609,0.007340,0.009503,0.013709,0.022333,0.040670,0.078529", \ - "0.005609,0.007339,0.009504,0.013706,0.022335,0.040676,0.078526", \ - "0.005606,0.007340,0.009505,0.013709,0.022332,0.040664,0.078521", \ - "0.005607,0.007341,0.009506,0.013709,0.022331,0.040672,0.078520", \ - "0.005648,0.007371,0.009532,0.013731,0.022349,0.040672,0.078525", \ - "0.005738,0.007449,0.009598,0.013786,0.022361,0.040693,0.078523"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("7.523277,7.337558,7.202596,7.055058,6.978595,6.875589,6.747948", \ - "7.517524,7.339884,7.224633,7.060497,6.961406,6.881686,6.746258", \ - "7.617426,7.452300,7.309662,7.159011,7.023262,6.891942,6.861647", \ - "8.013776,7.801576,7.706060,7.550719,7.406781,7.287638,7.241278", \ - "8.839265,8.652637,8.525841,8.371306,8.250632,8.158896,8.084172", \ - "10.204530,10.022840,9.880409,9.648215,9.501839,9.460882,9.309619", \ - "11.860610,11.723450,11.555670,11.462370,11.388070,11.262220,11.186510"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("6.633904,6.623767,6.640950,6.649475,6.682849,6.685562,6.633269", \ - "6.627075,6.616832,6.637990,6.640644,6.661167,6.664889,6.577853", \ - "6.728382,6.711846,6.726816,6.721701,6.754448,6.714243,6.716453", \ - "7.089469,7.082126,7.098729,7.095384,7.137886,7.140866,7.113660", \ - "7.869804,7.864886,7.872695,7.862527,7.895577,7.897140,7.864382", \ - "9.093667,9.086057,9.106016,9.099139,9.149252,9.130945,9.092866", \ - "10.476690,10.508530,10.577250,10.642380,10.814080,10.836070,10.833000"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.822907,5.630519,5.556169,5.430477,5.309932,5.295012,5.013116", \ - "5.860140,5.625910,5.509991,5.465146,5.308127,5.195009,5.113189", \ - "5.973575,5.751896,5.633232,5.545298,5.463013,5.277180,5.195152", \ - "6.532306,6.196884,6.056695,5.868876,5.803944,5.581782,5.478639", \ - "7.454222,7.077232,6.847982,6.598473,6.432019,6.256579,6.130179", \ - "8.700502,8.254142,7.994235,7.689624,7.376107,7.190605,6.990961", \ - "10.313620,9.871497,9.504890,9.086574,8.741028,8.460628,8.178376"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.822838,5.630457,5.556099,5.430400,5.402105,5.295155,5.125212", \ - "5.860077,5.625839,5.509922,5.465058,5.308029,5.194879,5.113018", \ - "5.973516,5.751831,5.633156,5.545216,5.462911,5.277046,5.194977", \ - "6.532249,6.196819,6.056620,5.868793,5.803842,5.581648,5.478504", \ - "7.450784,7.077166,6.847921,6.598390,6.431933,6.256466,6.130028", \ - "8.700463,8.254102,7.994179,7.689562,7.376034,7.190529,6.990847", \ - "10.313590,9.871465,9.504850,9.086525,8.740976,8.460569,8.178355"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.823023,5.630640,5.556300,5.430642,5.402395,5.295523,5.127096", \ - "5.860261,5.626033,5.510125,5.465294,5.308309,5.195249,5.113483", \ - "5.973693,5.752019,5.633363,5.545437,5.463196,5.277417,5.195454", \ - "6.532422,6.197006,6.056822,5.869018,5.804120,5.582011,5.478925", \ - "7.438459,7.077339,6.848096,6.598602,6.432185,6.256788,6.130449", \ - "8.700581,8.254239,7.994324,7.689726,7.376239,7.190776,6.991196", \ - "10.313660,9.871545,9.504931,9.086641,8.741121,8.460761,8.178620"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.771739,5.533025,5.458898,5.338655,5.305634,5.199780,5.132589", \ - "5.762306,5.531395,5.410530,5.381934,5.250305,5.101924,4.892625", \ - "5.889554,5.607640,5.541797,5.451731,5.369887,5.169935,5.105856", \ - "6.440411,6.106266,5.965337,5.776817,5.712504,5.491262,5.442998", \ - "7.346656,6.984357,6.756277,6.507086,6.330444,6.166942,6.081183", \ - "8.610448,8.213463,7.904521,7.599149,7.377957,7.091173,6.904236", \ - "10.219890,9.780419,9.413154,8.993878,8.650131,8.341624,8.091232"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.771776,5.533097,5.458967,5.338744,5.305707,5.199942,5.132488", \ - "5.762352,5.531434,5.410602,5.381676,5.250394,5.102029,4.892745", \ - "5.889627,5.607688,5.541846,5.451800,5.369958,5.170018,5.105965", \ - "6.440479,6.106320,5.965385,5.776872,5.712567,5.491336,5.443207", \ - "7.362732,6.984388,6.756344,6.507126,6.330534,6.167052,6.081321", \ - "8.610514,8.213523,7.904560,7.599201,7.378059,7.091339,6.904425", \ - "10.219940,9.780454,9.413178,8.993916,8.650211,8.341775,8.091394"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.772027,5.533365,5.459251,5.339057,5.306090,5.200422,5.133199", \ - "5.762605,5.531699,5.410884,5.382190,5.250815,5.102508,4.893353", \ - "5.889878,5.659243,5.542129,5.452117,5.370338,5.170494,5.106574", \ - "6.440729,6.106583,5.965665,5.777183,5.712939,5.491782,5.443792", \ - "7.346928,6.984635,6.756606,6.507420,6.330885,6.167490,6.081885", \ - "8.610716,8.213737,7.904790,7.599459,7.378368,7.091730,6.904937", \ - "10.220080,9.780616,9.413355,8.994119,8.650462,8.342104,8.091781"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.822832,5.630447,5.556089,5.430405,5.402104,5.294872,5.128143", \ - "5.860073,5.625832,5.509915,5.465051,5.308013,5.194885,5.113017", \ - "5.973508,5.751822,5.633147,5.545207,5.462909,5.277052,5.194984", \ - "6.532240,6.196809,6.056609,5.868781,5.803837,5.581651,5.478499", \ - "7.455460,7.077150,6.847904,6.598375,6.431925,6.256461,6.130022", \ - "8.700441,8.254084,7.994155,7.689537,7.376013,7.190503,6.990827", \ - "10.313560,9.871432,9.504799,9.086492,8.740944,8.460539,8.178333"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.771992,5.533292,5.459185,5.338976,5.306017,5.200250,5.133215", \ - "5.762559,5.531664,5.410816,5.382240,5.250725,5.102415,4.893250", \ - "5.889814,5.607910,5.542086,5.452056,5.370261,5.170425,5.106471", \ - "6.440662,6.106533,5.965623,5.777134,5.712871,5.491742,5.443570", \ - "7.346891,6.984607,6.756537,6.507392,6.330798,6.167388,6.081745", \ - "8.610650,8.213678,7.904752,7.599406,7.378260,7.091561,6.904741", \ - "10.220040,9.780580,9.413335,8.994085,8.650376,8.341956,8.091621"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("9.051026,8.978373,9.065793,9.084853,9.090867,9.046069,8.766859", \ - "9.021917,8.959699,9.049392,9.080925,9.073587,8.942104,8.660909", \ - "9.147351,9.108066,9.086288,9.112434,9.104518,9.077511,8.797541", \ - "9.739763,9.558290,9.599741,9.565184,9.475483,9.410481,9.190442", \ - "10.747740,10.554990,10.480850,10.337150,10.237580,10.144620,10.019800", \ - "12.358380,12.095270,11.979860,11.797940,11.631700,11.478110,11.117990", \ - "14.497240,14.260460,14.044230,13.770230,13.499420,13.233680,13.050750"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("9.050933,8.978183,9.065696,9.084744,9.090703,9.066946,8.766566", \ - "9.021823,8.959550,9.049620,9.080806,9.073413,8.941946,8.660703", \ - "9.163420,9.107906,9.086179,9.115052,9.104382,9.077352,8.797335", \ - "9.739682,9.569913,9.599639,9.565076,9.475351,9.410318,9.190292", \ - "10.747660,10.554860,10.471420,10.337040,10.237470,10.144480,10.019630", \ - "12.358310,12.095200,11.979770,11.797850,11.631600,11.478010,11.117840", \ - "14.497180,14.260490,14.044160,13.770150,13.499340,13.233590,13.050590"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("9.051142,8.978610,9.065967,9.085057,9.091079,9.055650,8.767587", \ - "9.022069,8.959777,9.050266,9.081127,9.073778,8.942390,8.661250", \ - "9.163013,9.108193,9.086459,9.115364,9.104751,9.077792,8.797876", \ - "9.739903,9.570155,9.599903,9.565370,9.475706,9.410735,9.190741", \ - "10.747860,10.555120,10.471660,10.337310,10.237790,10.144870,10.020100", \ - "12.358480,12.095380,11.979980,11.798080,11.631880,11.478330,11.118250", \ - "14.497300,14.260770,14.044320,13.770340,13.499590,13.233870,13.051020"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("9.052380,8.976552,9.068659,9.087111,9.088547,9.050885,8.774247", \ - "9.024333,8.955892,9.047436,9.083016,9.065994,8.946883,8.670476", \ - "9.167162,9.093864,9.087876,9.115150,9.141015,9.082722,8.805089", \ - "9.741253,9.578433,9.602274,9.567341,9.478525,9.417085,9.178635", \ - "10.744920,10.556530,10.464400,10.339280,10.240560,10.149390,10.030770", \ - "12.360990,12.085160,11.983610,11.800150,11.634710,11.483470,11.125370", \ - "14.520780,14.221320,14.046200,13.772410,13.500720,13.165820,12.903070"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("9.052399,8.976387,9.068868,9.087234,9.088746,9.051107,8.774498", \ - "9.024517,8.954851,9.047523,9.083107,9.066159,8.947111,8.670979", \ - "9.165682,9.094291,9.087918,9.117699,9.143463,9.098568,8.805346", \ - "9.741303,9.559816,9.602461,9.567442,9.478641,9.417328,9.179195", \ - "10.750750,10.556510,10.465050,10.339330,10.240700,10.149610,10.027700", \ - "12.361170,12.085440,11.983680,11.800230,11.634860,11.483750,11.125680", \ - "14.520780,14.221730,14.046200,13.772450,13.500710,13.134830,12.983410"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("9.052814,8.977543,9.069300,9.087689,9.089190,9.051667,8.775097", \ - "9.024908,8.955388,9.047960,9.083565,9.066658,8.947665,8.671617", \ - "9.167618,9.094713,9.088349,9.115749,9.143188,9.096906,8.806004", \ - "9.741699,9.577234,9.602859,9.567879,9.479121,9.417855,9.179798", \ - "10.745650,10.556900,10.484140,10.339750,10.241140,10.150120,10.028300", \ - "12.361480,12.085760,11.984030,11.800610,11.635270,11.484210,11.126250", \ - "14.521070,14.221980,14.046520,13.772790,13.501090,13.135250,12.903970"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("9.050850,8.978076,9.065680,9.084720,9.090695,9.045649,8.766519", \ - "9.021775,8.959579,9.049351,9.080785,9.073385,8.941952,8.660709", \ - "9.162722,9.107801,9.086142,9.112295,9.104374,9.077357,8.797339", \ - "9.739630,9.569863,9.599599,9.565049,9.475340,9.410313,9.190262", \ - "10.750170,10.554800,10.471360,10.337000,10.237450,10.144470,10.019620", \ - "12.358250,12.095130,11.979720,11.797810,11.631580,11.477990,11.117820", \ - "14.497100,14.260580,14.044090,13.770100,13.499340,13.233590,13.050500"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("9.052788,8.977050,9.069046,9.087555,9.089006,9.051423,8.775065", \ - "9.024694,8.956641,9.047859,9.083461,9.066471,8.947424,8.671055", \ - "9.167579,9.094665,9.088300,9.115573,9.140900,9.082978,8.805734", \ - "9.741638,9.560199,9.602642,9.567765,9.478987,9.417591,9.179179", \ - "10.745390,10.556880,10.483910,10.339690,10.241000,10.149890,10.032580", \ - "12.361280,12.085430,11.983970,11.800530,11.635110,11.483910,11.125920", \ - "14.521090,14.221500,14.046520,13.772750,13.501110,13.166610,12.983380"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.052580,0.054742,0.057092,0.061347,0.069089,0.083905,0.113434", \ - "0.053336,0.055500,0.057850,0.062107,0.069849,0.084662,0.114182", \ - "0.056121,0.058282,0.060632,0.064888,0.072632,0.087448,0.116972", \ - "0.058877,0.061040,0.063393,0.067647,0.075394,0.090205,0.119734", \ - "0.060681,0.062842,0.065194,0.069446,0.077189,0.092008,0.121523", \ - "0.061047,0.063205,0.065555,0.069809,0.077551,0.092369,0.121886", \ - "0.059682,0.061838,0.064185,0.068440,0.076179,0.090986,0.120513"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.056566,0.059052,0.061736,0.066769,0.076751,0.096919,0.137738", \ - "0.057324,0.059808,0.062491,0.067526,0.077508,0.097678,0.138497", \ - "0.059907,0.062393,0.065076,0.070109,0.080091,0.100259,0.141077", \ - "0.062367,0.064850,0.067533,0.072562,0.082544,0.102714,0.143525", \ - "0.063916,0.066398,0.069075,0.074101,0.084068,0.104232,0.145044", \ - "0.064295,0.066767,0.069438,0.074444,0.084395,0.104536,0.145331", \ - "0.063171,0.065620,0.068269,0.073246,0.083147,0.103244,0.144023"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003793,0.004935,0.006283,0.009000,0.014711,0.027001,0.052525", \ - "0.003798,0.004933,0.006279,0.008996,0.014711,0.027001,0.052525", \ - "0.003796,0.004934,0.006278,0.009001,0.014709,0.027003,0.052518", \ - "0.003797,0.004933,0.006280,0.008999,0.014708,0.027003,0.052522", \ - "0.003796,0.004933,0.006285,0.008994,0.014708,0.027004,0.052524", \ - "0.003795,0.004935,0.006279,0.009000,0.014709,0.027009,0.052515", \ - "0.003799,0.004938,0.006281,0.008998,0.014710,0.027002,0.052520"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004450,0.006245,0.008425,0.012655,0.021534,0.040307,0.078622", \ - "0.004452,0.006244,0.008425,0.012658,0.021535,0.040310,0.078623", \ - "0.004453,0.006245,0.008425,0.012658,0.021536,0.040311,0.078617", \ - "0.004453,0.006246,0.008430,0.012657,0.021536,0.040311,0.078618", \ - "0.004459,0.006251,0.008427,0.012663,0.021538,0.040312,0.078621", \ - "0.004476,0.006264,0.008444,0.012673,0.021540,0.040312,0.078619", \ - "0.004501,0.006290,0.008464,0.012683,0.021548,0.040313,0.078628"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015051,0.017556,0.020146,0.024634,0.032605,0.047660,0.077353", \ - "0.015969,0.018473,0.021062,0.025549,0.033521,0.048577,0.078271", \ - "0.020062,0.022523,0.025085,0.029548,0.037507,0.052553,0.082241", \ - "0.026564,0.029234,0.031929,0.036530,0.044555,0.059542,0.089154", \ - "0.033879,0.036812,0.039734,0.044630,0.052960,0.068026,0.097512", \ - "0.042269,0.045446,0.048615,0.053857,0.062563,0.077733,0.107070", \ - "0.051633,0.055056,0.058492,0.064128,0.073320,0.088702,0.117804"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003394,0.004581,0.006016,0.008865,0.014740,0.027115,0.052529", \ - "0.003394,0.004583,0.006016,0.008865,0.014743,0.027115,0.052519", \ - "0.003455,0.004643,0.006066,0.008904,0.014761,0.027116,0.052519", \ - "0.004561,0.005628,0.006899,0.009503,0.015041,0.027161,0.052529", \ - "0.005832,0.006906,0.008135,0.010606,0.015833,0.027431,0.052526", \ - "0.007319,0.008431,0.009634,0.011999,0.016885,0.027793,0.052602", \ - "0.009042,0.010190,0.011423,0.013722,0.018311,0.028345,0.052630"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015051,0.017556,0.020146,0.024634,0.032605,0.047660,0.077352", \ - "0.015969,0.018473,0.021062,0.025549,0.033521,0.048577,0.078271", \ - "0.020062,0.022523,0.025085,0.029548,0.037507,0.052553,0.082241", \ - "0.026564,0.029234,0.031929,0.036530,0.044555,0.059542,0.089154", \ - "0.033879,0.036812,0.039734,0.044630,0.052960,0.068026,0.097512", \ - "0.042269,0.045446,0.048615,0.053857,0.062563,0.077733,0.107070", \ - "0.051633,0.055056,0.058492,0.064128,0.073320,0.088702,0.117804"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003394,0.004581,0.006016,0.008865,0.014740,0.027113,0.052523", \ - "0.003394,0.004583,0.006016,0.008865,0.014743,0.027115,0.052519", \ - "0.003455,0.004643,0.006066,0.008904,0.014761,0.027116,0.052519", \ - "0.004561,0.005628,0.006899,0.009503,0.015041,0.027161,0.052529", \ - "0.005832,0.006906,0.008135,0.010606,0.015833,0.027431,0.052526", \ - "0.007319,0.008431,0.009634,0.011999,0.016885,0.027793,0.052602", \ - "0.009042,0.010190,0.011423,0.013722,0.018311,0.028345,0.052630"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015051,0.017556,0.020146,0.024634,0.032605,0.047660,0.077353", \ - "0.015969,0.018473,0.021062,0.025549,0.033521,0.048577,0.078271", \ - "0.020062,0.022523,0.025085,0.029548,0.037507,0.052553,0.082241", \ - "0.026564,0.029234,0.031929,0.036530,0.044555,0.059542,0.089154", \ - "0.033879,0.036812,0.039734,0.044630,0.052960,0.068026,0.097512", \ - "0.042269,0.045446,0.048615,0.053857,0.062563,0.077733,0.107070", \ - "0.051633,0.055056,0.058492,0.064128,0.073320,0.088702,0.117804"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003394,0.004581,0.006016,0.008865,0.014740,0.027113,0.052524", \ - "0.003394,0.004583,0.006016,0.008865,0.014743,0.027115,0.052519", \ - "0.003455,0.004643,0.006066,0.008904,0.014761,0.027116,0.052519", \ - "0.004561,0.005628,0.006899,0.009503,0.015041,0.027161,0.052529", \ - "0.005832,0.006906,0.008135,0.010606,0.015833,0.027431,0.052526", \ - "0.007319,0.008431,0.009634,0.011999,0.016885,0.027793,0.052592", \ - "0.009042,0.010190,0.011423,0.013722,0.018311,0.028345,0.052630"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015047,0.017553,0.020142,0.024631,0.032601,0.047656,0.077348", \ - "0.015965,0.018470,0.021059,0.025546,0.033517,0.048574,0.078267", \ - "0.020057,0.022517,0.025078,0.029541,0.037501,0.052547,0.082234", \ - "0.026560,0.029229,0.031926,0.036525,0.044551,0.059538,0.089149", \ - "0.033875,0.036808,0.039731,0.044626,0.052956,0.068022,0.097508", \ - "0.042262,0.045442,0.048611,0.053854,0.062558,0.077730,0.107066", \ - "0.051631,0.055058,0.058490,0.064126,0.073317,0.088698,0.117799"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003394,0.004581,0.006015,0.008867,0.014744,0.027112,0.052529", \ - "0.003394,0.004582,0.006015,0.008866,0.014741,0.027115,0.052519", \ - "0.003455,0.004643,0.006066,0.008903,0.014757,0.027117,0.052518", \ - "0.004561,0.005626,0.006900,0.009502,0.015041,0.027162,0.052523", \ - "0.005832,0.006907,0.008137,0.010605,0.015833,0.027431,0.052527", \ - "0.007320,0.008425,0.009634,0.011999,0.016884,0.027796,0.052587", \ - "0.009033,0.010189,0.011418,0.013724,0.018309,0.028347,0.052629"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015047,0.017553,0.020142,0.024631,0.032601,0.047656,0.077348", \ - "0.015965,0.018470,0.021059,0.025546,0.033517,0.048574,0.078267", \ - "0.020057,0.022517,0.025078,0.029541,0.037501,0.052547,0.082234", \ - "0.026560,0.029229,0.031926,0.036525,0.044551,0.059538,0.089149", \ - "0.033875,0.036808,0.039731,0.044626,0.052956,0.068022,0.097508", \ - "0.042262,0.045442,0.048611,0.053854,0.062558,0.077730,0.107066", \ - "0.051631,0.055058,0.058490,0.064126,0.073317,0.088698,0.117799"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003394,0.004581,0.006015,0.008867,0.014744,0.027112,0.052529", \ - "0.003394,0.004582,0.006015,0.008866,0.014741,0.027115,0.052519", \ - "0.003455,0.004642,0.006066,0.008903,0.014757,0.027117,0.052518", \ - "0.004561,0.005626,0.006900,0.009502,0.015041,0.027162,0.052523", \ - "0.005832,0.006907,0.008137,0.010605,0.015833,0.027431,0.052527", \ - "0.007320,0.008425,0.009634,0.011999,0.016884,0.027796,0.052587", \ - "0.009033,0.010189,0.011418,0.013724,0.018309,0.028347,0.052629"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015047,0.017553,0.020142,0.024631,0.032601,0.047656,0.077348", \ - "0.015965,0.018470,0.021059,0.025546,0.033517,0.048574,0.078267", \ - "0.020057,0.022517,0.025078,0.029541,0.037501,0.052547,0.082234", \ - "0.026560,0.029229,0.031926,0.036525,0.044551,0.059538,0.089149", \ - "0.033875,0.036808,0.039731,0.044626,0.052956,0.068022,0.097508", \ - "0.042262,0.045442,0.048611,0.053854,0.062558,0.077730,0.107066", \ - "0.051631,0.055058,0.058490,0.064126,0.073317,0.088698,0.117799"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003394,0.004581,0.006015,0.008867,0.014744,0.027112,0.052529", \ - "0.003394,0.004582,0.006015,0.008866,0.014741,0.027115,0.052519", \ - "0.003455,0.004642,0.006066,0.008903,0.014757,0.027117,0.052518", \ - "0.004561,0.005626,0.006900,0.009502,0.015041,0.027162,0.052523", \ - "0.005832,0.006907,0.008137,0.010605,0.015833,0.027431,0.052527", \ - "0.007320,0.008425,0.009634,0.011999,0.016884,0.027796,0.052587", \ - "0.009033,0.010189,0.011418,0.013724,0.018309,0.028347,0.052629"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015051,0.017556,0.020146,0.024634,0.032605,0.047660,0.077353", \ - "0.015969,0.018473,0.021062,0.025549,0.033521,0.048577,0.078271", \ - "0.020062,0.022523,0.025085,0.029548,0.037507,0.052553,0.082241", \ - "0.026564,0.029234,0.031929,0.036530,0.044555,0.059542,0.089154", \ - "0.033879,0.036812,0.039734,0.044630,0.052960,0.068026,0.097512", \ - "0.042269,0.045446,0.048615,0.053857,0.062563,0.077733,0.107070", \ - "0.051633,0.055056,0.058492,0.064128,0.073320,0.088702,0.117804"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003394,0.004581,0.006016,0.008865,0.014740,0.027114,0.052524", \ - "0.003394,0.004583,0.006016,0.008865,0.014743,0.027115,0.052519", \ - "0.003455,0.004643,0.006066,0.008904,0.014761,0.027116,0.052519", \ - "0.004561,0.005628,0.006899,0.009503,0.015041,0.027161,0.052529", \ - "0.005832,0.006906,0.008135,0.010606,0.015833,0.027431,0.052526", \ - "0.007319,0.008431,0.009634,0.011999,0.016885,0.027793,0.052602", \ - "0.009042,0.010190,0.011423,0.013722,0.018311,0.028345,0.052630"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015047,0.017553,0.020142,0.024631,0.032601,0.047655,0.077348", \ - "0.015965,0.018470,0.021059,0.025546,0.033517,0.048574,0.078267", \ - "0.020057,0.022517,0.025078,0.029541,0.037501,0.052547,0.082234", \ - "0.026560,0.029229,0.031926,0.036525,0.044551,0.059538,0.089149", \ - "0.033875,0.036808,0.039731,0.044626,0.052956,0.068022,0.097508", \ - "0.042262,0.045442,0.048611,0.053854,0.062558,0.077730,0.107066", \ - "0.051631,0.055058,0.058490,0.064126,0.073317,0.088698,0.117799"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003394,0.004581,0.006015,0.008867,0.014744,0.027115,0.052529", \ - "0.003394,0.004582,0.006015,0.008866,0.014741,0.027115,0.052519", \ - "0.003455,0.004643,0.006066,0.008903,0.014757,0.027117,0.052518", \ - "0.004561,0.005626,0.006900,0.009502,0.015041,0.027162,0.052523", \ - "0.005832,0.006907,0.008137,0.010605,0.015833,0.027431,0.052527", \ - "0.007320,0.008425,0.009634,0.011999,0.016884,0.027796,0.052587", \ - "0.009033,0.010189,0.011418,0.013724,0.018309,0.028347,0.052629"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015100,0.017596,0.020173,0.024629,0.032538,0.047489,0.077169", \ - "0.016018,0.018512,0.021086,0.025544,0.033454,0.048407,0.078086", \ - "0.020110,0.022564,0.025109,0.029543,0.037440,0.052386,0.082063", \ - "0.026631,0.029283,0.031961,0.036530,0.044493,0.059391,0.089008", \ - "0.033945,0.036856,0.039763,0.044631,0.052899,0.067917,0.097449", \ - "0.042315,0.045484,0.048660,0.053894,0.062590,0.077839,0.107324", \ - "0.051650,0.055106,0.058568,0.064249,0.073530,0.089287,0.118834"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003399,0.004563,0.005980,0.008804,0.014628,0.027006,0.052736", \ - "0.003399,0.004564,0.005983,0.008806,0.014627,0.027010,0.052736", \ - "0.003452,0.004623,0.006031,0.008838,0.014644,0.027016,0.052742", \ - "0.004544,0.005587,0.006846,0.009428,0.014930,0.027081,0.052756", \ - "0.005778,0.006857,0.008073,0.010521,0.015728,0.027416,0.052792", \ - "0.007240,0.008388,0.009608,0.011969,0.016882,0.027984,0.052954", \ - "0.008982,0.010212,0.011492,0.013833,0.018553,0.029051,0.053246"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015100,0.017596,0.020173,0.024629,0.032538,0.047490,0.077168", \ - "0.016018,0.018512,0.021086,0.025544,0.033454,0.048407,0.078086", \ - "0.020111,0.022563,0.025109,0.029544,0.037440,0.052386,0.082063", \ - "0.026631,0.029283,0.031961,0.036530,0.044493,0.059391,0.089008", \ - "0.033945,0.036856,0.039763,0.044631,0.052899,0.067917,0.097449", \ - "0.042315,0.045484,0.048660,0.053894,0.062590,0.077839,0.107324", \ - "0.051650,0.055106,0.058568,0.064249,0.073530,0.089287,0.118834"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003399,0.004563,0.005980,0.008804,0.014628,0.027008,0.052736", \ - "0.003399,0.004564,0.005983,0.008806,0.014627,0.027010,0.052736", \ - "0.003452,0.004621,0.006031,0.008839,0.014644,0.027016,0.052742", \ - "0.004544,0.005587,0.006846,0.009428,0.014930,0.027081,0.052756", \ - "0.005778,0.006857,0.008073,0.010521,0.015728,0.027416,0.052792", \ - "0.007240,0.008388,0.009608,0.011969,0.016882,0.027984,0.052954", \ - "0.008982,0.010212,0.011492,0.013833,0.018553,0.029051,0.053246"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015100,0.017596,0.020173,0.024629,0.032538,0.047489,0.077169", \ - "0.016018,0.018512,0.021086,0.025544,0.033454,0.048407,0.078086", \ - "0.020110,0.022563,0.025109,0.029543,0.037440,0.052386,0.082063", \ - "0.026631,0.029283,0.031961,0.036530,0.044493,0.059391,0.089008", \ - "0.033945,0.036856,0.039763,0.044631,0.052899,0.067917,0.097449", \ - "0.042315,0.045484,0.048660,0.053894,0.062590,0.077839,0.107324", \ - "0.051650,0.055106,0.058568,0.064249,0.073530,0.089287,0.118834"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003399,0.004563,0.005980,0.008804,0.014628,0.027007,0.052735", \ - "0.003399,0.004564,0.005983,0.008806,0.014627,0.027010,0.052736", \ - "0.003452,0.004621,0.006031,0.008838,0.014644,0.027016,0.052742", \ - "0.004544,0.005587,0.006846,0.009428,0.014930,0.027081,0.052756", \ - "0.005778,0.006857,0.008073,0.010521,0.015728,0.027416,0.052792", \ - "0.007240,0.008388,0.009608,0.011969,0.016882,0.027984,0.052954", \ - "0.008982,0.010212,0.011492,0.013833,0.018553,0.029051,0.053246"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015100,0.017596,0.020173,0.024629,0.032538,0.047489,0.077168", \ - "0.016018,0.018512,0.021086,0.025544,0.033454,0.048407,0.078086", \ - "0.020110,0.022563,0.025109,0.029543,0.037440,0.052385,0.082063", \ - "0.026631,0.029283,0.031961,0.036530,0.044493,0.059391,0.089008", \ - "0.033945,0.036856,0.039763,0.044627,0.052899,0.067917,0.097451", \ - "0.042315,0.045484,0.048659,0.053894,0.062590,0.077839,0.107324", \ - "0.051650,0.055106,0.058570,0.064249,0.073529,0.089288,0.118835"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003399,0.004563,0.005980,0.008805,0.014628,0.027007,0.052736", \ - "0.003399,0.004564,0.005983,0.008806,0.014627,0.027010,0.052736", \ - "0.003452,0.004621,0.006031,0.008838,0.014644,0.027016,0.052742", \ - "0.004544,0.005587,0.006846,0.009428,0.014930,0.027081,0.052756", \ - "0.005778,0.006857,0.008073,0.010521,0.015728,0.027416,0.052792", \ - "0.007240,0.008388,0.009609,0.011969,0.016882,0.027985,0.052954", \ - "0.008982,0.010212,0.011491,0.013833,0.018553,0.029051,0.053247"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015100,0.017596,0.020173,0.024629,0.032538,0.047489,0.077168", \ - "0.016018,0.018512,0.021086,0.025544,0.033454,0.048407,0.078086", \ - "0.020111,0.022563,0.025109,0.029543,0.037440,0.052385,0.082063", \ - "0.026631,0.029283,0.031961,0.036530,0.044493,0.059391,0.089008", \ - "0.033945,0.036856,0.039763,0.044627,0.052899,0.067917,0.097452", \ - "0.042315,0.045484,0.048659,0.053894,0.062590,0.077839,0.107324", \ - "0.051651,0.055106,0.058570,0.064249,0.073529,0.089288,0.118835"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003399,0.004563,0.005980,0.008805,0.014628,0.027007,0.052736", \ - "0.003399,0.004564,0.005983,0.008806,0.014627,0.027010,0.052736", \ - "0.003452,0.004621,0.006031,0.008838,0.014644,0.027016,0.052742", \ - "0.004544,0.005587,0.006846,0.009428,0.014930,0.027081,0.052756", \ - "0.005778,0.006857,0.008073,0.010521,0.015728,0.027416,0.052791", \ - "0.007240,0.008388,0.009609,0.011969,0.016882,0.027985,0.052954", \ - "0.008982,0.010212,0.011491,0.013833,0.018553,0.029051,0.053247"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015100,0.017596,0.020173,0.024629,0.032538,0.047489,0.077168", \ - "0.016018,0.018512,0.021086,0.025544,0.033454,0.048407,0.078086", \ - "0.020111,0.022564,0.025109,0.029543,0.037440,0.052385,0.082063", \ - "0.026631,0.029283,0.031961,0.036530,0.044493,0.059391,0.089008", \ - "0.033945,0.036856,0.039763,0.044627,0.052899,0.067917,0.097451", \ - "0.042315,0.045484,0.048659,0.053894,0.062590,0.077839,0.107324", \ - "0.051651,0.055106,0.058570,0.064249,0.073529,0.089288,0.118835"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003399,0.004563,0.005980,0.008805,0.014628,0.027007,0.052736", \ - "0.003399,0.004564,0.005983,0.008806,0.014627,0.027010,0.052736", \ - "0.003452,0.004623,0.006031,0.008838,0.014644,0.027016,0.052742", \ - "0.004544,0.005587,0.006846,0.009428,0.014930,0.027081,0.052756", \ - "0.005778,0.006857,0.008073,0.010521,0.015728,0.027416,0.052792", \ - "0.007240,0.008388,0.009609,0.011969,0.016882,0.027985,0.052954", \ - "0.008982,0.010212,0.011491,0.013833,0.018553,0.029051,0.053247"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015100,0.017596,0.020173,0.024629,0.032538,0.047489,0.077169", \ - "0.016018,0.018512,0.021086,0.025544,0.033454,0.048407,0.078086", \ - "0.020111,0.022563,0.025109,0.029543,0.037440,0.052386,0.082063", \ - "0.026631,0.029283,0.031961,0.036530,0.044493,0.059391,0.089008", \ - "0.033945,0.036856,0.039763,0.044631,0.052899,0.067917,0.097449", \ - "0.042315,0.045484,0.048660,0.053894,0.062590,0.077839,0.107324", \ - "0.051650,0.055106,0.058568,0.064249,0.073530,0.089287,0.118834"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003399,0.004563,0.005980,0.008804,0.014628,0.027004,0.052736", \ - "0.003399,0.004564,0.005983,0.008806,0.014627,0.027010,0.052736", \ - "0.003452,0.004621,0.006031,0.008838,0.014644,0.027016,0.052742", \ - "0.004544,0.005587,0.006846,0.009428,0.014930,0.027081,0.052756", \ - "0.005778,0.006857,0.008073,0.010521,0.015728,0.027416,0.052792", \ - "0.007240,0.008388,0.009608,0.011969,0.016882,0.027984,0.052954", \ - "0.008982,0.010212,0.011492,0.013833,0.018553,0.029051,0.053246"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015100,0.017596,0.020173,0.024629,0.032538,0.047489,0.077168", \ - "0.016018,0.018512,0.021086,0.025544,0.033454,0.048407,0.078086", \ - "0.020111,0.022563,0.025109,0.029544,0.037440,0.052385,0.082063", \ - "0.026631,0.029283,0.031961,0.036530,0.044493,0.059391,0.089008", \ - "0.033945,0.036856,0.039763,0.044627,0.052899,0.067917,0.097452", \ - "0.042315,0.045484,0.048659,0.053894,0.062590,0.077839,0.107324", \ - "0.051650,0.055106,0.058570,0.064249,0.073529,0.089288,0.118835"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.003399,0.004563,0.005980,0.008805,0.014628,0.027007,0.052736", \ - "0.003399,0.004564,0.005983,0.008806,0.014627,0.027010,0.052736", \ - "0.003452,0.004621,0.006031,0.008839,0.014644,0.027016,0.052742", \ - "0.004544,0.005587,0.006846,0.009428,0.014930,0.027081,0.052756", \ - "0.005778,0.006857,0.008073,0.010521,0.015728,0.027416,0.052791", \ - "0.007240,0.008388,0.009609,0.011969,0.016882,0.027985,0.052954", \ - "0.008982,0.010212,0.011491,0.013833,0.018553,0.029051,0.053247"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.634022,6.624951,6.640399,6.646403,6.683943,6.683837,6.677726", \ - "6.626522,6.614347,6.636152,6.637796,6.674849,6.666853,6.628701", \ - "6.728277,6.710174,6.722056,6.712531,6.750908,6.751516,6.757408", \ - "7.090675,7.080757,7.092572,7.090345,7.116807,7.138388,7.110492", \ - "7.878113,7.869647,7.868567,7.872382,7.911334,7.901566,7.912561", \ - "9.093647,9.085041,9.108982,9.102565,9.153017,9.132515,8.976754", \ - "10.479550,10.508230,10.577510,10.652450,10.810450,10.843610,10.815130"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("7.523293,7.332076,7.230712,7.038803,6.958061,6.815525,6.705362", \ - "7.523484,7.320467,7.211463,7.070903,6.967601,6.821845,6.796141", \ - "7.617315,7.447039,7.314559,7.163674,7.045951,6.950029,6.822468", \ - "7.999660,7.796056,7.701906,7.534954,7.439906,7.337995,7.228784", \ - "8.841111,8.667975,8.516514,8.368048,8.220071,8.162570,7.968448", \ - "10.194220,10.019840,9.870994,9.695370,9.561295,9.450312,9.304634", \ - "11.860600,11.718010,11.548120,11.461700,11.345480,11.275650,11.178000"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.827032,5.625914,5.548307,5.484957,5.370745,5.236040,5.184355", \ - "5.860120,5.621644,5.543572,5.404443,5.362759,5.134079,5.171491", \ - "5.977331,5.695669,5.627664,5.530078,5.431634,5.257874,5.238940", \ - "6.532324,6.189109,6.048079,5.929375,5.772409,5.520662,5.524145", \ - "7.450774,7.070930,6.838571,6.581537,6.388361,6.196520,6.010699", \ - "8.700477,8.303911,7.999248,7.671956,7.435481,7.112345,6.978536", \ - "10.313610,9.865692,9.483370,9.068013,8.757978,8.443060,8.057073"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.826962,5.625853,5.548237,5.484881,5.370639,5.297264,5.190918", \ - "5.860057,5.621573,5.543506,5.404353,5.362661,5.133945,5.171325", \ - "5.977271,5.695601,5.627588,5.529995,5.431530,5.257738,5.238769", \ - "6.532266,6.189041,6.048003,5.929295,5.772305,5.520523,5.524014", \ - "7.454098,7.070864,6.838510,6.581453,6.388272,6.196403,6.010540", \ - "8.700438,8.303866,7.999194,7.671893,7.435412,7.112263,6.978421", \ - "10.313580,9.865660,9.483326,9.067963,8.757927,8.443004,8.057043"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.827148,5.626037,5.548438,5.485107,5.370931,5.236477,5.199316", \ - "5.860241,5.621767,5.543704,5.404592,5.362944,5.134320,5.171797", \ - "5.977449,5.695792,5.627797,5.530227,5.431817,5.258112,5.239244", \ - "6.532440,6.189227,6.048206,5.929518,5.772586,5.520892,5.524437", \ - "7.454260,7.071039,6.838685,6.581667,6.388522,6.196731,6.010973", \ - "8.700556,8.303994,7.999351,7.672059,7.435613,7.112538,6.916093", \ - "10.313650,9.865745,9.483424,9.068080,8.758071,8.443208,8.057321"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.771723,5.528440,5.451120,5.387755,5.274340,5.190124,5.121217", \ - "5.762299,5.526824,5.449109,5.336860,5.268797,5.041081,5.080339", \ - "5.889568,5.602378,5.537613,5.436479,5.338459,5.108833,5.148636", \ - "6.440431,6.145634,5.965525,5.837506,5.680754,5.521266,5.268518", \ - "7.362684,6.948107,6.746895,6.490106,6.297373,6.106731,5.922413", \ - "8.610476,8.213927,7.894083,7.581505,7.344962,7.008307,6.783252", \ - "10.219870,9.774069,9.391561,8.975334,8.615445,8.254154,7.969525"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.771759,5.528513,5.451187,5.387848,5.274407,5.188117,5.121784", \ - "5.762344,5.526863,5.449188,5.336899,5.268889,5.041175,5.080478", \ - "5.889639,5.602689,5.537661,5.436545,5.338524,5.108905,5.148753", \ - "6.440498,6.145698,5.965571,5.837573,5.680811,5.521349,5.268698", \ - "7.368010,6.948130,6.746961,6.490144,6.297457,6.106829,5.922523", \ - "8.610542,8.213986,7.894121,7.581554,7.345058,7.008458,6.783418", \ - "10.219910,9.774102,9.391581,8.975369,8.615520,8.370900,7.969665"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.772011,5.528779,5.451472,5.388167,5.274791,5.189061,5.122124", \ - "5.762597,5.527128,5.449474,5.337222,5.269273,5.041657,5.081092", \ - "5.889890,5.602956,5.537945,5.436863,5.338906,5.109384,5.149364", \ - "6.440747,6.145960,5.965853,5.837884,5.681185,5.521797,5.269290", \ - "7.368244,7.004990,6.747223,6.490439,6.297810,6.107271,5.923095", \ - "8.610744,8.214201,7.894351,7.581812,7.345369,7.008853,6.783938", \ - "10.220060,9.774264,9.391759,8.975574,8.615772,8.371226,7.970060"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.826957,5.625843,5.548227,5.484871,5.370638,5.235783,4.905697", \ - "5.860053,5.621565,5.543495,5.404345,5.362658,5.133952,5.171331", \ - "5.977264,5.695592,5.627581,5.529986,5.431527,5.257744,5.238775", \ - "6.532257,6.189029,6.047992,5.929284,5.772300,5.520527,5.524013", \ - "7.450701,7.070850,6.838492,6.581438,6.388260,6.196399,6.010535", \ - "8.700415,8.303842,7.999181,7.671868,7.435391,7.112263,6.978401", \ - "10.313550,9.865633,9.483293,9.067930,8.757896,8.342722,8.057022"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.771977,5.528707,5.451408,5.388075,5.274725,5.141066,5.121837", \ - "5.762552,5.527094,5.449395,5.337183,5.269178,5.041576,5.080955", \ - "5.889828,5.602649,5.537902,5.436805,5.338836,5.109328,5.149251", \ - "6.440682,6.145897,5.965812,5.837821,5.681123,5.521747,5.269104", \ - "7.362919,7.004955,6.747156,6.490414,6.297729,6.107181,5.922988", \ - "8.610679,8.214142,7.894314,7.581762,7.345267,7.008701,6.783767", \ - "10.220010,9.774229,9.391744,8.975542,8.615693,8.371075,7.969925"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("9.056509,8.963093,9.041305,9.073075,9.039803,8.985813,8.900810", \ - "9.021893,8.948555,9.038240,9.065881,9.031215,9.072063,8.926761", \ - "9.162879,9.098433,9.077609,9.182305,9.169764,9.016429,9.060618", \ - "9.739586,9.566455,9.596537,9.549489,9.539338,9.456999,9.298962", \ - "10.742660,10.549320,10.418620,10.364100,10.301160,10.083280,9.977441", \ - "12.358350,12.112780,11.976920,11.780630,11.598170,11.417670,11.172610", \ - "14.497220,14.232040,14.033030,13.751820,13.515700,13.257860,12.882430"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("9.056417,8.963036,9.041095,9.073142,9.039663,8.985700,8.901200", \ - "9.021799,8.948457,9.038140,9.065761,9.031084,9.071913,8.926572", \ - "9.147249,9.087982,9.077500,9.182403,9.169632,9.016266,9.060429", \ - "9.739508,9.567955,9.591727,9.549380,9.539209,9.456845,9.298808", \ - "10.747690,10.549230,10.418530,10.363990,10.301050,10.083130,9.898355", \ - "12.358290,12.112710,11.976830,11.780540,11.598070,11.417560,11.172470", \ - "14.497160,14.231900,14.032960,13.751740,13.515620,13.257780,12.771820"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("9.056841,8.963234,9.041482,9.073092,9.040044,8.986038,8.826978", \ - "9.022045,8.948729,9.038414,9.066083,9.031454,9.072350,8.927099", \ - "9.163025,9.088236,9.077780,9.182503,9.169999,9.016712,9.060950", \ - "9.739728,9.566603,9.591991,9.549675,9.539561,9.457268,9.299275", \ - "10.747890,10.549460,10.418760,10.364270,10.301360,10.083530,9.898836", \ - "12.358450,12.112890,11.977040,11.780780,11.598350,11.417890,11.172870", \ - "14.497280,14.231930,14.033120,13.751930,13.515840,13.258040,12.882710"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("9.058063,8.962113,9.042798,9.071841,9.043152,8.990445,8.649741", \ - "9.024314,8.950002,9.039882,9.068018,9.034297,9.076951,8.934391", \ - "9.167151,9.089606,9.079269,9.184535,9.172744,9.021227,9.068270", \ - "9.718219,9.588382,9.594371,9.551628,9.542370,9.461854,9.306594", \ - "10.752740,10.550880,10.420360,10.367620,10.304200,10.088040,9.949094", \ - "12.360960,12.112300,11.979200,11.782830,11.601240,11.422860,11.226780", \ - "14.509740,14.229650,14.034990,13.754180,13.518630,13.263040,12.868210"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("9.058085,8.965537,9.042871,9.071960,9.043281,8.990654,8.649979", \ - "9.024496,8.950227,9.039971,9.068106,9.034446,9.077212,8.934715", \ - "9.147769,9.089643,9.079300,9.184645,9.172893,9.021454,9.068583", \ - "9.718414,9.626083,9.594558,9.551722,9.542505,9.462069,9.306977", \ - "10.745350,10.550890,10.420410,10.367650,10.304350,10.088250,9.906566", \ - "12.361140,12.112010,11.979340,11.782900,11.601380,11.423120,11.226210", \ - "14.516610,14.229830,14.034990,13.754200,13.518750,13.263270,12.887210"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("9.058499,8.968433,9.043307,9.072422,9.043783,8.991217,8.650605", \ - "9.024888,8.950628,9.040405,9.068564,9.034948,9.077764,8.935375", \ - "9.148156,9.101699,9.079734,9.185097,9.173386,9.021999,9.069235", \ - "9.718788,9.588935,9.594955,9.552161,9.542983,9.462601,9.307605", \ - "10.751130,10.551280,10.420810,10.368070,10.304790,10.088760,9.949862", \ - "12.361460,12.112400,11.979680,11.783280,11.601790,11.423590,11.226900", \ - "14.516890,14.230110,14.035310,13.754550,13.519110,13.263690,12.869290"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("9.056358,8.963013,9.041050,9.074138,9.039657,8.985561,8.910881", \ - "9.021751,8.948401,9.038104,9.065740,9.031077,9.071918,8.926575", \ - "9.147164,9.087938,9.077463,9.182170,9.169624,9.016272,9.060430", \ - "9.739459,9.567907,9.591686,9.549353,9.539199,9.456846,9.298798", \ - "10.747630,10.549140,10.418480,10.363960,10.301030,10.083130,9.977256", \ - "12.358220,12.112650,11.976780,11.780500,11.598050,11.417540,11.172440", \ - "14.497080,14.231710,14.032890,13.751690,13.515580,13.257740,12.969390"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("9.058470,8.963335,9.043225,9.072286,9.043638,8.990989,8.917776", \ - "9.024674,8.950357,9.040305,9.068464,9.034780,9.077485,8.935026", \ - "9.147929,9.090020,9.079697,9.185106,9.173217,9.021762,9.068900", \ - "9.718560,9.588993,9.594740,9.552054,9.542829,9.462373,9.307196", \ - "10.750920,10.551260,10.420750,10.368040,10.304630,10.088550,9.906886", \ - "12.361250,12.112750,11.979520,11.783210,11.601640,11.423310,11.227620", \ - "14.508560,14.229900,14.035310,13.754510,13.518980,13.263450,12.887280"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFS_X2 - Cell Description : Pos.edge D-Flip-Flop with active high scan, and active low set, and drive strength X2 - *******************************************************************************************/ - - cell (SDFFS_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - preset : "!SN"; - } - - area : 7.182000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 489.732280; - - leakage_power () { - when : "!CK & !D & !SE & !SI & !SN & Q & !QN"; - value : 403.900000; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & SN & !Q & QN"; - value : 519.685625; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & SN & Q & !QN"; - value : 417.963150; - } - leakage_power () { - when : "!CK & !D & !SE & SI & !SN & Q & !QN"; - value : 437.567500; - } - leakage_power () { - when : "!CK & !D & !SE & SI & SN & !Q & QN"; - value : 553.353125; - } - leakage_power () { - when : "!CK & !D & !SE & SI & SN & Q & !QN"; - value : 451.631900; - } - leakage_power () { - when : "!CK & !D & SE & !SI & !SN & Q & !QN"; - value : 418.045375; - } - leakage_power () { - when : "!CK & !D & SE & !SI & SN & !Q & QN"; - value : 533.832250; - } - leakage_power () { - when : "!CK & !D & SE & !SI & SN & Q & !QN"; - value : 432.109775; - } - leakage_power () { - when : "!CK & !D & SE & SI & !SN & Q & !QN"; - value : 448.367125; - } - leakage_power () { - when : "!CK & !D & SE & SI & SN & !Q & QN"; - value : 588.345375; - } - leakage_power () { - when : "!CK & !D & SE & SI & SN & Q & !QN"; - value : 458.889125; - } - leakage_power () { - when : "!CK & D & !SE & !SI & !SN & Q & !QN"; - value : 443.183875; - } - leakage_power () { - when : "!CK & D & !SE & !SI & SN & !Q & QN"; - value : 583.162125; - } - leakage_power () { - when : "!CK & D & !SE & !SI & SN & Q & !QN"; - value : 453.705875; - } - leakage_power () { - when : "!CK & D & !SE & SI & !SN & Q & !QN"; - value : 449.371875; - } - leakage_power () { - when : "!CK & D & !SE & SI & SN & !Q & QN"; - value : 589.351375; - } - leakage_power () { - when : "!CK & D & !SE & SI & SN & Q & !QN"; - value : 459.895125; - } - leakage_power () { - when : "!CK & D & SE & !SI & !SN & Q & !QN"; - value : 462.661875; - } - leakage_power () { - when : "!CK & D & SE & !SI & SN & !Q & QN"; - value : 578.448750; - } - leakage_power () { - when : "!CK & D & SE & !SI & SN & Q & !QN"; - value : 476.726275; - } - leakage_power () { - when : "!CK & D & SE & SI & !SN & Q & !QN"; - value : 456.333500; - } - leakage_power () { - when : "!CK & D & SE & SI & SN & !Q & QN"; - value : 596.311750; - } - leakage_power () { - when : "!CK & D & SE & SI & SN & Q & !QN"; - value : 466.855500; - } - leakage_power () { - when : "CK & !D & !SE & !SI & !SN & Q & !QN"; - value : 430.505750; - } - leakage_power () { - when : "CK & !D & !SE & !SI & SN & !Q & QN"; - value : 505.413375; - } - leakage_power () { - when : "CK & !D & !SE & !SI & SN & Q & !QN"; - value : 442.875212; - } - leakage_power () { - when : "CK & !D & !SE & SI & !SN & Q & !QN"; - value : 464.170750; - } - leakage_power () { - when : "CK & !D & !SE & SI & SN & !Q & QN"; - value : 539.078375; - } - leakage_power () { - when : "CK & !D & !SE & SI & SN & Q & !QN"; - value : 476.540212; - } - leakage_power () { - when : "CK & !D & SE & !SI & !SN & Q & !QN"; - value : 444.649875; - } - leakage_power () { - when : "CK & !D & SE & !SI & SN & !Q & QN"; - value : 519.557500; - } - leakage_power () { - when : "CK & !D & SE & !SI & SN & Q & !QN"; - value : 457.019337; - } - leakage_power () { - when : "CK & !D & SE & SI & !SN & Q & !QN"; - value : 460.171750; - } - leakage_power () { - when : "CK & !D & SE & SI & SN & !Q & QN"; - value : 562.586625; - } - leakage_power () { - when : "CK & !D & SE & SI & SN & Q & !QN"; - value : 472.539962; - } - leakage_power () { - when : "CK & D & !SE & !SI & !SN & Q & !QN"; - value : 454.989625; - } - leakage_power () { - when : "CK & D & !SE & !SI & SN & !Q & QN"; - value : 557.404500; - } - leakage_power () { - when : "CK & D & !SE & !SI & SN & Q & !QN"; - value : 467.357837; - } - leakage_power () { - when : "CK & D & !SE & SI & !SN & Q & !QN"; - value : 461.178875; - } - leakage_power () { - when : "CK & D & !SE & SI & SN & !Q & QN"; - value : 563.595000; - } - leakage_power () { - when : "CK & D & !SE & SI & SN & Q & !QN"; - value : 473.548338; - } - leakage_power () { - when : "CK & D & SE & !SI & !SN & Q & !QN"; - value : 489.261375; - } - leakage_power () { - when : "CK & D & SE & !SI & SN & !Q & QN"; - value : 564.169000; - } - leakage_power () { - when : "CK & D & SE & !SI & SN & Q & !QN"; - value : 501.630838; - } - leakage_power () { - when : "CK & D & SE & SI & !SN & Q & !QN"; - value : 468.140500; - } - leakage_power () { - when : "CK & D & SE & SI & SN & !Q & QN"; - value : 570.556625; - } - leakage_power () { - when : "CK & D & SE & SI & SN & Q & !QN"; - value : 480.509962; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.141092; - fall_capacitance : 1.098926; - rise_capacitance : 1.141092; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "!SE & SN"; - sdf_cond : "NEG_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.013430,-0.007935,-0.009399", \ - "-0.011787,-0.004985,-0.006626", \ - "0.103006,0.109445,0.108296"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.008877,-0.004757,-0.009760", \ - "-0.008865,-0.005724,-0.011942", \ - "0.060488,0.061832,0.048467"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "!SE & SN"; - sdf_cond : "NEG_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.047342,0.046519,0.060789", \ - "0.057157,0.056147,0.070135", \ - "0.086365,0.085030,0.098176"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.041584,0.035064,0.036781", \ - "0.045289,0.038495,0.040227", \ - "0.043829,0.037399,0.038583"); - } - } - - internal_power () { - - when : "!CK & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.157770,10.130030,10.130810,10.528470,11.561340,13.265440,15.654920"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.935794,6.879641,6.886671,7.233808,8.212763,9.870213,12.240740"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.322910,10.292270,10.292560,10.690300,11.719870,13.424750,15.812300"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.190520,7.134005,7.141106,7.500356,8.474458,10.134530,12.501240"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.271770,10.242360,10.243000,10.639660,11.673730,13.378390,15.765440"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.974829,6.918642,6.914809,7.273982,8.251230,9.912860,12.278850"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.156540,10.129300,10.129470,10.526750,11.559780,13.263620,15.653200"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.957422,6.901245,6.907934,7.255338,8.234521,9.891757,12.262170"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.322020,10.290970,10.291270,10.688810,11.718320,13.422930,15.809600"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("7.212046,7.155589,7.162736,7.521809,8.496233,10.156010,12.522700"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.271090,10.241020,10.241480,10.638240,11.672170,13.376580,15.763810"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.996393,6.940281,6.946786,7.295378,8.272891,9.934329,12.300350"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960154,0.926704,0.907147,0.902818,0.899356,0.900704,0.898813"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803226,-0.810656,-0.813525,-0.819036,-0.818953,-0.824712,-0.821453"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960634,0.927634,0.907598,0.903529,0.899950,0.901695,0.899539"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.802411,-0.810286,-0.812549,-0.815718,-0.817972,-0.819699,-0.820464"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960558,0.927152,0.907550,0.903247,0.899773,0.901159,0.899244"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.802551,-0.810022,-0.812832,-0.816002,-0.818255,-0.819983,-0.820747"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864221,0.877700,0.877095,0.878611,0.877544,0.879080,0.877370"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530909,-0.634077,-0.699395,-0.727833,-0.739887,-0.751810,-0.753369"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.860673,0.875362,0.877535,0.878783,0.878157,0.875718,0.878163"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.529279,-0.633065,-0.698521,-0.726619,-0.739615,-0.751000,-0.754312"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864219,0.877716,0.877094,0.878620,0.877549,0.879100,0.877381"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530955,-0.634140,-0.699435,-0.725771,-0.739926,-0.748239,-0.753407"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.314733,4.285103,4.287734,4.667707,5.663391,7.291421,9.563430"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.974845,1.919368,1.928232,2.282887,3.224788,4.811053,7.051261"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.421701,4.392791,4.394817,4.774651,5.763866,7.393968,9.659591"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.996460,1.940341,1.949844,2.303974,3.251564,4.834896,7.079495"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.311956,4.281974,4.284689,4.664983,5.660287,7.288309,9.560771"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.052146,1.996167,2.005243,2.360677,3.303857,4.890763,7.129880"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.310518,4.280799,4.283697,4.663409,5.658833,7.286751,9.558458"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.975194,1.919797,1.928622,2.283074,3.225109,4.811232,7.051395"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.420485,4.391472,4.393641,4.773350,5.762327,7.392044,9.657673"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.017903,1.961861,1.971443,2.324978,3.273109,4.856225,7.100881"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.310773,4.280666,4.283608,4.663325,5.658734,7.286642,9.558825"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.073597,2.017689,2.026803,2.382097,3.325341,4.912209,7.151270"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.959299,0.926939,0.907522,0.900848,0.899737,0.897062,0.899163"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.802732,-0.810192,-0.813081,-0.816142,-0.818441,-0.820068,-0.821298"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960256,0.927020,0.907236,0.903039,0.899521,0.901067,0.899044"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.802970,-0.810616,-0.813197,-0.818902,-0.818630,-0.824714,-0.821127"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960167,0.926788,0.907162,0.900473,0.899399,0.896674,0.898882"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803385,-0.810887,-0.813666,-0.816840,-0.819094,-0.820821,-0.821587"); - } - - } - - internal_power () { - - when : "CK & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864307,0.877762,0.877095,0.876536,0.877514,0.875490,0.877332"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531027,-0.634319,-0.699645,-0.726089,-0.739796,-0.748359,-0.753669"); - } - - } - - internal_power () { - - when : "CK & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.862977,0.878587,0.877333,0.878934,0.877870,0.879684,0.877786"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.529877,-0.632951,-0.698301,-0.724925,-0.740412,-0.747185,-0.752633"); - } - - } - - internal_power () { - - when : "CK & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864228,0.877674,0.877092,0.876518,0.877530,0.875477,0.877350"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531033,-0.634179,-0.699550,-0.725890,-0.740033,-0.748338,-0.753503"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.903806; - fall_capacitance : 1.830594; - rise_capacitance : 1.903806; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.011791,-0.008028,-0.013371", \ - "-0.020744,-0.018537,-0.024415", \ - "0.090611,0.091648,0.077668"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.008599,-0.003213,-0.007652", \ - "-0.011761,-0.005552,-0.012552", \ - "0.064658,0.071296,0.058878"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.047055,0.041371,0.055685", \ - "0.058424,0.051878,0.065954", \ - "0.082194,0.075564,0.087764"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.054551,0.053484,0.067463", \ - "0.058113,0.057133,0.070972", \ - "0.056235,0.055207,0.068972"); - } - } - - internal_power () { - - when : "!CK & !D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.264104,3.265212,3.563280,4.367611,5.831126,8.060014,11.083840"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.030310,0.001034,0.195666,0.964720,2.461501,4.699241,7.736017"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.264906,3.266134,3.563722,4.368312,5.831710,8.060994,11.084560"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.031135,0.001401,0.196634,0.967938,2.462481,4.704078,7.737002"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.264487,3.265661,3.563684,4.368038,5.831543,8.060470,11.084270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.030984,0.001663,0.196358,0.967656,2.462201,4.703796,7.736722"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("11.388430,11.369820,11.838520,13.259170,15.914780,19.999810,25.610560"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.279667,6.239096,6.529722,7.802845,10.441880,14.540480,20.168650"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("11.549210,11.532440,11.997530,13.420320,16.075120,20.153210,25.762150"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.534309,6.493576,6.784798,8.068319,10.705840,14.806870,20.430330"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("11.500100,11.482570,11.949250,13.371150,16.026530,20.112260,25.722260"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.309279,6.268596,6.558784,7.843088,10.479780,14.579990,20.206500"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.173720,10.183770,10.586840,11.569560,13.242850,15.683010,18.908090"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.385353,9.350623,9.471879,10.215520,11.783210,14.184560,17.521300"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.104930,10.115530,10.518120,11.507900,13.182870,15.616930,18.845630"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.361932,9.338981,9.514359,10.286540,11.868020,14.266960,17.598740"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.209010,10.218760,10.611790,11.604940,13.277660,15.718380,18.944990"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.514763,9.478818,9.600243,10.346960,11.912150,14.318730,17.651060"); - } - - } - - internal_power () { - - when : "!CK & D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.050760,3.055726,3.363289,4.178058,5.650352,7.884364,10.910710"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.171433,0.147075,0.336645,1.091994,2.587735,4.827823,7.867666"); - } - - } - - internal_power () { - - when : "!CK & D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.051508,3.056982,3.363693,4.176361,5.651168,7.881349,10.911810"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.200389,0.175333,0.365216,1.122897,2.617020,4.861088,7.898259"); - } - - } - - internal_power () { - - when : "!CK & D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.050758,3.055742,3.363289,4.178068,5.650273,7.884386,10.910720"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.171388,0.147013,0.336604,1.094048,2.587937,4.831383,7.867628"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.264632,3.265439,3.563490,4.364618,5.831177,8.056129,11.083820"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.043394,0.013732,0.208568,0.980241,2.474822,4.715994,7.749397"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.263663,3.265465,3.564316,4.367874,5.831287,8.060320,11.084020"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.030639,0.000734,0.195850,0.965266,2.461865,4.699215,7.736264"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.263331,3.265262,3.563295,4.365248,5.831159,8.056099,11.083860"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.030209,0.000459,0.195470,0.966805,2.461403,4.702922,7.735805"); - } - - } - - internal_power () { - - when : "CK & !D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.546373,5.529703,6.002557,7.398749,9.997121,13.963080,19.378670"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.256882,1.217219,1.511628,2.778972,5.350294,9.310450,14.713690"); - } - - } - - internal_power () { - - when : "CK & !D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.662293,5.645021,6.115918,7.509985,10.100100,14.065830,19.473450"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.336434,1.296463,1.590718,2.851541,5.413302,9.357445,14.755340"); - } - - } - - internal_power () { - - when : "CK & !D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.546264,5.529687,6.000366,7.398628,9.997290,13.962960,19.377720"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.390562,1.350621,1.644115,2.906083,5.464899,9.412792,14.805020"); - } - - } - - internal_power () { - - when : "CK & D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.214599,5.224714,5.627501,6.617496,8.294512,10.723360,13.938400"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.323626,3.300689,3.472179,4.258697,5.835685,8.233784,11.553240"); - } - - } - - internal_power () { - - when : "CK & D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.166898,5.176768,5.579505,6.571843,8.245270,10.677010,13.887540"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.426863,3.403864,3.576053,4.363619,5.941151,8.341299,11.661350"); - } - - } - - internal_power () { - - when : "CK & D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.213904,5.224385,5.626687,6.617512,8.294043,10.723000,13.938640"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.468376,3.432114,3.553833,4.308879,5.875578,8.273716,11.595730"); - } - - } - - internal_power () { - - when : "CK & D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.050949,3.055748,3.363129,4.176273,5.650331,7.881214,10.910800"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.065957,-0.090232,0.101096,0.862134,2.355994,4.598496,7.632469"); - } - - } - - internal_power () { - - when : "CK & D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.051118,3.056361,3.363458,4.178490,5.650559,7.885000,10.911270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.199430,0.174598,0.364245,1.121848,2.615636,4.859867,7.897036"); - } - - } - - internal_power () { - - when : "CK & D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.050663,3.055655,3.362938,4.175962,5.650088,7.880672,10.910700"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.171499,0.146927,0.336584,1.094080,2.587692,4.830837,7.867402"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.923340; - fall_capacitance : 0.898051; - rise_capacitance : 0.923340; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SE & SN"; - sdf_cond : "SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.015215,-0.009419,-0.011257", \ - "-0.014587,-0.007874,-0.009500", \ - "0.097989,0.104786,0.103786"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.009432,-0.005883,-0.010888", \ - "-0.009267,-0.006382,-0.013253", \ - "0.054385,0.055680,0.042321"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SE & SN"; - sdf_cond : "SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.052035,0.050962,0.064898", \ - "0.061981,0.060762,0.074649", \ - "0.092469,0.091184,0.104402"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.043478,0.036841,0.038710", \ - "0.048096,0.041391,0.043107", \ - "0.048855,0.042066,0.043094"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723371,0.700061,0.685034,0.682511,0.679397,0.680946,0.678908"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612664,-0.619317,-0.618312,-0.621992,-0.620541,-0.624793,-0.621110"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723848,0.700993,0.685485,0.683219,0.679990,0.681935,0.679632"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.611851,-0.618948,-0.617335,-0.618661,-0.619557,-0.619761,-0.620121"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723772,0.700508,0.685437,0.682936,0.679815,0.681400,0.679338"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.611987,-0.618685,-0.617619,-0.618947,-0.619843,-0.620046,-0.620405"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.144100,10.125840,10.120860,10.392970,11.135950,12.399020,14.199250"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.333346,6.293574,6.298820,6.531227,7.235074,8.464415,10.253440"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.304650,10.288690,10.278840,10.550160,11.294030,12.560230,14.352930"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.588205,6.548068,6.553611,6.796937,7.496919,8.728619,10.513350"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.256850,10.238680,10.233130,10.505380,11.247530,12.510740,14.310600"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.362333,6.323715,6.337448,6.571178,7.272593,8.506415,10.292270"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634445,0.645728,0.644633,0.646487,0.645329,0.647450,0.645589"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393599,-0.471214,-0.518475,-0.540310,-0.547779,-0.557568,-0.557248"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634925,0.646790,0.645065,0.644474,0.645951,0.643793,0.646383"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.392449,-0.469791,-0.516582,-0.536174,-0.546031,-0.551823,-0.555411"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634439,0.645742,0.644631,0.646496,0.645334,0.647471,0.645600"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393645,-0.471277,-0.518514,-0.538064,-0.547818,-0.553679,-0.557286"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.143430,10.123950,10.118840,10.390480,11.133940,12.396380,14.195770"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.355203,6.316125,6.321055,6.553517,7.257096,8.486051,10.274950"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.305080,10.286840,10.280680,10.548420,11.291800,12.557640,14.350970"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.610514,6.570447,6.575865,6.819150,7.519462,8.751186,10.534370"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.255140,10.237030,10.231290,10.503570,11.245710,12.508220,14.307870"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.384456,6.344695,6.359741,6.593371,7.295278,8.527717,10.313330"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723394,0.700254,0.685059,0.680171,0.679457,0.676940,0.678960"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612838,-0.619409,-0.618457,-0.619857,-0.620731,-0.621300,-0.621324"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723479,0.700396,0.685129,0.682734,0.679572,0.681315,0.679148"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612418,-0.619296,-0.617989,-0.621863,-0.620224,-0.624801,-0.620790"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723392,0.700167,0.685060,0.680159,0.679450,0.676907,0.678985"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612834,-0.619569,-0.618460,-0.619789,-0.620686,-0.620890,-0.621250"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.268422,4.249768,4.246163,4.497461,5.195051,6.368502,8.035265"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.447770,1.408315,1.414676,1.650735,2.310481,3.456306,5.097174"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.367207,4.349360,4.346485,4.598244,5.290857,6.466098,8.128322"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.393389,1.353555,1.360312,1.594982,2.257928,3.400444,5.046361"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.263996,4.245387,4.241748,4.493032,5.190663,6.364058,8.030835"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.447060,1.407576,1.413975,1.650036,2.309452,3.455626,5.096582"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.635463,0.646670,0.645648,0.645229,0.646315,0.644542,0.646558"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.391651,-0.468330,-0.516014,-0.535597,-0.545446,-0.551231,-0.554813"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634708,0.646277,0.644871,0.646902,0.645662,0.648055,0.646011"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393195,-0.470231,-0.517435,-0.537019,-0.546872,-0.552659,-0.556244"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634439,0.645701,0.644633,0.644207,0.645312,0.643527,0.645567"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393719,-0.471319,-0.518621,-0.538159,-0.547905,-0.553764,-0.557370"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.262682,4.243467,4.240459,4.490927,5.188542,6.361772,8.028068"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.370592,1.331735,1.337581,1.573035,2.232347,3.375704,5.018902"); - } - - } - - internal_power () { - - when : "CK & D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.365778,4.348019,4.344948,4.596489,5.288936,6.463856,8.125763"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.416279,1.376538,1.383170,1.618031,2.281232,3.423045,5.069129"); - } - - } - - internal_power () { - - when : "CK & D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.262563,4.243378,4.239845,4.491461,5.188444,6.361766,8.027931"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.469245,1.430025,1.436139,1.672261,2.332291,3.477117,5.118893"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.250794; - fall_capacitance : 2.250794; - rise_capacitance : 2.184998; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.038766,-0.045649,-0.048722", \ - "-0.039245,-0.046156,-0.047683", \ - "0.003346,-0.005361,-0.006010"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.094321,0.099895,0.106840", \ - "0.134559,0.140006,0.147218", \ - "0.276056,0.281500,0.288816"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.090362,0.102802,0.182875"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.668458,1.678332,1.675428,1.675743,1.672302,1.672375,1.669580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.981591,-1.000110,-1.015780,-1.025940,-1.027500,-1.036000,-1.033450"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.668483,1.678454,1.675453,1.675821,1.672355,1.672509,1.669660"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.981485,-1.000090,-1.015640,-1.025880,-1.027370,-1.036000,-1.033310"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.668428,1.678267,1.675409,1.675712,1.672277,1.672318,1.669547"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.981633,-1.000110,-1.015840,-1.025960,-1.027560,-1.036000,-1.033510"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.626610,1.642928,1.644334,1.645793,1.644231,1.644916,1.642019"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.138750,-1.144850,-1.141870,-1.144130,-1.140480,-1.144940,-1.141770"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.626680,1.643176,1.644385,1.645951,1.644341,1.645188,1.642180"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.138540,-1.144820,-1.141590,-1.144010,-1.140190,-1.144930,-1.141490"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.626645,1.643055,1.644360,1.645874,1.644288,1.645057,1.642102"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.138640,-1.144840,-1.141720,-1.144070,-1.140330,-1.144940,-1.141620"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.668468,1.678429,1.675442,1.675815,1.672348,1.672496,1.669652"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.981493,-1.000090,-1.015660,-1.025880,-1.027380,-1.036000,-1.033320"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.626567,1.642766,1.644301,1.645690,1.644160,1.644739,1.641914"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.138890,-1.144870,-1.142050,-1.144210,-1.140660,-1.144950,-1.141950"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.658827,1.662779,1.659414,1.657995,1.660045,1.656761,1.657507"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.138490,-1.146170,-1.143110,-1.143560,-1.143060,-1.143870,-1.145080"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.658231,1.662279,1.658818,1.657375,1.659480,1.656145,1.656972"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.139940,-1.147710,-1.144530,-1.144980,-1.144480,-1.145290,-1.146500"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.661876,1.662827,1.659674,1.658195,1.660210,1.656965,1.657677"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.130020,-1.136700,-1.134860,-1.134590,-1.134040,-1.134590,-1.136060"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.657887,1.661804,1.658651,1.657277,1.659231,1.656045,1.656617"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.140190,-1.147680,-1.144880,-1.145320,-1.144830,-1.145630,-1.146850"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.661650,1.662625,1.659352,1.657928,1.659974,1.656693,1.657425"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.130130,-1.136840,-1.134000,-1.134690,-1.134130,-1.134690,-1.136160"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.658005,1.662017,1.658763,1.657362,1.659373,1.656131,1.656786"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.139770,-1.147360,-1.144420,-1.144880,-1.144380,-1.145190,-1.146400"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.658224,1.662266,1.658815,1.657377,1.659480,1.656152,1.656972"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.139940,-1.147700,-1.144530,-1.144980,-1.144480,-1.145290,-1.146510"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.657942,1.661643,1.658620,1.657277,1.659162,1.656045,1.656513"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-1.140450,-1.147710,-1.145060,-1.145520,-1.145070,-1.145830,-1.146920"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.976347; - fall_capacitance : 0.902547; - rise_capacitance : 0.976347; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.034202,0.042997,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.049768,0.048517,0.146386"); - } - } - - internal_power () { - - when : "!D & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.893751,9.885017,10.152380,11.016940,12.593620,15.069180,18.560550"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("35.855110,35.781310,35.748770,36.604550,38.792110,42.444920,47.614280"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.008394,5.001791,5.256367,6.062593,7.542723,9.865077,13.136590"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.422609,4.401647,4.592421,5.334008,6.879196,9.318311,12.677710"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.088850,10.072830,10.341770,11.206190,12.775500,15.243110,18.725000"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.893762,9.885137,10.152410,11.017020,12.593680,15.069320,18.560630"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("35.855230,35.781320,35.748920,36.604610,38.792240,42.444920,47.614410"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.008421,5.001914,5.256398,6.062676,7.542780,9.865213,13.136670"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.422722,4.401666,4.592563,5.334151,6.879332,9.318445,12.677840"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.088970,10.072850,10.341920,11.206340,12.775650,15.243260,18.725140"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.893578,9.884762,10.152220,11.016760,12.593390,15.069110,18.560480"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("35.855170,35.781380,35.748720,36.604480,38.791980,42.444850,47.614170"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.008173,5.001537,5.256140,6.062417,7.542652,9.865023,13.136540"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.422672,4.401747,4.592444,5.334035,6.879159,9.318298,12.677720"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.088620,10.072660,10.341470,11.205970,12.775260,15.243020,18.724900"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.184412,5.176161,5.436211,6.243901,7.729963,10.067310,13.349400"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.217262,4.194100,4.383428,5.132559,6.699009,9.132583,12.495460"); - } - - } - - internal_power () { - - when : "!D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.018710,10.000560,10.264260,11.068220,12.549090,14.872550,18.163130"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.184337,5.176309,5.434872,6.244620,7.730479,10.067230,13.349630"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.236358,4.213818,4.403553,5.154399,6.716838,9.157188,12.512720"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.184486,5.176399,5.436251,6.244051,7.730070,10.067580,13.349560"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.217463,4.194129,4.383707,5.132677,6.699284,9.132577,12.495740"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.019020,10.000660,10.264710,11.068560,12.551290,14.872870,18.163430"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.184408,5.176552,5.434917,6.244523,7.730580,10.066880,13.349780"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.236532,4.213838,4.403834,5.154675,6.717116,9.155311,12.513000"); - } - - } - - internal_power () { - - when : "D & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.184436,5.176273,5.436224,6.243976,7.730020,10.067450,13.349490"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.217365,4.194118,4.383575,5.132621,6.699153,9.132583,12.495610"); - } - - } - - internal_power () { - - when : "D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.953228,9.934719,10.194670,11.005470,12.484380,14.812000,18.094100"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.184359,5.176427,5.434892,6.244444,7.730530,10.066760,13.349710"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.236433,4.213822,4.403700,5.154542,6.716983,9.155185,12.512870"); - } - - } - - internal_power () { - - when : "D & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.893621,9.884934,10.152270,11.016880,12.593460,15.069300,18.560600"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("35.855320,35.781410,35.748920,36.604570,38.792170,42.444860,47.614350"); - } - - } - - internal_power () { - - when : "D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.008215,5.001707,5.256180,6.062527,7.542735,9.865211,13.136660"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.422813,4.401768,4.592635,5.334225,6.879341,9.318476,12.677900"); - } - - } - - internal_power () { - - when : "D & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.088780,10.072700,10.341680,11.206190,12.775460,15.243230,18.725100"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.184359,5.175989,5.436170,6.243795,7.729887,10.066330,13.349300"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.217124,4.194080,4.383246,5.132482,6.698829,9.133209,12.495290"); - } - - } - - internal_power () { - - when : "D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.018680,10.000640,10.264270,11.068140,12.549080,14.872480,18.163050"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.184283,5.176140,5.434834,6.244512,7.730406,10.066440,13.349520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.236218,4.213797,4.403373,5.154216,6.716657,9.154865,12.512550"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.046806,0.050908,0.054679,0.061072,0.071758,0.089682,0.120942", \ - "0.047567,0.051670,0.055441,0.061835,0.072520,0.090447,0.121705", \ - "0.050084,0.054190,0.057961,0.064354,0.075038,0.092965,0.124228", \ - "0.052346,0.056455,0.060225,0.066620,0.077304,0.095233,0.126495", \ - "0.053699,0.057805,0.061574,0.067965,0.078650,0.096580,0.127847", \ - "0.053960,0.058075,0.061850,0.068243,0.078929,0.096864,0.128114", \ - "0.052780,0.056902,0.060691,0.067096,0.077789,0.095739,0.127024"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.047333,0.051083,0.054677,0.061166,0.072771,0.093960,0.134912", \ - "0.048089,0.051840,0.055435,0.061924,0.073527,0.094717,0.135670", \ - "0.050827,0.054577,0.058176,0.064661,0.076265,0.097454,0.138406", \ - "0.053467,0.057217,0.060819,0.067308,0.078912,0.100099,0.141051", \ - "0.055174,0.058924,0.062520,0.069005,0.080610,0.101800,0.142748", \ - "0.055462,0.059209,0.062802,0.069284,0.080885,0.102077,0.143020", \ - "0.054047,0.057788,0.061377,0.067860,0.079459,0.100645,0.141594"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009320,0.011050,0.012749,0.015827,0.021606,0.032619,0.055525", \ - "0.009319,0.011054,0.012749,0.015828,0.021606,0.032619,0.055527", \ - "0.009329,0.011062,0.012758,0.015833,0.021610,0.032622,0.055520", \ - "0.009330,0.011064,0.012759,0.015838,0.021615,0.032626,0.055520", \ - "0.009357,0.011082,0.012786,0.015864,0.021638,0.032641,0.055528", \ - "0.009482,0.011205,0.012899,0.015968,0.021720,0.032695,0.055534", \ - "0.009691,0.011417,0.013110,0.016169,0.021897,0.032829,0.055617"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.005876,0.007923,0.010299,0.014930,0.023751,0.041559,0.078980", \ - "0.005879,0.007926,0.010300,0.014927,0.023751,0.041554,0.078980", \ - "0.005875,0.007925,0.010299,0.014928,0.023750,0.041561,0.078983", \ - "0.005882,0.007924,0.010300,0.014926,0.023750,0.041553,0.078984", \ - "0.005877,0.007927,0.010300,0.014927,0.023750,0.041557,0.078980", \ - "0.005875,0.007924,0.010298,0.014928,0.023753,0.041559,0.078974", \ - "0.005871,0.007915,0.010296,0.014928,0.023752,0.041557,0.078983"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.073703,0.077863,0.081885,0.089085,0.101975,0.124867,0.166911", \ - "0.074631,0.078789,0.082810,0.090010,0.102899,0.125790,0.167836", \ - "0.078502,0.082656,0.086664,0.093843,0.106717,0.129597,0.171634", \ - "0.084623,0.088655,0.092555,0.099614,0.112392,0.135204,0.177205", \ - "0.091556,0.095512,0.099307,0.106202,0.118822,0.141518,0.183444", \ - "0.099220,0.103160,0.106882,0.113605,0.125998,0.148522,0.190335", \ - "0.107511,0.111473,0.115166,0.121749,0.133896,0.156207,0.197848"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.011482,0.013181,0.015317,0.019835,0.028904,0.046298,0.081943", \ - "0.011480,0.013185,0.015318,0.019835,0.028902,0.046292,0.081948", \ - "0.011483,0.013181,0.015321,0.019835,0.028904,0.046299,0.081948", \ - "0.011482,0.013180,0.015321,0.019835,0.028902,0.046293,0.081947", \ - "0.011487,0.013186,0.015320,0.019839,0.028905,0.046293,0.081947", \ - "0.011501,0.013194,0.015330,0.019827,0.028900,0.046305,0.081962", \ - "0.011547,0.013243,0.015378,0.019898,0.028966,0.046335,0.081953"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.073703,0.077863,0.081885,0.089085,0.101975,0.124868,0.166912", \ - "0.074631,0.078789,0.082810,0.090010,0.102899,0.125790,0.167836", \ - "0.078502,0.082656,0.086664,0.093843,0.106717,0.129597,0.171634", \ - "0.084623,0.088655,0.092555,0.099614,0.112392,0.135204,0.177205", \ - "0.091556,0.095512,0.099307,0.106202,0.118822,0.141518,0.183444", \ - "0.099220,0.103160,0.106882,0.113605,0.125998,0.148522,0.190335", \ - "0.107511,0.111473,0.115166,0.121749,0.133896,0.156207,0.197848"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.011482,0.013181,0.015317,0.019835,0.028904,0.046295,0.081944", \ - "0.011480,0.013185,0.015318,0.019835,0.028902,0.046292,0.081948", \ - "0.011483,0.013181,0.015321,0.019835,0.028904,0.046299,0.081948", \ - "0.011482,0.013180,0.015321,0.019835,0.028902,0.046293,0.081947", \ - "0.011487,0.013186,0.015320,0.019839,0.028905,0.046293,0.081947", \ - "0.011501,0.013194,0.015330,0.019827,0.028900,0.046305,0.081962", \ - "0.011547,0.013243,0.015378,0.019898,0.028966,0.046335,0.081953"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.073703,0.077863,0.081885,0.089085,0.101975,0.124867,0.166911", \ - "0.074631,0.078789,0.082810,0.090010,0.102899,0.125790,0.167836", \ - "0.078502,0.082656,0.086664,0.093843,0.106717,0.129597,0.171634", \ - "0.084623,0.088655,0.092555,0.099614,0.112392,0.135204,0.177205", \ - "0.091556,0.095512,0.099307,0.106202,0.118822,0.141518,0.183444", \ - "0.099220,0.103160,0.106882,0.113605,0.125998,0.148522,0.190335", \ - "0.107511,0.111473,0.115166,0.121749,0.133896,0.156207,0.197848"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.011482,0.013181,0.015317,0.019835,0.028904,0.046298,0.081943", \ - "0.011480,0.013185,0.015318,0.019835,0.028902,0.046292,0.081948", \ - "0.011483,0.013181,0.015321,0.019835,0.028904,0.046299,0.081948", \ - "0.011482,0.013180,0.015320,0.019835,0.028902,0.046293,0.081947", \ - "0.011487,0.013186,0.015320,0.019839,0.028905,0.046293,0.081947", \ - "0.011501,0.013194,0.015330,0.019827,0.028900,0.046305,0.081962", \ - "0.011547,0.013243,0.015378,0.019898,0.028966,0.046335,0.081953"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.073710,0.077873,0.081896,0.089097,0.101993,0.124898,0.166972", \ - "0.074638,0.078799,0.082821,0.090023,0.102917,0.125820,0.167896", \ - "0.078509,0.082664,0.086673,0.093854,0.106733,0.129626,0.171692", \ - "0.084627,0.088659,0.092561,0.099621,0.112405,0.135230,0.177258", \ - "0.091558,0.095515,0.099311,0.106207,0.118830,0.141540,0.183497", \ - "0.099222,0.103163,0.106885,0.113605,0.125999,0.148546,0.190388", \ - "0.107510,0.111473,0.115167,0.121752,0.133904,0.156223,0.197892"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.011490,0.013188,0.015324,0.019843,0.028918,0.046325,0.082006", \ - "0.011489,0.013187,0.015327,0.019843,0.028916,0.046321,0.082006", \ - "0.011488,0.013186,0.015328,0.019844,0.028918,0.046328,0.082005", \ - "0.011486,0.013186,0.015327,0.019841,0.028915,0.046321,0.082004", \ - "0.011492,0.013192,0.015326,0.019847,0.028918,0.046322,0.082002", \ - "0.011506,0.013197,0.015334,0.019832,0.028912,0.046332,0.082016", \ - "0.011551,0.013249,0.015384,0.019905,0.028979,0.046363,0.082006"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.073710,0.077873,0.081896,0.089097,0.101993,0.124897,0.166972", \ - "0.074638,0.078799,0.082821,0.090023,0.102917,0.125820,0.167896", \ - "0.078509,0.082664,0.086673,0.093854,0.106733,0.129626,0.171692", \ - "0.084627,0.088659,0.092561,0.099621,0.112405,0.135230,0.177258", \ - "0.091558,0.095515,0.099311,0.106207,0.118830,0.141540,0.183497", \ - "0.099222,0.103163,0.106885,0.113605,0.125999,0.148546,0.190388", \ - "0.107510,0.111473,0.115167,0.121752,0.133904,0.156223,0.197892"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.011487,0.013189,0.015324,0.019843,0.028918,0.046325,0.082001", \ - "0.011489,0.013187,0.015327,0.019843,0.028916,0.046321,0.082006", \ - "0.011488,0.013186,0.015328,0.019844,0.028918,0.046328,0.082005", \ - "0.011486,0.013186,0.015327,0.019841,0.028915,0.046321,0.082004", \ - "0.011492,0.013192,0.015326,0.019847,0.028918,0.046322,0.082002", \ - "0.011506,0.013197,0.015334,0.019832,0.028912,0.046332,0.082016", \ - "0.011551,0.013249,0.015384,0.019905,0.028979,0.046363,0.082006"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.073710,0.077873,0.081896,0.089097,0.101993,0.124897,0.166972", \ - "0.074638,0.078799,0.082821,0.090023,0.102917,0.125820,0.167896", \ - "0.078509,0.082664,0.086673,0.093854,0.106733,0.129626,0.171692", \ - "0.084627,0.088659,0.092561,0.099621,0.112405,0.135230,0.177258", \ - "0.091558,0.095515,0.099311,0.106207,0.118830,0.141540,0.183497", \ - "0.099222,0.103163,0.106885,0.113605,0.125999,0.148546,0.190388", \ - "0.107510,0.111473,0.115167,0.121752,0.133904,0.156223,0.197892"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.011490,0.013188,0.015324,0.019843,0.028918,0.046325,0.082001", \ - "0.011489,0.013187,0.015327,0.019843,0.028916,0.046321,0.082006", \ - "0.011488,0.013186,0.015328,0.019844,0.028918,0.046328,0.082005", \ - "0.011486,0.013186,0.015327,0.019841,0.028915,0.046321,0.082004", \ - "0.011492,0.013192,0.015326,0.019847,0.028918,0.046322,0.082002", \ - "0.011506,0.013197,0.015334,0.019832,0.028912,0.046332,0.082016", \ - "0.011551,0.013249,0.015384,0.019905,0.028979,0.046363,0.082006"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.073703,0.077863,0.081885,0.089085,0.101975,0.124867,0.166911", \ - "0.074631,0.078789,0.082810,0.090010,0.102899,0.125790,0.167836", \ - "0.078502,0.082656,0.086664,0.093843,0.106717,0.129597,0.171634", \ - "0.084623,0.088655,0.092555,0.099614,0.112392,0.135204,0.177205", \ - "0.091556,0.095512,0.099307,0.106202,0.118822,0.141518,0.183444", \ - "0.099220,0.103160,0.106882,0.113605,0.125998,0.148522,0.190335", \ - "0.107511,0.111473,0.115166,0.121749,0.133896,0.156207,0.197848"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.011482,0.013181,0.015317,0.019835,0.028904,0.046298,0.081943", \ - "0.011480,0.013185,0.015318,0.019835,0.028902,0.046292,0.081948", \ - "0.011483,0.013181,0.015321,0.019835,0.028904,0.046299,0.081948", \ - "0.011482,0.013180,0.015320,0.019835,0.028902,0.046293,0.081947", \ - "0.011487,0.013186,0.015320,0.019839,0.028905,0.046293,0.081947", \ - "0.011501,0.013194,0.015330,0.019827,0.028900,0.046305,0.081962", \ - "0.011547,0.013243,0.015378,0.019898,0.028966,0.046335,0.081953"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.073710,0.077873,0.081896,0.089097,0.101993,0.124897,0.166972", \ - "0.074638,0.078799,0.082821,0.090023,0.102917,0.125820,0.167896", \ - "0.078509,0.082664,0.086673,0.093854,0.106733,0.129626,0.171691", \ - "0.084627,0.088659,0.092561,0.099621,0.112405,0.135230,0.177258", \ - "0.091558,0.095515,0.099311,0.106207,0.118830,0.141540,0.183497", \ - "0.099222,0.103163,0.106885,0.113605,0.125999,0.148546,0.190388", \ - "0.107510,0.111473,0.115167,0.121752,0.133904,0.156223,0.197892"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.011490,0.013188,0.015324,0.019843,0.028918,0.046325,0.082001", \ - "0.011489,0.013187,0.015327,0.019843,0.028916,0.046321,0.082006", \ - "0.011491,0.013186,0.015328,0.019844,0.028918,0.046328,0.082005", \ - "0.011486,0.013186,0.015327,0.019841,0.028915,0.046321,0.082004", \ - "0.011492,0.013192,0.015326,0.019847,0.028918,0.046322,0.082002", \ - "0.011506,0.013197,0.015334,0.019832,0.028912,0.046332,0.082016", \ - "0.011551,0.013249,0.015384,0.019905,0.028979,0.046363,0.082006"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.119075,0.122862,0.126435,0.132811,0.144121,0.164925,0.205558", \ - "0.119988,0.123776,0.127349,0.133725,0.145033,0.165839,0.206473", \ - "0.123206,0.126992,0.130568,0.136943,0.148255,0.169058,0.209695", \ - "0.128553,0.132338,0.135911,0.142287,0.153596,0.174394,0.215023", \ - "0.137876,0.141660,0.145230,0.151600,0.162902,0.183696,0.224314", \ - "0.152391,0.156168,0.159733,0.166095,0.177400,0.198196,0.238787", \ - "0.171146,0.174930,0.178503,0.184863,0.196149,0.216944,0.257517"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.006650,0.008489,0.010704,0.015116,0.023695,0.041512,0.078978", \ - "0.006653,0.008489,0.010705,0.015115,0.023692,0.041514,0.078981", \ - "0.006652,0.008489,0.010705,0.015110,0.023694,0.041517,0.078975", \ - "0.006650,0.008485,0.010703,0.015111,0.023689,0.041511,0.078980", \ - "0.006653,0.008488,0.010705,0.015112,0.023695,0.041509,0.078976", \ - "0.006675,0.008507,0.010719,0.015122,0.023700,0.041517,0.078974", \ - "0.006748,0.008563,0.010759,0.015156,0.023732,0.041531,0.078990"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.119075,0.122862,0.126435,0.132811,0.144121,0.164925,0.205558", \ - "0.119988,0.123776,0.127349,0.133725,0.145033,0.165839,0.206473", \ - "0.123206,0.126992,0.130568,0.136943,0.148255,0.169058,0.209695", \ - "0.128553,0.132338,0.135911,0.142287,0.153594,0.174394,0.215023", \ - "0.137876,0.141660,0.145230,0.151600,0.162902,0.183696,0.224314", \ - "0.152391,0.156168,0.159733,0.166095,0.177400,0.198196,0.238787", \ - "0.171146,0.174930,0.178503,0.184863,0.196149,0.216944,0.257517"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.006650,0.008489,0.010704,0.015116,0.023695,0.041512,0.078978", \ - "0.006653,0.008489,0.010705,0.015115,0.023692,0.041514,0.078982", \ - "0.006652,0.008489,0.010705,0.015110,0.023694,0.041517,0.078975", \ - "0.006650,0.008485,0.010703,0.015111,0.023689,0.041511,0.078980", \ - "0.006653,0.008488,0.010705,0.015112,0.023695,0.041509,0.078976", \ - "0.006675,0.008507,0.010719,0.015122,0.023700,0.041517,0.078974", \ - "0.006748,0.008563,0.010759,0.015156,0.023732,0.041531,0.078990"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.119075,0.122862,0.126435,0.132811,0.144121,0.164925,0.205558", \ - "0.119988,0.123776,0.127349,0.133725,0.145033,0.165839,0.206472", \ - "0.123206,0.126992,0.130568,0.136943,0.148255,0.169058,0.209695", \ - "0.128553,0.132338,0.135911,0.142287,0.153594,0.174394,0.215023", \ - "0.137876,0.141660,0.145226,0.151600,0.162902,0.183696,0.224314", \ - "0.152391,0.156168,0.159733,0.166095,0.177400,0.198196,0.238787", \ - "0.171146,0.174930,0.178503,0.184863,0.196149,0.216944,0.257517"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.006650,0.008489,0.010704,0.015116,0.023695,0.041512,0.078978", \ - "0.006653,0.008489,0.010705,0.015115,0.023692,0.041514,0.078981", \ - "0.006652,0.008489,0.010705,0.015110,0.023694,0.041517,0.078975", \ - "0.006650,0.008485,0.010703,0.015111,0.023689,0.041511,0.078980", \ - "0.006653,0.008488,0.010702,0.015112,0.023695,0.041509,0.078976", \ - "0.006675,0.008507,0.010719,0.015122,0.023700,0.041516,0.078974", \ - "0.006748,0.008563,0.010759,0.015156,0.023732,0.041531,0.078990"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.119087,0.122874,0.126448,0.132824,0.144133,0.164935,0.205566", \ - "0.120001,0.123789,0.127362,0.133738,0.145045,0.165850,0.206480", \ - "0.123218,0.127004,0.130580,0.136956,0.148267,0.169069,0.209703", \ - "0.128564,0.132350,0.135923,0.142298,0.153605,0.174404,0.215030", \ - "0.137889,0.141672,0.145238,0.151611,0.162912,0.183705,0.224321", \ - "0.152403,0.156180,0.159745,0.166107,0.177411,0.198202,0.238797", \ - "0.171158,0.174943,0.178515,0.184875,0.196161,0.216954,0.257525"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.006653,0.008490,0.010705,0.015117,0.023695,0.041513,0.078978", \ - "0.006654,0.008491,0.010705,0.015115,0.023693,0.041514,0.078981", \ - "0.006652,0.008490,0.010706,0.015111,0.023696,0.041515,0.078975", \ - "0.006653,0.008489,0.010704,0.015112,0.023689,0.041511,0.078980", \ - "0.006655,0.008489,0.010703,0.015113,0.023696,0.041509,0.078976", \ - "0.006676,0.008508,0.010720,0.015123,0.023700,0.041513,0.078976", \ - "0.006749,0.008564,0.010760,0.015157,0.023733,0.041531,0.078990"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.119089,0.122876,0.126450,0.132826,0.144135,0.164937,0.205567", \ - "0.120003,0.123791,0.127364,0.133739,0.145047,0.165851,0.206481", \ - "0.123220,0.127006,0.130582,0.136957,0.148268,0.169070,0.209704", \ - "0.128565,0.132352,0.135924,0.142300,0.153607,0.174405,0.215031", \ - "0.137891,0.141673,0.145243,0.151613,0.162914,0.183706,0.224322", \ - "0.152405,0.156182,0.159746,0.166108,0.177412,0.198203,0.238798", \ - "0.171160,0.174945,0.178517,0.184877,0.196162,0.216956,0.257526"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.006653,0.008490,0.010705,0.015117,0.023696,0.041513,0.078978", \ - "0.006654,0.008491,0.010705,0.015116,0.023693,0.041514,0.078981", \ - "0.006653,0.008490,0.010706,0.015111,0.023696,0.041517,0.078975", \ - "0.006653,0.008490,0.010704,0.015112,0.023689,0.041511,0.078980", \ - "0.006655,0.008489,0.010706,0.015113,0.023696,0.041510,0.078976", \ - "0.006676,0.008508,0.010720,0.015123,0.023700,0.041513,0.078976", \ - "0.006749,0.008564,0.010760,0.015157,0.023733,0.041531,0.078990"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.119089,0.122876,0.126450,0.132825,0.144135,0.164937,0.205567", \ - "0.120003,0.123791,0.127364,0.133739,0.145047,0.165851,0.206481", \ - "0.123220,0.127006,0.130581,0.136957,0.148268,0.169070,0.209704", \ - "0.128565,0.132351,0.135924,0.142300,0.153607,0.174405,0.215031", \ - "0.137891,0.141673,0.145242,0.151613,0.162914,0.183706,0.224322", \ - "0.152405,0.156182,0.159746,0.166108,0.177412,0.198203,0.238798", \ - "0.171160,0.174945,0.178517,0.184877,0.196162,0.216956,0.257526"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.006653,0.008490,0.010705,0.015117,0.023696,0.041513,0.078978", \ - "0.006654,0.008491,0.010705,0.015116,0.023693,0.041514,0.078981", \ - "0.006653,0.008490,0.010706,0.015111,0.023696,0.041515,0.078975", \ - "0.006653,0.008490,0.010704,0.015112,0.023689,0.041511,0.078980", \ - "0.006655,0.008489,0.010706,0.015113,0.023696,0.041510,0.078976", \ - "0.006676,0.008508,0.010720,0.015123,0.023700,0.041513,0.078976", \ - "0.006749,0.008564,0.010760,0.015157,0.023733,0.041531,0.078990"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.119075,0.122862,0.126435,0.132811,0.144121,0.164925,0.205558", \ - "0.119988,0.123776,0.127349,0.133725,0.145033,0.165839,0.206473", \ - "0.123206,0.126992,0.130568,0.136943,0.148255,0.169058,0.209695", \ - "0.128553,0.132338,0.135911,0.142287,0.153594,0.174394,0.215023", \ - "0.137876,0.141660,0.145230,0.151600,0.162902,0.183696,0.224314", \ - "0.152391,0.156168,0.159733,0.166095,0.177400,0.198196,0.238787", \ - "0.171146,0.174930,0.178503,0.184863,0.196149,0.216944,0.257517"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.006650,0.008489,0.010704,0.015116,0.023695,0.041512,0.078978", \ - "0.006653,0.008489,0.010705,0.015115,0.023692,0.041514,0.078982", \ - "0.006652,0.008489,0.010705,0.015110,0.023694,0.041517,0.078975", \ - "0.006650,0.008485,0.010703,0.015111,0.023689,0.041511,0.078980", \ - "0.006653,0.008488,0.010705,0.015112,0.023695,0.041509,0.078976", \ - "0.006675,0.008507,0.010719,0.015122,0.023700,0.041516,0.078974", \ - "0.006748,0.008563,0.010759,0.015156,0.023732,0.041531,0.078990"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.119087,0.122874,0.126448,0.132823,0.144133,0.164935,0.205566", \ - "0.120000,0.123788,0.127361,0.133737,0.145045,0.165849,0.206479", \ - "0.123217,0.127003,0.130579,0.136955,0.148266,0.169068,0.209703", \ - "0.128563,0.132350,0.135922,0.142298,0.153605,0.174403,0.215029", \ - "0.137889,0.141671,0.145241,0.151611,0.162912,0.183704,0.224321", \ - "0.152403,0.156180,0.159744,0.166106,0.177410,0.198202,0.238796", \ - "0.171158,0.174943,0.178515,0.184875,0.196160,0.216954,0.257525"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.006653,0.008490,0.010705,0.015117,0.023695,0.041513,0.078978", \ - "0.006654,0.008491,0.010705,0.015115,0.023693,0.041514,0.078981", \ - "0.006652,0.008490,0.010706,0.015111,0.023696,0.041515,0.078975", \ - "0.006653,0.008489,0.010704,0.015112,0.023689,0.041511,0.078980", \ - "0.006655,0.008489,0.010706,0.015113,0.023696,0.041509,0.078976", \ - "0.006676,0.008508,0.010720,0.015123,0.023700,0.041513,0.078976", \ - "0.006749,0.008564,0.010760,0.015157,0.023733,0.041531,0.078990"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("14.122280,13.443190,12.925440,12.101930,11.402760,10.955530,10.669980", \ - "14.079560,13.411290,12.917960,12.069480,11.395580,10.957990,10.673970", \ - "14.191980,13.600470,13.019290,12.205080,11.497740,11.054220,10.676330", \ - "14.570240,13.963930,13.390650,12.564310,11.872490,11.403120,11.080810", \ - "15.382960,14.753380,14.212380,13.387330,12.665710,12.090520,11.802350", \ - "16.775430,16.132170,15.577890,14.717920,13.980880,13.466450,13.081120", \ - "18.577010,17.999990,17.426190,16.600810,15.851750,15.341180,14.924230"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("11.875270,11.429780,11.211210,11.050560,11.070830,10.929370,10.688370", \ - "11.866130,11.430580,11.200630,11.041350,11.056590,10.928230,10.664100", \ - "12.009820,11.532340,11.298880,11.153170,11.162180,10.913350,10.704070", \ - "12.392750,11.892370,11.690870,11.530590,11.535920,11.380080,11.198150", \ - "13.160340,12.696130,12.483040,12.307530,12.326540,12.082630,12.007430", \ - "14.410410,13.924580,13.714530,13.556060,13.532450,13.434380,13.325710", \ - "15.902410,15.446180,15.258470,15.145510,15.240650,15.040170,14.975560"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("12.427220,11.654410,11.187590,10.604470,10.120860,9.531259,8.878901", \ - "12.418320,11.643240,11.177050,10.596100,10.090910,9.371102,9.278400", \ - "12.665000,11.895420,11.329280,10.804280,10.212330,9.679018,9.026394", \ - "13.816600,12.772730,12.167260,11.473950,10.934540,10.373040,10.027270", \ - "15.704920,14.557980,13.801230,12.988630,12.279650,11.655180,10.950000", \ - "18.414230,17.246570,16.294270,15.286320,14.471850,13.430770,12.977530", \ - "22.042740,20.750330,19.704410,18.469190,17.423170,16.194940,15.676160"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("12.427130,11.654330,11.187510,10.604390,10.121210,9.531359,8.878869", \ - "12.418240,11.643170,11.176980,10.596010,10.090810,9.370971,9.274149", \ - "12.664930,11.895340,11.329210,10.804210,10.212230,9.678883,9.026254", \ - "13.816530,12.772650,12.167190,11.473870,10.934450,10.372940,10.027000", \ - "15.704850,14.557910,13.801160,12.988550,12.279560,11.655090,10.949870", \ - "18.414180,17.246510,16.294210,15.286260,14.471790,13.430700,12.977420", \ - "22.042710,20.750290,19.704380,18.469150,17.423120,16.194850,15.676020"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("12.427330,11.654520,11.187700,10.604590,10.122830,9.531523,8.879172", \ - "12.418430,11.643350,11.177160,10.596210,10.091050,9.371298,9.278552", \ - "12.665110,11.895510,11.329390,10.804410,10.212470,9.679212,9.026647", \ - "13.816700,12.772830,12.167310,11.474050,10.934670,10.373220,10.027510", \ - "15.705010,14.558060,13.801310,12.988720,12.279760,11.655340,10.950220", \ - "18.414290,17.246620,16.294320,15.286370,14.471930,13.430900,12.977710", \ - "22.042760,20.750340,19.704420,18.469210,17.423210,16.195020,15.676250"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("12.298550,11.525510,11.058420,10.474860,9.932925,9.398570,9.139913", \ - "12.292120,11.517560,11.051450,10.469370,9.962966,9.240851,9.131378", \ - "12.540350,11.772680,11.204400,10.680560,10.085530,9.550282,9.326866", \ - "13.652730,12.722260,12.043480,11.349630,10.809160,10.245920,9.894740", \ - "15.583000,14.437140,13.678250,12.865110,12.155170,11.529150,10.821110", \ - "18.291650,17.049310,16.177700,15.164470,14.350020,13.628500,12.849760", \ - "21.924950,20.630910,19.584250,18.358380,17.298760,16.200750,15.620300"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("12.298550,11.525550,11.058460,10.474940,9.932965,9.398949,8.743306", \ - "12.292160,11.517620,11.051500,10.469410,9.963034,9.240913,9.130869", \ - "12.540400,11.772760,11.204470,10.680620,10.085570,9.550329,9.327057", \ - "13.652780,12.722290,12.043540,11.349680,10.809220,10.246050,9.894895", \ - "15.583030,14.437180,13.678290,12.865150,12.155230,11.529270,10.821240", \ - "18.291680,17.049320,16.177710,15.164520,14.350100,13.628650,12.849910", \ - "21.924970,20.630910,19.584280,18.358410,17.298800,16.200790,15.620580"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("12.298970,11.525910,11.058830,10.475340,9.933436,9.399506,8.743975", \ - "12.292500,11.517980,11.051870,10.469820,9.963502,9.241472,9.130278", \ - "12.540740,11.773110,11.204840,10.681010,10.086030,9.550881,9.327721", \ - "13.653110,12.722630,12.043940,11.350070,10.809670,10.246590,9.895712", \ - "15.583340,14.437500,13.678630,12.865510,12.155650,11.529770,10.821860", \ - "18.291950,17.049600,16.178000,15.164840,14.350460,13.629090,12.850490", \ - "21.925170,20.631120,19.584510,18.358660,17.299110,16.201180,15.621080"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("12.427100,11.654280,11.187440,10.604310,10.122610,9.531128,8.878659", \ - "12.418200,11.643110,11.176910,10.595930,10.090730,9.370903,9.275708", \ - "12.664880,11.895280,11.329140,10.804130,10.212150,9.678816,9.026184", \ - "13.816480,12.772590,12.167070,11.473790,10.934360,10.372870,10.026930", \ - "15.704800,14.557850,13.801090,12.988470,12.279470,11.655010,10.949790", \ - "18.414120,17.246440,16.294120,15.286160,14.471690,13.430600,12.977330", \ - "22.042630,20.750200,19.704290,18.469050,17.423010,16.194750,15.675930"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("12.298900,11.525860,11.058790,10.475260,9.933392,9.399449,8.743801", \ - "12.292460,11.517910,11.051820,10.469780,9.963427,9.241408,9.129971", \ - "12.540780,11.773030,11.204770,10.680950,10.086000,9.550836,9.327509", \ - "13.653060,12.722590,12.043830,11.350010,10.809600,10.246440,9.895419", \ - "15.583310,14.437450,13.678580,12.865470,12.155590,11.529630,10.821730", \ - "18.291910,17.049590,16.177990,15.164780,14.350390,13.628930,12.850320", \ - "21.925150,20.631120,19.584460,18.358630,17.299060,16.201140,15.620910"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.974670,13.585500,13.502150,13.298100,13.169630,13.066420,12.578530", \ - "13.932650,13.555950,13.472070,13.292810,13.200120,12.906420,12.416820", \ - "14.256350,13.787540,13.579230,13.580090,13.415250,13.162570,12.820320", \ - "15.375020,14.674620,14.510640,14.222610,14.012720,13.832560,13.544500", \ - "17.382720,16.561470,16.115770,15.801890,15.331900,14.913640,14.350440", \ - "20.369790,19.436430,18.831430,18.335270,17.759070,17.263830,16.937520", \ - "24.465230,23.517490,22.718410,21.944180,21.312980,20.593870,19.499080"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.975990,13.583520,13.502040,13.297990,13.169500,13.066260,12.578380", \ - "13.932550,13.555840,13.471960,13.292700,13.200000,12.906280,12.416680", \ - "14.256250,13.787460,13.579130,13.579980,13.415120,13.161990,12.820180", \ - "15.374840,14.674550,14.510550,14.222470,14.012520,13.832390,13.544510", \ - "17.382640,16.561390,16.115680,15.801790,15.331790,14.913520,14.350290", \ - "20.369720,19.436350,18.831340,18.335180,17.758980,17.263720,16.937400", \ - "24.465170,23.517420,22.718350,21.944110,21.312900,20.593760,19.498970"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.974850,13.585160,13.502290,13.298240,13.169810,13.066470,12.578810", \ - "13.932800,13.556070,13.472200,13.292960,13.200300,12.906660,12.417290", \ - "14.256490,13.787700,13.579360,13.580230,13.415420,13.162360,12.820600", \ - "15.375100,14.674760,14.510760,14.222720,14.012800,13.832640,13.544810", \ - "17.382840,16.561580,16.148730,15.802000,15.332050,14.913830,14.350690", \ - "20.369880,19.436510,18.831510,18.335350,17.759180,17.198870,16.937730", \ - "24.465280,23.517530,22.718450,21.944220,21.313060,20.594000,19.499250"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.961820,13.597790,13.503660,13.299660,13.172080,13.070610,12.585750", \ - "13.934040,13.556890,13.472270,13.294420,13.202660,12.910740,12.424090", \ - "14.283270,13.791450,13.580640,13.570420,13.417870,13.085250,12.827400", \ - "15.358760,14.728370,14.512230,14.211460,14.015240,13.831340,13.551670", \ - "17.380140,16.563060,16.152760,15.803740,15.334470,14.918970,14.357500", \ - "20.371420,19.437970,18.910700,18.338000,17.762540,17.203270,16.943710", \ - "24.466840,23.518950,22.837420,21.945980,21.312970,20.598150,19.503080"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.961680,13.540010,13.503620,13.299620,13.172070,13.070900,12.585900", \ - "13.933990,13.557250,13.472370,13.294350,13.202680,12.910840,12.424390", \ - "14.290330,13.791580,13.580600,13.570300,13.417850,13.200090,12.827670", \ - "15.359090,14.729170,14.512210,14.212180,14.015250,13.832910,13.552100", \ - "17.380100,16.563030,16.117280,15.803700,15.334460,14.918230,14.357680", \ - "20.371380,19.437880,18.910340,18.338960,17.762540,17.203390,16.943930", \ - "24.466760,23.518850,22.836970,21.945910,21.312700,20.598190,19.503010"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.963280,13.597930,13.504130,13.300160,13.172650,13.071330,12.586730", \ - "13.934470,13.557660,13.472840,13.294890,13.203260,12.911460,12.425100", \ - "14.289090,13.792080,13.581120,13.570840,13.418440,13.087430,12.828370", \ - "15.359490,14.729440,14.512700,14.212510,14.015780,13.833320,13.552770", \ - "17.380540,16.563480,16.117740,15.804170,15.334970,14.918810,14.358350", \ - "20.371770,19.438290,18.910790,18.339270,17.763020,17.203920,16.944560", \ - "24.467110,23.519210,22.837390,21.946300,21.313160,20.598680,19.503630"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.974790,13.584590,13.501940,13.297880,13.169400,13.066020,12.578340", \ - "13.932470,13.555730,13.471850,13.292590,13.199900,12.906210,12.416610", \ - "14.256170,13.787380,13.579030,13.579880,13.415030,13.161890,12.820100", \ - "15.374660,14.674490,14.510440,14.222320,14.012420,13.832300,13.544410", \ - "17.382550,16.561290,16.115560,15.801670,15.331680,14.913440,14.350200", \ - "20.369510,19.436240,18.831220,18.335060,17.758870,17.198510,16.937300", \ - "24.465060,23.517300,22.718220,21.943970,21.312770,20.593660,19.498850"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.962150,13.598060,13.504170,13.300200,13.172660,13.071400,12.586330", \ - "13.934530,13.557280,13.472740,13.294960,13.203230,12.911360,12.424820", \ - "14.281990,13.791880,13.581150,13.570970,13.418430,13.085270,12.828070", \ - "15.359110,14.728620,14.512710,14.211740,14.015790,13.831480,13.552310", \ - "17.380570,16.563510,16.117810,15.804230,15.335000,14.919540,14.358150", \ - "20.371820,19.438390,18.911220,18.338150,17.763040,17.203800,16.944310", \ - "24.467210,23.519320,22.837920,21.946400,21.313480,20.598640,19.503710"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.062968,0.064998,0.067051,0.071017,0.078527,0.093171,0.122564", \ - "0.063722,0.065755,0.067810,0.071775,0.079283,0.093928,0.123322", \ - "0.066462,0.068493,0.070549,0.074515,0.082023,0.096664,0.126059", \ - "0.069102,0.071132,0.073193,0.077158,0.084668,0.099306,0.128701", \ - "0.070805,0.072838,0.074889,0.078850,0.086360,0.101004,0.130408", \ - "0.071093,0.073122,0.075172,0.079134,0.086643,0.101287,0.130684", \ - "0.069671,0.071695,0.073748,0.077711,0.085219,0.099860,0.129259"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.070803,0.073077,0.075226,0.079701,0.089190,0.108981,0.149553", \ - "0.071565,0.073838,0.075990,0.080464,0.089954,0.109745,0.150316", \ - "0.074088,0.076362,0.078513,0.082985,0.092471,0.112257,0.152834", \ - "0.076354,0.078631,0.080776,0.085254,0.094740,0.114527,0.155098", \ - "0.077724,0.079994,0.082138,0.086610,0.096095,0.115878,0.156447", \ - "0.078067,0.080333,0.082472,0.086933,0.096403,0.116177,0.156730", \ - "0.077048,0.079297,0.081428,0.085872,0.095307,0.115044,0.155588"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004143,0.005390,0.006633,0.009207,0.014800,0.027032,0.052487", \ - "0.004143,0.005396,0.006634,0.009211,0.014799,0.027031,0.052489", \ - "0.004146,0.005391,0.006630,0.009207,0.014798,0.027033,0.052488", \ - "0.004143,0.005396,0.006631,0.009212,0.014797,0.027030,0.052498", \ - "0.004145,0.005388,0.006629,0.009207,0.014799,0.027028,0.052489", \ - "0.004143,0.005395,0.006632,0.009208,0.014796,0.027033,0.052481", \ - "0.004146,0.005389,0.006634,0.009209,0.014798,0.027027,0.052496"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004313,0.006416,0.008634,0.012826,0.021616,0.040326,0.078585", \ - "0.004313,0.006416,0.008632,0.012827,0.021618,0.040327,0.078591", \ - "0.004317,0.006416,0.008635,0.012828,0.021617,0.040330,0.078584", \ - "0.004317,0.006416,0.008634,0.012828,0.021618,0.040327,0.078587", \ - "0.004314,0.006421,0.008635,0.012829,0.021617,0.040327,0.078595", \ - "0.004326,0.006429,0.008645,0.012838,0.021623,0.040330,0.078596", \ - "0.004354,0.006455,0.008670,0.012859,0.021637,0.040335,0.078592"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012685,0.015376,0.017848,0.022161,0.029942,0.044859,0.074479", \ - "0.013613,0.016299,0.018769,0.023083,0.030865,0.045781,0.075406", \ - "0.017566,0.020200,0.022639,0.026931,0.034699,0.049603,0.079218", \ - "0.022871,0.025790,0.028411,0.032904,0.040804,0.055663,0.085202", \ - "0.028512,0.031748,0.034635,0.039485,0.047739,0.062741,0.092194", \ - "0.034344,0.037929,0.041133,0.046469,0.055348,0.070803,0.100236", \ - "0.040234,0.044151,0.047688,0.053570,0.063230,0.079522,0.109191"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002842,0.004190,0.005629,0.008498,0.014446,0.026949,0.052457", \ - "0.002843,0.004192,0.005632,0.008499,0.014449,0.026946,0.052471", \ - "0.003041,0.004324,0.005735,0.008567,0.014473,0.026952,0.052470", \ - "0.004197,0.005401,0.006681,0.009286,0.014839,0.027019,0.052454", \ - "0.005577,0.006828,0.008080,0.010537,0.015735,0.027407,0.052515", \ - "0.007305,0.008648,0.009935,0.012368,0.017320,0.028261,0.052710", \ - "0.009319,0.010772,0.012163,0.014669,0.019537,0.029862,0.053206"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012685,0.015376,0.017848,0.022161,0.029942,0.044857,0.074479", \ - "0.013613,0.016299,0.018769,0.023083,0.030865,0.045781,0.075406", \ - "0.017564,0.020200,0.022639,0.026931,0.034699,0.049603,0.079218", \ - "0.022871,0.025790,0.028411,0.032904,0.040804,0.055663,0.085202", \ - "0.028512,0.031748,0.034635,0.039485,0.047739,0.062741,0.092194", \ - "0.034344,0.037929,0.041133,0.046469,0.055348,0.070803,0.100236", \ - "0.040234,0.044151,0.047688,0.053570,0.063230,0.079522,0.109191"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002842,0.004190,0.005629,0.008498,0.014446,0.026949,0.052455", \ - "0.002843,0.004192,0.005632,0.008499,0.014449,0.026946,0.052471", \ - "0.003040,0.004324,0.005735,0.008567,0.014473,0.026952,0.052470", \ - "0.004197,0.005401,0.006681,0.009286,0.014839,0.027019,0.052454", \ - "0.005577,0.006828,0.008080,0.010537,0.015735,0.027407,0.052515", \ - "0.007305,0.008648,0.009935,0.012368,0.017320,0.028261,0.052710", \ - "0.009319,0.010772,0.012163,0.014669,0.019537,0.029862,0.053206"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012685,0.015376,0.017848,0.022161,0.029942,0.044857,0.074479", \ - "0.013613,0.016299,0.018769,0.023083,0.030865,0.045781,0.075406", \ - "0.017564,0.020200,0.022639,0.026931,0.034699,0.049603,0.079218", \ - "0.022871,0.025790,0.028411,0.032904,0.040804,0.055663,0.085202", \ - "0.028512,0.031748,0.034635,0.039485,0.047739,0.062741,0.092194", \ - "0.034344,0.037929,0.041133,0.046469,0.055348,0.070803,0.100236", \ - "0.040234,0.044151,0.047688,0.053570,0.063230,0.079522,0.109191"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002842,0.004190,0.005629,0.008498,0.014446,0.026949,0.052457", \ - "0.002843,0.004192,0.005632,0.008499,0.014449,0.026946,0.052471", \ - "0.003040,0.004324,0.005735,0.008567,0.014473,0.026952,0.052470", \ - "0.004197,0.005401,0.006681,0.009286,0.014839,0.027019,0.052454", \ - "0.005577,0.006828,0.008080,0.010537,0.015735,0.027407,0.052515", \ - "0.007305,0.008648,0.009935,0.012368,0.017320,0.028261,0.052710", \ - "0.009319,0.010772,0.012163,0.014669,0.019537,0.029862,0.053206"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012684,0.015377,0.017847,0.022161,0.029941,0.044857,0.074480", \ - "0.013612,0.016299,0.018769,0.023082,0.030864,0.045781,0.075405", \ - "0.017564,0.020199,0.022638,0.026930,0.034699,0.049603,0.079218", \ - "0.022870,0.025790,0.028411,0.032903,0.040803,0.055662,0.085201", \ - "0.028511,0.031747,0.034634,0.039484,0.047736,0.062741,0.092194", \ - "0.034347,0.037929,0.041131,0.046468,0.055344,0.070807,0.100238", \ - "0.040234,0.044150,0.047687,0.053570,0.063229,0.079521,0.109191"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002843,0.004190,0.005631,0.008498,0.014445,0.026949,0.052469", \ - "0.002843,0.004192,0.005631,0.008499,0.014449,0.026946,0.052471", \ - "0.003040,0.004324,0.005735,0.008567,0.014473,0.026953,0.052470", \ - "0.004197,0.005401,0.006681,0.009286,0.014839,0.027019,0.052454", \ - "0.005578,0.006828,0.008080,0.010537,0.015731,0.027407,0.052515", \ - "0.007305,0.008643,0.009938,0.012369,0.017318,0.028261,0.052710", \ - "0.009319,0.010773,0.012164,0.014669,0.019537,0.029861,0.053200"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012685,0.015377,0.017847,0.022161,0.029941,0.044857,0.074480", \ - "0.013612,0.016299,0.018769,0.023082,0.030864,0.045781,0.075405", \ - "0.017566,0.020199,0.022638,0.026930,0.034699,0.049603,0.079218", \ - "0.022870,0.025790,0.028411,0.032903,0.040803,0.055662,0.085201", \ - "0.028511,0.031747,0.034634,0.039484,0.047736,0.062741,0.092194", \ - "0.034347,0.037929,0.041131,0.046468,0.055344,0.070807,0.100238", \ - "0.040234,0.044150,0.047687,0.053570,0.063229,0.079521,0.109191"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002843,0.004190,0.005631,0.008498,0.014445,0.026949,0.052458", \ - "0.002843,0.004192,0.005631,0.008499,0.014449,0.026946,0.052471", \ - "0.003041,0.004324,0.005735,0.008567,0.014473,0.026953,0.052470", \ - "0.004197,0.005401,0.006681,0.009286,0.014839,0.027019,0.052454", \ - "0.005578,0.006828,0.008080,0.010537,0.015731,0.027407,0.052515", \ - "0.007305,0.008643,0.009938,0.012369,0.017318,0.028261,0.052710", \ - "0.009319,0.010773,0.012164,0.014669,0.019537,0.029861,0.053200"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012684,0.015377,0.017847,0.022161,0.029941,0.044857,0.074480", \ - "0.013612,0.016299,0.018769,0.023082,0.030864,0.045781,0.075405", \ - "0.017566,0.020199,0.022638,0.026930,0.034699,0.049603,0.079218", \ - "0.022870,0.025790,0.028411,0.032903,0.040803,0.055662,0.085201", \ - "0.028511,0.031747,0.034634,0.039484,0.047736,0.062741,0.092194", \ - "0.034347,0.037929,0.041131,0.046468,0.055344,0.070807,0.100238", \ - "0.040234,0.044150,0.047687,0.053570,0.063229,0.079521,0.109191"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002843,0.004190,0.005631,0.008498,0.014445,0.026949,0.052458", \ - "0.002843,0.004192,0.005631,0.008499,0.014449,0.026946,0.052471", \ - "0.003041,0.004324,0.005735,0.008567,0.014473,0.026953,0.052470", \ - "0.004197,0.005401,0.006681,0.009286,0.014839,0.027019,0.052454", \ - "0.005578,0.006828,0.008080,0.010537,0.015731,0.027407,0.052515", \ - "0.007305,0.008643,0.009938,0.012369,0.017318,0.028261,0.052710", \ - "0.009319,0.010773,0.012164,0.014669,0.019537,0.029861,0.053200"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012685,0.015376,0.017848,0.022161,0.029942,0.044857,0.074480", \ - "0.013613,0.016299,0.018769,0.023083,0.030865,0.045781,0.075406", \ - "0.017566,0.020200,0.022639,0.026931,0.034699,0.049603,0.079218", \ - "0.022871,0.025790,0.028411,0.032904,0.040804,0.055663,0.085202", \ - "0.028512,0.031748,0.034635,0.039485,0.047739,0.062741,0.092194", \ - "0.034344,0.037929,0.041133,0.046469,0.055348,0.070803,0.100236", \ - "0.040234,0.044151,0.047688,0.053570,0.063230,0.079522,0.109191"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002842,0.004190,0.005629,0.008498,0.014446,0.026949,0.052458", \ - "0.002843,0.004192,0.005632,0.008499,0.014449,0.026946,0.052471", \ - "0.003041,0.004324,0.005735,0.008567,0.014473,0.026952,0.052470", \ - "0.004197,0.005401,0.006681,0.009286,0.014839,0.027019,0.052454", \ - "0.005577,0.006828,0.008080,0.010537,0.015735,0.027407,0.052515", \ - "0.007305,0.008648,0.009935,0.012368,0.017320,0.028261,0.052710", \ - "0.009319,0.010772,0.012163,0.014669,0.019537,0.029862,0.053206"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012684,0.015377,0.017847,0.022161,0.029941,0.044857,0.074480", \ - "0.013612,0.016299,0.018769,0.023082,0.030864,0.045781,0.075405", \ - "0.017566,0.020199,0.022638,0.026930,0.034699,0.049603,0.079218", \ - "0.022870,0.025790,0.028411,0.032903,0.040803,0.055662,0.085201", \ - "0.028511,0.031747,0.034634,0.039484,0.047736,0.062741,0.092194", \ - "0.034347,0.037929,0.041131,0.046468,0.055344,0.070807,0.100238", \ - "0.040234,0.044150,0.047687,0.053570,0.063229,0.079521,0.109191"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002843,0.004190,0.005631,0.008498,0.014445,0.026949,0.052458", \ - "0.002843,0.004192,0.005631,0.008499,0.014449,0.026946,0.052471", \ - "0.003041,0.004324,0.005735,0.008567,0.014473,0.026953,0.052470", \ - "0.004197,0.005401,0.006681,0.009286,0.014839,0.027019,0.052454", \ - "0.005578,0.006828,0.008080,0.010537,0.015731,0.027407,0.052515", \ - "0.007305,0.008643,0.009938,0.012369,0.017318,0.028261,0.052710", \ - "0.009319,0.010773,0.012164,0.014669,0.019537,0.029861,0.053200"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012695,0.015384,0.017847,0.022145,0.029893,0.044745,0.074302", \ - "0.013623,0.016306,0.018769,0.023066,0.030817,0.045668,0.075228", \ - "0.017580,0.020211,0.022643,0.026919,0.034653,0.049492,0.079041", \ - "0.022897,0.025809,0.028419,0.032892,0.040751,0.055545,0.085018", \ - "0.028549,0.031766,0.034639,0.039457,0.047654,0.062581,0.091989", \ - "0.034381,0.037938,0.041119,0.046412,0.055216,0.070594,0.100039", \ - "0.040254,0.044138,0.047649,0.053476,0.063049,0.079271,0.109247"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002851,0.004186,0.005616,0.008467,0.014385,0.026857,0.052444", \ - "0.002850,0.004187,0.005619,0.008468,0.014385,0.026853,0.052444", \ - "0.003047,0.004320,0.005719,0.008535,0.014413,0.026861,0.052446", \ - "0.004198,0.005385,0.006653,0.009242,0.014770,0.026928,0.052450", \ - "0.005562,0.006790,0.008026,0.010462,0.015633,0.027311,0.052509", \ - "0.007251,0.008573,0.009846,0.012256,0.017177,0.028190,0.052797", \ - "0.009215,0.010655,0.012028,0.014504,0.019353,0.029923,0.053676"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012695,0.015384,0.017847,0.022145,0.029893,0.044745,0.074302", \ - "0.013623,0.016306,0.018769,0.023066,0.030817,0.045668,0.075228", \ - "0.017580,0.020211,0.022643,0.026919,0.034653,0.049492,0.079041", \ - "0.022897,0.025809,0.028419,0.032892,0.040751,0.055545,0.085018", \ - "0.028549,0.031766,0.034639,0.039457,0.047654,0.062581,0.091989", \ - "0.034381,0.037938,0.041119,0.046412,0.055216,0.070594,0.100039", \ - "0.040254,0.044138,0.047649,0.053476,0.063049,0.079271,0.109247"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002851,0.004186,0.005616,0.008467,0.014385,0.026857,0.052444", \ - "0.002850,0.004187,0.005619,0.008468,0.014385,0.026853,0.052444", \ - "0.003047,0.004320,0.005719,0.008535,0.014413,0.026861,0.052446", \ - "0.004198,0.005385,0.006653,0.009242,0.014770,0.026928,0.052450", \ - "0.005562,0.006790,0.008026,0.010462,0.015633,0.027311,0.052509", \ - "0.007251,0.008573,0.009846,0.012256,0.017177,0.028190,0.052797", \ - "0.009215,0.010655,0.012028,0.014504,0.019353,0.029923,0.053676"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012695,0.015384,0.017847,0.022145,0.029893,0.044745,0.074302", \ - "0.013623,0.016306,0.018769,0.023066,0.030817,0.045668,0.075227", \ - "0.017580,0.020211,0.022643,0.026919,0.034653,0.049492,0.079041", \ - "0.022897,0.025809,0.028419,0.032892,0.040751,0.055545,0.085018", \ - "0.028549,0.031766,0.034639,0.039457,0.047654,0.062581,0.091989", \ - "0.034381,0.037938,0.041119,0.046412,0.055216,0.070594,0.100039", \ - "0.040254,0.044138,0.047649,0.053476,0.063049,0.079271,0.109247"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002851,0.004186,0.005616,0.008467,0.014385,0.026857,0.052444", \ - "0.002850,0.004187,0.005619,0.008468,0.014385,0.026853,0.052444", \ - "0.003047,0.004320,0.005719,0.008535,0.014413,0.026864,0.052446", \ - "0.004198,0.005385,0.006653,0.009242,0.014770,0.026928,0.052450", \ - "0.005562,0.006790,0.008026,0.010462,0.015633,0.027311,0.052509", \ - "0.007251,0.008573,0.009846,0.012256,0.017177,0.028190,0.052797", \ - "0.009215,0.010655,0.012028,0.014504,0.019353,0.029923,0.053676"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012695,0.015384,0.017847,0.022145,0.029893,0.044745,0.074303", \ - "0.013623,0.016306,0.018769,0.023066,0.030817,0.045668,0.075227", \ - "0.017580,0.020211,0.022643,0.026919,0.034653,0.049492,0.079042", \ - "0.022897,0.025809,0.028419,0.032892,0.040751,0.055545,0.085018", \ - "0.028549,0.031766,0.034639,0.039457,0.047654,0.062581,0.091989", \ - "0.034381,0.037938,0.041118,0.046412,0.055216,0.070594,0.100039", \ - "0.040254,0.044138,0.047649,0.053478,0.063049,0.079271,0.109247"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002851,0.004186,0.005616,0.008467,0.014385,0.026857,0.052444", \ - "0.002850,0.004187,0.005619,0.008468,0.014385,0.026853,0.052444", \ - "0.003047,0.004320,0.005719,0.008535,0.014413,0.026865,0.052446", \ - "0.004198,0.005385,0.006653,0.009242,0.014770,0.026928,0.052450", \ - "0.005562,0.006790,0.008026,0.010462,0.015633,0.027311,0.052509", \ - "0.007251,0.008573,0.009845,0.012256,0.017177,0.028189,0.052797", \ - "0.009215,0.010655,0.012028,0.014503,0.019353,0.029923,0.053676"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012695,0.015384,0.017847,0.022145,0.029893,0.044744,0.074302", \ - "0.013623,0.016306,0.018769,0.023066,0.030817,0.045668,0.075227", \ - "0.017580,0.020211,0.022643,0.026919,0.034653,0.049492,0.079042", \ - "0.022897,0.025809,0.028419,0.032892,0.040751,0.055545,0.085018", \ - "0.028549,0.031766,0.034639,0.039457,0.047654,0.062581,0.091989", \ - "0.034381,0.037938,0.041118,0.046412,0.055216,0.070594,0.100039", \ - "0.040254,0.044138,0.047649,0.053478,0.063049,0.079271,0.109247"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002851,0.004186,0.005616,0.008467,0.014385,0.026857,0.052444", \ - "0.002850,0.004187,0.005619,0.008468,0.014385,0.026853,0.052444", \ - "0.003047,0.004320,0.005719,0.008535,0.014413,0.026861,0.052446", \ - "0.004198,0.005385,0.006653,0.009242,0.014770,0.026928,0.052450", \ - "0.005562,0.006790,0.008026,0.010462,0.015633,0.027311,0.052509", \ - "0.007251,0.008573,0.009845,0.012256,0.017177,0.028189,0.052797", \ - "0.009215,0.010655,0.012028,0.014503,0.019353,0.029923,0.053676"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012695,0.015384,0.017847,0.022145,0.029893,0.044745,0.074303", \ - "0.013623,0.016306,0.018769,0.023066,0.030817,0.045668,0.075227", \ - "0.017580,0.020211,0.022643,0.026919,0.034653,0.049492,0.079042", \ - "0.022897,0.025809,0.028419,0.032892,0.040751,0.055545,0.085018", \ - "0.028549,0.031766,0.034639,0.039457,0.047654,0.062581,0.091989", \ - "0.034381,0.037938,0.041118,0.046412,0.055216,0.070594,0.100039", \ - "0.040254,0.044138,0.047649,0.053478,0.063049,0.079271,0.109247"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002851,0.004186,0.005616,0.008467,0.014385,0.026857,0.052444", \ - "0.002850,0.004187,0.005619,0.008468,0.014385,0.026853,0.052444", \ - "0.003047,0.004320,0.005719,0.008535,0.014413,0.026865,0.052446", \ - "0.004198,0.005385,0.006653,0.009242,0.014770,0.026928,0.052450", \ - "0.005562,0.006790,0.008026,0.010462,0.015633,0.027311,0.052509", \ - "0.007251,0.008573,0.009845,0.012256,0.017177,0.028189,0.052797", \ - "0.009215,0.010655,0.012028,0.014503,0.019353,0.029923,0.053676"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012695,0.015384,0.017847,0.022145,0.029893,0.044745,0.074302", \ - "0.013623,0.016306,0.018769,0.023066,0.030817,0.045668,0.075228", \ - "0.017580,0.020211,0.022643,0.026919,0.034653,0.049492,0.079041", \ - "0.022897,0.025809,0.028419,0.032892,0.040751,0.055545,0.085018", \ - "0.028549,0.031766,0.034639,0.039457,0.047654,0.062581,0.091989", \ - "0.034379,0.037938,0.041119,0.046412,0.055216,0.070594,0.100039", \ - "0.040254,0.044138,0.047649,0.053476,0.063049,0.079271,0.109247"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002851,0.004186,0.005616,0.008467,0.014385,0.026857,0.052444", \ - "0.002850,0.004187,0.005619,0.008468,0.014385,0.026853,0.052444", \ - "0.003047,0.004320,0.005719,0.008535,0.014413,0.026861,0.052446", \ - "0.004198,0.005385,0.006653,0.009242,0.014770,0.026928,0.052450", \ - "0.005562,0.006790,0.008026,0.010462,0.015633,0.027311,0.052509", \ - "0.007247,0.008573,0.009846,0.012256,0.017177,0.028190,0.052797", \ - "0.009215,0.010655,0.012028,0.014504,0.019353,0.029923,0.053676"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.012695,0.015384,0.017847,0.022145,0.029893,0.044744,0.074302", \ - "0.013623,0.016306,0.018769,0.023066,0.030817,0.045668,0.075227", \ - "0.017580,0.020211,0.022643,0.026919,0.034653,0.049492,0.079042", \ - "0.022897,0.025809,0.028419,0.032892,0.040751,0.055545,0.085018", \ - "0.028549,0.031766,0.034639,0.039457,0.047654,0.062581,0.091989", \ - "0.034381,0.037938,0.041118,0.046412,0.055216,0.070594,0.100039", \ - "0.040254,0.044138,0.047649,0.053476,0.063049,0.079271,0.109247"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.002851,0.004186,0.005616,0.008467,0.014385,0.026857,0.052444", \ - "0.002850,0.004187,0.005619,0.008468,0.014385,0.026853,0.052444", \ - "0.003047,0.004320,0.005719,0.008535,0.014413,0.026861,0.052446", \ - "0.004198,0.005385,0.006653,0.009242,0.014770,0.026928,0.052450", \ - "0.005562,0.006790,0.008026,0.010462,0.015633,0.027311,0.052509", \ - "0.007251,0.008573,0.009845,0.012256,0.017177,0.028189,0.052797", \ - "0.009215,0.010655,0.012028,0.014504,0.019353,0.029923,0.053676"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("11.875270,11.431850,11.230930,11.053520,11.077770,10.889460,10.681350", \ - "11.866130,11.427570,11.197960,11.043660,11.035870,10.834360,10.875610", \ - "12.009710,11.530590,11.314470,11.158630,11.163030,10.872770,10.635960", \ - "12.392750,11.890360,11.682680,11.522480,11.521070,11.423560,11.174340", \ - "13.160340,12.694500,12.466910,12.302740,12.324890,12.072700,11.993580", \ - "14.410400,13.936500,13.703290,13.555540,13.556800,13.421280,13.315140", \ - "15.902420,15.448580,15.270280,15.142880,15.229600,15.031000,14.991870"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("14.123150,13.439010,12.935500,12.093460,11.381480,10.954490,10.682990", \ - "14.079570,13.407060,12.914590,12.089000,11.398780,10.954870,10.599390", \ - "14.191710,13.596450,13.012210,12.192270,11.458270,11.030040,10.715300", \ - "14.570210,13.959940,13.382740,12.578730,11.851090,11.362590,11.064170", \ - "15.382960,14.750920,14.204850,13.374600,12.659500,12.223190,11.914990", \ - "16.775430,16.128040,15.577440,14.735570,13.953910,13.439470,13.075150", \ - "18.576890,17.995890,17.418490,16.576040,15.804310,15.332860,14.997920"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.428350,11.651120,11.181580,10.593240,10.090180,9.490272,8.808627", \ - "12.419460,11.640360,11.171140,10.584870,10.069800,9.328862,9.274604", \ - "12.666290,11.887820,11.322930,10.785950,10.191110,9.637975,8.944456", \ - "13.816610,12.768890,12.160660,11.462330,10.913440,10.332320,9.648322", \ - "15.704890,14.554520,13.794280,12.977050,12.258240,11.614580,10.869480", \ - "18.414220,17.242910,16.286490,15.273830,14.451910,13.714450,12.916910", \ - "22.042750,20.752520,19.695940,18.349720,17.400790,16.532970,15.561940"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.428250,11.651050,11.181500,10.593160,10.090640,9.701206,8.826374", \ - "12.419390,11.640290,11.171060,10.584780,10.069700,9.328730,9.270569", \ - "12.666080,11.887770,11.322850,10.785870,10.191000,9.637839,8.944314", \ - "13.816540,12.768810,12.160590,11.462250,10.913350,10.332210,9.648034", \ - "15.704830,14.554460,13.794210,12.976970,12.258150,11.614480,10.869350", \ - "18.414180,17.242860,16.286420,15.273770,14.451840,13.714380,12.916800", \ - "22.042720,20.752480,19.695910,18.349680,17.400740,16.532890,15.561820"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.428460,11.651230,11.181690,10.593350,10.091940,9.697477,8.810402", \ - "12.419580,11.640470,11.171250,10.584990,10.069940,9.329058,9.274762", \ - "12.666260,11.887940,11.323030,10.786060,10.191250,9.638169,8.944710", \ - "13.816710,12.768990,12.160760,11.462430,10.913570,10.332500,9.648561", \ - "15.704980,14.554610,13.794360,12.977140,12.258350,11.614740,10.869700", \ - "18.414290,17.242970,16.286540,15.273880,14.451990,13.714580,12.917110", \ - "22.042770,20.752540,19.695950,18.349730,17.400820,16.533050,15.562050"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.299680,11.522390,11.052540,10.463540,9.911476,9.357655,9.135128", \ - "12.293240,11.514300,11.045070,10.466190,9.941773,9.579016,9.128321", \ - "12.541500,11.763310,11.198050,10.662580,10.064270,9.509161,9.320207", \ - "13.653930,12.645230,12.036900,11.412510,10.788030,10.205050,9.532655", \ - "15.582970,14.433670,13.671300,12.853520,12.133900,11.488460,10.740510", \ - "18.291640,17.044670,16.171080,15.152690,14.328520,13.588070,12.796680", \ - "21.924960,20.632510,19.575880,18.227410,17.276470,16.410120,15.542280"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.299680,11.522430,11.052580,10.463600,9.911514,9.357722,8.661541", \ - "12.293280,11.514360,11.045130,10.466240,9.941840,9.579113,9.127850", \ - "12.541660,11.763390,11.198120,10.662640,10.064300,9.509203,9.320397", \ - "13.653970,12.645240,12.036960,11.412570,10.788090,10.205180,9.532777", \ - "15.583000,14.433720,13.671340,12.853560,12.133950,11.488580,10.740630", \ - "18.291680,17.044680,16.171100,15.152740,14.328600,13.588210,12.796820", \ - "21.924980,20.632510,19.575920,18.227420,17.276510,16.410180,15.542500"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.300100,11.522790,11.052950,10.464020,9.911986,9.358279,8.662225", \ - "12.293620,11.514710,11.045500,10.466640,9.942308,9.579667,9.127326", \ - "12.542000,11.763740,11.198490,10.663030,10.064770,9.509757,9.321062", \ - "13.654310,12.645590,12.037330,11.412960,10.788540,10.205710,9.533599", \ - "15.583300,14.434040,13.671670,12.853920,12.134400,11.489080,10.741250", \ - "18.291950,17.044960,16.171390,15.153060,14.328960,13.588660,12.797400", \ - "21.925180,20.632720,19.576140,18.227680,17.276820,16.410570,15.543000"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.428230,11.650980,11.181440,10.593080,10.091680,9.697359,8.798725", \ - "12.419340,11.640230,11.171000,10.584700,10.069620,9.328661,9.272024", \ - "12.666170,11.887710,11.322780,10.785790,10.190920,9.637772,8.944243", \ - "13.816490,12.768750,12.160520,11.462160,10.913260,10.332140,9.647959", \ - "15.704770,14.554390,13.794140,12.976890,12.258060,11.614400,10.869270", \ - "18.414110,17.242780,16.286340,15.273670,14.451750,13.714290,12.916710", \ - "22.042640,20.752400,19.695810,18.349570,17.400630,16.532800,15.561720"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("12.300030,11.522740,11.052910,10.463940,9.911944,9.358227,8.662058", \ - "12.293580,11.514650,11.045440,10.466600,9.942236,9.579564,9.127023", \ - "12.541950,11.763650,11.198420,10.662970,10.064740,9.509716,9.320851", \ - "13.654260,12.645570,12.037270,11.412890,10.788480,10.205570,9.533343", \ - "15.583280,14.433990,13.671620,12.853890,12.134340,11.488950,10.741120", \ - "18.291900,17.044950,16.171370,15.153000,14.328890,13.588500,12.797240", \ - "21.925150,20.632720,19.576100,18.227660,17.276770,16.410500,15.542820"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("13.974670,13.535790,13.496570,13.439290,13.164770,13.026640,12.497510", \ - "13.934180,13.520470,13.456060,13.411950,13.179620,12.866000,12.334770", \ - "14.257800,13.768520,13.670930,13.494040,13.395130,12.943880,12.740090", \ - "15.374970,14.719670,14.505390,14.194320,13.991960,13.630810,13.465190", \ - "17.382700,16.557550,16.109330,15.790600,15.502470,14.871930,14.267650", \ - "20.371250,19.432030,18.824360,18.332520,17.754960,17.177140,16.856660", \ - "24.466770,23.512340,22.710360,21.930880,21.282520,20.552530,20.122450"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("13.976000,13.536480,13.496540,13.439180,13.164990,13.026480,12.497350", \ - "13.934080,13.520390,13.455950,13.411830,13.179500,12.865850,12.334630", \ - "14.257710,13.768420,13.670840,13.493930,13.395000,12.943720,12.739940", \ - "15.374780,14.719540,14.505300,14.194390,13.991840,13.630680,13.465200", \ - "17.382620,16.557470,16.109240,15.790500,15.502360,14.871810,14.267500", \ - "20.371180,19.431950,18.824270,18.332430,17.754870,17.177000,16.856530", \ - "24.466710,23.512270,22.710300,21.930720,21.282440,20.552420,20.122360"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("13.974850,13.536170,13.496750,13.439440,13.165470,13.026850,12.497790", \ - "13.934330,13.520640,13.456180,13.412090,13.179800,12.866230,12.335210", \ - "14.257950,13.768640,13.671060,13.494180,13.395310,13.144630,12.740370", \ - "15.375040,14.719770,14.505510,14.194500,13.992120,13.631020,13.465500", \ - "17.382820,16.557660,16.109430,15.790710,15.502620,14.872120,14.267900", \ - "20.371340,19.432120,18.824440,18.332600,17.755070,17.177200,16.856870", \ - "24.466820,23.512380,22.710400,21.930840,21.282600,20.552660,20.122620"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("13.961830,13.546160,13.498060,13.442260,13.174110,13.031010,12.504720", \ - "13.935580,13.528360,13.468750,13.414850,13.182150,12.870850,12.342010", \ - "14.283250,13.772400,13.674590,13.495750,13.397770,13.176810,12.747370", \ - "15.358760,14.666680,14.506850,14.307230,13.994550,13.635200,13.472360", \ - "17.381530,16.559130,16.147640,15.792430,15.505190,14.876270,14.274710", \ - "20.372880,19.433570,18.909550,18.335200,17.758430,17.178930,16.863700", \ - "24.468390,23.513750,22.834790,21.933040,21.285180,20.556800,20.129430"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("13.961690,13.545520,13.498030,13.442580,13.172240,13.031290,12.504880", \ - "13.935520,13.528490,13.468840,13.415130,13.182170,12.870940,12.342340", \ - "14.290320,13.772650,13.674510,13.495710,13.397770,12.957780,12.747630", \ - "15.359090,14.666830,14.506830,14.300260,13.994560,13.635330,13.472790", \ - "17.381490,16.559100,16.110780,15.792380,15.505210,14.876380,14.274880", \ - "20.372840,19.433480,18.909070,18.336140,17.758420,17.177330,16.863910", \ - "24.468300,23.513650,22.834370,21.932950,21.285160,20.556840,20.129670"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("13.963280,13.546710,13.498530,13.443060,13.173430,13.031720,12.505700", \ - "13.936000,13.528990,13.469310,13.415610,13.182750,12.871560,12.343020", \ - "14.289080,13.773090,13.675030,13.496240,13.398340,13.176660,12.748340", \ - "15.359490,14.667280,14.507320,14.302280,13.995100,13.635930,13.473450", \ - "17.381930,16.559550,16.111240,15.792860,15.505720,14.876950,14.275550", \ - "20.373240,19.433890,18.909540,18.336450,17.758900,17.178090,16.864540", \ - "24.468650,23.514010,22.834790,21.933340,21.285580,20.557330,20.130230"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("13.974800,13.535940,13.496410,13.439070,13.165110,13.026400,12.497310", \ - "13.934000,13.520310,13.455830,13.411720,13.179400,12.865780,12.334560", \ - "14.257630,13.768320,13.670740,13.493820,13.394910,12.943640,12.739870", \ - "15.374600,14.719400,14.505190,14.194390,13.991740,13.630600,13.465100", \ - "17.382530,16.557360,16.109130,15.790380,15.502260,14.871720,14.267410", \ - "20.370960,19.431840,18.824150,18.332310,17.754760,17.176850,16.856440", \ - "24.466600,23.512150,22.710170,21.930580,21.282310,20.552320,20.122250"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("13.962150,13.546720,13.498560,13.442700,13.175200,13.031790,12.505320", \ - "13.936060,13.528790,13.469230,13.415280,13.182720,12.871470,12.342770", \ - "14.281980,13.772810,13.675100,13.496280,13.398330,12.956170,12.748050", \ - "15.359110,14.667090,14.507330,14.309950,13.995110,13.635790,13.473000", \ - "17.381960,16.559580,16.111290,15.792920,15.505710,14.876840,14.275370", \ - "20.373280,19.433990,18.910100,18.335350,17.758930,17.179880,16.864300", \ - "24.468750,23.514120,22.835270,21.933010,21.285620,20.557300,20.129970"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFF_X1 - Cell Description : Pos.edge D-Flip-Flop with active high scan, and drive strength X1 - *******************************************************************************************/ - - cell (SDFF_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - } - - area : 6.118000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 347.109258; - - leakage_power () { - when : "!CK & !D & !SE & !SI & !Q & QN"; - value : 301.856250; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & Q & !QN"; - value : 310.175000; - } - leakage_power () { - when : "!CK & !D & !SE & SI & !Q & QN"; - value : 335.523750; - } - leakage_power () { - when : "!CK & !D & !SE & SI & Q & !QN"; - value : 343.842500; - } - leakage_power () { - when : "!CK & !D & SE & !SI & !Q & QN"; - value : 316.001500; - } - leakage_power () { - when : "!CK & !D & SE & !SI & Q & !QN"; - value : 324.320250; - } - leakage_power () { - when : "!CK & !D & SE & SI & !Q & QN"; - value : 370.454625; - } - leakage_power () { - when : "!CK & !D & SE & SI & Q & !QN"; - value : 351.158375; - } - leakage_power () { - when : "!CK & D & !SE & !SI & !Q & QN"; - value : 365.271500; - } - leakage_power () { - when : "!CK & D & !SE & !SI & Q & !QN"; - value : 345.975250; - } - leakage_power () { - when : "!CK & D & !SE & SI & !Q & QN"; - value : 371.459250; - } - leakage_power () { - when : "!CK & D & !SE & SI & Q & !QN"; - value : 352.163000; - } - leakage_power () { - when : "!CK & D & SE & !SI & !Q & QN"; - value : 360.618125; - } - leakage_power () { - when : "!CK & D & SE & !SI & Q & !QN"; - value : 368.936875; - } - leakage_power () { - when : "!CK & D & SE & SI & !Q & QN"; - value : 378.420875; - } - leakage_power () { - when : "!CK & D & SE & SI & Q & !QN"; - value : 359.124625; - } - leakage_power () { - when : "CK & !D & !SE & !SI & !Q & QN"; - value : 287.836000; - } - leakage_power () { - when : "CK & !D & !SE & !SI & Q & !QN"; - value : 335.180875; - } - leakage_power () { - when : "CK & !D & !SE & SI & !Q & QN"; - value : 321.501000; - } - leakage_power () { - when : "CK & !D & !SE & SI & Q & !QN"; - value : 368.845875; - } - leakage_power () { - when : "CK & !D & SE & !SI & !Q & QN"; - value : 301.980000; - } - leakage_power () { - when : "CK & !D & SE & !SI & Q & !QN"; - value : 349.324875; - } - leakage_power () { - when : "CK & !D & SE & SI & !Q & QN"; - value : 345.005625; - } - leakage_power () { - when : "CK & !D & SE & SI & Q & !QN"; - value : 364.845500; - } - leakage_power () { - when : "CK & D & !SE & !SI & !Q & QN"; - value : 339.823750; - } - leakage_power () { - when : "CK & D & !SE & !SI & Q & !QN"; - value : 359.663625; - } - leakage_power () { - when : "CK & D & !SE & SI & !Q & QN"; - value : 346.014000; - } - leakage_power () { - when : "CK & D & !SE & SI & Q & !QN"; - value : 365.853875; - } - leakage_power () { - when : "CK & D & SE & !SI & !Q & QN"; - value : 346.591625; - } - leakage_power () { - when : "CK & D & SE & !SI & Q & !QN"; - value : 393.936500; - } - leakage_power () { - when : "CK & D & SE & SI & !Q & QN"; - value : 352.975750; - } - leakage_power () { - when : "CK & D & SE & SI & Q & !QN"; - value : 372.815625; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.132147; - fall_capacitance : 1.092932; - rise_capacitance : 1.132147; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "!SE"; - sdf_cond : "NEG_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.013619,-0.008243,-0.010884", \ - "-0.011512,-0.005134,-0.008510", \ - "0.103505,0.109445,0.106883"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.009961,-0.006699,-0.012070", \ - "-0.008946,-0.006915,-0.013939", \ - "0.061460,0.062790,0.049043"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "!SE"; - sdf_cond : "NEG_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.046371,0.045757,0.060105", \ - "0.056072,0.055447,0.069367", \ - "0.085382,0.084061,0.097595"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.041314,0.034968,0.038369", \ - "0.045009,0.038640,0.042107", \ - "0.043326,0.037395,0.039992"); - } - } - - internal_power () { - - when : "!CK & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.330107,9.299974,9.298750,9.699085,10.733550,12.442290,14.834540"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.929126,6.874066,6.881491,7.243953,8.225184,9.891821,12.261990"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.292499,9.259886,9.260724,9.656854,10.694020,12.397960,14.795120"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.949056,6.893148,6.900886,7.263821,8.245602,9.914471,12.284620"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.329520,9.298656,9.298455,9.697160,10.731830,12.440480,14.832580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.950651,6.895708,6.902990,7.265652,8.246861,9.913476,12.283730"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.287513,9.256289,9.256439,9.651958,10.689310,12.393270,14.790110"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.949341,6.893700,6.901224,7.264232,8.246199,9.914779,12.284790"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960153,0.925505,0.907085,0.902496,0.898809,0.899513,0.898192"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.804226,-0.810838,-0.814806,-0.817905,-0.820075,-0.821731,-0.822611"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960747,0.926215,0.907440,0.902845,0.899140,0.899907,0.898582"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803442,-0.810145,-0.814011,-0.817036,-0.819345,-0.820817,-0.821814"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.863875,0.877302,0.877126,0.877787,0.877168,0.878263,0.876472"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530623,-0.633230,-0.699904,-0.727641,-0.739784,-0.751026,-0.755123"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864697,0.876842,0.876820,0.877799,0.876879,0.877804,0.876094"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531506,-0.634229,-0.700361,-0.726998,-0.740637,-0.749133,-0.754412"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.333674,4.302145,4.304475,4.684946,5.677012,7.307608,9.574726"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.038398,1.983762,1.992919,2.349827,3.301293,4.888201,7.133283"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.224667,4.194967,4.197014,4.579916,5.575447,7.209083,9.478038"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.019948,1.965200,1.974263,2.330814,3.275532,4.862725,7.108443"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.331732,4.300829,4.303208,4.683521,5.675483,7.305815,9.573446"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.059584,2.005295,2.014411,2.371619,3.322546,4.909791,7.154697"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.221938,4.190676,4.192783,4.576594,5.570934,7.204266,9.473099"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.017962,1.963289,1.972283,2.328278,3.274790,4.864001,7.106257"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960307,0.925437,0.907258,0.902550,0.898918,0.899429,0.898240"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803907,-0.810306,-0.814579,-0.819254,-0.819850,-0.824218,-0.822383"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.958198,0.925580,0.907079,0.902719,0.897543,0.896447,0.896357"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.804019,-0.810801,-0.815093,-0.822503,-0.823355,-0.824734,-0.825805"); - } - - } - - internal_power () { - - when : "CK & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.863456,0.876783,0.876926,0.877806,0.876949,0.877750,0.876089"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.531317,-0.633689,-0.700288,-0.726759,-0.741822,-0.751328,-0.754576"); - } - - } - - internal_power () { - - when : "CK & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.865024,0.877123,0.877138,0.878089,0.877177,0.878065,0.876377"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530659,-0.633342,-0.699536,-0.727706,-0.739812,-0.750913,-0.753582"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.875253; - fall_capacitance : 1.812069; - rise_capacitance : 1.875253; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.011963,-0.008702,-0.014445", \ - "-0.019098,-0.018040,-0.025287", \ - "0.091750,0.094666,0.080573"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.009995,-0.004626,-0.010019", \ - "-0.012074,-0.006433,-0.014529", \ - "0.066433,0.072953,0.060217"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.045834,0.040510,0.055148", \ - "0.056991,0.051008,0.065298", \ - "0.080407,0.073896,0.086669"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.052754,0.051588,0.065824", \ - "0.055765,0.054813,0.068960", \ - "0.055084,0.052177,0.066309"); - } - } - - internal_power () { - - when : "!CK & !D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.018197,3.023914,3.342098,4.171379,5.671050,7.927885,10.970980"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.102014,-0.130166,0.088551,0.905795,2.434140,4.697956,7.747689"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.015261,3.024252,3.342128,4.170012,5.671357,7.925323,10.971330"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.088707,-0.116296,0.102549,0.919989,2.447829,4.711862,7.762118"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.308920,10.296480,10.788460,12.245610,14.943210,19.061180,24.694310"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.140719,6.102253,6.422712,7.761699,10.438660,14.566900,20.217790"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.267810,10.254930,10.746700,12.202110,14.900710,19.017640,24.653910"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.104065,6.065722,6.387248,7.730283,10.416140,14.554890,20.219230"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.811595,9.827265,10.249390,11.267900,12.982370,15.448400,18.692420"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.216992,8.194654,8.390032,9.216808,10.826830,13.254900,16.606180"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.904239,9.920609,10.342800,11.363840,13.077960,15.548710,18.792090"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.389228,8.352110,8.495315,9.287027,10.882760,13.307480,16.661540"); - } - - } - - internal_power () { - - when : "!CK & D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.804302,2.814718,3.142362,3.983092,5.493932,7.752612,10.800200"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.067997,0.044456,0.254778,1.061930,2.586694,4.855187,7.910726"); - } - - } - - internal_power () { - - when : "!CK & D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.803656,2.814477,3.141599,3.984038,5.493277,7.754615,10.799510"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.039281,0.016106,0.227453,1.033773,2.557777,4.825974,7.880386"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.018242,3.023793,3.342217,4.171319,5.671286,7.927823,10.971000"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.101925,-0.129773,0.088576,0.904460,2.433608,4.695605,7.747900"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.016150,3.024228,3.341961,4.171350,5.671304,7.927786,10.970990"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.089579,-0.117455,0.101625,0.919277,2.445979,4.707762,7.761045"); - } - - } - - internal_power () { - - when : "CK & !D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.322005,5.311635,5.807117,7.235904,9.866216,13.860440,19.289120"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.244183,1.206967,1.529040,2.844344,5.442152,9.411878,14.827870"); - } - - } - - internal_power () { - - when : "CK & !D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.208260,5.196892,5.692523,7.126747,9.763163,13.761460,19.191960"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.164297,1.126908,1.449914,2.770157,5.379307,9.363558,14.786250"); - } - - } - - internal_power () { - - when : "CK & D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.926456,4.942251,5.364610,6.384083,8.098653,10.559210,13.788750"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.182404,3.160158,3.355642,4.193989,5.801299,8.226718,11.567150"); - } - - } - - internal_power () { - - when : "CK & D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.974845,4.991135,5.413067,6.433216,8.148026,10.610040,13.840530"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.241357,3.203915,3.346438,4.147004,5.742911,8.160729,11.506450"); - } - - } - - internal_power () { - - when : "CK & D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.803971,2.814548,3.142204,3.984168,5.493224,7.754756,10.799510"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.066823,0.043936,0.254151,1.060682,2.585798,4.854315,7.909717"); - } - - } - - internal_power () { - - when : "CK & D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.803496,2.814448,3.141643,3.983825,5.492920,7.754506,10.799190"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.039290,0.016204,0.227484,1.032324,2.557911,4.823727,7.880313"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.928075; - fall_capacitance : 0.904735; - rise_capacitance : 0.928075; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SE"; - sdf_cond : "SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.015436,-0.009955,-0.012758", \ - "-0.014276,-0.007987,-0.010951", \ - "0.098984,0.105288,0.101918"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.010466,-0.007337,-0.013391", \ - "-0.009068,-0.006746,-0.015010", \ - "0.055582,0.056785,0.043084"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SE"; - sdf_cond : "SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.050626,0.049547,0.063917", \ - "0.060374,0.059252,0.073435", \ - "0.091261,0.090068,0.103554"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.043175,0.036717,0.040276", \ - "0.047774,0.041493,0.044547", \ - "0.047849,0.041553,0.044957"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723184,0.699457,0.685114,0.682288,0.679292,0.679905,0.678367"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613667,-0.619494,-0.619466,-0.620826,-0.621676,-0.621900,-0.622155"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723514,0.699691,0.685381,0.680816,0.679626,0.677379,0.678727"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612831,-0.618787,-0.618679,-0.619971,-0.620829,-0.621129,-0.621414"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.313534,9.294729,9.290271,9.564537,10.314270,11.580130,13.381630"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.326628,6.287903,6.291532,6.538163,7.242544,8.480370,10.271140"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.277595,9.258215,9.254014,9.526800,10.276750,11.542130,13.343550"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.346129,6.306885,6.311675,6.557514,7.262107,8.500093,10.295060"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634288,0.645439,0.644846,0.644596,0.645469,0.643974,0.645493"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393860,-0.470258,-0.518360,-0.537789,-0.547721,-0.553682,-0.556918"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633964,0.645001,0.644526,0.646052,0.645118,0.646679,0.645105"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394779,-0.471581,-0.519473,-0.538898,-0.548829,-0.554529,-0.557970"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.311781,9.292146,9.288520,9.562445,10.312100,11.577560,13.378810"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.349014,6.310177,6.314374,6.560429,7.264860,8.502473,10.292490"); - } - - } - - internal_power () { - - when : "!CK & D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.270251,9.252253,9.248088,9.520706,10.270350,11.535480,13.337470"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.399851,6.361138,6.365166,6.611069,7.313491,8.553897,10.347230"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.723350,0.699399,0.685300,0.682350,0.679412,0.679830,0.678424"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613359,-0.618964,-0.619241,-0.622192,-0.621456,-0.624411,-0.621934"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.721708,0.699405,0.685061,0.682340,0.679318,0.680245,0.678432"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.613736,-0.619727,-0.619565,-0.620867,-0.623008,-0.625213,-0.622307"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.279226,4.260390,4.257914,4.511491,5.205067,6.383208,8.051791"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.433448,1.394602,1.400055,1.637023,2.302043,3.448295,5.095396"); - } - - } - - internal_power () { - - when : "CK & !D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.171567,4.154701,4.151681,4.406579,5.103123,6.281359,7.947871"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.411799,1.372646,1.377664,1.610533,2.274274,3.421585,5.067477"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634055,0.644937,0.644645,0.646061,0.645170,0.646580,0.645109"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394645,-0.470734,-0.519248,-0.538673,-0.548597,-0.554553,-0.557786"); - } - - } - - internal_power () { - - when : "CK & D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.633972,0.644990,0.644538,0.646032,0.645106,0.646630,0.645080"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394678,-0.471444,-0.519401,-0.540521,-0.548742,-0.557364,-0.557883"); - } - - } - - internal_power () { - - when : "CK & D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.277529,4.258597,4.256109,4.509493,5.202904,6.380677,8.048975"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.455656,1.416886,1.422147,1.659345,2.324263,3.470270,5.117465"); - } - - } - - internal_power () { - - when : "CK & D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.170989,4.153714,4.150777,4.405114,5.103201,6.282601,7.947512"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.464781,1.426210,1.431674,1.667198,2.330628,3.475910,5.121820"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.974716; - fall_capacitance : 0.894359; - rise_capacitance : 0.974716; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.031760,0.040543,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.030539,0.033183,0.146386"); - } - } - - internal_power () { - - when : "!D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.644847,4.633316,4.896110,5.732747,7.250299,9.626439,12.958200"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.620437,3.599823,3.804879,4.569384,6.142264,8.611929,12.007450"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.254873,9.231151,9.514192,10.409450,12.032300,14.568730,18.120910"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.644885,4.633449,4.896142,5.732833,7.250361,9.626581,12.958290"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.620537,3.599843,3.805008,4.569526,6.142402,8.612073,12.007590"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.261376,9.238023,9.520887,10.416210,12.039770,14.578670,18.127380"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.644683,4.633094,4.895930,5.732549,7.250238,9.626385,12.958170"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.620486,3.599935,3.804919,4.569437,6.142209,8.611927,12.007460"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.253038,9.229363,9.511798,10.402800,12.028630,14.567060,18.115960"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.338742,9.317549,9.586634,10.420700,11.937880,14.308890,17.635580"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.838777,4.826774,5.098388,5.931529,7.454654,9.841400,13.175990"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.405525,3.384370,3.591590,4.365769,5.953739,8.420075,11.810690"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.338944,9.317642,9.586981,10.421110,11.939430,14.312950,17.635890"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.838834,4.827011,5.098424,5.931674,7.454757,9.841646,13.176150"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.405760,3.384396,3.591855,4.366045,5.954014,8.420349,11.810960"); - } - - } - - internal_power () { - - when : "D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.340697,9.319459,9.588692,10.422840,11.941170,14.313980,17.637650"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.838793,4.826886,5.098396,5.931595,7.454704,9.841534,13.176080"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.405652,3.384381,3.591715,4.365910,5.953878,8.420212,11.810830"); - } - - } - - internal_power () { - - when : "D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.644738,4.633263,4.895966,5.732666,7.250323,9.626576,12.958280"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.620626,3.599966,3.805107,4.569620,6.142394,8.612112,12.007640"); - } - - } - - internal_power () { - - when : "D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.245695,9.222118,9.504348,10.398400,12.024100,14.559270,18.109590"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.276065,9.252563,9.524592,10.359850,11.866710,14.239280,17.575740"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.838729,4.826607,5.098347,5.931421,7.454578,9.841219,13.175880"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.405389,3.384346,3.591406,4.365584,5.953556,8.419892,11.810500"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.032859,0.036073,0.039359,0.044935,0.054419,0.070730,0.100840", \ - "0.033614,0.036826,0.040110,0.045687,0.055172,0.071482,0.101591", \ - "0.036144,0.039356,0.042638,0.048216,0.057703,0.074013,0.104126", \ - "0.038474,0.041684,0.044968,0.050541,0.060026,0.076340,0.106446", \ - "0.039942,0.043150,0.046423,0.051987,0.061464,0.077773,0.107889", \ - "0.040146,0.043356,0.046631,0.052195,0.061678,0.077999,0.108101", \ - "0.038714,0.041949,0.045239,0.050811,0.060297,0.076627,0.106735"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.035794,0.038835,0.042150,0.048097,0.058991,0.079741,0.120828", \ - "0.036556,0.039597,0.042907,0.048857,0.059751,0.080496,0.121581", \ - "0.039302,0.042345,0.045659,0.051606,0.062498,0.083251,0.124340", \ - "0.041860,0.044902,0.048216,0.054163,0.065056,0.085803,0.126890", \ - "0.043387,0.046425,0.049737,0.055684,0.066578,0.087325,0.128411", \ - "0.043401,0.046435,0.049744,0.055689,0.066579,0.087326,0.128402", \ - "0.041657,0.044690,0.047999,0.053941,0.064829,0.085573,0.126660"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005910,0.007336,0.008918,0.011939,0.017694,0.029065,0.053391", \ - "0.005909,0.007336,0.008916,0.011939,0.017695,0.029068,0.053396", \ - "0.005916,0.007339,0.008918,0.011943,0.017696,0.029069,0.053394", \ - "0.005926,0.007356,0.008940,0.011962,0.017710,0.029077,0.053395", \ - "0.005999,0.007426,0.009003,0.012016,0.017752,0.029094,0.053409", \ - "0.006195,0.007618,0.009187,0.012171,0.017871,0.029169,0.053413", \ - "0.006618,0.008004,0.009522,0.012439,0.018068,0.029294,0.053495"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.004233,0.006160,0.008471,0.012940,0.021910,0.040459,0.078646", \ - "0.004232,0.006158,0.008472,0.012942,0.021909,0.040459,0.078644", \ - "0.004233,0.006160,0.008470,0.012940,0.021909,0.040459,0.078644", \ - "0.004233,0.006159,0.008473,0.012943,0.021912,0.040458,0.078642", \ - "0.004231,0.006158,0.008473,0.012943,0.021905,0.040455,0.078653", \ - "0.004225,0.006155,0.008469,0.012944,0.021911,0.040465,0.078637", \ - "0.004224,0.006153,0.008468,0.012942,0.021914,0.040468,0.078651"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.850487,6.673005,6.507254,6.361817,6.276341,6.199328,6.173873", \ - "6.848445,6.659878,6.475686,6.362894,6.271790,6.197644,6.160045", \ - "6.945039,6.763022,6.575120,6.447034,6.353841,6.256842,6.106229", \ - "7.357432,7.179114,7.010658,6.853757,6.775201,6.703885,6.666154", \ - "8.225736,8.034738,7.849145,7.695215,7.609879,7.537998,7.441402", \ - "9.587363,9.403071,9.233628,9.075147,8.950707,8.790055,8.700972", \ - "11.206840,11.047430,10.912180,10.824840,10.794100,10.716350,10.635520"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.163206,6.120558,6.122188,6.116512,6.127596,6.142346,6.163890", \ - "6.153079,6.112772,6.111497,6.108090,6.128373,6.132782,6.133110", \ - "6.243103,6.213701,6.213992,6.210158,6.241724,6.206725,6.109616", \ - "6.648529,6.596185,6.607270,6.592094,6.635108,6.628722,6.630383", \ - "7.458061,7.413609,7.403749,7.397413,7.430391,7.436028,7.420375", \ - "8.660423,8.610630,8.646006,8.656850,8.675525,8.678045,8.613349", \ - "10.013860,10.022360,10.074750,10.151840,10.326570,10.399690,10.366170"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.424800; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.048803,0.050751,0.052964,0.057063,0.064668,0.079415,0.108899", \ - "0.049565,0.051511,0.053722,0.057824,0.065430,0.080169,0.109655", \ - "0.052309,0.054261,0.056474,0.060572,0.068176,0.082923,0.112412", \ - "0.054873,0.056818,0.059029,0.063129,0.070734,0.085479,0.114965", \ - "0.056396,0.058342,0.060552,0.064652,0.072255,0.087001,0.116482", \ - "0.056405,0.058350,0.060559,0.064659,0.072262,0.087006,0.116487", \ - "0.054661,0.056603,0.058816,0.062914,0.070516,0.085257,0.114739"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.048577,0.050570,0.052820,0.057434,0.067173,0.087343,0.128153", \ - "0.049331,0.051323,0.053572,0.058186,0.067925,0.088095,0.128906", \ - "0.051863,0.053853,0.056102,0.060717,0.070455,0.090624,0.131437", \ - "0.054200,0.056190,0.058438,0.063051,0.072789,0.092957,0.133763", \ - "0.055679,0.057668,0.059913,0.064520,0.074244,0.094403,0.135210", \ - "0.055967,0.057947,0.060184,0.064775,0.074481,0.094612,0.135402", \ - "0.054720,0.056683,0.058903,0.063460,0.073117,0.093215,0.133989"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.003839,0.004961,0.006287,0.008995,0.014754,0.027136,0.052664", \ - "0.003837,0.004957,0.006287,0.008992,0.014754,0.027136,0.052651", \ - "0.003839,0.004962,0.006292,0.008994,0.014754,0.027134,0.052666", \ - "0.003835,0.004960,0.006291,0.008994,0.014753,0.027131,0.052660", \ - "0.003838,0.004962,0.006287,0.008998,0.014749,0.027137,0.052654", \ - "0.003835,0.004961,0.006288,0.008994,0.014752,0.027136,0.052649", \ - "0.003840,0.004958,0.006290,0.008992,0.014754,0.027131,0.052655"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.004068,0.005718,0.007633,0.011847,0.021140,0.040244,0.078557", \ - "0.004071,0.005719,0.007636,0.011847,0.021139,0.040239,0.078558", \ - "0.004073,0.005716,0.007634,0.011849,0.021138,0.040237,0.078559", \ - "0.004073,0.005719,0.007637,0.011850,0.021138,0.040239,0.078555", \ - "0.004085,0.005728,0.007642,0.011852,0.021141,0.040243,0.078561", \ - "0.004109,0.005754,0.007665,0.011863,0.021141,0.040241,0.078559", \ - "0.004151,0.005799,0.007700,0.011880,0.021146,0.040243,0.078563"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("6.163198,6.123912,6.121281,6.120095,6.118384,6.151311,6.044365", \ - "6.152955,6.093252,6.110474,6.110146,6.101156,6.141743,6.141103", \ - "6.242273,6.205872,6.208838,6.207238,6.251050,6.226717,6.236566", \ - "6.648437,6.596505,6.608575,6.594005,6.639801,6.638782,6.632505", \ - "7.457347,7.408588,7.406616,7.404217,7.425655,7.450019,7.423898", \ - "8.660092,8.604636,8.647286,8.646208,8.674557,8.688859,8.625717", \ - "10.015370,9.998536,10.068330,10.155150,10.328850,10.406190,10.386820"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("6.850491,6.674725,6.510262,6.373975,6.286574,6.219048,6.061521", \ - "6.848456,6.660743,6.485600,6.350474,6.281943,6.189416,6.060171", \ - "6.945046,6.762999,6.578321,6.461858,6.364875,6.262142,6.138672", \ - "7.357349,7.180820,6.994780,6.859591,6.775873,6.713538,6.670657", \ - "8.225736,8.036066,7.856555,7.700641,7.605343,7.537278,7.481096", \ - "9.587342,9.404833,9.236756,9.072821,8.958217,8.840748,8.752626", \ - "11.206740,11.054970,10.922840,10.832670,10.805680,10.723430,10.654140"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFF_X2 - Cell Description : Pos.edge D-Flip-Flop with active high scan, and drive strength X2 - *******************************************************************************************/ - - cell (SDFF_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - } - - area : 6.384000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 480.580258; - - leakage_power () { - when : "!CK & !D & !SE & !SI & !Q & QN"; - value : 443.808750; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & Q & !QN"; - value : 435.773750; - } - leakage_power () { - when : "!CK & !D & !SE & SI & !Q & QN"; - value : 477.476250; - } - leakage_power () { - when : "!CK & !D & !SE & SI & Q & !QN"; - value : 469.441250; - } - leakage_power () { - when : "!CK & !D & SE & !SI & !Q & QN"; - value : 457.954000; - } - leakage_power () { - when : "!CK & !D & SE & !SI & Q & !QN"; - value : 449.919000; - } - leakage_power () { - when : "!CK & !D & SE & SI & !Q & QN"; - value : 512.497125; - } - leakage_power () { - when : "!CK & !D & SE & SI & Q & !QN"; - value : 476.708375; - } - leakage_power () { - when : "!CK & D & !SE & !SI & !Q & QN"; - value : 507.314000; - } - leakage_power () { - when : "!CK & D & !SE & !SI & Q & !QN"; - value : 471.525250; - } - leakage_power () { - when : "!CK & D & !SE & SI & !Q & QN"; - value : 513.501875; - } - leakage_power () { - when : "!CK & D & !SE & SI & Q & !QN"; - value : 477.714376; - } - leakage_power () { - when : "!CK & D & SE & !SI & !Q & QN"; - value : 502.570625; - } - leakage_power () { - when : "!CK & D & SE & !SI & Q & !QN"; - value : 494.535626; - } - leakage_power () { - when : "!CK & D & SE & SI & !Q & QN"; - value : 520.463500; - } - leakage_power () { - when : "!CK & D & SE & SI & Q & !QN"; - value : 484.674750; - } - leakage_power () { - when : "CK & !D & !SE & !SI & !Q & QN"; - value : 428.681000; - } - leakage_power () { - when : "CK & !D & !SE & !SI & Q & !QN"; - value : 460.647125; - } - leakage_power () { - when : "CK & !D & !SE & SI & !Q & QN"; - value : 462.346000; - } - leakage_power () { - when : "CK & !D & !SE & SI & Q & !QN"; - value : 494.312125; - } - leakage_power () { - when : "CK & !D & SE & !SI & !Q & QN"; - value : 442.825000; - } - leakage_power () { - when : "CK & !D & SE & !SI & Q & !QN"; - value : 474.791125; - } - leakage_power () { - when : "CK & !D & SE & SI & !Q & QN"; - value : 485.851875; - } - leakage_power () { - when : "CK & !D & SE & SI & Q & !QN"; - value : 490.311750; - } - leakage_power () { - when : "CK & D & !SE & !SI & !Q & QN"; - value : 480.670000; - } - leakage_power () { - when : "CK & D & !SE & !SI & Q & !QN"; - value : 485.129875; - } - leakage_power () { - when : "CK & D & !SE & SI & !Q & QN"; - value : 486.860375; - } - leakage_power () { - when : "CK & D & !SE & SI & Q & !QN"; - value : 491.320250; - } - leakage_power () { - when : "CK & D & SE & !SI & !Q & QN"; - value : 487.437875; - } - leakage_power () { - when : "CK & D & SE & !SI & Q & !QN"; - value : 519.402750; - } - leakage_power () { - when : "CK & D & SE & SI & !Q & QN"; - value : 493.820750; - } - leakage_power () { - when : "CK & D & SE & SI & Q & !QN"; - value : 498.281875; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.145731; - fall_capacitance : 1.100409; - rise_capacitance : 1.145731; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "!SE"; - sdf_cond : "NEG_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.013814,-0.008253,-0.010565", \ - "-0.011725,-0.005141,-0.007596", \ - "0.102921,0.109401,0.107168"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.010084,-0.006722,-0.012263", \ - "-0.008804,-0.006683,-0.014020", \ - "0.061885,0.062781,0.049545"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "!SE"; - sdf_cond : "NEG_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.046210,0.045343,0.059322", \ - "0.055671,0.054961,0.069063", \ - "0.084965,0.084079,0.097097"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.041531,0.034821,0.037854", \ - "0.045231,0.038655,0.041201", \ - "0.043920,0.037447,0.039716"); - } - } - - internal_power () { - - when : "!CK & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.306015,9.275457,9.274822,9.671070,10.696560,12.408100,14.794390"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.955726,6.899688,6.908569,7.268035,8.246280,9.910279,12.278290"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.261034,9.230660,9.230105,9.624121,10.657330,12.358720,14.755070"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.974599,6.918848,6.926719,7.287342,8.266406,9.932100,12.301020"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.303866,9.269345,9.273516,9.669701,10.694990,12.406280,14.792360"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.977240,6.921205,6.930165,7.289755,8.267808,9.931829,12.300310"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.256784,9.226277,9.225869,9.618196,10.652500,12.354020,14.750050"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.974962,6.919295,6.927160,7.287689,8.266728,9.932356,12.301210"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960495,0.927115,0.907361,0.903445,0.899343,0.900836,0.898898"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803112,-0.810692,-0.813379,-0.816428,-0.818669,-0.820198,-0.821197"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960983,0.927027,0.907649,0.903524,0.899613,0.901054,0.899193"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.802471,-0.809956,-0.812712,-0.815773,-0.818085,-0.819690,-0.820556"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864518,0.878563,0.877405,0.878398,0.877720,0.879688,0.877279"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.529410,-0.633088,-0.698740,-0.727019,-0.738361,-0.750981,-0.754506"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.866097,0.877925,0.877077,0.878511,0.877316,0.879077,0.876810"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530423,-0.634009,-0.699108,-0.725766,-0.739598,-0.747894,-0.753133"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.402540,4.372398,4.373919,4.753246,5.739197,7.367764,9.631644"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.039637,1.984331,1.993779,2.347497,3.295390,4.877371,7.120670"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.292940,4.265469,4.266747,4.648209,5.638131,7.269069,9.535701"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.020519,1.965580,1.975176,2.329021,3.269155,4.851597,7.095314"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.401306,4.371077,4.372714,4.751568,5.737660,7.365962,9.629681"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.061140,2.005901,2.015463,2.369041,3.316947,4.898957,7.142094"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.290766,4.261059,4.262581,4.643801,5.633645,7.264291,9.530226"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.018549,1.963578,1.973146,2.327076,3.268816,4.853999,7.093370"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.960377,0.926767,0.907258,0.903219,0.899178,0.900470,0.898670"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803479,-0.810833,-0.813836,-0.819256,-0.819132,-0.824737,-0.821658"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.957696,0.926690,0.907320,0.903407,0.897522,0.896386,0.896248"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.803141,-0.810618,-0.814095,-0.823220,-0.823366,-0.824747,-0.825799"); - } - - } - - internal_power () { - - when : "CK & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.864293,0.877951,0.877207,0.878598,0.877507,0.879126,0.876906"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530107,-0.633475,-0.698852,-0.725345,-0.740965,-0.751391,-0.753176"); - } - - } - - internal_power () { - - when : "CK & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.866116,0.877897,0.877086,0.878491,0.877306,0.879029,0.876786"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.530331,-0.633888,-0.699054,-0.727944,-0.739538,-0.751652,-0.753069"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.855886; - fall_capacitance : 1.786821; - rise_capacitance : 1.855886; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.012213,-0.009090,-0.014299", \ - "-0.018915,-0.017428,-0.025180", \ - "0.091394,0.094806,0.081141"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.010403,-0.004866,-0.010155", \ - "-0.012335,-0.006169,-0.014206", \ - "0.066496,0.073141,0.060161"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.045655,0.040234,0.054249", \ - "0.056931,0.050722,0.064489", \ - "0.080353,0.073716,0.086480"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.052259,0.051654,0.065176", \ - "0.055354,0.054635,0.068647", \ - "0.055449,0.052046,0.065497"); - } - } - - internal_power () { - - when : "!CK & !D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.999753,3.007839,3.324837,4.155785,5.657679,7.915984,10.959500"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.102087,-0.129939,0.090825,0.910954,2.440326,4.705000,7.755693"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.997258,3.007896,3.325133,4.154030,5.657960,7.912043,10.959760"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.088366,-0.116569,0.104764,0.924939,2.454426,4.718715,7.769462"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.260780,10.250390,10.745800,12.201960,14.890520,19.007090,24.642630"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.167343,6.129235,6.450770,7.788336,10.460640,14.586400,20.233470"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("10.218370,10.207650,10.697000,12.151960,14.848150,18.962140,24.596980"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.130128,6.091714,6.414888,7.755931,10.437180,14.574670,20.235930"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.813909,9.831226,10.253120,11.271340,12.989530,15.455850,18.701240"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.184720,8.161483,8.361098,9.194992,10.806030,13.228650,16.579420"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.907429,9.924790,10.347260,11.368280,13.084900,15.557610,18.800560"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("8.358457,8.320410,8.467067,9.261158,10.857230,13.282020,16.634600"); - } - - } - - internal_power () { - - when : "!CK & D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.786762,2.798471,3.126065,3.967493,5.480706,7.739598,10.789040"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.068941,0.044530,0.257317,1.066453,2.592990,4.862646,7.918587"); - } - - } - - internal_power () { - - when : "!CK & D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.786100,2.797734,3.125185,3.968845,5.479992,7.742906,10.788270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.040047,0.016183,0.229839,1.038456,2.563854,4.833079,7.888026"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.997146,3.007821,3.325197,4.155965,5.657818,7.915945,10.959530"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.101614,-0.129529,0.090916,0.908794,2.440896,4.701296,7.755892"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.994829,3.007660,3.325010,4.155998,5.657640,7.916042,10.959440"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.089009,-0.117472,0.103869,0.924057,2.451802,4.713670,7.768407"); - } - - } - - internal_power () { - - when : "CK & !D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.373132,5.364810,5.858127,7.285202,9.912013,13.903920,19.325670"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.245708,1.208219,1.531043,2.843068,5.435875,9.401357,14.813030"); - } - - } - - internal_power () { - - when : "CK & !D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("5.259629,5.250094,5.744290,7.176720,9.809171,13.805000,19.230170"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.165855,1.127693,1.452000,2.769153,5.372302,9.352879,14.771750"); - } - - } - - internal_power () { - - when : "CK & D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.904486,4.921394,5.342790,6.363515,8.079750,10.542320,13.771770"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.248150,3.224267,3.423989,4.264637,5.874173,8.299954,11.637470"); - } - - } - - internal_power () { - - when : "CK & D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.952383,4.969423,5.391236,6.412901,8.129456,10.592790,13.822920"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.308584,3.270605,3.416616,4.218724,5.815586,8.232419,11.577530"); - } - - } - - internal_power () { - - when : "CK & D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.786342,2.797874,3.125960,3.969481,5.479997,7.743216,10.788150"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.067973,0.044052,0.256395,1.065241,2.592145,4.862280,7.917633"); - } - - } - - internal_power () { - - when : "CK & D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.786174,2.797442,3.125269,3.968908,5.479633,7.742862,10.787720"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.040558,0.016313,0.229855,1.036547,2.563974,4.829574,7.888150"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.912025; - fall_capacitance : 0.884461; - rise_capacitance : 0.912025; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SE"; - sdf_cond : "SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.015695,-0.010004,-0.012486", \ - "-0.014571,-0.007749,-0.010506", \ - "0.098310,0.105163,0.102619"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("-0.010639,-0.007367,-0.013218", \ - "-0.008937,-0.006838,-0.014709", \ - "0.055890,0.057130,0.043984"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SE"; - sdf_cond : "SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.050335,0.049550,0.063225", \ - "0.060098,0.059200,0.072806", \ - "0.090961,0.089732,0.102659"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.043455,0.036924,0.039806", \ - "0.048077,0.041263,0.044111", \ - "0.048531,0.041686,0.044266"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.724006,0.700583,0.685377,0.683188,0.679820,0.681485,0.679153"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612673,-0.619427,-0.618034,-0.619392,-0.620262,-0.620687,-0.620745"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.724301,0.700829,0.685794,0.680918,0.680092,0.677373,0.679406"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.611776,-0.618745,-0.617406,-0.618683,-0.619565,-0.619826,-0.620123"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.285289,9.267282,9.261660,9.538284,10.284990,11.543930,13.344380"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.353125,6.313323,6.319379,6.564742,7.265087,8.501207,10.290250"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.248415,9.229511,9.223885,9.495194,10.241780,11.506320,13.306560"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.371336,6.331753,6.337294,6.581531,7.283813,8.521397,10.312210"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.635194,0.646685,0.645069,0.644570,0.646074,0.643966,0.646270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.392999,-0.470286,-0.516882,-0.536330,-0.546318,-0.552225,-0.555449"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634842,0.646118,0.644735,0.646740,0.645653,0.647894,0.645798"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.394083,-0.471472,-0.518266,-0.537669,-0.547543,-0.553447,-0.556780"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.283961,9.265416,9.259816,9.530297,10.282780,11.541380,13.341700"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.376006,6.335668,6.341594,6.587012,7.287350,8.523437,10.313320"); - } - - } - - internal_power () { - - when : "!CK & D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.239785,9.223535,9.217948,9.489073,10.235640,11.500290,13.299760"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.424605,6.385122,6.390486,6.635204,7.338655,8.574281,10.366610"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.724167,0.700514,0.685557,0.683244,0.679937,0.681402,0.679207"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612356,-0.618879,-0.617801,-0.621545,-0.620036,-0.624558,-0.620518"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.721908,0.700542,0.685474,0.683150,0.679785,0.681454,0.679111"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.612680,-0.619684,-0.618302,-0.619580,-0.622235,-0.625127,-0.621018"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.348303,4.330342,4.326376,4.577279,5.268662,6.444605,8.103619"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.433605,1.394356,1.400915,1.635203,2.297955,3.438876,5.084965"); - } - - } - - internal_power () { - - when : "CK & !D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.241011,4.224709,4.221098,4.473340,5.166095,6.341760,8.005432"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.411937,1.373160,1.378678,1.608766,2.268536,3.413332,5.055994"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634983,0.646162,0.644866,0.646831,0.645774,0.647943,0.645884"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393736,-0.470768,-0.517782,-0.537227,-0.547208,-0.553110,-0.556332"); - } - - } - - internal_power () { - - when : "CK & D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.634870,0.646097,0.644744,0.646719,0.645641,0.647844,0.645770"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.393993,-0.471347,-0.518205,-0.540010,-0.547467,-0.557509,-0.556704"); - } - - } - - internal_power () { - - when : "CK & D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.347070,4.328511,4.324825,4.575537,5.266770,6.440766,8.101186"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.456642,1.417269,1.423765,1.658228,2.320421,3.461878,5.107751"); - } - - } - - internal_power () { - - when : "CK & D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.240376,4.223869,4.220224,4.471343,5.166437,6.344155,8.005968"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.465562,1.426932,1.432071,1.665979,2.327120,3.467655,5.111731"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.999357; - fall_capacitance : 0.921124; - rise_capacitance : 0.999357; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.032676,0.041157,0.146386"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.044579,0.043917,0.146386"); - } - } - - internal_power () { - - when : "!D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.755815,4.743961,5.000686,5.839859,7.339429,9.704925,13.025870"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.722996,3.702996,3.905735,4.660036,6.224965,8.684145,12.071800"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.267386,9.243322,9.520335,10.411460,12.024100,14.549330,18.094390"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.755852,4.744091,5.000718,5.839945,7.339491,9.705067,13.025960"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.723103,3.703025,3.905877,4.660171,6.225104,8.684281,12.071950"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.275106,9.249651,9.526406,10.417690,12.032960,14.559800,18.101370"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.755625,4.743727,5.000413,5.839650,7.339372,9.704870,13.025830"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.723070,3.703117,3.905792,4.660089,6.224931,8.684143,12.071810"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.265545,9.241523,9.517658,10.402840,12.019610,14.547620,18.088270"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.483647,9.460268,9.718891,10.547710,12.047430,14.411610,17.734000"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.950790,4.939369,5.204921,6.033396,7.546299,9.921798,13.245990"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.505304,3.484159,3.687137,4.453261,6.030456,8.487865,11.872300"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.483850,9.458082,9.719191,10.548110,12.049170,14.416280,17.734310"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.950853,4.939611,5.204963,6.033545,7.546406,9.921912,13.246140"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.505622,3.484170,3.687393,4.453545,6.030741,8.488608,11.872570"); - } - - } - - internal_power () { - - when : "D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.484696,9.459947,9.720945,10.550150,12.051270,14.418070,17.736090"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.950816,4.939490,5.204938,6.033466,7.546353,9.921783,13.246060"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.505519,3.484154,3.687256,4.453410,6.030603,8.488476,11.872440"); - } - - } - - internal_power () { - - when : "D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.755671,4.743884,5.000459,5.839767,7.339458,9.705062,13.025950"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.723218,3.703144,3.905982,4.660268,6.225113,8.684320,12.072000"); - } - - } - - internal_power () { - - when : "D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.258879,9.233702,9.509565,10.399300,12.016390,14.539190,18.082080"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("9.417375,9.393847,9.657091,10.486990,11.989880,14.349250,17.667000"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.950756,4.939217,5.204898,6.033304,7.546240,9.921616,13.245900"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.505169,3.484141,3.686956,4.453084,6.030278,8.487699,11.872120"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.045234,0.049340,0.053130,0.059561,0.070254,0.087935,0.118978", \ - "0.045989,0.050092,0.053885,0.060314,0.071008,0.088689,0.119731", \ - "0.048523,0.052628,0.056425,0.062854,0.073545,0.091226,0.122271", \ - "0.050858,0.054961,0.058750,0.065180,0.075874,0.093549,0.124595", \ - "0.052308,0.056414,0.060197,0.066622,0.077317,0.094995,0.126038", \ - "0.052676,0.056789,0.060580,0.067006,0.077700,0.095381,0.126410", \ - "0.051599,0.055722,0.059522,0.065964,0.076666,0.094364,0.125421"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.044200,0.047951,0.051555,0.058065,0.069684,0.090796,0.131720", \ - "0.044961,0.048715,0.052315,0.058826,0.070444,0.091555,0.132477", \ - "0.047730,0.051482,0.055086,0.061595,0.073214,0.094328,0.135254", \ - "0.050365,0.054118,0.057722,0.064232,0.075851,0.096964,0.137883", \ - "0.051975,0.055725,0.059330,0.065838,0.077453,0.098567,0.139489", \ - "0.052094,0.055839,0.059439,0.065946,0.077565,0.098677,0.139596", \ - "0.050472,0.054213,0.057811,0.064320,0.075938,0.097049,0.137979"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009235,0.010997,0.012722,0.015846,0.021423,0.032216,0.055252", \ - "0.009235,0.010997,0.012723,0.015847,0.021423,0.032215,0.055253", \ - "0.009246,0.011003,0.012727,0.015850,0.021426,0.032217,0.055265", \ - "0.009244,0.011007,0.012733,0.015856,0.021432,0.032219,0.055266", \ - "0.009292,0.011042,0.012768,0.015888,0.021458,0.032241,0.055264", \ - "0.009434,0.011186,0.012905,0.016010,0.021552,0.032297,0.055270", \ - "0.009671,0.011421,0.013139,0.016233,0.021745,0.032437,0.055354"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.005831,0.007895,0.010281,0.014926,0.023669,0.041423,0.078928", \ - "0.005830,0.007896,0.010279,0.014925,0.023668,0.041422,0.078937", \ - "0.005831,0.007895,0.010282,0.014926,0.023669,0.041428,0.078929", \ - "0.005828,0.007895,0.010281,0.014927,0.023670,0.041419,0.078937", \ - "0.005830,0.007895,0.010283,0.014923,0.023668,0.041424,0.078932", \ - "0.005821,0.007889,0.010277,0.014925,0.023672,0.041422,0.078936", \ - "0.005815,0.007881,0.010270,0.014922,0.023669,0.041428,0.078937"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("13.592900,13.081570,12.521150,11.762740,11.146960,10.724410,10.368740", \ - "13.579820,13.063110,12.474150,11.775560,11.118390,10.601470,10.417460", \ - "13.716020,13.168030,12.521600,11.850810,11.236440,10.816010,10.504630", \ - "14.102840,13.558310,13.013900,12.242840,11.607310,11.213800,10.889500", \ - "14.947380,14.405140,13.819540,13.097350,12.431020,12.008610,11.620300", \ - "16.381580,15.818410,15.208540,14.484640,13.775200,13.331040,12.913810", \ - "18.204790,17.661610,17.133200,16.302820,15.681490,15.229870,14.876380"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("10.607250,10.035020,9.813563,9.745167,9.690565,9.562203,9.511374", \ - "10.577600,10.030160,9.797137,9.751839,9.693407,9.612585,9.329364", \ - "10.695830,10.129320,9.887056,9.851023,9.809313,9.724139,9.581537", \ - "11.054230,10.495160,10.289930,10.234070,10.204420,10.036020,10.009400", \ - "11.862130,11.309270,11.058180,11.036670,10.947640,10.782690,10.772040", \ - "13.109990,12.553430,12.323910,12.275800,12.233930,12.121430,11.706620", \ - "14.546540,14.016800,13.826390,13.843240,13.932550,13.768730,13.706910"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.056643,0.058419,0.060393,0.064250,0.071650,0.086303,0.115818", \ - "0.057403,0.059183,0.061153,0.065011,0.072410,0.087061,0.116577", \ - "0.060173,0.061951,0.063922,0.067780,0.075181,0.089834,0.119349", \ - "0.062808,0.064586,0.066560,0.070417,0.077817,0.092469,0.121986", \ - "0.064419,0.066193,0.068167,0.072021,0.079417,0.094071,0.123585", \ - "0.064533,0.066305,0.068276,0.072133,0.079533,0.094182,0.123696", \ - "0.062906,0.064676,0.066648,0.070506,0.077908,0.092561,0.122078"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.062070,0.063843,0.065782,0.069960,0.079272,0.099174,0.139975", \ - "0.062825,0.064596,0.066535,0.070714,0.080027,0.099927,0.140737", \ - "0.065363,0.067134,0.069076,0.073253,0.082565,0.102462,0.143266", \ - "0.067697,0.069466,0.071401,0.075584,0.084894,0.104791,0.145596", \ - "0.069162,0.070931,0.072861,0.077037,0.086349,0.106242,0.147043", \ - "0.069601,0.071360,0.073293,0.077458,0.086753,0.106625,0.147407", \ - "0.068650,0.070389,0.072323,0.076475,0.085733,0.105575,0.146342"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.003842,0.005010,0.006218,0.008808,0.014555,0.027022,0.052639", \ - "0.003843,0.005013,0.006220,0.008810,0.014553,0.027022,0.052641", \ - "0.003843,0.005010,0.006217,0.008809,0.014553,0.027021,0.052638", \ - "0.003843,0.005013,0.006220,0.008810,0.014553,0.027022,0.052645", \ - "0.003843,0.005011,0.006217,0.008806,0.014553,0.027028,0.052638", \ - "0.003843,0.005013,0.006216,0.008809,0.014556,0.027029,0.052641", \ - "0.003841,0.005008,0.006218,0.008808,0.014553,0.027021,0.052656"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.004355,0.006238,0.008012,0.011961,0.021102,0.040208,0.078710", \ - "0.004353,0.006239,0.008011,0.011958,0.021102,0.040210,0.078708", \ - "0.004354,0.006240,0.008012,0.011961,0.021104,0.040209,0.078702", \ - "0.004354,0.006241,0.008013,0.011961,0.021105,0.040210,0.078701", \ - "0.004362,0.006244,0.008019,0.011962,0.021107,0.040208,0.078700", \ - "0.004387,0.006270,0.008042,0.011977,0.021107,0.040211,0.078710", \ - "0.004433,0.006314,0.008083,0.012002,0.021120,0.040212,0.078700"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("10.607240,10.035740,9.813096,9.754087,9.719747,9.582248,9.513303", \ - "10.577570,10.025140,9.806924,9.742733,9.711397,9.532728,9.438788", \ - "10.695850,10.099530,9.883798,9.847240,9.820644,9.644984,9.548125", \ - "11.054250,10.517940,10.287710,10.198270,10.194760,10.074660,9.823258", \ - "11.862650,11.305480,11.050150,11.025750,11.005390,10.857820,10.612930", \ - "13.110000,12.555940,12.329170,12.291780,12.188890,12.127040,12.021610", \ - "14.546540,14.016870,13.819610,13.871160,13.920460,13.713110,13.691000"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("13.593110,13.071500,12.525120,11.761830,11.132310,10.732830,10.455120", \ - "13.581540,13.061790,12.523220,11.748890,11.099200,10.730350,10.276340", \ - "13.721420,13.162700,12.608640,11.862700,11.213690,10.817620,10.531110", \ - "14.108280,13.566580,12.994270,12.248380,11.575470,11.203960,10.845200", \ - "14.949090,14.398390,13.801030,13.082480,12.409730,11.989330,11.693100", \ - "16.387060,15.814160,15.193120,14.491520,13.793140,13.340990,13.031480", \ - "18.206580,17.660660,17.074900,16.327270,15.672120,15.167300,14.795340"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X1 - Cell Description : Combinational tri-state cell (TBUF_X1) with drive strength X1 - *******************************************************************************************/ - - cell (TBUF_X1) { - - drive_strength : 1; - - area : 2.128000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 112.880188; - - leakage_power () { - when : "!A & !EN"; - value : 152.970000; - } - leakage_power () { - when : "!A & EN"; - value : 74.626625; - } - leakage_power () { - when : "A & !EN"; - value : 141.856250; - } - leakage_power () { - when : "A & EN"; - value : 82.067875; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.889182; - fall_capacitance : 1.842564; - rise_capacitance : 1.889182; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.764127; - fall_capacitance : 1.624430; - rise_capacitance : 1.764127; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.033935; - fall_capacitance : 1.033935; - rise_capacitance : 1.033095; - max_capacitance : 51.574700; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.399551357,2.645645357,4.257355357,7.480775357,13.92763536,26.82133536,52.60863536"); - values ("0.0158500,0.0184497,0.0211694,0.0257774,0.0338646,0.0489237,0.0784595", \ - "0.0166208,0.0192114,0.0219287,0.0265393,0.0346289,0.0496904,0.0792298", \ - "0.0192819,0.0218579,0.0245805,0.0292107,0.0373251,0.0524026,0.0819503", \ - "0.0237497,0.0265242,0.0294376,0.0343006,0.0426287,0.0577874,0.0873371", \ - "0.0304192,0.0335000,0.0367116,0.0419611,0.0506755,0.0661312,0.0957754", \ - "0.0386157,0.0421396,0.0457808,0.0516485,0.0611136,0.0772339,0.107154", \ - "0.0481399,0.0521778,0.0563214,0.0629341,0.0734095,0.0907249,0.121562"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.398711429,2.644805429,4.256515429,7.479935429,13.92679543,26.82049543,52.60779543"); - values ("0.0120865,0.0146979,0.0176548,0.0230815,0.0334523,0.0539444,0.0948442", \ - "0.0127068,0.0153055,0.0182531,0.0236737,0.0340435,0.0545411,0.0954397", \ - "0.0151894,0.0178217,0.0207627,0.0261546,0.0364939,0.0569774,0.0978818", \ - "0.0174237,0.0203743,0.0234641,0.0289564,0.0393742,0.0598353,0.100711", \ - "0.0185421,0.0221951,0.0258164,0.0316980,0.0422995,0.0628692,0.103763", \ - "0.0180917,0.0225646,0.0269779,0.0337574,0.0449271,0.0659689,0.107021", \ - "0.0159129,0.0212606,0.0265478,0.0346019,0.0468081,0.0685892,0.110420"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.399551357,2.645645357,4.257355357,7.480775357,13.92763536,26.82133536,52.60863536"); - values ("0.00312983,0.00444937,0.00601121,0.00898770,0.0148992,0.0271402,0.0524385", \ - "0.00313419,0.00445495,0.00601556,0.00899027,0.0149001,0.0271406,0.0524385", \ - "0.00327566,0.00456684,0.00610021,0.00904311,0.0149252,0.0271470,0.0524375", \ - "0.00380558,0.00513490,0.00665089,0.00951894,0.0152252,0.0272342,0.0524454", \ - "0.00452856,0.00591703,0.00745681,0.0102804,0.0158445,0.0276132,0.0525264", \ - "0.00552826,0.00701159,0.00864778,0.0115564,0.0170765,0.0284402,0.0528501", \ - "0.00673982,0.00833564,0.0100948,0.0131803,0.0188755,0.0301986,0.0538023"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.398711429,2.644805429,4.256515429,7.479935429,13.92679543,26.82049543,52.60779543"); - values ("0.00344913,0.00514056,0.00731787,0.0118006,0.0211244,0.0401649,0.0783656", \ - "0.00344261,0.00514113,0.00731907,0.0117991,0.0211260,0.0401620,0.0783610", \ - "0.00371382,0.00535425,0.00745989,0.0118646,0.0211381,0.0401634,0.0783659", \ - "0.00458896,0.00605307,0.00797912,0.0122169,0.0213483,0.0401922,0.0783657", \ - "0.00595966,0.00757271,0.00929323,0.0130595,0.0217985,0.0404654,0.0784277", \ - "0.00759333,0.00955093,0.0114017,0.0147800,0.0229389,0.0412030,0.0787009", \ - "0.00943458,0.0118040,0.0139658,0.0173797,0.0247184,0.0426993,0.0796559"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.062535,0.065901,0.076938,0.095800,0.123577,0.160938,0.208750"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.039176,0.042615,0.053861,0.071683,0.097104,0.131239,0.175081"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.399551357,2.645645357,4.257355357,7.480775357,13.92763536,26.82133536,52.60863536"); - values ("0.012957,0.015511,0.018209,0.022811,0.030896,0.045942,0.075460", \ - "0.013522,0.016074,0.018772,0.023373,0.031458,0.046504,0.076021", \ - "0.017364,0.019995,0.022732,0.027365,0.035468,0.050518,0.080031", \ - "0.023028,0.026048,0.029065,0.033982,0.042353,0.057497,0.087003", \ - "0.030444,0.033953,0.037374,0.042720,0.051396,0.066840,0.096500", \ - "0.039511,0.043652,0.047640,0.053733,0.063150,0.079081,0.108982", \ - "0.050180,0.055053,0.059703,0.066752,0.077312,0.094292,0.124844"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.398711429,2.644805429,4.256515429,7.479935429,13.92679543,26.82049543,52.60779543"); - values ("0.019211,0.021846,0.024781,0.030157,0.040460,0.060894,0.101757", \ - "0.020196,0.022831,0.025767,0.031142,0.041445,0.061880,0.102744", \ - "0.023904,0.026545,0.029484,0.034862,0.045164,0.065600,0.106462", \ - "0.028931,0.031600,0.034555,0.039941,0.050250,0.070683,0.111545", \ - "0.035308,0.038036,0.041022,0.046434,0.056749,0.077180,0.118037", \ - "0.042922,0.045765,0.048811,0.054265,0.064600,0.085037,0.125887", \ - "0.051720,0.054764,0.057920,0.063456,0.073823,0.094266,0.135118"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.399551357,2.645645357,4.257355357,7.480775357,13.92763536,26.82133536,52.60863536"); - values ("0.003355,0.004672,0.006210,0.009138,0.014984,0.027166,0.052440", \ - "0.003354,0.004671,0.006210,0.009138,0.014983,0.027166,0.052440", \ - "0.003608,0.004829,0.006319,0.009205,0.015017,0.027176,0.052440", \ - "0.004381,0.005589,0.006997,0.009774,0.015404,0.027291,0.052452", \ - "0.005376,0.006619,0.007987,0.010557,0.015955,0.027761,0.052584", \ - "0.006700,0.008032,0.009483,0.012025,0.017116,0.028396,0.052994", \ - "0.008335,0.009767,0.011342,0.014041,0.019038,0.029906,0.053711"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.398711429,2.644805429,4.256515429,7.479935429,13.92679543,26.82049543,52.60779543"); - values ("0.003606,0.005258,0.007402,0.011845,0.021136,0.040158,0.078370", \ - "0.003605,0.005257,0.007403,0.011843,0.021136,0.040165,0.078359", \ - "0.003624,0.005272,0.007413,0.011848,0.021136,0.040158,0.078359", \ - "0.003697,0.005324,0.007449,0.011869,0.021145,0.040167,0.078360", \ - "0.003849,0.005435,0.007532,0.011918,0.021159,0.040161,0.078369", \ - "0.004139,0.005646,0.007679,0.012003,0.021200,0.040174,0.078364", \ - "0.004592,0.006005,0.007945,0.012156,0.021261,0.040203,0.078376"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.399551357,2.645645357,4.257355357,7.480775357,13.92763536,26.82133536,52.60863536"); - values ("5.543749,5.910736,6.198598,6.420797,6.542537,6.589139,6.609355", \ - "5.474753,5.835910,6.116616,6.341780,6.466789,6.514749,6.536070", \ - "5.660843,6.027390,6.286395,6.515474,6.650179,6.710832,6.734681", \ - "6.327769,6.805407,7.174079,7.483016,7.647217,7.714653,7.748988", \ - "7.949408,8.430121,8.837924,9.284309,9.691724,9.817211,9.858409", \ - "10.405190,10.965760,11.472410,12.096340,12.675690,13.061640,13.180660", \ - "13.551230,14.199150,14.848630,15.707750,16.677680,17.379280,17.767210"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.398711429,2.644805429,4.256515429,7.479935429,13.92679543,26.82049543,52.60779543"); - values ("2.454476,2.611120,2.677292,2.753802,2.701283,2.840781,2.867454", \ - "2.410011,2.559471,2.625062,2.707901,2.637363,2.812254,2.339332", \ - "2.709120,2.812863,2.876753,2.948360,2.874754,2.966743,3.036242", \ - "3.891971,4.008388,3.986938,4.031693,3.973636,4.041038,4.092240", \ - "5.784777,6.102355,6.259995,6.299346,6.239020,6.257465,6.227389", \ - "8.210807,8.643038,9.135046,9.527548,9.666370,9.693016,9.461568", \ - "11.088660,11.787120,12.492560,13.256730,14.094310,14.250380,13.950410"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.399551357,2.645645357,4.257355357,7.480775357,13.92763536,26.82133536,52.60863536"); - values ("5.138163,5.459502,5.676328,5.880497,5.969505,5.997007,6.004926", \ - "5.122016,5.414833,5.641334,5.842040,5.938376,5.963578,5.971130", \ - "5.615885,5.928082,6.162037,6.369276,6.464335,6.495549,6.500272", \ - "6.647454,7.092258,7.443209,7.741135,7.862840,7.895075,7.901953", \ - "8.707193,9.183751,9.571318,9.995132,10.338480,10.425500,10.435380", \ - "11.681860,12.283040,12.786420,13.404540,13.890050,14.213150,14.282360", \ - "15.468190,16.224540,16.869710,17.711790,18.643390,19.234570,19.549580"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.398711429,2.644805429,4.256515429,7.479935429,13.92679543,26.82049543,52.60779543"); - values ("5.317119,5.427864,5.505403,5.533094,5.501505,5.546246,5.461015", \ - "5.316667,5.417941,5.484060,5.518043,5.430098,5.543945,5.566472", \ - "5.574974,5.708538,5.802038,5.757762,5.822323,5.649492,5.838364", \ - "6.328045,6.531359,6.592432,6.639393,6.559771,6.662189,6.676950", \ - "7.572427,7.827793,7.963216,8.120343,8.173584,8.116943,8.124704", \ - "9.338773,9.744490,9.989707,10.233100,10.365590,10.423710,10.550670", \ - "11.596800,12.112490,12.480350,13.039080,13.433120,13.783050,13.923670"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X2 - Cell Description : Combinational tri-state cell (TBUF_X2) with drive strength X2 - *******************************************************************************************/ - - cell (TBUF_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 201.276406; - - leakage_power () { - when : "!A & !EN"; - value : 289.022500; - } - leakage_power () { - when : "!A & EN"; - value : 116.862625; - } - leakage_power () { - when : "A & !EN"; - value : 267.398250; - } - leakage_power () { - when : "A & EN"; - value : 131.822250; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.332241; - fall_capacitance : 3.233903; - rise_capacitance : 3.332241; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.778111; - fall_capacitance : 2.572660; - rise_capacitance : 2.778111; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.602538; - fall_capacitance : 1.602538; - rise_capacitance : 1.600938; - max_capacitance : 103.607000; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.968154214,4.840258214,8.077978214,14.55343821,27.50423821,53.40603821,105.2095382"); - values ("0.0138121,0.0168889,0.0195684,0.0241034,0.0321169,0.0471835,0.0768785", \ - "0.0145863,0.0176466,0.0203238,0.0248604,0.0328776,0.0479469,0.0776424", \ - "0.0172083,0.0202556,0.0229429,0.0275050,0.0355484,0.0506329,0.0803348", \ - "0.0215184,0.0247665,0.0276541,0.0324625,0.0407355,0.0559055,0.0856063", \ - "0.0279003,0.0315471,0.0347584,0.0399855,0.0486629,0.0641249,0.0939241", \ - "0.0356591,0.0398691,0.0435362,0.0494149,0.0588923,0.0750755,0.105161", \ - "0.0446764,0.0495327,0.0537207,0.0603686,0.0708850,0.0883172,0.119385"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.966554286,4.838658286,8.076378286,14.55183829,27.50263829,53.40443829,105.2079383"); - values ("0.0109139,0.0141119,0.0171296,0.0226094,0.0330400,0.0536321,0.0947236", \ - "0.0115366,0.0147157,0.0177234,0.0231982,0.0336301,0.0542269,0.0953171", \ - "0.0139204,0.0171697,0.0201837,0.0256392,0.0360477,0.0566371,0.0977370", \ - "0.0158751,0.0196377,0.0228234,0.0283923,0.0388928,0.0594755,0.100550", \ - "0.0166290,0.0213332,0.0251198,0.0311191,0.0418263,0.0625320,0.103638", \ - "0.0158171,0.0215884,0.0262222,0.0331821,0.0444804,0.0656909,0.106975", \ - "0.0132852,0.0201868,0.0257490,0.0340401,0.0464163,0.0683931,0.110492"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.968154214,4.840258214,8.077978214,14.55343821,27.50423821,53.40603821,105.2095382"); - values ("0.00246210,0.00400921,0.00557746,0.00857782,0.0145696,0.0269861,0.0525097", \ - "0.00246534,0.00401521,0.00558175,0.00858029,0.0145703,0.0269862,0.0525107", \ - "0.00266882,0.00416391,0.00569044,0.00864598,0.0145995,0.0269932,0.0525099", \ - "0.00316048,0.00472732,0.00625126,0.00913478,0.0149195,0.0270804,0.0525177", \ - "0.00389702,0.00552454,0.00708559,0.00993005,0.0155445,0.0274565,0.0526028", \ - "0.00486782,0.00661518,0.00828434,0.0112372,0.0168369,0.0283323,0.0529337", \ - "0.00603975,0.00791267,0.00971969,0.0128649,0.0186647,0.0301643,0.0539417"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.966554286,4.838658286,8.076378286,14.55183829,27.50263829,53.40443829,105.2079383"); - values ("0.00283568,0.00481594,0.00700741,0.0115118,0.0208797,0.0400060,0.0783841", \ - "0.00282839,0.00481605,0.00700875,0.0115135,0.0208830,0.0400082,0.0783910", \ - "0.00314031,0.00505931,0.00717242,0.0115831,0.0208956,0.0400126,0.0783950", \ - "0.00406404,0.00580500,0.00770767,0.0119439,0.0211154,0.0400340,0.0783880", \ - "0.00535816,0.00736219,0.00907785,0.0128167,0.0215851,0.0403326,0.0784475", \ - "0.00688440,0.00933400,0.0112145,0.0145657,0.0227469,0.0410948,0.0787390", \ - "0.00860505,0.0115892,0.0137865,0.0171995,0.0245408,0.0426288,0.0797219"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.054730,0.058086,0.069693,0.089326,0.117944,0.156144,0.204717"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.031770,0.035237,0.046276,0.063770,0.088950,0.122898,0.166647"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.968154214,4.840258214,8.077978214,14.55343821,27.50423821,53.40603821,105.2095382"); - values ("0.010852,0.013860,0.016509,0.021029,0.029032,0.044080,0.073752", \ - "0.011454,0.014458,0.017107,0.021626,0.029630,0.044677,0.074352", \ - "0.015132,0.018326,0.021039,0.025604,0.033632,0.048684,0.078354", \ - "0.020410,0.024086,0.027082,0.031925,0.040234,0.055394,0.085051", \ - "0.027385,0.031724,0.035162,0.040459,0.049060,0.064494,0.094323", \ - "0.035904,0.041074,0.045118,0.051206,0.060576,0.076534,0.106595", \ - "0.045951,0.052090,0.056832,0.063909,0.074450,0.091477,0.122234"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.966554286,4.838658286,8.076378286,14.55183829,27.50263829,53.40443829,105.2079383"); - values ("0.020066,0.023322,0.026320,0.031748,0.042110,0.062643,0.103697", \ - "0.021026,0.024281,0.027279,0.032708,0.043069,0.063602,0.104659", \ - "0.025091,0.028353,0.031354,0.036784,0.047146,0.067680,0.108735", \ - "0.030901,0.034225,0.037249,0.042696,0.053065,0.073597,0.114647", \ - "0.038012,0.041450,0.044518,0.049997,0.060376,0.080905,0.121955", \ - "0.046379,0.050041,0.053195,0.058732,0.069137,0.089670,0.130712", \ - "0.055868,0.059874,0.063185,0.068839,0.079294,0.099835,0.140876"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.968154214,4.840258214,8.077978214,14.55343821,27.50423821,53.40603821,105.2095382"); - values ("0.002657,0.004208,0.005753,0.008708,0.014639,0.027005,0.052512", \ - "0.002655,0.004206,0.005752,0.008707,0.014639,0.027004,0.052513", \ - "0.003035,0.004444,0.005907,0.008798,0.014680,0.027015,0.052514", \ - "0.003743,0.005159,0.006554,0.009340,0.015090,0.027136,0.052521", \ - "0.004730,0.006203,0.007568,0.010134,0.015601,0.027603,0.052673", \ - "0.006041,0.007617,0.009081,0.011630,0.016795,0.028254,0.053083", \ - "0.007631,0.009330,0.010937,0.013657,0.018720,0.029803,0.053831"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.966554286,4.838658286,8.076378286,14.55183829,27.50263829,53.40443829,105.2079383"); - values ("0.003054,0.004972,0.007123,0.011573,0.020896,0.040013,0.078388", \ - "0.003054,0.004973,0.007124,0.011573,0.020898,0.040006,0.078381", \ - "0.003073,0.004984,0.007131,0.011578,0.020897,0.040004,0.078385", \ - "0.003195,0.005064,0.007188,0.011610,0.020910,0.040007,0.078384", \ - "0.003417,0.005210,0.007292,0.011669,0.020930,0.040009,0.078388", \ - "0.003801,0.005488,0.007485,0.011780,0.020977,0.040023,0.078381", \ - "0.004317,0.005936,0.007828,0.011980,0.021060,0.040057,0.078392"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.968154214,4.840258214,8.077978214,14.55343821,27.50423821,53.40603821,105.2095382"); - values ("9.802374,10.715670,11.196190,11.573970,11.779650,11.859930,11.887300", \ - "9.662793,10.562330,11.035130,11.419960,11.637170,11.713420,11.744770", \ - "10.115080,10.946840,11.406660,11.789750,12.030800,12.132280,12.177750", \ - "11.417160,12.512650,13.203410,13.846770,14.108770,14.227850,14.289290", \ - "14.763060,15.860050,16.679440,17.515160,18.273260,18.560490,18.637520", \ - "19.654070,20.931100,21.941390,23.222920,24.371290,25.154550,25.416590", \ - "25.981150,27.367410,28.681440,30.430560,32.462290,33.912780,34.727810"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.966554286,4.838658286,8.076378286,14.55183829,27.50263829,53.40443829,105.2079383"); - values ("4.396662,4.919555,5.133885,5.238954,5.424391,5.327013,5.343744", \ - "4.328868,4.817523,4.934318,5.143714,5.266325,5.336170,5.165370", \ - "4.882231,5.344407,5.510278,5.644828,5.651151,5.703496,5.536814", \ - "7.348129,7.794325,7.808406,7.888370,7.969479,7.872193,7.741308", \ - "10.920670,11.942530,12.275570,12.524490,12.428070,12.393780,12.443380", \ - "15.735470,17.096930,18.120370,18.993490,19.235170,19.053970,19.403120", \ - "21.476400,23.359700,24.787040,26.300240,28.094760,28.624370,28.783340"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.968154214,4.840258214,8.077978214,14.55343821,27.50423821,53.40603821,105.2095382"); - values ("7.138062,8.041731,8.472896,8.797245,8.946399,8.987530,8.995172", \ - "7.063241,7.926962,8.394357,8.733159,8.880099,8.916203,8.930068", \ - "7.696573,8.648621,9.115506,9.469309,9.622800,9.663317,9.669822", \ - "8.925854,10.072180,10.773850,11.369200,11.580550,11.622570,11.632420", \ - "11.757300,12.897990,13.669160,14.431290,14.975930,15.167140,15.182440", \ - "15.836780,17.121930,18.053950,19.153320,19.979700,20.506940,20.646110", \ - "21.131780,22.568840,23.696630,25.181110,26.644080,27.644570,28.152560"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.966554286,4.838658286,8.076378286,14.55183829,27.50263829,53.40443829,105.2079383"); - values ("8.038527,8.485738,8.594032,8.706733,8.735654,8.607636,8.589226", \ - "8.044354,8.474612,8.570546,8.646392,8.743164,8.587336,8.229935", \ - "8.251017,8.738569,8.899187,8.958050,8.985152,8.702950,8.879510", \ - "8.872868,9.501272,9.682890,9.752136,9.620746,9.567866,9.796628", \ - "10.066900,10.782520,11.042550,11.266400,11.231570,11.069980,11.031760", \ - "11.944290,12.826250,13.236800,13.527350,13.772570,13.988950,13.323550", \ - "14.429210,15.458110,16.059090,16.624230,16.893110,17.266710,17.552990"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X4 - Cell Description : Combinational tri-state cell (TBUF_X4) with drive strength X4 - *******************************************************************************************/ - - cell (TBUF_X4) { - - drive_strength : 4; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 249.094000; - - leakage_power () { - when : "!A & !EN"; - value : 404.676250; - } - leakage_power () { - when : "!A & EN"; - value : 101.868750; - } - leakage_power () { - when : "A & !EN"; - value : 352.720750; - } - leakage_power () { - when : "A & EN"; - value : 137.110250; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.444244; - fall_capacitance : 3.084478; - rise_capacitance : 3.444244; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.486552; - fall_capacitance : 2.346353; - rise_capacitance : 2.486552; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.155538; - fall_capacitance : 3.155538; - rise_capacitance : 3.150424; - max_capacitance : 206.909000; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("3.521154071,9.621448071,16.08733807,29.01913807,54.88283807,106.6105381,210.0645381"); - values ("0.0135956,0.0169911,0.0198741,0.0247249,0.0330998,0.0484246,0.0781809", \ - "0.0142541,0.0175837,0.0204455,0.0252841,0.0336536,0.0489764,0.0787328", \ - "0.0183006,0.0215668,0.0244121,0.0292517,0.0376347,0.0529677,0.0827261", \ - "0.0243990,0.0279607,0.0310112,0.0360988,0.0447098,0.0601252,0.0898852", \ - "0.0320449,0.0361027,0.0395095,0.0450045,0.0539469,0.0696831,0.0995744", \ - "0.0412761,0.0460351,0.0499752,0.0561972,0.0658636,0.0820634,0.112214", \ - "0.0520501,0.0576689,0.0622542,0.0694154,0.0802275,0.0974537,0.128199"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("3.516039571,9.616333571,16.08222357,29.01402357,54.87772357,106.6054236,210.0594236"); - values ("0.0123344,0.0162550,0.0195382,0.0252927,0.0359052,0.0565594,0.0976745", \ - "0.0129627,0.0168593,0.0201324,0.0258832,0.0364980,0.0571538,0.0982769", \ - "0.0155321,0.0194995,0.0227675,0.0285079,0.0391177,0.0597797,0.100908", \ - "0.0177720,0.0224431,0.0259543,0.0318427,0.0425488,0.0631892,0.104295", \ - "0.0187756,0.0245678,0.0287572,0.0351483,0.0460428,0.0667842,0.107893", \ - "0.0181178,0.0252216,0.0303212,0.0378205,0.0494028,0.0705378,0.111772", \ - "0.0155795,0.0241203,0.0302226,0.0391472,0.0520464,0.0739402,0.115826"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("3.521154071,9.621448071,16.08733807,29.01913807,54.88283807,106.6105381,210.0645381"); - values ("0.00291442,0.00468193,0.00631656,0.00935793,0.0152993,0.0275072,0.0528389", \ - "0.00291898,0.00467698,0.00631502,0.00935754,0.0152997,0.0275067,0.0528386", \ - "0.00305350,0.00477865,0.00640238,0.00941966,0.0153325,0.0275176,0.0528400", \ - "0.00391056,0.00554265,0.00710658,0.0100149,0.0157064,0.0276374,0.0528529", \ - "0.00487873,0.00656698,0.00812039,0.0108610,0.0163537,0.0281382,0.0529764", \ - "0.00614588,0.00798479,0.00963631,0.0123665,0.0175437,0.0288062,0.0534097", \ - "0.00772790,0.00974660,0.0115448,0.0144437,0.0195291,0.0303204,0.0541250"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("3.516039571,9.616333571,16.08222357,29.01402357,54.87772357,106.6054236,210.0594236"); - values ("0.00327662,0.00546692,0.00766654,0.0121058,0.0213173,0.0403358,0.0787332", \ - "0.00326571,0.00546665,0.00766887,0.0121060,0.0213190,0.0403413,0.0787240", \ - "0.00362284,0.00568313,0.00781087,0.0121807,0.0213389,0.0403425,0.0787213", \ - "0.00476374,0.00669019,0.00854203,0.0126434,0.0215732,0.0403679,0.0787223", \ - "0.00638616,0.00851763,0.0101851,0.0136685,0.0220681,0.0406480,0.0787786", \ - "0.00839851,0.0108537,0.0126693,0.0157975,0.0233563,0.0413234,0.0790416", \ - "0.0107720,0.0135760,0.0156273,0.0188584,0.0255383,0.0428331,0.0798985"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.057497,0.060866,0.072467,0.092096,0.120802,0.159174,0.207898"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.034478,0.037839,0.049772,0.069051,0.096442,0.133004,0.179852"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("3.521154071,9.621448071,16.08733807,29.01913807,54.88283807,106.6105381,210.0645381"); - values ("0.014839,0.018521,0.021458,0.026345,0.034744,0.050070,0.079811", \ - "0.015609,0.019291,0.022228,0.027115,0.035514,0.050841,0.080582", \ - "0.018213,0.021961,0.024919,0.029824,0.038233,0.053562,0.083302", \ - "0.022453,0.026626,0.029832,0.035009,0.043640,0.059048,0.088786", \ - "0.028880,0.033613,0.037161,0.042754,0.051803,0.067536,0.097362", \ - "0.036802,0.042286,0.046314,0.052554,0.062362,0.078759,0.108879", \ - "0.045997,0.052348,0.056938,0.063965,0.074801,0.092390,0.123407"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("3.516039571,9.616333571,16.08222357,29.01402357,54.87772357,106.6054236,210.0594236"); - values ("0.021834,0.025797,0.029051,0.034752,0.045301,0.065896,0.106973", \ - "0.022786,0.026748,0.030002,0.035704,0.046252,0.066846,0.107925", \ - "0.026853,0.030822,0.034077,0.039781,0.050330,0.070923,0.112003", \ - "0.032747,0.036768,0.040044,0.045763,0.056319,0.076910,0.117986", \ - "0.039954,0.044078,0.047393,0.053140,0.063707,0.084298,0.125374", \ - "0.048473,0.052803,0.056191,0.061993,0.072588,0.093182,0.134247", \ - "0.058162,0.062832,0.066354,0.072256,0.082899,0.103502,0.144565"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("3.521154071,9.621448071,16.08733807,29.01913807,54.88283807,106.6105381,210.0645381"); - values ("0.003132,0.004917,0.006527,0.009525,0.015402,0.027544,0.052843", \ - "0.003134,0.004917,0.006528,0.009525,0.015402,0.027544,0.052844", \ - "0.003311,0.005011,0.006593,0.009566,0.015423,0.027551,0.052844", \ - "0.003957,0.005651,0.007201,0.010084,0.015748,0.027658,0.052857", \ - "0.004854,0.006530,0.008076,0.010910,0.016444,0.028092,0.052952", \ - "0.006076,0.007775,0.009373,0.012256,0.017719,0.028972,0.053315", \ - "0.007556,0.009292,0.010968,0.013991,0.019595,0.030760,0.054295"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("3.516039571,9.616333571,16.08222357,29.01402357,54.87772357,106.6054236,210.0594236"); - values ("0.003534,0.005649,0.007808,0.012191,0.021349,0.040342,0.078731", \ - "0.003533,0.005649,0.007808,0.012191,0.021350,0.040339,0.078729", \ - "0.003550,0.005658,0.007814,0.012196,0.021350,0.040337,0.078723", \ - "0.003663,0.005731,0.007868,0.012227,0.021361,0.040347,0.078725", \ - "0.003871,0.005867,0.007968,0.012288,0.021384,0.040350,0.078724", \ - "0.004248,0.006124,0.008155,0.012402,0.021438,0.040363,0.078723", \ - "0.004795,0.006556,0.008479,0.012600,0.021530,0.040393,0.078731"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("3.521154071,9.621448071,16.08733807,29.01913807,54.88283807,106.6105381,210.0645381"); - values ("11.551570,12.682960,13.381440,14.032760,14.487870,14.667040,14.745510", \ - "11.702720,12.653650,13.296770,13.971930,14.399030,14.576610,14.639650", \ - "12.679360,13.458310,14.053700,14.649270,15.080280,15.286620,15.364150", \ - "14.739200,15.475860,16.210830,16.877300,17.315350,17.545710,17.651390", \ - "18.445570,19.010650,19.717160,20.612510,21.508130,21.823490,21.975210", \ - "23.854220,24.464900,25.305290,26.495830,27.636690,28.482550,28.738320", \ - "30.784040,31.701810,32.711930,34.308570,36.097640,37.369800,38.147690"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("3.516039571,9.616333571,16.08222357,29.01402357,54.87772357,106.6054236,210.0594236"); - values ("6.564263,7.692226,8.179111,8.387819,8.414810,8.493772,8.317482", \ - "6.581379,7.694148,8.145854,8.417509,8.315007,8.689551,7.783077", \ - "7.231338,8.161450,8.588821,8.735647,8.657620,9.190541,9.343798", \ - "9.273154,10.392120,10.611280,10.884890,10.830290,10.793510,10.061510", \ - "12.799110,14.403070,14.923720,15.262800,15.367390,15.470700,15.633920", \ - "17.221560,19.187370,20.572050,21.628260,22.055460,22.318040,21.695230", \ - "22.840830,25.106900,26.936620,29.106560,30.661700,31.755550,30.678040"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("3.521154071,9.621448071,16.08733807,29.01913807,54.88283807,106.6105381,210.0645381"); - values ("10.442010,11.529980,12.152650,12.722190,13.088920,13.208040,13.241400", \ - "10.304310,11.446340,12.037650,12.627880,12.966660,13.084750,13.122290", \ - "10.533500,11.714250,12.321090,12.910800,13.266260,13.379820,13.419500", \ - "11.255180,12.708570,13.613160,14.358990,14.758920,14.888330,14.921230", \ - "13.546850,15.066440,15.955970,16.987440,17.794520,18.016380,18.058120", \ - "17.137170,18.789190,19.832660,21.190530,22.269760,22.941650,23.083130", \ - "21.703140,23.575860,24.881860,26.580380,28.399610,29.559420,30.129250"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("3.516039571,9.616333571,16.08222357,29.01402357,54.87772357,106.6054236,210.0594236"); - values ("11.403750,12.327950,12.575970,12.809170,12.543710,12.999260,12.552550", \ - "11.376060,12.317630,12.707420,12.834280,12.943060,12.314120,12.094590", \ - "11.608540,12.580900,12.922930,13.018570,12.850810,12.487300,13.108640", \ - "12.367910,13.360110,13.733340,13.895350,13.602160,13.838560,13.438040", \ - "13.338810,14.591100,14.918060,15.309920,15.235510,15.335030,15.244030", \ - "15.114170,16.529920,17.045680,17.467350,17.560970,17.128530,17.814560", \ - "17.477680,19.138640,19.810180,20.248340,20.771620,21.435050,21.524220"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X8 - Cell Description : Combinational tri-state cell (TBUF_X8) with drive strength X8 - *******************************************************************************************/ - - cell (TBUF_X8) { - - drive_strength : 8; - - area : 4.788000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 498.896219; - - leakage_power () { - when : "!A & !EN"; - value : 809.813750; - } - leakage_power () { - when : "!A & EN"; - value : 204.682125; - } - leakage_power () { - when : "A & !EN"; - value : 705.923750; - } - leakage_power () { - when : "A & EN"; - value : 275.165250; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.854778; - fall_capacitance : 6.116175; - rise_capacitance : 6.854778; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.056759; - fall_capacitance : 4.816531; - rise_capacitance : 5.056759; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.609367; - fall_capacitance : 6.609367; - rise_capacitance : 6.597888; - max_capacitance : 412.598000; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("6.974982929,19.50306693,32.39676693,58.18406693,109.7583669,212.9083669,419.2073669"); - values ("0.0137478,0.0171693,0.0200228,0.0248546,0.0332161,0.0485213,0.0782329", \ - "0.0144231,0.0177774,0.0206079,0.0254263,0.0337814,0.0490839,0.0787945", \ - "0.0184752,0.0217687,0.0245802,0.0293979,0.0377649,0.0530759,0.0827897", \ - "0.0246087,0.0281896,0.0311889,0.0362417,0.0448310,0.0602233,0.0899349", \ - "0.0322852,0.0363619,0.0397012,0.0451461,0.0540616,0.0697737,0.0996154", \ - "0.0415481,0.0463243,0.0501893,0.0563449,0.0659741,0.0821491,0.112256", \ - "0.0523506,0.0579870,0.0624885,0.0695773,0.0803359,0.0975382,0.128243"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("6.9635035,19.4915875,32.3852875,58.1725875,109.7468875,212.8968875,419.1958875"); - values ("0.0126354,0.0167482,0.0200742,0.0258877,0.0365602,0.0572608,0.0984402", \ - "0.0132683,0.0173578,0.0206744,0.0264845,0.0371593,0.0578639,0.0990499", \ - "0.0158464,0.0200001,0.0233119,0.0291151,0.0397894,0.0605012,0.101694", \ - "0.0181200,0.0229784,0.0265224,0.0324767,0.0432515,0.0639474,0.105121", \ - "0.0191525,0.0251606,0.0293599,0.0357967,0.0467612,0.0675619,0.108736", \ - "0.0185131,0.0258688,0.0309688,0.0384898,0.0501350,0.0713162,0.112614", \ - "0.0159823,0.0248124,0.0309098,0.0398399,0.0527799,0.0747209,0.116642"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("6.974982929,19.50306693,32.39676693,58.18406693,109.7583669,212.9083669,419.2073669"); - values ("0.00295205,0.00474060,0.00637539,0.00941542,0.0153481,0.0275319,0.0528126", \ - "0.00296119,0.00473458,0.00637342,0.00941445,0.0153479,0.0275316,0.0528129", \ - "0.00308770,0.00483177,0.00645702,0.00947470,0.0153807,0.0275421,0.0528144", \ - "0.00394702,0.00558060,0.00714518,0.0100630,0.0157532,0.0276631,0.0528286", \ - "0.00491395,0.00659782,0.00814385,0.0108969,0.0163968,0.0281637,0.0529519", \ - "0.00617897,0.00801111,0.00965229,0.0123934,0.0175842,0.0288345,0.0533873", \ - "0.00775008,0.00977280,0.0115644,0.0144663,0.0195650,0.0303551,0.0541107"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("6.9635035,19.4915875,32.3852875,58.1725875,109.7468875,212.8968875,419.1958875"); - values ("0.00335016,0.00563449,0.00784829,0.0122948,0.0214968,0.0405140,0.0789426", \ - "0.00334053,0.00563460,0.00785090,0.0122967,0.0214987,0.0405143,0.0789439", \ - "0.00368534,0.00583884,0.00798830,0.0123686,0.0215194,0.0405201,0.0789385", \ - "0.00482550,0.00682125,0.00870526,0.0128340,0.0217522,0.0405470,0.0789423", \ - "0.00645013,0.00864169,0.0103171,0.0138381,0.0222486,0.0408206,0.0789943", \ - "0.00847314,0.0109863,0.0127959,0.0159412,0.0235279,0.0414872,0.0792488", \ - "0.0108553,0.0137222,0.0157605,0.0189883,0.0256947,0.0429785,0.0800751"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.060023,0.063377,0.075027,0.094772,0.123577,0.162098,0.211001"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.035699,0.039068,0.051015,0.070260,0.097623,0.134159,0.180975"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("6.974982929,19.50306693,32.39676693,58.18406693,109.7583669,212.9083669,419.2073669"); - values ("0.014878,0.018655,0.021578,0.026456,0.034846,0.050157,0.079855", \ - "0.015653,0.019430,0.022353,0.027231,0.035622,0.050932,0.080632", \ - "0.018254,0.022098,0.025043,0.029938,0.038339,0.053652,0.083349", \ - "0.022488,0.026764,0.029952,0.035115,0.043735,0.059129,0.088822", \ - "0.028912,0.033760,0.037282,0.042857,0.051893,0.067609,0.097395", \ - "0.036830,0.042444,0.046442,0.052657,0.062449,0.078830,0.108911", \ - "0.046016,0.052521,0.057076,0.064069,0.074883,0.092455,0.123440"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("6.9635035,19.4915875,32.3852875,58.1725875,109.7468875,212.8968875,419.1958875"); - values ("0.022446,0.026592,0.029887,0.035648,0.046258,0.066900,0.108045", \ - "0.023389,0.027535,0.030830,0.036592,0.047200,0.067843,0.108986", \ - "0.027449,0.031600,0.034897,0.040660,0.051270,0.071912,0.113053", \ - "0.033364,0.037569,0.040885,0.046663,0.057280,0.077922,0.119059", \ - "0.040574,0.044880,0.048233,0.054040,0.064670,0.085312,0.126446", \ - "0.049078,0.053588,0.057011,0.062872,0.073531,0.094177,0.135304", \ - "0.058729,0.063577,0.067128,0.073086,0.083793,0.104449,0.145578"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("6.974982929,19.50306693,32.39676693,58.18406693,109.7583669,212.9083669,419.2073669"); - values ("0.003139,0.004971,0.006580,0.009576,0.015448,0.027568,0.052818", \ - "0.003139,0.004972,0.006580,0.009576,0.015448,0.027568,0.052818", \ - "0.003317,0.005065,0.006645,0.009618,0.015469,0.027576,0.052819", \ - "0.003962,0.005701,0.007249,0.010133,0.015793,0.027683,0.052831", \ - "0.004864,0.006580,0.008123,0.010955,0.016486,0.028118,0.052928", \ - "0.006089,0.007828,0.009420,0.012302,0.017765,0.029000,0.053293", \ - "0.007567,0.009346,0.011018,0.014040,0.019642,0.030796,0.054280"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("6.9635035,19.4915875,32.3852875,58.1725875,109.7468875,212.8968875,419.1958875"); - values ("0.003607,0.005818,0.007994,0.012386,0.021530,0.040513,0.078942", \ - "0.003606,0.005818,0.007994,0.012386,0.021530,0.040520,0.078942", \ - "0.003621,0.005827,0.008000,0.012388,0.021532,0.040521,0.078948", \ - "0.003731,0.005897,0.008052,0.012421,0.021544,0.040516,0.078947", \ - "0.003934,0.006029,0.008150,0.012481,0.021569,0.040521,0.078948", \ - "0.004306,0.006276,0.008331,0.012596,0.021625,0.040538,0.078939", \ - "0.004849,0.006694,0.008645,0.012790,0.021715,0.040570,0.078944"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("6.974982929,19.50306693,32.39676693,58.18406693,109.7583669,212.9083669,419.2073669"); - values ("24.057250,25.976200,27.361040,28.714860,29.561740,29.908440,30.062220", \ - "24.228360,26.043660,27.350260,28.608060,29.420390,29.759190,29.904470", \ - "26.128520,27.672720,28.843780,29.990960,30.832710,31.188990,31.360260", \ - "30.485680,31.779140,33.158870,34.436720,35.291710,35.704140,35.901560", \ - "37.665280,38.802330,40.134150,41.886380,43.633080,44.235380,44.497150", \ - "48.526450,49.760830,51.272970,53.620310,55.792180,57.490090,57.962600", \ - "62.613920,64.115990,66.032170,69.157770,72.652030,75.176740,76.732490"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("6.9635035,19.4915875,32.3852875,58.1725875,109.7468875,212.8968875,419.1958875"); - values ("13.251140,15.499320,16.244780,17.258470,17.022420,17.785970,17.927030", \ - "13.358850,15.422530,16.375490,17.135870,17.202150,17.668290,15.318690", \ - "14.602550,16.420820,17.257030,17.771920,17.763640,16.743030,17.197570", \ - "18.636470,20.695110,21.139260,21.670790,21.269820,21.638430,20.099990", \ - "25.589750,28.736700,30.168960,30.386990,30.729230,31.010970,30.075000", \ - "34.828780,38.766660,40.994260,43.208310,44.278860,44.032590,43.937390", \ - "45.969010,50.686340,54.046150,57.675250,61.389300,63.420180,63.432460"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("6.974982929,19.50306693,32.39676693,58.18406693,109.7583669,212.9083669,419.2073669"); - values ("21.143460,23.545950,24.741760,25.890140,26.615430,26.850230,26.932600", \ - "21.035860,23.310060,24.493490,25.660950,26.369780,26.621930,26.705980", \ - "21.323790,23.795380,25.082670,26.232930,26.979220,27.220910,27.279150", \ - "22.775710,25.898990,27.635990,29.111480,29.963520,30.229370,30.305300", \ - "27.419620,30.532240,32.363340,34.389610,36.088450,36.530250,36.598930", \ - "34.514820,37.993560,40.183400,42.854950,45.074260,46.417980,46.688050", \ - "43.845270,47.630490,50.224600,53.705240,57.312980,59.669620,60.831040"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("6.9635035,19.4915875,32.3852875,58.1725875,109.7468875,212.8968875,419.1958875"); - values ("23.387760,25.321460,25.992430,26.488200,26.165830,25.344210,24.970750", \ - "23.456180,25.332940,25.992290,26.185590,26.181090,26.229530,25.654240", \ - "23.954300,25.803610,26.502890,26.876620,26.097560,27.164550,25.425390", \ - "25.326290,27.508750,27.889870,28.146730,28.623970,28.504000,27.389050", \ - "27.439200,29.785630,30.655290,31.519330,31.083950,31.433700,31.151020", \ - "30.693300,33.632750,34.862720,35.370150,36.453440,36.608130,34.871440", \ - "35.830600,39.023390,40.383850,41.368250,42.398830,43.441070,43.692140"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X16 - Cell Description : Combinational tri-state cell (TBUF_X16) with drive strength X16 - *******************************************************************************************/ - - cell (TBUF_X16) { - - drive_strength : 16; - - area : 6.916000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 690.064406; - - leakage_power () { - when : "!A & !EN"; - value : 1271.862500; - } - leakage_power () { - when : "!A & EN"; - value : 225.834000; - } - leakage_power () { - when : "A & !EN"; - value : 966.243750; - } - leakage_power () { - when : "A & EN"; - value : 296.317375; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.620911; - fall_capacitance : 5.925956; - rise_capacitance : 6.620911; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.036449; - fall_capacitance : 4.781931; - rise_capacitance : 5.036449; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 12.795459; - fall_capacitance : 12.795459; - rise_capacitance : 12.763425; - max_capacitance : 820.312000; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("13.16107457,38.43025857,64.06495857,115.3344586,217.8734586,422.9514586,833.1074586"); - values ("0.0193375,0.0229572,0.0260348,0.0314135,0.0406003,0.0567020,0.0867471", \ - "0.0200628,0.0236384,0.0266832,0.0320285,0.0411967,0.0572896,0.0873318", \ - "0.0239952,0.0275432,0.0305665,0.0358935,0.0450553,0.0611490,0.0911928", \ - "0.0314876,0.0351166,0.0381852,0.0435670,0.0527893,0.0689193,0.0989573", \ - "0.0403523,0.0443211,0.0476281,0.0533304,0.0629408,0.0794122,0.109541", \ - "0.0508951,0.0553375,0.0590304,0.0652921,0.0755307,0.0924654,0.122941", \ - "0.0630888,0.0681267,0.0723278,0.0793858,0.0906518,0.108529,0.139513"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("13.129041,38.398225,64.032925,115.302425,217.841425,422.919425,833.075425"); - values ("0.0169343,0.0220594,0.0260038,0.0326347,0.0441485,0.0654154,0.106919", \ - "0.0176032,0.0227084,0.0266445,0.0332689,0.0447804,0.0660466,0.107547", \ - "0.0205187,0.0255797,0.0294860,0.0360799,0.0475761,0.0688417,0.110350", \ - "0.0240738,0.0297298,0.0338404,0.0405870,0.0521431,0.0733969,0.114873", \ - "0.0264021,0.0330995,0.0377993,0.0450030,0.0567991,0.0781640,0.119594", \ - "0.0271164,0.0351071,0.0406744,0.0489432,0.0614461,0.0830637,0.124568", \ - "0.0259342,0.0353562,0.0419055,0.0515675,0.0654822,0.0878820,0.129719"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("13.16107457,38.43025857,64.06495857,115.3344586,217.8734586,422.9514586,833.1074586"); - values ("0.00455801,0.00622892,0.00793442,0.0111732,0.0171804,0.0290435,0.0536498", \ - "0.00458739,0.00623611,0.00792673,0.0111648,0.0171777,0.0290426,0.0536511", \ - "0.00459417,0.00625645,0.00794933,0.0111865,0.0171928,0.0290497,0.0536518", \ - "0.00546671,0.00695683,0.00852947,0.0116197,0.0174606,0.0291754,0.0536837", \ - "0.00670793,0.00814145,0.00965104,0.0126593,0.0183474,0.0297306,0.0538325", \ - "0.00816113,0.00962345,0.0111681,0.0141481,0.0195828,0.0305751,0.0543323", \ - "0.00995062,0.0114871,0.0131442,0.0162539,0.0215944,0.0320674,0.0550974"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("13.129041,38.398225,64.032925,115.302425,217.841425,422.919425,833.075425"); - values ("0.00457687,0.00727797,0.00964587,0.0141592,0.0231667,0.0417969,0.0801374", \ - "0.00455691,0.00727058,0.00964293,0.0141578,0.0231676,0.0417923,0.0801339", \ - "0.00461385,0.00732420,0.00969500,0.0142004,0.0231894,0.0418029,0.0801341", \ - "0.00577887,0.00830995,0.0104555,0.0147060,0.0234328,0.0418699,0.0801467", \ - "0.00743585,0.0101869,0.0121228,0.0158383,0.0240847,0.0421624,0.0801745", \ - "0.00960064,0.0127245,0.0147760,0.0181424,0.0254596,0.0427796,0.0804236", \ - "0.0122049,0.0157439,0.0180108,0.0214882,0.0280146,0.0442487,0.0810462"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.066198,0.069550,0.081110,0.100713,0.129438,0.168061,0.217343"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.038888,0.042242,0.054542,0.075258,0.104104,0.142164,0.190492"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("13.16107457,38.43025857,64.06495857,115.3344586,217.8734586,422.9514586,833.1074586"); - values ("0.018858,0.023571,0.027062,0.032725,0.042062,0.058238,0.088306", \ - "0.019676,0.024390,0.027881,0.033544,0.042882,0.059058,0.089126", \ - "0.022364,0.027089,0.030585,0.036253,0.045595,0.061773,0.091841", \ - "0.027127,0.032231,0.035916,0.041780,0.051254,0.067495,0.097573", \ - "0.034080,0.039698,0.043676,0.049917,0.059821,0.076407,0.106584", \ - "0.042783,0.049134,0.053537,0.060337,0.070885,0.088049,0.118576", \ - "0.052860,0.060120,0.065054,0.072587,0.084076,0.102282,0.133571"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("13.129041,38.398225,64.032925,115.302425,217.841425,422.919425,833.075425"); - values ("0.026317,0.031634,0.035597,0.042205,0.053671,0.074878,0.116331", \ - "0.027263,0.032580,0.036544,0.043153,0.054618,0.075826,0.117281", \ - "0.031297,0.036618,0.040583,0.047193,0.058658,0.079867,0.121320", \ - "0.037164,0.042515,0.046492,0.053112,0.064582,0.085791,0.127241", \ - "0.044381,0.049794,0.053794,0.060435,0.071918,0.093131,0.134580", \ - "0.052988,0.058532,0.062583,0.069268,0.080782,0.102004,0.143451", \ - "0.062862,0.068645,0.072784,0.079546,0.091112,0.112355,0.153800"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("13.16107457,38.43025857,64.06495857,115.3344586,217.8734586,422.9514586,833.1074586"); - values ("0.004398,0.006476,0.008246,0.011419,0.017342,0.029125,0.053672", \ - "0.004400,0.006477,0.008247,0.011420,0.017342,0.029125,0.053673", \ - "0.004465,0.006510,0.008272,0.011436,0.017352,0.029129,0.053674", \ - "0.005233,0.007144,0.008826,0.011852,0.017594,0.029238,0.053701", \ - "0.006241,0.008066,0.009724,0.012730,0.018372,0.029722,0.053831", \ - "0.007664,0.009409,0.011052,0.014035,0.019565,0.030620,0.054269", \ - "0.009442,0.011136,0.012796,0.015836,0.021403,0.032267,0.055219"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("13.129041,38.398225,64.032925,115.302425,217.841425,422.919425,833.075425"); - values ("0.004966,0.007499,0.009819,0.014283,0.023236,0.041814,0.080137", \ - "0.004967,0.007499,0.009819,0.014284,0.023237,0.041821,0.080135", \ - "0.004978,0.007505,0.009823,0.014285,0.023236,0.041816,0.080140", \ - "0.005051,0.007553,0.009860,0.014309,0.023249,0.041817,0.080138", \ - "0.005203,0.007649,0.009933,0.014358,0.023273,0.041834,0.080133", \ - "0.005501,0.007845,0.010085,0.014464,0.023334,0.041845,0.080142", \ - "0.005994,0.008182,0.010346,0.014644,0.023441,0.041890,0.080148"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("13.16107457,38.43025857,64.06495857,115.3344586,217.8734586,422.9514586,833.1074586"); - values ("45.599560,44.241610,44.425760,45.684030,47.121460,47.903790,48.191030", \ - "46.492740,44.856340,44.835660,45.917830,47.222610,47.949220,48.174460", \ - "48.012100,46.550830,46.215780,47.181110,48.417750,49.160670,49.387060", \ - "55.464680,53.178620,52.131100,52.312050,52.996710,53.415680,53.513700", \ - "64.138790,61.198320,59.778960,59.887600,60.702640,61.109590,61.191560", \ - "75.926030,72.213780,70.595330,70.598520,71.908680,73.193100,73.356070", \ - "90.400000,86.535720,85.142400,85.542450,87.687150,89.704530,90.885140"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("13.129041,38.398225,64.032925,115.302425,217.841425,422.919425,833.075425"); - values ("23.899220,27.838960,29.301610,30.884640,31.387300,32.468570,34.131880", \ - "24.363330,27.632660,29.588060,31.024310,31.742740,31.155270,33.614130", \ - "25.767940,29.245310,30.846930,32.570660,31.850110,33.319570,32.700660", \ - "30.215270,33.241740,34.357680,35.793770,37.000820,35.501340,36.871630", \ - "37.457980,41.066380,42.643400,43.811470,43.301300,44.530140,43.672530", \ - "47.878960,51.285830,53.759650,55.413360,56.222120,57.234520,55.100400", \ - "60.078540,64.228870,66.957010,70.931450,72.876750,74.324460,75.701510"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("13.16107457,38.43025857,64.06495857,115.3344586,217.8734586,422.9514586,833.1074586"); - values ("29.014860,32.099670,34.004840,36.170540,38.039240,39.057740,39.406620", \ - "29.022200,31.978000,33.772110,35.929780,37.855790,38.822340,39.207550", \ - "29.409670,32.504100,34.266810,36.528530,38.356800,39.411300,39.709970", \ - "31.445130,35.021040,36.982510,39.214210,41.198700,42.229910,42.562730", \ - "36.095390,39.456300,41.566560,44.412620,47.017000,48.187740,48.526170", \ - "43.347840,47.109920,49.454690,52.509870,55.554970,57.696000,58.129850", \ - "53.099990,57.142380,59.906590,63.556020,67.598360,70.475450,71.743900"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("13.129041,38.398225,64.032925,115.302425,217.841425,422.919425,833.075425"); - values ("32.366160,35.854800,37.571420,38.239110,39.649420,39.791380,40.105700", \ - "32.139010,35.926230,37.147510,38.559350,39.483130,36.316730,36.468580", \ - "32.842430,36.474010,37.989840,38.592490,39.979580,40.494320,35.848290", \ - "34.068920,38.178750,39.327580,41.246430,40.409840,40.311000,42.108080", \ - "36.378450,40.387320,42.612050,43.380450,45.127570,44.428890,40.389940", \ - "40.124680,44.361120,46.530130,48.231660,48.275770,49.654340,48.247010", \ - "45.039710,49.639000,52.114360,53.923420,55.518660,56.897030,55.517190"); - } - } - } - - } - - - /****************************************************************************************** - Module : TINV_X1 - Cell Description : Combinational tri-state cell (TINV_X1) with drive strength X1 - *******************************************************************************************/ - - cell (TINV_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 56.505969; - - leakage_power () { - when : "!EN & !I"; - value : 29.702625; - } - leakage_power () { - when : "!EN & I"; - value : 93.710250; - } - leakage_power () { - when : "EN & !I"; - value : 34.690000; - } - leakage_power () { - when : "EN & I"; - value : 67.921000; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.765300; - fall_capacitance : 1.676224; - rise_capacitance : 1.765300; - } - - pin (I) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.480994; - fall_capacitance : 1.417473; - rise_capacitance : 1.480994; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.784852; - fall_capacitance : 0.784263; - rise_capacitance : 0.784852; - max_capacitance : 22.621200; - function : "!I"; - three_state : "EN"; - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.058396,0.066753,0.082531,0.109018,0.150130,0.205919,0.277995"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.046351,0.049730,0.059022,0.075529,0.104101,0.142642,0.191557"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.149878907,1.511383907,2.230332907,3.660142907,6.503682907,12.15876291,23.40546291"); - values ("0.008150,0.009014,0.010591,0.013473,0.018883,0.029379,0.050101", \ - "0.009174,0.010037,0.011614,0.014497,0.019908,0.030405,0.051129", \ - "0.012523,0.013465,0.015111,0.018065,0.023490,0.033977,0.054690", \ - "0.016636,0.017788,0.019738,0.022966,0.028556,0.039025,0.059707", \ - "0.021253,0.022678,0.025055,0.028848,0.034962,0.045779,0.066498", \ - "0.026261,0.027997,0.030888,0.035436,0.042453,0.054024,0.075244", \ - "0.031629,0.033722,0.037187,0.042599,0.050788,0.063547,0.085778"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.150468443,1.511973443,2.230922443,3.660732443,6.504272443,12.15935244,23.40605244"); - values ("0.004952,0.006169,0.008651,0.013096,0.021804,0.039103,0.073365", \ - "0.005732,0.006901,0.009368,0.013836,0.022529,0.039825,0.074086", \ - "0.006385,0.008218,0.011578,0.016770,0.025310,0.042539,0.076765", \ - "0.003033,0.005847,0.010583,0.018795,0.030348,0.048011,0.082067", \ - "-0.006136,-0.001969,0.004810,0.015421,0.032378,0.055442,0.090654", \ - "-0.022453,-0.016759,-0.007526,0.006593,0.027972,0.058763,0.102083", \ - "-0.047023,-0.039560,-0.027575,-0.009406,0.016963,0.056124,0.109609"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.149878907,1.511383907,2.230332907,3.660142907,6.503682907,12.15876291,23.40546291"); - values ("0.004642,0.005032,0.005948,0.007984,0.012333,0.021303,0.039286", \ - "0.004654,0.005039,0.005951,0.007985,0.012333,0.021303,0.039283", \ - "0.004569,0.005012,0.006004,0.008040,0.012394,0.021309,0.039282", \ - "0.005189,0.005579,0.006372,0.008138,0.012200,0.021135,0.039286", \ - "0.006447,0.006847,0.007614,0.009204,0.012819,0.021146,0.039003", \ - "0.008074,0.008493,0.009347,0.010958,0.014323,0.022117,0.039207", \ - "0.010043,0.010493,0.011419,0.013165,0.016521,0.023891,0.040448"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.150468443,1.511973443,2.230922443,3.660732443,6.504272443,12.15935244,23.40605244"); - values ("0.004425,0.005717,0.007982,0.012135,0.020638,0.036687,0.068306", \ - "0.004389,0.005625,0.007960,0.012100,0.020621,0.036692,0.068314", \ - "0.006880,0.008166,0.010068,0.012920,0.020454,0.036681,0.068308", \ - "0.010748,0.012012,0.014752,0.018637,0.023908,0.037242,0.068309", \ - "0.016842,0.018149,0.020627,0.025897,0.032988,0.044133,0.069309", \ - "0.024670,0.026319,0.029131,0.034388,0.043633,0.056007,0.078123", \ - "0.034527,0.036330,0.039742,0.045351,0.055884,0.071154,0.094530"); - } - } - - timing () { - - related_pin : "I"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.149878907,1.511383907,2.230332907,3.660142907,6.503682907,12.15876291,23.40546291"); - values ("0.00708129,0.00786088,0.00934298,0.0121590,0.0175612,0.0280889,0.0488348", \ - "0.00748605,0.00827450,0.00977147,0.0126045,0.0180221,0.0285605,0.0493148", \ - "0.00830664,0.00922270,0.0109118,0.0139828,0.0195530,0.0301279,0.0509050", \ - "0.00838410,0.00960054,0.0117975,0.0156104,0.0220780,0.0333801,0.0542569", \ - "0.00685047,0.00838729,0.0111844,0.0160235,0.0240529,0.0371510,0.0595077", \ - "0.00351581,0.00536436,0.00873464,0.0145918,0.0243504,0.0400408,0.0651788", \ - "-0.00180439,0.000345998,0.00427584,0.0111301,0.0225875,0.0410384,0.0700684"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.150468443,1.511973443,2.230922443,3.660732443,6.504272443,12.15935244,23.40605244"); - values ("0.0107959,0.0119617,0.0142390,0.0186894,0.0274286,0.0446922,0.0789336", \ - "0.0116411,0.0128182,0.0151169,0.0196006,0.0283780,0.0456737,0.0799394", \ - "0.0143093,0.0155667,0.0178886,0.0223089,0.0310438,0.0483335,0.0826126", \ - "0.0185338,0.0199430,0.0226041,0.0275775,0.0367449,0.0537552,0.0877447", \ - "0.0251004,0.0267164,0.0297151,0.0351587,0.0450691,0.0632244,0.0968164", \ - "0.0334048,0.0353161,0.0388238,0.0450412,0.0559167,0.0752968,0.110599", \ - "0.0433325,0.0455595,0.0496439,0.0568124,0.0690634,0.0900181,0.127303"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.149878907,1.511383907,2.230332907,3.660142907,6.503682907,12.15876291,23.40546291"); - values ("0.00363342,0.00422618,0.00539085,0.00768760,0.0122410,0.0212930,0.0392832", \ - "0.00362564,0.00422312,0.00539255,0.00768920,0.0122410,0.0212946,0.0392879", \ - "0.00457867,0.00510008,0.00614129,0.00819677,0.0124165,0.0212990,0.0392858", \ - "0.00733125,0.00784653,0.00881466,0.0106574,0.0143840,0.0222621,0.0393545", \ - "0.0112719,0.0119153,0.0130616,0.0150942,0.0187325,0.0258912,0.0412519", \ - "0.0161014,0.0169139,0.0183429,0.0208128,0.0249409,0.0321224,0.0463460", \ - "0.0218204,0.0228219,0.0245847,0.0276042,0.0324958,0.0404203,0.0543812"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.150468443,1.511973443,2.230922443,3.660732443,6.504272443,12.15935244,23.40605244"); - values ("0.00577475,0.00678657,0.00879754,0.0128069,0.0207968,0.0366887,0.0683184", \ - "0.00577152,0.00678421,0.00879717,0.0128091,0.0207978,0.0366974,0.0683064", \ - "0.00659003,0.00741878,0.00915563,0.0128556,0.0207934,0.0367010,0.0683107", \ - "0.00874311,0.00967381,0.0115047,0.0150715,0.0219209,0.0367637,0.0683088", \ - "0.0117903,0.0127632,0.0146391,0.0182852,0.0254429,0.0392167,0.0685358", \ - "0.0157835,0.0168643,0.0188974,0.0226920,0.0299160,0.0441024,0.0716252", \ - "0.0206539,0.0218791,0.0241641,0.0282966,0.0358003,0.0500336,0.0779920"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.149878907,1.511383907,2.230332907,3.660142907,6.503682907,12.15876291,23.40546291"); - values ("3.350992,3.383375,3.445185,3.492454,3.525136,3.553132,3.580311", \ - "3.327834,3.378290,3.439830,3.488469,3.530971,3.556389,3.580537", \ - "3.605204,3.707298,3.765269,3.828812,3.864007,3.897827,3.917410", \ - "3.987617,4.121436,4.317531,4.533117,4.719864,4.761372,4.780596", \ - "4.931464,5.114180,5.407962,5.714396,5.983320,6.270435,6.323742", \ - "6.319700,6.536201,6.865539,7.368808,7.879153,8.288548,8.586032", \ - "8.148241,8.351547,8.780280,9.390751,10.196600,10.966000,11.435780"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.150468443,1.511973443,2.230922443,3.660732443,6.504272443,12.15935244,23.40605244"); - values ("3.911254,3.929052,3.964305,3.972082,3.927509,3.998856,3.918582", \ - "3.926291,3.954837,3.933251,3.960318,3.969011,3.922528,3.850548", \ - "4.245233,4.296190,4.284692,4.320696,4.273265,4.263607,4.246279", \ - "4.040948,4.610822,4.928146,5.187140,5.203951,5.103096,5.092497", \ - "3.783423,4.500681,5.680475,6.311371,6.727438,6.751455,6.584382", \ - "3.985399,4.540396,5.793139,7.661978,8.557375,9.067106,8.935745", \ - "4.068744,4.812417,6.105685,8.166923,10.785700,11.783770,12.140610"); - } - } - - internal_power () { - - related_pin : "I"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.149878907,1.511383907,2.230332907,3.660142907,6.503682907,12.15876291,23.40546291"); - values ("0.652507,0.677693,0.711999,0.757399,0.790193,0.825291,0.842905", \ - "0.577062,0.606462,0.636246,0.687906,0.735004,0.768938,0.797258", \ - "0.643930,0.638513,0.646234,0.696214,0.728480,0.763476,0.784907", \ - "1.338596,1.329583,1.265839,1.168406,1.028585,0.960371,0.908120", \ - "2.524931,2.491840,2.465397,2.320066,2.050539,1.649035,1.357307", \ - "4.096551,4.101190,4.087416,4.014388,3.741486,3.142730,2.367050", \ - "6.055950,6.098746,6.110955,6.099968,5.941778,5.368786,4.239545"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.150468443,1.511973443,2.230922443,3.660732443,6.504272443,12.15935244,23.40605244"); - values ("3.287967,3.286428,3.291644,3.292097,3.300854,3.330039,3.282117", \ - "3.216855,3.223223,3.224896,3.223020,3.285119,3.210652,3.309723", \ - "3.246177,3.231119,3.241618,3.214477,3.240739,3.231361,3.073023", \ - "3.702042,3.677504,3.763513,3.685827,3.595495,3.525684,3.358505", \ - "4.945836,4.906743,4.867288,4.769589,4.589083,4.331795,3.828484", \ - "6.931511,6.896101,6.837216,6.658309,6.310939,5.869500,5.155963", \ - "9.609696,9.578923,9.507460,9.299424,8.821111,8.188846,7.039580"); - } - } - } - - } - - - /****************************************************************************************** - Module : TLAT_X1 - Cell Description : High enable Latch with drive strength X1 - *******************************************************************************************/ - - cell (TLAT_X1) { - - drive_strength : 1; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "G"; - } - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 158.601906; - - leakage_power () { - when : "!D & !G & !OE"; - value : 120.591750; - } - leakage_power () { - when : "!D & !G & OE & !Q"; - value : 181.055875; - } - leakage_power () { - when : "!D & !G & OE & Q"; - value : 139.557750; - } - leakage_power () { - when : "!D & G & !OE"; - value : 127.352125; - } - leakage_power () { - when : "!D & G & OE & !Q"; - value : 182.429625; - } - leakage_power () { - when : "D & !G & !OE"; - value : 146.835062; - } - leakage_power () { - when : "D & !G & OE & !Q"; - value : 225.640000; - } - leakage_power () { - when : "D & !G & OE & Q"; - value : 147.477500; - } - leakage_power () { - when : "D & G & !OE"; - value : 145.402125; - } - leakage_power () { - when : "D & G & OE & Q"; - value : 169.677250; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.158230; - fall_capacitance : 1.113417; - rise_capacitance : 1.158230; - - timing () { - - related_pin : "G"; - timing_type : hold_falling; - fall_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.002391,0.014052,0.036051", \ - "0.016095,0.028712,0.052952", \ - "0.131158,0.143803,0.169054"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.003360,0.013211,0.032225", \ - "0.006997,0.015918,0.032940", \ - "0.083641,0.090522,0.097755"); - } - } - - timing () { - - related_pin : "G"; - timing_type : setup_falling; - fall_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.025118,0.022128,0.029497", \ - "0.034530,0.029049,0.026648", \ - "0.063064,0.056185,0.048956"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - index_2 ("0.000932129,0.0331496,0.146240"); - values ("0.012603,0.000982,-0.020228", \ - "0.017396,0.004780,-0.019456", \ - "0.015546,0.002901,-0.022346"); - } - } - - internal_power () { - - when : "!G & !OE"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.974815,0.942979,0.925300,0.917112,0.913027,0.911922,0.908757"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.829399,-0.833335,-0.835155,-0.837044,-0.838654,-0.839164,-0.839375"); - } - - } - - internal_power () { - - when : "!G & OE & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.975091,0.943203,0.925466,0.917257,0.913178,0.912081,0.908912"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.827615,-0.833463,-0.835296,-0.837178,-0.838618,-0.839216,-0.839509"); - } - - } - - internal_power () { - - when : "!G & OE & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.853537,0.865226,0.866028,0.866495,0.866145,0.866307,0.865995"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("-0.533198,-0.633119,-0.699495,-0.725774,-0.739563,-0.747818,-0.752784"); - } - - } - - internal_power () { - - when : "G & !OE"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("4.982370,4.954047,4.960838,5.347180,6.355130,8.021750,10.357380"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.244510,3.193681,3.210995,3.591015,4.576437,6.221716,8.540875"); - } - - } - } - - pin (G) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 1.028103; - fall_capacitance : 0.952196; - rise_capacitance : 1.028103; - - timing () { - - related_pin : "G"; - timing_type : min_pulse_width; - rise_constraint(Pulse_width_3) { - index_1 ("0.000932129,0.0331496,0.146240"); - values ("0.017415,0.033183,0.146386"); - } - } - - internal_power () { - - when : "!D & !OE"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.663128,3.660045,3.963260,4.845569,6.428729,8.887853,12.287750"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.013842,2.996251,3.236311,4.072868,5.726606,8.266129,11.735680"); - } - - } - - internal_power () { - - when : "!D & OE & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.663132,3.659969,3.963044,4.845550,6.428519,8.887596,12.287850"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.993829,2.976239,3.216495,4.053054,5.706196,8.244796,11.713560"); - } - - } - - internal_power () { - - when : "D & !OE"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.827732,3.821888,4.131834,5.010733,6.606917,9.081586,12.495370"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("6.126591,6.110263,6.359934,7.212772,8.906451,11.475830,14.952400"); - } - - } - - internal_power () { - - when : "D & OE & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("3.730316,3.724796,4.035127,4.916097,6.510886,8.985284,12.399400"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.701710,2.683373,2.925549,3.769193,5.433568,7.973213,11.437490"); - } - - } - } - - pin (OE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.497963; - fall_capacitance : 1.448642; - rise_capacitance : 1.497963; - - internal_power () { - - when : "!D & !G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.159092,2.127709,2.455908,3.319839,4.863163,7.141311,10.193980"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.294897,0.272503,0.503238,1.340759,2.886278,5.164402,8.221687"); - } - - } - - internal_power () { - - when : "!D & !G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.164183,2.166087,2.466952,3.256309,4.710575,6.927224,9.945122"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.982677,0.960393,1.166556,1.950790,3.449171,5.693941,8.724537"); - } - - } - - internal_power () { - - when : "!D & G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.157280,2.125521,2.452961,3.316897,4.862313,7.139580,10.193750"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.181571,1.164620,1.407446,2.273829,3.848034,6.146624,9.218867"); - } - - } - - internal_power () { - - when : "D & !G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.158846,2.127394,2.455660,3.319665,4.863005,7.141212,10.193750"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("1.120482,1.102906,1.343498,2.205438,3.774125,6.068460,9.137825"); - } - - } - - internal_power () { - - when : "D & !G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.164134,2.166168,2.466839,3.257321,4.710580,6.928318,9.945099"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.982601,0.960438,1.166441,1.950676,3.449075,5.693837,8.724432"); - } - - } - - internal_power () { - - when : "D & G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.164335,2.165967,2.466639,3.256378,4.710589,6.927653,9.945683"); - } - rise_power(Hidden_power_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("2.155268,2.133392,2.344635,3.124045,4.609710,6.835808,9.846449"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.778162; - fall_capacitance : 0.778162; - rise_capacitance : 0.776793; - max_capacitance : 22.583000; - function : "IQ"; - three_state : "!OE"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.143777657,1.505078657,2.223411657,3.651611657,6.491141657,12.13666166,23.36116166"); - values ("0.0270780,0.0280176,0.0297498,0.0328862,0.0386257,0.0494124,0.0702479", \ - "0.0279601,0.0289030,0.0306347,0.0337678,0.0395101,0.0502969,0.0711312", \ - "0.0305811,0.0315209,0.0332539,0.0363865,0.0421286,0.0529171,0.0737518", \ - "0.0357751,0.0367343,0.0384915,0.0416498,0.0474087,0.0582023,0.0790368", \ - "0.0434463,0.0444476,0.0462787,0.0495271,0.0553600,0.0662107,0.0870731", \ - "0.0534176,0.0544821,0.0564078,0.0597991,0.0657456,0.0766798,0.0975845", \ - "0.0652556,0.0663945,0.0684555,0.0720522,0.0782213,0.0892984,0.110301"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.142408564,1.503709564,2.222042564,3.650242564,6.489772564,12.13529256,23.35979256"); - values ("0.0252659,0.0265148,0.0289149,0.0335145,0.0423851,0.0597281,0.0939987", \ - "0.0257224,0.0269711,0.0293708,0.0339706,0.0428403,0.0601827,0.0944553", \ - "0.0271106,0.0283561,0.0307535,0.0353488,0.0442146,0.0615556,0.0958375", \ - "0.0289845,0.0302392,0.0326438,0.0372484,0.0461204,0.0634671,0.0977395", \ - "0.0302033,0.0314741,0.0339027,0.0385274,0.0473991,0.0647259,0.0989827", \ - "0.0300884,0.0313857,0.0338545,0.0385255,0.0474282,0.0647550,0.0989833", \ - "0.0283397,0.0296684,0.0321848,0.0369204,0.0458768,0.0632327,0.0975014"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.143777657,1.505078657,2.223411657,3.651611657,6.491141657,12.13666166,23.36116166"); - values ("0.00502446,0.00551194,0.00650978,0.00857932,0.0128406,0.0215765,0.0393057", \ - "0.00501562,0.00550841,0.00651196,0.00857380,0.0128407,0.0215678,0.0392975", \ - "0.00502407,0.00552017,0.00651858,0.00857931,0.0128430,0.0215702,0.0392889", \ - "0.00533059,0.00580520,0.00676191,0.00874319,0.0129309,0.0216148,0.0393002", \ - "0.00586348,0.00631278,0.00723812,0.00912963,0.0131919,0.0217511,0.0393575", \ - "0.00662984,0.00706463,0.00793497,0.00973054,0.0136043,0.0219942,0.0394601", \ - "0.00761387,0.00806461,0.00892237,0.0106357,0.0142815,0.0224122,0.0396717"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.142408564,1.503709564,2.222042564,3.650242564,6.489772564,12.13529256,23.35979256"); - values ("0.00623296,0.00722577,0.00920041,0.0131321,0.0210255,0.0368553,0.0684783", \ - "0.00622834,0.00722056,0.00919689,0.0131326,0.0210230,0.0368654,0.0684605", \ - "0.00624422,0.00723859,0.00920922,0.0131405,0.0210345,0.0368625,0.0684770", \ - "0.00640359,0.00738576,0.00933879,0.0132347,0.0210818,0.0368827,0.0684796", \ - "0.00678182,0.00773686,0.00964297,0.0134584,0.0212076,0.0369439,0.0684696", \ - "0.00734365,0.00829265,0.0101530,0.0138904,0.0215209,0.0371071,0.0685294", \ - "0.00802966,0.00898097,0.0108345,0.0144982,0.0219999,0.0374811,0.0687713"); - } - } - - timing () { - - related_pin : "G"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.143777657,1.505078657,2.223411657,3.651611657,6.491141657,12.13666166,23.36116166"); - values ("0.0292110,0.0301525,0.0318825,0.0350156,0.0407584,0.0515441,0.0723741", \ - "0.0299574,0.0308986,0.0326277,0.0357597,0.0415029,0.0522892,0.0731190", \ - "0.0322556,0.0331960,0.0349221,0.0380584,0.0437991,0.0545845,0.0754165", \ - "0.0341426,0.0350814,0.0368111,0.0399450,0.0456892,0.0564776,0.0773109", \ - "0.0350478,0.0359918,0.0377245,0.0408623,0.0466096,0.0574016,0.0782353", \ - "0.0344136,0.0353722,0.0371258,0.0402786,0.0460278,0.0568158,0.0776455", \ - "0.0317754,0.0327647,0.0345637,0.0377694,0.0435412,0.0543376,0.0751552"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.142408564,1.503709564,2.222042564,3.650242564,6.489772564,12.13529256,23.35979256"); - values ("0.0348638,0.0361116,0.0385028,0.0430958,0.0519609,0.0692991,0.103577", \ - "0.0356330,0.0368816,0.0392732,0.0438660,0.0527327,0.0700700,0.104347", \ - "0.0382284,0.0394774,0.0418686,0.0464617,0.0553259,0.0726628,0.106937", \ - "0.0403551,0.0416008,0.0439946,0.0485886,0.0574552,0.0747964,0.109076", \ - "0.0413350,0.0425811,0.0449736,0.0495661,0.0584314,0.0757633,0.110035", \ - "0.0407232,0.0419715,0.0443630,0.0489562,0.0578217,0.0751572,0.109435", \ - "0.0383233,0.0395635,0.0419553,0.0465497,0.0554176,0.0727586,0.107036"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.143777657,1.505078657,2.223411657,3.651611657,6.491141657,12.13666166,23.36116166"); - values ("0.00499097,0.00548700,0.00649367,0.00856067,0.0128350,0.0215667,0.0392889", \ - "0.00499304,0.00548234,0.00649305,0.00856190,0.0128351,0.0215678,0.0392903", \ - "0.00498495,0.00548705,0.00649579,0.00856032,0.0128370,0.0215690,0.0392891", \ - "0.00502189,0.00551473,0.00651544,0.00858076,0.0128464,0.0215739,0.0392899", \ - "0.00513635,0.00562240,0.00660630,0.00864074,0.0128821,0.0215901,0.0392863", \ - "0.00540758,0.00586594,0.00680528,0.00877855,0.0129592,0.0216281,0.0393086", \ - "0.00585671,0.00628824,0.00717681,0.00904696,0.0131095,0.0216995,0.0393257"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.142408564,1.503709564,2.222042564,3.650242564,6.489772564,12.13529256,23.35979256"); - values ("0.00622536,0.00722704,0.00920287,0.0131335,0.0210284,0.0368583,0.0684591", \ - "0.00623119,0.00722597,0.00919994,0.0131386,0.0210259,0.0368588,0.0684584", \ - "0.00623016,0.00722327,0.00920047,0.0131378,0.0210276,0.0368559,0.0684510", \ - "0.00622677,0.00722716,0.00920312,0.0131362,0.0210289,0.0368586,0.0684652", \ - "0.00622471,0.00722217,0.00919470,0.0131395,0.0210260,0.0368544,0.0684489", \ - "0.00622755,0.00722149,0.00919668,0.0131387,0.0210275,0.0368612,0.0684415", \ - "0.00622518,0.00722991,0.00920502,0.0131392,0.0210338,0.0368611,0.0684596"); - } - } - - timing () { - - related_pin : "OE"; - timing_type : three_state_disable; - timing_sense : negative_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.078340,0.081894,0.095433,0.117899,0.152188,0.199758,0.262659"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - values ("0.029192,0.034921,0.049024,0.076835,0.119502,0.178860,0.257259"); - } - } - - timing () { - - related_pin : "OE"; - timing_type : three_state_enable; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.143777657,1.505078657,2.223411657,3.651611657,6.491141657,12.13666166,23.36116166"); - values ("0.002768,0.003366,0.004571,0.007227,0.012619,0.023137,0.043891", \ - "0.003360,0.004084,0.005309,0.007937,0.013321,0.023831,0.044578", \ - "0.002271,0.003631,0.005936,0.009867,0.015996,0.026375,0.047069", \ - "-0.003239,-0.001041,0.002597,0.008530,0.017884,0.031133,0.052006", \ - "-0.014808,-0.011560,-0.006278,0.001927,0.014885,0.033054,0.059341", \ - "-0.033817,-0.029278,-0.022013,-0.011036,0.005534,0.029560,0.063075", \ - "-0.061426,-0.055363,-0.045790,-0.031532,-0.010906,0.019494,0.060908"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.142408564,1.503709564,2.222042564,3.650242564,6.489772564,12.13529256,23.35979256"); - values ("0.010530,0.011680,0.013918,0.018309,0.026996,0.044216,0.078395", \ - "0.011264,0.012415,0.014653,0.019042,0.027729,0.044946,0.079127", \ - "0.012837,0.014014,0.016233,0.020644,0.029322,0.046535,0.080712", \ - "0.013098,0.014504,0.016946,0.021437,0.030179,0.047297,0.081468", \ - "0.011182,0.012996,0.016018,0.020901,0.029795,0.047192,0.081241", \ - "0.006656,0.008939,0.012691,0.018556,0.027924,0.045622,0.080143", \ - "-0.000779,0.002014,0.006596,0.013664,0.024293,0.042418,0.077525"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.143777657,1.505078657,2.223411657,3.651611657,6.491141657,12.13666166,23.36116166"); - values ("0.001808,0.002402,0.003771,0.006672,0.011698,0.021163,0.039162", \ - "0.002394,0.002774,0.003876,0.006656,0.011687,0.021161,0.039164", \ - "0.004614,0.005262,0.006538,0.008933,0.012463,0.021120,0.039159", \ - "0.008023,0.008854,0.010539,0.013690,0.017817,0.024511,0.039361", \ - "0.012784,0.013782,0.015641,0.019420,0.025130,0.032685,0.045227", \ - "0.019094,0.020269,0.022373,0.026441,0.033556,0.042600,0.057310", \ - "0.027172,0.028500,0.030849,0.035083,0.043157,0.054625,0.071276"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.142408564,1.503709564,2.222042564,3.650242564,6.489772564,12.13529256,23.35979256"); - values ("0.005886,0.006821,0.008782,0.012810,0.020862,0.036797,0.068391", \ - "0.005897,0.006827,0.008782,0.012808,0.020863,0.036795,0.068386", \ - "0.005568,0.006573,0.008571,0.012730,0.020862,0.036799,0.068395", \ - "0.006238,0.006892,0.008423,0.012123,0.020268,0.036518,0.068383", \ - "0.007952,0.008587,0.009844,0.012812,0.020268,0.036093,0.067963", \ - "0.010187,0.010820,0.012094,0.014656,0.021093,0.036525,0.067785", \ - "0.012930,0.013572,0.014882,0.017453,0.022978,0.037418,0.068486"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.143777657,1.505078657,2.223411657,3.651611657,6.491141657,12.13666166,23.36116166"); - values ("5.817873,5.876028,6.062315,6.240499,6.405408,6.490542,6.531100", \ - "5.790111,5.829617,6.022322,6.200051,6.355036,6.453959,6.492066", \ - "5.788175,5.881476,6.023958,6.205640,6.367807,6.445985,6.495092", \ - "6.196656,6.265923,6.406157,6.603869,6.770571,6.851820,6.886250", \ - "6.966715,7.098736,7.288188,7.516075,7.787761,7.877874,7.910618", \ - "8.586298,8.639343,8.840940,9.057425,9.320238,9.517652,9.604219", \ - "10.693960,10.808040,11.001830,11.229820,11.542460,11.769110,11.935050"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.142408564,1.503709564,2.222042564,3.650242564,6.489772564,12.13529256,23.35979256"); - values ("5.466773,5.511547,5.648487,5.731169,5.768822,5.817915,5.846347", \ - "5.406575,5.461914,5.548594,5.667411,5.673696,5.720972,5.797968", \ - "5.420760,5.495204,5.577546,5.690212,5.753235,5.724932,5.810614", \ - "5.815881,5.870061,5.955864,6.011825,6.079937,6.110568,6.133045", \ - "6.887841,6.995495,7.074376,7.101604,7.078656,6.978142,7.097692", \ - "8.449578,8.585273,8.732864,8.832234,8.854214,8.785655,8.691546", \ - "10.344820,10.544920,10.759970,10.999190,11.261900,11.342490,11.203010"); - } - } - - internal_power () { - - related_pin : "G"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.143777657,1.505078657,2.223411657,3.651611657,6.491141657,12.13666166,23.36116166"); - values ("7.022933,7.108240,7.252739,7.427056,7.598931,7.687939,7.732583", \ - "7.006638,7.096629,7.237845,7.416662,7.583368,7.671801,7.710285", \ - "7.210750,7.350176,7.473759,7.654283,7.825561,7.922138,7.964161", \ - "8.126764,8.193473,8.367918,8.544730,8.715607,8.799328,8.839750", \ - "9.863957,10.000350,10.132560,10.325760,10.474170,10.548590,10.590330", \ - "12.161780,12.328630,12.538410,12.812780,13.133680,13.288720,13.302600", \ - "14.698740,14.911270,15.241080,15.669480,16.220550,16.791000,17.059620"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.142408564,1.503709564,2.222042564,3.650242564,6.489772564,12.13529256,23.35979256"); - values ("8.349854,8.440520,8.497525,8.624269,8.678258,8.688638,8.741080", \ - "8.353448,8.403282,8.524742,8.590569,8.645011,8.687334,8.725539", \ - "8.583730,8.647894,8.741353,8.808931,8.863022,8.953099,8.963612", \ - "9.402853,9.459874,9.582972,9.651827,9.705508,9.788875,9.775616", \ - "11.171650,11.231800,11.313380,11.363810,11.413540,11.474630,11.409860", \ - "13.480480,13.569980,13.770340,13.935660,14.007200,14.008540,14.094190", \ - "15.926390,16.193660,16.404220,16.777530,17.224260,17.484860,17.469260"); - } - } - - internal_power () { - - related_pin : "OE"; - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.143777657,1.505078657,2.223411657,3.651611657,6.491141657,12.13666166,23.36116166"); - values ("0.699726,0.849688,0.948980,1.007818,1.050666,1.088791,1.118298", \ - "0.685981,0.828015,0.931532,0.996494,1.032139,1.070353,1.101600", \ - "0.816276,1.051137,1.178515,1.224848,1.251926,1.284191,1.310558", \ - "1.181767,1.420817,1.933328,2.119593,2.093938,2.093978,2.107570", \ - "1.565194,1.885864,2.473722,3.336108,3.676190,3.654036,3.635323", \ - "1.939200,2.308745,3.021041,4.257521,5.443554,5.951780,5.917464", \ - "2.291785,2.722284,3.492973,4.942713,7.015961,8.565744,9.016296"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("1.142408564,1.503709564,2.222042564,3.650242564,6.489772564,12.13529256,23.35979256"); - values ("1.905507,1.922230,1.903851,1.914777,1.975503,2.016350,2.002084", \ - "1.884521,1.893525,1.878347,1.936222,1.957413,1.895871,1.948710", \ - "2.069019,2.097077,2.099881,2.156481,2.134523,2.061589,2.206130", \ - "2.815946,2.848100,2.881910,2.926895,2.935424,2.924002,2.945775", \ - "3.890914,3.991837,4.190337,4.341197,4.433069,4.465116,4.392781", \ - "4.919742,5.217729,5.616203,6.070458,6.540169,6.680421,6.732232", \ - "6.186921,6.514909,7.042561,7.821338,8.786506,9.579807,9.682122"); - } - } - } - - } - - - /****************************************************************************************** - Module : XNOR2_X1 - Cell Description : Combinational cell (XNOR2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (XNOR2_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 124.465906; - - leakage_power () { - when : "!A & !B"; - value : 121.237250; - } - leakage_power () { - when : "!A & B"; - value : 154.319375; - } - leakage_power () { - when : "A & !B"; - value : 142.144000; - } - leakage_power () { - when : "A & B"; - value : 80.163000; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.224423; - fall_capacitance : 2.121313; - rise_capacitance : 2.224423; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.570641; - fall_capacitance : 2.407389; - rise_capacitance : 2.570641; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 26.016200; - function : "!(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.005714,0.006444,0.007757,0.010354,0.015503,0.025746,0.046177", \ - "0.006412,0.007141,0.008458,0.011069,0.016244,0.026512,0.046961", \ - "0.008084,0.009081,0.010767,0.013784,0.018967,0.029130,0.049520", \ - "0.008371,0.009734,0.012021,0.016089,0.023078,0.034699,0.054812", \ - "0.006881,0.008627,0.011542,0.016724,0.025522,0.040031,0.063611", \ - "0.003391,0.005502,0.009037,0.015318,0.026006,0.043475,0.071583", \ - "-0.002250,0.000210,0.004325,0.011678,0.024229,0.044767,0.077459"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.009010,0.010251,0.012468,0.016821,0.025399,0.042410,0.076324", \ - "0.009562,0.010783,0.012986,0.017353,0.025980,0.043061,0.077030", \ - "0.013151,0.014461,0.016629,0.020715,0.029060,0.045926,0.079776", \ - "0.018301,0.019900,0.022640,0.027705,0.036693,0.052916,0.086108", \ - "0.025024,0.026951,0.030196,0.036105,0.046673,0.065068,0.097483", \ - "0.033117,0.035422,0.039275,0.046193,0.058261,0.079199,0.114888", \ - "0.042602,0.045287,0.049781,0.057820,0.071640,0.095013,0.134947"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.003453,0.004071,0.005196,0.007440,0.011904,0.020800,0.038563", \ - "0.003437,0.004068,0.005198,0.007446,0.011911,0.020802,0.038564", \ - "0.005485,0.005991,0.006888,0.008521,0.012138,0.020800,0.038563", \ - "0.009270,0.009872,0.010891,0.012779,0.016312,0.022856,0.038589", \ - "0.014512,0.015274,0.016555,0.018823,0.022759,0.029866,0.042908", \ - "0.021149,0.022095,0.023685,0.026471,0.031143,0.038974,0.052930", \ - "0.029311,0.030409,0.032306,0.035663,0.041258,0.050228,0.065207"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.006408,0.007487,0.009454,0.013381,0.021200,0.036833,0.068107", \ - "0.006400,0.007485,0.009450,0.013377,0.021201,0.036842,0.068124", \ - "0.007817,0.008585,0.010091,0.013478,0.021197,0.036853,0.068128", \ - "0.010775,0.011770,0.013544,0.016918,0.022950,0.036905,0.068120", \ - "0.015128,0.016151,0.017968,0.021549,0.028427,0.040751,0.068356", \ - "0.021000,0.022107,0.024052,0.027773,0.034919,0.048507,0.073237", \ - "0.028385,0.029573,0.031692,0.035717,0.043171,0.057288,0.083486"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.018614,0.019352,0.020663,0.023216,0.028242,0.038281,0.058510", \ - "0.019503,0.020244,0.021561,0.024124,0.029162,0.039209,0.059440", \ - "0.023133,0.023895,0.025245,0.027868,0.032984,0.043090,0.063340", \ - "0.028519,0.029318,0.030713,0.033383,0.038511,0.048708,0.068993", \ - "0.034547,0.035414,0.036909,0.039711,0.045005,0.055227,0.075362", \ - "0.040878,0.041835,0.043468,0.046463,0.051944,0.062318,0.082656", \ - "0.047352,0.048410,0.050211,0.053473,0.059271,0.069872,0.090368"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.020086,0.020790,0.022022,0.024379,0.028872,0.037607,0.055034", \ - "0.020663,0.021366,0.022600,0.024959,0.029462,0.038207,0.055639", \ - "0.023265,0.023966,0.025202,0.027575,0.032111,0.040897,0.058350", \ - "0.026896,0.027614,0.028870,0.031264,0.035801,0.044629,0.062070", \ - "0.030797,0.031558,0.032880,0.035360,0.039986,0.048772,0.066064", \ - "0.034234,0.035061,0.036500,0.039172,0.044035,0.053058,0.070555", \ - "0.036900,0.037805,0.039365,0.042272,0.047509,0.056906,0.074801"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.005421,0.006015,0.007090,0.009251,0.013610,0.022426,0.040209", \ - "0.005428,0.006018,0.007090,0.009252,0.013608,0.022426,0.040208", \ - "0.005518,0.006088,0.007144,0.009283,0.013622,0.022430,0.040208", \ - "0.005564,0.006089,0.007076,0.009136,0.013515,0.022458,0.040213", \ - "0.006189,0.006646,0.007513,0.009371,0.013396,0.021993,0.039884", \ - "0.007394,0.007809,0.008585,0.010240,0.013937,0.022155,0.039600", \ - "0.008998,0.009412,0.010144,0.011670,0.015029,0.022764,0.039821"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.006140,0.006691,0.007676,0.009622,0.013525,0.021509,0.037873", \ - "0.006146,0.006695,0.007678,0.009624,0.013526,0.021508,0.037870", \ - "0.006283,0.006822,0.007789,0.009706,0.013572,0.021522,0.037875", \ - "0.006385,0.006917,0.007860,0.009743,0.013642,0.021610,0.037902", \ - "0.007355,0.007858,0.008748,0.010505,0.014077,0.021629,0.037798", \ - "0.008797,0.009312,0.010212,0.011940,0.015370,0.022670,0.038291", \ - "0.010509,0.011055,0.012000,0.013788,0.017183,0.024217,0.039692"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.007478,0.008244,0.009609,0.012281,0.017523,0.027864,0.048396", \ - "0.008073,0.008840,0.010207,0.012883,0.018129,0.028474,0.049008", \ - "0.010456,0.011363,0.012909,0.015709,0.020837,0.031079,0.051538", \ - "0.012071,0.013268,0.015318,0.019056,0.025640,0.036790,0.056873", \ - "0.012475,0.013963,0.016509,0.021135,0.029240,0.043035,0.065928", \ - "0.011556,0.013319,0.016352,0.021860,0.031496,0.047784,0.074813", \ - "0.009234,0.011262,0.014765,0.021129,0.032287,0.051097,0.082037"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.011360,0.012579,0.014766,0.019082,0.027626,0.044614,0.078513", \ - "0.012086,0.013323,0.015543,0.019923,0.028553,0.045626,0.079593", \ - "0.014629,0.015933,0.018136,0.022435,0.031017,0.048114,0.082145", \ - "0.018467,0.019967,0.022563,0.027451,0.036513,0.053355,0.087121", \ - "0.024032,0.025807,0.028808,0.034299,0.044261,0.062435,0.095945", \ - "0.030675,0.032811,0.036389,0.042801,0.053997,0.073684,0.109219", \ - "0.038164,0.040691,0.044906,0.052421,0.065282,0.087007,0.124948"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.004978,0.005597,0.006721,0.008967,0.013448,0.022381,0.040209", \ - "0.004973,0.005597,0.006724,0.008970,0.013448,0.022381,0.040209", \ - "0.006624,0.007120,0.007964,0.009646,0.013544,0.022376,0.040207", \ - "0.010371,0.010959,0.011972,0.013863,0.017384,0.024055,0.040205", \ - "0.015550,0.016285,0.017506,0.019725,0.023705,0.030889,0.044090", \ - "0.022069,0.023009,0.024530,0.027224,0.031820,0.039728,0.053894", \ - "0.029991,0.031145,0.033002,0.036277,0.041701,0.050595,0.065834"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.006415,0.007494,0.009458,0.013379,0.021198,0.036838,0.068130", \ - "0.006416,0.007495,0.009459,0.013382,0.021196,0.036834,0.068124", \ - "0.007246,0.008118,0.009807,0.013420,0.021196,0.036840,0.068100", \ - "0.009810,0.010718,0.012391,0.015764,0.022361,0.036919,0.068104", \ - "0.013700,0.014571,0.016166,0.019426,0.026142,0.039474,0.068361", \ - "0.018798,0.019730,0.021414,0.024708,0.031252,0.044689,0.071603", \ - "0.024977,0.025992,0.027831,0.031393,0.038136,0.051328,0.078313"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.018201,0.019014,0.020434,0.023150,0.028389,0.038652,0.059064", \ - "0.019115,0.019928,0.021348,0.024068,0.029311,0.039577,0.059990", \ - "0.023110,0.023918,0.025331,0.028047,0.033296,0.043576,0.064001", \ - "0.029401,0.030241,0.031688,0.034421,0.039666,0.049953,0.070370", \ - "0.036742,0.037638,0.039165,0.041990,0.047284,0.057533,0.077875", \ - "0.045172,0.046138,0.047775,0.050752,0.056163,0.066452,0.086747", \ - "0.054628,0.055678,0.057451,0.060641,0.066280,0.076677,0.096978"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.021060,0.021764,0.022998,0.025362,0.029870,0.038619,0.056047", \ - "0.021598,0.022304,0.023548,0.025926,0.030461,0.039248,0.056718", \ - "0.023035,0.023744,0.024992,0.027386,0.031957,0.040798,0.058320", \ - "0.025260,0.025991,0.027269,0.029704,0.034311,0.043184,0.060728", \ - "0.027634,0.028402,0.029743,0.032275,0.037011,0.045968,0.063437", \ - "0.029033,0.029855,0.031289,0.033972,0.038940,0.048228,0.066089", \ - "0.029014,0.029899,0.031434,0.034312,0.039564,0.049219,0.067662"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.004224,0.004756,0.005750,0.007825,0.012102,0.020855,0.038566", \ - "0.004225,0.004755,0.005750,0.007825,0.012104,0.020853,0.038565", \ - "0.004290,0.004814,0.005793,0.007847,0.012112,0.020855,0.038565", \ - "0.004869,0.005323,0.006193,0.008085,0.012233,0.020903,0.038573", \ - "0.005795,0.006189,0.006956,0.008671,0.012535,0.020956,0.038560", \ - "0.007024,0.007374,0.008059,0.009586,0.013140,0.021257,0.038591", \ - "0.008526,0.008866,0.009503,0.010883,0.014103,0.021783,0.038818"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.006149,0.006699,0.007680,0.009622,0.013517,0.021494,0.037855", \ - "0.006147,0.006692,0.007673,0.009613,0.013511,0.021492,0.037856", \ - "0.006244,0.006782,0.007752,0.009672,0.013544,0.021505,0.037864", \ - "0.006239,0.006780,0.007745,0.009654,0.013547,0.021530,0.037890", \ - "0.006998,0.007535,0.008483,0.010338,0.014041,0.021678,0.037783", \ - "0.008107,0.008651,0.009609,0.011473,0.015166,0.022775,0.038497", \ - "0.009429,0.009995,0.010988,0.012882,0.016570,0.024162,0.040069"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("-0.001357,-0.001529,-0.001841,0.033070,0.073668,0.103895,0.120179", \ - "-0.001829,-0.002001,-0.002313,0.020043,0.084555,0.128090,0.154642", \ - "0.177194,0.128610,0.116401,0.126171,0.149031,0.173586,0.192386", \ - "1.298184,1.222932,1.089053,0.866119,0.604866,0.495810,0.395627", \ - "2.882479,2.819015,2.712635,2.491593,2.012809,1.396432,1.021008", \ - "4.922388,4.901307,4.850853,4.698055,4.255758,3.345078,2.303383", \ - "7.483492,7.489936,7.503510,7.436971,7.150654,6.242904,4.619454"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("3.894334,3.905905,3.923589,3.983631,3.949745,3.973653,4.007409", \ - "3.823640,3.827461,3.840213,3.875860,3.919571,3.981304,4.035449", \ - "4.157850,4.104829,4.080084,4.081571,4.001076,3.997037,3.823655", \ - "5.021211,5.051058,5.052426,4.875056,4.729674,4.556945,4.318288", \ - "6.598230,6.540255,6.488952,6.393902,6.164971,5.760143,5.265971", \ - "9.184647,9.145328,8.966196,8.732971,8.357981,7.784022,7.064761", \ - "12.668080,12.631650,12.435940,12.171200,11.570410,10.846950,9.460813"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("6.404137,6.389922,6.380608,6.323263,6.226717,6.087632,5.959428", \ - "6.355419,6.351952,6.347815,6.309768,6.207666,6.068867,5.943706", \ - "6.399604,6.419708,6.412663,6.420314,6.362136,6.259352,6.136568", \ - "6.819178,6.884344,6.955873,6.988548,6.968643,6.899963,6.795332", \ - "7.671302,7.705907,7.796713,7.931861,8.067049,8.129039,8.043513", \ - "9.303901,9.346029,9.394677,9.537871,9.711672,9.858391,9.959322", \ - "11.546990,11.606260,11.613580,11.723610,11.966650,12.250600,12.440480"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("5.059673,5.041157,5.034990,4.981343,4.956725,4.828261,4.681402", \ - "5.013087,5.025793,5.014192,4.955656,4.916954,4.795610,4.446553", \ - "5.037668,5.031488,5.023188,4.998773,4.954269,4.783078,4.576345", \ - "5.607974,5.620975,5.584516,5.558277,5.471966,5.420437,5.109619", \ - "7.260064,7.242037,7.233588,7.105973,6.888312,6.669021,6.365702", \ - "9.341313,9.339682,9.384507,9.345556,9.249827,9.021788,8.472062", \ - "11.850670,11.871050,11.965690,12.006950,12.022740,11.845540,11.430230"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.422747,0.432974,0.453626,0.484313,0.514460,0.550312,0.573217", \ - "0.348552,0.363274,0.391156,0.422061,0.449761,0.494007,0.516652", \ - "0.499278,0.501014,0.501875,0.502211,0.510262,0.523654,0.529203", \ - "1.596064,1.523683,1.410799,1.210385,1.012394,0.876094,0.757845", \ - "3.345522,3.264288,3.134410,2.879962,2.436543,1.851993,1.439074", \ - "5.638935,5.632861,5.512411,5.307937,4.795417,3.901061,2.847098", \ - "8.506636,8.517634,8.529423,8.397043,7.963260,6.963747,5.350153"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("4.918274,4.945611,4.962979,4.960378,4.989292,5.013758,4.924610", \ - "4.779974,4.803383,4.829151,4.848887,4.859935,4.936971,4.923404", \ - "4.764796,4.762623,4.767835,4.802088,4.763307,4.866093,4.943401", \ - "5.243833,5.260522,5.337923,5.239636,5.150005,5.090673,5.044234", \ - "6.524098,6.429935,6.360312,6.346079,6.253365,5.909109,5.658784", \ - "8.729593,8.673532,8.544055,8.288342,7.903752,7.608063,6.947609", \ - "11.851700,11.724650,11.579790,11.354230,10.801760,10.002210,8.827263"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("6.419237,6.460591,6.533006,6.615373,6.659901,6.676776,6.689112", \ - "6.396119,6.446367,6.522762,6.593989,6.642177,6.663980,6.665677", \ - "6.568797,6.606271,6.671461,6.748163,6.798207,6.835326,6.844638", \ - "7.144732,7.232596,7.311886,7.393491,7.451380,7.474501,7.487638", \ - "8.026758,8.118465,8.220413,8.348836,8.589511,8.712153,8.710203", \ - "9.865892,9.860698,9.930119,10.048400,10.203870,10.389800,10.589150", \ - "12.405290,12.413000,12.408890,12.456990,12.620010,12.752740,12.971070"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("4.360567,4.349652,4.339037,4.299399,4.279751,4.067360,4.057739", \ - "4.189799,4.203385,4.210527,4.165593,4.148368,3.953301,3.963478", \ - "4.054834,4.052387,4.077838,4.072325,4.047778,3.882336,3.876698", \ - "4.486644,4.471198,4.417726,4.408412,4.323166,4.338442,4.139298", \ - "5.899031,5.908122,5.891272,5.844118,5.684600,5.423639,5.198064", \ - "7.651792,7.634409,7.683702,7.756840,7.656543,7.485084,7.188727", \ - "9.693154,9.718822,9.761752,9.898845,9.992524,10.089940,9.963315"); - } - } - } - - } - - - /****************************************************************************************** - Module : XNOR2_X2 - Cell Description : Combinational cell (XNOR2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (XNOR2_X2) { - - drive_strength : 2; - - area : 2.660000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 249.716281; - - leakage_power () { - when : "!A & !B"; - value : 242.596250; - } - leakage_power () { - when : "!A & B"; - value : 309.609750; - } - leakage_power () { - when : "A & !B"; - value : 284.444000; - } - leakage_power () { - when : "A & B"; - value : 162.215125; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.970413; - fall_capacitance : 3.760204; - rise_capacitance : 3.970413; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.831753; - fall_capacitance : 4.489806; - rise_capacitance : 4.831753; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 52.032500; - function : "!(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.005506,0.006545,0.007867,0.010483,0.015665,0.025972,0.046525", \ - "0.006209,0.007243,0.008569,0.011199,0.016406,0.026737,0.047308", \ - "0.007791,0.009217,0.010905,0.013929,0.019132,0.029359,0.049873", \ - "0.007961,0.009911,0.012202,0.016279,0.023289,0.034948,0.055173", \ - "0.006363,0.008852,0.011774,0.016963,0.025789,0.040347,0.064007", \ - "0.002777,0.005789,0.009327,0.015627,0.026345,0.043866,0.072076", \ - "-0.002940,0.000561,0.004686,0.012061,0.024654,0.045249,0.078045"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.008539,0.010302,0.012528,0.016891,0.025485,0.042527,0.076494", \ - "0.009121,0.010844,0.013055,0.017430,0.026072,0.043182,0.077207", \ - "0.012661,0.014526,0.016698,0.020792,0.029153,0.046048,0.079953", \ - "0.017699,0.019969,0.022711,0.027781,0.036778,0.053030,0.086277", \ - "0.024269,0.027010,0.030258,0.036176,0.046755,0.065170,0.097639", \ - "0.032170,0.035450,0.039314,0.046246,0.058331,0.079290,0.115025", \ - "0.041448,0.045269,0.049776,0.057835,0.071679,0.095085,0.135067"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.003221,0.004099,0.005233,0.007490,0.011984,0.020934,0.038817", \ - "0.003200,0.004091,0.005234,0.007496,0.011989,0.020938,0.038817", \ - "0.005283,0.006000,0.006902,0.008549,0.012203,0.020938,0.038817", \ - "0.009028,0.009885,0.010908,0.012809,0.016360,0.022953,0.038838", \ - "0.014190,0.015294,0.016580,0.018856,0.022809,0.029957,0.043097", \ - "0.020742,0.022111,0.023708,0.026509,0.031210,0.039061,0.053105", \ - "0.028784,0.030407,0.032326,0.035706,0.041318,0.050334,0.065384"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.005968,0.007502,0.009471,0.013406,0.021246,0.036924,0.068260", \ - "0.005952,0.007494,0.009473,0.013402,0.021245,0.036926,0.068266", \ - "0.007518,0.008581,0.010101,0.013503,0.021249,0.036927,0.068265", \ - "0.010363,0.011762,0.013549,0.016931,0.022984,0.036994,0.068258", \ - "0.014725,0.016160,0.017986,0.021569,0.028459,0.040829,0.068503", \ - "0.020555,0.022123,0.024081,0.027813,0.034961,0.048575,0.073393", \ - "0.027898,0.029590,0.031732,0.035766,0.043228,0.057371,0.083619"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.017605,0.018652,0.019965,0.022534,0.027601,0.037732,0.058136", \ - "0.018499,0.019551,0.020869,0.023449,0.028528,0.038666,0.059070", \ - "0.022053,0.023135,0.024492,0.027132,0.032289,0.042484,0.062902", \ - "0.027144,0.028275,0.029674,0.032356,0.037512,0.047781,0.068227", \ - "0.032801,0.034029,0.035518,0.038320,0.043635,0.053938,0.074235", \ - "0.038697,0.040053,0.041675,0.044659,0.050140,0.060580,0.081086", \ - "0.044688,0.046187,0.047975,0.051221,0.057004,0.067642,0.088296"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.019022,0.020003,0.021220,0.023555,0.028031,0.036779,0.054278", \ - "0.019593,0.020572,0.021791,0.024130,0.028615,0.037374,0.054881", \ - "0.022138,0.023122,0.024348,0.026708,0.031232,0.040034,0.057561", \ - "0.025582,0.026587,0.027832,0.030212,0.034740,0.043571,0.061089", \ - "0.029325,0.030393,0.031702,0.034163,0.038775,0.047586,0.064965", \ - "0.032606,0.033771,0.035197,0.037843,0.042684,0.051731,0.069344", \ - "0.035165,0.036434,0.037985,0.040869,0.046066,0.055457,0.073480"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.005205,0.006049,0.007142,0.009333,0.013741,0.022645,0.040568", \ - "0.005210,0.006050,0.007143,0.009334,0.013741,0.022644,0.040566", \ - "0.005312,0.006125,0.007194,0.009363,0.013753,0.022648,0.040566", \ - "0.005292,0.006043,0.007049,0.009149,0.013591,0.022624,0.040569", \ - "0.005922,0.006569,0.007451,0.009344,0.013441,0.022152,0.040211", \ - "0.007129,0.007713,0.008490,0.010171,0.013932,0.022284,0.039918", \ - "0.008735,0.009303,0.010035,0.011566,0.014981,0.022849,0.040122"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.005848,0.006629,0.007620,0.009588,0.013541,0.021624,0.038124", \ - "0.005852,0.006632,0.007623,0.009590,0.013542,0.021623,0.038123", \ - "0.006021,0.006780,0.007748,0.009680,0.013590,0.021636,0.038127", \ - "0.006082,0.006827,0.007777,0.009670,0.013614,0.021692,0.038156", \ - "0.007071,0.007778,0.008669,0.010440,0.014068,0.021734,0.038041", \ - "0.008506,0.009228,0.010124,0.011856,0.015332,0.022783,0.038578", \ - "0.010197,0.010965,0.011909,0.013691,0.017110,0.024287,0.040011"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.007283,0.008382,0.009766,0.012470,0.017762,0.028189,0.048873", \ - "0.007878,0.008977,0.010363,0.013070,0.018367,0.028797,0.049483", \ - "0.010246,0.011544,0.013094,0.015901,0.021072,0.031401,0.052013", \ - "0.011826,0.013534,0.015589,0.019340,0.025943,0.037122,0.057354", \ - "0.012205,0.014328,0.016877,0.021512,0.029632,0.043472,0.066445", \ - "0.011277,0.013799,0.016830,0.022345,0.032002,0.048324,0.075460", \ - "0.008963,0.011879,0.015372,0.021738,0.032912,0.051774,0.082809"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.011054,0.012784,0.014981,0.019309,0.027869,0.044887,0.078837", \ - "0.011781,0.013531,0.015761,0.020151,0.028796,0.045901,0.079919", \ - "0.014296,0.016147,0.018355,0.022668,0.031271,0.048400,0.082490", \ - "0.018001,0.020137,0.022742,0.027646,0.036729,0.053608,0.087442", \ - "0.023394,0.025924,0.028934,0.034441,0.044428,0.062642,0.096220", \ - "0.029840,0.032884,0.036470,0.042898,0.054115,0.073844,0.109446", \ - "0.037114,0.040707,0.044936,0.052465,0.065350,0.087114,0.125123"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.004846,0.005725,0.006856,0.009117,0.013625,0.022615,0.040569", \ - "0.004837,0.005724,0.006858,0.009118,0.013626,0.022615,0.040567", \ - "0.006494,0.007199,0.008044,0.009752,0.013704,0.022613,0.040566", \ - "0.010221,0.011049,0.012063,0.013964,0.017501,0.024229,0.040563", \ - "0.015345,0.016389,0.017619,0.019839,0.023836,0.031049,0.044355", \ - "0.021801,0.023119,0.024647,0.027346,0.031950,0.039898,0.054147", \ - "0.029635,0.031245,0.033125,0.036406,0.041855,0.050773,0.066094"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.005987,0.007508,0.009477,0.013406,0.021252,0.036919,0.068268", \ - "0.005990,0.007509,0.009473,0.013403,0.021251,0.036918,0.068271", \ - "0.006882,0.008113,0.009812,0.013448,0.021251,0.036921,0.068263", \ - "0.009444,0.010717,0.012397,0.015782,0.022397,0.036997,0.068277", \ - "0.013377,0.014598,0.016197,0.019455,0.026182,0.039550,0.068517", \ - "0.018473,0.019785,0.021468,0.024763,0.031308,0.044767,0.071752", \ - "0.024645,0.026071,0.027908,0.031470,0.038223,0.051425,0.078467"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.017131,0.018276,0.019687,0.022399,0.027648,0.037961,0.058494", \ - "0.018044,0.019189,0.020601,0.023316,0.028570,0.038886,0.059421", \ - "0.022004,0.023141,0.024547,0.027258,0.032519,0.042852,0.063398", \ - "0.028006,0.029188,0.030626,0.033352,0.038599,0.048939,0.069479", \ - "0.035059,0.036320,0.037832,0.040636,0.045926,0.056214,0.076673", \ - "0.043170,0.044532,0.046149,0.049097,0.054485,0.064799,0.085209", \ - "0.052272,0.053755,0.055505,0.058659,0.064257,0.074657,0.095063"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.019898,0.020880,0.022101,0.024445,0.028936,0.037700,0.055200", \ - "0.020413,0.021400,0.022630,0.024988,0.029508,0.038310,0.055851", \ - "0.021761,0.022756,0.023994,0.026376,0.030938,0.039797,0.057392", \ - "0.023874,0.024902,0.026172,0.028594,0.033193,0.042073,0.059687", \ - "0.026071,0.027153,0.028484,0.031008,0.035742,0.044731,0.062281", \ - "0.027264,0.028423,0.029844,0.032518,0.037480,0.046811,0.064791", \ - "0.027057,0.028304,0.029838,0.032695,0.037936,0.047620,0.066209"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.003917,0.004675,0.005692,0.007801,0.012134,0.020971,0.038817", \ - "0.003917,0.004674,0.005692,0.007801,0.012133,0.020971,0.038817", \ - "0.004000,0.004742,0.005740,0.007826,0.012145,0.020973,0.038816", \ - "0.004592,0.005230,0.006115,0.008039,0.012247,0.021016,0.038821", \ - "0.005517,0.006067,0.006847,0.008590,0.012520,0.021050,0.038804", \ - "0.006764,0.007249,0.007929,0.009472,0.013090,0.021328,0.038829", \ - "0.008282,0.008739,0.009371,0.010747,0.014018,0.021820,0.039050"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.005857,0.006634,0.007622,0.009584,0.013531,0.021606,0.038104", \ - "0.005851,0.006628,0.007616,0.009578,0.013526,0.021608,0.038109", \ - "0.005972,0.006734,0.007707,0.009643,0.013562,0.021621,0.038118", \ - "0.005959,0.006723,0.007688,0.009607,0.013534,0.021618,0.038142", \ - "0.006752,0.007508,0.008460,0.010331,0.014081,0.021811,0.038035", \ - "0.007865,0.008632,0.009593,0.011467,0.015209,0.022947,0.038813", \ - "0.009189,0.009987,0.010977,0.012877,0.016601,0.024331,0.040444"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("-0.002608,-0.003100,-0.003728,0.034532,0.111948,0.178081,0.209819", \ - "-0.003553,-0.004044,-0.004673,0.043738,0.134544,0.228194,0.281069", \ - "0.394097,0.252697,0.208354,0.245419,0.281800,0.321200,0.349465", \ - "2.654345,2.418462,2.161792,1.702639,1.204301,0.960345,0.767154", \ - "5.777178,5.660893,5.432538,4.932849,3.981795,2.745495,2.002157", \ - "9.838577,9.811539,9.687749,9.371144,8.435490,6.626241,4.550727", \ - "14.904520,14.992100,14.889310,14.827180,14.203400,12.406030,9.152204"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("7.704505,7.749183,7.874354,7.911111,7.982543,7.874546,8.007651", \ - "7.620891,7.623649,7.671478,7.799490,7.797213,7.832229,7.743876", \ - "8.302773,8.257517,8.198477,8.074754,8.034695,7.969880,7.937227", \ - "9.929598,10.055750,10.095750,9.839404,9.380239,8.936419,8.776434", \ - "13.226000,13.052130,12.939890,12.717840,12.403730,11.524650,10.286300", \ - "18.374420,18.226110,17.920350,17.479290,16.705250,15.470750,13.675840", \ - "25.369460,25.178520,24.916620,24.342770,23.078180,21.588380,19.085190"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("11.949860,11.955530,11.889750,11.775040,11.571840,11.315770,11.071970", \ - "11.904220,11.888060,11.850500,11.727670,11.544190,11.278310,11.050490", \ - "12.009740,12.026150,12.031140,11.982240,11.877150,11.676370,11.465000", \ - "12.862250,12.984520,13.139840,13.198600,13.149570,13.013490,12.824230", \ - "14.627780,14.769220,14.908680,15.144620,15.384470,15.548990,15.382120", \ - "17.968070,18.039570,18.125880,18.401280,18.727500,19.044240,19.292300", \ - "22.423060,22.514250,22.526680,22.782790,23.240000,23.839690,24.282980"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("10.116840,10.163780,10.144950,10.032200,9.930146,9.697526,9.451115", \ - "9.997858,10.060350,10.032770,10.017420,9.879486,9.527351,9.286024", \ - "10.064520,10.106660,10.052080,10.109530,9.858028,9.618216,9.183930", \ - "11.396850,11.326010,11.219760,11.217430,11.149140,10.843620,10.273270", \ - "14.602270,14.564200,14.596400,14.371860,13.971440,13.572190,13.016150", \ - "18.706990,18.883010,18.894160,18.885280,18.720220,18.257700,17.407270", \ - "23.751660,23.946080,24.001170,24.008390,24.109990,24.213730,23.540570"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.829456,0.857303,0.908078,0.945728,1.018115,1.077196,1.127647", \ - "0.687210,0.716149,0.775061,0.817561,0.898273,0.969176,1.019325", \ - "1.010906,1.022722,1.004330,1.008938,1.003633,1.015571,1.036848", \ - "3.181857,2.993595,2.767185,2.375133,1.992837,1.709873,1.481111", \ - "6.687025,6.463366,6.223093,5.703232,4.803118,3.649126,2.832209", \ - "11.257700,11.142500,10.999500,10.508180,9.503164,7.711055,5.617530", \ - "17.046660,17.064290,16.996060,16.679660,15.813890,13.801940,10.581070"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("9.970962,10.021580,10.054910,10.154470,10.135360,10.088160,10.098660", \ - "9.698026,9.768330,9.788103,9.917486,9.990508,9.972212,10.012300", \ - "9.655493,9.670954,9.651762,9.765925,9.782470,9.936635,9.912361", \ - "10.562060,10.702890,10.786050,10.582300,10.447520,10.193700,9.830317", \ - "13.158590,12.965350,12.919860,12.722550,12.494010,11.867700,11.339030", \ - "17.570690,17.446900,17.208960,16.752440,16.087970,15.228610,13.764050", \ - "23.804930,23.467030,23.301310,22.694300,21.618320,20.101390,18.235890"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("12.071540,12.221840,12.342190,12.452830,12.526890,12.571520,12.577580", \ - "12.041120,12.188330,12.284720,12.416320,12.487650,12.533290,12.542690", \ - "12.424620,12.525810,12.640800,12.752250,12.828250,12.897640,12.929450", \ - "13.421630,13.740150,13.959130,14.092900,14.169690,14.216870,14.243920", \ - "15.377430,15.573780,15.711210,16.013200,16.410400,16.739420,16.744950", \ - "19.112610,19.207610,19.247260,19.456300,19.732010,20.116360,20.553150", \ - "24.134430,24.161850,24.228370,24.335790,24.567430,24.872170,25.334870"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("8.680502,8.733953,8.667986,8.720304,8.511886,8.345776,8.024014", \ - "8.364802,8.427097,8.434904,8.376698,8.317461,8.173190,7.949253", \ - "8.075442,8.067037,8.152638,8.167514,8.071737,7.911660,7.872791", \ - "9.036808,9.013224,8.959468,8.875676,8.889389,8.662720,8.288926", \ - "11.944940,11.978950,11.940710,11.782350,11.596410,10.995650,10.402590", \ - "15.440790,15.451130,15.576390,15.676460,15.654520,15.201030,14.388760", \ - "19.471790,19.567280,19.719100,19.827810,20.221650,20.636860,20.429130"); - } - } - } - - } - - - /****************************************************************************************** - Module : XOR2_X1 - Cell Description : Combinational cell (XOR2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (XOR2_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 121.453031; - - leakage_power () { - when : "!A & !B"; - value : 85.975750; - } - leakage_power () { - when : "!A & B"; - value : 139.945000; - } - leakage_power () { - when : "A & !B"; - value : 84.243375; - } - leakage_power () { - when : "A & B"; - value : 175.648000; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.204105; - fall_capacitance : 2.204105; - rise_capacitance : 2.193400; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.450009; - fall_capacitance : 2.450009; - rise_capacitance : 2.374963; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.329600; - function : "(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.024383,0.025005,0.026105,0.028157,0.031925,0.038819,0.051655", \ - "0.024816,0.025444,0.026551,0.028615,0.032398,0.039307,0.052155", \ - "0.028618,0.029249,0.030360,0.032437,0.036237,0.043160,0.056010", \ - "0.035964,0.036625,0.037789,0.039978,0.043892,0.050906,0.063783", \ - "0.045556,0.046281,0.047549,0.049858,0.053947,0.061143,0.074168", \ - "0.057497,0.058295,0.059678,0.062190,0.066573,0.074094,0.087376", \ - "0.071843,0.072719,0.074242,0.077005,0.081792,0.089855,0.103701"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.021567,0.022689,0.024739,0.028767,0.036755,0.052831,0.085370", \ - "0.022321,0.023440,0.025490,0.029530,0.037552,0.053663,0.086216", \ - "0.023897,0.025031,0.027119,0.031250,0.039449,0.055795,0.088530", \ - "0.024818,0.025963,0.028055,0.032158,0.040239,0.056747,0.089651", \ - "0.023837,0.025036,0.027199,0.031388,0.039625,0.056003,0.088668", \ - "0.020413,0.021688,0.023963,0.028271,0.036543,0.052965,0.085782", \ - "0.014369,0.015691,0.018055,0.022545,0.030958,0.047336,0.080207"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.006460,0.006852,0.007547,0.008875,0.011397,0.016307,0.026292", \ - "0.006470,0.006857,0.007552,0.008877,0.011399,0.016307,0.026292", \ - "0.006528,0.006913,0.007595,0.008909,0.011414,0.016314,0.026294", \ - "0.007038,0.007420,0.008087,0.009359,0.011810,0.016554,0.026396", \ - "0.007661,0.008031,0.008675,0.009892,0.012224,0.016915,0.026715", \ - "0.008851,0.009231,0.009888,0.011093,0.013331,0.017755,0.027123", \ - "0.010476,0.010886,0.011580,0.012840,0.015097,0.019377,0.028381"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.008270,0.009295,0.011197,0.015014,0.022668,0.037964,0.068515", \ - "0.008272,0.009293,0.011196,0.015015,0.022668,0.037965,0.068514", \ - "0.008357,0.009358,0.011235,0.015029,0.022672,0.037967,0.068508", \ - "0.007656,0.008628,0.010463,0.014312,0.022224,0.037970,0.068525", \ - "0.008084,0.008968,0.010651,0.014184,0.021596,0.036908,0.068059", \ - "0.009035,0.009886,0.011479,0.014790,0.021901,0.036882,0.067290", \ - "0.010144,0.010994,0.012576,0.015782,0.022555,0.037248,0.067481"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.005773,0.006470,0.007748,0.010275,0.015280,0.025240,0.045121", \ - "0.006457,0.007153,0.008435,0.010974,0.016001,0.025984,0.045882", \ - "0.008078,0.009034,0.010689,0.013655,0.018730,0.028604,0.048436", \ - "0.008313,0.009613,0.011858,0.015854,0.022724,0.034153,0.053755", \ - "0.006770,0.008435,0.011300,0.016387,0.025034,0.039302,0.062487", \ - "0.003230,0.005240,0.008713,0.014888,0.025395,0.042580,0.070209", \ - "-0.002466,-0.000123,0.003928,0.011155,0.023490,0.043691,0.075846"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.008544,0.009738,0.011917,0.016185,0.024566,0.041159,0.074206", \ - "0.009144,0.010313,0.012474,0.016756,0.025185,0.041845,0.074951", \ - "0.012829,0.014069,0.016207,0.020158,0.028306,0.044750,0.077733", \ - "0.018056,0.019573,0.022240,0.027174,0.035951,0.051770,0.084093", \ - "0.024795,0.026633,0.029804,0.035578,0.045901,0.063897,0.095514", \ - "0.032888,0.035084,0.038855,0.045634,0.057452,0.077933,0.112900", \ - "0.042361,0.044919,0.049320,0.057205,0.070759,0.093663,0.132765"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.003385,0.003968,0.005052,0.007215,0.011538,0.020183,0.037470", \ - "0.003380,0.003966,0.005051,0.007214,0.011539,0.020182,0.037469", \ - "0.005423,0.005909,0.006788,0.008373,0.011824,0.020183,0.037468", \ - "0.009162,0.009743,0.010750,0.012613,0.016067,0.022412,0.037534", \ - "0.014362,0.015106,0.016370,0.018604,0.022486,0.029438,0.042110", \ - "0.020956,0.021889,0.023462,0.026214,0.030819,0.038513,0.052156", \ - "0.029061,0.030167,0.032047,0.035358,0.040878,0.049715,0.064395"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.006453,0.007500,0.009445,0.013275,0.020911,0.036143,0.066598", \ - "0.006400,0.007473,0.009435,0.013277,0.020900,0.036135,0.066605", \ - "0.007772,0.008506,0.010007,0.013347,0.020902,0.036136,0.066591", \ - "0.010696,0.011645,0.013382,0.016698,0.022646,0.036228,0.066607", \ - "0.015066,0.016037,0.017813,0.021301,0.028034,0.040149,0.066900", \ - "0.020934,0.021996,0.023891,0.027527,0.034501,0.047792,0.071955", \ - "0.028305,0.029449,0.031519,0.035453,0.042738,0.056515,0.082191"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.026725,0.027348,0.028457,0.030522,0.034298,0.041189,0.054017", \ - "0.027495,0.028125,0.029241,0.031320,0.035115,0.042027,0.054872", \ - "0.030163,0.030795,0.031911,0.033999,0.037810,0.044746,0.057612", \ - "0.035423,0.036089,0.037258,0.039441,0.043371,0.050411,0.063324", \ - "0.043466,0.044187,0.045448,0.047762,0.051880,0.059140,0.072202", \ - "0.053911,0.054691,0.056057,0.058548,0.062947,0.070575,0.084045", \ - "0.066377,0.067230,0.068718,0.071427,0.076187,0.084353,0.098527"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.020188,0.021363,0.023508,0.027722,0.036027,0.052516,0.085447", \ - "0.020908,0.022083,0.024227,0.028439,0.036752,0.053254,0.086191", \ - "0.023368,0.024533,0.026665,0.030863,0.039185,0.055743,0.088751", \ - "0.025457,0.026611,0.028707,0.032819,0.041018,0.057581,0.090628", \ - "0.025947,0.027154,0.029307,0.033453,0.041596,0.057889,0.090741", \ - "0.024459,0.025722,0.027969,0.032212,0.040357,0.056591,0.089228", \ - "0.020917,0.022212,0.024535,0.028927,0.037167,0.053318,0.085882"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.006424,0.006813,0.007507,0.008832,0.011360,0.016280,0.026276", \ - "0.006415,0.006804,0.007496,0.008820,0.011349,0.016271,0.026273", \ - "0.006473,0.006856,0.007541,0.008853,0.011370,0.016279,0.026276", \ - "0.006851,0.007240,0.007925,0.009211,0.011690,0.016486,0.026362", \ - "0.007254,0.007637,0.008319,0.009597,0.012024,0.016789,0.026595", \ - "0.008212,0.008615,0.009312,0.010604,0.013017,0.017671,0.027134", \ - "0.009558,0.009980,0.010713,0.012059,0.014536,0.019223,0.028583"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.006735,0.007716,0.009559,0.013312,0.020902,0.036129,0.066601", \ - "0.006732,0.007712,0.009560,0.013311,0.020903,0.036130,0.066616", \ - "0.006798,0.007769,0.009596,0.013327,0.020904,0.036139,0.066597", \ - "0.006966,0.007859,0.009568,0.013181,0.020813,0.036147,0.066601", \ - "0.007748,0.008577,0.010170,0.013562,0.020806,0.035838,0.066503", \ - "0.008716,0.009531,0.011059,0.014258,0.021233,0.036062,0.066245", \ - "0.009758,0.010567,0.012094,0.015204,0.021871,0.036450,0.066529"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.006799,0.007493,0.008768,0.011288,0.016287,0.026243,0.046122", \ - "0.007336,0.008047,0.009349,0.011908,0.016951,0.026945,0.046850", \ - "0.008294,0.009151,0.010653,0.013454,0.018639,0.028662,0.048600", \ - "0.008061,0.009245,0.011274,0.014870,0.021049,0.031894,0.051969", \ - "0.005686,0.007242,0.009899,0.014580,0.022452,0.035298,0.057062", \ - "0.000885,0.002806,0.006084,0.011873,0.021614,0.037291,0.062210", \ - "-0.006596,-0.004309,-0.000413,0.006475,0.018091,0.036797,0.066020"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.011018,0.012172,0.014297,0.018504,0.026841,0.043418,0.076478", \ - "0.011607,0.012760,0.014888,0.019106,0.027467,0.044071,0.077152", \ - "0.015631,0.016690,0.018645,0.022639,0.030748,0.047127,0.080036", \ - "0.022037,0.023403,0.025839,0.030415,0.038670,0.054356,0.086581", \ - "0.030408,0.031998,0.034805,0.040086,0.049790,0.067025,0.098276", \ - "0.040906,0.042746,0.045994,0.051990,0.062856,0.082339,0.116232", \ - "0.053589,0.055717,0.059434,0.066256,0.078405,0.099808,0.137465"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.003385,0.003968,0.005052,0.007213,0.011538,0.020181,0.037471", \ - "0.003388,0.003968,0.005052,0.007213,0.011539,0.020179,0.037469", \ - "0.004549,0.005020,0.005926,0.007780,0.011705,0.020183,0.037471", \ - "0.007515,0.007988,0.008831,0.010465,0.013867,0.021250,0.037557", \ - "0.011671,0.012243,0.013248,0.015099,0.018474,0.025110,0.039654", \ - "0.016750,0.017454,0.018687,0.020935,0.024835,0.031627,0.045013", \ - "0.022746,0.023573,0.025061,0.027767,0.032406,0.040080,0.053423"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.008142,0.009191,0.011136,0.014994,0.022664,0.037970,0.068512", \ - "0.008122,0.009177,0.011127,0.014992,0.022667,0.037960,0.068513", \ - "0.008816,0.009677,0.011351,0.014932,0.022652,0.037969,0.068515", \ - "0.011720,0.012718,0.014496,0.017757,0.023932,0.037960,0.068521", \ - "0.015256,0.016356,0.018329,0.022091,0.029013,0.041330,0.068681", \ - "0.019961,0.021173,0.023302,0.027326,0.034869,0.048593,0.073223", \ - "0.025862,0.027208,0.029574,0.033911,0.041876,0.056596,0.082842"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("6.490782,6.496247,6.526801,6.569614,6.559068,6.504318,6.404504", \ - "6.424494,6.472076,6.468760,6.500916,6.521311,6.465390,6.365035", \ - "6.555875,6.568983,6.614793,6.675245,6.689005,6.648418,6.554291", \ - "7.103480,7.106236,7.161255,7.209815,7.261487,7.241042,7.131198", \ - "7.943858,7.977303,8.026143,8.124858,8.234352,8.282955,8.188224", \ - "9.531580,9.520157,9.597047,9.660885,9.726967,9.780171,9.786386", \ - "11.745880,11.768400,11.810000,11.859480,11.914000,11.983030,11.969520"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("5.218374,5.215546,5.177975,5.166501,5.095942,4.874536,4.589010", \ - "5.200098,5.170242,5.201532,5.151441,5.022727,4.901597,4.586084", \ - "5.052708,5.043050,5.024436,5.040119,5.033772,4.886224,4.822168", \ - "5.369238,5.387838,5.390802,5.418902,5.401509,5.368862,5.286841", \ - "6.588704,6.583157,6.551532,6.534117,6.421324,6.421345,6.431048", \ - "8.010761,8.075377,8.094325,8.219647,8.244112,8.107494,8.090528", \ - "9.643030,9.770478,9.820512,10.082870,10.385520,10.633500,10.331490"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.165223,0.169911,0.186063,0.204903,0.216773,0.229498,0.232056", \ - "0.153977,0.161912,0.183165,0.209769,0.235034,0.259777,0.275008", \ - "0.418173,0.371179,0.348549,0.330073,0.320696,0.313014,0.315187", \ - "1.465748,1.398793,1.267064,1.061803,0.801958,0.654401,0.533018", \ - "2.987436,2.937302,2.840366,2.634445,2.182805,1.582902,1.170547", \ - "4.996651,4.949216,4.949983,4.782050,4.394728,3.520673,2.481487", \ - "7.545688,7.550305,7.577560,7.505658,7.211860,6.392549,4.820264"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("3.617574,3.646524,3.695019,3.684167,3.717991,3.724726,3.791501", \ - "3.512464,3.585891,3.602442,3.654344,3.692444,3.689867,3.708404", \ - "3.881095,3.869857,3.848000,3.816693,3.824332,3.807846,3.814272", \ - "4.757561,4.767783,4.771823,4.674033,4.481431,4.286960,4.181758", \ - "6.458228,6.403898,6.277317,6.161574,5.998136,5.546031,5.090736", \ - "9.062446,8.984345,8.868914,8.621296,8.218682,7.692887,6.824765", \ - "12.623570,12.498350,12.368230,12.105810,11.527610,10.683120,9.591729"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("6.965575,7.007720,7.005621,7.065887,7.082823,7.042691,6.937483", \ - "6.892561,6.888456,6.919894,6.982869,7.018795,6.975227,6.885918", \ - "6.794094,6.835370,6.864476,6.931436,6.975511,6.951064,6.873481", \ - "7.051306,7.079887,7.098580,7.189136,7.254923,7.252563,7.187449", \ - "7.563888,7.628752,7.703359,7.823220,7.976454,8.093329,8.023823", \ - "8.876021,8.908473,8.992601,9.086160,9.221970,9.344262,9.410025", \ - "10.696820,10.736940,10.822420,10.932730,11.089990,11.247400,11.350690"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("4.454995,4.489409,4.517733,4.559001,4.563388,4.520884,4.518771", \ - "4.443407,4.456317,4.483992,4.525063,4.540186,4.475539,4.315340", \ - "4.475176,4.491892,4.515256,4.510139,4.556596,4.589598,4.469437", \ - "4.925923,4.932737,4.896629,4.951288,4.932141,4.964974,4.979233", \ - "6.218998,6.235108,6.153114,6.107107,5.936182,5.912037,5.940046", \ - "7.982600,7.983805,7.996711,7.933963,7.855961,7.610999,7.441603", \ - "9.978579,10.062300,10.059440,10.178260,10.233350,10.129710,9.784287"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("-0.000878,-0.000979,-0.001164,-0.001531,-0.002254,-0.003674,-0.006440", \ - "-0.001123,-0.001225,-0.001411,-0.001780,-0.002505,-0.003926,-0.006690", \ - "-0.001977,-0.002081,-0.002259,-0.002621,-0.003343,-0.004758,-0.007518", \ - "0.691671,0.642152,0.539939,0.357579,0.107970,0.026832,-0.009106", \ - "1.991248,1.938387,1.884644,1.716427,1.346755,0.793297,0.446702", \ - "3.595334,3.646146,3.586301,3.520135,3.240842,2.534007,1.538861", \ - "5.709626,5.660318,5.749982,5.717611,5.571967,4.997241,3.670297"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("4.898414,4.933176,4.955405,4.928439,4.928210,4.932700,4.974870", \ - "4.854011,4.876497,4.901360,4.909743,4.917202,4.932117,4.879510", \ - "5.156451,5.173624,5.169045,5.087543,5.082192,4.946812,4.880426", \ - "6.207242,6.236989,6.162991,6.062637,5.853188,5.650738,5.411507", \ - "7.786557,7.764023,7.706653,7.696060,7.482302,6.880060,6.387943", \ - "10.373580,10.373620,10.291020,10.071430,9.824224,9.296750,8.213496", \ - "13.939150,13.929350,13.819470,13.618380,13.201470,12.420890,11.309690"); - } - } - } - - } - - - /****************************************************************************************** - Module : XOR2_X2 - Cell Description : Combinational cell (XOR2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (XOR2_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 243.783719; - - leakage_power () { - when : "!A & !B"; - value : 172.903750; - } - leakage_power () { - when : "!A & B"; - value : 280.506375; - } - leakage_power () { - when : "A & !B"; - value : 169.435000; - } - leakage_power () { - when : "A & B"; - value : 352.289750; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.267855; - fall_capacitance : 4.267855; - rise_capacitance : 4.260731; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.558431; - fall_capacitance : 4.558431; - rise_capacitance : 4.398174; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 50.506600; - function : "(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.024836,0.025731,0.026835,0.028893,0.032675,0.039591,0.052437", \ - "0.025259,0.026162,0.027272,0.029342,0.033140,0.040071,0.052930", \ - "0.029021,0.029924,0.031042,0.033125,0.036937,0.043883,0.056745", \ - "0.036378,0.037327,0.038508,0.040692,0.044615,0.051647,0.064537", \ - "0.045991,0.047031,0.048293,0.050607,0.054708,0.061927,0.074975", \ - "0.057931,0.059072,0.060450,0.062964,0.067354,0.074895,0.088198", \ - "0.072269,0.073523,0.075046,0.077801,0.082587,0.090664,0.104523"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.021754,0.023360,0.025410,0.029436,0.037411,0.053440,0.085875", \ - "0.022513,0.024116,0.026165,0.030202,0.038207,0.054270,0.086720", \ - "0.024167,0.025787,0.027869,0.031988,0.040162,0.056455,0.089083", \ - "0.025181,0.026821,0.028907,0.032993,0.041079,0.057537,0.090339", \ - "0.024312,0.026033,0.028189,0.032373,0.040592,0.056920,0.089506", \ - "0.021002,0.022828,0.025100,0.029402,0.037661,0.054042,0.086765", \ - "0.015096,0.016988,0.019349,0.023831,0.032235,0.048590,0.081368"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.006468,0.007027,0.007722,0.009046,0.011567,0.016464,0.026396", \ - "0.006474,0.007036,0.007727,0.009051,0.011569,0.016464,0.026396", \ - "0.006533,0.007083,0.007771,0.009077,0.011584,0.016470,0.026398", \ - "0.007057,0.007598,0.008265,0.009530,0.011974,0.016701,0.026499", \ - "0.007663,0.008185,0.008832,0.010050,0.012389,0.017082,0.026833", \ - "0.008837,0.009379,0.010033,0.011238,0.013484,0.017908,0.027238", \ - "0.010437,0.011019,0.011716,0.012977,0.015235,0.019516,0.028484"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.008009,0.009461,0.011361,0.015166,0.022795,0.038054,0.068510", \ - "0.008012,0.009462,0.011362,0.015167,0.022793,0.038053,0.068513", \ - "0.008103,0.009527,0.011398,0.015178,0.022796,0.038052,0.068513", \ - "0.007436,0.008818,0.010661,0.014523,0.022394,0.038055,0.068521", \ - "0.007873,0.009128,0.010812,0.014344,0.021738,0.037035,0.068091", \ - "0.008828,0.010035,0.011633,0.014945,0.022039,0.036975,0.067317", \ - "0.009947,0.011150,0.012732,0.015937,0.022699,0.037338,0.067491"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.005581,0.006575,0.007849,0.010367,0.015359,0.025291,0.045118", \ - "0.006269,0.007259,0.008537,0.011068,0.016081,0.026036,0.045880", \ - "0.007812,0.009179,0.010818,0.013761,0.018812,0.028659,0.048436", \ - "0.007943,0.009810,0.012031,0.015996,0.022827,0.034211,0.053756", \ - "0.006297,0.008682,0.011517,0.016564,0.025166,0.039372,0.062490", \ - "0.002654,0.005542,0.008974,0.015102,0.025549,0.042662,0.070208", \ - "-0.003130,0.000229,0.004227,0.011399,0.023668,0.043786,0.075839"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.008164,0.009867,0.012039,0.016293,0.024651,0.041195,0.074144", \ - "0.008784,0.010445,0.012600,0.016868,0.025274,0.041885,0.074891", \ - "0.012450,0.014221,0.016329,0.020272,0.028397,0.044796,0.077679", \ - "0.017603,0.019770,0.022416,0.027318,0.036047,0.051815,0.084043", \ - "0.024249,0.026873,0.030016,0.035751,0.046023,0.063946,0.095467", \ - "0.032223,0.035365,0.039108,0.045840,0.057594,0.077994,0.112852", \ - "0.041585,0.045242,0.049608,0.057440,0.070921,0.093734,0.132713"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.003218,0.004047,0.005127,0.007283,0.011595,0.020214,0.037454", \ - "0.003210,0.004045,0.005125,0.007283,0.011596,0.020216,0.037451", \ - "0.005280,0.005972,0.006845,0.008422,0.011874,0.020216,0.037453", \ - "0.008988,0.009817,0.010813,0.012664,0.016111,0.022434,0.037517", \ - "0.014134,0.015194,0.016449,0.018670,0.022528,0.029462,0.042097", \ - "0.020679,0.021991,0.023549,0.026280,0.030872,0.038532,0.052142", \ - "0.028724,0.030283,0.032145,0.035436,0.040914,0.049729,0.064371"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.006139,0.007645,0.009586,0.013421,0.021016,0.036218,0.066595", \ - "0.006082,0.007620,0.009574,0.013417,0.021025,0.036213,0.066599", \ - "0.007567,0.008608,0.010121,0.013471,0.021015,0.036222,0.066592", \ - "0.010419,0.011763,0.013494,0.016798,0.022733,0.036297,0.066607", \ - "0.014784,0.016160,0.017931,0.021408,0.028117,0.040188,0.066899", \ - "0.020610,0.022114,0.024011,0.027632,0.034581,0.047840,0.071952", \ - "0.027945,0.029570,0.031646,0.035559,0.042814,0.056558,0.082176"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.027209,0.028110,0.029223,0.031290,0.035081,0.041998,0.054836", \ - "0.027973,0.028884,0.030004,0.032088,0.035897,0.042833,0.055688", \ - "0.030610,0.031522,0.032643,0.034732,0.038560,0.045521,0.058396", \ - "0.035836,0.036788,0.037958,0.040152,0.044091,0.051150,0.064075", \ - "0.043834,0.044867,0.046130,0.048445,0.052573,0.059853,0.072933", \ - "0.054246,0.055367,0.056730,0.059221,0.063621,0.071266,0.084745", \ - "0.066682,0.067906,0.069386,0.072093,0.076849,0.085023,0.099198"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.020336,0.022015,0.024157,0.028364,0.036650,0.053095,0.085931", \ - "0.021057,0.022733,0.024873,0.029079,0.037372,0.053829,0.086673", \ - "0.023568,0.025233,0.027359,0.031549,0.039850,0.056361,0.089271", \ - "0.025764,0.027420,0.029515,0.033620,0.041818,0.058333,0.091287", \ - "0.026375,0.028103,0.030255,0.034397,0.042527,0.058779,0.091553", \ - "0.025021,0.026831,0.029073,0.033313,0.041451,0.057649,0.090196", \ - "0.021642,0.023504,0.025818,0.030208,0.038443,0.054565,0.087034"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.006436,0.006993,0.007682,0.009008,0.011531,0.016436,0.026380", \ - "0.006424,0.006980,0.007672,0.008994,0.011519,0.016427,0.026376", \ - "0.006478,0.007031,0.007716,0.009028,0.011538,0.016435,0.026380", \ - "0.006870,0.007428,0.008100,0.009387,0.011863,0.016635,0.026465", \ - "0.007240,0.007791,0.008473,0.009748,0.012179,0.016943,0.026708", \ - "0.008181,0.008755,0.009449,0.010742,0.013151,0.017806,0.027239", \ - "0.009509,0.010113,0.010845,0.012192,0.014664,0.019348,0.028673"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.006494,0.007889,0.009723,0.013459,0.021021,0.036210,0.066597", \ - "0.006493,0.007891,0.009723,0.013459,0.021022,0.036211,0.066593", \ - "0.006564,0.007937,0.009753,0.013473,0.021026,0.036214,0.066593", \ - "0.006759,0.008023,0.009724,0.013350,0.020950,0.036225,0.066594", \ - "0.007555,0.008724,0.010321,0.013711,0.020932,0.035931,0.066504", \ - "0.008528,0.009679,0.011204,0.014408,0.021360,0.036143,0.066254", \ - "0.009580,0.010728,0.012252,0.015362,0.022010,0.036538,0.066536"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.006639,0.007629,0.008899,0.011413,0.016398,0.026327,0.046151", \ - "0.007173,0.008187,0.009483,0.012034,0.017063,0.027030,0.046881", \ - "0.008094,0.009318,0.010808,0.013593,0.018757,0.028752,0.048634", \ - "0.007773,0.009468,0.011474,0.015040,0.021183,0.031991,0.052006", \ - "0.005305,0.007525,0.010150,0.014792,0.022612,0.035403,0.057106", \ - "0.000407,0.003148,0.006386,0.012129,0.021806,0.037412,0.062256", \ - "-0.007166,-0.003902,-0.000058,0.006771,0.018316,0.036941,0.066070"); - } - cell_rise(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.010679,0.012324,0.014442,0.018636,0.026949,0.043473,0.076432", \ - "0.011274,0.012916,0.015036,0.019243,0.027578,0.044132,0.077113", \ - "0.015316,0.016842,0.018793,0.022776,0.030865,0.047191,0.080006", \ - "0.021665,0.023609,0.026027,0.030573,0.038781,0.054425,0.086550", \ - "0.029984,0.032242,0.035031,0.040278,0.049932,0.067096,0.098251", \ - "0.040416,0.043044,0.046253,0.052209,0.063020,0.082426,0.116208", \ - "0.053016,0.056051,0.059730,0.066505,0.078592,0.099905,0.137440"); - } - fall_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.003218,0.004047,0.005125,0.007283,0.011595,0.020214,0.037456", \ - "0.003222,0.004049,0.005127,0.007284,0.011594,0.020216,0.037453", \ - "0.004418,0.005084,0.005990,0.007837,0.011758,0.020216,0.037452", \ - "0.007380,0.008046,0.008884,0.010518,0.013912,0.021276,0.037544", \ - "0.011501,0.012313,0.013315,0.015158,0.018513,0.025131,0.039638", \ - "0.016534,0.017540,0.018769,0.021002,0.024881,0.031657,0.045006", \ - "0.022490,0.023674,0.025160,0.027850,0.032461,0.040102,0.053412"); - } - rise_transition(Timing_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.007846,0.009347,0.011282,0.015134,0.022791,0.038053,0.068506", \ - "0.007827,0.009334,0.011274,0.015132,0.022792,0.038054,0.068517", \ - "0.008570,0.009796,0.011480,0.015066,0.022777,0.038051,0.068518", \ - "0.011422,0.012848,0.014613,0.017854,0.024025,0.038048,0.068518", \ - "0.014940,0.016500,0.018463,0.022205,0.029100,0.041379,0.068681", \ - "0.019617,0.021334,0.023450,0.027458,0.034962,0.048639,0.073207", \ - "0.025485,0.027398,0.029734,0.034048,0.041974,0.056648,0.082827"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("13.451160,13.485660,13.551090,13.611460,13.640560,13.544360,13.343640", \ - "13.269750,13.334250,13.442050,13.487180,13.525410,13.447230,13.264000", \ - "13.526970,13.632080,13.716700,13.821280,13.861000,13.827390,13.630930", \ - "14.607070,14.670200,14.808690,14.914890,15.031130,15.005190,14.796510", \ - "16.271610,16.426930,16.530950,16.682610,16.943530,17.078090,16.906900", \ - "19.451040,19.553180,19.596830,19.776050,19.953570,20.073580,20.123970", \ - "23.847350,23.964090,24.059680,24.214340,24.336380,24.512160,24.484760"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("10.533220,10.584110,10.598590,10.521810,10.340120,9.841631,9.733474", \ - "10.591260,10.543720,10.557050,10.495870,10.384160,9.847954,9.680711", \ - "10.294810,10.315850,10.301000,10.263150,10.281440,10.200770,9.982928", \ - "10.863240,10.901130,10.923430,10.981110,11.009750,11.004810,10.651830", \ - "13.300790,13.330310,13.249950,13.167990,13.010880,13.001690,12.929790", \ - "16.238160,16.269240,16.450090,16.623860,16.706840,16.374580,16.041900", \ - "19.570710,19.658630,19.983260,20.443520,21.053620,21.302850,21.028070"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.310014,0.347311,0.358815,0.396176,0.426528,0.448116,0.458614", \ - "0.291856,0.316505,0.366277,0.408092,0.472759,0.514622,0.546203", \ - "0.868192,0.732969,0.693609,0.648697,0.634023,0.626849,0.631805", \ - "2.950151,2.766904,2.524087,2.107395,1.606700,1.299184,1.062488", \ - "5.979849,5.881224,5.657778,5.233786,4.349722,3.155803,2.337608", \ - "9.997256,9.968895,9.868841,9.578554,8.767248,7.027425,4.961257", \ - "15.060310,15.094080,15.120750,15.026800,14.475500,12.764400,9.627016"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("7.388390,7.491396,7.541460,7.590292,7.707268,7.530520,7.811267", \ - "7.269509,7.297681,7.387347,7.510781,7.619659,7.547262,7.312502", \ - "7.899578,7.913809,7.839026,7.866207,7.835797,7.784358,7.331019", \ - "9.739997,9.792149,9.779261,9.485003,9.133501,8.678884,8.532774", \ - "13.085450,12.941400,12.784320,12.555230,12.179260,11.283460,10.039670", \ - "18.317450,18.192550,17.830740,17.311090,16.547190,15.545530,13.586640", \ - "25.356170,25.239680,24.951810,24.297340,23.157360,21.474950,19.344400"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("14.342570,14.446270,14.556130,14.626650,14.699430,14.623330,14.418560", \ - "14.266820,14.338620,14.402870,14.472940,14.555500,14.495520,14.329430", \ - "14.069350,14.094620,14.244070,14.358930,14.462680,14.435440,14.300540", \ - "14.451290,14.591140,14.723140,14.842390,14.994260,15.032980,14.908870", \ - "15.525790,15.762180,15.836180,16.106980,16.445280,16.687510,16.588600", \ - "18.206060,18.252870,18.412850,18.677780,18.957720,19.224770,19.372730", \ - "21.816000,21.973620,22.113660,22.365440,22.674650,23.028090,23.250170"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("9.065452,9.144381,9.199344,9.251592,9.283624,9.163903,9.211216", \ - "9.002771,9.077562,9.134300,9.201063,9.227484,9.101286,9.201106", \ - "9.082492,9.160919,9.196442,9.175908,9.240725,9.333000,9.331796", \ - "9.998070,10.013540,10.017800,10.066540,10.106830,10.070600,9.928694", \ - "12.624650,12.611150,12.541340,12.352730,12.086960,11.959910,12.089550", \ - "16.075410,16.115000,16.083760,16.008470,15.820290,15.363690,15.027990", \ - "20.238750,20.357340,20.428960,20.510660,20.624360,20.261900,19.746180"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("-0.001706,-0.001991,-0.002361,-0.003092,-0.004534,-0.007363,-0.012883", \ - "-0.002197,-0.002485,-0.002857,-0.003592,-0.005037,-0.007869,-0.013384", \ - "-0.003909,-0.004204,-0.004558,-0.005280,-0.006719,-0.009543,-0.015045", \ - "1.408965,1.253357,1.051735,0.691752,0.197850,0.030340,-0.018233", \ - "3.964727,3.856295,3.749141,3.408098,2.669103,1.564186,0.883550", \ - "7.295877,7.269856,7.169512,6.993172,6.448573,5.042099,3.057795", \ - "11.353810,11.400310,11.446770,11.420280,11.165830,10.019370,7.320243"); - } - rise_power(Power_7_7) { - index_1 ("0.000932129,0.00354597,0.0127211,0.0302424,0.0575396,0.0958408,0.146240"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("9.945763,10.041640,10.037730,10.109010,10.088170,10.007420,9.980957", \ - "9.816723,9.882254,9.931361,9.995430,10.001370,10.146780,9.970140", \ - "10.594980,10.509810,10.489040,10.421120,10.421280,10.166180,10.172000", \ - "12.542650,12.629110,12.515350,12.306620,11.897800,11.481480,10.994570", \ - "15.746430,15.683120,15.677270,15.589100,15.080430,14.154200,13.001500", \ - "21.031170,20.859800,20.693980,20.434710,19.889880,18.596000,16.730710", \ - "28.096990,27.967840,27.870260,27.445340,26.486680,24.960350,22.793520"); - } - } - } - - } - -} -/* -* End of file -*/ diff --git a/examples/nangate45_fast.lib.gz b/examples/nangate45_fast.lib.gz new file mode 100644 index 00000000..5dab420d Binary files /dev/null and b/examples/nangate45_fast.lib.gz differ diff --git a/examples/nangate45_slow.lib b/examples/nangate45_slow.lib deleted file mode 100644 index 12883370..00000000 --- a/examples/nangate45_slow.lib +++ /dev/null @@ -1,133288 +0,0 @@ -/* -* ****************************************************************************** -* * * -* * Copyright (C) 2004-2011, Nangate Inc. * -* * All rights reserved. * -* * * -* * Nangate and the Nangate logo are trademarks of Nangate Inc. * -* * * -* * All trademarks, logos, software marks, and trade names (collectively the * -* * "Marks") in this program are proprietary to Nangate or other respective * -* * owners that have granted Nangate the right and license to use such Marks. * -* * You are not permitted to use the Marks without the prior written consent * -* * of Nangate or such third party that may own the Marks. * -* * * -* * This file has been provided pursuant to a License Agreement containing * -* * restrictions on its use. This file contains valuable trade secrets and * -* * proprietary information of Nangate Inc., and is protected by U.S. and * -* * international laws and/or treaties. * -* * * -* * The copyright notice(s) in this file does not indicate actual or intended * -* * publication of this file. * -* * * -* * NGLibraryCharacterizer, v2011.01-HR04-2011-01-19 - build 201102050200 * -* * * -* ****************************************************************************** -* -* Spice engine : Nanspice v2011.01-HR04-2011-01-19-1102050200 -* Liberty export type : conditional -* -* Characterization Corner : slow -* Process : SlowSlow -* Temperature : 125C -* Voltage : 0.95V -* -****************************************************************************/ - -library (NangateOpenCellLibrary_slow) { - - /* Documentation Attributes */ - date : "Thu 10 Feb 2011, 18:11:58"; - revision : "revision 1.0"; - comment : "Copyright (c) 2004-2011 Nangate Inc. All Rights Reserved."; - - /* General Attributes */ - technology (cmos); - delay_model : table_lookup; - in_place_swap_mode : match_footprint; - library_features (report_delay_calculation,report_power_calculation); - - /* Units Attributes */ - time_unit : "1ns"; - leakage_power_unit : "1nW"; - voltage_unit : "1V"; - current_unit : "1mA"; - pulling_resistance_unit : "1kohm"; - capacitive_load_unit (1,ff); - - /* Operation Conditions */ - nom_process : 1.00; - nom_temperature : 125.00; - nom_voltage : 0.95; - - voltage_map (VDD,0.95); - voltage_map (VSS,0.00); - - define(process_corner, operating_conditions, string); - operating_conditions (slow) { - process_corner : "SlowSlow"; - process : 1.00; - voltage : 0.95; - temperature : 125.00; - tree_type : balanced_tree; - } - default_operating_conditions : slow; - - /* Threshold Definitions */ - slew_lower_threshold_pct_fall : 30.00 ; - slew_lower_threshold_pct_rise : 30.00 ; - slew_upper_threshold_pct_fall : 70.00 ; - slew_upper_threshold_pct_rise : 70.00 ; - slew_derate_from_library : 1.00 ; - input_threshold_pct_fall : 50.00 ; - input_threshold_pct_rise : 50.00 ; - output_threshold_pct_fall : 50.00 ; - output_threshold_pct_rise : 50.00 ; - default_leakage_power_density : 0.00 ; - default_cell_leakage_power : 0.00 ; - - /* Default Pin Attributes */ - default_inout_pin_cap : 1.000000; - default_input_pin_cap : 1.000000; - default_output_pin_cap : 0.000000; - default_fanout_load : 1.000000; - default_max_transition : 0.500000; - - define(drive_strength, cell, float); - - /* Wire load tables */ - - wire_load("1K_hvratio_1_4") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.3207 ); - fanout_length( 2, 2.9813 ); - fanout_length( 3, 5.1135 ); - fanout_length( 4, 7.6639 ); - fanout_length( 5, 10.0334 ); - fanout_length( 6, 12.2296 ); - fanout_length( 8, 19.3185 ); - } - - wire_load("1K_hvratio_1_2") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.3216 ); - fanout_length( 2, 2.8855 ); - fanout_length( 3, 4.6810 ); - fanout_length( 4, 6.7976 ); - fanout_length( 5, 9.4037 ); - fanout_length( 6, 13.0170 ); - fanout_length( 8, 24.1720 ); - } - - wire_load("1K_hvratio_1_1") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 6.283688; - fanout_length( 1, 1.3446 ); - fanout_length( 2, 2.8263 ); - fanout_length( 3, 4.7581 ); - fanout_length( 4, 7.4080 ); - fanout_length( 5, 10.9381 ); - fanout_length( 6, 15.7314 ); - fanout_length( 8, 29.7891 ); - } - - wire_load("3K_hvratio_1_4") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.8234 ); - fanout_length( 2, 4.5256 ); - fanout_length( 3, 7.5342 ); - fanout_length( 4, 10.6237 ); - fanout_length( 5, 13.5401 ); - fanout_length( 6, 16.3750 ); - fanout_length( 7, 18.6686 ); - fanout_length( 8, 19.4348 ); - fanout_length( 10, 20.9672 ); - } - - wire_load("3K_hvratio_1_2") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.6615 ); - fanout_length( 2, 3.9827 ); - fanout_length( 3, 6.6386 ); - fanout_length( 4, 9.6287 ); - fanout_length( 5, 12.8485 ); - fanout_length( 6, 16.4145 ); - fanout_length( 7, 20.0747 ); - fanout_length( 8, 22.6325 ); - fanout_length( 10, 21.7173 ); - } - - wire_load("3K_hvratio_1_1") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.5771 ); - fanout_length( 2, 3.9330 ); - fanout_length( 3, 6.6217 ); - fanout_length( 4, 9.7638 ); - fanout_length( 5, 13.5526 ); - fanout_length( 6, 18.1322 ); - fanout_length( 7, 22.5871 ); - fanout_length( 8, 25.1074 ); - fanout_length( 10, 30.1480 ); - } - - wire_load("5K_hvratio_1_4") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 2.0449 ); - fanout_length( 2, 4.4094 ); - fanout_length( 3, 7.2134 ); - fanout_length( 4, 10.4927 ); - fanout_length( 5, 13.9420 ); - fanout_length( 6, 18.0039 ); - fanout_length( 7, 23.9278 ); - fanout_length( 8, 30.8475 ); - fanout_length( 9, 34.9441 ); - fanout_length( 11, 43.1373 ); - } - - wire_load("5K_hvratio_1_2") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.6706 ); - fanout_length( 2, 3.7951 ); - fanout_length( 3, 6.2856 ); - fanout_length( 4, 9.1309 ); - fanout_length( 5, 12.1420 ); - fanout_length( 6, 15.6918 ); - fanout_length( 7, 20.1043 ); - fanout_length( 8, 24.2827 ); - fanout_length( 9, 27.3445 ); - fanout_length( 11, 35.3421 ); - } - - wire_load("5K_hvratio_1_1") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.7460 ); - fanout_length( 2, 3.9394 ); - fanout_length( 3, 6.4626 ); - fanout_length( 4, 9.2201 ); - fanout_length( 5, 11.9123 ); - fanout_length( 6, 14.8358 ); - fanout_length( 7, 18.6155 ); - fanout_length( 8, 22.6727 ); - fanout_length( 9, 25.4842 ); - fanout_length( 11, 27.0320 ); - } - - default_wire_load : "5K_hvratio_1_1" ; - - - power_lut_template (Hidden_power_7) { - variable_1 : input_transition_time; - index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - } - - - lu_table_template (Hold_3_3) { - variable_1 : constrained_pin_transition; - variable_2 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - index_2 ("0.0010,0.0020,0.0030"); - } - - - power_lut_template (Power_7_7) { - variable_1 : input_transition_time; - variable_2 : total_output_net_capacitance; - index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - } - - - lu_table_template (Pulse_width_3) { - variable_1 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - } - - - lu_table_template (Recovery_3_3) { - variable_1 : constrained_pin_transition; - variable_2 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - index_2 ("0.0010,0.0020,0.0030"); - } - - - lu_table_template (Removal_3_3) { - variable_1 : constrained_pin_transition; - variable_2 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - index_2 ("0.0010,0.0020,0.0030"); - } - - - lu_table_template (Setup_3_3) { - variable_1 : constrained_pin_transition; - variable_2 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - index_2 ("0.0010,0.0020,0.0030"); - } - - - lu_table_template (Timing_7_7) { - variable_1 : input_net_transition; - variable_2 : total_output_net_capacitance; - index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - } - - - lu_table_template (Tristate_disable_7) { - variable_1 : input_net_transition; - index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - } - - - /****************************************************************************************** - Module : AND2_X1 - Cell Description : Combinational cell (AND2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AND2_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 15.154826; - - leakage_power () { - when : "!A1 & !A2"; - value : 10.171935; - } - leakage_power () { - when : "!A1 & A2"; - value : 17.208604; - } - leakage_power () { - when : "A1 & !A2"; - value : 12.417207; - } - leakage_power () { - when : "A1 & A2"; - value : 20.821558; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.894757; - fall_capacitance : 0.830099; - rise_capacitance : 0.894757; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.939232; - fall_capacitance : 0.853339; - rise_capacitance : 0.939232; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.494070; - function : "(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0765980,0.0862464,0.0950826,0.109025,0.131636,0.170516,0.242432", \ - "0.0810751,0.0907333,0.0995695,0.113522,0.136131,0.175012,0.246932", \ - "0.0989261,0.108529,0.117371,0.131331,0.153954,0.192846,0.264768", \ - "0.135565,0.145269,0.154090,0.168072,0.190773,0.229716,0.301656", \ - "0.181379,0.192982,0.203116,0.218219,0.242152,0.281772,0.353798", \ - "0.229951,0.243802,0.255686,0.272965,0.299023,0.340559,0.413847", \ - "0.281255,0.297392,0.311290,0.331178,0.359969,0.403899,0.478602"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0630239,0.0787480,0.0961212,0.129093,0.193632,0.321810,0.577399", \ - "0.0674459,0.0831711,0.100537,0.133506,0.198055,0.326241,0.581824", \ - "0.0840800,0.0996979,0.116960,0.149818,0.214346,0.342599,0.598289", \ - "0.111098,0.127055,0.144257,0.176866,0.241183,0.369419,0.625170", \ - "0.137221,0.154429,0.171997,0.204249,0.268543,0.396458,0.652147", \ - "0.158896,0.178441,0.197069,0.229757,0.293570,0.421467,0.676922", \ - "0.174683,0.196972,0.217621,0.251499,0.315047,0.442340,0.697757"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0142179,0.0179662,0.0220337,0.0295478,0.0439247,0.0728803,0.133234", \ - "0.0142202,0.0179650,0.0220353,0.0295435,0.0439265,0.0728882,0.133236", \ - "0.0142401,0.0180012,0.0220653,0.0295620,0.0439313,0.0728830,0.133239", \ - "0.0152201,0.0186282,0.0225258,0.0298637,0.0441023,0.0729622,0.133254", \ - "0.0205299,0.0236322,0.0270182,0.0336077,0.0467309,0.0742211,0.133584", \ - "0.0268061,0.0300308,0.0332223,0.0393239,0.0516523,0.0780366,0.135619", \ - "0.0337402,0.0373263,0.0406222,0.0464392,0.0579323,0.0828138,0.138569"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0169578,0.0280912,0.0423448,0.0720289,0.132907,0.255406,0.500299", \ - "0.0169607,0.0280891,0.0423447,0.0720300,0.132921,0.255409,0.500308", \ - "0.0169747,0.0281240,0.0423694,0.0720368,0.132916,0.255381,0.500298", \ - "0.0187745,0.0292878,0.0430528,0.0722168,0.132932,0.255408,0.500300", \ - "0.0228226,0.0320379,0.0448415,0.0732932,0.133368,0.255363,0.500307", \ - "0.0284548,0.0369851,0.0482167,0.0747108,0.133970,0.255821,0.500297", \ - "0.0348367,0.0437219,0.0538826,0.0776917,0.134795,0.256370,0.500672"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0847777,0.0947293,0.103799,0.118054,0.141029,0.180309,0.252611", \ - "0.0893668,0.0993202,0.108392,0.122649,0.145626,0.184907,0.257211", \ - "0.107484,0.117409,0.126477,0.140743,0.163730,0.203021,0.275330", \ - "0.144581,0.154495,0.163496,0.177704,0.200739,0.240066,0.312393", \ - "0.193962,0.205475,0.215529,0.230551,0.254465,0.294202,0.366596", \ - "0.246413,0.260083,0.271813,0.288907,0.314825,0.356337,0.429754", \ - "0.301663,0.317607,0.331238,0.350754,0.379183,0.422878,0.497608"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0657335,0.0814595,0.0988370,0.131815,0.196352,0.324525,0.580107", \ - "0.0707802,0.0865035,0.103882,0.136865,0.201417,0.329597,0.585175", \ - "0.0858706,0.101544,0.118864,0.151784,0.216336,0.344598,0.600221", \ - "0.110256,0.126201,0.143482,0.176244,0.240690,0.368950,0.624670", \ - "0.137357,0.154114,0.171656,0.204150,0.268563,0.396678,0.652424", \ - "0.163071,0.181237,0.199484,0.232084,0.296324,0.424390,0.680038", \ - "0.184367,0.204607,0.224115,0.257628,0.321888,0.449629,0.705121"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0149082,0.0186485,0.0227107,0.0302192,0.0445884,0.0735197,0.133784", \ - "0.0149121,0.0186504,0.0227106,0.0302200,0.0445892,0.0735211,0.133782", \ - "0.0149200,0.0186711,0.0227349,0.0302344,0.0445923,0.0735183,0.133784", \ - "0.0153158,0.0189704,0.0229720,0.0303949,0.0446867,0.0735644,0.133798", \ - "0.0200766,0.0231846,0.0266214,0.0333002,0.0465531,0.0744118,0.134045", \ - "0.0261346,0.0292618,0.0324552,0.0386458,0.0511769,0.0778544,0.135696", \ - "0.0327892,0.0362051,0.0394028,0.0452435,0.0569514,0.0822264,0.138455"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0169578,0.0280897,0.0423414,0.0720150,0.132924,0.255379,0.500308", \ - "0.0169600,0.0280923,0.0423414,0.0720205,0.132922,0.255395,0.500311", \ - "0.0169607,0.0281078,0.0423544,0.0720260,0.132927,0.255415,0.500297", \ - "0.0180428,0.0288656,0.0428063,0.0721470,0.132920,0.255393,0.500312", \ - "0.0202789,0.0306405,0.0441235,0.0729240,0.133153,0.255384,0.500310", \ - "0.0238823,0.0336327,0.0463207,0.0740661,0.133654,0.255544,0.500298", \ - "0.0287796,0.0381995,0.0499522,0.0761507,0.134416,0.255981,0.500443"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.970401,2.121268,2.240193,2.357482,2.471114,2.528243,2.550963", \ - "1.948361,2.099756,2.214530,2.341236,2.444292,2.504442,2.525388", \ - "1.906339,2.056022,2.173393,2.299112,2.406140,2.466099,2.488453", \ - "1.927693,2.063928,2.164101,2.297384,2.409025,2.473913,2.500368", \ - "1.960867,2.084674,2.201136,2.343606,2.470104,2.542938,2.568837", \ - "2.069651,2.154863,2.258735,2.391888,2.548996,2.672556,2.705985", \ - "2.274167,2.329581,2.402425,2.527606,2.683782,2.812692,2.906062"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.389209,1.460733,1.491589,1.540083,1.551666,1.594527,1.582232", \ - "1.382015,1.452414,1.492577,1.528092,1.531125,1.574522,1.562930", \ - "1.364788,1.427688,1.450078,1.476944,1.509138,1.529484,1.522343", \ - "1.370945,1.432171,1.448746,1.452243,1.499238,1.530908,1.547213", \ - "1.456356,1.501344,1.498121,1.514428,1.492158,1.523864,1.551711", \ - "1.591760,1.667105,1.670446,1.647213,1.594097,1.633593,1.640379", \ - "1.769722,1.869405,1.881869,1.856803,1.833593,1.788173,1.851724"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.202532,2.353469,2.480438,2.615148,2.732174,2.800080,2.836506", \ - "2.175349,2.334457,2.450202,2.594215,2.709847,2.781043,2.814360", \ - "2.153081,2.301665,2.428760,2.565062,2.683054,2.756349,2.789725", \ - "2.172668,2.324206,2.434895,2.567171,2.689413,2.761109,2.802939", \ - "2.227547,2.355910,2.487828,2.612405,2.742331,2.831184,2.871024", \ - "2.326575,2.429080,2.532809,2.675428,2.829546,2.954433,3.000078", \ - "2.529942,2.596346,2.673041,2.800602,2.955061,3.095251,3.188636"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.393833,1.464386,1.503967,1.532946,1.516108,1.558856,1.615165", \ - "1.381166,1.451418,1.485582,1.514512,1.558417,1.532937,1.589279", \ - "1.365401,1.420820,1.458691,1.484830,1.534304,1.513845,1.571939", \ - "1.343621,1.408237,1.429516,1.434254,1.431817,1.480839,1.545037", \ - "1.382738,1.436328,1.436146,1.434022,1.429871,1.471313,1.540533", \ - "1.447744,1.521964,1.534640,1.524680,1.510649,1.485808,1.566598", \ - "1.571442,1.651390,1.668021,1.676037,1.652279,1.648905,1.648883"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND2_X2 - Cell Description : Combinational cell (AND2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AND2_X2) { - - drive_strength : 2; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 30.469110; - - leakage_power () { - when : "!A1 & !A2"; - value : 20.396690; - } - leakage_power () { - when : "!A1 & A2"; - value : 34.646832; - } - leakage_power () { - when : "A1 & !A2"; - value : 24.942394; - } - leakage_power () { - when : "A1 & A2"; - value : 41.890525; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.615019; - fall_capacitance : 1.478740; - rise_capacitance : 1.615019; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.667681; - fall_capacitance : 1.494066; - rise_capacitance : 1.667681; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.820800; - function : "(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0708416,0.0811370,0.0894656,0.102786,0.124683,0.162853,0.234221", \ - "0.0752769,0.0855753,0.0939093,0.107235,0.129135,0.167307,0.238676", \ - "0.0931952,0.103443,0.111775,0.125112,0.147030,0.185219,0.256587", \ - "0.129473,0.139970,0.148331,0.161736,0.183742,0.221982,0.293383", \ - "0.173268,0.185900,0.195576,0.210085,0.233384,0.272426,0.343887", \ - "0.219791,0.234873,0.246232,0.262835,0.288129,0.328869,0.401522", \ - "0.269054,0.286654,0.299949,0.319076,0.346971,0.389950,0.463844"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0587157,0.0760908,0.0932675,0.126076,0.190427,0.318266,0.573264", \ - "0.0631124,0.0804837,0.0976526,0.130460,0.194822,0.322672,0.577679", \ - "0.0797120,0.0969515,0.114005,0.146693,0.211052,0.338987,0.594118", \ - "0.105502,0.123095,0.140133,0.172619,0.236774,0.364622,0.619837", \ - "0.129797,0.148731,0.165980,0.198056,0.262197,0.389769,0.644895", \ - "0.149462,0.171004,0.189177,0.221539,0.285127,0.412781,0.667631", \ - "0.163253,0.187854,0.207929,0.241283,0.304550,0.431545,0.686416"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0131656,0.0172482,0.0212277,0.0286442,0.0429878,0.0720657,0.132675", \ - "0.0131682,0.0172494,0.0212259,0.0286434,0.0429868,0.0720656,0.132680", \ - "0.0131908,0.0172899,0.0212621,0.0286644,0.0429949,0.0720683,0.132674", \ - "0.0146438,0.0181698,0.0218806,0.0290595,0.0432135,0.0721608,0.132699", \ - "0.0199473,0.0232503,0.0265361,0.0330121,0.0461105,0.0736255,0.133041", \ - "0.0262015,0.0296024,0.0326788,0.0386228,0.0508238,0.0772432,0.135140", \ - "0.0330955,0.0368613,0.0400173,0.0456557,0.0569760,0.0818400,0.137853"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0157679,0.0282679,0.0426151,0.0723965,0.133196,0.255361,0.499653", \ - "0.0157713,0.0282710,0.0426160,0.0723969,0.133198,0.255333,0.499653", \ - "0.0158095,0.0283134,0.0426472,0.0724016,0.133201,0.255340,0.499653", \ - "0.0177796,0.0295224,0.0433805,0.0725984,0.133217,0.255348,0.499648", \ - "0.0219328,0.0320860,0.0449906,0.0736166,0.133706,0.255308,0.499657", \ - "0.0275785,0.0369018,0.0481715,0.0748974,0.134258,0.255902,0.499645", \ - "0.0339468,0.0436312,0.0536867,0.0776870,0.135046,0.256428,0.500118"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0789361,0.0895585,0.0981185,0.111742,0.133996,0.172559,0.244297", \ - "0.0835039,0.0941350,0.102697,0.116324,0.138582,0.177146,0.248882", \ - "0.101666,0.112262,0.120821,0.134470,0.156741,0.195315,0.267057", \ - "0.138655,0.149272,0.157771,0.171370,0.193698,0.232334,0.304113", \ - "0.186220,0.198722,0.208319,0.222761,0.246055,0.285156,0.356971", \ - "0.236682,0.251567,0.262751,0.279158,0.304311,0.345037,0.417859", \ - "0.289950,0.307300,0.320294,0.339034,0.366543,0.409283,0.483207"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0614181,0.0787905,0.0959695,0.128786,0.193142,0.320976,0.575971", \ - "0.0664290,0.0838010,0.100981,0.133803,0.198174,0.326012,0.581008", \ - "0.0814143,0.0987042,0.115816,0.148580,0.212963,0.340877,0.595922", \ - "0.105021,0.122634,0.139807,0.172457,0.236728,0.364677,0.619822", \ - "0.130700,0.149197,0.166537,0.199152,0.263425,0.391214,0.646400", \ - "0.154478,0.174561,0.192507,0.224891,0.288972,0.416752,0.671780", \ - "0.173593,0.196045,0.215160,0.248317,0.312311,0.439795,0.694745"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0138453,0.0179174,0.0218918,0.0293070,0.0436395,0.0726831,0.133206", \ - "0.0138450,0.0179185,0.0218914,0.0293060,0.0436405,0.0726849,0.133206", \ - "0.0138521,0.0179471,0.0219204,0.0293209,0.0436470,0.0726865,0.133205", \ - "0.0144687,0.0183438,0.0222222,0.0295247,0.0437607,0.0727372,0.133223", \ - "0.0194211,0.0227432,0.0260925,0.0326765,0.0458977,0.0737213,0.133482", \ - "0.0254041,0.0287272,0.0318255,0.0378845,0.0503150,0.0770547,0.135196", \ - "0.0319588,0.0355734,0.0386592,0.0443312,0.0559034,0.0812003,0.137717"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0157775,0.0282699,0.0426141,0.0723965,0.133195,0.255346,0.499652", \ - "0.0157684,0.0282695,0.0426144,0.0723912,0.133185,0.255327,0.499647", \ - "0.0157872,0.0282899,0.0426336,0.0723951,0.133193,0.255349,0.499649", \ - "0.0169647,0.0290918,0.0431117,0.0725222,0.133198,0.255329,0.499650", \ - "0.0192614,0.0307889,0.0443391,0.0732544,0.133476,0.255314,0.499652", \ - "0.0229938,0.0337355,0.0464568,0.0743282,0.133954,0.255623,0.499640", \ - "0.0279478,0.0383206,0.0500248,0.0763297,0.134702,0.256068,0.499847"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.805744,4.133982,4.345933,4.553849,4.737198,4.831557,4.864726", \ - "3.755775,4.073235,4.288861,4.510751,4.685428,4.777487,4.810154", \ - "3.692712,3.981268,4.193915,4.421583,4.601326,4.703155,4.739484", \ - "3.733174,4.020169,4.202588,4.424712,4.620837,4.720413,4.771908", \ - "3.789054,4.051179,4.263144,4.537079,4.744658,4.865850,4.919930", \ - "4.034811,4.208701,4.382432,4.606031,4.905558,5.140403,5.201515", \ - "4.453814,4.557416,4.681935,4.895528,5.179085,5.427148,5.612934"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.773506,2.916505,3.006006,3.059830,3.108177,3.129510,3.138831", \ - "2.735025,2.899408,2.976876,3.030756,3.121985,3.088445,3.097504", \ - "2.694544,2.844220,2.901838,2.968750,3.021264,2.996890,3.016126", \ - "2.724244,2.840704,2.878816,2.876676,2.985039,2.934822,2.965114", \ - "2.912713,3.001581,3.007207,3.030141,2.966717,3.078747,3.117589", \ - "3.158959,3.340138,3.345438,3.301138,3.270184,3.238432,3.280466", \ - "3.544330,3.754701,3.788401,3.787113,3.681692,3.617040,3.663283"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.280715,4.584614,4.803428,5.061112,5.263989,5.378286,5.439047", \ - "4.229615,4.545044,4.771169,5.015028,5.212375,5.334869,5.392556", \ - "4.176666,4.501585,4.703046,4.952095,5.151835,5.281450,5.346890", \ - "4.228815,4.524811,4.727235,4.962664,5.177802,5.309209,5.374190", \ - "4.318240,4.581331,4.809124,5.070175,5.301365,5.444432,5.515006", \ - "4.550526,4.743368,4.927458,5.148002,5.472357,5.698213,5.784633", \ - "4.966788,5.074513,5.217023,5.448348,5.734994,5.980116,6.171213"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.761186,2.926042,2.982832,3.062013,3.096375,3.195756,3.204649", \ - "2.734232,2.911607,2.973713,3.032059,3.043268,3.143178,3.151152", \ - "2.700114,2.833703,2.905058,2.951415,2.994138,3.101740,3.115277", \ - "2.650328,2.799757,2.844939,2.910501,2.942359,2.921314,2.945630", \ - "2.743295,2.846530,2.869445,2.915814,2.960185,2.942644,2.978536", \ - "2.894356,3.044339,3.055908,3.020367,3.064811,3.040008,3.082779", \ - "3.123374,3.313433,3.366896,3.325911,3.288501,3.301208,3.333818"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND2_X4 - Cell Description : Combinational cell (AND2_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AND2_X4) { - - drive_strength : 4; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 60.931123; - - leakage_power () { - when : "!A1 & !A2"; - value : 40.783975; - } - leakage_power () { - when : "!A1 & A2"; - value : 69.284165; - } - leakage_power () { - when : "A1 & !A2"; - value : 49.875282; - } - leakage_power () { - when : "A1 & A2"; - value : 83.781070; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.124392; - fall_capacitance : 2.854481; - rise_capacitance : 3.124392; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.406701; - fall_capacitance : 3.055393; - rise_capacitance : 3.406701; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 241.651800; - function : "(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0683340,0.0792291,0.0874586,0.100638,0.122370,0.160403,0.231745", \ - "0.0727557,0.0836542,0.0918890,0.105075,0.126811,0.164845,0.236187", \ - "0.0907273,0.101579,0.109824,0.123031,0.144781,0.182802,0.254139", \ - "0.126768,0.137971,0.146252,0.159609,0.181494,0.219596,0.290914", \ - "0.169741,0.183217,0.192818,0.207360,0.230583,0.269574,0.340998", \ - "0.215411,0.231509,0.242788,0.259244,0.284368,0.324946,0.397568", \ - "0.263839,0.282625,0.295822,0.314789,0.342474,0.385239,0.459019"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0562887,0.0747032,0.0918739,0.124688,0.189062,0.316920,0.572035", \ - "0.0606889,0.0790992,0.0962599,0.129075,0.193468,0.321339,0.576455", \ - "0.0772764,0.0955308,0.112571,0.145281,0.209689,0.337685,0.592902", \ - "0.102492,0.121174,0.138209,0.170644,0.234892,0.362913,0.618225", \ - "0.125979,0.146119,0.163331,0.195379,0.259578,0.387421,0.642732", \ - "0.144731,0.167685,0.185792,0.218076,0.281709,0.409430,0.664383", \ - "0.157615,0.183825,0.203817,0.237069,0.300331,0.427374,0.682404"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0126442,0.0169514,0.0209184,0.0283284,0.0427025,0.0718907,0.132717", \ - "0.0126435,0.0169514,0.0209177,0.0283283,0.0427015,0.0718915,0.132714", \ - "0.0126667,0.0169949,0.0209527,0.0283502,0.0427099,0.0718942,0.132719", \ - "0.0143465,0.0180042,0.0216512,0.0287876,0.0429480,0.0719928,0.132739", \ - "0.0196446,0.0230943,0.0263531,0.0327919,0.0459122,0.0735167,0.133091", \ - "0.0258838,0.0294423,0.0324880,0.0383938,0.0505850,0.0770793,0.135199", \ - "0.0327279,0.0367045,0.0398184,0.0454165,0.0566989,0.0816175,0.137848"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0147828,0.0279833,0.0423708,0.0722006,0.133031,0.255183,0.499556", \ - "0.0147847,0.0279810,0.0423727,0.0722021,0.133033,0.255185,0.499568", \ - "0.0148318,0.0280304,0.0424033,0.0722116,0.133041,0.255185,0.499555", \ - "0.0169063,0.0292599,0.0431606,0.0724206,0.133053,0.255186,0.499557", \ - "0.0211649,0.0317746,0.0447168,0.0734104,0.133593,0.255190,0.499557", \ - "0.0267796,0.0365749,0.0478472,0.0746484,0.134109,0.255818,0.499549", \ - "0.0330864,0.0433174,0.0533232,0.0773981,0.134886,0.256302,0.500095"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0763589,0.0876040,0.0960635,0.109546,0.131637,0.170058,0.241765", \ - "0.0809167,0.0921670,0.100630,0.114115,0.136209,0.174631,0.246340", \ - "0.0990974,0.110323,0.118810,0.132324,0.154425,0.192828,0.264534", \ - "0.136037,0.147290,0.155707,0.169240,0.191456,0.229952,0.301621", \ - "0.182818,0.196139,0.205663,0.220001,0.243288,0.282320,0.354126", \ - "0.232458,0.248331,0.259420,0.275675,0.300650,0.341215,0.413984", \ - "0.284909,0.303410,0.316308,0.334864,0.362142,0.404665,0.478471"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0589585,0.0773744,0.0945485,0.127369,0.191744,0.319610,0.574723", \ - "0.0639599,0.0823760,0.0995482,0.132382,0.196775,0.324638,0.579746", \ - "0.0788948,0.0972167,0.114320,0.147094,0.211497,0.339442,0.594601", \ - "0.102171,0.120866,0.138040,0.170701,0.235007,0.362980,0.618251", \ - "0.127254,0.146901,0.164246,0.196855,0.261152,0.389025,0.644334", \ - "0.150206,0.171595,0.189507,0.221844,0.285968,0.413866,0.669046", \ - "0.168359,0.192314,0.211403,0.244543,0.308577,0.436093,0.691177"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0133095,0.0176146,0.0215751,0.0289826,0.0433484,0.0724998,0.133235", \ - "0.0133097,0.0176143,0.0215751,0.0289825,0.0433482,0.0724995,0.133238", \ - "0.0133222,0.0176434,0.0216009,0.0290002,0.0433553,0.0725015,0.133239", \ - "0.0140701,0.0180865,0.0219351,0.0292181,0.0434764,0.0725577,0.133250", \ - "0.0190748,0.0225531,0.0258789,0.0324475,0.0456928,0.0735879,0.133514", \ - "0.0250610,0.0285145,0.0315799,0.0376081,0.0500534,0.0768748,0.135249", \ - "0.0315305,0.0353418,0.0383824,0.0440278,0.0555815,0.0809495,0.137698"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0147828,0.0279808,0.0423709,0.0722028,0.133045,0.255185,0.499558", \ - "0.0147764,0.0279830,0.0423699,0.0722042,0.133037,0.255180,0.499572", \ - "0.0147971,0.0280073,0.0423858,0.0722093,0.133047,0.255185,0.499573", \ - "0.0160248,0.0288306,0.0428809,0.0723372,0.133048,0.255179,0.499559", \ - "0.0183702,0.0305036,0.0440834,0.0730574,0.133330,0.255179,0.499564", \ - "0.0221700,0.0334515,0.0461895,0.0741089,0.133805,0.255538,0.499560", \ - "0.0271195,0.0380598,0.0497445,0.0760957,0.134542,0.255944,0.499824"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("7.338174,8.016031,8.399585,8.831820,9.155984,9.336269,9.403618", \ - "7.216639,7.902766,8.286411,8.721953,9.048107,9.229671,9.298636", \ - "7.083034,7.728342,8.091376,8.551218,8.897250,9.086236,9.152488", \ - "7.176003,7.786555,8.141902,8.576126,8.947076,9.141168,9.226348", \ - "7.349104,7.832290,8.245913,8.784636,9.219883,9.442827,9.541429", \ - "7.839394,8.186338,8.499278,8.978899,9.521168,9.969617,10.093680", \ - "8.726597,8.902305,9.147573,9.529130,10.095990,10.558600,10.924110"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("5.410786,5.778600,5.931224,5.967497,6.058793,6.245098,6.242365", \ - "5.333180,5.696763,5.871150,6.040440,6.168983,6.161659,6.159402", \ - "5.252452,5.583346,5.714627,5.797661,5.769513,5.980381,5.997345", \ - "5.320021,5.587852,5.690858,5.751948,5.668962,5.884845,6.075435", \ - "5.701017,5.916043,5.920336,5.957789,6.054200,5.945727,6.271734", \ - "6.223302,6.590458,6.629084,6.491011,6.388523,6.592568,6.652071", \ - "6.951659,7.449255,7.545560,7.507752,7.398750,7.125244,7.222810"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("8.265068,8.942937,9.359735,9.840243,10.211770,10.445300,10.552080", \ - "8.191880,8.857103,9.282039,9.747161,10.118970,10.354290,10.468320", \ - "8.058703,8.736789,9.155245,9.620385,10.015190,10.252900,10.364390", \ - "8.196704,8.818235,9.179574,9.646582,10.053790,10.313070,10.443830", \ - "8.357963,8.935500,9.369464,9.881693,10.307150,10.590820,10.727330", \ - "8.866255,9.257253,9.570383,10.085770,10.648060,11.084090,11.257610", \ - "9.694982,9.920803,10.194510,10.637950,11.172270,11.658090,12.037380"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("5.387177,5.752549,5.927267,6.081756,6.192123,6.109259,6.104709", \ - "5.375901,5.696309,5.854734,5.997751,6.176282,6.272876,6.268594", \ - "5.264083,5.611394,5.719375,5.844437,5.986661,5.919026,5.925439", \ - "5.186711,5.509338,5.598795,5.647535,5.797584,5.847564,5.877002", \ - "5.362172,5.632686,5.660568,5.743246,5.739803,5.922817,5.975086", \ - "5.635258,5.968814,6.054544,5.998132,5.947386,6.006721,6.222568", \ - "6.129024,6.545142,6.649989,6.655226,6.581108,6.460957,6.514954"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND3_X1 - Cell Description : Combinational cell (AND3_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AND3_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 14.318472; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 9.683825; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 12.688143; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 10.422507; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 19.720746; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 9.800950; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 14.932360; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 12.223593; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 25.075649; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.869496; - fall_capacitance : 0.823090; - rise_capacitance : 0.869496; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.909154; - fall_capacitance : 0.844424; - rise_capacitance : 0.909154; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.928543; - fall_capacitance : 0.847373; - rise_capacitance : 0.928543; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.379810; - function : "((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0838248,0.0942662,0.103742,0.118546,0.142193,0.182108,0.254654", \ - "0.0886646,0.0991053,0.108591,0.123399,0.147044,0.186963,0.259505", \ - "0.106437,0.116841,0.126317,0.141135,0.164793,0.204719,0.277265", \ - "0.143028,0.153444,0.162847,0.177620,0.201335,0.241308,0.313904", \ - "0.190972,0.203194,0.213847,0.229664,0.254460,0.294973,0.367675", \ - "0.241537,0.256096,0.268604,0.286724,0.313853,0.356541,0.430581", \ - "0.294504,0.311485,0.326092,0.346972,0.377020,0.422382,0.498216"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0917515,0.109950,0.128966,0.163336,0.228386,0.356425,0.611535", \ - "0.0955959,0.113785,0.132806,0.167173,0.232232,0.360264,0.615391", \ - "0.110180,0.128365,0.147371,0.181679,0.246682,0.374730,0.629887", \ - "0.139090,0.157279,0.176081,0.210120,0.274940,0.402913,0.658115", \ - "0.171388,0.190638,0.209944,0.244091,0.308964,0.436640,0.691711", \ - "0.199877,0.221273,0.241590,0.276259,0.341146,0.468967,0.723762", \ - "0.222884,0.246840,0.269158,0.305223,0.370184,0.497451,0.752404"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0152537,0.0192002,0.0234076,0.0310735,0.0455095,0.0741985,0.133714", \ - "0.0152526,0.0191982,0.0234058,0.0310680,0.0455073,0.0741942,0.133705", \ - "0.0152638,0.0192260,0.0234317,0.0310810,0.0455119,0.0742002,0.133703", \ - "0.0158031,0.0196177,0.0237400,0.0312944,0.0456373,0.0742568,0.133725", \ - "0.0209661,0.0243057,0.0278694,0.0346296,0.0478372,0.0752915,0.134048", \ - "0.0273041,0.0307949,0.0341948,0.0405422,0.0530628,0.0793302,0.136060", \ - "0.0343413,0.0382238,0.0417660,0.0478551,0.0596215,0.0844823,0.139457"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0214493,0.0327020,0.0464491,0.0747408,0.133965,0.255547,0.499854", \ - "0.0214410,0.0327034,0.0464502,0.0747445,0.133948,0.255568,0.499849", \ - "0.0214410,0.0327025,0.0464543,0.0747405,0.133974,0.255569,0.499851", \ - "0.0221198,0.0331234,0.0467377,0.0748916,0.133998,0.255575,0.499848", \ - "0.0258095,0.0358864,0.0488385,0.0763103,0.134498,0.255602,0.499852", \ - "0.0313731,0.0406793,0.0523213,0.0782078,0.135709,0.256140,0.499849", \ - "0.0377477,0.0473875,0.0580715,0.0816462,0.136843,0.257001,0.500362"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0924575,0.103196,0.112913,0.128020,0.152033,0.192351,0.265294", \ - "0.0972259,0.107972,0.117686,0.132799,0.156811,0.197130,0.270071", \ - "0.115084,0.125804,0.135517,0.150639,0.174661,0.214989,0.287934", \ - "0.151851,0.162538,0.172155,0.187191,0.211250,0.251610,0.324574", \ - "0.202925,0.215071,0.225655,0.241408,0.266158,0.306865,0.379930", \ - "0.257216,0.271632,0.283988,0.301930,0.328918,0.371570,0.445694", \ - "0.314025,0.330822,0.345200,0.365735,0.395444,0.440571,0.516400"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0980813,0.116277,0.135292,0.169665,0.234728,0.362767,0.617873", \ - "0.102711,0.120914,0.139931,0.174306,0.239370,0.367408,0.622518", \ - "0.117318,0.135520,0.154526,0.188870,0.253909,0.381966,0.637109", \ - "0.144071,0.162236,0.181167,0.215291,0.280212,0.408220,0.663404", \ - "0.176686,0.195716,0.215006,0.249047,0.314014,0.441872,0.697016", \ - "0.209074,0.229486,0.249557,0.284104,0.349261,0.477195,0.732206", \ - "0.237630,0.260103,0.281511,0.317180,0.382567,0.510246,0.765296"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0159499,0.0198877,0.0240815,0.0317383,0.0461734,0.0748418,0.134277", \ - "0.0159505,0.0198865,0.0240817,0.0317380,0.0461670,0.0748346,0.134275", \ - "0.0159544,0.0199044,0.0241023,0.0317502,0.0461735,0.0748376,0.134273", \ - "0.0161794,0.0201070,0.0242760,0.0318742,0.0462504,0.0748719,0.134284", \ - "0.0205898,0.0239288,0.0275300,0.0343430,0.0477415,0.0755793,0.134522", \ - "0.0267385,0.0301405,0.0335349,0.0399341,0.0526289,0.0791456,0.136153", \ - "0.0335272,0.0372585,0.0407181,0.0468047,0.0587320,0.0839360,0.139340"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0214523,0.0327003,0.0464508,0.0747332,0.133961,0.255554,0.499856", \ - "0.0214434,0.0327020,0.0464475,0.0747332,0.133958,0.255573,0.499858", \ - "0.0214454,0.0326971,0.0464528,0.0747423,0.133967,0.255572,0.499850", \ - "0.0218595,0.0329489,0.0466162,0.0748257,0.133978,0.255595,0.499852", \ - "0.0241136,0.0348972,0.0482153,0.0759000,0.134313,0.255568,0.499849", \ - "0.0277576,0.0380825,0.0507308,0.0774933,0.135317,0.255932,0.499843", \ - "0.0327745,0.0428934,0.0547907,0.0801214,0.136354,0.256589,0.500169"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0994799,0.110453,0.120351,0.135701,0.160014,0.200683,0.274018", \ - "0.104124,0.115094,0.124994,0.140352,0.164662,0.205331,0.278666", \ - "0.122147,0.133100,0.142996,0.158357,0.182678,0.223356,0.296692", \ - "0.159053,0.169954,0.179754,0.194993,0.219332,0.260024,0.333377", \ - "0.212554,0.224585,0.235107,0.250803,0.275548,0.316451,0.389851", \ - "0.270118,0.284346,0.296545,0.314335,0.341185,0.383805,0.458016", \ - "0.330481,0.347009,0.361154,0.381399,0.410815,0.455763,0.531635"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.100429,0.118631,0.137647,0.172022,0.237081,0.365130,0.620241", \ - "0.105377,0.123578,0.142600,0.176969,0.242041,0.370085,0.625193", \ - "0.118376,0.136571,0.155579,0.189936,0.254998,0.383056,0.638198", \ - "0.139873,0.158112,0.177027,0.211284,0.276263,0.404310,0.659492", \ - "0.166000,0.184940,0.204326,0.238897,0.303949,0.431773,0.686906", \ - "0.193314,0.213268,0.233272,0.268007,0.333318,0.461290,0.716398", \ - "0.218476,0.240028,0.261105,0.296770,0.362524,0.490405,0.745488"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0165592,0.0204797,0.0246635,0.0323100,0.0467506,0.0754350,0.134884", \ - "0.0165590,0.0204805,0.0246675,0.0323071,0.0467466,0.0754377,0.134879", \ - "0.0165605,0.0204917,0.0246791,0.0323194,0.0467554,0.0754335,0.134875", \ - "0.0166500,0.0206024,0.0247833,0.0323939,0.0467986,0.0754574,0.134881", \ - "0.0203134,0.0236556,0.0272818,0.0341569,0.0477692,0.0759002,0.135017", \ - "0.0262646,0.0295847,0.0329979,0.0394733,0.0523050,0.0790350,0.136317", \ - "0.0328496,0.0364722,0.0398859,0.0459858,0.0580772,0.0835697,0.139357"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0214463,0.0326976,0.0464499,0.0747368,0.133955,0.255566,0.499848", \ - "0.0214453,0.0327016,0.0464475,0.0747348,0.133949,0.255569,0.499858", \ - "0.0214474,0.0327010,0.0464496,0.0747454,0.133960,0.255557,0.499850", \ - "0.0217963,0.0329244,0.0465952,0.0748165,0.133981,0.255555,0.499848", \ - "0.0234538,0.0344913,0.0479441,0.0757095,0.134292,0.255597,0.499853", \ - "0.0259537,0.0368586,0.0500247,0.0772060,0.135114,0.255808,0.499847", \ - "0.0297259,0.0404858,0.0532368,0.0795564,0.136250,0.256351,0.500039"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.064152,2.216157,2.341047,2.474813,2.605409,2.677943,2.703080", \ - "2.051339,2.208083,2.326143,2.469768,2.592474,2.662654,2.690003", \ - "2.016571,2.169347,2.286268,2.428629,2.554401,2.627579,2.655383", \ - "2.019450,2.163395,2.272286,2.417773,2.546356,2.623607,2.653998", \ - "2.056512,2.194393,2.321583,2.453729,2.598490,2.679697,2.713872", \ - "2.154019,2.250190,2.352730,2.492242,2.664512,2.796835,2.836462", \ - "2.338917,2.412061,2.487688,2.612782,2.782474,2.930253,3.022380"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("1.522022,1.618090,1.667246,1.708492,1.717560,1.771225,1.796042", \ - "1.511935,1.607552,1.653431,1.673954,1.715259,1.768458,1.785888", \ - "1.493918,1.589692,1.630001,1.669057,1.696544,1.691233,1.709831", \ - "1.504020,1.593494,1.629756,1.642897,1.668047,1.688589,1.710469", \ - "1.577913,1.653462,1.674743,1.704286,1.714254,1.703578,1.726271", \ - "1.708640,1.805238,1.814799,1.798340,1.788246,1.769226,1.794420", \ - "1.897490,1.996458,2.034644,2.029272,1.996618,1.932213,1.948577"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.304869,2.471420,2.596927,2.747511,2.872609,2.966184,3.005162", \ - "2.285914,2.453242,2.583483,2.731575,2.864127,2.948376,2.988964", \ - "2.258287,2.423422,2.542625,2.697627,2.825601,2.914584,2.958065", \ - "2.278731,2.423539,2.543142,2.688843,2.817983,2.916036,2.958407", \ - "2.330567,2.462969,2.593202,2.737202,2.869015,2.966304,3.014859", \ - "2.413406,2.518979,2.630014,2.783893,2.950186,3.081943,3.132098", \ - "2.599719,2.671802,2.753585,2.885517,3.058974,3.212147,3.305027"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("1.519473,1.615289,1.664287,1.703422,1.701847,1.763249,1.779914", \ - "1.513077,1.610657,1.654772,1.693940,1.714888,1.743896,1.760664", \ - "1.494363,1.588882,1.631801,1.652018,1.671871,1.734749,1.752984", \ - "1.481026,1.572922,1.616402,1.638347,1.615421,1.678544,1.699201", \ - "1.500546,1.588123,1.619987,1.622873,1.662374,1.654325,1.677064", \ - "1.584181,1.674464,1.701855,1.681907,1.710269,1.693763,1.720108", \ - "1.695003,1.800367,1.828514,1.841662,1.788973,1.797502,1.818711"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.510185,2.664996,2.802848,2.942418,3.096011,3.196655,3.251682", \ - "2.493850,2.648177,2.777283,2.936726,3.077841,3.182056,3.233689", \ - "2.467239,2.618989,2.754221,2.912483,3.053836,3.152677,3.209645", \ - "2.482070,2.627138,2.749898,2.901416,3.048859,3.152321,3.213986", \ - "2.549960,2.699044,2.814064,2.961064,3.107653,3.208274,3.269415", \ - "2.643649,2.747650,2.856603,3.011239,3.199056,3.323794,3.384892", \ - "2.830050,2.899884,2.994542,3.130496,3.302779,3.459278,3.558582"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("1.527063,1.610802,1.657370,1.676700,1.737896,1.731729,1.747826", \ - "1.517033,1.613067,1.660134,1.697197,1.724812,1.708055,1.792808", \ - "1.491969,1.587954,1.639286,1.675537,1.706165,1.721849,1.739246", \ - "1.479141,1.572589,1.604513,1.619894,1.676950,1.680741,1.692470", \ - "1.483070,1.573078,1.602482,1.631653,1.662119,1.658710,1.681886", \ - "1.538779,1.627571,1.648640,1.664381,1.627806,1.684304,1.712236", \ - "1.627127,1.722009,1.758985,1.763737,1.739989,1.750872,1.774657"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND3_X2 - Cell Description : Combinational cell (AND3_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AND3_X2) { - - drive_strength : 2; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 28.785421; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 19.408025; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 25.492015; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 20.903619; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 39.733921; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 19.643733; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 30.035505; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 24.548844; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 50.517703; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.570122; - fall_capacitance : 1.478104; - rise_capacitance : 1.570122; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.624021; - fall_capacitance : 1.488834; - rise_capacitance : 1.624021; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.641268; - fall_capacitance : 1.470578; - rise_capacitance : 1.641268; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0777607,0.0888804,0.0978091,0.111935,0.134798,0.173915,0.245799", \ - "0.0825555,0.0936817,0.102615,0.116746,0.139611,0.178728,0.250610", \ - "0.100368,0.111457,0.120380,0.134525,0.157402,0.196531,0.268421", \ - "0.136812,0.147959,0.156849,0.171006,0.193962,0.233144,0.305061", \ - "0.182714,0.196014,0.206184,0.221416,0.245581,0.285417,0.357454", \ - "0.231156,0.247014,0.258955,0.276393,0.302741,0.344610,0.418000", \ - "0.281961,0.300486,0.314438,0.334540,0.363693,0.408084,0.483045"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0856287,0.105555,0.124159,0.158127,0.222864,0.350552,0.605083", \ - "0.0894413,0.109366,0.127973,0.161940,0.226681,0.354383,0.608909", \ - "0.104019,0.123942,0.142521,0.176404,0.241098,0.368804,0.623390", \ - "0.132378,0.152321,0.170720,0.204414,0.268912,0.396556,0.651175", \ - "0.162743,0.183828,0.202679,0.236334,0.300945,0.428292,0.682786", \ - "0.189106,0.212542,0.232288,0.266425,0.330881,0.458448,0.712657", \ - "0.209948,0.236307,0.257896,0.293219,0.357613,0.484581,0.738989"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0141569,0.0184519,0.0225592,0.0301104,0.0444824,0.0732516,0.133044", \ - "0.0141634,0.0184514,0.0225586,0.0301099,0.0444820,0.0732505,0.133046", \ - "0.0141767,0.0184846,0.0225883,0.0301264,0.0444909,0.0732535,0.133049", \ - "0.0150384,0.0190233,0.0229916,0.0303963,0.0446429,0.0733255,0.133067", \ - "0.0203530,0.0239257,0.0273803,0.0340444,0.0471843,0.0745486,0.133412", \ - "0.0266434,0.0303514,0.0336471,0.0398324,0.0522207,0.0784960,0.135514", \ - "0.0336116,0.0377452,0.0411368,0.0470671,0.0586509,0.0834615,0.138663"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0200748,0.0326156,0.0464010,0.0748338,0.134199,0.255578,0.499277", \ - "0.0200742,0.0326157,0.0464002,0.0748392,0.134197,0.255583,0.499282", \ - "0.0200688,0.0326179,0.0464060,0.0748473,0.134206,0.255589,0.499281", \ - "0.0210006,0.0331574,0.0467541,0.0750020,0.134233,0.255584,0.499282", \ - "0.0247678,0.0358212,0.0487966,0.0764593,0.134776,0.255608,0.499278", \ - "0.0303788,0.0405064,0.0520996,0.0781403,0.135904,0.256292,0.499274", \ - "0.0367680,0.0471867,0.0577075,0.0813738,0.136918,0.257071,0.499866"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0862919,0.0977405,0.106898,0.121325,0.144547,0.184055,0.256324", \ - "0.0910366,0.102492,0.111652,0.126082,0.149304,0.188813,0.261080", \ - "0.108899,0.120324,0.129473,0.143933,0.167166,0.206684,0.278954", \ - "0.145653,0.157052,0.166136,0.180472,0.203754,0.243310,0.315618", \ - "0.195010,0.208197,0.218299,0.233426,0.257551,0.297516,0.369913", \ - "0.247241,0.262928,0.274705,0.291951,0.318159,0.359990,0.433469", \ - "0.301956,0.320253,0.333957,0.353685,0.382468,0.426613,0.501573"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0919334,0.111851,0.130454,0.164429,0.229181,0.356874,0.611395", \ - "0.0965358,0.116456,0.135060,0.169035,0.233793,0.361498,0.616018", \ - "0.111070,0.130988,0.149576,0.183515,0.248247,0.375968,0.630520", \ - "0.137376,0.157322,0.175850,0.209691,0.274300,0.401979,0.656591", \ - "0.168499,0.189382,0.208278,0.242228,0.306936,0.434464,0.689057", \ - "0.198873,0.221320,0.240913,0.275004,0.339794,0.467448,0.721854", \ - "0.225128,0.249883,0.270752,0.305863,0.370804,0.498165,0.752645"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0148392,0.0191233,0.0232241,0.0307666,0.0451319,0.0738775,0.133596", \ - "0.0148369,0.0191231,0.0232218,0.0307657,0.0451339,0.0738801,0.133592", \ - "0.0148419,0.0191463,0.0232427,0.0307793,0.0451407,0.0738822,0.133595", \ - "0.0151964,0.0194134,0.0234580,0.0309330,0.0452253,0.0739197,0.133606", \ - "0.0199255,0.0235009,0.0270029,0.0337451,0.0470198,0.0747540,0.133868", \ - "0.0260007,0.0296109,0.0329014,0.0391685,0.0517527,0.0783010,0.135584", \ - "0.0326804,0.0366567,0.0399511,0.0458982,0.0576781,0.0828654,0.138529"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0200762,0.0326162,0.0464011,0.0748329,0.134192,0.255578,0.499268", \ - "0.0200814,0.0326161,0.0463995,0.0748328,0.134205,0.255582,0.499278", \ - "0.0200703,0.0326163,0.0464018,0.0748441,0.134187,0.255580,0.499282", \ - "0.0206469,0.0329398,0.0466095,0.0749367,0.134221,0.255587,0.499277", \ - "0.0229572,0.0348897,0.0482154,0.0760338,0.134581,0.255600,0.499277", \ - "0.0267221,0.0380442,0.0506544,0.0775189,0.135539,0.256036,0.499270", \ - "0.0318135,0.0428718,0.0546591,0.0800393,0.136485,0.256699,0.499632"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0931707,0.104880,0.114219,0.128889,0.152408,0.192266,0.264927", \ - "0.0978188,0.109527,0.118870,0.133541,0.157059,0.196919,0.269577", \ - "0.115852,0.127525,0.136863,0.151557,0.175096,0.214964,0.287618", \ - "0.152790,0.164415,0.173645,0.188169,0.211723,0.251614,0.324337", \ - "0.204861,0.217915,0.227952,0.243068,0.267153,0.307275,0.379993", \ - "0.260452,0.275910,0.287521,0.304612,0.330678,0.372488,0.446074", \ - "0.318753,0.336750,0.350216,0.369650,0.398144,0.442116,0.517138"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0942743,0.114187,0.132793,0.166765,0.231520,0.359225,0.613742", \ - "0.0991756,0.119091,0.137697,0.171673,0.236435,0.364145,0.618665", \ - "0.112078,0.131994,0.150588,0.184538,0.249293,0.377006,0.631555", \ - "0.133180,0.153178,0.171735,0.205618,0.270306,0.398015,0.652590", \ - "0.158339,0.179100,0.198104,0.232191,0.296967,0.424563,0.679147", \ - "0.184160,0.206111,0.225695,0.260153,0.325107,0.452793,0.707283", \ - "0.207395,0.231139,0.251735,0.286938,0.352274,0.479829,0.734316"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0154409,0.0197100,0.0237981,0.0313383,0.0457130,0.0744748,0.134187", \ - "0.0154395,0.0197099,0.0237986,0.0313374,0.0457127,0.0744761,0.134188", \ - "0.0154416,0.0197277,0.0238152,0.0313504,0.0457174,0.0744766,0.134188", \ - "0.0155767,0.0198576,0.0239267,0.0314290,0.0457607,0.0744911,0.134189", \ - "0.0196142,0.0232037,0.0267430,0.0335264,0.0469713,0.0750236,0.134348", \ - "0.0254975,0.0290315,0.0323318,0.0386870,0.0514180,0.0782085,0.135729", \ - "0.0319535,0.0358038,0.0390521,0.0450410,0.0569972,0.0824971,0.138560"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0200745,0.0326153,0.0464015,0.0748392,0.134204,0.255584,0.499277", \ - "0.0200760,0.0326148,0.0464004,0.0748368,0.134200,0.255584,0.499277", \ - "0.0200736,0.0326158,0.0464012,0.0748421,0.134199,0.255599,0.499276", \ - "0.0205461,0.0329000,0.0465784,0.0749243,0.134224,0.255592,0.499278", \ - "0.0221989,0.0344718,0.0479392,0.0758421,0.134538,0.255593,0.499284", \ - "0.0247745,0.0368473,0.0499831,0.0772477,0.135316,0.255926,0.499276", \ - "0.0287261,0.0405437,0.0531965,0.0795484,0.136398,0.256457,0.499491"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("3.974775,4.310388,4.524875,4.752332,4.969564,5.092123,5.139216", \ - "3.932803,4.279441,4.487247,4.741491,4.938285,5.061504,5.108455", \ - "3.857682,4.193853,4.411324,4.640021,4.862183,4.989638,5.037198", \ - "3.901541,4.185790,4.382411,4.643296,4.853041,4.987698,5.042815", \ - "3.952437,4.222714,4.462269,4.733336,4.965404,5.109206,5.165974", \ - "4.161117,4.367547,4.532358,4.802687,5.118057,5.352510,5.423981", \ - "4.571101,4.685107,4.827190,5.060073,5.363278,5.623627,5.809689"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("3.031905,3.250339,3.342955,3.384617,3.441167,3.442019,3.507227", \ - "3.009802,3.227898,3.305048,3.379952,3.418910,3.421192,3.486487", \ - "2.979842,3.188237,3.251393,3.353425,3.392034,3.396260,3.466651", \ - "3.005086,3.208361,3.274063,3.296227,3.269021,3.407501,3.485210", \ - "3.157713,3.331526,3.391142,3.375852,3.365053,3.359943,3.455923", \ - "3.420753,3.619927,3.668252,3.659668,3.582834,3.565683,3.647680", \ - "3.806985,4.039009,4.095668,4.061694,4.020858,3.967394,3.898215"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.457832,4.788072,5.028714,5.301675,5.524108,5.672181,5.743632", \ - "4.446709,4.758343,4.987298,5.263321,5.489568,5.641210,5.704971", \ - "4.371115,4.709637,4.935650,5.194484,5.422401,5.580039,5.645772", \ - "4.391769,4.694037,4.926985,5.181429,5.415031,5.571611,5.645876", \ - "4.493645,4.790255,5.021510,5.280662,5.522565,5.687319,5.762655", \ - "4.700944,4.900496,5.100309,5.353765,5.684057,5.917852,6.013548", \ - "5.077164,5.216876,5.371162,5.597479,5.913700,6.180814,6.371565"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("3.050122,3.245451,3.348436,3.383495,3.410116,3.547264,3.476027", \ - "3.013344,3.231263,3.325017,3.360157,3.483347,3.508233,3.573011", \ - "2.986118,3.189128,3.265965,3.330565,3.347222,3.486957,3.419327", \ - "2.955822,3.140775,3.227351,3.277662,3.243906,3.384560,3.460445", \ - "3.009854,3.183423,3.252503,3.238903,3.235337,3.371907,3.452974", \ - "3.163226,3.359162,3.417024,3.391950,3.391603,3.383149,3.467371", \ - "3.406716,3.630032,3.686185,3.676410,3.630300,3.667160,3.604747"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.850925,5.199691,5.439493,5.707252,5.973049,6.146728,6.240872", \ - "4.808759,5.164668,5.402532,5.670689,5.934602,6.104973,6.195123", \ - "4.790954,5.113499,5.349257,5.624141,5.881596,6.052973,6.151337", \ - "4.832295,5.118537,5.336358,5.611705,5.877444,6.059014,6.161351", \ - "4.953369,5.247356,5.449126,5.728752,5.986681,6.164244,6.279377", \ - "5.143337,5.358856,5.564612,5.834753,6.172002,6.404585,6.517470", \ - "5.537174,5.687533,5.831684,6.064910,6.398893,6.672487,6.873211"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("3.042808,3.261312,3.325221,3.419503,3.482662,3.485252,3.548998", \ - "3.021757,3.239927,3.322458,3.387441,3.434798,3.437723,3.502226", \ - "2.978093,3.187701,3.268295,3.330514,3.322353,3.461735,3.529607", \ - "2.949842,3.138655,3.221150,3.272963,3.362414,3.368992,3.442372", \ - "2.963086,3.141412,3.209447,3.226181,3.228680,3.369657,3.449264", \ - "3.062200,3.263256,3.339607,3.331366,3.334182,3.331789,3.418010", \ - "3.261033,3.480874,3.535548,3.524495,3.554250,3.576568,3.607063"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND3_X4 - Cell Description : Combinational cell (AND3_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AND3_X4) { - - drive_strength : 4; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 57.560729; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 38.806550; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 50.974625; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 41.797739; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 79.458285; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 39.277968; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 60.061566; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 49.088190; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 101.020910; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.023534; - fall_capacitance : 2.837999; - rise_capacitance : 3.023534; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.235020; - fall_capacitance : 2.960864; - rise_capacitance : 3.235020; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.438773; - fall_capacitance : 3.096946; - rise_capacitance : 3.438773; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 241.089000; - function : "((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0746180,0.0863065,0.0950762,0.108985,0.131588,0.170460,0.242247", \ - "0.0793915,0.0910897,0.0998640,0.113776,0.136382,0.175255,0.247042", \ - "0.0972401,0.108900,0.117684,0.131623,0.154238,0.193083,0.264881", \ - "0.133558,0.145326,0.154074,0.168098,0.190841,0.229793,0.301540", \ - "0.178404,0.192537,0.202599,0.217708,0.241732,0.281461,0.353395", \ - "0.225769,0.242636,0.254460,0.271684,0.297784,0.339391,0.412649", \ - "0.275542,0.295201,0.309038,0.328902,0.357754,0.401815,0.476571"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0816955,0.102733,0.121246,0.155128,0.219848,0.347575,0.602203", \ - "0.0855048,0.106540,0.125054,0.158936,0.223662,0.351398,0.606027", \ - "0.100085,0.121086,0.139574,0.173401,0.238097,0.365860,0.620557", \ - "0.128075,0.149172,0.167484,0.201148,0.265578,0.393310,0.648104", \ - "0.157410,0.179706,0.198438,0.231980,0.296604,0.424002,0.678691", \ - "0.182558,0.207423,0.227027,0.260984,0.325398,0.453053,0.707342", \ - "0.202131,0.230159,0.251595,0.286701,0.351005,0.478022,0.732581"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0135336,0.0180472,0.0221282,0.0296570,0.0440451,0.0729352,0.133017", \ - "0.0135305,0.0180466,0.0221279,0.0296549,0.0440442,0.0729353,0.133018", \ - "0.0135494,0.0180810,0.0221573,0.0296732,0.0440508,0.0729372,0.133025", \ - "0.0146470,0.0187121,0.0226234,0.0299791,0.0442253,0.0730162,0.133040", \ - "0.0199785,0.0237031,0.0271237,0.0337388,0.0468996,0.0743187,0.133392", \ - "0.0262675,0.0301311,0.0333667,0.0394978,0.0518630,0.0782060,0.135519", \ - "0.0331940,0.0375181,0.0408445,0.0467074,0.0582305,0.0830877,0.138557"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0189031,0.0321501,0.0459785,0.0745179,0.134023,0.255488,0.499221", \ - "0.0189024,0.0321502,0.0459761,0.0745149,0.134021,0.255485,0.499238", \ - "0.0188998,0.0321544,0.0459863,0.0745293,0.134019,0.255483,0.499231", \ - "0.0199718,0.0327747,0.0463719,0.0746947,0.134057,0.255480,0.499238", \ - "0.0238518,0.0353953,0.0483829,0.0761610,0.134645,0.255508,0.499230", \ - "0.0294589,0.0400850,0.0516420,0.0777637,0.135701,0.256258,0.499213", \ - "0.0358131,0.0467784,0.0572223,0.0809242,0.136677,0.257004,0.499888"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0830987,0.0951443,0.104143,0.118353,0.141311,0.180575,0.252743", \ - "0.0878257,0.0998770,0.108879,0.123090,0.146052,0.185316,0.257483", \ - "0.105706,0.117737,0.126741,0.140985,0.163969,0.203195,0.275363", \ - "0.142438,0.154435,0.163368,0.177556,0.200651,0.239995,0.312088", \ - "0.190894,0.204888,0.214876,0.229812,0.253802,0.293657,0.365948", \ - "0.242112,0.258768,0.270415,0.287441,0.313388,0.354962,0.428334", \ - "0.295805,0.315231,0.328781,0.348263,0.376729,0.420540,0.495288"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0879647,0.109001,0.127515,0.161404,0.226134,0.353868,0.608495", \ - "0.0925568,0.113593,0.132108,0.165998,0.230734,0.358480,0.613105", \ - "0.107063,0.128100,0.146588,0.180446,0.245153,0.372899,0.627556", \ - "0.133109,0.154203,0.172636,0.206355,0.270979,0.398713,0.653417", \ - "0.163447,0.185555,0.204385,0.238254,0.302920,0.430514,0.685217", \ - "0.192715,0.216537,0.236031,0.270009,0.334751,0.462476,0.716966", \ - "0.217684,0.244011,0.264784,0.299776,0.364621,0.492016,0.746639"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0142062,0.0187103,0.0227861,0.0303101,0.0446917,0.0735571,0.133558", \ - "0.0142061,0.0187098,0.0227844,0.0303089,0.0446911,0.0735560,0.133559", \ - "0.0142136,0.0187363,0.0228075,0.0303217,0.0446953,0.0735580,0.133560", \ - "0.0146493,0.0190375,0.0230481,0.0304888,0.0447916,0.0736003,0.133574", \ - "0.0195192,0.0232445,0.0267166,0.0334344,0.0467128,0.0744860,0.133837", \ - "0.0255699,0.0293310,0.0325746,0.0388070,0.0513696,0.0780041,0.135578", \ - "0.0322246,0.0363395,0.0395878,0.0454681,0.0572162,0.0824674,0.138411"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0189062,0.0321510,0.0459754,0.0745135,0.134027,0.255491,0.499233", \ - "0.0189039,0.0321503,0.0459773,0.0745132,0.134018,0.255490,0.499234", \ - "0.0189026,0.0321518,0.0459818,0.0745192,0.134028,0.255489,0.499227", \ - "0.0195766,0.0325275,0.0462150,0.0746246,0.134052,0.255476,0.499223", \ - "0.0219100,0.0344777,0.0478226,0.0757470,0.134442,0.255498,0.499235", \ - "0.0257663,0.0376495,0.0502522,0.0771776,0.135346,0.255989,0.499221", \ - "0.0308579,0.0425166,0.0542511,0.0796673,0.136269,0.256625,0.499631"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0899398,0.102267,0.111451,0.125903,0.149162,0.188779,0.261336", \ - "0.0945777,0.106907,0.116092,0.130543,0.153804,0.193420,0.265976", \ - "0.112629,0.124933,0.134133,0.148620,0.171895,0.211482,0.284032", \ - "0.149583,0.161813,0.170879,0.185251,0.208591,0.248302,0.320801", \ - "0.200899,0.214749,0.224667,0.239585,0.263476,0.303417,0.376062", \ - "0.255536,0.271927,0.283408,0.300270,0.326083,0.367644,0.441129", \ - "0.312867,0.331951,0.345262,0.364441,0.392613,0.436259,0.511071"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0903062,0.111344,0.129858,0.163750,0.228483,0.356219,0.610845", \ - "0.0951997,0.116236,0.134750,0.168645,0.233390,0.361129,0.615755", \ - "0.108080,0.129108,0.147609,0.181480,0.246208,0.373976,0.628615", \ - "0.128984,0.150140,0.168625,0.202416,0.267066,0.394797,0.649468", \ - "0.153656,0.175633,0.194559,0.228543,0.293311,0.420942,0.675609", \ - "0.178718,0.202007,0.221504,0.255879,0.320846,0.448595,0.703168", \ - "0.200897,0.226147,0.246668,0.281794,0.347063,0.474674,0.729286"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0148049,0.0192964,0.0233617,0.0308831,0.0452721,0.0741531,0.134153", \ - "0.0148051,0.0192980,0.0233631,0.0308829,0.0452710,0.0741547,0.134152", \ - "0.0148076,0.0193160,0.0233824,0.0308938,0.0452779,0.0741562,0.134159", \ - "0.0149633,0.0194557,0.0234997,0.0309744,0.0453181,0.0741692,0.134156", \ - "0.0191862,0.0229312,0.0264438,0.0332146,0.0466419,0.0747394,0.134320", \ - "0.0250215,0.0287167,0.0319821,0.0382953,0.0510278,0.0779135,0.135722", \ - "0.0314708,0.0354455,0.0386587,0.0445851,0.0565177,0.0820912,0.138448"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0189033,0.0321514,0.0459777,0.0745164,0.134016,0.255484,0.499233", \ - "0.0189011,0.0321512,0.0459779,0.0745121,0.134020,0.255485,0.499232", \ - "0.0188983,0.0321509,0.0459794,0.0745175,0.134029,0.255478,0.499238", \ - "0.0194316,0.0324792,0.0461797,0.0746051,0.134040,0.255491,0.499224", \ - "0.0210987,0.0340499,0.0475434,0.0755484,0.134373,0.255498,0.499229", \ - "0.0237313,0.0364470,0.0495878,0.0769164,0.135132,0.255837,0.499217", \ - "0.0277540,0.0401956,0.0528255,0.0792044,0.136194,0.256369,0.499484"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("7.685587,8.372946,8.778996,9.288561,9.676791,9.891408,9.966764", \ - "7.611909,8.313494,8.759085,9.226272,9.610132,9.829942,9.912043", \ - "7.487305,8.133396,8.540997,9.062507,9.456669,9.682614,9.770072", \ - "7.582455,8.147295,8.536962,9.025619,9.448385,9.698332,9.790365", \ - "7.702154,8.239933,8.642386,9.192738,9.668541,9.947070,10.054900", \ - "8.146926,8.522616,8.833488,9.343616,9.964921,10.427040,10.558780", \ - "8.929911,9.176427,9.418251,9.882720,10.459850,10.965200,11.337750"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("5.824391,6.289969,6.425748,6.633912,6.560311,6.820361,6.927691", \ - "5.779312,6.244316,6.411916,6.512491,6.631395,6.777247,6.885060", \ - "5.699245,6.161138,6.295558,6.423518,6.458220,6.723162,6.570339", \ - "5.741168,6.169755,6.319238,6.312307,6.516980,6.493637,6.627347", \ - "6.100323,6.424903,6.550149,6.544103,6.478792,6.731257,6.597244", \ - "6.643137,7.055891,7.096360,7.047047,6.983442,6.944182,7.082646", \ - "7.381783,7.852877,7.977776,7.889533,7.778936,7.817957,7.665798"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("8.641008,9.383534,9.849671,10.356140,10.786230,11.061720,11.188330", \ - "8.577059,9.312411,9.764260,10.283190,10.715190,10.992180,11.116650", \ - "8.456513,9.192786,9.619905,10.141130,10.589000,10.858250,10.983070", \ - "8.560650,9.193298,9.601525,10.110500,10.563360,10.871500,11.007820", \ - "8.764554,9.335006,9.787165,10.299390,10.778350,11.091040,11.251780", \ - "9.143805,9.596825,9.954418,10.466420,11.090360,11.559120,11.737360", \ - "9.978761,10.242060,10.495890,10.971750,11.556560,12.087630,12.456860"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("5.817885,6.304568,6.481275,6.634608,6.768995,6.876856,6.868673", \ - "5.787512,6.252260,6.389873,6.585400,6.759969,6.681707,6.788857", \ - "5.702072,6.165956,6.330126,6.441878,6.638746,6.637617,6.833297", \ - "5.635730,6.078551,6.242084,6.274881,6.443723,6.443949,6.573132", \ - "5.744742,6.156033,6.270548,6.354782,6.464162,6.458708,6.599904", \ - "6.078694,6.509666,6.561066,6.595066,6.570432,6.548272,6.689127", \ - "6.554695,7.011915,7.133883,7.080872,7.118850,7.080696,7.042141"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("9.499423,10.195420,10.662520,11.200680,11.685260,11.992100,12.184140", \ - "9.413211,10.120050,10.577620,11.111090,11.604700,11.911630,12.103160", \ - "9.315219,9.979227,10.473820,10.994240,11.489160,11.823960,12.006120", \ - "9.389556,10.011980,10.473790,10.970740,11.493740,11.834980,12.030590", \ - "9.643818,10.228780,10.710490,11.200890,11.699390,12.056760,12.269350", \ - "10.050290,10.508040,10.897620,11.436460,12.054370,12.525110,12.744540", \ - "10.862870,11.161650,11.459030,11.900150,12.520660,13.068180,13.462540"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("5.802654,6.297475,6.429948,6.562887,6.645486,6.906307,6.742557", \ - "5.803049,6.221421,6.427529,6.481078,6.548868,6.811504,6.918409", \ - "5.698027,6.162497,6.311226,6.493536,6.590745,6.587541,6.698640", \ - "5.618383,6.069325,6.204217,6.372307,6.430119,6.524122,6.531387", \ - "5.676356,6.052826,6.192276,6.286642,6.447007,6.434649,6.573559", \ - "5.864219,6.329781,6.412096,6.414040,6.412239,6.401407,6.682749", \ - "6.265630,6.708035,6.890114,6.854714,6.913037,6.860871,6.991378"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND4_X1 - Cell Description : Combinational cell (AND4_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AND4_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 13.633118; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 9.501235; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 12.200128; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 10.393893; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 15.203942; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 9.794802; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 12.938696; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 10.593401; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 22.231311; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 9.385733; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 12.317158; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 10.162357; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 17.446496; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 9.773975; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 14.738840; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 12.124017; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 29.323897; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.847523; - fall_capacitance : 0.811704; - rise_capacitance : 0.847523; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.901126; - fall_capacitance : 0.841640; - rise_capacitance : 0.901126; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.906192; - fall_capacitance : 0.840930; - rise_capacitance : 0.906192; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.908035; - fall_capacitance : 0.828903; - rise_capacitance : 0.908035; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.215150; - function : "(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0901669,0.101060,0.110977,0.126408,0.150843,0.191607,0.264728", \ - "0.0952041,0.106097,0.116019,0.131453,0.155893,0.196653,0.269772", \ - "0.113098,0.123982,0.133897,0.149332,0.173779,0.214557,0.287679", \ - "0.149620,0.160458,0.170276,0.185638,0.210141,0.250953,0.324099", \ - "0.199179,0.211677,0.222635,0.238897,0.264282,0.305544,0.378836", \ - "0.251438,0.266286,0.279130,0.297793,0.325662,0.369191,0.443820", \ - "0.305829,0.323123,0.338137,0.359634,0.390555,0.436955,0.513675"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.122832,0.143459,0.164473,0.201126,0.267772,0.396050,0.650662", \ - "0.126385,0.147000,0.168014,0.204662,0.271324,0.399599,0.654207", \ - "0.139535,0.160140,0.181134,0.217765,0.284401,0.412664,0.667289", \ - "0.166833,0.187388,0.208243,0.244678,0.311186,0.439357,0.694004", \ - "0.204054,0.225171,0.246361,0.282773,0.349222,0.477175,0.731668", \ - "0.238955,0.261729,0.283694,0.320769,0.387664,0.515783,0.769959", \ - "0.268663,0.293881,0.317676,0.355987,0.423122,0.551171,0.805516"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0162693,0.0203345,0.0246376,0.0324152,0.0469133,0.0754389,0.134322", \ - "0.0162725,0.0203354,0.0246373,0.0324088,0.0469117,0.0754415,0.134312", \ - "0.0162783,0.0203543,0.0246617,0.0324221,0.0469182,0.0754397,0.134318", \ - "0.0166049,0.0206252,0.0248842,0.0325841,0.0470110,0.0754879,0.134329", \ - "0.0214722,0.0249528,0.0286304,0.0355062,0.0488386,0.0763680,0.134636", \ - "0.0278663,0.0315070,0.0350518,0.0415669,0.0542391,0.0804560,0.136595", \ - "0.0350095,0.0390676,0.0427642,0.0490488,0.0610089,0.0858929,0.140332"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0266500,0.0384028,0.0522230,0.0797787,0.136986,0.256581,0.499893", \ - "0.0266359,0.0384013,0.0522234,0.0797732,0.136990,0.256584,0.499890", \ - "0.0266364,0.0384013,0.0522217,0.0797750,0.137005,0.256590,0.499891", \ - "0.0266478,0.0384326,0.0522706,0.0798270,0.137020,0.256616,0.499896", \ - "0.0293312,0.0404599,0.0539118,0.0808946,0.137414,0.256652,0.499893", \ - "0.0346026,0.0447938,0.0571584,0.0832118,0.139160,0.257254,0.499905", \ - "0.0410338,0.0513211,0.0627301,0.0867238,0.140680,0.258516,0.500458"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0988684,0.110060,0.120211,0.135947,0.160748,0.201913,0.275439", \ - "0.103944,0.115135,0.125292,0.141032,0.165831,0.206996,0.280520", \ - "0.121824,0.132996,0.143144,0.158886,0.183697,0.224869,0.298402", \ - "0.158385,0.169505,0.179556,0.195175,0.220016,0.261218,0.334768", \ - "0.210696,0.223120,0.234002,0.250207,0.275592,0.317097,0.390751", \ - "0.266555,0.281263,0.293980,0.312472,0.340200,0.383687,0.458392", \ - "0.324692,0.341814,0.356625,0.377806,0.408411,0.454574,0.531271"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.133151,0.153777,0.174796,0.211437,0.278095,0.406368,0.660985", \ - "0.137310,0.157925,0.178942,0.215593,0.282259,0.410540,0.665138", \ - "0.151051,0.171670,0.192687,0.229329,0.295977,0.424257,0.678876", \ - "0.177454,0.197998,0.218950,0.255509,0.322061,0.450283,0.704909", \ - "0.213822,0.234930,0.256124,0.292425,0.358947,0.487016,0.741607", \ - "0.251913,0.274203,0.296078,0.333050,0.400158,0.528358,0.782742", \ - "0.287239,0.311343,0.334494,0.372613,0.440135,0.568432,0.822897"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0169730,0.0210279,0.0253186,0.0330816,0.0475771,0.0760894,0.134904", \ - "0.0169708,0.0210267,0.0253174,0.0330827,0.0475760,0.0760846,0.134895", \ - "0.0169747,0.0210406,0.0253332,0.0330887,0.0475825,0.0760883,0.134901", \ - "0.0171244,0.0211988,0.0254745,0.0331930,0.0476436,0.0761217,0.134909", \ - "0.0211688,0.0246349,0.0283310,0.0352665,0.0488556,0.0767255,0.135136", \ - "0.0273960,0.0309370,0.0344653,0.0410231,0.0538426,0.0802678,0.136715", \ - "0.0342988,0.0382138,0.0418235,0.0480862,0.0601950,0.0853821,0.140216"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0266528,0.0383961,0.0522224,0.0797724,0.136991,0.256601,0.499890", \ - "0.0266398,0.0383990,0.0522240,0.0797750,0.137001,0.256593,0.499891", \ - "0.0266359,0.0383999,0.0522231,0.0797752,0.137000,0.256590,0.499888", \ - "0.0266237,0.0384220,0.0522535,0.0798042,0.137019,0.256590,0.499888", \ - "0.0284457,0.0399202,0.0534737,0.0805553,0.137300,0.256643,0.499889", \ - "0.0318137,0.0429215,0.0560142,0.0825996,0.138720,0.257042,0.499904", \ - "0.0368079,0.0476728,0.0601035,0.0854507,0.140171,0.258080,0.500274"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.106425,0.117844,0.128173,0.144149,0.169241,0.210749,0.284662", \ - "0.111192,0.122612,0.132944,0.148919,0.174012,0.215520,0.289435", \ - "0.129087,0.140492,0.150822,0.166802,0.191905,0.233419,0.307337", \ - "0.165625,0.176968,0.187193,0.203027,0.228149,0.269686,0.343626", \ - "0.220012,0.232326,0.243156,0.259297,0.284727,0.326441,0.400434", \ - "0.279047,0.293587,0.306144,0.324474,0.352072,0.395517,0.470286", \ - "0.340611,0.357507,0.372086,0.392989,0.423289,0.469273,0.545978"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.138954,0.159574,0.180586,0.217243,0.283907,0.412166,0.666782", \ - "0.143524,0.164142,0.185156,0.221804,0.288481,0.416754,0.671370", \ - "0.156470,0.177103,0.198120,0.234757,0.301410,0.429697,0.684317", \ - "0.178743,0.199300,0.220278,0.256778,0.323378,0.451610,0.706253", \ - "0.208760,0.229856,0.251142,0.287887,0.354496,0.482587,0.737153", \ - "0.241434,0.263511,0.285385,0.322465,0.389647,0.517960,0.772421", \ - "0.272974,0.296539,0.319508,0.357672,0.425410,0.553890,0.808476"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0175632,0.0216162,0.0258927,0.0336438,0.0481488,0.0766755,0.135503", \ - "0.0175641,0.0216143,0.0258906,0.0336444,0.0481479,0.0766793,0.135499", \ - "0.0175635,0.0216229,0.0259032,0.0336509,0.0481519,0.0766735,0.135500", \ - "0.0176312,0.0217142,0.0259913,0.0337193,0.0481896,0.0766946,0.135511", \ - "0.0209022,0.0243823,0.0280861,0.0351323,0.0489698,0.0770742,0.135634", \ - "0.0269486,0.0304357,0.0339598,0.0405826,0.0535277,0.0801268,0.136874", \ - "0.0336660,0.0374658,0.0410301,0.0473074,0.0595538,0.0850012,0.140200"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0266393,0.0384001,0.0522238,0.0797782,0.136998,0.256599,0.499882", \ - "0.0266390,0.0384045,0.0522241,0.0797793,0.137004,0.256588,0.499887", \ - "0.0266590,0.0384002,0.0522219,0.0797776,0.136999,0.256606,0.499893", \ - "0.0266153,0.0384143,0.0522465,0.0797958,0.137003,0.256614,0.499884", \ - "0.0281267,0.0397161,0.0533335,0.0804833,0.137271,0.256639,0.499888", \ - "0.0305961,0.0421193,0.0555258,0.0823389,0.138549,0.257036,0.499901", \ - "0.0344962,0.0459157,0.0589499,0.0849789,0.140021,0.257899,0.500216"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.112469,0.124138,0.134679,0.150923,0.176342,0.218234,0.292578", \ - "0.117143,0.128801,0.139338,0.155582,0.181004,0.222897,0.297242", \ - "0.135109,0.146772,0.157302,0.173547,0.198976,0.240876,0.315224", \ - "0.171745,0.183349,0.193756,0.209852,0.235287,0.277196,0.351552", \ - "0.227745,0.240025,0.250834,0.267009,0.292598,0.334582,0.408944", \ - "0.289600,0.304032,0.316512,0.334782,0.362318,0.405782,0.480714", \ - "0.354290,0.371012,0.385447,0.406169,0.436300,0.482191,0.558972"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.141215,0.161841,0.182855,0.219504,0.286168,0.414450,0.669076", \ - "0.146097,0.166718,0.187734,0.224389,0.291051,0.419334,0.673961", \ - "0.158477,0.179102,0.200125,0.236764,0.303438,0.431702,0.686330", \ - "0.177523,0.198074,0.219020,0.255558,0.322172,0.450428,0.705058", \ - "0.201773,0.222802,0.244139,0.280862,0.347509,0.475665,0.730270", \ - "0.227677,0.249476,0.271337,0.308698,0.375889,0.504251,0.758759", \ - "0.253260,0.276218,0.298887,0.336932,0.404836,0.533413,0.787998"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0182200,0.0222576,0.0265251,0.0342809,0.0487885,0.0773375,0.136165", \ - "0.0182095,0.0222576,0.0265281,0.0342808,0.0487835,0.0773402,0.136171", \ - "0.0182078,0.0222627,0.0265402,0.0342833,0.0487955,0.0773401,0.136164", \ - "0.0182052,0.0222959,0.0265829,0.0343232,0.0488082,0.0773414,0.136163", \ - "0.0208205,0.0242905,0.0280388,0.0352170,0.0492778,0.0775377,0.136199", \ - "0.0266889,0.0301319,0.0336860,0.0403618,0.0534028,0.0801398,0.137153", \ - "0.0332568,0.0369889,0.0405171,0.0468309,0.0591718,0.0848288,0.140305"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0266367,0.0383981,0.0522245,0.0797696,0.137000,0.256618,0.499895", \ - "0.0266369,0.0383987,0.0522238,0.0797731,0.136997,0.256608,0.499891", \ - "0.0266487,0.0384010,0.0522227,0.0797781,0.137005,0.256615,0.499889", \ - "0.0266063,0.0384096,0.0522391,0.0797898,0.136997,0.256614,0.499885", \ - "0.0278004,0.0394675,0.0531340,0.0803809,0.137239,0.256640,0.499884", \ - "0.0296669,0.0413793,0.0549942,0.0820106,0.138310,0.256960,0.499898", \ - "0.0323941,0.0442004,0.0577113,0.0843277,0.139775,0.257697,0.500143"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.164003,2.333410,2.459690,2.613891,2.743464,2.837919,2.869371", \ - "2.166713,2.320758,2.454438,2.604411,2.743987,2.828406,2.862878", \ - "2.134423,2.292883,2.419363,2.560111,2.705077,2.795500,2.833753", \ - "2.137780,2.284950,2.405234,2.551071,2.697290,2.790626,2.826586", \ - "2.178742,2.317438,2.447569,2.587884,2.738279,2.833428,2.875491", \ - "2.256805,2.360553,2.465213,2.628265,2.801763,2.941844,2.987207", \ - "2.428510,2.502968,2.586915,2.717546,2.905779,3.065551,3.160334"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.644840,1.743626,1.807159,1.851834,1.852887,1.927030,1.903296", \ - "1.638957,1.737945,1.796518,1.823263,1.847972,1.853880,1.898225", \ - "1.616430,1.720675,1.770272,1.813655,1.862702,1.869046,1.913951", \ - "1.616754,1.724622,1.778059,1.788889,1.819957,1.823348,1.869166", \ - "1.683999,1.783758,1.828421,1.845968,1.848799,1.844732,1.889150", \ - "1.826076,1.915355,1.946649,1.961979,1.965667,1.954720,1.997835", \ - "2.022396,2.112306,2.155068,2.135973,2.128378,2.076438,2.113313"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.425623,2.577346,2.719903,2.875086,3.020535,3.127498,3.171228", \ - "2.413917,2.579353,2.709279,2.865852,3.021167,3.118896,3.164572", \ - "2.384943,2.549378,2.676116,2.842905,2.982356,3.088236,3.138824", \ - "2.395473,2.545054,2.669532,2.825667,2.973376,3.082689,3.132253", \ - "2.442490,2.593220,2.708038,2.857611,3.014273,3.122571,3.177434", \ - "2.515571,2.624650,2.743083,2.904251,3.090998,3.227146,3.281897", \ - "2.684890,2.771816,2.860904,3.003707,3.185415,3.350866,3.446961"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.643195,1.753955,1.811947,1.836222,1.850637,1.925166,1.901550", \ - "1.631261,1.741635,1.793746,1.835278,1.838268,1.912497,1.889630", \ - "1.610435,1.720794,1.772187,1.815406,1.843440,1.850028,1.894670", \ - "1.603963,1.700318,1.760875,1.787713,1.800640,1.804385,1.902850", \ - "1.622278,1.715873,1.775505,1.807276,1.804291,1.804050,1.849831", \ - "1.697580,1.796282,1.825001,1.827699,1.833266,1.858198,1.871312", \ - "1.830717,1.920179,1.958091,1.973357,1.906966,1.959052,1.933131"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.642591,2.796921,2.931323,3.100889,3.263957,3.379297,3.434766", \ - "2.627823,2.779675,2.915513,3.077481,3.249615,3.361921,3.418347", \ - "2.598215,2.761950,2.896405,3.055598,3.221088,3.332094,3.393865", \ - "2.594618,2.752851,2.882697,3.039716,3.211191,3.325442,3.387300", \ - "2.666926,2.815873,2.937677,3.086448,3.246842,3.363834,3.431940", \ - "2.741100,2.858197,2.975416,3.135085,3.337499,3.465913,3.537635", \ - "2.908296,3.003507,3.093125,3.235549,3.425598,3.599845,3.697210"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.645133,1.743850,1.797721,1.854584,1.839734,1.914111,1.959056", \ - "1.640309,1.739238,1.791313,1.847993,1.822186,1.896590,1.941509", \ - "1.616896,1.715655,1.778414,1.800054,1.837769,1.845293,1.890043", \ - "1.598403,1.707384,1.765942,1.787292,1.785246,1.858385,1.903900", \ - "1.597057,1.699857,1.754958,1.771505,1.792464,1.794963,1.874996", \ - "1.654850,1.754048,1.788097,1.807338,1.810665,1.807644,1.854112", \ - "1.756006,1.844571,1.891988,1.911955,1.904646,1.904663,1.882005"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.818790,2.984657,3.122486,3.296759,3.465708,3.588911,3.663265", \ - "2.792471,2.957667,3.102776,3.277579,3.442850,3.569760,3.643454", \ - "2.768044,2.942278,3.071624,3.248069,3.419282,3.547214,3.618064", \ - "2.781540,2.940862,3.078986,3.227740,3.415888,3.541828,3.620069", \ - "2.869946,3.010907,3.135965,3.291568,3.455732,3.584595,3.665092", \ - "2.935343,3.063182,3.185186,3.344246,3.551921,3.688577,3.768998", \ - "3.124371,3.214470,3.309915,3.452966,3.639805,3.826290,3.931058"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.642091,1.752772,1.798188,1.836359,1.876917,1.883946,1.928264", \ - "1.633745,1.744241,1.804277,1.825671,1.854671,1.862303,1.906355", \ - "1.616745,1.715205,1.780981,1.818931,1.811410,1.886132,1.930498", \ - "1.599086,1.695750,1.756358,1.776227,1.801309,1.875533,1.853334", \ - "1.592526,1.695392,1.751299,1.766341,1.821898,1.827238,1.873938", \ - "1.637435,1.727019,1.773415,1.807872,1.788205,1.788548,1.836187", \ - "1.709754,1.801735,1.850107,1.888575,1.865297,1.890211,1.870290"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND4_X2 - Cell Description : Combinational cell (AND4_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AND4_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 27.401495; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 19.038380; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 24.503540; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 20.845280; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 30.586494; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 19.632035; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 25.998878; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 21.249030; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 44.817599; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 18.802881; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 24.739153; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 20.375234; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 35.126781; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 19.587678; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 29.642239; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 24.345689; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 59.133035; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.527617; - fall_capacitance : 1.456657; - rise_capacitance : 1.527617; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.600182; - fall_capacitance : 1.484664; - rise_capacitance : 1.600182; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.612459; - fall_capacitance : 1.478772; - rise_capacitance : 1.612459; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.626963; - fall_capacitance : 1.464593; - rise_capacitance : 1.626963; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.339200; - function : "(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0822753,0.0939308,0.103250,0.117910,0.141433,0.181235,0.253584", \ - "0.0872672,0.0989260,0.108248,0.122911,0.146435,0.186238,0.258587", \ - "0.105204,0.116822,0.126141,0.140814,0.164349,0.204161,0.276513", \ - "0.141625,0.153251,0.162517,0.177128,0.200730,0.240607,0.313023", \ - "0.188821,0.202515,0.213002,0.228663,0.253364,0.293793,0.366321", \ - "0.238362,0.254716,0.267022,0.284973,0.312008,0.354617,0.428539", \ - "0.290001,0.309082,0.323488,0.344206,0.374156,0.419471,0.495221"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.112473,0.135049,0.155486,0.191476,0.257583,0.385537,0.639886", \ - "0.115980,0.138558,0.158993,0.194985,0.261099,0.389063,0.643401", \ - "0.129058,0.151641,0.172059,0.208041,0.274127,0.402070,0.656427", \ - "0.156359,0.178873,0.199143,0.234887,0.300891,0.428765,0.683139", \ - "0.191479,0.214657,0.235259,0.271093,0.337008,0.464639,0.718878", \ - "0.223593,0.248719,0.270016,0.306284,0.372568,0.500463,0.754391", \ - "0.250454,0.278392,0.301372,0.338796,0.405155,0.532791,0.787013"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0148242,0.0192567,0.0234533,0.0311063,0.0455321,0.0741653,0.133482", \ - "0.0148276,0.0192551,0.0234504,0.0311024,0.0455293,0.0741636,0.133478", \ - "0.0148349,0.0192846,0.0234777,0.0311175,0.0455347,0.0741680,0.133476", \ - "0.0154202,0.0196835,0.0237869,0.0313350,0.0456611,0.0742255,0.133496", \ - "0.0206279,0.0243747,0.0279405,0.0347185,0.0479233,0.0753086,0.133842", \ - "0.0269823,0.0308879,0.0342948,0.0406335,0.0531614,0.0793922,0.135924", \ - "0.0340549,0.0383899,0.0419166,0.0480160,0.0597802,0.0846101,0.139373"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0244323,0.0375173,0.0513102,0.0789909,0.136568,0.256458,0.499577", \ - "0.0244333,0.0375152,0.0513087,0.0789829,0.136568,0.256467,0.499577", \ - "0.0244272,0.0375142,0.0513084,0.0789886,0.136580,0.256452,0.499577", \ - "0.0245364,0.0376042,0.0513953,0.0790545,0.136611,0.256476,0.499576", \ - "0.0275808,0.0397610,0.0532039,0.0803067,0.137066,0.256513,0.499578", \ - "0.0329991,0.0440505,0.0562616,0.0823630,0.138776,0.257247,0.499581", \ - "0.0394520,0.0505899,0.0617082,0.0856834,0.140061,0.258440,0.500212"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0908748,0.102862,0.112412,0.127375,0.151255,0.191453,0.264199", \ - "0.0959221,0.107911,0.117467,0.132429,0.156311,0.196510,0.269255", \ - "0.113812,0.125777,0.135326,0.150297,0.174188,0.214396,0.287141", \ - "0.150372,0.162287,0.171734,0.186589,0.210523,0.250789,0.323562", \ - "0.200739,0.214343,0.224759,0.240381,0.265038,0.305644,0.378528", \ - "0.254006,0.270180,0.282338,0.300118,0.326992,0.369566,0.443559", \ - "0.309480,0.328352,0.342519,0.362874,0.392480,0.437550,0.513276"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.122744,0.145315,0.165753,0.201741,0.267862,0.395821,0.650161", \ - "0.126871,0.149442,0.169878,0.205870,0.271989,0.399960,0.654300", \ - "0.140569,0.163142,0.183577,0.219556,0.285660,0.413625,0.667982", \ - "0.166731,0.189212,0.209613,0.245550,0.311522,0.439412,0.693784", \ - "0.201492,0.224709,0.245401,0.281333,0.347312,0.475086,0.729404", \ - "0.237116,0.261693,0.283001,0.319303,0.385803,0.513765,0.767872", \ - "0.269490,0.296180,0.318708,0.356071,0.422891,0.550816,0.805067"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0155137,0.0199323,0.0241189,0.0317641,0.0461852,0.0748018,0.134047", \ - "0.0155133,0.0199315,0.0241192,0.0317604,0.0461849,0.0748018,0.134044", \ - "0.0155124,0.0199515,0.0241365,0.0317746,0.0461902,0.0748044,0.134045", \ - "0.0157580,0.0201613,0.0243132,0.0319012,0.0462635,0.0748376,0.134054", \ - "0.0202641,0.0239969,0.0275943,0.0344272,0.0478160,0.0755775,0.134310", \ - "0.0264199,0.0302115,0.0336144,0.0400250,0.0527237,0.0792002,0.136008", \ - "0.0332186,0.0373991,0.0408303,0.0469345,0.0588757,0.0840432,0.139238"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0244288,0.0375169,0.0513087,0.0789901,0.136571,0.256451,0.499572", \ - "0.0244298,0.0375156,0.0513089,0.0789870,0.136567,0.256466,0.499577", \ - "0.0244294,0.0375162,0.0513102,0.0789926,0.136573,0.256460,0.499576", \ - "0.0244812,0.0375728,0.0513638,0.0790284,0.136590,0.256452,0.499577", \ - "0.0265163,0.0392445,0.0527799,0.0799404,0.136905,0.256510,0.499577", \ - "0.0300779,0.0422507,0.0552284,0.0818556,0.138351,0.256999,0.499587", \ - "0.0351797,0.0470647,0.0593061,0.0845999,0.139631,0.258025,0.499985"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0982776,0.110520,0.120249,0.135447,0.159621,0.200165,0.273295", \ - "0.103037,0.115281,0.125015,0.140215,0.164388,0.204931,0.278061", \ - "0.120901,0.133124,0.142854,0.158061,0.182244,0.222793,0.295928", \ - "0.157510,0.169664,0.179296,0.194298,0.218503,0.259078,0.332265", \ - "0.210341,0.223814,0.234165,0.249698,0.274330,0.315117,0.388329", \ - "0.266883,0.282831,0.294829,0.312438,0.339183,0.381715,0.455792", \ - "0.325877,0.344469,0.358387,0.378446,0.407757,0.452637,0.528392"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.128499,0.151085,0.171517,0.207511,0.273633,0.401599,0.655939", \ - "0.133027,0.155615,0.176053,0.212041,0.278172,0.406144,0.660484", \ - "0.145920,0.168492,0.188928,0.224911,0.291023,0.418998,0.673352", \ - "0.167943,0.190448,0.210819,0.246733,0.312761,0.440705,0.695080", \ - "0.196800,0.219994,0.240763,0.276909,0.342988,0.470826,0.725158", \ - "0.227643,0.251979,0.273312,0.309929,0.376528,0.504587,0.758793", \ - "0.256681,0.282755,0.305162,0.342641,0.409762,0.537883,0.792206"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0161032,0.0205146,0.0246873,0.0323241,0.0467520,0.0753897,0.134631", \ - "0.0161060,0.0205119,0.0246863,0.0323224,0.0467510,0.0753882,0.134636", \ - "0.0161052,0.0205240,0.0247003,0.0323335,0.0467575,0.0753897,0.134633", \ - "0.0162041,0.0206359,0.0248021,0.0324090,0.0467963,0.0754056,0.134638", \ - "0.0199742,0.0237193,0.0273493,0.0342305,0.0478191,0.0758787,0.134788", \ - "0.0259291,0.0296482,0.0330687,0.0395549,0.0523882,0.0790820,0.136152", \ - "0.0325257,0.0365923,0.0399702,0.0461104,0.0581962,0.0836596,0.139238"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0244407,0.0375184,0.0513080,0.0789894,0.136575,0.256465,0.499569", \ - "0.0244370,0.0375180,0.0513106,0.0789902,0.136578,0.256459,0.499577", \ - "0.0244297,0.0375153,0.0513087,0.0789899,0.136572,0.256461,0.499576", \ - "0.0244621,0.0375607,0.0513529,0.0790225,0.136591,0.256465,0.499578", \ - "0.0261044,0.0389999,0.0526017,0.0798410,0.136887,0.256494,0.499575", \ - "0.0287070,0.0414309,0.0547519,0.0816023,0.138165,0.256929,0.499584", \ - "0.0327743,0.0453349,0.0582291,0.0842064,0.139513,0.257795,0.499919"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.104150,0.116682,0.126615,0.142085,0.166589,0.207523,0.281088", \ - "0.108821,0.121353,0.131287,0.146756,0.171261,0.212195,0.285757", \ - "0.126780,0.139301,0.149232,0.164707,0.189218,0.230157,0.303724", \ - "0.163494,0.175930,0.185735,0.201038,0.225548,0.266496,0.340073", \ - "0.218261,0.231701,0.242039,0.257590,0.282333,0.323369,0.396948", \ - "0.277711,0.293531,0.305452,0.322989,0.349678,0.392252,0.466473", \ - "0.339899,0.358279,0.372044,0.391932,0.421066,0.465879,0.541742"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.130763,0.153335,0.173768,0.209764,0.275892,0.403854,0.658192", \ - "0.135579,0.158162,0.178597,0.214594,0.280719,0.408693,0.663033", \ - "0.147857,0.170439,0.190871,0.226859,0.292980,0.420957,0.675310", \ - "0.166640,0.189175,0.209557,0.245504,0.311563,0.439527,0.693891", \ - "0.190042,0.213132,0.233929,0.270088,0.336164,0.464040,0.718360", \ - "0.214582,0.238601,0.259907,0.296676,0.363308,0.491343,0.745563", \ - "0.238325,0.263670,0.285795,0.323291,0.390582,0.518815,0.773147"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0167525,0.0211570,0.0253268,0.0329640,0.0474027,0.0760590,0.135302", \ - "0.0167533,0.0211568,0.0253273,0.0329634,0.0474015,0.0760549,0.135301", \ - "0.0167505,0.0211648,0.0253403,0.0329732,0.0474082,0.0760581,0.135307", \ - "0.0167555,0.0212031,0.0253814,0.0330022,0.0474146,0.0760567,0.135298", \ - "0.0198742,0.0236339,0.0272776,0.0342363,0.0480464,0.0763082,0.135349", \ - "0.0256609,0.0293415,0.0327761,0.0393168,0.0522570,0.0791040,0.136413", \ - "0.0321008,0.0360647,0.0394267,0.0455907,0.0578091,0.0834865,0.139368"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0244287,0.0375158,0.0513096,0.0789852,0.136574,0.256457,0.499576", \ - "0.0244409,0.0375175,0.0513094,0.0789873,0.136568,0.256470,0.499577", \ - "0.0244362,0.0375180,0.0513096,0.0789859,0.136569,0.256462,0.499576", \ - "0.0244588,0.0375479,0.0513406,0.0790101,0.136592,0.256450,0.499577", \ - "0.0257264,0.0387215,0.0523665,0.0797026,0.136834,0.256494,0.499563", \ - "0.0276290,0.0406435,0.0541932,0.0812729,0.137931,0.256852,0.499585", \ - "0.0305261,0.0435510,0.0569627,0.0835796,0.139298,0.257584,0.499827"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("4.082987,4.433303,4.647217,4.925917,5.153441,5.292785,5.343258", \ - "4.058994,4.408971,4.622384,4.902343,5.132262,5.274148,5.324477", \ - "4.029363,4.344037,4.564941,4.828105,5.064683,5.209271,5.265881", \ - "4.027495,4.332240,4.545951,4.805561,5.042463,5.191994,5.258112", \ - "4.108438,4.375923,4.614572,4.866887,5.140959,5.290395,5.362457", \ - "4.288978,4.485146,4.662714,4.951218,5.277589,5.517313,5.593465", \ - "4.674745,4.792094,4.938094,5.167394,5.488589,5.774816,5.955621"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("3.193947,3.447526,3.554426,3.634787,3.641522,3.793787,3.755982", \ - "3.180516,3.410983,3.528669,3.574486,3.630097,3.648430,3.745083", \ - "3.131915,3.385318,3.494573,3.539084,3.654404,3.673069,3.771896", \ - "3.160545,3.384182,3.469793,3.536931,3.569916,3.581150,3.684416", \ - "3.305849,3.499725,3.576644,3.609773,3.682259,3.684324,3.649534", \ - "3.581197,3.791358,3.852535,3.867407,3.867823,3.855779,3.817502", \ - "3.973868,4.186417,4.262465,4.290268,4.222660,4.194863,4.143811"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("4.582854,4.930708,5.165616,5.445555,5.702821,5.870867,5.950020", \ - "4.564128,4.910352,5.162708,5.430924,5.700252,5.860132,5.933213", \ - "4.531873,4.868858,5.087191,5.366653,5.638893,5.799889,5.879754", \ - "4.528440,4.859891,5.057336,5.343751,5.600308,5.777457,5.865528", \ - "4.620020,4.934618,5.146431,5.431501,5.692634,5.877420,5.967202", \ - "4.808807,5.029473,5.217444,5.515130,5.849707,6.092353,6.187648", \ - "5.168508,5.323764,5.474691,5.708637,6.041357,6.337777,6.528248"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("3.191315,3.443836,3.561865,3.635156,3.637877,3.790975,3.811553", \ - "3.188601,3.418865,3.525111,3.628934,3.621227,3.766690,3.728029", \ - "3.145043,3.375562,3.497621,3.570344,3.618950,3.638267,3.736908", \ - "3.115097,3.339643,3.457220,3.496129,3.535170,3.551112,3.653687", \ - "3.147910,3.375993,3.483631,3.533191,3.574210,3.582563,3.685841", \ - "3.328144,3.537552,3.609086,3.599791,3.573588,3.568614,3.727903", \ - "3.572804,3.801015,3.864885,3.870641,3.864226,3.792040,3.883397"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("5.018891,5.372922,5.609092,5.914706,6.188605,6.377454,6.478766", \ - "4.988747,5.337660,5.592525,5.884917,6.146423,6.345823,6.444783", \ - "4.932381,5.273191,5.519320,5.811407,6.100559,6.281964,6.384075", \ - "4.958070,5.271849,5.513624,5.773227,6.071384,6.269765,6.380330", \ - "5.081805,5.399109,5.618201,5.887327,6.161325,6.359125,6.470540", \ - "5.247781,5.487959,5.699468,5.991674,6.335761,6.570915,6.695264", \ - "5.629146,5.792261,5.935415,6.195943,6.530064,6.832610,7.029760"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("3.195622,3.449106,3.562355,3.632293,3.651146,3.771713,3.732326", \ - "3.185080,3.414853,3.544924,3.614209,3.714969,3.735873,3.696993", \ - "3.136254,3.365753,3.471620,3.573417,3.609752,3.629590,3.728040", \ - "3.102989,3.352004,3.459847,3.523801,3.565945,3.656985,3.622725", \ - "3.107067,3.333929,3.428888,3.525920,3.546157,3.559318,3.663113", \ - "3.220129,3.442960,3.532916,3.572712,3.496521,3.634174,3.603981", \ - "3.433539,3.634842,3.747618,3.768522,3.717190,3.771593,3.733625"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("5.377536,5.722777,5.981727,6.292164,6.589936,6.801301,6.926325", \ - "5.340661,5.694773,5.937060,6.255179,6.551880,6.757536,6.896667", \ - "5.303355,5.636110,5.883885,6.198041,6.486669,6.710633,6.844223", \ - "5.318214,5.649417,5.877144,6.186120,6.472701,6.705142,6.838046", \ - "5.472928,5.779359,5.999243,6.287054,6.573934,6.803958,6.937600", \ - "5.664968,5.895745,6.118656,6.410801,6.772389,7.010776,7.157312", \ - "6.021608,6.210480,6.375322,6.618940,6.971171,7.283712,7.489738"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("3.190730,3.443140,3.545178,3.646994,3.691398,3.710893,3.807478", \ - "3.176501,3.425657,3.531129,3.621576,3.647360,3.743964,3.763741", \ - "3.136548,3.366512,3.493535,3.594552,3.562830,3.713104,3.675633", \ - "3.102386,3.329504,3.425842,3.539488,3.540673,3.556262,3.657242", \ - "3.096190,3.321180,3.429253,3.522963,3.494474,3.615103,3.582674", \ - "3.169586,3.396379,3.478128,3.538189,3.568650,3.579142,3.690231", \ - "3.334367,3.541768,3.660091,3.685130,3.711922,3.704649,3.676198"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND4_X4 - Cell Description : Combinational cell (AND4_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AND4_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 54.794194; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 38.067165; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 48.997675; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 41.681155; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 61.163565; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 39.254665; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 51.988275; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 42.488569; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 89.625755; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 37.596263; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 49.468808; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 40.742121; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 70.244044; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 39.165857; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 59.274940; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 48.681883; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 118.266355; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.972751; - fall_capacitance : 2.835298; - rise_capacitance : 2.972751; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.229206; - fall_capacitance : 3.018123; - rise_capacitance : 3.229206; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.408660; - fall_capacitance : 3.140255; - rise_capacitance : 3.408660; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.626697; - fall_capacitance : 3.298579; - rise_capacitance : 3.626697; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 240.848500; - function : "(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0786814,0.0910720,0.100278,0.114758,0.138059,0.177662,0.249989", \ - "0.0836527,0.0960474,0.105258,0.119742,0.143043,0.182647,0.254974", \ - "0.101595,0.113975,0.123188,0.137687,0.161011,0.200593,0.272921", \ - "0.137966,0.150349,0.159508,0.174059,0.197492,0.237175,0.309478", \ - "0.184013,0.198759,0.209197,0.224754,0.249411,0.289753,0.362279", \ - "0.232401,0.249981,0.262249,0.280071,0.306920,0.349350,0.423252", \ - "0.282873,0.303370,0.317735,0.338306,0.368045,0.413124,0.488755"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.106907,0.130901,0.151243,0.187116,0.253177,0.381276,0.635995", \ - "0.110402,0.134396,0.154741,0.190615,0.256679,0.384788,0.639509", \ - "0.123478,0.147454,0.167802,0.203664,0.269690,0.397828,0.652570", \ - "0.150764,0.174665,0.194833,0.230507,0.296305,0.424426,0.679219", \ - "0.184889,0.209557,0.230069,0.265772,0.331669,0.459446,0.714073", \ - "0.215676,0.242530,0.263730,0.299830,0.366015,0.494101,0.748408", \ - "0.241231,0.271075,0.293968,0.331180,0.397395,0.525152,0.779798"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0140749,0.0187715,0.0229555,0.0305996,0.0450603,0.0738448,0.133529", \ - "0.0140718,0.0187718,0.0229546,0.0305983,0.0450578,0.0738434,0.133523", \ - "0.0140851,0.0188032,0.0229802,0.0306148,0.0450651,0.0738474,0.133528", \ - "0.0148430,0.0192698,0.0233401,0.0308543,0.0452032,0.0739116,0.133548", \ - "0.0201597,0.0241006,0.0276436,0.0344006,0.0476260,0.0750704,0.133899", \ - "0.0264793,0.0306036,0.0339846,0.0402854,0.0528082,0.0791361,0.136024", \ - "0.0334824,0.0381040,0.0415939,0.0476503,0.0593766,0.0842751,0.139361"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0228178,0.0366771,0.0504998,0.0782772,0.136114,0.256320,0.499783", \ - "0.0228156,0.0366761,0.0504983,0.0782819,0.136114,0.256322,0.499792", \ - "0.0228093,0.0366753,0.0504997,0.0782884,0.136130,0.256315,0.499786", \ - "0.0229594,0.0367911,0.0506025,0.0783604,0.136151,0.256328,0.499779", \ - "0.0262228,0.0389959,0.0524637,0.0796895,0.136633,0.256383,0.499793", \ - "0.0317369,0.0433076,0.0554730,0.0816356,0.138316,0.257150,0.499801", \ - "0.0380912,0.0498791,0.0609140,0.0848868,0.139521,0.258336,0.500483"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0872035,0.0999499,0.109387,0.124169,0.147826,0.187823,0.260539", \ - "0.0922274,0.104981,0.114421,0.129205,0.152864,0.192860,0.265574", \ - "0.110120,0.122850,0.132310,0.147120,0.170796,0.210770,0.283465", \ - "0.146688,0.159359,0.168716,0.183456,0.207232,0.247299,0.319990", \ - "0.196122,0.210728,0.221093,0.236590,0.261107,0.301574,0.374495", \ - "0.248243,0.265642,0.277743,0.295373,0.322062,0.364448,0.438426", \ - "0.302598,0.322864,0.336970,0.357178,0.386533,0.431358,0.506971"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.117146,0.141138,0.161481,0.197354,0.263421,0.391533,0.646248", \ - "0.121262,0.145251,0.165594,0.201467,0.267541,0.395654,0.650373", \ - "0.134919,0.158903,0.179245,0.215122,0.281157,0.409275,0.664002", \ - "0.161023,0.184876,0.205171,0.240917,0.306912,0.434958,0.689709", \ - "0.195047,0.219768,0.240380,0.276292,0.342199,0.470111,0.724854", \ - "0.229584,0.255783,0.277025,0.313193,0.379636,0.507767,0.762266", \ - "0.260524,0.289098,0.311572,0.348804,0.415503,0.543586,0.798254"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0147490,0.0194380,0.0236147,0.0312526,0.0457074,0.0744739,0.134079", \ - "0.0147499,0.0194365,0.0236118,0.0312509,0.0457061,0.0744694,0.134078", \ - "0.0147511,0.0194579,0.0236334,0.0312620,0.0457110,0.0744756,0.134085", \ - "0.0150555,0.0196975,0.0238313,0.0314002,0.0457918,0.0745123,0.134093", \ - "0.0197519,0.0236887,0.0272688,0.0341000,0.0474829,0.0753056,0.134354", \ - "0.0258543,0.0298748,0.0332511,0.0396358,0.0523357,0.0789315,0.136085", \ - "0.0326099,0.0370300,0.0404377,0.0464930,0.0584209,0.0836805,0.139214"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0228151,0.0366777,0.0504990,0.0782839,0.136113,0.256308,0.499791", \ - "0.0228177,0.0366766,0.0504977,0.0782803,0.136112,0.256319,0.499778", \ - "0.0228147,0.0366762,0.0504995,0.0782869,0.136127,0.256322,0.499786", \ - "0.0228952,0.0367498,0.0505651,0.0783286,0.136135,0.256326,0.499788", \ - "0.0250272,0.0384806,0.0520613,0.0793110,0.136474,0.256367,0.499782", \ - "0.0286984,0.0415162,0.0544804,0.0811558,0.137914,0.256896,0.499791", \ - "0.0338423,0.0463807,0.0585682,0.0838812,0.139121,0.257903,0.500252"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0945689,0.107597,0.117218,0.132242,0.156195,0.196540,0.269647", \ - "0.0993175,0.112350,0.121972,0.136996,0.160949,0.201294,0.274399", \ - "0.117175,0.130191,0.139829,0.154875,0.178846,0.219162,0.292255", \ - "0.153820,0.166745,0.176263,0.191164,0.215234,0.255646,0.328712", \ - "0.205885,0.220354,0.230651,0.246037,0.270520,0.311186,0.384377", \ - "0.261371,0.278494,0.290429,0.307888,0.334437,0.376789,0.450854", \ - "0.319271,0.339217,0.353077,0.372966,0.402031,0.446670,0.522321"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.122919,0.146906,0.167249,0.203123,0.269196,0.397313,0.652031", \ - "0.127430,0.151425,0.171768,0.207642,0.273721,0.401845,0.656565", \ - "0.140288,0.164278,0.184618,0.220482,0.286547,0.414676,0.669411", \ - "0.162232,0.186141,0.206409,0.242217,0.308199,0.436261,0.690993", \ - "0.190597,0.215283,0.235974,0.271939,0.338018,0.466056,0.720771", \ - "0.220638,0.246596,0.267864,0.304362,0.370914,0.499142,0.753729", \ - "0.248499,0.276401,0.298763,0.336142,0.403180,0.531421,0.786162"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0153471,0.0200186,0.0241826,0.0318156,0.0462791,0.0750615,0.134673", \ - "0.0153477,0.0200182,0.0241832,0.0318132,0.0462783,0.0750647,0.134672", \ - "0.0153482,0.0200333,0.0241979,0.0318256,0.0462826,0.0750645,0.134675", \ - "0.0154519,0.0201560,0.0243068,0.0319012,0.0463223,0.0750809,0.134676", \ - "0.0194545,0.0233936,0.0270115,0.0338867,0.0474546,0.0755879,0.134832", \ - "0.0253487,0.0292913,0.0326846,0.0391447,0.0519945,0.0788173,0.136229", \ - "0.0319022,0.0361769,0.0395414,0.0456438,0.0577222,0.0832875,0.139220"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0228144,0.0366771,0.0504984,0.0782807,0.136120,0.256314,0.499785", \ - "0.0228180,0.0366758,0.0504985,0.0782775,0.136125,0.256318,0.499788", \ - "0.0228122,0.0366766,0.0504988,0.0782816,0.136121,0.256321,0.499785", \ - "0.0228814,0.0367356,0.0505507,0.0783171,0.136134,0.256321,0.499779", \ - "0.0245747,0.0382286,0.0518701,0.0792080,0.136444,0.256358,0.499783", \ - "0.0272171,0.0406867,0.0540058,0.0809218,0.137720,0.256826,0.499790", \ - "0.0313824,0.0446488,0.0575151,0.0835197,0.139025,0.257691,0.500167"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.100374,0.113724,0.123551,0.138848,0.163137,0.203876,0.277416", \ - "0.105040,0.118387,0.128217,0.143514,0.167803,0.208541,0.282085", \ - "0.123021,0.136353,0.146204,0.161512,0.185827,0.226520,0.300068", \ - "0.159752,0.172991,0.182678,0.197793,0.222167,0.262959,0.336459", \ - "0.213910,0.228343,0.238626,0.254042,0.278606,0.319462,0.393024", \ - "0.272343,0.289334,0.301182,0.318568,0.345074,0.387470,0.461684", \ - "0.333483,0.353219,0.366910,0.386619,0.415514,0.460083,0.535840"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.125171,0.149166,0.169510,0.205381,0.271457,0.399581,0.654295", \ - "0.129985,0.153979,0.174322,0.210198,0.276273,0.404404,0.659122", \ - "0.142250,0.166226,0.186569,0.222438,0.288511,0.416635,0.671373", \ - "0.160975,0.184917,0.205215,0.241020,0.307048,0.435141,0.689887", \ - "0.184025,0.208595,0.229298,0.265306,0.331407,0.459357,0.714038", \ - "0.208013,0.233589,0.254810,0.291418,0.358008,0.486215,0.740846", \ - "0.230956,0.257994,0.280078,0.317486,0.384707,0.513074,0.767816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0159972,0.0206670,0.0248263,0.0324593,0.0469332,0.0757362,0.135345", \ - "0.0159990,0.0206649,0.0248263,0.0324575,0.0469338,0.0757368,0.135348", \ - "0.0159959,0.0206757,0.0248393,0.0324687,0.0469359,0.0757394,0.135345", \ - "0.0159982,0.0207124,0.0248757,0.0324930,0.0469416,0.0757303,0.135334", \ - "0.0193474,0.0233028,0.0269430,0.0338739,0.0476427,0.0760083,0.135386", \ - "0.0250550,0.0289700,0.0323789,0.0388945,0.0518625,0.0788511,0.136488", \ - "0.0314334,0.0356347,0.0389788,0.0451060,0.0573251,0.0831209,0.139360"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0228197,0.0366778,0.0504983,0.0782766,0.136126,0.256325,0.499784", \ - "0.0228185,0.0366761,0.0504993,0.0782788,0.136111,0.256310,0.499791", \ - "0.0228090,0.0366757,0.0504990,0.0782781,0.136114,0.256315,0.499782", \ - "0.0228680,0.0367202,0.0505371,0.0783112,0.136126,0.256322,0.499791", \ - "0.0241595,0.0379297,0.0516165,0.0790431,0.136383,0.256352,0.499785", \ - "0.0260602,0.0398695,0.0534348,0.0805896,0.137479,0.256728,0.499774", \ - "0.0289836,0.0428193,0.0562341,0.0829000,0.138817,0.257453,0.500057"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("7.800528,8.491695,8.933192,9.442403,9.867217,10.111670,10.205570", \ - "7.749137,8.469028,8.868231,9.381344,9.817207,10.074460,10.159370", \ - "7.608022,8.316450,8.749369,9.264316,9.688605,9.953759,10.047530", \ - "7.683564,8.317025,8.699241,9.212805,9.663285,9.934367,10.042760", \ - "7.828047,8.371117,8.821926,9.348997,9.851235,10.144390,10.265460", \ - "8.198565,8.615695,8.974887,9.502926,10.122210,10.593390,10.733860", \ - "9.020246,9.245450,9.513916,9.936226,10.535380,11.100800,11.461200"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("6.168227,6.699252,6.943792,7.032405,7.100381,7.377899,7.241965", \ - "6.098977,6.642163,6.893299,7.047606,7.077219,7.355965,7.220654", \ - "6.041481,6.588528,6.817730,6.969957,7.122188,7.130802,7.271073", \ - "6.065905,6.591106,6.787694,6.961297,6.957645,7.056855,7.099436", \ - "6.364074,6.812687,7.017094,7.132622,6.961966,7.208964,7.355350", \ - "6.974700,7.411693,7.558555,7.578924,7.401984,7.622771,7.491464", \ - "7.759892,8.211923,8.360862,8.386024,8.193391,8.108684,8.223558"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("8.805063,9.513792,9.953551,10.493320,10.986990,11.282140,11.419190", \ - "8.752140,9.482327,9.914296,10.463480,10.943930,11.248760,11.393430", \ - "8.641008,9.342102,9.786813,10.335540,10.833500,11.132910,11.278310", \ - "8.688380,9.330201,9.765988,10.276900,10.788380,11.107880,11.265750", \ - "8.866515,9.493925,9.919655,10.457080,10.958160,11.291120,11.461400", \ - "9.252469,9.693177,10.053590,10.584000,11.239980,11.718170,11.903240", \ - "10.041240,10.316310,10.573400,11.058470,11.651530,12.226340,12.586480"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("6.164398,6.709091,6.935503,7.114899,7.094319,7.373671,7.509281", \ - "6.116059,6.658581,6.890980,7.101469,7.042273,7.323364,7.458714", \ - "6.027736,6.571043,6.813644,6.978969,7.055396,7.070197,7.205486", \ - "5.972192,6.504295,6.688515,6.810215,6.922722,7.164654,7.042067", \ - "6.076592,6.552592,6.741663,6.917338,6.998388,6.989115,7.139873", \ - "6.397776,6.885681,7.054631,7.091407,7.055557,7.021984,7.168529", \ - "6.941064,7.418161,7.608609,7.596278,7.468087,7.543778,7.401196"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("9.684763,10.390390,10.868760,11.407180,11.932380,12.293830,12.486550", \ - "9.622406,10.323490,10.791180,11.346170,11.867660,12.221640,12.420500", \ - "9.498604,10.215060,10.670290,11.215130,11.749280,12.110680,12.302350", \ - "9.567680,10.198730,10.629010,11.172810,11.708380,12.083520,12.287890", \ - "9.741444,10.401020,10.825300,11.342880,11.883120,12.269330,12.486910", \ - "10.145410,10.617120,11.013150,11.563760,12.216740,12.692020,12.922090", \ - "10.948150,11.224320,11.536470,12.005890,12.624140,13.204060,13.593600"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("6.173948,6.672076,6.925246,7.126325,7.053672,7.334314,7.470215", \ - "6.108498,6.650589,6.888530,7.089374,7.248221,7.262309,7.398099", \ - "6.045438,6.587789,6.806634,7.002152,7.036427,7.091396,7.187268", \ - "5.945991,6.496570,6.711065,6.898117,6.825968,7.104993,7.251565", \ - "5.983886,6.504737,6.718040,6.832677,6.930585,6.928876,7.081607", \ - "6.240212,6.715673,6.892717,6.852563,6.871127,7.140532,7.005341", \ - "6.631172,7.112652,7.291277,7.349154,7.239384,7.211506,7.326492"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("10.354230,11.103170,11.586140,12.162270,12.735750,13.141200,13.393330", \ - "10.286060,11.026820,11.501180,12.096210,12.646620,13.063620,13.317940", \ - "10.186260,10.926140,11.408860,11.989090,12.561510,12.965140,13.213520", \ - "10.245620,10.910290,11.382350,11.944140,12.531790,12.955260,13.219070", \ - "10.536090,11.178540,11.601230,12.162340,12.720140,13.141070,13.403780", \ - "10.965670,11.445970,11.838530,12.418810,13.097590,13.564360,13.846250", \ - "11.727850,12.060920,12.363430,12.848590,13.514230,14.117790,14.528560"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("6.162663,6.707359,6.950808,7.014126,7.201650,7.213081,7.348104", \ - "6.127587,6.671461,6.898692,7.104029,7.171149,7.394406,7.259653", \ - "6.010732,6.578383,6.804548,6.903611,6.947462,7.213904,7.353577", \ - "5.942409,6.483629,6.700755,6.821819,6.892215,7.172386,7.045985", \ - "5.954282,6.471811,6.644128,6.759649,6.757715,7.103014,7.185915", \ - "6.121607,6.602739,6.823001,6.838937,6.995188,6.993167,7.150400", \ - "6.403133,6.924541,7.140896,7.144518,7.192119,7.282538,7.162600"); - } - } - } - - } - - - /****************************************************************************************** - Module : ANTENNA_X1 - Cell Description : Physical cell (ANTENNA_X1) - *******************************************************************************************/ - - cell (ANTENNA_X1) { - - drive_strength : 1; - - area : 0.266000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 0.000000; - - leakage_power () { - when : "!A"; - value : 0.000000; - } - leakage_power () { - when : "A"; - value : 0.000000; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.023409; - fall_capacitance : 0.023409; - rise_capacitance : 0.023056; - - internal_power () { - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.000000,0.000000,0.000000,0.000000,0.000000,0.000000,0.000000"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.000000,0.000000,0.000000,0.000000,0.000000,0.000000,0.000000"); - } - - } - } - - } - - - /****************************************************************************************** - Module : AOI21_X1 - Cell Description : Combinational cell (AOI21_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI21_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 16.329580; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 15.506470; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 29.743018; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 20.045617; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 17.229238; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 7.395047; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 12.396968; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 12.396512; - } - leakage_power () { - when : "A & B1 & B2"; - value : 15.923773; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.571622; - fall_capacitance : 1.469103; - rise_capacitance : 1.571622; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.602820; - fall_capacitance : 1.401339; - rise_capacitance : 1.602820; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.611177; - fall_capacitance : 1.369637; - rise_capacitance : 1.611177; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.274300; - function : "!(A | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.012238,0.013451,0.015635,0.019827,0.027824,0.043188,0.073140", \ - "0.017742,0.018898,0.021021,0.025150,0.033107,0.048451,0.078399", \ - "0.034132,0.035822,0.038753,0.043882,0.052421,0.067461,0.097280", \ - "0.050799,0.053572,0.058340,0.066691,0.080394,0.101800,0.134109", \ - "0.064154,0.068238,0.075048,0.087334,0.107481,0.138472,0.184200", \ - "0.071654,0.077239,0.086678,0.103268,0.130933,0.173240,0.234800", \ - "0.071768,0.078968,0.091264,0.112773,0.148691,0.203783,0.283448"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.078284,0.084941,0.097234,0.121598,0.170017,0.266432,0.458840", \ - "0.082160,0.088895,0.101309,0.125915,0.174654,0.271411,0.464062", \ - "0.100405,0.107092,0.119382,0.143874,0.192622,0.289631,0.482661", \ - "0.134053,0.140833,0.152954,0.177239,0.225779,0.322620,0.515654", \ - "0.174060,0.182836,0.198210,0.226035,0.276119,0.372738,0.565735", \ - "0.216245,0.227378,0.246622,0.281173,0.341261,0.443475,0.636277", \ - "0.261130,0.274967,0.298636,0.340566,0.411981,0.530373,0.729193"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.008638,0.009587,0.011306,0.014660,0.021243,0.034324,0.060569", \ - "0.008225,0.009213,0.011017,0.014476,0.021152,0.034290,0.060553", \ - "0.013820,0.014547,0.015782,0.018026,0.022567,0.034227,0.060546", \ - "0.024469,0.025583,0.027411,0.030643,0.036082,0.045021,0.063450", \ - "0.038825,0.040485,0.043318,0.047864,0.055193,0.066692,0.084824", \ - "0.056880,0.059213,0.063246,0.069704,0.079424,0.094039,0.116064", \ - "0.078323,0.081531,0.086925,0.095789,0.108675,0.127122,0.153705"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.040269,0.046107,0.056942,0.078523,0.121652,0.207539,0.379000", \ - "0.040297,0.046128,0.056923,0.078529,0.121629,0.207543,0.378998", \ - "0.040311,0.046130,0.056932,0.078533,0.121613,0.207553,0.378999", \ - "0.042757,0.047840,0.057672,0.078608,0.121591,0.207610,0.378989", \ - "0.055994,0.061006,0.070143,0.087207,0.124103,0.207456,0.379055", \ - "0.073770,0.079145,0.088917,0.107404,0.141807,0.212881,0.379007", \ - "0.096532,0.102257,0.112695,0.132283,0.168530,0.235685,0.383100"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.010205,0.011390,0.013549,0.017744,0.025818,0.041334,0.071444", \ - "0.015913,0.017026,0.019094,0.023179,0.031149,0.046595,0.076675", \ - "0.031084,0.032956,0.036145,0.041617,0.050567,0.065658,0.095521", \ - "0.045973,0.048992,0.054214,0.063125,0.077537,0.099600,0.132382", \ - "0.057005,0.061511,0.068976,0.082170,0.103354,0.135361,0.181925", \ - "0.061789,0.067943,0.078232,0.096095,0.125233,0.169004,0.231779", \ - "0.058797,0.066708,0.080078,0.103142,0.141077,0.198203,0.279505"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.089041,0.097544,0.113244,0.144295,0.206077,0.329177,0.574751", \ - "0.092530,0.101101,0.116952,0.148303,0.210504,0.334013,0.579923", \ - "0.110433,0.118902,0.134587,0.165834,0.228032,0.351792,0.598218", \ - "0.142676,0.151145,0.166536,0.197476,0.259418,0.382967,0.629394", \ - "0.181266,0.191527,0.209702,0.243153,0.305267,0.428577,0.674921", \ - "0.222326,0.234738,0.256417,0.296093,0.366611,0.492014,0.737917", \ - "0.267064,0.281972,0.307701,0.353990,0.434624,0.573534,0.821147"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.007364,0.008394,0.010247,0.013816,0.020632,0.033856,0.060062", \ - "0.007265,0.008164,0.009955,0.013558,0.020449,0.033755,0.060027", \ - "0.013958,0.014669,0.015890,0.018117,0.022400,0.033665,0.059952", \ - "0.025127,0.026218,0.027985,0.031128,0.036426,0.045212,0.063147", \ - "0.040115,0.041693,0.044421,0.048798,0.055860,0.067110,0.084981", \ - "0.058756,0.061063,0.064943,0.071197,0.080517,0.094737,0.116396", \ - "0.080854,0.084010,0.089268,0.097944,0.110322,0.128183,0.154236"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.047627,0.055157,0.069250,0.097313,0.153338,0.265258,0.488103", \ - "0.047641,0.055169,0.069250,0.097309,0.153351,0.265229,0.488100", \ - "0.047674,0.055179,0.069256,0.097326,0.153314,0.265151,0.488119", \ - "0.049289,0.056168,0.069534,0.097296,0.153330,0.265184,0.488125", \ - "0.060625,0.067347,0.079473,0.102967,0.154162,0.265165,0.488310", \ - "0.075867,0.082895,0.095875,0.120565,0.166915,0.267243,0.488130", \ - "0.095973,0.103278,0.116711,0.142419,0.190779,0.282994,0.489162"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.010435,0.011616,0.013773,0.017964,0.026034,0.041551,0.071678", \ - "0.016130,0.017243,0.019311,0.023393,0.031363,0.046814,0.076908", \ - "0.031502,0.033332,0.036469,0.041896,0.050788,0.065872,0.095750", \ - "0.046640,0.049634,0.054769,0.063614,0.077917,0.099895,0.132616", \ - "0.058140,0.062593,0.069927,0.082959,0.103974,0.135836,0.182265", \ - "0.063460,0.069520,0.079673,0.097291,0.126184,0.169729,0.232302", \ - "0.061180,0.068918,0.082158,0.105027,0.142545,0.199249,0.280271"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.108996,0.117541,0.133379,0.164666,0.226898,0.350181,0.596120", \ - "0.112805,0.121435,0.137385,0.168881,0.231431,0.355071,0.601297", \ - "0.130504,0.139079,0.154959,0.186419,0.249026,0.372984,0.619616", \ - "0.162707,0.171232,0.186740,0.217883,0.280184,0.404065,0.650767", \ - "0.205179,0.214804,0.232194,0.264000,0.326011,0.449659,0.696125", \ - "0.251304,0.262653,0.282821,0.320537,0.388817,0.513104,0.759338", \ - "0.301911,0.315278,0.338833,0.382219,0.459623,0.595580,0.842481"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.008075,0.009135,0.011038,0.014699,0.021710,0.035288,0.061979", \ - "0.007909,0.008858,0.010728,0.014437,0.021524,0.035185,0.061943", \ - "0.015011,0.015685,0.016842,0.018992,0.023407,0.035082,0.061876", \ - "0.028058,0.028903,0.030386,0.033180,0.038167,0.046747,0.065031", \ - "0.046736,0.047746,0.049728,0.053153,0.059293,0.069775,0.087132", \ - "0.070063,0.071389,0.073941,0.078517,0.086111,0.098760,0.119283", \ - "0.096788,0.098687,0.102076,0.108262,0.118090,0.133680,0.158005"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.057480,0.065138,0.079432,0.107813,0.164470,0.276716,0.500339", \ - "0.057485,0.065143,0.079430,0.107808,0.164459,0.276725,0.500343", \ - "0.057487,0.065145,0.079431,0.107823,0.164488,0.276699,0.500339", \ - "0.057814,0.065334,0.079467,0.107778,0.164349,0.276690,0.500330", \ - "0.067293,0.073930,0.085996,0.111043,0.164413,0.276685,0.500337", \ - "0.081721,0.088952,0.102217,0.127339,0.174593,0.277865,0.500340", \ - "0.100563,0.108195,0.122170,0.148566,0.197680,0.291509,0.500914"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.0179712,0.0200344,0.0238471,0.0314288,0.0465389,0.0766882,0.136946", \ - "0.0224969,0.0246018,0.0284789,0.0361326,0.0513112,0.0815205,0.141812", \ - "0.0364903,0.0394805,0.0444867,0.0530618,0.0681748,0.0983653,0.158667", \ - "0.0484500,0.0533248,0.0614507,0.0753131,0.0973712,0.131073,0.190948", \ - "0.0545780,0.0616395,0.0732818,0.0933212,0.124956,0.172565,0.242283", \ - "0.0534902,0.0628695,0.0783345,0.104934,0.147246,0.210550,0.302007", \ - "0.0441697,0.0559406,0.0755396,0.108993,0.162562,0.242929,0.358450"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.0631268,0.0717963,0.0877057,0.118985,0.180950,0.304103,0.549753", \ - "0.0640750,0.0729380,0.0891880,0.121099,0.183830,0.307654,0.553780", \ - "0.0779897,0.0864105,0.102189,0.133720,0.196439,0.320856,0.567728", \ - "0.111220,0.120311,0.135482,0.165531,0.226787,0.350140,0.596940", \ - "0.149941,0.161872,0.182129,0.218106,0.279034,0.400089,0.644920", \ - "0.192754,0.207443,0.232612,0.276999,0.351519,0.474056,0.715812", \ - "0.240460,0.257784,0.287572,0.340400,0.429491,0.572482,0.812741"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.0105048,0.0122711,0.0155646,0.0221378,0.0352939,0.0616169,0.114230", \ - "0.0105004,0.0122693,0.0155590,0.0221378,0.0353016,0.0616232,0.114231", \ - "0.0160276,0.0173052,0.0195390,0.0239916,0.0353912,0.0616141,0.114232", \ - "0.0285840,0.0303427,0.0333206,0.0385419,0.0475415,0.0654592,0.114225", \ - "0.0453399,0.0477587,0.0520579,0.0589022,0.0701585,0.0885875,0.123214", \ - "0.0661218,0.0693525,0.0750057,0.0840532,0.0982828,0.120664,0.156206", \ - "0.0908023,0.0949641,0.101985,0.113582,0.131462,0.158664,0.200117"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.0475384,0.0551124,0.0692561,0.0973107,0.153357,0.265177,0.488134", \ - "0.0474471,0.0550713,0.0692248,0.0973105,0.153414,0.265216,0.488097", \ - "0.0466658,0.0546048,0.0690408,0.0972407,0.153311,0.265192,0.488122", \ - "0.0557164,0.0608444,0.0718848,0.0970073,0.153251,0.265174,0.488372", \ - "0.0751329,0.0813307,0.0924274,0.111551,0.156314,0.265101,0.488299", \ - "0.0968324,0.104265,0.117380,0.140476,0.179810,0.269444,0.488161", \ - "0.121233,0.129951,0.145321,0.172337,0.218006,0.296508,0.489208"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.0207049,0.0227558,0.0265559,0.0341307,0.0492305,0.0793713,0.139636", \ - "0.0258293,0.0279273,0.0317834,0.0394219,0.0545799,0.0847800,0.145073", \ - "0.0394424,0.0420046,0.0464855,0.0547245,0.0700547,0.100397,0.160789", \ - "0.0550931,0.0589697,0.0654883,0.0768164,0.0960596,0.129003,0.189691", \ - "0.0672454,0.0731062,0.0828800,0.0993769,0.125574,0.166673,0.233941", \ - "0.0729392,0.0813833,0.0948589,0.118041,0.154028,0.207249,0.287522", \ - "0.0709948,0.0820385,0.100069,0.130307,0.177812,0.246733,0.344840"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.0815543,0.0900883,0.105819,0.137046,0.199101,0.322391,0.568229", \ - "0.0833199,0.0919788,0.107963,0.139492,0.201943,0.325515,0.571589", \ - "0.0972360,0.105782,0.121646,0.153126,0.215695,0.339654,0.586123", \ - "0.131087,0.139101,0.154179,0.184914,0.246463,0.369754,0.615907", \ - "0.176801,0.187355,0.205600,0.238648,0.298569,0.420049,0.664652", \ - "0.226326,0.239413,0.262158,0.303065,0.373352,0.494083,0.736027", \ - "0.280452,0.295990,0.323072,0.371982,0.456054,0.593731,0.833093"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.0105080,0.0122704,0.0155571,0.0221387,0.0353037,0.0616134,0.114245", \ - "0.0105049,0.0122727,0.0155596,0.0221421,0.0353016,0.0616126,0.114233", \ - "0.0128371,0.0144086,0.0172522,0.0229455,0.0353275,0.0616205,0.114236", \ - "0.0201467,0.0217081,0.0245523,0.0301214,0.0412030,0.0636466,0.114228", \ - "0.0325762,0.0343847,0.0374885,0.0431273,0.0538080,0.0752240,0.119146", \ - "0.0492141,0.0514794,0.0553933,0.0618791,0.0730010,0.0937399,0.135916", \ - "0.0694331,0.0722782,0.0771533,0.0853170,0.0981494,0.119529,0.160414"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.0574916,0.0651583,0.0794432,0.107863,0.164384,0.276707,0.500335", \ - "0.0574774,0.0651521,0.0794408,0.107795,0.164479,0.276763,0.500335", \ - "0.0572944,0.0650478,0.0793885,0.107777,0.164370,0.276750,0.500332", \ - "0.0601767,0.0667677,0.0797253,0.107470,0.164229,0.276698,0.500338", \ - "0.0786395,0.0848687,0.0956338,0.116715,0.165295,0.276658,0.500345", \ - "0.100246,0.107758,0.120894,0.144069,0.184621,0.279179,0.500339", \ - "0.123916,0.132931,0.148546,0.175983,0.221870,0.302773,0.500767"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.628530,0.653053,0.676829,0.706595,0.749790,0.789494,0.832491", \ - "0.615014,0.627906,0.651357,0.690566,0.732070,0.771413,0.809391", \ - "0.637978,0.630945,0.642161,0.670655,0.707024,0.749024,0.795317", \ - "0.742012,0.742468,0.735043,0.742316,0.732927,0.747977,0.795404", \ - "0.885229,0.878724,0.870350,0.864558,0.854360,0.831757,0.821853", \ - "1.096891,1.090548,1.078072,1.057089,1.022909,0.977118,0.921026", \ - "1.394188,1.385327,1.366679,1.331727,1.276706,1.194719,1.097023"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("3.009769,3.010233,3.019834,3.016904,3.034819,3.018557,3.018051", \ - "2.954326,2.964346,2.967662,2.992252,2.998316,3.031223,3.038189", \ - "2.904009,2.911219,2.915105,2.926776,2.957062,2.963701,2.979106", \ - "2.890569,2.895415,2.893901,2.904270,2.909264,2.940745,2.962542", \ - "2.915780,2.916039,2.909607,2.908845,2.911592,2.909418,2.921759", \ - "2.879013,2.895831,2.922725,2.966574,2.929549,2.939623,2.939025", \ - "2.961129,2.965870,2.985829,3.008611,3.065324,3.025008,2.994144"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.466954,0.482835,0.517433,0.574886,0.639788,0.696351,0.754847", \ - "0.441822,0.468271,0.504077,0.550838,0.611875,0.672146,0.725836", \ - "0.514124,0.516273,0.518015,0.538856,0.585054,0.647136,0.700636", \ - "0.637590,0.635199,0.641097,0.638580,0.634618,0.646786,0.691106", \ - "0.788133,0.782316,0.774123,0.767844,0.749384,0.733741,0.717131", \ - "1.000528,0.996219,0.979097,0.959602,0.922926,0.877567,0.820353", \ - "1.296508,1.285877,1.263617,1.228298,1.169232,1.086515,0.988372"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("2.740773,2.750184,2.751065,2.765576,2.773422,2.753790,2.761505", \ - "2.686659,2.693026,2.701340,2.722047,2.739490,2.758976,2.741165", \ - "2.640367,2.644738,2.653482,2.663849,2.674263,2.698691,2.722587", \ - "2.624297,2.632411,2.626519,2.632672,2.630972,2.651169,2.675939", \ - "2.642760,2.646104,2.642909,2.630951,2.638321,2.654513,2.675881", \ - "2.608479,2.634043,2.674650,2.703424,2.662742,2.662197,2.670559", \ - "2.681648,2.690579,2.713653,2.753052,2.794941,2.735386,2.723087"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.532926,0.548858,0.561804,0.607374,0.653611,0.702776,0.759429", \ - "0.509171,0.522218,0.541485,0.578993,0.628194,0.681663,0.729880", \ - "0.526468,0.529659,0.540021,0.570275,0.605803,0.648478,0.696500", \ - "0.651896,0.645553,0.642688,0.643284,0.637030,0.655225,0.697703", \ - "0.800657,0.794832,0.785172,0.772450,0.753665,0.732375,0.721165", \ - "1.017487,1.006752,0.990400,0.962930,0.923018,0.875831,0.820062", \ - "1.320558,1.305321,1.279940,1.242011,1.174923,1.084932,0.990317"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("3.326785,3.337524,3.347864,3.348470,3.360063,3.377646,3.398013", \ - "3.280519,3.292559,3.305647,3.327716,3.358696,3.386046,3.377915", \ - "3.230101,3.241256,3.253096,3.271730,3.295064,3.325715,3.360012", \ - "3.216575,3.221961,3.224463,3.232539,3.248190,3.277366,3.313133", \ - "3.224401,3.228027,3.238162,3.228726,3.255045,3.280991,3.311949", \ - "3.220934,3.250878,3.291175,3.281870,3.277376,3.289166,3.309519", \ - "3.274238,3.286750,3.309276,3.363866,3.373779,3.357861,3.360295"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.236061,0.232810,0.229018,0.227652,0.222985,0.228684,0.233314", \ - "0.218672,0.219921,0.219778,0.228860,0.233839,0.242884,0.253384", \ - "0.193707,0.195768,0.204435,0.216887,0.237493,0.254292,0.266733", \ - "0.270449,0.263708,0.251962,0.241429,0.234111,0.248236,0.269525", \ - "0.399365,0.385984,0.368376,0.346090,0.311322,0.275790,0.281123", \ - "0.614953,0.596520,0.567316,0.524090,0.461099,0.390421,0.334181", \ - "0.907702,0.886907,0.854972,0.792340,0.698152,0.582174,0.463488"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("1.921834,1.933904,1.942729,1.949312,1.970789,1.951229,1.960062", \ - "1.837927,1.854539,1.878334,1.893462,1.913834,1.937744,1.955435", \ - "1.790854,1.797297,1.803952,1.817988,1.857158,1.894347,1.927281", \ - "1.854347,1.852133,1.847872,1.835813,1.843581,1.866268,1.899536", \ - "2.014968,2.006571,1.988598,1.957300,1.934513,1.898205,1.906058", \ - "2.154762,2.165028,2.188820,2.173955,2.114402,2.047400,1.989803", \ - "2.451664,2.446947,2.447052,2.437629,2.401205,2.288101,2.151708"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.233146,0.232832,0.225949,0.223464,0.229398,0.230228,0.231432", \ - "0.220935,0.220896,0.223976,0.234428,0.236790,0.245648,0.251032", \ - "0.172670,0.183689,0.192886,0.206326,0.228705,0.249291,0.264142", \ - "0.195762,0.198067,0.200584,0.198781,0.206479,0.233159,0.256940", \ - "0.252105,0.247696,0.246804,0.244608,0.238305,0.224257,0.251600", \ - "0.374701,0.366925,0.354274,0.336579,0.315885,0.290349,0.263489", \ - "0.572370,0.560061,0.537315,0.503139,0.453854,0.400119,0.341620"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("2.469002,2.473211,2.483888,2.496019,2.505960,2.523304,2.542563", \ - "2.407929,2.415317,2.430509,2.448231,2.479130,2.502456,2.525765", \ - "2.360518,2.371560,2.382548,2.391305,2.413143,2.444347,2.475406", \ - "2.415965,2.417847,2.415544,2.414049,2.409466,2.432384,2.460486", \ - "2.558522,2.554886,2.549704,2.536198,2.501571,2.504461,2.480862", \ - "2.719687,2.738178,2.770367,2.742661,2.682093,2.626747,2.607497", \ - "2.985317,2.991139,3.005404,3.020030,2.991646,2.890840,2.774895"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI21_X2 - Cell Description : Combinational cell (AOI21_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI21_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 32.652646; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 31.012845; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 59.485960; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 40.091238; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 34.458400; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 14.776765; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 24.780655; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 24.779695; - } - leakage_power () { - when : "A & B1 & B2"; - value : 31.835611; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.015197; - fall_capacitance : 2.817519; - rise_capacitance : 3.015197; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.040426; - fall_capacitance : 2.645797; - rise_capacitance : 3.040426; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.355443; - fall_capacitance : 2.875464; - rise_capacitance : 3.355443; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 50.609780; - function : "!(A | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.011703,0.013432,0.015600,0.019761,0.027710,0.043015,0.072909", \ - "0.017208,0.018860,0.020970,0.025075,0.032987,0.048273,0.078167", \ - "0.033144,0.035644,0.038574,0.043720,0.052277,0.067289,0.097048", \ - "0.049001,0.053129,0.057943,0.066318,0.080075,0.101539,0.133876", \ - "0.061311,0.067300,0.074333,0.086710,0.106949,0.138046,0.183858", \ - "0.067629,0.075824,0.085386,0.102312,0.130131,0.172624,0.234344", \ - "0.066463,0.077023,0.089470,0.111285,0.147535,0.202927,0.282830"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.073389,0.082911,0.095200,0.119567,0.167956,0.264405,0.456891", \ - "0.077252,0.086870,0.099280,0.123875,0.172606,0.269401,0.462131", \ - "0.095517,0.105026,0.117330,0.141821,0.190565,0.287562,0.480690", \ - "0.128727,0.138503,0.150666,0.175004,0.223529,0.320360,0.513487", \ - "0.167107,0.179927,0.195340,0.223408,0.273749,0.370397,0.563418", \ - "0.207697,0.224020,0.243441,0.278199,0.338552,0.441048,0.633932", \ - "0.251312,0.271526,0.295370,0.337498,0.409171,0.527930,0.727000"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.008084,0.009423,0.011125,0.014455,0.021015,0.034090,0.060333", \ - "0.007697,0.009069,0.010857,0.014290,0.020937,0.034061,0.060334", \ - "0.013376,0.014411,0.015662,0.017916,0.022425,0.034040,0.060319", \ - "0.023827,0.025381,0.027235,0.030488,0.035966,0.044904,0.063280", \ - "0.037942,0.040358,0.043081,0.047664,0.055048,0.066578,0.084710", \ - "0.055701,0.059121,0.063071,0.069457,0.079247,0.093901,0.115944", \ - "0.076709,0.081436,0.086841,0.095584,0.108471,0.126974,0.153572"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.037345,0.045629,0.056455,0.078049,0.121096,0.206955,0.378373", \ - "0.037342,0.045646,0.056465,0.078049,0.121090,0.206963,0.378375", \ - "0.037373,0.045651,0.056456,0.078046,0.121094,0.206958,0.378369", \ - "0.040602,0.047688,0.057427,0.078148,0.121078,0.206936,0.378375", \ - "0.053944,0.061050,0.070134,0.087198,0.123852,0.206906,0.378402", \ - "0.071706,0.079366,0.089009,0.107421,0.141779,0.212601,0.378349", \ - "0.094252,0.102469,0.112764,0.132259,0.168452,0.235640,0.382699"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.009736,0.011433,0.013589,0.017769,0.025802,0.041250,0.071281", \ - "0.015457,0.017048,0.019108,0.023177,0.031114,0.046498,0.076502", \ - "0.030125,0.032868,0.036047,0.041522,0.050478,0.065548,0.095345", \ - "0.044145,0.048694,0.053905,0.062855,0.077299,0.099406,0.132201", \ - "0.054077,0.060691,0.068373,0.081646,0.102920,0.135026,0.181641", \ - "0.057600,0.066642,0.077072,0.095263,0.124549,0.168478,0.231385", \ - "0.053274,0.064890,0.078410,0.101838,0.140067,0.197444,0.278971"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.083141,0.095292,0.110960,0.142044,0.203820,0.326948,0.572702", \ - "0.086577,0.098851,0.114669,0.146048,0.208249,0.331780,0.577848", \ - "0.104496,0.116619,0.132293,0.163528,0.225723,0.349555,0.596114", \ - "0.136259,0.148403,0.163835,0.194831,0.256768,0.380362,0.626922", \ - "0.173233,0.188162,0.206322,0.240016,0.302319,0.425656,0.672029", \ - "0.212791,0.230896,0.252754,0.292453,0.363226,0.488979,0.735039", \ - "0.256312,0.278074,0.303964,0.350383,0.431323,0.570574,0.818368"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.006872,0.008328,0.010166,0.013690,0.020451,0.033624,0.059805", \ - "0.006825,0.008097,0.009868,0.013442,0.020276,0.033533,0.059781", \ - "0.013503,0.014537,0.015772,0.018015,0.022272,0.033489,0.059726", \ - "0.024478,0.025985,0.027787,0.030948,0.036279,0.045091,0.062979", \ - "0.039192,0.041526,0.044138,0.048538,0.055667,0.066943,0.084854", \ - "0.057495,0.060884,0.064733,0.070843,0.080279,0.094539,0.116258", \ - "0.079152,0.083804,0.089119,0.097614,0.110013,0.127968,0.154087"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.043989,0.054714,0.068723,0.096756,0.152729,0.264564,0.487821", \ - "0.043988,0.054720,0.068724,0.096772,0.152728,0.264527,0.487827", \ - "0.044012,0.054722,0.068733,0.096751,0.152751,0.264523,0.487812", \ - "0.046286,0.055952,0.069119,0.096776,0.152743,0.264513,0.487856", \ - "0.057822,0.067384,0.079555,0.102870,0.153831,0.264478,0.487904", \ - "0.073053,0.083130,0.095915,0.120462,0.166883,0.266923,0.487814", \ - "0.093056,0.103530,0.116829,0.142303,0.190682,0.283024,0.488862"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.009965,0.011657,0.013808,0.017983,0.026015,0.041465,0.071511", \ - "0.015669,0.017261,0.019322,0.023389,0.031325,0.046715,0.076734", \ - "0.030531,0.033229,0.036374,0.041802,0.050697,0.065760,0.095577", \ - "0.044836,0.049320,0.054477,0.063338,0.077682,0.099690,0.132432", \ - "0.055240,0.061752,0.069308,0.082417,0.103528,0.135479,0.181980", \ - "0.059357,0.068223,0.078483,0.096429,0.125487,0.169186,0.231888", \ - "0.055753,0.067120,0.080508,0.103617,0.141436,0.198460,0.279705"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.102981,0.115248,0.131075,0.162383,0.224484,0.347897,0.593895", \ - "0.106799,0.119144,0.135065,0.166588,0.229075,0.352785,0.599074", \ - "0.124498,0.136763,0.152607,0.184062,0.246578,0.370622,0.617363", \ - "0.156273,0.168433,0.183997,0.215274,0.277554,0.401420,0.648177", \ - "0.197643,0.211564,0.228902,0.260988,0.323013,0.446644,0.693181", \ - "0.242501,0.258995,0.279315,0.317119,0.385502,0.509970,0.756265", \ - "0.292135,0.311565,0.335206,0.378786,0.456427,0.592605,0.839563"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.007546,0.009042,0.010933,0.014552,0.021506,0.035037,0.061725", \ - "0.007429,0.008771,0.010607,0.014285,0.021322,0.034945,0.061697", \ - "0.014591,0.015535,0.016700,0.018864,0.023238,0.034887,0.061647", \ - "0.027475,0.028641,0.030135,0.032976,0.038008,0.046611,0.064860", \ - "0.046129,0.047573,0.049424,0.052886,0.059102,0.069633,0.086984", \ - "0.069342,0.071281,0.073831,0.078245,0.085910,0.098624,0.119159", \ - "0.095832,0.098584,0.102013,0.108109,0.117914,0.133531,0.157882"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.053733,0.064647,0.078873,0.107239,0.163680,0.275979,0.499868", \ - "0.053729,0.064647,0.078869,0.107211,0.163754,0.275971,0.499862", \ - "0.053730,0.064637,0.078882,0.107206,0.163692,0.275975,0.499857", \ - "0.054193,0.064833,0.078900,0.107202,0.163649,0.275961,0.499857", \ - "0.064339,0.073980,0.085957,0.110845,0.163834,0.275938,0.499862", \ - "0.078668,0.089063,0.102167,0.127183,0.174411,0.277314,0.499877", \ - "0.097339,0.108316,0.122141,0.148397,0.197530,0.291378,0.500408"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.0170760,0.0200292,0.0238432,0.0314271,0.0465349,0.0766846,0.136939", \ - "0.0215912,0.0246107,0.0284835,0.0361383,0.0513184,0.0815228,0.141815", \ - "0.0350957,0.0394817,0.0444865,0.0530641,0.0681875,0.0983722,0.158661", \ - "0.0461020,0.0532794,0.0614544,0.0753110,0.0973840,0.131082,0.190959", \ - "0.0511643,0.0614911,0.0732446,0.0932929,0.124977,0.172580,0.242301", \ - "0.0489480,0.0626519,0.0782253,0.104917,0.147260,0.210613,0.302076", \ - "0.0384759,0.0556561,0.0752936,0.108886,0.162563,0.242959,0.358506"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.0599158,0.0723433,0.0882212,0.119509,0.181448,0.304683,0.550465", \ - "0.0606940,0.0733959,0.0896665,0.121565,0.184248,0.308150,0.554400", \ - "0.0747509,0.0868869,0.102664,0.134172,0.196869,0.321337,0.568390", \ - "0.107307,0.120587,0.135916,0.166011,0.227282,0.350658,0.597366", \ - "0.144610,0.161968,0.182395,0.218446,0.279579,0.400640,0.645477", \ - "0.186035,0.207404,0.232656,0.277297,0.351917,0.474582,0.716424", \ - "0.232442,0.257625,0.287499,0.340436,0.429688,0.572881,0.813298"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.00969585,0.0122163,0.0155074,0.0220881,0.0352435,0.0615580,0.114168", \ - "0.00969418,0.0122152,0.0155099,0.0220888,0.0352443,0.0615583,0.114170", \ - "0.0154103,0.0172327,0.0194812,0.0239365,0.0353446,0.0615540,0.114178", \ - "0.0276982,0.0302441,0.0331941,0.0384258,0.0474454,0.0653844,0.114168", \ - "0.0441958,0.0477442,0.0518891,0.0587497,0.0700369,0.0884797,0.123111", \ - "0.0645481,0.0693091,0.0748937,0.0838083,0.0981002,0.120509,0.156088", \ - "0.0888013,0.0948902,0.101958,0.113460,0.131286,0.158496,0.199971"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.0439112,0.0547003,0.0687328,0.0967775,0.152746,0.264558,0.487828", \ - "0.0438295,0.0546891,0.0687460,0.0967665,0.152730,0.264560,0.487832", \ - "0.0430535,0.0543474,0.0686090,0.0967404,0.152712,0.264520,0.487832", \ - "0.0535934,0.0607074,0.0716408,0.0966518,0.152712,0.264558,0.487828", \ - "0.0722061,0.0811742,0.0920991,0.111206,0.155903,0.264468,0.487838", \ - "0.0932839,0.104085,0.117151,0.140089,0.179540,0.268989,0.487825", \ - "0.117063,0.129727,0.145067,0.172034,0.217724,0.296259,0.488965"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.0197798,0.0227167,0.0265170,0.0340882,0.0491885,0.0793317,0.139592", \ - "0.0248862,0.0278915,0.0317489,0.0393872,0.0545531,0.0847496,0.145036", \ - "0.0382330,0.0419421,0.0464195,0.0546739,0.0700110,0.100354,0.160746", \ - "0.0532157,0.0588970,0.0654129,0.0767503,0.0960041,0.128954,0.189657", \ - "0.0643128,0.0730142,0.0828766,0.0993292,0.125534,0.166627,0.233900", \ - "0.0687963,0.0810998,0.0948387,0.118080,0.154061,0.207225,0.287478", \ - "0.0654919,0.0817083,0.0997820,0.130385,0.177946,0.246813,0.344849"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.0783294,0.0905283,0.106286,0.137480,0.199509,0.322810,0.568740", \ - "0.0799466,0.0923651,0.108320,0.139835,0.202228,0.325884,0.572046", \ - "0.0938864,0.106176,0.122025,0.153493,0.215976,0.339995,0.586569", \ - "0.127904,0.139388,0.154638,0.185350,0.246877,0.370159,0.616387", \ - "0.172162,0.187464,0.205814,0.239031,0.299035,0.420514,0.665167", \ - "0.220385,0.239366,0.262184,0.303189,0.373646,0.494397,0.736454", \ - "0.273292,0.295811,0.322956,0.371961,0.456165,0.593985,0.833429"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.00969615,0.0122141,0.0155060,0.0220860,0.0352488,0.0615543,0.114167", \ - "0.00969208,0.0122139,0.0155088,0.0220842,0.0352469,0.0615537,0.114173", \ - "0.0121022,0.0143545,0.0172051,0.0229017,0.0352764,0.0615612,0.114168", \ - "0.0193908,0.0216179,0.0244584,0.0300379,0.0411447,0.0636004,0.114174", \ - "0.0316727,0.0342784,0.0373661,0.0430263,0.0536874,0.0751362,0.119089", \ - "0.0480948,0.0513751,0.0552235,0.0617038,0.0728315,0.0935950,0.135815", \ - "0.0680245,0.0721849,0.0770380,0.0850759,0.0979040,0.119347,0.160257"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.0537671,0.0646423,0.0788960,0.107226,0.163695,0.275990,0.499866", \ - "0.0537455,0.0646384,0.0788563,0.107198,0.163660,0.275996,0.499874", \ - "0.0536051,0.0645833,0.0788347,0.107201,0.163620,0.275974,0.499874", \ - "0.0572545,0.0664973,0.0792982,0.106981,0.163580,0.275957,0.499870", \ - "0.0757019,0.0846336,0.0954060,0.116319,0.164804,0.275912,0.499871", \ - "0.0966355,0.107563,0.120567,0.143729,0.184288,0.278526,0.499874", \ - "0.119561,0.132677,0.148284,0.175591,0.221526,0.302416,0.500328"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("1.224320,1.255751,1.301513,1.368290,1.437353,1.518832,1.595012", \ - "1.177258,1.217577,1.252935,1.317120,1.394189,1.478064,1.557564", \ - "1.216775,1.228953,1.231009,1.288021,1.348345,1.436870,1.517913", \ - "1.418895,1.430112,1.432249,1.432682,1.411359,1.442873,1.518643", \ - "1.712626,1.696051,1.691180,1.675366,1.643729,1.583690,1.564600", \ - "2.147000,2.123279,2.098050,2.052883,1.980263,1.875187,1.767898", \ - "2.751513,2.710979,2.680831,2.602860,2.480971,2.312486,2.114795"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("5.863405,5.877872,5.900020,5.892059,5.892400,5.936509,5.927839", \ - "5.750641,5.779137,5.795410,5.798340,5.818845,5.878819,5.880167", \ - "5.667491,5.681265,5.691491,5.712038,5.755627,5.744961,5.849990", \ - "5.631096,5.640236,5.649810,5.663331,5.689489,5.703629,5.724893", \ - "5.685149,5.685402,5.674971,5.673773,5.679544,5.646275,5.742370", \ - "5.602857,5.647606,5.699465,5.783559,5.775150,5.709017,5.778100", \ - "5.811391,5.823901,5.842846,5.876715,6.015453,5.892326,5.814484"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.895211,0.955132,1.030916,1.120907,1.241516,1.352400,1.447648", \ - "0.856505,0.926734,0.988849,1.064238,1.189443,1.298995,1.389917", \ - "0.979789,0.985012,1.001453,1.051675,1.132849,1.240755,1.334553", \ - "1.235136,1.229188,1.237064,1.215441,1.215546,1.241530,1.324261", \ - "1.527964,1.518931,1.498927,1.487065,1.450789,1.395631,1.374293", \ - "1.967182,1.941440,1.916426,1.858916,1.786677,1.688048,1.575865", \ - "2.561674,2.528758,2.488569,2.412327,2.282734,2.105783,1.913033"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("5.335445,5.347468,5.363837,5.373488,5.374226,5.389720,5.408465", \ - "5.217762,5.243460,5.264292,5.286154,5.306391,5.337248,5.367404", \ - "5.128919,5.148254,5.168535,5.169416,5.177374,5.219391,5.266564", \ - "5.108155,5.116743,5.119186,5.111743,5.159346,5.195407,5.180509", \ - "5.153362,5.152284,5.148708,5.143563,5.119763,5.141642,5.181329", \ - "5.059466,5.121139,5.209516,5.242420,5.232144,5.225740,5.175560", \ - "5.249331,5.265896,5.305410,5.369826,5.448854,5.326289,5.289168"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("1.019641,1.070958,1.111033,1.180796,1.271265,1.362261,1.449157", \ - "0.986731,1.023079,1.060439,1.130013,1.210973,1.310132,1.395593", \ - "1.026218,1.014878,1.048119,1.086959,1.157591,1.249967,1.340445", \ - "1.260953,1.255351,1.240586,1.228509,1.225674,1.252125,1.328813", \ - "1.549154,1.542992,1.516277,1.494235,1.455389,1.406375,1.377290", \ - "1.991810,1.966704,1.925310,1.867209,1.793253,1.690653,1.576408", \ - "2.607622,2.560360,2.510379,2.421423,2.291363,2.111052,1.916436"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("6.496633,6.521370,6.545621,6.579086,6.607101,6.642664,6.686966", \ - "6.403406,6.432074,6.460268,6.502445,6.546103,6.593120,6.646923", \ - "6.315961,6.331308,6.358372,6.395712,6.418002,6.505261,6.548053", \ - "6.272260,6.294416,6.309068,6.319475,6.338574,6.453014,6.527282", \ - "6.308087,6.315587,6.325898,6.345541,6.353040,6.396483,6.460802", \ - "6.284628,6.353947,6.442923,6.447404,6.400381,6.416858,6.457812", \ - "6.417251,6.450934,6.495641,6.589742,6.669602,6.573211,6.568442"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.469910,0.462955,0.456352,0.454576,0.453670,0.457043,0.466710", \ - "0.425182,0.440001,0.451203,0.464536,0.476025,0.497675,0.509399", \ - "0.386492,0.390956,0.408870,0.438329,0.477285,0.510810,0.535295", \ - "0.537922,0.521161,0.501710,0.477939,0.465953,0.499640,0.539424", \ - "0.815215,0.773038,0.737297,0.689862,0.619926,0.553945,0.564190", \ - "1.246429,1.188532,1.132269,1.042988,0.924755,0.781820,0.668234", \ - "1.838108,1.778355,1.711944,1.586471,1.394384,1.165798,0.926161"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("3.823665,3.852738,3.877746,3.867281,3.870151,3.886851,3.913534", \ - "3.652493,3.694583,3.729501,3.787020,3.818023,3.869271,3.896187", \ - "3.564898,3.579839,3.601903,3.648438,3.706554,3.774969,3.842310", \ - "3.698960,3.690232,3.691359,3.683473,3.682195,3.720401,3.782551", \ - "4.003517,3.997007,3.964713,3.926233,3.867184,3.785993,3.799783", \ - "4.295325,4.312998,4.355694,4.348204,4.234532,4.085551,3.968597", \ - "4.892946,4.876977,4.867496,4.863823,4.791743,4.548250,4.290266"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.462210,0.463604,0.458645,0.456233,0.457203,0.461967,0.465282", \ - "0.437100,0.444351,0.453339,0.468981,0.480462,0.502039,0.504352", \ - "0.339743,0.358135,0.387114,0.423940,0.460997,0.507002,0.534582", \ - "0.389686,0.396348,0.399595,0.396771,0.413561,0.470968,0.518291", \ - "0.502307,0.495932,0.496112,0.489093,0.479212,0.453202,0.503442", \ - "0.755918,0.727862,0.701192,0.673569,0.631228,0.580217,0.526561", \ - "1.151855,1.119654,1.075410,1.006997,0.906798,0.799392,0.682107"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("4.921775,4.942093,4.951101,4.972762,5.004039,5.035108,5.076421", \ - "4.796692,4.826719,4.844035,4.878081,4.947907,4.993602,4.978292", \ - "4.704144,4.730076,4.749822,4.765886,4.819545,4.902194,4.944619", \ - "4.818745,4.822217,4.816910,4.812601,4.816828,4.857884,4.917454", \ - "5.117375,5.094554,5.079576,5.055701,5.005256,5.004258,4.960353", \ - "5.413960,5.460413,5.512054,5.490811,5.363311,5.246006,5.144752", \ - "5.949457,5.966336,5.980034,6.029978,5.974881,5.775387,5.542223"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI21_X4 - Cell Description : Combinational cell (AOI21_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI21_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 65.305282; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 62.025785; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 118.971730; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 80.182470; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 68.916800; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 29.553588; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 49.561367; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 49.559362; - } - leakage_power () { - when : "A & B1 & B2"; - value : 63.671156; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.931793; - fall_capacitance : 5.544806; - rise_capacitance : 5.931793; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.239238; - fall_capacitance : 5.439901; - rise_capacitance : 6.239238; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.460567; - fall_capacitance : 5.488423; - rise_capacitance : 6.460567; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 101.013000; - function : "!(A | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.011620,0.013622,0.015796,0.019966,0.027927,0.043238,0.073118", \ - "0.017143,0.019053,0.021166,0.025276,0.033197,0.048491,0.078366", \ - "0.033069,0.035963,0.038873,0.043978,0.052499,0.067495,0.097243", \ - "0.048910,0.053683,0.058442,0.066747,0.080425,0.101797,0.134049", \ - "0.061151,0.068051,0.075077,0.087347,0.107447,0.138411,0.184078", \ - "0.067427,0.076815,0.086342,0.103191,0.130819,0.173103,0.234615", \ - "0.066209,0.078308,0.090631,0.112436,0.148430,0.203543,0.283178"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.073320,0.084262,0.096532,0.120858,0.169178,0.265442,0.457645", \ - "0.077160,0.088218,0.100616,0.125172,0.173816,0.270410,0.462869", \ - "0.095483,0.106381,0.118673,0.143127,0.191792,0.288615,0.481435", \ - "0.128770,0.139964,0.152102,0.176392,0.224815,0.321462,0.514325", \ - "0.167060,0.181717,0.196988,0.224910,0.275072,0.371565,0.564216", \ - "0.207409,0.226099,0.245348,0.279822,0.339900,0.442119,0.634640", \ - "0.250493,0.273696,0.297363,0.339193,0.410548,0.528968,0.727686"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.008015,0.009561,0.011268,0.014606,0.021167,0.034228,0.060440", \ - "0.007616,0.009199,0.010993,0.014432,0.021084,0.034202,0.060437", \ - "0.013318,0.014495,0.015736,0.017991,0.022518,0.034143,0.060432", \ - "0.023699,0.025455,0.027302,0.030558,0.036013,0.044956,0.063351", \ - "0.037726,0.040445,0.043126,0.047705,0.055067,0.066583,0.084715", \ - "0.055342,0.059267,0.063124,0.069434,0.079229,0.093871,0.115904", \ - "0.076194,0.081638,0.086932,0.095503,0.108381,0.126886,0.153482"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.036498,0.046001,0.056809,0.078366,0.121334,0.207001,0.378136", \ - "0.036502,0.046006,0.056817,0.078373,0.121338,0.207018,0.378137", \ - "0.036537,0.046016,0.056817,0.078378,0.121334,0.207014,0.378129", \ - "0.039760,0.047917,0.057680,0.078483,0.121317,0.207014,0.378121", \ - "0.052918,0.061071,0.070151,0.087229,0.124006,0.206999,0.378124", \ - "0.070538,0.079305,0.088943,0.107298,0.141675,0.212608,0.378110", \ - "0.093061,0.102484,0.112711,0.132155,0.168293,0.235449,0.382431"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.009634,0.011591,0.013748,0.017930,0.025972,0.041430,0.071456", \ - "0.015375,0.017207,0.019269,0.023342,0.031284,0.046678,0.076674", \ - "0.029966,0.033148,0.036298,0.041749,0.050667,0.065722,0.095508", \ - "0.043860,0.049177,0.054355,0.063235,0.077603,0.099627,0.132358", \ - "0.053757,0.061411,0.069075,0.082238,0.103370,0.135349,0.181828", \ - "0.057204,0.067585,0.077985,0.096071,0.125183,0.168923,0.231621", \ - "0.052797,0.066119,0.079528,0.102919,0.140875,0.197992,0.279278"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.082848,0.096797,0.112434,0.143456,0.205121,0.328012,0.573359", \ - "0.086286,0.100350,0.116150,0.147462,0.209541,0.332853,0.578527", \ - "0.104251,0.118140,0.133798,0.164979,0.227046,0.350640,0.596795", \ - "0.136188,0.150099,0.165500,0.196452,0.258241,0.381645,0.627809", \ - "0.173153,0.190191,0.208211,0.241760,0.303893,0.427001,0.672905", \ - "0.212463,0.233232,0.254892,0.294259,0.364804,0.490274,0.735907", \ - "0.255474,0.280488,0.306192,0.352288,0.432841,0.571708,0.819132"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.006732,0.008419,0.010263,0.013800,0.020578,0.033758,0.059924", \ - "0.006722,0.008183,0.009964,0.013549,0.020400,0.033665,0.059897", \ - "0.013444,0.014615,0.015851,0.018079,0.022359,0.033591,0.059835", \ - "0.024391,0.026072,0.027862,0.031020,0.036340,0.045141,0.063050", \ - "0.039003,0.041619,0.044201,0.048585,0.055699,0.066965,0.084869", \ - "0.057192,0.061061,0.064780,0.070840,0.080276,0.094516,0.116212", \ - "0.078696,0.084057,0.089282,0.097544,0.109954,0.127894,0.154013"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.042828,0.055077,0.069064,0.097055,0.152907,0.264448,0.487290", \ - "0.042842,0.055082,0.069068,0.097052,0.152909,0.264443,0.487289", \ - "0.042857,0.055100,0.069073,0.097054,0.152902,0.264450,0.487292", \ - "0.045142,0.056227,0.069456,0.097079,0.152893,0.264438,0.487289", \ - "0.056535,0.067450,0.079573,0.102982,0.153970,0.264407,0.487292", \ - "0.071599,0.083107,0.095822,0.120365,0.166796,0.266829,0.487287", \ - "0.091536,0.103591,0.116751,0.142107,0.190460,0.282794,0.488308"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.009865,0.011816,0.013968,0.018147,0.026187,0.041649,0.071689", \ - "0.015588,0.017421,0.019483,0.023555,0.031497,0.046895,0.076907", \ - "0.030379,0.033512,0.036625,0.042027,0.050888,0.065936,0.095742", \ - "0.044595,0.049811,0.054918,0.063720,0.077985,0.099919,0.132589", \ - "0.054943,0.062440,0.069986,0.082993,0.103978,0.135801,0.182171", \ - "0.058983,0.069150,0.079368,0.097229,0.126090,0.169609,0.232125", \ - "0.055306,0.068344,0.081573,0.104608,0.142213,0.198995,0.279998"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.102710,0.116798,0.132562,0.163803,0.225775,0.349009,0.594606", \ - "0.106511,0.120677,0.136560,0.168020,0.230309,0.353895,0.599778", \ - "0.124237,0.138324,0.154135,0.185547,0.247890,0.371794,0.618087", \ - "0.156227,0.170160,0.185695,0.216913,0.279048,0.402686,0.649110", \ - "0.197631,0.213624,0.230735,0.262660,0.324598,0.448055,0.694187", \ - "0.242331,0.261236,0.281456,0.318826,0.387043,0.511277,0.757158", \ - "0.291545,0.313875,0.337396,0.380730,0.457998,0.593799,0.840365"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.007411,0.009147,0.011043,0.014675,0.021648,0.035199,0.061863", \ - "0.007333,0.008876,0.010725,0.014415,0.021469,0.035102,0.061836", \ - "0.014547,0.015618,0.016785,0.018948,0.023349,0.035014,0.061776", \ - "0.027437,0.028712,0.030216,0.033043,0.038077,0.046692,0.064957", \ - "0.046059,0.047674,0.049470,0.052943,0.059158,0.069678,0.087020", \ - "0.069201,0.071440,0.073890,0.078262,0.085921,0.098629,0.119146", \ - "0.095597,0.098766,0.102175,0.108072,0.117878,0.133488,0.157834"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.052561,0.065025,0.079234,0.107505,0.163802,0.275918,0.499360", \ - "0.052547,0.065025,0.079231,0.107513,0.163799,0.275917,0.499336", \ - "0.052573,0.065029,0.079234,0.107517,0.163800,0.275927,0.499350", \ - "0.053029,0.065217,0.079293,0.107511,0.163788,0.275911,0.499337", \ - "0.063095,0.074085,0.086082,0.110992,0.164046,0.275890,0.499357", \ - "0.077256,0.089113,0.102197,0.127129,0.174416,0.277243,0.499352", \ - "0.095840,0.108439,0.122153,0.148330,0.197379,0.291233,0.499861"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.0167309,0.0201195,0.0239248,0.0314922,0.0465629,0.0766409,0.136750", \ - "0.0212311,0.0247010,0.0285623,0.0361985,0.0513412,0.0814756,0.141617", \ - "0.0345446,0.0396178,0.0445942,0.0531334,0.0682138,0.0983226,0.158466", \ - "0.0451782,0.0535200,0.0616531,0.0754363,0.0974142,0.131035,0.190768", \ - "0.0498432,0.0617900,0.0735653,0.0934747,0.125040,0.172529,0.242112", \ - "0.0471820,0.0629970,0.0785963,0.105197,0.147369,0.210553,0.301845", \ - "0.0362488,0.0560764,0.0756501,0.109229,0.162694,0.242920,0.358245"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.0580983,0.0723881,0.0882158,0.119436,0.181258,0.304256,0.549662", \ - "0.0589088,0.0734940,0.0897006,0.121542,0.184102,0.307756,0.553627", \ - "0.0731130,0.0870438,0.102759,0.134191,0.196781,0.321000,0.567656", \ - "0.105467,0.120803,0.135996,0.166044,0.227214,0.350337,0.596652", \ - "0.142310,0.162319,0.182750,0.218512,0.279476,0.400388,0.644843", \ - "0.183280,0.207900,0.233106,0.277554,0.352001,0.474427,0.715818", \ - "0.229253,0.258263,0.287999,0.340844,0.429819,0.572650,0.812607"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.00940441,0.0122908,0.0155737,0.0221439,0.0352769,0.0615347,0.114048", \ - "0.00939276,0.0122911,0.0155746,0.0221430,0.0352752,0.0615356,0.114048", \ - "0.0151741,0.0172686,0.0195165,0.0239683,0.0353709,0.0615342,0.114051", \ - "0.0273747,0.0302661,0.0332016,0.0384330,0.0474405,0.0653741,0.114046", \ - "0.0436968,0.0478019,0.0518375,0.0586887,0.0699766,0.0884189,0.123018", \ - "0.0639221,0.0694214,0.0748454,0.0837650,0.0980207,0.120400,0.155955", \ - "0.0879889,0.0950365,0.102051,0.113370,0.131171,0.158351,0.199786"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.0427135,0.0550514,0.0690705,0.0970508,0.152914,0.264444,0.487294", \ - "0.0426154,0.0550159,0.0690465,0.0970538,0.152898,0.264441,0.487298", \ - "0.0416636,0.0546638,0.0689155,0.0970334,0.152915,0.264446,0.487289", \ - "0.0528653,0.0608909,0.0718518,0.0968891,0.152884,0.264429,0.487292", \ - "0.0711058,0.0813452,0.0921423,0.111289,0.156012,0.264396,0.487291", \ - "0.0919570,0.104380,0.117262,0.140107,0.179540,0.268920,0.487295", \ - "0.115496,0.130103,0.145324,0.172068,0.217695,0.296225,0.488416"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.0194559,0.0228257,0.0266193,0.0341736,0.0492347,0.0793107,0.139424", \ - "0.0245487,0.0279976,0.0318456,0.0394670,0.0545940,0.0847202,0.144862", \ - "0.0378003,0.0420602,0.0465264,0.0547545,0.0700570,0.100326,0.160571", \ - "0.0525194,0.0590908,0.0655633,0.0768593,0.0960546,0.128924,0.189487", \ - "0.0631791,0.0733674,0.0831430,0.0995262,0.125622,0.166588,0.233716", \ - "0.0671940,0.0814356,0.0952850,0.118359,0.154193,0.207176,0.287239", \ - "0.0634309,0.0821309,0.100227,0.130809,0.178142,0.246788,0.344589"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.0766443,0.0906281,0.106321,0.137467,0.199319,0.322478,0.567999", \ - "0.0782320,0.0924887,0.108407,0.139868,0.202088,0.325567,0.571322", \ - "0.0922470,0.106373,0.122180,0.153572,0.215911,0.339726,0.585889", \ - "0.126287,0.139556,0.154834,0.185442,0.246867,0.369924,0.615747", \ - "0.170160,0.187779,0.206082,0.239147,0.299045,0.420302,0.664582", \ - "0.217988,0.239833,0.262540,0.303576,0.373767,0.494265,0.735885", \ - "0.270509,0.296423,0.323450,0.372296,0.456300,0.593794,0.832771"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.00939456,0.0122898,0.0155751,0.0221442,0.0352768,0.0615326,0.114051", \ - "0.00940266,0.0122908,0.0155736,0.0221433,0.0352754,0.0615370,0.114053", \ - "0.0118331,0.0144159,0.0172625,0.0229515,0.0353055,0.0615352,0.114052", \ - "0.0191114,0.0216568,0.0244975,0.0300725,0.0411600,0.0635895,0.114051", \ - "0.0313369,0.0343031,0.0373839,0.0430252,0.0536795,0.0751023,0.118993", \ - "0.0476876,0.0514428,0.0552004,0.0616906,0.0728018,0.0935250,0.135708", \ - "0.0674912,0.0722735,0.0770533,0.0850119,0.0978367,0.119233,0.160071"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.0525664,0.0650263,0.0792284,0.107510,0.163800,0.275921,0.499343", \ - "0.0525491,0.0650157,0.0792183,0.107507,0.163800,0.275922,0.499347", \ - "0.0523251,0.0649312,0.0791955,0.107496,0.163787,0.275916,0.499342", \ - "0.0562246,0.0667871,0.0795891,0.107279,0.163780,0.275900,0.499363", \ - "0.0745552,0.0848189,0.0954918,0.116437,0.164945,0.275860,0.499365", \ - "0.0953006,0.107836,0.120749,0.143757,0.184369,0.278475,0.499345", \ - "0.117960,0.133080,0.148529,0.175693,0.221544,0.302370,0.499792"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("2.422674,2.523814,2.589827,2.734737,2.875451,3.038070,3.185969", \ - "2.294372,2.442794,2.514538,2.646653,2.801183,2.947600,3.102490", \ - "2.433154,2.443415,2.450932,2.550823,2.693520,2.870198,3.034014", \ - "2.842421,2.853334,2.862911,2.837801,2.820956,2.869405,3.023209", \ - "3.427379,3.379935,3.376156,3.330779,3.250822,3.174851,3.118794", \ - "4.272467,4.215985,4.154878,4.081937,3.942983,3.752475,3.517298", \ - "5.487602,5.412409,5.335190,5.191884,4.952825,4.605141,4.223110"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("11.994430,12.042990,12.064720,12.105480,12.156200,12.123590,12.088960", \ - "11.769930,11.839570,11.879080,11.887160,12.010410,11.973780,12.092200", \ - "11.602970,11.634330,11.672100,11.715390,11.727740,11.876600,11.934690", \ - "11.553050,11.577020,11.584870,11.612510,11.648700,11.619850,11.852040", \ - "11.630230,11.631440,11.626160,11.628190,11.567190,11.673090,11.709870", \ - "11.458190,11.573240,11.670110,11.857810,11.758240,11.794360,11.776130", \ - "11.860330,11.896580,11.925870,12.007220,12.284990,12.153470,12.023160"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("1.714304,1.906271,2.043771,2.245314,2.472987,2.681905,2.882989", \ - "1.701594,1.840564,1.941273,2.139681,2.345515,2.574006,2.765619", \ - "1.957100,1.987584,1.982841,2.091858,2.269691,2.467002,2.663129", \ - "2.446714,2.452650,2.447941,2.445754,2.424436,2.465489,2.627538", \ - "3.058774,3.022036,3.001304,2.943426,2.872159,2.797781,2.720864", \ - "3.919454,3.855911,3.809182,3.696885,3.547953,3.356749,3.131238", \ - "5.110713,5.020591,4.955914,4.802828,4.548452,4.203461,3.807957"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("10.935360,10.981800,10.994060,11.014140,10.998620,11.012010,11.064650", \ - "10.698730,10.755060,10.796160,10.840460,10.876760,10.908010,10.984500", \ - "10.540520,10.580410,10.605050,10.607670,10.705040,10.800320,10.783970", \ - "10.484930,10.498320,10.499300,10.553310,10.538290,10.620050,10.736760", \ - "10.561100,10.577170,10.548520,10.542830,10.576580,10.506280,10.598940", \ - "10.379050,10.512660,10.698810,10.719950,10.670660,10.670170,10.584700", \ - "10.723560,10.774920,10.858870,10.985470,11.110310,10.990220,10.805650"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("2.014278,2.104381,2.215417,2.334123,2.524310,2.710136,2.897923", \ - "1.945200,2.034430,2.111733,2.252647,2.426785,2.603533,2.781531", \ - "2.013202,2.038829,2.062673,2.172072,2.305849,2.487304,2.670715", \ - "2.502022,2.483215,2.482699,2.443178,2.434739,2.499591,2.638453", \ - "3.100111,3.060736,3.024509,2.974986,2.897400,2.792388,2.736920", \ - "3.982374,3.911375,3.836382,3.715142,3.558825,3.358758,3.128709", \ - "5.199839,5.092567,4.994038,4.825498,4.552909,4.205853,3.811389"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("13.274910,13.329770,13.349980,13.416980,13.434280,13.517140,13.622320", \ - "13.088270,13.151520,13.213260,13.264430,13.307730,13.416740,13.542370", \ - "12.894120,12.952590,13.003500,13.072050,13.180090,13.316710,13.345220", \ - "12.824940,12.872190,12.891510,12.961940,13.011340,13.131260,13.303880", \ - "12.890890,12.911880,12.929630,12.932790,12.919930,13.018420,13.161660", \ - "12.807580,12.982680,13.165180,13.118810,13.129380,13.175880,13.277150", \ - "13.065620,13.153390,13.238610,13.431080,13.533450,13.486450,13.414670"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.943640,0.921329,0.913992,0.912476,0.905148,0.925820,0.931113", \ - "0.866844,0.881392,0.896743,0.930520,0.955714,0.996383,1.016696", \ - "0.779144,0.794952,0.821127,0.872967,0.950982,1.016916,1.068137", \ - "1.089014,1.054264,1.012177,0.956133,0.939858,1.003358,1.076319", \ - "1.634807,1.545834,1.486020,1.373947,1.236053,1.098144,1.121574", \ - "2.491981,2.380926,2.255312,2.082966,1.838024,1.558600,1.333914", \ - "3.684826,3.542570,3.408262,3.165357,2.786529,2.325524,1.851115"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("7.675055,7.740405,7.773900,7.796532,7.881357,7.800448,7.855179", \ - "7.333505,7.435425,7.518767,7.636555,7.732320,7.747355,7.836668", \ - "7.144893,7.196980,7.223404,7.273119,7.434376,7.578130,7.730006", \ - "7.436383,7.419829,7.399147,7.340466,7.386358,7.470109,7.501835", \ - "8.018071,8.027633,7.945081,7.817861,7.753287,7.605519,7.519882", \ - "8.612072,8.662190,8.724855,8.751961,8.478702,8.211249,7.860000", \ - "9.835272,9.807559,9.781304,9.745909,9.605470,9.132959,8.628445"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.951159,0.926360,0.914703,0.909496,0.909700,0.917998,0.924366", \ - "0.868426,0.888546,0.908122,0.935303,0.960753,0.994308,1.014279", \ - "0.683901,0.731237,0.779482,0.845148,0.928249,1.005684,1.063887", \ - "0.776774,0.790176,0.797154,0.795361,0.825783,0.937480,1.028017", \ - "1.013652,1.000407,0.989011,0.976265,0.949647,0.901529,1.005884", \ - "1.514522,1.460501,1.414762,1.343657,1.260458,1.158736,1.052179", \ - "2.316163,2.221765,2.141867,2.013488,1.815019,1.597286,1.365558"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("9.874678,9.902649,9.950958,9.959248,10.021600,10.098190,10.067630", \ - "9.621140,9.687686,9.738160,9.771433,9.911483,10.014290,10.001530", \ - "9.437559,9.497571,9.552034,9.623360,9.736641,9.786315,9.934839", \ - "9.671243,9.679340,9.689256,9.725776,9.654806,9.745775,9.883247", \ - "10.270860,10.221960,10.208230,10.128040,10.033630,10.040600,9.972095", \ - "10.855300,10.955740,11.074460,11.010100,10.766390,10.529830,10.342740", \ - "11.945790,11.986910,12.006490,12.091320,11.970400,11.585900,11.130400"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI22_X1 - Cell Description : Combinational cell (AOI22_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI22_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 19.165521; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 6.353210; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 20.596427; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 10.894078; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 14.526079; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 20.596418; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 34.832975; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 25.135567; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 19.426350; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 10.894173; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 25.135576; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 15.435602; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 19.424792; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 17.258925; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 22.324962; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 22.322957; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 21.490244; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.644323; - fall_capacitance : 1.455024; - rise_capacitance : 1.644323; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.627676; - fall_capacitance : 1.394153; - rise_capacitance : 1.627676; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.548200; - fall_capacitance : 1.488907; - rise_capacitance : 1.548200; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.579840; - fall_capacitance : 1.459048; - rise_capacitance : 1.579840; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 24.574490; - function : "!((A1 & A2) | (B1 & B2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.017927,0.019880,0.023583,0.030952,0.045639,0.074936,0.133487", \ - "0.022365,0.024360,0.028132,0.035576,0.050327,0.079685,0.138273", \ - "0.036162,0.039008,0.043926,0.052354,0.067083,0.096415,0.155012", \ - "0.047981,0.052616,0.060590,0.074169,0.095901,0.129116,0.187262", \ - "0.054430,0.061080,0.072361,0.091914,0.123012,0.169866,0.238565", \ - "0.054252,0.063012,0.077918,0.103696,0.144968,0.207177,0.297263", \ - "0.046592,0.057494,0.076238,0.108445,0.160448,0.239069,0.352675"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.046565,0.052449,0.063479,0.085227,0.128277,0.213915,0.384603", \ - "0.048947,0.054943,0.066210,0.088370,0.131931,0.218006,0.389072", \ - "0.065084,0.070733,0.081607,0.103397,0.146876,0.233290,0.404865", \ - "0.096226,0.103440,0.116099,0.137909,0.180057,0.265485,0.436642", \ - "0.130588,0.140232,0.157004,0.186575,0.235255,0.319060,0.488638", \ - "0.168424,0.180439,0.201527,0.238477,0.299976,0.397055,0.564247", \ - "0.210392,0.224699,0.249888,0.294292,0.368564,0.486377,0.666808"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.010479,0.012151,0.015346,0.021737,0.034516,0.060086,0.111194", \ - "0.010472,0.012150,0.015345,0.021736,0.034519,0.060085,0.111201", \ - "0.016148,0.017357,0.019521,0.023758,0.034689,0.060093,0.111210", \ - "0.028777,0.030464,0.033365,0.038428,0.047197,0.064325,0.111185", \ - "0.045426,0.047747,0.051957,0.058680,0.069775,0.087762,0.120982", \ - "0.065783,0.068876,0.074478,0.083466,0.097583,0.119618,0.154438", \ - "0.089828,0.093814,0.100756,0.112265,0.130147,0.157142,0.198003"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.033726,0.038972,0.048892,0.068725,0.108350,0.187569,0.345689", \ - "0.033670,0.038925,0.048872,0.068743,0.108349,0.187584,0.345701", \ - "0.033562,0.038468,0.048668,0.068701,0.108334,0.187570,0.345727", \ - "0.047183,0.050825,0.056881,0.071720,0.108179,0.187521,0.345698", \ - "0.065457,0.070108,0.078555,0.092951,0.119493,0.187836,0.345751", \ - "0.086483,0.092242,0.102682,0.120563,0.150462,0.204588,0.345603", \ - "0.110247,0.117126,0.129491,0.151069,0.186720,0.244420,0.359889"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.017929,0.019880,0.023584,0.030950,0.045626,0.074918,0.133443", \ - "0.022425,0.024420,0.028186,0.035627,0.050376,0.079719,0.138285", \ - "0.036424,0.039249,0.044144,0.052538,0.067251,0.096574,0.155142", \ - "0.048392,0.053020,0.060938,0.074510,0.096199,0.129323,0.187459", \ - "0.054517,0.061218,0.072560,0.092158,0.123314,0.170124,0.238753", \ - "0.053403,0.062309,0.077435,0.103475,0.145031,0.207327,0.297433", \ - "0.044044,0.055222,0.074380,0.107144,0.159763,0.238869,0.352710"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.061112,0.069344,0.084807,0.115253,0.175491,0.295171,0.533971", \ - "0.062201,0.070599,0.086412,0.117475,0.178449,0.298796,0.537888", \ - "0.076370,0.084300,0.099634,0.130258,0.191239,0.312135,0.552012", \ - "0.109962,0.118525,0.133316,0.162200,0.221716,0.341524,0.581012", \ - "0.148884,0.160140,0.179808,0.214867,0.274137,0.391648,0.629234", \ - "0.191839,0.205735,0.230199,0.273481,0.346328,0.465761,0.700419", \ - "0.239649,0.256048,0.285052,0.336583,0.423689,0.563776,0.797597"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.010478,0.012153,0.015347,0.021735,0.034521,0.060077,0.111187", \ - "0.010472,0.012149,0.015346,0.021736,0.034521,0.060079,0.111198", \ - "0.016026,0.017244,0.019425,0.023687,0.034675,0.060073,0.111189", \ - "0.028525,0.030195,0.033134,0.038225,0.047030,0.064253,0.111186", \ - "0.045292,0.047606,0.051791,0.058502,0.069580,0.087586,0.120895", \ - "0.066024,0.069087,0.074596,0.083527,0.097513,0.119484,0.154317", \ - "0.090666,0.094633,0.101539,0.112893,0.130495,0.157249,0.197961"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.047764,0.055006,0.068816,0.096125,0.150610,0.259292,0.475966", \ - "0.047564,0.054890,0.068766,0.096153,0.150612,0.259267,0.475886", \ - "0.046332,0.054051,0.068356,0.096003,0.150626,0.259257,0.475898", \ - "0.055525,0.060368,0.071098,0.095597,0.150440,0.259268,0.475888", \ - "0.074937,0.080807,0.091633,0.110353,0.153757,0.259181,0.475900", \ - "0.096691,0.103737,0.116539,0.139085,0.177658,0.264066,0.475891", \ - "0.121129,0.129386,0.144318,0.170760,0.215504,0.291899,0.477475"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.018327,0.020280,0.023985,0.031360,0.046056,0.075381,0.133978", \ - "0.022824,0.024821,0.028588,0.036035,0.050800,0.080183,0.138823", \ - "0.037032,0.039809,0.044649,0.052971,0.067675,0.097041,0.155684", \ - "0.049452,0.054018,0.061864,0.075269,0.096779,0.129794,0.188005", \ - "0.056237,0.062818,0.074023,0.093359,0.124232,0.170839,0.239319", \ - "0.055942,0.064650,0.079595,0.105223,0.146454,0.208416,0.298270", \ - "0.047553,0.058472,0.077425,0.109724,0.161778,0.240412,0.353886"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.081388,0.089596,0.105154,0.135701,0.196288,0.316193,0.555151", \ - "0.083200,0.091559,0.107302,0.138325,0.199433,0.319901,0.559278", \ - "0.096399,0.104559,0.120200,0.151099,0.212300,0.333403,0.573452", \ - "0.130243,0.137672,0.152293,0.182245,0.242360,0.362675,0.602457", \ - "0.176025,0.185999,0.203720,0.235974,0.294116,0.412424,0.650535", \ - "0.225507,0.237876,0.259951,0.299851,0.368599,0.486132,0.721523", \ - "0.279512,0.294178,0.320486,0.368147,0.450436,0.585409,0.818354"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.011913,0.013717,0.017159,0.023981,0.037516,0.063906,0.115269", \ - "0.011913,0.013715,0.017144,0.023977,0.037515,0.063905,0.115269", \ - "0.017912,0.019103,0.021176,0.025870,0.037639,0.063906,0.115269", \ - "0.033556,0.034865,0.037325,0.041913,0.050372,0.068017,0.115264", \ - "0.055817,0.057223,0.060081,0.065337,0.074998,0.091912,0.124903", \ - "0.082122,0.083859,0.087374,0.093823,0.105407,0.125276,0.158606", \ - "0.112540,0.114679,0.118924,0.126926,0.141038,0.164774,0.203259"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.057820,0.065100,0.079075,0.106631,0.161634,0.270768,0.488049", \ - "0.057786,0.065081,0.079008,0.106638,0.161635,0.270766,0.488052", \ - "0.057418,0.064848,0.078897,0.106615,0.161564,0.270825,0.488055", \ - "0.059813,0.066129,0.078857,0.106007,0.161401,0.270813,0.488068", \ - "0.078597,0.084512,0.095138,0.115300,0.162479,0.270668,0.488052", \ - "0.100709,0.107717,0.120546,0.142958,0.182169,0.273397,0.488059", \ - "0.125513,0.133818,0.148875,0.175070,0.219759,0.297893,0.488714"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.020657,0.022600,0.026295,0.033647,0.048323,0.077610,0.136168", \ - "0.025701,0.027687,0.031438,0.038858,0.053592,0.082936,0.141526", \ - "0.039167,0.041601,0.045971,0.054035,0.068945,0.098435,0.157121", \ - "0.054694,0.058376,0.064741,0.075838,0.094710,0.126945,0.185976", \ - "0.066802,0.072406,0.081930,0.098076,0.123813,0.164157,0.230058", \ - "0.072856,0.080765,0.093856,0.116515,0.151859,0.204212,0.283053", \ - "0.071825,0.082185,0.099552,0.128906,0.175371,0.243098,0.339650"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.059451,0.065235,0.076183,0.097926,0.141041,0.226918,0.397742", \ - "0.062365,0.068237,0.079348,0.101270,0.144660,0.230685,0.401758", \ - "0.078331,0.084126,0.095117,0.116967,0.160374,0.246636,0.417923", \ - "0.112700,0.119036,0.130117,0.151257,0.193831,0.279339,0.450326", \ - "0.153139,0.161604,0.176642,0.203527,0.249306,0.333063,0.502710", \ - "0.196943,0.207593,0.226554,0.260452,0.318151,0.411337,0.578562", \ - "0.244715,0.257485,0.280263,0.321201,0.391063,0.504141,0.681168"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.010476,0.012148,0.015346,0.021743,0.034526,0.060093,0.111213", \ - "0.010480,0.012150,0.015348,0.021745,0.034523,0.060087,0.111207", \ - "0.012872,0.014363,0.017129,0.022621,0.034580,0.060086,0.111202", \ - "0.020289,0.021761,0.024504,0.029913,0.040624,0.062321,0.111186", \ - "0.032746,0.034458,0.037492,0.042997,0.053340,0.074080,0.116515", \ - "0.049288,0.051437,0.055308,0.061684,0.072541,0.092671,0.133542", \ - "0.069171,0.071903,0.076722,0.084808,0.097473,0.118447,0.158131"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.040705,0.045996,0.056051,0.076124,0.116085,0.195841,0.354326", \ - "0.040696,0.045998,0.056058,0.076094,0.116080,0.195710,0.354310", \ - "0.040492,0.045890,0.055983,0.076070,0.116029,0.195763,0.354296", \ - "0.048555,0.052245,0.060001,0.077105,0.115928,0.195658,0.354304", \ - "0.067306,0.071917,0.080229,0.094837,0.123823,0.195489,0.354305", \ - "0.088028,0.093872,0.104339,0.122439,0.152566,0.209308,0.354227", \ - "0.110648,0.117875,0.130619,0.152729,0.188754,0.246775,0.366180"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.020659,0.022602,0.026292,0.033652,0.048312,0.077596,0.136124", \ - "0.025761,0.027744,0.031492,0.038909,0.053637,0.082973,0.141538", \ - "0.039388,0.041814,0.046180,0.054220,0.069119,0.098606,0.157261", \ - "0.055044,0.058732,0.065101,0.076163,0.094991,0.127186,0.186187", \ - "0.067167,0.072770,0.082320,0.098449,0.124173,0.164480,0.230311", \ - "0.072887,0.080839,0.094029,0.116756,0.152166,0.204511,0.283323", \ - "0.070882,0.081397,0.099016,0.128630,0.175386,0.243288,0.339879"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.079576,0.087658,0.103002,0.133363,0.193623,0.313407,0.552249", \ - "0.081462,0.089661,0.105185,0.135848,0.196578,0.316646,0.555730", \ - "0.095534,0.103643,0.119058,0.149670,0.210453,0.330922,0.570380", \ - "0.129752,0.137224,0.151749,0.181591,0.241367,0.361156,0.600234", \ - "0.175630,0.185603,0.203322,0.235490,0.293607,0.411544,0.649095", \ - "0.225308,0.237686,0.259820,0.299642,0.368294,0.485714,0.720625", \ - "0.279553,0.294258,0.320604,0.368272,0.450437,0.585206,0.817866"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.010477,0.012150,0.015351,0.021736,0.034521,0.060082,0.111196", \ - "0.010485,0.012150,0.015347,0.021738,0.034522,0.060086,0.111195", \ - "0.012822,0.014314,0.017080,0.022589,0.034572,0.060076,0.111195", \ - "0.020114,0.021602,0.024357,0.029790,0.040552,0.062279,0.111192", \ - "0.032524,0.034236,0.037272,0.042798,0.053180,0.073968,0.116466", \ - "0.049134,0.051282,0.055137,0.061503,0.072354,0.092530,0.133451", \ - "0.069319,0.072044,0.076830,0.084838,0.097403,0.118322,0.158023"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.057808,0.065096,0.079043,0.106671,0.161667,0.270795,0.488056", \ - "0.057752,0.065065,0.078995,0.106622,0.161652,0.270788,0.488051", \ - "0.057377,0.064820,0.078871,0.106544,0.161546,0.270761,0.488047", \ - "0.060009,0.066310,0.078953,0.106018,0.161408,0.270750,0.488044", \ - "0.078464,0.084390,0.095012,0.115433,0.162519,0.270715,0.488051", \ - "0.100098,0.107226,0.120028,0.142737,0.182239,0.273486,0.488049", \ - "0.123796,0.132348,0.147550,0.174363,0.219422,0.297993,0.488741"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.021055,0.022999,0.026693,0.034057,0.048740,0.078064,0.136662", \ - "0.026163,0.028147,0.031897,0.039322,0.054067,0.083441,0.142073", \ - "0.039891,0.042297,0.046639,0.054639,0.069552,0.099062,0.157808", \ - "0.055851,0.059481,0.065747,0.076752,0.095506,0.127667,0.186733", \ - "0.068485,0.074026,0.083397,0.099338,0.124899,0.165079,0.230887", \ - "0.074888,0.082735,0.095711,0.118102,0.153198,0.205301,0.284009", \ - "0.073758,0.084041,0.101380,0.130610,0.176911,0.244403,0.340736"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.099740,0.107852,0.123273,0.153828,0.214440,0.334518,0.573628", \ - "0.101999,0.110204,0.125768,0.156544,0.217340,0.337756,0.577107", \ - "0.115838,0.124033,0.139581,0.170371,0.231356,0.352099,0.591792", \ - "0.148679,0.156595,0.171577,0.201771,0.262063,0.382239,0.621640", \ - "0.199803,0.208870,0.225210,0.255072,0.313777,0.432436,0.670387", \ - "0.255548,0.266812,0.287161,0.324310,0.389568,0.506155,0.741741", \ - "0.315618,0.329040,0.353320,0.397884,0.475954,0.606227,0.838693"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.011924,0.013730,0.017149,0.023985,0.037516,0.063904,0.115268", \ - "0.011913,0.013716,0.017146,0.023978,0.037509,0.063907,0.115267", \ - "0.014488,0.016049,0.018870,0.024807,0.037554,0.063901,0.115272", \ - "0.023034,0.024468,0.027199,0.032710,0.043783,0.066081,0.115267", \ - "0.038689,0.039935,0.042389,0.047347,0.057450,0.078115,0.120533", \ - "0.059939,0.061162,0.063729,0.068598,0.078119,0.097374,0.137698", \ - "0.084796,0.086244,0.089192,0.094863,0.105060,0.124136,0.162734"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.067722,0.075114,0.089267,0.117176,0.172630,0.282351,0.500229", \ - "0.067714,0.075111,0.089269,0.117171,0.172463,0.282311,0.500239", \ - "0.067625,0.075058,0.089253,0.117104,0.172456,0.282304,0.500240", \ - "0.067533,0.074587,0.088418,0.116904,0.172407,0.282322,0.500237", \ - "0.082980,0.088625,0.099076,0.121999,0.172429,0.282281,0.500236", \ - "0.105235,0.112155,0.124703,0.147145,0.188051,0.283513,0.500232", \ - "0.130044,0.138278,0.153154,0.179372,0.223943,0.304676,0.500400"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.027221,0.029504,0.033735,0.041905,0.057611,0.088021,0.147599", \ - "0.031662,0.033939,0.038178,0.046352,0.062064,0.092485,0.152071", \ - "0.048792,0.051054,0.055118,0.063038,0.078613,0.108984,0.168562", \ - "0.070972,0.074458,0.080567,0.091739,0.110582,0.141506,0.200709", \ - "0.089565,0.094457,0.102817,0.118514,0.145005,0.187182,0.252020", \ - "0.102338,0.108786,0.119983,0.140411,0.175291,0.230875,0.315119", \ - "0.108078,0.116135,0.130327,0.156049,0.199926,0.269875,0.375659"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.082546,0.088885,0.100871,0.124609,0.171659,0.265366,0.452278", \ - "0.086387,0.092885,0.105068,0.129147,0.176615,0.270694,0.457853", \ - "0.103971,0.110362,0.122476,0.146525,0.194226,0.288791,0.476482", \ - "0.137778,0.144221,0.155966,0.179786,0.227195,0.321619,0.509571", \ - "0.178829,0.187054,0.201718,0.228747,0.277477,0.371579,0.559411", \ - "0.221691,0.232128,0.250706,0.284151,0.342526,0.442118,0.629698", \ - "0.266551,0.279563,0.302468,0.343219,0.412827,0.528412,0.722323"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.018428,0.020121,0.023316,0.029691,0.042414,0.067903,0.119057", \ - "0.018288,0.020003,0.023230,0.029639,0.042389,0.067905,0.119070", \ - "0.019561,0.020873,0.023556,0.029411,0.042227,0.067881,0.119068", \ - "0.031418,0.033002,0.035840,0.040819,0.049624,0.069528,0.119033", \ - "0.047191,0.049423,0.053511,0.060171,0.071301,0.089592,0.125580", \ - "0.066421,0.069437,0.074925,0.083945,0.098294,0.120704,0.156117", \ - "0.088859,0.092808,0.099825,0.111480,0.129792,0.157487,0.199097"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.040775,0.046330,0.056826,0.077896,0.119769,0.203298,0.369875", \ - "0.040798,0.046342,0.056841,0.077882,0.119769,0.203298,0.369847", \ - "0.040848,0.046357,0.056850,0.077858,0.119751,0.203277,0.369831", \ - "0.042887,0.047766,0.057469,0.077978,0.119737,0.203280,0.369827", \ - "0.055857,0.060563,0.069477,0.086070,0.122145,0.203231,0.369890", \ - "0.073491,0.078581,0.088142,0.106127,0.139698,0.208704,0.369824", \ - "0.096453,0.101861,0.112108,0.131050,0.166396,0.231832,0.374342"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.022171,0.024556,0.028968,0.037414,0.053489,0.084268,0.144106", \ - "0.026791,0.029134,0.033498,0.041892,0.057921,0.088671,0.148523", \ - "0.044099,0.046580,0.050988,0.058936,0.074559,0.105113,0.164892", \ - "0.064031,0.067834,0.074509,0.086460,0.106205,0.137791,0.197039", \ - "0.079975,0.085361,0.094445,0.111209,0.138998,0.182523,0.248405", \ - "0.089725,0.096767,0.108917,0.130680,0.167397,0.224730,0.310578", \ - "0.092076,0.100858,0.116204,0.143645,0.189731,0.262041,0.369908"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.094095,0.102177,0.117459,0.147710,0.207769,0.327321,0.566041", \ - "0.097495,0.105734,0.121300,0.151978,0.212562,0.332609,0.571666", \ - "0.114578,0.122694,0.138139,0.168783,0.229637,0.350288,0.589893", \ - "0.147157,0.155248,0.170122,0.200438,0.260939,0.381413,0.621290", \ - "0.186955,0.196605,0.213987,0.246500,0.306975,0.427030,0.666624", \ - "0.228803,0.240467,0.261536,0.299816,0.368312,0.490438,0.729659", \ - "0.273527,0.287582,0.312571,0.357525,0.436016,0.571512,0.812548"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.016981,0.018807,0.022184,0.028749,0.041560,0.066952,0.117831", \ - "0.016581,0.018451,0.021888,0.028539,0.041434,0.066892,0.117834", \ - "0.019570,0.020694,0.023043,0.028456,0.040977,0.066763,0.117801", \ - "0.032015,0.033593,0.036354,0.041215,0.049833,0.068834,0.117719", \ - "0.048261,0.050436,0.054476,0.060995,0.071838,0.089846,0.124910", \ - "0.067943,0.070939,0.076374,0.085184,0.099183,0.121197,0.156201", \ - "0.090882,0.094789,0.101769,0.113218,0.131068,0.158251,0.199376"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.048040,0.055163,0.068871,0.096115,0.150627,0.259255,0.475928", \ - "0.048065,0.055179,0.068838,0.096119,0.150576,0.259256,0.475929", \ - "0.048124,0.055215,0.068851,0.096124,0.150587,0.259263,0.475891", \ - "0.049449,0.056032,0.069180,0.096133,0.150544,0.259281,0.475897", \ - "0.060492,0.066768,0.078502,0.101415,0.151385,0.259227,0.475887", \ - "0.075503,0.082151,0.094857,0.118740,0.163909,0.261419,0.475886", \ - "0.095621,0.102551,0.115865,0.140660,0.187758,0.277431,0.477079"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.022685,0.025061,0.029461,0.037895,0.053967,0.084764,0.144667", \ - "0.027288,0.029626,0.033986,0.042372,0.058399,0.089185,0.149080", \ - "0.044635,0.047096,0.051474,0.059391,0.075032,0.105619,0.165454", \ - "0.064966,0.068741,0.075321,0.087154,0.106786,0.138308,0.197608", \ - "0.081432,0.086724,0.095695,0.112316,0.139886,0.183244,0.248956", \ - "0.091793,0.098717,0.110781,0.132336,0.168722,0.225835,0.311397", \ - "0.094935,0.103565,0.118809,0.145946,0.191624,0.263543,0.371062"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.114088,0.122237,0.137657,0.168124,0.228547,0.348372,0.587255", \ - "0.118065,0.126282,0.141864,0.172628,0.233465,0.353694,0.592899", \ - "0.134968,0.143183,0.158738,0.189624,0.250696,0.371477,0.611328", \ - "0.167320,0.175439,0.190556,0.221132,0.281912,0.402719,0.642763", \ - "0.210703,0.219767,0.236370,0.267326,0.327750,0.448188,0.688059", \ - "0.257499,0.268184,0.287753,0.324329,0.390593,0.511503,0.751036", \ - "0.308150,0.320784,0.343600,0.385746,0.461091,0.593626,0.833878"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.019633,0.021487,0.024989,0.031804,0.045113,0.071002,0.121973", \ - "0.019188,0.021099,0.024672,0.031584,0.044986,0.070955,0.121969", \ - "0.021802,0.022986,0.025576,0.031408,0.044516,0.070828,0.121956", \ - "0.036734,0.038030,0.040438,0.044917,0.053242,0.072823,0.121890", \ - "0.057313,0.058875,0.062001,0.067396,0.077121,0.094115,0.128998", \ - "0.081624,0.083658,0.087607,0.094635,0.106651,0.126826,0.160434", \ - "0.109186,0.111871,0.116881,0.125815,0.140895,0.165477,0.204578"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.057858,0.065118,0.079028,0.106694,0.161668,0.270770,0.488057", \ - "0.057857,0.065119,0.078996,0.106614,0.161673,0.270767,0.488056", \ - "0.057874,0.065126,0.078997,0.106609,0.161599,0.270764,0.488041", \ - "0.058181,0.065326,0.079076,0.106606,0.161571,0.270814,0.488048", \ - "0.067095,0.073238,0.085083,0.109573,0.161651,0.270770,0.488052", \ - "0.081394,0.088251,0.101323,0.125654,0.171636,0.271935,0.488047", \ - "0.100289,0.107533,0.121371,0.146835,0.194657,0.285807,0.488672"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.029888,0.032166,0.036399,0.044562,0.060268,0.090687,0.150270", \ - "0.034912,0.037189,0.041421,0.049587,0.065298,0.095714,0.155310", \ - "0.050167,0.052493,0.056723,0.064835,0.080557,0.111023,0.170671", \ - "0.072372,0.075379,0.080712,0.090606,0.108277,0.139669,0.199518", \ - "0.095357,0.099485,0.106583,0.119532,0.142061,0.179821,0.244010", \ - "0.115045,0.120646,0.130193,0.147484,0.176953,0.224123,0.299493", \ - "0.128997,0.136213,0.148739,0.171185,0.209235,0.268787,0.359384"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.096342,0.102642,0.114610,0.138370,0.185584,0.279481,0.466568", \ - "0.100778,0.107174,0.119213,0.143128,0.190447,0.284469,0.471652", \ - "0.119187,0.125543,0.137637,0.161564,0.209067,0.303314,0.490715", \ - "0.153365,0.159709,0.171465,0.195282,0.242708,0.336995,0.524531", \ - "0.198571,0.206160,0.220033,0.245607,0.293281,0.387420,0.574983", \ - "0.246803,0.256241,0.273277,0.304696,0.360678,0.458190,0.645661", \ - "0.297902,0.309519,0.330285,0.368026,0.434206,0.546430,0.738510"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.018363,0.020061,0.023277,0.029662,0.042402,0.067917,0.119047", \ - "0.018313,0.020018,0.023237,0.029634,0.042387,0.067897,0.119049", \ - "0.018782,0.020350,0.023384,0.029577,0.042322,0.067900,0.119049", \ - "0.024429,0.026042,0.029044,0.034845,0.045940,0.068921,0.119053", \ - "0.034626,0.036410,0.039677,0.045685,0.057009,0.078800,0.122768", \ - "0.049126,0.051339,0.055322,0.062181,0.073995,0.095847,0.138227", \ - "0.067085,0.069877,0.074817,0.083374,0.096952,0.119621,0.161600"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.048255,0.053877,0.064548,0.085783,0.128087,0.212026,0.379001", \ - "0.048257,0.053911,0.064537,0.085851,0.128043,0.211966,0.379032", \ - "0.048284,0.053894,0.064548,0.085777,0.128038,0.211967,0.378994", \ - "0.048869,0.054245,0.064692,0.085791,0.127989,0.211984,0.378972", \ - "0.060008,0.064834,0.073675,0.091235,0.129228,0.211898,0.379042", \ - "0.076768,0.082038,0.091848,0.110266,0.144133,0.215887,0.378978", \ - "0.098122,0.103865,0.114546,0.134297,0.170412,0.236641,0.382502"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.024926,0.027296,0.031689,0.040110,0.056161,0.086932,0.146775", \ - "0.030008,0.032365,0.036737,0.045131,0.061156,0.091907,0.151750", \ - "0.045278,0.047729,0.052166,0.060450,0.076376,0.107115,0.167002", \ - "0.066339,0.069566,0.075275,0.085631,0.103846,0.135759,0.195793", \ - "0.087190,0.091654,0.099458,0.113361,0.136802,0.175386,0.240238", \ - "0.103963,0.110098,0.120540,0.139228,0.170249,0.218779,0.295177", \ - "0.114318,0.122322,0.136008,0.160250,0.200527,0.262225,0.354368"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.111963,0.119994,0.135284,0.165530,0.225785,0.345540,0.584304", \ - "0.116129,0.124238,0.139621,0.170054,0.230480,0.350343,0.589282", \ - "0.134165,0.142282,0.157691,0.188217,0.248821,0.368988,0.608182", \ - "0.167071,0.175096,0.190083,0.220439,0.280959,0.401158,0.640527", \ - "0.210377,0.219434,0.236179,0.267018,0.327314,0.447386,0.686674", \ - "0.257080,0.267782,0.287343,0.323860,0.390152,0.510998,0.750152", \ - "0.307540,0.320221,0.343095,0.385332,0.460706,0.593200,0.833280"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.016806,0.018647,0.022047,0.028646,0.041491,0.066920,0.117809", \ - "0.016595,0.018449,0.021868,0.028506,0.041403,0.066871,0.117817", \ - "0.017769,0.019300,0.022284,0.028452,0.041194,0.066807,0.117812", \ - "0.024134,0.025700,0.028626,0.034317,0.045364,0.067975,0.117777", \ - "0.035144,0.036843,0.039976,0.045762,0.056774,0.078245,0.121786", \ - "0.050233,0.052362,0.056240,0.062877,0.074317,0.095587,0.137565", \ - "0.068654,0.071413,0.076264,0.084608,0.097775,0.119856,0.161169"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.057852,0.065110,0.079055,0.106595,0.161647,0.270806,0.488057", \ - "0.057865,0.065118,0.079016,0.106635,0.161641,0.270775,0.488055", \ - "0.057868,0.065121,0.079008,0.106646,0.161601,0.270773,0.488048", \ - "0.058183,0.065338,0.079057,0.106584,0.161551,0.270792,0.488050", \ - "0.066980,0.073236,0.085146,0.109665,0.161687,0.270753,0.488051", \ - "0.081160,0.088039,0.101037,0.125446,0.171707,0.271984,0.488046", \ - "0.099582,0.106919,0.120752,0.146513,0.194476,0.285901,0.488705"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.025435,0.027798,0.032179,0.040591,0.056640,0.087424,0.147332", \ - "0.030515,0.032864,0.037226,0.045611,0.061637,0.092406,0.152321", \ - "0.045819,0.048258,0.052665,0.060918,0.076851,0.107625,0.167564", \ - "0.067085,0.070269,0.075911,0.086212,0.104372,0.136255,0.196368", \ - "0.088308,0.092729,0.100390,0.114195,0.137470,0.175952,0.240788", \ - "0.105563,0.111624,0.121906,0.140409,0.171233,0.219558,0.295865", \ - "0.116560,0.124449,0.138023,0.161939,0.201906,0.263287,0.355215"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.132010,0.140142,0.155525,0.186020,0.246520,0.366542,0.605676", \ - "0.136389,0.144531,0.159977,0.190615,0.251278,0.371478,0.610660", \ - "0.154508,0.162693,0.178208,0.208918,0.269719,0.390124,0.629625", \ - "0.187206,0.195356,0.210463,0.241103,0.301887,0.422356,0.661942", \ - "0.232791,0.241440,0.257351,0.287630,0.348167,0.468518,0.708047", \ - "0.283641,0.293690,0.312237,0.347292,0.411836,0.532144,0.771540", \ - "0.339075,0.350773,0.372158,0.412220,0.485082,0.614980,0.854609"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.019427,0.021313,0.024835,0.031692,0.045048,0.070973,0.121966", \ - "0.019197,0.021096,0.024655,0.031545,0.044958,0.070934,0.121974", \ - "0.020203,0.021796,0.024954,0.031444,0.044740,0.070882,0.121960", \ - "0.027586,0.029095,0.031980,0.037696,0.048902,0.072018,0.121953", \ - "0.040797,0.042195,0.045014,0.050435,0.061193,0.082499,0.125931", \ - "0.059344,0.060887,0.063929,0.069532,0.079958,0.100462,0.141834", \ - "0.081511,0.083417,0.087013,0.093656,0.105036,0.125589,0.165871"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.067728,0.075116,0.089274,0.117169,0.172624,0.282323,0.500242", \ - "0.067718,0.075109,0.089253,0.117199,0.172640,0.282325,0.500243", \ - "0.067732,0.075117,0.089314,0.117215,0.172643,0.282327,0.500241", \ - "0.067812,0.075164,0.089283,0.117195,0.172637,0.282320,0.500235", \ - "0.073628,0.080139,0.092770,0.118656,0.172529,0.282351,0.500241", \ - "0.087977,0.094896,0.108003,0.132668,0.179992,0.282859,0.500236", \ - "0.105879,0.113292,0.127321,0.153356,0.201640,0.294745,0.500507"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.220368,0.219710,0.215922,0.222705,0.223150,0.228713,0.227722", \ - "0.191965,0.195723,0.201217,0.215373,0.223816,0.235729,0.238924", \ - "0.163766,0.167805,0.175350,0.191830,0.206509,0.228858,0.240883", \ - "0.244976,0.239700,0.226199,0.210982,0.204023,0.217998,0.238870", \ - "0.395768,0.382208,0.359811,0.328122,0.291525,0.250848,0.254624", \ - "0.633772,0.612318,0.582058,0.531890,0.459744,0.379307,0.310903", \ - "0.961237,0.938042,0.896410,0.826901,0.723673,0.591265,0.457197"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("1.928422,1.934956,1.952143,1.956438,1.977500,1.973120,1.950968", \ - "1.842062,1.859512,1.878936,1.906039,1.945646,1.953740,1.985546", \ - "1.799411,1.804079,1.813444,1.819403,1.837063,1.898481,1.945519", \ - "1.873366,1.869877,1.870213,1.846663,1.848772,1.851068,1.894019", \ - "1.982297,2.000072,2.024130,1.980949,1.928444,1.902008,1.921358", \ - "2.174502,2.176753,2.185044,2.206259,2.151161,2.081691,2.021523", \ - "2.512108,2.504885,2.490028,2.466966,2.462475,2.353262,2.193879"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.240653,0.237762,0.229105,0.228378,0.227507,0.229415,0.229742", \ - "0.217122,0.217750,0.223615,0.225805,0.230699,0.242382,0.246850", \ - "0.194929,0.197861,0.202062,0.215863,0.233703,0.248217,0.265010", \ - "0.267533,0.263607,0.252194,0.239190,0.236264,0.251472,0.266369", \ - "0.400939,0.391537,0.370778,0.345720,0.315096,0.277466,0.282247", \ - "0.612275,0.596927,0.569387,0.528435,0.465839,0.396326,0.334249", \ - "0.907891,0.890952,0.854562,0.795760,0.704795,0.588696,0.468516"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("1.904235,1.916431,1.922118,1.935968,1.943558,1.944534,1.965296", \ - "1.821722,1.836292,1.856107,1.872134,1.915512,1.929589,1.957064", \ - "1.772759,1.777937,1.789005,1.798589,1.838412,1.884975,1.895318", \ - "1.837411,1.835270,1.826668,1.831061,1.815070,1.857143,1.873011", \ - "2.000677,1.992101,1.978021,1.942988,1.911002,1.890314,1.872563", \ - "2.143709,2.154409,2.165801,2.167435,2.089884,2.041213,1.959655", \ - "2.442333,2.435193,2.431283,2.432682,2.410859,2.274174,2.157109"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.230196,0.228335,0.224335,0.222664,0.227060,0.227971,0.231416", \ - "0.212459,0.216050,0.215577,0.223361,0.233372,0.241659,0.248321", \ - "0.187246,0.191549,0.201907,0.214652,0.231383,0.250142,0.262350", \ - "0.253658,0.249593,0.241833,0.228683,0.234603,0.250181,0.266583", \ - "0.390009,0.381023,0.361785,0.341538,0.305542,0.276980,0.279716", \ - "0.612620,0.595042,0.567798,0.522354,0.459331,0.391714,0.335042", \ - "0.921083,0.898267,0.857406,0.797215,0.701978,0.585354,0.466415"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("2.499927,2.509917,2.521306,2.525414,2.560006,2.569460,2.600259", \ - "2.437081,2.447112,2.468182,2.492273,2.535825,2.555946,2.594568", \ - "2.366775,2.379218,2.391751,2.403297,2.450171,2.514206,2.533983", \ - "2.408095,2.415877,2.416624,2.414925,2.430974,2.485201,2.503334", \ - "2.556456,2.552464,2.543453,2.536969,2.516673,2.513460,2.516444", \ - "2.719929,2.732853,2.764041,2.731669,2.692017,2.629382,2.595541", \ - "2.993054,2.994322,3.004894,3.007823,2.972446,2.890469,2.789997"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.218200,0.217348,0.223028,0.220953,0.220551,0.224993,0.229616", \ - "0.194647,0.204793,0.211371,0.218421,0.230223,0.231863,0.240515", \ - "0.146123,0.152195,0.166436,0.183026,0.209543,0.224171,0.242482", \ - "0.175153,0.173224,0.172488,0.171106,0.176014,0.202016,0.229593", \ - "0.237826,0.236784,0.227651,0.220489,0.211784,0.193164,0.218895", \ - "0.376717,0.365394,0.350889,0.326613,0.300227,0.266794,0.232789", \ - "0.593150,0.578345,0.555791,0.512492,0.455780,0.389579,0.322121"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("2.462594,2.466653,2.476340,2.475276,2.479032,2.525600,2.511592", \ - "2.400389,2.407618,2.424892,2.436425,2.446188,2.497260,2.489619", \ - "2.354945,2.360249,2.372309,2.383757,2.400691,2.460077,2.503482", \ - "2.419539,2.420577,2.420850,2.430947,2.422941,2.428147,2.468536", \ - "2.549161,2.578218,2.566002,2.537917,2.507324,2.486256,2.508434", \ - "2.715334,2.724182,2.746100,2.767160,2.723318,2.669973,2.617864", \ - "3.027222,3.027985,3.032100,3.027398,3.028515,2.940052,2.839799"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.238104,0.233911,0.230598,0.224264,0.222080,0.226103,0.231545", \ - "0.223581,0.224004,0.226892,0.230695,0.237873,0.238799,0.248991", \ - "0.174600,0.180443,0.193194,0.208418,0.229718,0.243218,0.262354", \ - "0.197331,0.196996,0.199507,0.200987,0.204318,0.228751,0.256013", \ - "0.252857,0.250241,0.248214,0.242536,0.238520,0.225049,0.248049", \ - "0.375087,0.365852,0.353242,0.337737,0.317620,0.291544,0.262172", \ - "0.572302,0.559955,0.536546,0.504099,0.457598,0.403141,0.345051"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("2.452136,2.456555,2.468802,2.477638,2.478960,2.516484,2.513254", \ - "2.390392,2.403014,2.413036,2.427726,2.450359,2.462382,2.495512", \ - "2.342794,2.353873,2.361026,2.384562,2.414034,2.435268,2.476733", \ - "2.398534,2.400707,2.403545,2.408780,2.411271,2.424255,2.461603", \ - "2.548249,2.544802,2.534787,2.526596,2.505467,2.496571,2.482734", \ - "2.707576,2.726033,2.755460,2.734437,2.686993,2.620051,2.578082", \ - "2.975392,2.978829,2.991947,3.004531,2.970186,2.886937,2.779834"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.232524,0.230577,0.224345,0.224752,0.221935,0.228340,0.229387", \ - "0.214948,0.219174,0.218944,0.228691,0.230810,0.242772,0.250267", \ - "0.167896,0.179404,0.190121,0.208740,0.230165,0.245296,0.263551", \ - "0.184307,0.185886,0.188929,0.188149,0.200426,0.230940,0.253256", \ - "0.246090,0.242520,0.238940,0.239456,0.232880,0.223038,0.249418", \ - "0.373631,0.364323,0.350154,0.330713,0.311789,0.288405,0.262522", \ - "0.578562,0.565804,0.542094,0.505843,0.454041,0.402139,0.343303"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("3.039263,3.050423,3.059231,3.080584,3.095057,3.110955,3.150766", \ - "2.990886,2.998692,3.012481,3.036712,3.065989,3.088790,3.133256", \ - "2.940712,2.948243,2.964954,2.982639,3.024186,3.063065,3.115090", \ - "2.980433,2.985122,2.984320,3.003528,3.027873,3.051088,3.100039", \ - "3.112005,3.111824,3.108880,3.109001,3.085442,3.121422,3.132783", \ - "3.299569,3.317106,3.326408,3.299037,3.262953,3.240963,3.213957", \ - "3.545078,3.552532,3.567014,3.599630,3.564453,3.471524,3.413605"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.820102,0.838232,0.852656,0.873322,0.922225,0.960305,1.001721", \ - "0.801229,0.810323,0.833236,0.863751,0.899685,0.944330,0.985171", \ - "0.769878,0.777457,0.800884,0.826400,0.870702,0.918584,0.963639", \ - "0.828593,0.826197,0.829048,0.831500,0.864202,0.910963,0.957291", \ - "0.971671,0.967361,0.959544,0.953800,0.937812,0.938594,0.978549", \ - "1.176872,1.168184,1.161191,1.139822,1.104336,1.061696,1.033362", \ - "1.469582,1.460581,1.441420,1.413464,1.354723,1.270432,1.173369"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("3.102140,3.108376,3.106228,3.112778,3.123678,3.118322,3.140279", \ - "3.044705,3.057691,3.061808,3.073849,3.094236,3.138599,3.138039", \ - "2.975413,2.983096,2.995468,3.008269,3.050085,3.066627,3.107550", \ - "2.951537,2.956906,2.955642,2.971224,2.973832,3.027287,3.032573", \ - "2.966645,2.971769,2.967194,2.952559,2.980769,2.994243,3.028305", \ - "2.933755,2.947307,2.979027,3.007179,3.020818,3.006974,3.036216", \ - "3.002342,3.004092,3.018976,3.055633,3.119062,3.083929,3.059500"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.675591,0.689771,0.712818,0.750780,0.816790,0.870687,0.916224", \ - "0.645070,0.669661,0.696129,0.727713,0.789409,0.846843,0.893505", \ - "0.623128,0.634305,0.660995,0.708219,0.752692,0.810104,0.866873", \ - "0.715978,0.716974,0.710437,0.717049,0.755658,0.800343,0.852710", \ - "0.861950,0.859393,0.853402,0.843762,0.833022,0.835573,0.870415", \ - "1.073277,1.064321,1.052589,1.036779,1.002709,0.955777,0.924452", \ - "1.365285,1.352771,1.338216,1.303922,1.241558,1.159863,1.061912"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("2.835585,2.845572,2.851173,2.863662,2.850863,2.878452,2.865917", \ - "2.779479,2.788245,2.807416,2.829225,2.851041,2.860439,2.853948", \ - "2.708653,2.720005,2.730305,2.743694,2.774997,2.795736,2.833031", \ - "2.688564,2.692681,2.692258,2.708184,2.714051,2.763506,2.774748", \ - "2.700368,2.703798,2.693485,2.700423,2.710287,2.721226,2.758958", \ - "2.669713,2.689693,2.733002,2.750624,2.725435,2.751496,2.745762", \ - "2.718187,2.730451,2.747744,2.790326,2.817015,2.798050,2.787446"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.724323,0.734710,0.753928,0.788978,0.831444,0.873790,0.920461", \ - "0.702484,0.712776,0.729220,0.760223,0.806324,0.854904,0.897012", \ - "0.665107,0.674502,0.694143,0.719934,0.768068,0.820486,0.867446", \ - "0.726606,0.728116,0.719138,0.728080,0.761704,0.808295,0.858050", \ - "0.877522,0.873643,0.862641,0.850902,0.836324,0.837787,0.869185", \ - "1.089232,1.081142,1.065311,1.043030,1.004458,0.955883,0.929247", \ - "1.384353,1.371370,1.350313,1.305902,1.246265,1.161365,1.062365"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("3.423080,3.434001,3.444239,3.447409,3.464301,3.503049,3.501094", \ - "3.384352,3.392562,3.399774,3.434084,3.439961,3.486292,3.489483", \ - "3.310533,3.318244,3.331871,3.342285,3.397221,3.423828,3.471361", \ - "3.278455,3.289839,3.287085,3.293270,3.334402,3.393474,3.421839", \ - "3.283729,3.287927,3.289825,3.304035,3.327621,3.349398,3.398351", \ - "3.281389,3.312400,3.346552,3.349085,3.341774,3.346470,3.387888", \ - "3.311921,3.326018,3.355604,3.403583,3.427981,3.407235,3.424797"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.824368,0.833335,0.854154,0.884238,0.911702,0.961286,1.004871", \ - "0.801344,0.819405,0.836227,0.866191,0.893234,0.939461,0.985680", \ - "0.767066,0.781175,0.803834,0.825427,0.867451,0.920044,0.965192", \ - "0.794409,0.793048,0.794681,0.808358,0.853381,0.900317,0.951377", \ - "0.862910,0.870264,0.870863,0.876698,0.883309,0.895817,0.945354", \ - "0.983683,0.984153,0.975058,0.973679,0.972667,0.966391,0.962979", \ - "1.162340,1.159694,1.152538,1.137444,1.119225,1.090162,1.051652"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("3.630883,3.635750,3.640425,3.647206,3.657022,3.658708,3.688961", \ - "3.596289,3.603035,3.602977,3.615994,3.625342,3.670294,3.662493", \ - "3.547152,3.553724,3.560155,3.586891,3.605282,3.616257,3.654978", \ - "3.534523,3.540360,3.534598,3.552622,3.545171,3.597797,3.640444", \ - "3.543352,3.549121,3.551293,3.544739,3.571600,3.566619,3.608177", \ - "3.522948,3.549187,3.576480,3.596886,3.600319,3.593578,3.624766", \ - "3.563657,3.573439,3.599304,3.635921,3.692828,3.666767,3.675391"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.670137,0.694845,0.717270,0.750156,0.812353,0.863881,0.918233", \ - "0.644628,0.669507,0.696341,0.729051,0.787908,0.841202,0.897546", \ - "0.619881,0.633103,0.661797,0.703233,0.754593,0.805002,0.863995", \ - "0.668848,0.673099,0.679414,0.691770,0.731814,0.793524,0.847395", \ - "0.753247,0.760016,0.762402,0.768248,0.778423,0.784313,0.841596", \ - "0.876341,0.879926,0.874776,0.874394,0.869094,0.865190,0.859398", \ - "1.063686,1.059649,1.047502,1.033586,1.017250,0.985986,0.949467"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("3.371346,3.377943,3.381200,3.391715,3.411348,3.417798,3.446066", \ - "3.334759,3.340252,3.353518,3.368355,3.382389,3.391408,3.422253", \ - "3.291419,3.294968,3.307848,3.320535,3.330755,3.376472,3.380592", \ - "3.273023,3.277726,3.275116,3.280169,3.316345,3.333108,3.372664", \ - "3.278638,3.283100,3.291672,3.287888,3.289046,3.334474,3.372608", \ - "3.271437,3.296811,3.332906,3.329822,3.335054,3.337580,3.368318", \ - "3.291029,3.306363,3.338715,3.393327,3.420294,3.400897,3.414007"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.728533,0.738684,0.759712,0.775663,0.829819,0.874094,0.923276", \ - "0.703214,0.713380,0.729675,0.758065,0.801873,0.851564,0.899935", \ - "0.665583,0.675340,0.693531,0.716952,0.772172,0.818440,0.869247", \ - "0.688382,0.691873,0.692599,0.707062,0.745180,0.798366,0.852813", \ - "0.770085,0.771690,0.771186,0.778969,0.782566,0.794488,0.847001", \ - "0.896028,0.892716,0.884576,0.878878,0.876663,0.866652,0.864965", \ - "1.081491,1.074411,1.065138,1.041039,1.021582,0.987975,0.948492"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("3.954938,3.965890,3.974702,3.989502,3.996463,4.042945,4.083421", \ - "3.924962,3.931579,3.942711,3.970073,3.999617,4.017766,4.059702", \ - "3.881579,3.893812,3.911970,3.931939,3.950046,4.004023,4.051593", \ - "3.862737,3.874595,3.875185,3.885006,3.935548,3.960733,4.011250", \ - "3.867384,3.873047,3.880374,3.893928,3.907496,3.962144,4.011034", \ - "3.891231,3.915528,3.925450,3.942872,3.951350,3.965604,4.007551", \ - "3.893536,3.913967,3.947513,4.016908,4.031991,4.024959,4.051902"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI22_X2 - Cell Description : Combinational cell (AOI22_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI22_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 38.331044; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 12.706440; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 41.192855; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 21.788249; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 29.052149; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 41.192950; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 69.665875; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 50.271246; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 38.852644; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 21.788251; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 50.271248; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 30.871202; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 38.849576; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 34.517775; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 44.649810; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 44.645915; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 42.980517; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.063178; - fall_capacitance : 2.682404; - rise_capacitance : 3.063178; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.350988; - fall_capacitance : 2.888687; - rise_capacitance : 3.350988; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.930953; - fall_capacitance : 2.808192; - rise_capacitance : 2.930953; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.347029; - fall_capacitance : 3.106814; - rise_capacitance : 3.347029; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 49.060080; - function : "!((A1 & A2) | (B1 & B2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.017239,0.020075,0.023775,0.031131,0.045789,0.075035,0.133489", \ - "0.021662,0.024563,0.028324,0.035752,0.050475,0.079782,0.138267", \ - "0.035100,0.039295,0.044178,0.052556,0.067248,0.096524,0.155011", \ - "0.046218,0.053061,0.061014,0.074516,0.096134,0.129235,0.187293", \ - "0.051881,0.061646,0.072995,0.092431,0.123328,0.170051,0.238601", \ - "0.050888,0.063747,0.078605,0.104345,0.145469,0.207452,0.297359", \ - "0.042398,0.058393,0.077010,0.109136,0.160989,0.239399,0.352781"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.044272,0.052825,0.063842,0.085567,0.128560,0.214130,0.384793", \ - "0.046637,0.055335,0.066597,0.088731,0.132232,0.218265,0.389254", \ - "0.062965,0.071186,0.082041,0.103804,0.147237,0.233567,0.405083", \ - "0.093411,0.104023,0.116586,0.138273,0.180459,0.265815,0.436939", \ - "0.126889,0.141017,0.157823,0.187168,0.235673,0.319447,0.488916", \ - "0.163838,0.181443,0.202403,0.239371,0.300646,0.397450,0.564575", \ - "0.204966,0.225917,0.250961,0.295215,0.369274,0.486825,0.667025"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.009867,0.012287,0.015478,0.021860,0.034615,0.060132,0.111154", \ - "0.009855,0.012283,0.015476,0.021861,0.034613,0.060130,0.111154", \ - "0.015690,0.017425,0.019594,0.023838,0.034768,0.060133,0.111151", \ - "0.028126,0.030543,0.033405,0.038460,0.047213,0.064347,0.111153", \ - "0.044543,0.047957,0.051996,0.058678,0.069744,0.087717,0.120919", \ - "0.064571,0.069130,0.074639,0.083425,0.097541,0.119536,0.154327", \ - "0.088281,0.094132,0.101060,0.112432,0.130136,0.157073,0.197873"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.031844,0.039424,0.049321,0.069141,0.108699,0.187805,0.345810", \ - "0.031759,0.039359,0.049305,0.069141,0.108705,0.187800,0.345799", \ - "0.031855,0.038905,0.049096,0.069093,0.108696,0.187762,0.345798", \ - "0.045792,0.050978,0.057005,0.072037,0.108561,0.187775,0.345823", \ - "0.063735,0.070446,0.078610,0.093004,0.119737,0.188129,0.345801", \ - "0.084280,0.092727,0.103001,0.120656,0.150531,0.204781,0.345707", \ - "0.107649,0.117715,0.130015,0.151370,0.186908,0.244519,0.360034"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.017242,0.020076,0.023775,0.031129,0.045779,0.075021,0.133443", \ - "0.021720,0.024619,0.028373,0.035798,0.050517,0.079814,0.138277", \ - "0.035362,0.039538,0.044391,0.052734,0.067413,0.096679,0.155142", \ - "0.046618,0.053478,0.061385,0.074859,0.096423,0.129446,0.187490", \ - "0.051956,0.061799,0.073194,0.092703,0.123630,0.170321,0.238800", \ - "0.049986,0.063061,0.078124,0.104127,0.145504,0.207622,0.297538", \ - "0.039741,0.056148,0.075177,0.107844,0.160311,0.239203,0.352819"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.057570,0.069540,0.084978,0.115400,0.175562,0.295206,0.533754", \ - "0.058642,0.070847,0.086648,0.117658,0.178561,0.298874,0.537890", \ - "0.073132,0.084656,0.099914,0.130516,0.191434,0.312275,0.552065", \ - "0.106400,0.118949,0.133666,0.162560,0.221993,0.341753,0.581159", \ - "0.144400,0.160876,0.180530,0.215369,0.274469,0.391911,0.629460", \ - "0.186421,0.206747,0.231084,0.274285,0.346832,0.466033,0.700576", \ - "0.233336,0.257328,0.286163,0.337481,0.424292,0.564073,0.797623"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.009865,0.012288,0.015479,0.021855,0.034619,0.060130,0.111147", \ - "0.009855,0.012283,0.015472,0.021859,0.034613,0.060129,0.111151", \ - "0.015561,0.017308,0.019492,0.023771,0.034749,0.060131,0.111147", \ - "0.027872,0.030301,0.033179,0.038265,0.047052,0.064263,0.111144", \ - "0.044373,0.047784,0.051809,0.058482,0.069551,0.087565,0.120835", \ - "0.064785,0.069326,0.074777,0.083477,0.097493,0.119405,0.154200", \ - "0.089097,0.094933,0.101777,0.113030,0.130493,0.157172,0.197831"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.045160,0.055713,0.069421,0.096745,0.151145,0.259682,0.476412", \ - "0.044882,0.055578,0.069394,0.096748,0.151140,0.259692,0.476411", \ - "0.043331,0.054677,0.068950,0.096640,0.151165,0.259673,0.476403", \ - "0.053844,0.060729,0.071522,0.096144,0.151023,0.259711,0.476414", \ - "0.072672,0.081240,0.091828,0.110566,0.154128,0.259566,0.476418", \ - "0.093998,0.104313,0.116985,0.139287,0.177887,0.264427,0.476401", \ - "0.118005,0.130089,0.144934,0.171169,0.215753,0.292288,0.477870"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.017636,0.020472,0.024173,0.031535,0.046203,0.075476,0.133977", \ - "0.022120,0.025018,0.028775,0.036206,0.050943,0.080272,0.138809", \ - "0.035980,0.040093,0.044888,0.053161,0.067836,0.097141,0.155675", \ - "0.047738,0.054440,0.062260,0.075587,0.097004,0.129908,0.188026", \ - "0.053740,0.063381,0.074587,0.093822,0.124535,0.171029,0.239358", \ - "0.052589,0.065396,0.080203,0.105824,0.146846,0.208667,0.298343", \ - "0.043372,0.059409,0.078135,0.110316,0.162253,0.240692,0.353963"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.077845,0.089778,0.105249,0.135794,0.196235,0.316129,0.554853", \ - "0.079635,0.091786,0.107488,0.138443,0.199408,0.319856,0.559004", \ - "0.092988,0.104900,0.120448,0.151285,0.212385,0.333375,0.573251", \ - "0.127189,0.137959,0.152673,0.182570,0.242601,0.362741,0.602335", \ - "0.171987,0.186541,0.204197,0.236363,0.294461,0.412580,0.650506", \ - "0.220633,0.238691,0.260695,0.300437,0.368945,0.486261,0.721392", \ - "0.273826,0.295240,0.321424,0.368889,0.450879,0.585530,0.818169"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.011242,0.013856,0.017284,0.024104,0.037615,0.063971,0.115252", \ - "0.011239,0.013853,0.017279,0.024104,0.037616,0.063971,0.115253", \ - "0.017452,0.019162,0.021236,0.025942,0.037734,0.063969,0.115254", \ - "0.033036,0.034909,0.037328,0.041926,0.050395,0.068038,0.115251", \ - "0.055297,0.057332,0.060133,0.065391,0.075008,0.091929,0.124850", \ - "0.081484,0.084005,0.087457,0.093851,0.105376,0.125227,0.158516", \ - "0.111746,0.114856,0.119109,0.126983,0.141032,0.164729,0.203171"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.055240,0.065769,0.079612,0.107185,0.162028,0.271087,0.488316", \ - "0.055190,0.065758,0.079595,0.107184,0.161992,0.271062,0.488312", \ - "0.054681,0.065465,0.079461,0.107138,0.161993,0.271065,0.488310", \ - "0.057506,0.066649,0.079297,0.106552,0.161929,0.271044,0.488310", \ - "0.076355,0.084885,0.095394,0.115557,0.162849,0.270973,0.488325", \ - "0.098008,0.108291,0.120830,0.143199,0.182503,0.273689,0.488314", \ - "0.122352,0.134495,0.149337,0.175411,0.219942,0.298240,0.488931"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.019941,0.022764,0.026450,0.033795,0.048438,0.077682,0.136136", \ - "0.024964,0.027848,0.031590,0.038997,0.053708,0.083002,0.141483", \ - "0.038229,0.041779,0.046124,0.054168,0.069061,0.098499,0.157089", \ - "0.053251,0.058674,0.064995,0.076040,0.094834,0.127009,0.185942", \ - "0.064604,0.072894,0.082412,0.098427,0.124049,0.164285,0.230042", \ - "0.069694,0.081359,0.094582,0.117126,0.152256,0.204373,0.283039", \ - "0.067783,0.082964,0.100221,0.129747,0.175967,0.243411,0.339701"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.057156,0.065549,0.076478,0.098167,0.141254,0.226974,0.397715", \ - "0.060041,0.068571,0.079651,0.101558,0.144880,0.230845,0.401750", \ - "0.076099,0.084511,0.095486,0.117315,0.160657,0.246803,0.417966", \ - "0.110195,0.119445,0.130594,0.151661,0.194165,0.279548,0.450415", \ - "0.149802,0.162214,0.177147,0.204116,0.249618,0.333367,0.502850", \ - "0.192824,0.208397,0.227263,0.261109,0.318702,0.411597,0.578699", \ - "0.239821,0.258482,0.281153,0.321931,0.391595,0.504416,0.681190"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.009858,0.012283,0.015474,0.021852,0.034618,0.060131,0.111162", \ - "0.009863,0.012284,0.015474,0.021858,0.034618,0.060133,0.111159", \ - "0.012320,0.014468,0.017234,0.022730,0.034676,0.060134,0.111157", \ - "0.019709,0.021840,0.024582,0.029971,0.040698,0.062369,0.111158", \ - "0.032059,0.034559,0.037548,0.043029,0.053347,0.074069,0.116479", \ - "0.048444,0.051581,0.055336,0.061652,0.072512,0.092614,0.133457", \ - "0.068094,0.072088,0.076859,0.084746,0.097390,0.118344,0.157981"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.038816,0.046445,0.056472,0.076493,0.116396,0.195878,0.354255", \ - "0.038789,0.046424,0.056464,0.076472,0.116374,0.195888,0.354266", \ - "0.038526,0.046285,0.056396,0.076472,0.116363,0.195904,0.354259", \ - "0.047302,0.052553,0.060301,0.077470,0.116277,0.195789,0.354284", \ - "0.065502,0.072209,0.080439,0.094943,0.124033,0.195715,0.354292", \ - "0.085742,0.094345,0.104625,0.122578,0.152621,0.209441,0.354185", \ - "0.107883,0.118462,0.131106,0.152999,0.188917,0.246825,0.366204"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.019945,0.022764,0.026450,0.033791,0.048432,0.077663,0.136094", \ - "0.025020,0.027901,0.031639,0.039044,0.053752,0.083037,0.141497", \ - "0.038442,0.041987,0.046325,0.054353,0.069228,0.098656,0.157226", \ - "0.053599,0.059034,0.065337,0.076367,0.095121,0.127250,0.186149", \ - "0.064968,0.073265,0.082801,0.098815,0.124415,0.164575,0.230279", \ - "0.069718,0.081455,0.094758,0.117367,0.152567,0.204698,0.283308", \ - "0.066745,0.082212,0.099658,0.129468,0.175976,0.243598,0.339929"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.076057,0.087785,0.103075,0.133383,0.193592,0.313353,0.552013", \ - "0.077892,0.089845,0.105343,0.135971,0.196539,0.316632,0.555530", \ - "0.092107,0.103891,0.119301,0.149878,0.210530,0.330971,0.570272", \ - "0.126617,0.137490,0.152142,0.181895,0.241625,0.361273,0.600235", \ - "0.171565,0.186130,0.203763,0.235889,0.293952,0.411771,0.649156", \ - "0.220399,0.238482,0.260492,0.300178,0.368637,0.485836,0.720593", \ - "0.273822,0.295303,0.321517,0.368996,0.450867,0.585361,0.817763"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.009859,0.012284,0.015476,0.021852,0.034617,0.060130,0.111158", \ - "0.009864,0.012282,0.015475,0.021857,0.034616,0.060131,0.111145", \ - "0.012264,0.014426,0.017191,0.022701,0.034668,0.060130,0.111152", \ - "0.019549,0.021683,0.024441,0.029850,0.040621,0.062330,0.111153", \ - "0.031837,0.034326,0.037327,0.042826,0.053179,0.073973,0.116433", \ - "0.048288,0.051427,0.055157,0.061469,0.072314,0.092461,0.133365", \ - "0.068227,0.072219,0.076947,0.084782,0.097325,0.118216,0.157867"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.055236,0.065774,0.079619,0.107201,0.162045,0.271127,0.488434", \ - "0.055178,0.065756,0.079620,0.107191,0.162042,0.271119,0.488428", \ - "0.054615,0.065466,0.079464,0.107177,0.162005,0.271141,0.488427", \ - "0.057738,0.066845,0.079445,0.106543,0.161957,0.271098,0.488420", \ - "0.076210,0.084761,0.095292,0.115765,0.162986,0.271035,0.488435", \ - "0.097364,0.107805,0.120419,0.143005,0.182598,0.273819,0.488425", \ - "0.120526,0.133044,0.148152,0.174721,0.219591,0.298351,0.489089"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.020338,0.023159,0.026847,0.034197,0.048854,0.078124,0.136626", \ - "0.025420,0.028302,0.032042,0.039454,0.054175,0.083497,0.142031", \ - "0.038950,0.042465,0.046776,0.054769,0.069653,0.099120,0.157762", \ - "0.054428,0.059768,0.065987,0.076932,0.095632,0.127726,0.186685", \ - "0.066298,0.074463,0.083846,0.099695,0.125093,0.165183,0.230849", \ - "0.071812,0.083332,0.096365,0.118675,0.153571,0.205479,0.283990", \ - "0.069704,0.084823,0.102032,0.131380,0.177447,0.244688,0.340782"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.096146,0.107980,0.123360,0.153835,0.214256,0.334275,0.573123", \ - "0.098415,0.110370,0.125896,0.156588,0.217276,0.337589,0.576641", \ - "0.112330,0.124253,0.139799,0.170544,0.231377,0.351982,0.591405", \ - "0.145356,0.156813,0.171862,0.202092,0.262204,0.382204,0.621346", \ - "0.196060,0.209259,0.225494,0.255321,0.314039,0.432421,0.670172", \ - "0.251001,0.267435,0.287673,0.324714,0.389750,0.506115,0.741413", \ - "0.310315,0.329886,0.354048,0.398432,0.476245,0.606193,0.838314"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.011241,0.013856,0.017286,0.024102,0.037618,0.063967,0.115252", \ - "0.011237,0.013859,0.017285,0.024104,0.037614,0.063969,0.115253", \ - "0.013895,0.016155,0.018976,0.024915,0.037656,0.063970,0.115252", \ - "0.022472,0.024530,0.027271,0.032782,0.043856,0.066140,0.115252", \ - "0.038236,0.039986,0.042395,0.047368,0.057480,0.078134,0.120512", \ - "0.059481,0.061246,0.063759,0.068575,0.078097,0.097365,0.137638", \ - "0.084263,0.086344,0.089288,0.094819,0.105007,0.124067,0.162600"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.065129,0.075816,0.089857,0.117684,0.172890,0.282518,0.500365", \ - "0.065119,0.075809,0.089833,0.117675,0.172893,0.282519,0.500358", \ - "0.064969,0.075718,0.089783,0.117668,0.172875,0.282539,0.500358", \ - "0.064978,0.075136,0.088925,0.117468,0.172854,0.282500,0.500369", \ - "0.080697,0.088955,0.099461,0.122309,0.172842,0.282425,0.500369", \ - "0.102575,0.112701,0.125021,0.147335,0.188376,0.283692,0.500344", \ - "0.126865,0.138939,0.153612,0.179655,0.224138,0.304906,0.500490"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.026583,0.029925,0.034175,0.042366,0.058104,0.088527,0.148077", \ - "0.031031,0.034376,0.038628,0.046822,0.062562,0.092991,0.152543", \ - "0.048222,0.051569,0.055613,0.063539,0.079130,0.109513,0.169033", \ - "0.070222,0.075332,0.081505,0.092539,0.111245,0.142053,0.201221", \ - "0.088644,0.095772,0.104233,0.119786,0.146013,0.187954,0.252528", \ - "0.101233,0.110591,0.121718,0.142050,0.176720,0.231926,0.315840", \ - "0.106782,0.118478,0.132529,0.157990,0.201561,0.271159,0.376561"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.081751,0.090977,0.102961,0.126674,0.173699,0.267336,0.454160", \ - "0.085524,0.094959,0.107161,0.131214,0.178657,0.272665,0.459763", \ - "0.103187,0.112448,0.124561,0.148604,0.196273,0.290751,0.478379", \ - "0.137126,0.146462,0.158202,0.181992,0.229365,0.323732,0.511602", \ - "0.177974,0.189898,0.204306,0.231195,0.279733,0.373782,0.561465", \ - "0.220333,0.235484,0.253824,0.286905,0.344917,0.444211,0.631679", \ - "0.264351,0.283282,0.305950,0.346386,0.415523,0.530736,0.724391"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.018099,0.020543,0.023741,0.030112,0.042815,0.068274,0.119326", \ - "0.017931,0.020421,0.023657,0.030060,0.042794,0.068271,0.119323", \ - "0.019250,0.021151,0.023856,0.029753,0.042617,0.068249,0.119333", \ - "0.030956,0.033237,0.035989,0.040971,0.049754,0.069786,0.119303", \ - "0.046484,0.049718,0.053646,0.060265,0.071369,0.089640,0.125704", \ - "0.065428,0.069876,0.075204,0.084038,0.098299,0.120693,0.156101", \ - "0.087525,0.093280,0.100196,0.111721,0.129886,0.157492,0.199052"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.038960,0.046949,0.057475,0.078494,0.120335,0.203744,0.370153", \ - "0.038991,0.046967,0.057483,0.078506,0.120330,0.203752,0.370167", \ - "0.039051,0.046993,0.057493,0.078498,0.120332,0.203746,0.370140", \ - "0.041142,0.048233,0.058052,0.078596,0.120330,0.203741,0.370165", \ - "0.053856,0.060688,0.069589,0.086270,0.122580,0.203716,0.370148", \ - "0.071253,0.078646,0.088081,0.106103,0.139653,0.209024,0.370131", \ - "0.094040,0.101948,0.112005,0.130943,0.166302,0.231816,0.374577"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.021323,0.024823,0.029251,0.037724,0.053838,0.084658,0.144492", \ - "0.025981,0.029422,0.033800,0.042218,0.058286,0.089077,0.148924", \ - "0.043342,0.047006,0.051390,0.059311,0.074950,0.105536,0.165287", \ - "0.063020,0.068620,0.075352,0.087144,0.106771,0.138249,0.197461", \ - "0.078718,0.086558,0.095762,0.112378,0.139925,0.183206,0.248868", \ - "0.088214,0.098466,0.110503,0.132297,0.168695,0.225710,0.311234", \ - "0.090312,0.103083,0.118254,0.145450,0.191236,0.263226,0.370735"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.092798,0.104588,0.119843,0.150071,0.210086,0.329604,0.568096", \ - "0.096132,0.108149,0.123690,0.154359,0.214895,0.334884,0.573706", \ - "0.113316,0.125143,0.140545,0.171186,0.231988,0.352589,0.592095", \ - "0.146106,0.157876,0.172814,0.203125,0.263539,0.383976,0.623766", \ - "0.185879,0.199911,0.217019,0.249336,0.309736,0.429725,0.669249", \ - "0.227338,0.244279,0.265076,0.302920,0.371135,0.493086,0.732245", \ - "0.271274,0.291736,0.316480,0.361101,0.439232,0.574328,0.815195"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.016472,0.019148,0.022544,0.029132,0.041957,0.067321,0.118109", \ - "0.016047,0.018780,0.022247,0.028915,0.041833,0.067264,0.118128", \ - "0.019257,0.020894,0.023281,0.028773,0.041333,0.067127,0.118074", \ - "0.031602,0.033844,0.036526,0.041383,0.049987,0.069094,0.117999", \ - "0.047619,0.050815,0.054638,0.061092,0.071950,0.089901,0.125041", \ - "0.067061,0.071392,0.076694,0.085275,0.099214,0.121205,0.156200", \ - "0.089636,0.095348,0.102237,0.113500,0.131246,0.158297,0.199346"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.045639,0.055939,0.069518,0.096760,0.151137,0.259685,0.476386", \ - "0.045672,0.055943,0.069544,0.096740,0.151143,0.259678,0.476408", \ - "0.045754,0.055999,0.069532,0.096757,0.151140,0.259693,0.476402", \ - "0.047120,0.056694,0.069862,0.096805,0.151116,0.259686,0.476416", \ - "0.058041,0.067124,0.078708,0.101798,0.151916,0.259649,0.476412", \ - "0.072719,0.082410,0.094844,0.118786,0.164090,0.261814,0.476410", \ - "0.092595,0.102722,0.115785,0.140511,0.187693,0.277586,0.477482"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.021844,0.025330,0.029746,0.038205,0.054316,0.085150,0.145055", \ - "0.026491,0.029920,0.034289,0.042696,0.058765,0.089574,0.149480", \ - "0.043913,0.047535,0.051869,0.059768,0.075420,0.106028,0.165851", \ - "0.064000,0.069496,0.076140,0.087835,0.107344,0.138738,0.198025", \ - "0.080217,0.087909,0.096992,0.113436,0.140802,0.183910,0.249444", \ - "0.090320,0.100401,0.112334,0.133831,0.169982,0.226753,0.312042", \ - "0.093229,0.105745,0.120828,0.147730,0.193095,0.264692,0.371870"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.112816,0.124646,0.140044,0.170429,0.230776,0.350566,0.589300", \ - "0.116738,0.128709,0.144290,0.174987,0.235714,0.355899,0.594930", \ - "0.133665,0.145608,0.161176,0.191956,0.252992,0.373697,0.613362", \ - "0.166322,0.178085,0.193181,0.223717,0.284470,0.405103,0.645058", \ - "0.209746,0.222876,0.239285,0.270085,0.330482,0.450834,0.690531", \ - "0.256266,0.271782,0.291276,0.327325,0.393308,0.514086,0.753503", \ - "0.306314,0.324673,0.347350,0.389263,0.464275,0.596424,0.836432"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.019158,0.021899,0.025402,0.032236,0.045554,0.071411,0.122296", \ - "0.018680,0.021492,0.025080,0.032009,0.045426,0.071360,0.122297", \ - "0.021541,0.023241,0.025855,0.031766,0.044918,0.071221,0.122271", \ - "0.036440,0.038282,0.040609,0.045090,0.053420,0.073124,0.122191", \ - "0.056941,0.059185,0.062172,0.067525,0.077235,0.094203,0.129164", \ - "0.081063,0.084015,0.087965,0.094785,0.106710,0.126878,0.160458", \ - "0.108391,0.112297,0.117267,0.126118,0.141097,0.165558,0.204576"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.055340,0.065829,0.079693,0.107228,0.162121,0.271133,0.488413", \ - "0.055352,0.065837,0.079677,0.107238,0.162120,0.271137,0.488419", \ - "0.055368,0.065832,0.079677,0.107252,0.162151,0.271119,0.488413", \ - "0.055691,0.066028,0.079724,0.107221,0.162070,0.271116,0.488415", \ - "0.064614,0.073514,0.085461,0.110043,0.162215,0.271145,0.488429", \ - "0.078586,0.088584,0.101387,0.125695,0.171825,0.272257,0.488418", \ - "0.097250,0.107819,0.121386,0.146808,0.194653,0.286031,0.488995"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.029219,0.032562,0.036808,0.044995,0.060732,0.091160,0.150715", \ - "0.034245,0.037586,0.041835,0.050019,0.065762,0.096192,0.155755", \ - "0.049520,0.052917,0.057120,0.065254,0.081007,0.111501,0.171114", \ - "0.071656,0.075993,0.081321,0.091170,0.108774,0.140144,0.199963", \ - "0.094473,0.100469,0.107640,0.120511,0.142795,0.180394,0.244460", \ - "0.113962,0.122066,0.131594,0.148960,0.178095,0.224905,0.300010", \ - "0.127702,0.138212,0.150655,0.173008,0.210796,0.269947,0.360100"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.095505,0.104685,0.116636,0.140363,0.187504,0.281329,0.468184", \ - "0.099947,0.109194,0.121225,0.145081,0.192347,0.286300,0.473292", \ - "0.118314,0.127588,0.139657,0.163569,0.210985,0.305138,0.492346", \ - "0.152706,0.161881,0.173631,0.197426,0.244808,0.338987,0.526301", \ - "0.197759,0.208790,0.222448,0.247872,0.295420,0.389453,0.576809", \ - "0.245619,0.259342,0.276249,0.307385,0.362935,0.460143,0.647434", \ - "0.296036,0.312926,0.333535,0.371049,0.436793,0.548650,0.740357"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.018024,0.020481,0.023695,0.030081,0.042799,0.068272,0.119320", \ - "0.017970,0.020439,0.023659,0.030057,0.042794,0.068259,0.119320", \ - "0.018434,0.020712,0.023752,0.029967,0.042723,0.068260,0.119323", \ - "0.024009,0.026319,0.029326,0.035129,0.046227,0.069229,0.119327", \ - "0.034076,0.036647,0.039842,0.045837,0.057201,0.079004,0.122977", \ - "0.048379,0.051576,0.055506,0.062228,0.074068,0.095901,0.138334", \ - "0.066041,0.070134,0.075056,0.083430,0.096935,0.119595,0.161589"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.046351,0.054487,0.065153,0.086378,0.128539,0.212386,0.379090", \ - "0.046373,0.054496,0.065156,0.086387,0.128515,0.212367,0.379112", \ - "0.046370,0.054490,0.065164,0.086385,0.128545,0.212340,0.379105", \ - "0.046999,0.054818,0.065311,0.086401,0.128518,0.212354,0.379087", \ - "0.058004,0.064979,0.073801,0.091532,0.129628,0.212239,0.379093", \ - "0.074537,0.082150,0.091880,0.110286,0.144124,0.216122,0.379077", \ - "0.095683,0.104073,0.114589,0.134273,0.170337,0.236633,0.382514"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.024055,0.027534,0.031941,0.040390,0.056483,0.087290,0.147150", \ - "0.029156,0.032613,0.036997,0.045419,0.061485,0.092278,0.152117", \ - "0.044436,0.048017,0.052453,0.060740,0.076702,0.107484,0.167362", \ - "0.065370,0.070086,0.075772,0.086072,0.104260,0.136137,0.196155", \ - "0.085968,0.092597,0.100424,0.114147,0.137414,0.175869,0.240601", \ - "0.102475,0.111437,0.121889,0.140568,0.171273,0.219492,0.295640", \ - "0.112540,0.124209,0.137846,0.162014,0.201991,0.263266,0.355002"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.110650,0.122334,0.137596,0.167837,0.227984,0.347585,0.586166", \ - "0.114805,0.126583,0.141945,0.172334,0.232651,0.352449,0.591139", \ - "0.132891,0.144680,0.160047,0.190522,0.251057,0.371098,0.610082", \ - "0.165971,0.177693,0.192698,0.223049,0.283472,0.403602,0.642691", \ - "0.209376,0.222506,0.238972,0.269697,0.329943,0.449934,0.689006", \ - "0.255793,0.271327,0.290764,0.326896,0.392857,0.513518,0.752485", \ - "0.305631,0.324058,0.346811,0.388783,0.463826,0.595910,0.835710"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.016294,0.018981,0.022410,0.029026,0.041889,0.067286,0.118125", \ - "0.016069,0.018779,0.022226,0.028879,0.041796,0.067251,0.118099", \ - "0.017347,0.019585,0.022595,0.028795,0.041568,0.067178,0.118087", \ - "0.023705,0.025959,0.028886,0.034580,0.045631,0.068284,0.118068", \ - "0.034631,0.037117,0.040167,0.045963,0.056963,0.078463,0.121992", \ - "0.049528,0.052647,0.056448,0.062975,0.074405,0.095678,0.137680", \ - "0.067698,0.071724,0.076545,0.084680,0.097792,0.119871,0.161188"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.055346,0.065814,0.079653,0.107236,0.162119,0.271053,0.488315", \ - "0.055342,0.065812,0.079663,0.107229,0.162047,0.271060,0.488316", \ - "0.055363,0.065822,0.079645,0.107215,0.162100,0.271059,0.488321", \ - "0.055707,0.066022,0.079726,0.107201,0.162034,0.271101,0.488319", \ - "0.064475,0.073547,0.085468,0.110080,0.162185,0.271072,0.488309", \ - "0.078338,0.088366,0.101130,0.125523,0.171903,0.272264,0.488309", \ - "0.096517,0.107221,0.120827,0.146490,0.194452,0.286059,0.488944"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.024573,0.028037,0.032433,0.040869,0.056960,0.087786,0.147693", \ - "0.029669,0.033113,0.037486,0.045897,0.061961,0.092772,0.152679", \ - "0.044982,0.048534,0.052945,0.061210,0.077179,0.107983,0.167921", \ - "0.066131,0.070780,0.076412,0.086653,0.104781,0.136631,0.196721", \ - "0.087119,0.093613,0.101328,0.114967,0.138095,0.176469,0.241181", \ - "0.104116,0.112939,0.123232,0.141734,0.172225,0.220265,0.296324", \ - "0.114861,0.126298,0.139738,0.163665,0.203309,0.264307,0.355844"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.130657,0.142453,0.157782,0.188236,0.248574,0.368560,0.607379", \ - "0.134996,0.146852,0.162273,0.192830,0.253310,0.373433,0.612362", \ - "0.153154,0.165003,0.180476,0.211109,0.271769,0.392138,0.631311", \ - "0.186113,0.197953,0.213042,0.243590,0.304183,0.424645,0.663957", \ - "0.231826,0.244385,0.260116,0.290261,0.350734,0.470943,0.710225", \ - "0.282476,0.297080,0.315514,0.350314,0.414416,0.534560,0.773683", \ - "0.337421,0.354408,0.375688,0.415590,0.488121,0.617588,0.856945"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.018952,0.021717,0.025250,0.032125,0.045488,0.071383,0.122290", \ - "0.018707,0.021495,0.025055,0.031972,0.045389,0.071340,0.122286", \ - "0.019822,0.022128,0.025315,0.031836,0.045157,0.071279,0.122276", \ - "0.027239,0.029384,0.032267,0.037983,0.049205,0.072359,0.122261", \ - "0.040431,0.042499,0.045197,0.050634,0.061416,0.082729,0.126165", \ - "0.058914,0.061131,0.064128,0.069605,0.080074,0.100590,0.141971", \ - "0.080913,0.083676,0.087275,0.093732,0.105050,0.125615,0.165895"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.065149,0.075814,0.089860,0.117707,0.172898,0.282515,0.500366", \ - "0.065149,0.075799,0.089843,0.117727,0.172897,0.282520,0.500367", \ - "0.065151,0.075805,0.089830,0.117682,0.172880,0.282508,0.500350", \ - "0.065246,0.075864,0.089871,0.117686,0.172895,0.282559,0.500371", \ - "0.071097,0.080548,0.093216,0.119066,0.172962,0.282491,0.500362", \ - "0.085173,0.095264,0.108131,0.132705,0.180244,0.283014,0.500356", \ - "0.102839,0.113649,0.127426,0.153362,0.201676,0.294868,0.500613"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.438057,0.437224,0.432279,0.438332,0.449071,0.455847,0.457735", \ - "0.388382,0.400291,0.414796,0.429857,0.455185,0.472744,0.481067", \ - "0.327836,0.333734,0.355551,0.377952,0.424720,0.460154,0.487366", \ - "0.500315,0.474135,0.459613,0.426510,0.408292,0.447927,0.479493", \ - "0.806604,0.756802,0.722140,0.652722,0.576804,0.499265,0.508203", \ - "1.285664,1.221527,1.161840,1.059818,0.918796,0.757343,0.621929", \ - "1.933711,1.870242,1.785858,1.658763,1.441460,1.181151,0.914072"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("3.886622,3.922113,3.924355,3.951556,3.975898,3.964797,4.009756", \ - "3.711099,3.757915,3.806536,3.859073,3.912545,3.927074,3.989831", \ - "3.634594,3.648535,3.675722,3.685635,3.779932,3.817344,3.911161", \ - "3.798872,3.777123,3.785279,3.736105,3.767792,3.811906,3.811267", \ - "3.995066,4.038196,4.087694,3.999256,3.966935,3.918324,3.866544", \ - "4.394989,4.390653,4.399691,4.436524,4.326694,4.192937,4.070049", \ - "5.079418,5.056141,5.025451,4.999723,4.945194,4.728301,4.499733"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.494470,0.477325,0.461836,0.457803,0.447636,0.457603,0.460579", \ - "0.445055,0.446928,0.447784,0.451630,0.467196,0.481945,0.496700", \ - "0.389642,0.399312,0.410166,0.436323,0.465559,0.498588,0.527980", \ - "0.545434,0.531436,0.512776,0.485580,0.469361,0.501123,0.529484", \ - "0.815200,0.780506,0.744798,0.688977,0.624532,0.554285,0.563110", \ - "1.239167,1.190866,1.134892,1.053909,0.928907,0.791175,0.666767", \ - "1.824147,1.775680,1.699752,1.584670,1.405255,1.176382,0.936990"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("3.841168,3.872542,3.876326,3.902742,3.928534,3.902351,3.948490", \ - "3.669773,3.712974,3.744544,3.817244,3.846278,3.872458,3.938438", \ - "3.571623,3.597691,3.620703,3.627267,3.732954,3.783759,3.877527", \ - "3.716387,3.709101,3.704463,3.692345,3.709272,3.729480,3.752451", \ - "4.024146,4.022301,3.981130,3.911297,3.897069,3.797681,3.771661", \ - "4.320243,4.349219,4.371353,4.351670,4.259629,4.100867,4.007922", \ - "4.928845,4.917620,4.894475,4.892182,4.835165,4.567655,4.333133"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.462549,0.457702,0.459018,0.453760,0.453464,0.451709,0.460230", \ - "0.424221,0.430631,0.444136,0.452205,0.468785,0.484853,0.493430", \ - "0.370935,0.382680,0.405916,0.428761,0.467645,0.497590,0.522636", \ - "0.513418,0.497103,0.480524,0.459093,0.467284,0.495886,0.531226", \ - "0.788774,0.760351,0.725895,0.681117,0.615127,0.552618,0.559865", \ - "1.236627,1.187626,1.124283,1.038535,0.922193,0.781751,0.668832", \ - "1.856377,1.791768,1.718945,1.587968,1.400772,1.169577,0.932701"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("5.024605,5.054653,5.079502,5.093073,5.136008,5.157900,5.230675", \ - "4.896493,4.935206,4.974465,5.021199,5.087072,5.129749,5.218865", \ - "4.768786,4.800885,4.821899,4.887773,4.918187,5.062627,5.162980", \ - "4.855145,4.861817,4.871312,4.867642,4.884770,4.989614,5.038000", \ - "5.147674,5.133399,5.114563,5.108954,5.059080,5.050220,5.055243", \ - "5.472321,5.508106,5.552496,5.531806,5.407136,5.345244,5.222761", \ - "6.021459,6.028920,6.030657,6.061108,5.998606,5.803612,5.609035"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.445582,0.442863,0.440079,0.445955,0.447068,0.452803,0.458511", \ - "0.394809,0.405744,0.423901,0.441518,0.457387,0.471938,0.483072", \ - "0.289716,0.303933,0.334101,0.366073,0.417655,0.456645,0.484106", \ - "0.350578,0.351030,0.349897,0.346939,0.353117,0.409035,0.459717", \ - "0.482968,0.467212,0.457872,0.443087,0.422455,0.390277,0.438754", \ - "0.758600,0.730653,0.692488,0.656494,0.598664,0.532569,0.467896", \ - "1.201875,1.153923,1.104539,1.018404,0.912906,0.777749,0.643693"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("4.959925,4.973177,4.995549,5.030162,5.065465,5.072152,5.136897", \ - "4.832479,4.854873,4.892604,4.923913,4.987200,5.019752,5.093720", \ - "4.743915,4.779268,4.788371,4.810212,4.910850,4.945635,5.035517", \ - "4.876942,4.881328,4.887842,4.915489,4.874695,4.969826,4.968627", \ - "5.134353,5.197171,5.188520,5.166003,5.127402,5.092523,5.050585", \ - "5.466761,5.500980,5.518820,5.601642,5.544954,5.374159,5.279644", \ - "6.099656,6.105310,6.105042,6.112971,6.128301,5.906474,5.710108"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.492426,0.479641,0.471021,0.458272,0.452651,0.455916,0.463266", \ - "0.448996,0.453721,0.457072,0.462929,0.472187,0.484854,0.498894", \ - "0.348572,0.371315,0.382211,0.420879,0.457310,0.494269,0.526270", \ - "0.395013,0.399377,0.399263,0.401947,0.411198,0.462653,0.511721", \ - "0.506254,0.503206,0.496969,0.487404,0.476021,0.447306,0.495556", \ - "0.753218,0.731775,0.702533,0.677189,0.633957,0.582514,0.521927", \ - "1.150349,1.116729,1.074399,1.002511,0.915893,0.805064,0.689647"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("4.931410,4.953228,4.962597,4.997989,5.033560,5.050245,5.053194", \ - "4.805155,4.836294,4.869512,4.899632,4.942630,5.005282,5.017318", \ - "4.721522,4.749242,4.766071,4.814595,4.845344,4.888334,4.980259", \ - "4.837026,4.842120,4.853300,4.844979,4.844526,4.866598,4.951959", \ - "5.130628,5.117780,5.107557,5.098017,5.036605,5.015199,5.036962", \ - "5.447447,5.483453,5.547115,5.503199,5.396216,5.323995,5.184286", \ - "5.984916,6.005285,6.011858,6.056004,5.954392,5.794828,5.584962"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.469704,0.463743,0.459985,0.452661,0.452858,0.455029,0.457844", \ - "0.430753,0.442400,0.449710,0.454938,0.462950,0.481855,0.497651", \ - "0.335917,0.358239,0.374549,0.414896,0.456386,0.489408,0.525058", \ - "0.367474,0.375821,0.375815,0.381377,0.411204,0.460733,0.505126", \ - "0.490856,0.487754,0.479218,0.477007,0.465435,0.451771,0.498567", \ - "0.754367,0.728545,0.699990,0.669125,0.625841,0.576148,0.524200", \ - "1.168169,1.128823,1.079962,1.006941,0.913105,0.803136,0.686099"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("6.105843,6.132357,6.146290,6.170855,6.205985,6.306146,6.336833", \ - "6.007462,6.039661,6.066686,6.120160,6.151069,6.262777,6.301449", \ - "5.907377,5.939953,5.958826,6.014575,6.086637,6.148554,6.265733", \ - "5.993798,6.001213,6.025301,6.059321,6.079488,6.125615,6.238072", \ - "6.256706,6.253871,6.259850,6.264015,6.260481,6.266880,6.280449", \ - "6.623814,6.678423,6.690397,6.635429,6.611273,6.505470,6.468181", \ - "7.126122,7.147450,7.186305,7.217305,7.149290,7.032290,6.861379"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("1.621543,1.669315,1.697565,1.748896,1.831087,1.917061,1.994268", \ - "1.585757,1.615555,1.664363,1.712480,1.789436,1.877175,1.961545", \ - "1.521146,1.552745,1.593037,1.651049,1.738615,1.835433,1.926601", \ - "1.651112,1.649884,1.648815,1.650056,1.727348,1.808882,1.905081", \ - "1.930340,1.915375,1.910942,1.885532,1.856538,1.874099,1.944050", \ - "2.346411,2.320501,2.294920,2.259702,2.198648,2.109644,2.055395", \ - "2.927655,2.898297,2.866594,2.805904,2.692687,2.531103,2.338558"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("6.428914,6.455820,6.463668,6.458291,6.505215,6.495691,6.538773", \ - "6.311973,6.349367,6.375319,6.372501,6.446845,6.453197,6.508879", \ - "6.175094,6.206132,6.216170,6.242355,6.300452,6.399323,6.387811", \ - "6.140414,6.153196,6.135706,6.166503,6.203450,6.228706,6.320767", \ - "6.165031,6.177266,6.150746,6.180287,6.140126,6.225712,6.308292", \ - "6.081247,6.137737,6.198922,6.220289,6.207553,6.264356,6.232944", \ - "6.218184,6.228763,6.253928,6.307792,6.405515,6.351828,6.332826"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("1.326487,1.373268,1.430693,1.503599,1.617278,1.731298,1.821028", \ - "1.267044,1.332880,1.385779,1.463217,1.576165,1.684704,1.789515", \ - "1.227545,1.273295,1.328503,1.397323,1.505325,1.611080,1.723684", \ - "1.413077,1.419302,1.419690,1.419176,1.492278,1.600012,1.694102", \ - "1.708027,1.708913,1.692398,1.681324,1.645942,1.652651,1.729265", \ - "2.136641,2.115196,2.086912,2.054187,1.983971,1.892718,1.841425", \ - "2.712294,2.689058,2.653132,2.584983,2.464249,2.302781,2.111175"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("5.900773,5.925085,5.931789,5.934344,5.941803,5.939314,5.983379", \ - "5.776058,5.810761,5.845498,5.866310,5.888073,5.966841,5.960048", \ - "5.646819,5.674924,5.696398,5.739560,5.797346,5.838050,5.920674", \ - "5.604123,5.615280,5.613432,5.622682,5.671214,5.706378,5.800185", \ - "5.634584,5.634786,5.627679,5.599441,5.661198,5.680031,5.700077", \ - "5.551221,5.620691,5.705279,5.728337,5.683371,5.673009,5.732882", \ - "5.646628,5.675521,5.709859,5.803325,5.882567,5.805636,5.818623"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("1.425973,1.468059,1.504639,1.570459,1.647478,1.745995,1.831182", \ - "1.384769,1.417784,1.442630,1.505697,1.600230,1.696513,1.786246", \ - "1.314697,1.344492,1.388444,1.451769,1.532552,1.627997,1.721327", \ - "1.444476,1.435024,1.425269,1.456449,1.526773,1.606448,1.705073", \ - "1.742327,1.729361,1.716942,1.694663,1.661152,1.669258,1.740752", \ - "2.170116,2.138479,2.106229,2.054836,1.985673,1.895710,1.847952", \ - "2.757395,2.719994,2.677569,2.595177,2.468723,2.305402,2.112167"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("7.076327,7.102807,7.111521,7.159608,7.175013,7.191507,7.260933", \ - "6.987002,7.020440,7.045396,7.105815,7.126578,7.221365,7.237868", \ - "6.839353,6.871017,6.909017,6.951761,7.042716,7.095656,7.201017", \ - "6.784581,6.809949,6.816054,6.850836,6.914207,6.965667,7.082766", \ - "6.802647,6.813755,6.815268,6.810123,6.836462,6.939657,6.982220", \ - "6.785626,6.853635,6.922530,6.897447,6.916401,6.927457,7.014021", \ - "6.833713,6.882318,6.925125,7.034946,7.086208,7.055682,7.098829"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("1.631557,1.660007,1.699096,1.749719,1.831700,1.909528,2.000038", \ - "1.588376,1.633298,1.666889,1.714757,1.800383,1.872803,1.961788", \ - "1.524075,1.558360,1.587477,1.659026,1.741619,1.824105,1.920796", \ - "1.568572,1.587365,1.594863,1.618319,1.693031,1.786342,1.893986", \ - "1.719268,1.729306,1.729079,1.737701,1.753448,1.773536,1.881094", \ - "1.954812,1.949256,1.946548,1.946399,1.929011,1.920002,1.921303", \ - "2.315792,2.304310,2.290958,2.265571,2.219972,2.165243,2.091417"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("7.490194,7.505920,7.516594,7.512488,7.575623,7.584691,7.647539", \ - "7.420518,7.435319,7.463164,7.466525,7.511992,7.532017,7.594838", \ - "7.335727,7.351826,7.380345,7.412154,7.395478,7.500115,7.580453", \ - "7.295934,7.307479,7.327103,7.354572,7.352064,7.459877,7.460853", \ - "7.321142,7.320385,7.330927,7.320373,7.376585,7.393434,7.479293", \ - "7.273863,7.333231,7.406472,7.450123,7.449673,7.441588,7.508281", \ - "7.340345,7.374516,7.428113,7.511105,7.630102,7.593182,7.615129"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("1.316413,1.381935,1.435196,1.505508,1.614147,1.727948,1.833501", \ - "1.277994,1.332705,1.391428,1.471685,1.572635,1.685779,1.779399", \ - "1.198154,1.259493,1.306792,1.394077,1.507567,1.621244,1.718088", \ - "1.324808,1.334405,1.349504,1.368969,1.449148,1.567385,1.685163", \ - "1.499939,1.503331,1.502675,1.528032,1.540766,1.566415,1.672203", \ - "1.739780,1.739783,1.735625,1.738496,1.729588,1.713676,1.714770", \ - "2.114378,2.102785,2.087358,2.054724,2.013808,1.964405,1.885879"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("6.970012,6.980772,7.005449,7.032343,7.021603,7.085894,7.091228", \ - "6.890685,6.916244,6.933160,6.944531,7.013370,7.034617,7.043384", \ - "6.809799,6.826077,6.852223,6.886795,6.912574,6.941133,7.025768", \ - "6.773684,6.787317,6.794517,6.806925,6.880537,6.916292,6.940791", \ - "6.783159,6.805961,6.801303,6.816024,6.820756,6.912509,6.935531", \ - "6.755293,6.827916,6.899330,6.892929,6.907710,6.912799,6.986691", \ - "6.782235,6.833873,6.900399,7.015907,7.072681,7.045608,7.016436"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("1.435328,1.468182,1.506268,1.557508,1.647980,1.739401,1.837065", \ - "1.385881,1.418409,1.446370,1.518427,1.607742,1.693045,1.789835", \ - "1.311857,1.342210,1.368765,1.441320,1.534766,1.627538,1.732064", \ - "1.366122,1.371848,1.372060,1.399869,1.478177,1.586141,1.695842", \ - "1.532844,1.539110,1.542664,1.548496,1.553734,1.580382,1.683539", \ - "1.779822,1.774895,1.760874,1.754083,1.742415,1.720909,1.718046", \ - "2.147414,2.133272,2.105246,2.071713,2.024179,1.965709,1.888422"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("8.143279,8.168986,8.185664,8.201884,8.240146,8.339884,8.370360", \ - "8.082209,8.100510,8.122043,8.158200,8.183655,8.288802,8.322884", \ - "7.994482,8.024138,8.040603,8.079819,8.145601,8.197697,8.306150", \ - "7.954883,7.983635,7.987034,8.025026,8.054148,8.173895,8.287970", \ - "7.961137,7.987450,7.993165,8.034731,8.058209,8.168900,8.217732", \ - "7.994625,8.057290,8.073781,8.089032,8.141100,8.169945,8.268683", \ - "7.997280,8.053582,8.127053,8.260108,8.301277,8.295456,8.363413"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI22_X4 - Cell Description : Combinational cell (AOI22_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI22_X4) { - - drive_strength : 4; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 76.662020; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 25.412785; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 82.385615; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 43.576497; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 58.104375; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 82.385710; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 139.331560; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 100.542395; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 77.705345; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 43.576595; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 100.542398; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 61.742307; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 77.699075; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 69.035550; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 89.299620; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 89.291640; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 85.960854; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.250191; - fall_capacitance : 5.492860; - rise_capacitance : 6.250191; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.539911; - fall_capacitance : 5.609783; - rise_capacitance : 6.539911; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.969549; - fall_capacitance : 5.729647; - rise_capacitance : 5.969549; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.414120; - fall_capacitance : 5.932138; - rise_capacitance : 6.414120; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 97.961400; - function : "!((A1 & A2) | (B1 & B2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.016821,0.020095,0.023786,0.031124,0.045742,0.074918,0.133216", \ - "0.021236,0.024588,0.028336,0.035745,0.050430,0.079662,0.137999", \ - "0.034433,0.039339,0.044197,0.052554,0.067203,0.096402,0.154737", \ - "0.045120,0.053136,0.061051,0.074509,0.096071,0.129113,0.187021", \ - "0.050300,0.061701,0.073064,0.092403,0.123251,0.169888,0.238323", \ - "0.048799,0.063759,0.078700,0.104363,0.145379,0.207251,0.297010", \ - "0.039791,0.058395,0.077004,0.109176,0.160892,0.239163,0.352376"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.043148,0.053035,0.064037,0.085747,0.128716,0.214189,0.384765", \ - "0.045470,0.055544,0.066793,0.088905,0.132385,0.218322,0.389220", \ - "0.061893,0.071396,0.082232,0.103981,0.147385,0.233648,0.405054", \ - "0.091878,0.104277,0.116752,0.138415,0.180594,0.265891,0.436915", \ - "0.124783,0.141226,0.158029,0.187284,0.235758,0.319517,0.488900", \ - "0.161206,0.181676,0.202683,0.239528,0.300761,0.397536,0.564588", \ - "0.201836,0.226186,0.251207,0.295486,0.369458,0.486935,0.667033"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.009505,0.012291,0.015478,0.021843,0.034572,0.060029,0.110933", \ - "0.009497,0.012292,0.015475,0.021845,0.034572,0.060028,0.110935", \ - "0.015408,0.017409,0.019588,0.023821,0.034727,0.060028,0.110933", \ - "0.027734,0.030505,0.033360,0.038416,0.047153,0.064260,0.110933", \ - "0.043975,0.047937,0.051887,0.058581,0.069625,0.087592,0.120746", \ - "0.063841,0.069153,0.074500,0.083293,0.097376,0.119353,0.154126", \ - "0.087344,0.094157,0.101034,0.112240,0.129947,0.156864,0.197624"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.030730,0.039433,0.049341,0.069158,0.108680,0.187676,0.345556", \ - "0.030636,0.039390,0.049336,0.069134,0.108683,0.187647,0.345555", \ - "0.030899,0.038975,0.049139,0.069107,0.108675,0.187665,0.345557", \ - "0.044987,0.050929,0.057014,0.072030,0.108549,0.187655,0.345558", \ - "0.062712,0.070462,0.078518,0.092957,0.119698,0.188011,0.345543", \ - "0.082987,0.092791,0.102913,0.120534,0.150426,0.204677,0.345488", \ - "0.106104,0.117802,0.130017,0.151232,0.186775,0.244398,0.359872"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.016824,0.020095,0.023785,0.031121,0.045733,0.074897,0.133174", \ - "0.021295,0.024644,0.028389,0.035793,0.050475,0.079696,0.138009", \ - "0.034700,0.039576,0.044412,0.052735,0.067369,0.096558,0.154870", \ - "0.045520,0.053538,0.061430,0.074857,0.096357,0.129324,0.187215", \ - "0.050355,0.061841,0.073299,0.092677,0.123557,0.170160,0.238524", \ - "0.047854,0.063072,0.078215,0.104145,0.145412,0.207429,0.297198", \ - "0.037063,0.056151,0.075155,0.107863,0.160217,0.238968,0.352410"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.056078,0.069926,0.085320,0.115681,0.175738,0.295167,0.533391", \ - "0.057109,0.071220,0.086979,0.117938,0.178727,0.298818,0.537511", \ - "0.071699,0.085016,0.100247,0.130792,0.191578,0.312212,0.551684", \ - "0.104631,0.119263,0.133927,0.162805,0.222167,0.341683,0.580812", \ - "0.141981,0.161145,0.180867,0.215535,0.274621,0.391897,0.629107", \ - "0.183391,0.207016,0.231391,0.274537,0.347028,0.466104,0.700262", \ - "0.229739,0.257609,0.286401,0.337734,0.424409,0.564025,0.797228"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.009501,0.012293,0.015476,0.021844,0.034574,0.060025,0.110925", \ - "0.009499,0.012292,0.015475,0.021845,0.034571,0.060025,0.110928", \ - "0.015271,0.017294,0.019470,0.023756,0.034710,0.060026,0.110933", \ - "0.027477,0.030260,0.033127,0.038213,0.047002,0.064183,0.110927", \ - "0.043843,0.047770,0.051694,0.058378,0.069431,0.087445,0.120662", \ - "0.064052,0.069361,0.074653,0.083357,0.097336,0.119228,0.154012", \ - "0.088168,0.094955,0.101789,0.112871,0.130311,0.156963,0.197582"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.043568,0.055672,0.069368,0.096628,0.150895,0.259221,0.475548", \ - "0.043296,0.055556,0.069326,0.096618,0.150880,0.259214,0.475547", \ - "0.041700,0.054737,0.068946,0.096537,0.150897,0.259205,0.475538", \ - "0.052991,0.060776,0.071549,0.096071,0.150810,0.259200,0.475531", \ - "0.071394,0.081248,0.091735,0.110483,0.153966,0.259137,0.475540", \ - "0.092426,0.104374,0.116873,0.139131,0.177728,0.264032,0.475544", \ - "0.116122,0.130173,0.144917,0.170973,0.215558,0.292055,0.477038"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.017217,0.020491,0.024183,0.031526,0.046156,0.075355,0.133703", \ - "0.021693,0.025043,0.028790,0.036201,0.050899,0.080155,0.138537", \ - "0.035335,0.040131,0.044910,0.053161,0.067794,0.097020,0.155403", \ - "0.046661,0.054513,0.062293,0.075575,0.096939,0.129785,0.187747", \ - "0.052191,0.063395,0.074612,0.093789,0.124465,0.170865,0.239086", \ - "0.050521,0.065363,0.080254,0.105787,0.146730,0.208455,0.298003", \ - "0.040776,0.059371,0.078027,0.110279,0.162108,0.240428,0.353539"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.076389,0.090181,0.105627,0.136114,0.196419,0.316151,0.554559", \ - "0.078158,0.092166,0.107851,0.138757,0.199611,0.319925,0.558710", \ - "0.091542,0.105330,0.120824,0.151597,0.212585,0.333433,0.572944", \ - "0.125723,0.138309,0.153100,0.182912,0.242829,0.362769,0.602051", \ - "0.169946,0.186867,0.204611,0.236643,0.294692,0.412646,0.650240", \ - "0.218063,0.239018,0.260974,0.300837,0.369231,0.486379,0.721161", \ - "0.270745,0.295583,0.321706,0.369157,0.451044,0.585543,0.817835"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.010857,0.013864,0.017282,0.024090,0.037577,0.063882,0.115055", \ - "0.010848,0.013862,0.017281,0.024089,0.037579,0.063883,0.115056", \ - "0.017182,0.019146,0.021209,0.025926,0.037698,0.063879,0.115055", \ - "0.032743,0.034852,0.037279,0.041888,0.050349,0.067969,0.115056", \ - "0.054993,0.057338,0.060028,0.065276,0.074937,0.091827,0.124702", \ - "0.081126,0.084037,0.087360,0.093744,0.105296,0.125106,0.158362", \ - "0.111313,0.114899,0.119094,0.126835,0.140881,0.164557,0.202959"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.053642,0.065726,0.079566,0.107095,0.161770,0.270643,0.487510", \ - "0.053577,0.065696,0.079544,0.107079,0.161765,0.270676,0.487500", \ - "0.053055,0.065457,0.079434,0.107057,0.161763,0.270665,0.487516", \ - "0.056274,0.066698,0.079324,0.106515,0.161732,0.270614,0.487500", \ - "0.075089,0.084900,0.095257,0.115482,0.162693,0.270560,0.487508", \ - "0.096438,0.108329,0.120785,0.143061,0.182372,0.273341,0.487507", \ - "0.120498,0.134595,0.149305,0.175313,0.219777,0.297961,0.488156"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.019547,0.022803,0.026480,0.033805,0.048414,0.077583,0.135886", \ - "0.024557,0.027885,0.031621,0.039012,0.053683,0.082904,0.141235", \ - "0.037700,0.041812,0.046148,0.054177,0.069037,0.098402,0.156836", \ - "0.052407,0.058729,0.065033,0.076044,0.094800,0.126908,0.185691", \ - "0.063250,0.073028,0.082510,0.098474,0.124012,0.164154,0.229778", \ - "0.067814,0.081425,0.094784,0.117208,0.152224,0.204219,0.282728", \ - "0.065304,0.082998,0.100367,0.129885,0.175980,0.243262,0.339348"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.056107,0.065808,0.076730,0.098407,0.141434,0.227068,0.397737", \ - "0.058951,0.068827,0.079896,0.101784,0.145057,0.230908,0.401760", \ - "0.075000,0.084791,0.095756,0.117546,0.160841,0.246867,0.417987", \ - "0.108912,0.119707,0.130846,0.151900,0.194372,0.279683,0.450437", \ - "0.148066,0.162480,0.177481,0.204308,0.249780,0.333493,0.502867", \ - "0.190609,0.208684,0.227522,0.261425,0.318935,0.411767,0.578738", \ - "0.237155,0.258812,0.281435,0.322223,0.391823,0.504561,0.681230"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.009502,0.012290,0.015477,0.021843,0.034574,0.060028,0.110931", \ - "0.009504,0.012294,0.015478,0.021843,0.034574,0.060027,0.110933", \ - "0.011987,0.014471,0.017235,0.022716,0.034632,0.060029,0.110932", \ - "0.019377,0.021825,0.024558,0.029940,0.040652,0.062275,0.110933", \ - "0.031661,0.034520,0.037510,0.042975,0.053284,0.073973,0.116294", \ - "0.047944,0.051580,0.055243,0.061573,0.072422,0.092496,0.133261", \ - "0.067467,0.072091,0.076777,0.084619,0.097255,0.118182,0.157732"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.037698,0.046485,0.056517,0.076509,0.116356,0.195724,0.354021", \ - "0.037675,0.046480,0.056509,0.076510,0.116341,0.195723,0.354026", \ - "0.037400,0.046344,0.056452,0.076496,0.116337,0.195709,0.354034", \ - "0.046583,0.052613,0.060309,0.077529,0.116276,0.195698,0.354034", \ - "0.064464,0.072219,0.080364,0.094845,0.123976,0.195645,0.354022", \ - "0.084442,0.094392,0.104615,0.122418,0.152548,0.209369,0.353945", \ - "0.106257,0.118563,0.131118,0.152885,0.188814,0.246710,0.366007"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.019549,0.022803,0.026479,0.033802,0.048405,0.077565,0.135848", \ - "0.024614,0.027940,0.031673,0.039061,0.053729,0.082938,0.141250", \ - "0.037917,0.042024,0.046351,0.054364,0.069207,0.098562,0.156973", \ - "0.052765,0.059089,0.065379,0.076372,0.095087,0.127143,0.185904", \ - "0.063611,0.073406,0.082894,0.098861,0.124388,0.164455,0.230018", \ - "0.067805,0.081510,0.094949,0.117453,0.152543,0.204543,0.282992", \ - "0.064228,0.082219,0.099804,0.129619,0.175988,0.243449,0.339577"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.074679,0.088211,0.103462,0.133722,0.193800,0.313398,0.551698", \ - "0.076451,0.090244,0.105720,0.136292,0.196736,0.316670,0.555196", \ - "0.090647,0.104340,0.119687,0.150187,0.210747,0.330976,0.569939", \ - "0.125153,0.137829,0.152538,0.182208,0.241839,0.361266,0.599891", \ - "0.169551,0.186441,0.204131,0.236167,0.294161,0.411781,0.648857", \ - "0.217827,0.238808,0.260788,0.300615,0.368910,0.485935,0.720318", \ - "0.270732,0.295648,0.321820,0.369222,0.451035,0.585350,0.817387"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.009499,0.012290,0.015478,0.021843,0.034575,0.060023,0.110930", \ - "0.009502,0.012292,0.015477,0.021842,0.034573,0.060026,0.110927", \ - "0.011940,0.014425,0.017189,0.022687,0.034622,0.060027,0.110929", \ - "0.019214,0.021666,0.024420,0.029826,0.040577,0.062239,0.110927", \ - "0.031429,0.034296,0.037287,0.042777,0.053116,0.073868,0.116248", \ - "0.047795,0.051425,0.055073,0.061396,0.072223,0.092336,0.133170", \ - "0.067605,0.072221,0.076881,0.084647,0.097192,0.118056,0.157618"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.053627,0.065728,0.079562,0.107098,0.161777,0.270684,0.487557", \ - "0.053559,0.065691,0.079548,0.107082,0.161792,0.270691,0.487566", \ - "0.053027,0.065442,0.079433,0.107067,0.161784,0.270674,0.487578", \ - "0.056497,0.066895,0.079380,0.106493,0.161744,0.270623,0.487558", \ - "0.074862,0.084764,0.095247,0.115678,0.162824,0.270579,0.487582", \ - "0.095770,0.107842,0.120392,0.142851,0.182455,0.273454,0.487554", \ - "0.118591,0.133143,0.148137,0.174601,0.219434,0.298053,0.488242"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.019942,0.023197,0.026876,0.034208,0.048830,0.078024,0.136376", \ - "0.025015,0.028340,0.032074,0.039469,0.054153,0.083397,0.141781", \ - "0.038431,0.042498,0.046805,0.054780,0.069633,0.099022,0.157510", \ - "0.053603,0.059810,0.066031,0.076949,0.095602,0.127621,0.186441", \ - "0.064999,0.074579,0.083924,0.099716,0.125074,0.165063,0.230586", \ - "0.069971,0.083332,0.096520,0.118743,0.153546,0.205331,0.283675", \ - "0.067260,0.084815,0.102092,0.131492,0.177440,0.244533,0.340437"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.094803,0.108438,0.123793,0.154219,0.214519,0.334328,0.572878", \ - "0.096992,0.110814,0.126308,0.156962,0.217541,0.337612,0.576392", \ - "0.110916,0.124749,0.140239,0.170910,0.231623,0.351997,0.591153", \ - "0.143975,0.157227,0.172349,0.202472,0.262492,0.382237,0.621083", \ - "0.194327,0.209627,0.225855,0.255728,0.314364,0.432530,0.669953", \ - "0.248765,0.267808,0.288000,0.325085,0.390010,0.506278,0.741204", \ - "0.307606,0.330284,0.354408,0.398713,0.476442,0.606243,0.838010"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.010851,0.013863,0.017281,0.024088,0.037581,0.063879,0.115055", \ - "0.010864,0.013863,0.017280,0.024088,0.037578,0.063881,0.115055", \ - "0.013554,0.016162,0.018971,0.024902,0.037621,0.063883,0.115055", \ - "0.022162,0.024511,0.027247,0.032759,0.043822,0.066062,0.115056", \ - "0.037981,0.039935,0.042364,0.047330,0.057422,0.078047,0.120337", \ - "0.059233,0.061269,0.063674,0.068506,0.078021,0.097247,0.137466", \ - "0.083985,0.086377,0.089241,0.094696,0.104891,0.123938,0.162385"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.063528,0.075774,0.089784,0.117597,0.172683,0.282056,0.499557", \ - "0.063530,0.075772,0.089779,0.117595,0.172683,0.282034,0.499542", \ - "0.063375,0.075698,0.089742,0.117585,0.172670,0.282037,0.499556", \ - "0.063567,0.075188,0.088973,0.117409,0.172673,0.282019,0.499556", \ - "0.079470,0.088986,0.099480,0.122217,0.172721,0.282015,0.499556", \ - "0.101061,0.112713,0.125015,0.147215,0.188178,0.283345,0.499553", \ - "0.125051,0.139060,0.153591,0.179574,0.223999,0.304631,0.499702"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.025979,0.029842,0.034068,0.042224,0.057895,0.088212,0.147579", \ - "0.030426,0.034293,0.038520,0.046674,0.062351,0.092673,0.152043", \ - "0.047576,0.051495,0.055512,0.063395,0.078921,0.109188,0.168530", \ - "0.069163,0.075170,0.081341,0.092339,0.111013,0.141744,0.200720", \ - "0.087065,0.095384,0.103980,0.119486,0.145669,0.187563,0.252039", \ - "0.099119,0.110002,0.121199,0.141656,0.176250,0.231399,0.315244", \ - "0.104088,0.117692,0.131766,0.157330,0.200907,0.270487,0.375792"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.079714,0.090367,0.102329,0.125999,0.172953,0.266431,0.453002", \ - "0.083463,0.094347,0.106535,0.130553,0.177912,0.271761,0.458601", \ - "0.101130,0.111839,0.123934,0.147940,0.195529,0.289856,0.477235", \ - "0.134950,0.145740,0.157492,0.181260,0.228561,0.322778,0.510347", \ - "0.175088,0.188921,0.203355,0.230264,0.278808,0.372735,0.560151", \ - "0.216714,0.234371,0.252644,0.285768,0.343798,0.443082,0.630241", \ - "0.260032,0.282095,0.304754,0.345147,0.414243,0.529419,0.722928"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.017619,0.020425,0.023619,0.029970,0.042642,0.068031,0.118968", \ - "0.017454,0.020312,0.023536,0.029921,0.042622,0.068024,0.118962", \ - "0.018933,0.021074,0.023765,0.029640,0.042447,0.068009,0.118965", \ - "0.030545,0.033133,0.035891,0.040879,0.049663,0.069595,0.118953", \ - "0.045926,0.049663,0.053489,0.060134,0.071244,0.089501,0.125418", \ - "0.064660,0.069812,0.075058,0.083823,0.098128,0.120506,0.155864", \ - "0.086522,0.093251,0.100095,0.111509,0.129655,0.157241,0.198776"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.037695,0.046904,0.057419,0.078413,0.120170,0.203413,0.369550", \ - "0.037721,0.046916,0.057425,0.078405,0.120169,0.203414,0.369554", \ - "0.037774,0.046942,0.057436,0.078415,0.120153,0.203413,0.369551", \ - "0.040151,0.048279,0.058018,0.078508,0.120172,0.203414,0.369564", \ - "0.052892,0.060788,0.069679,0.086323,0.122493,0.203406,0.369553", \ - "0.070286,0.078771,0.088199,0.106137,0.139670,0.208870,0.369529", \ - "0.093023,0.102133,0.112102,0.130991,0.166244,0.231686,0.374107"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.020776,0.024820,0.029224,0.037656,0.053696,0.084391,0.144034", \ - "0.025439,0.029413,0.033767,0.042143,0.058138,0.088809,0.148467", \ - "0.042690,0.046981,0.051340,0.059226,0.074801,0.105261,0.164824", \ - "0.061923,0.068553,0.075248,0.087001,0.106584,0.137976,0.196991", \ - "0.077101,0.086227,0.095572,0.112137,0.139632,0.182854,0.248428", \ - "0.086018,0.097951,0.110086,0.131935,0.168280,0.225245,0.310664", \ - "0.087520,0.102379,0.117575,0.144872,0.190672,0.262606,0.370004"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.090326,0.103888,0.119131,0.149305,0.209202,0.328521,0.566674", \ - "0.093625,0.107467,0.122988,0.153600,0.214015,0.333805,0.572310", \ - "0.110810,0.124439,0.139831,0.170416,0.231107,0.351492,0.590683", \ - "0.143487,0.157021,0.171953,0.202246,0.262544,0.382790,0.622213", \ - "0.182526,0.198769,0.215889,0.248261,0.308594,0.428362,0.667567", \ - "0.223327,0.243010,0.263645,0.301552,0.369771,0.491621,0.730417", \ - "0.266574,0.290387,0.315097,0.359601,0.437640,0.572651,0.813275"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.016003,0.019072,0.022451,0.029003,0.041778,0.067066,0.117741", \ - "0.015569,0.018712,0.022156,0.028792,0.041654,0.067016,0.117760", \ - "0.018954,0.020831,0.023209,0.028673,0.041172,0.066886,0.117709", \ - "0.031163,0.033708,0.036408,0.041279,0.049882,0.068890,0.117635", \ - "0.047019,0.050720,0.054458,0.060933,0.071795,0.089744,0.124787", \ - "0.066258,0.071326,0.076484,0.085045,0.099013,0.120993,0.155968", \ - "0.088589,0.095271,0.102074,0.113246,0.130973,0.158033,0.199067"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.044040,0.055869,0.069455,0.096640,0.150911,0.259219,0.475538", \ - "0.044083,0.055891,0.069456,0.096643,0.150904,0.259217,0.475546", \ - "0.044148,0.055927,0.069480,0.096643,0.150902,0.259206,0.475536", \ - "0.045744,0.056675,0.069805,0.096706,0.150903,0.259217,0.475537", \ - "0.056677,0.067180,0.078808,0.101834,0.151746,0.259182,0.475539", \ - "0.071410,0.082524,0.094903,0.118813,0.164069,0.261476,0.475560", \ - "0.091269,0.102958,0.115811,0.140481,0.187588,0.277394,0.476691"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.021301,0.025324,0.029715,0.038135,0.054171,0.084885,0.144593", \ - "0.025948,0.029909,0.034252,0.042619,0.058613,0.089302,0.149017", \ - "0.043272,0.047505,0.051813,0.059679,0.075276,0.105754,0.165381", \ - "0.062923,0.069408,0.076026,0.087681,0.107145,0.138460,0.197549", \ - "0.078607,0.087574,0.096770,0.113173,0.140489,0.183546,0.248976", \ - "0.088165,0.099896,0.111856,0.133466,0.169546,0.226252,0.311460", \ - "0.090483,0.105049,0.120084,0.147081,0.192458,0.264029,0.371130"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.110332,0.123988,0.139312,0.169682,0.229873,0.349523,0.587898", \ - "0.114236,0.128068,0.143579,0.174239,0.234818,0.354858,0.593541", \ - "0.131188,0.144951,0.160443,0.191183,0.252072,0.372666,0.611965", \ - "0.163722,0.177278,0.192333,0.222831,0.283438,0.403956,0.643539", \ - "0.206670,0.221913,0.238206,0.269071,0.329362,0.449498,0.688873", \ - "0.252600,0.270608,0.290024,0.325974,0.391954,0.512650,0.751678", \ - "0.302122,0.323415,0.346112,0.387964,0.462800,0.594803,0.834517"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.018663,0.021804,0.025282,0.032092,0.045370,0.071169,0.121949", \ - "0.018175,0.021411,0.024968,0.031871,0.045246,0.071120,0.121947", \ - "0.021270,0.023164,0.025777,0.031637,0.044759,0.070989,0.121923", \ - "0.036078,0.038139,0.040481,0.044979,0.053310,0.072931,0.121848", \ - "0.056522,0.059126,0.061991,0.067366,0.077090,0.094062,0.128919", \ - "0.080530,0.083968,0.087801,0.094568,0.106539,0.126693,0.160253", \ - "0.107723,0.112242,0.117218,0.125935,0.140881,0.165349,0.204337"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.053721,0.065785,0.079600,0.107108,0.161800,0.270680,0.487570", \ - "0.053725,0.065796,0.079599,0.107087,0.161784,0.270671,0.487579", \ - "0.053742,0.065797,0.079608,0.107093,0.161796,0.270681,0.487557", \ - "0.054115,0.065985,0.079695,0.107120,0.161799,0.270660,0.487571", \ - "0.063231,0.073661,0.085541,0.110051,0.162002,0.270625,0.487569", \ - "0.077214,0.088677,0.101439,0.125676,0.171779,0.271912,0.487558", \ - "0.095815,0.108011,0.121442,0.146813,0.194535,0.285811,0.488157"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.028638,0.032496,0.036720,0.044872,0.060543,0.090864,0.150238", \ - "0.033658,0.037516,0.041741,0.049894,0.065570,0.095895,0.155276", \ - "0.048891,0.052815,0.057003,0.065110,0.080814,0.111200,0.170635", \ - "0.070798,0.075850,0.081157,0.090963,0.108543,0.139836,0.199481", \ - "0.093203,0.100258,0.107434,0.120275,0.142496,0.180023,0.243967", \ - "0.112199,0.121674,0.131325,0.148649,0.177751,0.224453,0.299422", \ - "0.125292,0.137546,0.150065,0.172619,0.210356,0.269432,0.359415"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.093535,0.104132,0.116063,0.139755,0.186801,0.280465,0.467138", \ - "0.097945,0.108646,0.120653,0.144466,0.191653,0.285450,0.472217", \ - "0.116332,0.127023,0.139055,0.162936,0.210279,0.304281,0.491287", \ - "0.150615,0.161201,0.172954,0.196743,0.244006,0.338016,0.525148", \ - "0.195147,0.207966,0.221585,0.247052,0.294569,0.388467,0.575584", \ - "0.242406,0.258340,0.275258,0.306349,0.361840,0.459046,0.646091", \ - "0.292248,0.311849,0.332440,0.369945,0.435643,0.547404,0.738978"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.017547,0.020370,0.023573,0.029938,0.042624,0.068026,0.118962", \ - "0.017489,0.020324,0.023538,0.029915,0.042616,0.068022,0.118961", \ - "0.018024,0.020628,0.023656,0.029835,0.042556,0.068020,0.118965", \ - "0.023576,0.026223,0.029217,0.034989,0.046089,0.069017,0.118976", \ - "0.033639,0.036564,0.039742,0.045727,0.057038,0.078810,0.122672", \ - "0.047837,0.051549,0.055384,0.062108,0.073925,0.095679,0.138046", \ - "0.065366,0.070108,0.075015,0.083271,0.096777,0.119399,0.161262"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.045090,0.054460,0.065124,0.086294,0.128370,0.211998,0.378545", \ - "0.045095,0.054469,0.065122,0.086306,0.128353,0.212002,0.378545", \ - "0.045108,0.054472,0.065121,0.086308,0.128371,0.212005,0.378567", \ - "0.045850,0.054811,0.065279,0.086342,0.128368,0.211993,0.378549", \ - "0.057001,0.065062,0.073922,0.091566,0.129569,0.211971,0.378557", \ - "0.073516,0.082263,0.091974,0.110320,0.144107,0.215942,0.378546", \ - "0.094536,0.104194,0.114661,0.134315,0.170323,0.236526,0.382066"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.023533,0.027549,0.031933,0.040340,0.056361,0.087046,0.146695", \ - "0.028629,0.032619,0.036981,0.045362,0.061358,0.092028,0.151675", \ - "0.043847,0.047983,0.052403,0.060664,0.076567,0.107236,0.166918", \ - "0.064517,0.070014,0.075676,0.085945,0.104082,0.135878,0.195715", \ - "0.084682,0.092478,0.100276,0.113990,0.137186,0.175547,0.240135", \ - "0.100607,0.111082,0.121686,0.140328,0.170981,0.219102,0.295093", \ - "0.110031,0.123637,0.137357,0.161696,0.201575,0.262793,0.354367"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.108271,0.121739,0.136937,0.167110,0.227118,0.346658,0.584883", \ - "0.112384,0.125975,0.141281,0.171625,0.231815,0.351476,0.589853", \ - "0.130439,0.144048,0.159380,0.189801,0.250184,0.370143,0.608784", \ - "0.163394,0.176895,0.191881,0.222199,0.282485,0.402438,0.641250", \ - "0.206307,0.221561,0.237919,0.268714,0.328853,0.448672,0.687409", \ - "0.252138,0.270178,0.289631,0.325574,0.391530,0.512119,0.750734", \ - "0.301441,0.322823,0.345555,0.387494,0.462404,0.594337,0.833853"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.015814,0.018908,0.022313,0.028899,0.041713,0.067036,0.117730", \ - "0.015593,0.018707,0.022133,0.028753,0.041620,0.066994,0.117728", \ - "0.016943,0.019522,0.022520,0.028688,0.041403,0.066935,0.117720", \ - "0.023290,0.025856,0.028772,0.034460,0.045497,0.068075,0.117708", \ - "0.034186,0.037008,0.040060,0.045834,0.056806,0.078266,0.121689", \ - "0.048978,0.052601,0.056313,0.062827,0.074251,0.095464,0.137388", \ - "0.067006,0.071669,0.076474,0.084500,0.097618,0.119651,0.160854"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.053707,0.065782,0.079593,0.107075,0.161781,0.270678,0.487525", \ - "0.053711,0.065786,0.079586,0.107090,0.161779,0.270644,0.487503", \ - "0.053740,0.065798,0.079609,0.107098,0.161781,0.270666,0.487504", \ - "0.054128,0.065988,0.079682,0.107116,0.161784,0.270641,0.487513", \ - "0.063059,0.073660,0.085596,0.110118,0.161990,0.270619,0.487515", \ - "0.076956,0.088460,0.101215,0.125521,0.171840,0.271934,0.487525", \ - "0.095045,0.107399,0.120888,0.146487,0.194348,0.285873,0.488144"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.024051,0.028049,0.032421,0.040818,0.056836,0.087542,0.147253", \ - "0.029144,0.033117,0.037468,0.045839,0.061832,0.092523,0.152232", \ - "0.044399,0.048496,0.052893,0.061134,0.077042,0.107733,0.167480", \ - "0.065279,0.070698,0.076302,0.086518,0.104606,0.136376,0.196274", \ - "0.085850,0.093485,0.101188,0.114792,0.137865,0.176128,0.240721", \ - "0.102287,0.112569,0.123013,0.141460,0.171910,0.219868,0.295777", \ - "0.112401,0.125725,0.139226,0.163307,0.202901,0.263824,0.355208"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.128283,0.141875,0.157196,0.187568,0.247823,0.367571,0.606103", \ - "0.132613,0.146289,0.161685,0.192169,0.252554,0.372441,0.611088", \ - "0.150704,0.164435,0.179864,0.210433,0.270989,0.391139,0.630022", \ - "0.183555,0.197180,0.212288,0.242783,0.303290,0.423444,0.662506", \ - "0.228925,0.243518,0.259097,0.289279,0.349663,0.469664,0.708666", \ - "0.279075,0.295995,0.314443,0.348991,0.413190,0.533158,0.771979", \ - "0.333527,0.353248,0.374531,0.414388,0.486820,0.616115,0.855088"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.018460,0.021624,0.025137,0.031982,0.045304,0.071142,0.121940", \ - "0.018207,0.021406,0.024942,0.031831,0.045209,0.071100,0.121937", \ - "0.019423,0.022046,0.025220,0.031715,0.044989,0.071045,0.121930", \ - "0.026821,0.029277,0.032147,0.037866,0.049070,0.072157,0.121921", \ - "0.040055,0.042379,0.045079,0.050507,0.061267,0.082549,0.125872", \ - "0.058532,0.061106,0.063993,0.069477,0.079941,0.100396,0.141703", \ - "0.080463,0.083663,0.087231,0.093576,0.104894,0.125429,0.165605"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.063548,0.075776,0.089799,0.117583,0.172688,0.282029,0.499554", \ - "0.063538,0.075780,0.089800,0.117595,0.172684,0.282028,0.499549", \ - "0.063548,0.075781,0.089804,0.117589,0.172684,0.282058,0.499553", \ - "0.063656,0.075839,0.089818,0.117588,0.172683,0.282028,0.499552", \ - "0.069837,0.080679,0.093277,0.119054,0.172749,0.282021,0.499553", \ - "0.083788,0.095369,0.108192,0.132648,0.180235,0.282669,0.499569", \ - "0.101361,0.113810,0.127492,0.153344,0.201616,0.294683,0.499798"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.871895,0.874411,0.880996,0.884871,0.890184,0.913151,0.916721", \ - "0.768573,0.800054,0.824301,0.861167,0.902477,0.942868,0.958441", \ - "0.659133,0.668371,0.703625,0.768927,0.843019,0.916664,0.971125", \ - "0.998094,0.946407,0.908586,0.850573,0.816727,0.895485,0.954683", \ - "1.614343,1.513595,1.442694,1.299495,1.150955,0.999082,1.015687", \ - "2.584590,2.441215,2.311095,2.117707,1.826131,1.512585,1.241498", \ - "3.892842,3.738754,3.579501,3.302912,2.891104,2.361943,1.827948"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("7.772803,7.852613,7.900147,7.931336,7.956230,7.978811,8.024582", \ - "7.446599,7.552925,7.608947,7.710646,7.845556,7.857763,7.984967", \ - "7.269550,7.335572,7.350373,7.362576,7.566924,7.702668,7.844785", \ - "7.609137,7.594842,7.560671,7.577246,7.474156,7.631522,7.681101", \ - "7.976969,8.081689,8.157306,8.095031,7.940219,7.844867,7.746170", \ - "8.784569,8.809739,8.827842,8.910772,8.661296,8.400556,8.158835", \ - "10.172310,10.121300,10.061720,9.984717,9.899286,9.506497,9.021090"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.975264,0.952932,0.933784,0.909444,0.897793,0.914205,0.919741", \ - "0.881002,0.889439,0.893861,0.917425,0.930488,0.966575,0.987384", \ - "0.781258,0.794371,0.815869,0.867083,0.929451,0.993308,1.053310", \ - "1.085095,1.060622,1.025496,0.965287,0.931446,1.001983,1.059160", \ - "1.624124,1.558870,1.479167,1.372903,1.246633,1.105108,1.125426", \ - "2.489276,2.380366,2.263270,2.104813,1.854805,1.580576,1.332238", \ - "3.672290,3.536605,3.412829,3.168803,2.809816,2.351931,1.873379"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("7.693264,7.761648,7.807155,7.863785,7.856227,7.829236,7.932628", \ - "7.348268,7.443682,7.544676,7.648798,7.713177,7.871495,7.907629", \ - "7.159911,7.213792,7.274563,7.355064,7.487277,7.593554,7.663349", \ - "7.455175,7.440226,7.422242,7.441779,7.442112,7.486025,7.545934", \ - "8.053474,8.059091,8.010017,7.912874,7.814974,7.626446,7.585590", \ - "8.659034,8.710785,8.782036,8.788038,8.548256,8.239654,7.933439", \ - "9.876002,9.845827,9.813534,9.799560,9.691524,9.170334,8.708291"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.934843,0.925280,0.905775,0.895578,0.902481,0.905332,0.921023", \ - "0.833667,0.866039,0.874035,0.910761,0.933081,0.970692,0.988844", \ - "0.725114,0.777888,0.811098,0.867152,0.930132,0.996649,1.045409", \ - "1.022178,0.992294,0.961908,0.914858,0.935479,0.993329,1.063235", \ - "1.597075,1.518123,1.452279,1.353205,1.226675,1.102254,1.125223", \ - "2.492591,2.372207,2.245696,2.064276,1.841525,1.561513,1.336548", \ - "3.743762,3.581288,3.434909,3.173991,2.800232,2.337906,1.864717"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("10.057930,10.122600,10.185710,10.240020,10.286230,10.336590,10.492110", \ - "9.800134,9.884267,9.976332,10.068900,10.191330,10.285640,10.469490", \ - "9.548043,9.619655,9.672381,9.714208,9.954329,10.118850,10.232320", \ - "9.726740,9.762007,9.774205,9.763401,9.790888,10.005400,10.113620", \ - "10.335440,10.301840,10.253630,10.240400,10.141190,10.129800,10.149140", \ - "10.948630,11.027280,11.160650,11.081860,10.844000,10.724760,10.487650", \ - "12.066310,12.067630,12.098410,12.147460,11.939990,11.636510,11.253550"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.886353,0.882510,0.888826,0.894238,0.903776,0.901733,0.917760", \ - "0.785944,0.820775,0.844598,0.878224,0.914212,0.947191,0.963343", \ - "0.575237,0.606356,0.665957,0.742999,0.839166,0.909400,0.969450", \ - "0.693467,0.699772,0.687343,0.690161,0.698988,0.819842,0.918523", \ - "0.964050,0.938607,0.917365,0.879645,0.850897,0.785509,0.876042", \ - "1.528753,1.458570,1.384162,1.296616,1.200539,1.067554,0.930574", \ - "2.415012,2.305523,2.206729,2.035051,1.815128,1.555189,1.287576"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("9.920333,9.980415,10.009810,10.077990,10.132320,10.144320,10.280530", \ - "9.663525,9.745419,9.804746,9.848000,10.001240,10.038960,10.194250", \ - "9.488016,9.564733,9.599016,9.639287,9.826735,9.891667,10.080910", \ - "9.758845,9.801837,9.794607,9.849154,9.757426,9.947652,10.003010", \ - "10.241350,10.402210,10.390770,10.355450,10.261040,10.194330,10.114230", \ - "10.948790,11.002770,11.038510,11.227380,11.076430,10.765440,10.557300", \ - "12.199020,12.211670,12.202600,12.230170,12.250590,11.923160,11.440580"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.978911,0.952361,0.933092,0.913261,0.898886,0.912171,0.925394", \ - "0.890593,0.890375,0.905704,0.917539,0.941415,0.967527,0.992453", \ - "0.694544,0.738033,0.775545,0.836017,0.917128,0.984626,1.047113", \ - "0.790737,0.795023,0.799473,0.806097,0.822076,0.922974,1.022697", \ - "1.007744,1.003588,0.994825,0.977581,0.959587,0.899690,0.991920", \ - "1.517516,1.461054,1.404017,1.351345,1.271485,1.167387,1.051304", \ - "2.310246,2.224430,2.145006,2.008876,1.822985,1.609591,1.378904"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("9.895466,9.921248,9.949117,10.019090,10.084400,10.126550,10.143010", \ - "9.620492,9.687189,9.762691,9.822586,9.848071,10.038040,10.071790", \ - "9.455445,9.517313,9.557479,9.652142,9.774691,9.803248,9.999384", \ - "9.690175,9.700504,9.731014,9.711248,9.712130,9.761035,9.945072", \ - "10.279520,10.249820,10.233790,10.215750,10.095670,10.059270,10.037980", \ - "10.898530,10.999910,11.102270,11.022990,10.841830,10.684840,10.415130", \ - "11.992270,12.020770,12.056860,12.120920,11.929270,11.621700,11.209750"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.936941,0.918198,0.908317,0.906296,0.902270,0.907345,0.920184", \ - "0.858525,0.881632,0.886517,0.915515,0.936148,0.965579,0.995706", \ - "0.658657,0.713069,0.757915,0.831459,0.909341,0.984741,1.050169", \ - "0.731977,0.748761,0.754220,0.761456,0.822274,0.922750,1.019186", \ - "0.988133,0.973235,0.955079,0.960162,0.937273,0.898804,0.997017", \ - "1.515796,1.457261,1.408976,1.337510,1.256369,1.151043,1.048645", \ - "2.354790,2.255523,2.152445,2.012790,1.824660,1.605459,1.367393"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("12.242340,12.277000,12.339150,12.391540,12.426760,12.631630,12.705750", \ - "12.028000,12.092130,12.145230,12.214950,12.319330,12.544250,12.635520", \ - "11.825330,11.896120,11.967750,12.080440,12.190750,12.316130,12.566170", \ - "11.991310,12.037630,12.086560,12.094370,12.180400,12.273310,12.512020", \ - "12.529000,12.541970,12.528290,12.504940,12.546360,12.563050,12.601000", \ - "13.241290,13.366950,13.382650,13.317090,13.243670,13.045530,12.970340", \ - "14.254960,14.303980,14.368230,14.444690,14.315090,14.091960,13.757290"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("3.248815,3.353452,3.427416,3.530249,3.680048,3.844104,4.008247", \ - "3.170944,3.263037,3.337581,3.437331,3.595454,3.763229,3.938207", \ - "3.044081,3.127201,3.212758,3.316193,3.493515,3.660540,3.856917", \ - "3.299661,3.302297,3.287236,3.325910,3.463093,3.622267,3.823159", \ - "3.876082,3.845541,3.835111,3.788519,3.723866,3.751621,3.891780", \ - "4.706872,4.662198,4.601712,4.517801,4.407635,4.217262,4.113872", \ - "5.882607,5.805918,5.746749,5.606705,5.382255,5.070529,4.682567"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("12.679820,12.735620,12.736780,12.806160,12.745420,12.852260,12.797710", \ - "12.443590,12.523820,12.578100,12.664570,12.751110,12.768430,12.889440", \ - "12.173630,12.240540,12.295940,12.321510,12.425080,12.649500,12.653480", \ - "12.083640,12.135280,12.134880,12.106010,12.273370,12.329770,12.521080", \ - "12.161080,12.158020,12.157690,12.179980,12.200090,12.301290,12.330100", \ - "12.002970,12.112170,12.185960,12.343590,12.286010,12.241120,12.349480", \ - "12.269420,12.312780,12.358650,12.494470,12.733370,12.555470,12.556810"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("2.605804,2.764851,2.886533,3.020986,3.256511,3.469473,3.667179", \ - "2.544277,2.670284,2.787310,2.939554,3.146087,3.362961,3.579361", \ - "2.457056,2.544980,2.641061,2.808156,3.008854,3.247612,3.455789", \ - "2.844521,2.826609,2.839343,2.850799,3.001644,3.207956,3.403900", \ - "3.435688,3.413479,3.405863,3.376248,3.318537,3.313562,3.467393", \ - "4.292067,4.245349,4.177299,4.106341,3.981735,3.792547,3.680247", \ - "5.462121,5.388739,5.312693,5.168595,4.942744,4.616378,4.234814"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("11.623790,11.675160,11.709200,11.668180,11.734280,11.736230,11.706810", \ - "11.372430,11.468570,11.495330,11.532550,11.628280,11.771080,11.790490", \ - "11.117450,11.177550,11.197420,11.280210,11.432340,11.536460,11.585130", \ - "11.038400,11.081600,11.073920,11.136780,11.203860,11.281630,11.349860", \ - "11.099040,11.101590,11.098990,11.089160,11.097070,11.229910,11.282850", \ - "10.913650,11.071200,11.240350,11.246010,11.235890,11.220680,11.217820", \ - "11.143050,11.209060,11.276900,11.418360,11.591100,11.492150,11.393550"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("2.855719,2.935515,3.025554,3.134964,3.303054,3.503301,3.686013", \ - "2.744623,2.851585,2.924907,3.041265,3.212320,3.402944,3.596644", \ - "2.633259,2.703875,2.793942,2.908837,3.086653,3.271465,3.468528", \ - "2.889294,2.885062,2.875034,2.927159,3.059994,3.225983,3.417617", \ - "3.498833,3.474924,3.424940,3.400464,3.307721,3.323141,3.482691", \ - "4.357285,4.300086,4.231833,4.120594,3.982930,3.793839,3.700125", \ - "5.545055,5.462468,5.370783,5.205578,4.951017,4.624377,4.235657"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("13.971870,14.028270,14.080350,14.132050,14.220810,14.240070,14.261700", \ - "13.792680,13.864630,13.905590,14.040450,14.099040,14.172770,14.346420", \ - "13.518980,13.567430,13.633140,13.719820,13.903360,14.053740,14.167650", \ - "13.394080,13.449720,13.450580,13.517400,13.684200,13.800140,13.913850", \ - "13.415700,13.464020,13.445600,13.528220,13.536920,13.620770,13.847420", \ - "13.373060,13.553310,13.655390,13.642330,13.697230,13.728380,13.779610", \ - "13.506150,13.597070,13.706990,13.883150,14.043640,13.990950,13.951520"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("3.263537,3.353215,3.394106,3.520623,3.675262,3.835263,4.008129", \ - "3.171524,3.244488,3.319973,3.442240,3.585804,3.760528,3.939590", \ - "3.016276,3.129386,3.206242,3.310429,3.486992,3.664006,3.853754", \ - "3.150136,3.174170,3.178812,3.226073,3.393511,3.579773,3.797262", \ - "3.437101,3.465257,3.461521,3.488240,3.511151,3.581880,3.781241", \ - "3.922820,3.912348,3.905619,3.873553,3.887089,3.842918,3.836320", \ - "4.644436,4.610165,4.570681,4.518349,4.459630,4.340832,4.188339"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("14.802040,14.854170,14.871990,14.912390,14.846020,15.023040,14.993920", \ - "14.660860,14.694830,14.761420,14.820160,14.877240,14.907420,15.069420", \ - "14.493150,14.528090,14.552140,14.611170,14.646510,14.857410,14.860170", \ - "14.414780,14.456270,14.446760,14.500270,14.563950,14.614140,14.797950", \ - "14.468850,14.498780,14.493210,14.522590,14.618350,14.656440,14.837130", \ - "14.351210,14.498460,14.638860,14.670850,14.602930,14.801040,14.722540", \ - "14.521980,14.598100,14.681420,14.825560,14.979350,15.065850,14.943870"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("2.630965,2.760703,2.884160,3.050821,3.246027,3.473568,3.666736", \ - "2.529997,2.680675,2.774986,2.958763,3.157788,3.379498,3.576320", \ - "2.415451,2.532856,2.624544,2.808932,3.024859,3.228350,3.451113", \ - "2.639420,2.684196,2.705214,2.748995,2.933734,3.165874,3.385194", \ - "3.000926,3.022327,3.044241,3.070095,3.098159,3.140313,3.369666", \ - "3.498494,3.494763,3.478809,3.492907,3.472233,3.444635,3.428184", \ - "4.246169,4.209977,4.177262,4.128817,4.043561,3.922761,3.780615"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("13.762130,13.806410,13.827220,13.861050,13.941390,13.905090,14.049600", \ - "13.602050,13.656360,13.707100,13.766910,13.751860,13.925470,13.953510", \ - "13.441420,13.497650,13.545540,13.575350,13.714160,13.742970,13.789040", \ - "13.372160,13.401380,13.431480,13.493220,13.595490,13.692430,13.755310", \ - "13.394820,13.446190,13.441320,13.437730,13.502790,13.565220,13.749550", \ - "13.311050,13.481920,13.602140,13.651430,13.676280,13.731800,13.721220", \ - "13.401170,13.519990,13.636950,13.843020,14.015940,13.968840,13.913450"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("2.874460,2.950732,3.018273,3.137441,3.304198,3.491887,3.686023", \ - "2.773682,2.851170,2.927771,3.040037,3.208905,3.404515,3.590803", \ - "2.625877,2.696862,2.759908,2.893244,3.069097,3.268184,3.467314", \ - "2.721789,2.756566,2.759460,2.816186,2.971685,3.178780,3.401208", \ - "3.068198,3.079419,3.073170,3.092576,3.109076,3.180701,3.382939", \ - "3.575558,3.558209,3.535851,3.523747,3.481719,3.447264,3.454230", \ - "4.324024,4.271411,4.238071,4.161053,4.074352,3.942980,3.782555"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("16.105780,16.158620,16.203290,16.279480,16.328340,16.404330,16.606930", \ - "15.983490,16.022850,16.090460,16.122640,16.216600,16.301870,16.511620", \ - "15.807460,15.871330,15.926260,15.965890,16.141000,16.250110,16.479460", \ - "15.732250,15.789750,15.821080,15.858170,15.965450,16.201460,16.316790", \ - "15.750060,15.809330,15.827180,15.877330,15.976020,16.074540,16.313210", \ - "15.805390,15.950460,15.994350,16.048260,16.022440,16.206010,16.284490", \ - "15.822280,15.955750,16.096270,16.328180,16.352740,16.470680,16.473710"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI211_X1 - Cell Description : Combinational cell (AOI211_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI211_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 17.409673; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 27.816380; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 42.036683; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 32.351161; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 17.246785; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 7.419918; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 12.421905; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 12.421449; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 15.949371; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 7.201503; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 12.274589; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 12.274133; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 15.937965; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 10.746710; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 15.853440; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 15.853174; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 20.749603; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.558265; - fall_capacitance : 1.490749; - rise_capacitance : 1.558265; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.590177; - fall_capacitance : 1.424886; - rise_capacitance : 1.590177; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.601241; - fall_capacitance : 1.375211; - rise_capacitance : 1.601241; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.607770; - fall_capacitance : 1.345406; - rise_capacitance : 1.607770; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 14.473090; - function : "!(((C1 & C2) | B) | A)"; - - timing () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.012719,0.013632,0.015286,0.018267,0.023570,0.032938,0.049506", \ - "0.018439,0.019297,0.020879,0.023764,0.028963,0.038237,0.054737", \ - "0.036307,0.037478,0.039545,0.043039,0.048715,0.057669,0.073759", \ - "0.055872,0.057723,0.060971,0.066562,0.075534,0.089354,0.109865", \ - "0.073023,0.075733,0.080147,0.088209,0.101292,0.121287,0.150579", \ - "0.084684,0.088368,0.094545,0.105272,0.123128,0.150399,0.190091", \ - "0.088918,0.093691,0.101857,0.115833,0.138905,0.174343,0.225941"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.167430,0.175980,0.191791,0.220697,0.273712,0.371021,0.550118", \ - "0.170217,0.178864,0.194819,0.224083,0.277440,0.375254,0.554835", \ - "0.186776,0.195342,0.211165,0.240267,0.293614,0.391612,0.571650", \ - "0.216074,0.224591,0.240203,0.269169,0.322260,0.420037,0.599814", \ - "0.256931,0.265622,0.281296,0.309769,0.362731,0.460274,0.639902", \ - "0.302007,0.311885,0.329760,0.361279,0.416939,0.514487,0.693883", \ - "0.349456,0.360645,0.380818,0.416244,0.477669,0.582974,0.763715"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.010135,0.010911,0.012301,0.014779,0.019165,0.026924,0.040855", \ - "0.009644,0.010424,0.011855,0.014399,0.018864,0.026710,0.040727", \ - "0.015341,0.015844,0.016716,0.018224,0.020882,0.026954,0.040345", \ - "0.026627,0.027380,0.028661,0.030770,0.034262,0.039841,0.048625", \ - "0.041608,0.042686,0.044723,0.047716,0.052446,0.059783,0.070902", \ - "0.060523,0.062021,0.064859,0.069178,0.075522,0.085023,0.098967", \ - "0.083278,0.085331,0.089038,0.094883,0.103389,0.115663,0.132958"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.083753,0.091151,0.104946,0.130102,0.176374,0.261267,0.417396", \ - "0.083750,0.091144,0.104920,0.130179,0.176330,0.261283,0.417396", \ - "0.083761,0.091150,0.104920,0.130171,0.176307,0.261291,0.417394", \ - "0.083790,0.091167,0.104929,0.130161,0.176398,0.261331,0.417397", \ - "0.087062,0.093862,0.106594,0.130664,0.176287,0.261265,0.417392", \ - "0.099909,0.106740,0.119429,0.141545,0.182341,0.262280,0.417388", \ - "0.116598,0.123616,0.136622,0.159931,0.201227,0.274743,0.419914"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.010678,0.011529,0.013088,0.015932,0.021098,0.030383,0.046989", \ - "0.016484,0.017287,0.018779,0.021538,0.026587,0.035761,0.052278", \ - "0.032919,0.034210,0.036475,0.040239,0.046241,0.055451,0.071438", \ - "0.050434,0.052479,0.056063,0.062116,0.071674,0.086172,0.107342", \ - "0.065223,0.068233,0.073085,0.081900,0.095860,0.116840,0.147121", \ - "0.074182,0.078262,0.085070,0.096673,0.115783,0.144487,0.185548", \ - "0.075352,0.080605,0.089555,0.104708,0.129340,0.166622,0.220037"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.179280,0.189172,0.207411,0.240816,0.302028,0.414426,0.621359", \ - "0.181663,0.191654,0.210057,0.243857,0.305537,0.418474,0.625964", \ - "0.197894,0.207789,0.226077,0.259638,0.321296,0.434422,0.642459", \ - "0.226952,0.236797,0.254841,0.288272,0.349657,0.462460,0.670243", \ - "0.267056,0.277006,0.295064,0.327965,0.389163,0.501703,0.709256", \ - "0.311310,0.322408,0.342539,0.378214,0.441042,0.553484,0.760643", \ - "0.357747,0.370095,0.392441,0.431815,0.500333,0.618977,0.826253"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.008269,0.009064,0.010499,0.013081,0.017675,0.025776,0.040102", \ - "0.008214,0.008912,0.010253,0.012836,0.017434,0.025556,0.039933", \ - "0.015334,0.015827,0.016692,0.018188,0.020722,0.026373,0.039475", \ - "0.027404,0.028134,0.029358,0.031406,0.034800,0.040247,0.048884", \ - "0.043353,0.044340,0.046335,0.049130,0.053627,0.060693,0.071538", \ - "0.063263,0.064669,0.067359,0.071489,0.077443,0.086533,0.099967", \ - "0.087085,0.089046,0.092582,0.098125,0.106163,0.117843,0.134435"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.089420,0.098073,0.114207,0.144052,0.198212,0.297997,0.481146", \ - "0.089437,0.098085,0.114211,0.143937,0.198229,0.297995,0.481156", \ - "0.089464,0.098098,0.114222,0.144060,0.198287,0.297999,0.481150", \ - "0.089512,0.098131,0.114220,0.143963,0.198246,0.297999,0.481147", \ - "0.092280,0.100316,0.115496,0.144243,0.198143,0.297984,0.481148", \ - "0.103963,0.112053,0.126976,0.153126,0.202489,0.298283,0.481133", \ - "0.118911,0.127222,0.142605,0.170208,0.219347,0.307824,0.482279"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.010904,0.011753,0.013310,0.016157,0.021318,0.030607,0.047222", \ - "0.016701,0.017504,0.018998,0.021756,0.026809,0.035984,0.052507", \ - "0.033320,0.034595,0.036812,0.040536,0.046493,0.055663,0.071664", \ - "0.051086,0.053107,0.056650,0.062625,0.072118,0.086527,0.107614", \ - "0.066287,0.069226,0.074025,0.082729,0.096560,0.117409,0.147574", \ - "0.075752,0.079736,0.086491,0.097981,0.116830,0.145326,0.186228", \ - "0.077567,0.082717,0.091602,0.106582,0.130927,0.167891,0.221036"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.212297,0.222226,0.240556,0.274215,0.335604,0.448286,0.655639", \ - "0.215210,0.225221,0.243678,0.277550,0.339306,0.452519,0.660289", \ - "0.231233,0.241187,0.259562,0.293370,0.355274,0.468571,0.676874", \ - "0.260066,0.269981,0.288140,0.321824,0.383406,0.496554,0.704676", \ - "0.300321,0.310203,0.328290,0.361552,0.422950,0.535799,0.743629", \ - "0.348221,0.358930,0.378417,0.413146,0.474757,0.587413,0.794965", \ - "0.398713,0.410460,0.431816,0.469858,0.536772,0.653250,0.860409"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.009054,0.009871,0.011360,0.014028,0.018772,0.027098,0.041758", \ - "0.008943,0.009679,0.011089,0.013758,0.018506,0.026864,0.041587", \ - "0.016421,0.016879,0.017707,0.019136,0.021660,0.027604,0.041110", \ - "0.030283,0.030863,0.031873,0.033643,0.036745,0.041937,0.050438", \ - "0.049564,0.050205,0.051672,0.053775,0.057527,0.063858,0.074084", \ - "0.073603,0.074471,0.076217,0.079196,0.083826,0.091465,0.103710", \ - "0.101590,0.102772,0.105057,0.108905,0.115014,0.124653,0.139444"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.105792,0.114602,0.131054,0.161199,0.215960,0.316357,0.500230", \ - "0.105794,0.114603,0.131058,0.161225,0.215967,0.316335,0.500238", \ - "0.105798,0.114603,0.131064,0.161201,0.216078,0.316353,0.500236", \ - "0.105810,0.114613,0.131056,0.161195,0.215948,0.316337,0.500238", \ - "0.106679,0.115242,0.131409,0.161264,0.215976,0.316340,0.500229", \ - "0.117288,0.125243,0.139847,0.166977,0.218295,0.316435,0.500235", \ - "0.131722,0.140174,0.155799,0.183678,0.232767,0.323576,0.500607"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.013353,0.014218,0.015784,0.018594,0.023600,0.032502,0.048460", \ - "0.018932,0.019768,0.021297,0.024067,0.029038,0.037919,0.053870", \ - "0.035989,0.037155,0.039205,0.042684,0.048315,0.057178,0.072932", \ - "0.053781,0.055685,0.058969,0.064671,0.073794,0.087778,0.108450", \ - "0.068114,0.070949,0.075629,0.084048,0.097561,0.118080,0.147903", \ - "0.076154,0.080087,0.086724,0.098074,0.116799,0.145080,0.185808", \ - "0.075952,0.081101,0.089946,0.104877,0.129328,0.166374,0.219653"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.155264,0.163831,0.179636,0.208541,0.261544,0.358909,0.537963", \ - "0.156333,0.164979,0.180932,0.210201,0.263559,0.361372,0.540934", \ - "0.170088,0.178646,0.194511,0.223567,0.276919,0.374934,0.554987", \ - "0.200991,0.209502,0.224882,0.253779,0.306886,0.404621,0.584451", \ - "0.246798,0.255720,0.271644,0.300270,0.353196,0.450669,0.630282", \ - "0.297704,0.308583,0.327908,0.361543,0.418752,0.516324,0.695602", \ - "0.353082,0.366092,0.389012,0.428398,0.494402,0.602692,0.782930"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.009519,0.010192,0.011425,0.013658,0.017725,0.025159,0.038877", \ - "0.009154,0.009866,0.011150,0.013460,0.017601,0.025097,0.038861", \ - "0.014330,0.014839,0.015722,0.017239,0.019875,0.025719,0.038756", \ - "0.025187,0.025957,0.027297,0.029478,0.033069,0.038747,0.047641", \ - "0.040068,0.041179,0.043294,0.046363,0.051219,0.058698,0.069961", \ - "0.059147,0.060709,0.063605,0.068085,0.074495,0.084145,0.098176", \ - "0.082282,0.084382,0.088205,0.094268,0.102891,0.115227,0.132510"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.083739,0.091152,0.104941,0.130105,0.176343,0.261354,0.417393", \ - "0.083761,0.091143,0.104910,0.130166,0.176319,0.261261,0.417393", \ - "0.083768,0.091158,0.104931,0.130111,0.176334,0.261265,0.417399", \ - "0.083847,0.091208,0.104939,0.130129,0.176407,0.261272,0.417394", \ - "0.090332,0.096599,0.108509,0.131386,0.176274,0.261244,0.417389", \ - "0.110755,0.116910,0.128466,0.148022,0.185453,0.262556,0.417382", \ - "0.136868,0.143158,0.154839,0.175717,0.212476,0.278877,0.419616"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.011273,0.012125,0.013680,0.016494,0.021541,0.030547,0.046646", \ - "0.017026,0.017839,0.019339,0.022076,0.027048,0.035982,0.052040", \ - "0.033098,0.034374,0.036607,0.040337,0.046275,0.055391,0.071120", \ - "0.049165,0.051236,0.054869,0.060980,0.070604,0.085167,0.106387", \ - "0.061355,0.064486,0.069634,0.078656,0.092987,0.114359,0.145009", \ - "0.066784,0.071108,0.078327,0.090610,0.110471,0.139973,0.181879", \ - "0.063545,0.069183,0.078807,0.094925,0.120824,0.159591,0.214539"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.167567,0.177459,0.195674,0.229134,0.290307,0.402648,0.609636", \ - "0.168222,0.178212,0.196637,0.230396,0.292094,0.405038,0.612519", \ - "0.181776,0.191685,0.209935,0.243582,0.305117,0.418299,0.626319", \ - "0.212076,0.221907,0.239695,0.273115,0.334427,0.447256,0.655009", \ - "0.255553,0.265700,0.284054,0.317002,0.378035,0.490653,0.698084", \ - "0.303837,0.315804,0.337245,0.374924,0.438999,0.551377,0.758510", \ - "0.357831,0.371690,0.396293,0.438915,0.511116,0.632031,0.839052"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.008332,0.009066,0.010393,0.012776,0.017032,0.024640,0.038426", \ - "0.008119,0.008808,0.010119,0.012531,0.016827,0.024500,0.038356", \ - "0.014473,0.014968,0.015842,0.017341,0.019901,0.025394,0.038126", \ - "0.025848,0.026596,0.027853,0.029964,0.033464,0.039034,0.047814", \ - "0.041273,0.042340,0.044359,0.047313,0.052000,0.059275,0.070331", \ - "0.060924,0.062444,0.065305,0.069542,0.075739,0.085068,0.098796", \ - "0.084690,0.086748,0.090475,0.096355,0.104670,0.116574,0.133403"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.089417,0.098075,0.114199,0.143941,0.198208,0.298008,0.481156", \ - "0.089440,0.098084,0.114199,0.143954,0.198290,0.297995,0.481151", \ - "0.089458,0.098101,0.114207,0.143923,0.198208,0.298000,0.481145", \ - "0.089583,0.098181,0.114253,0.143927,0.198207,0.298000,0.481147", \ - "0.095333,0.102885,0.117276,0.144719,0.198148,0.297991,0.481150", \ - "0.112806,0.120440,0.134652,0.158807,0.205162,0.298470,0.481151", \ - "0.135039,0.142734,0.157037,0.182722,0.228278,0.311125,0.482193"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.011502,0.012352,0.013904,0.016717,0.021759,0.030762,0.046869", \ - "0.017246,0.018058,0.019557,0.022295,0.027260,0.036199,0.052264", \ - "0.033483,0.034744,0.036937,0.040622,0.046519,0.055601,0.071338", \ - "0.049799,0.051855,0.055452,0.061490,0.071049,0.085527,0.106670", \ - "0.062435,0.065488,0.070536,0.079508,0.093682,0.114919,0.145447", \ - "0.068393,0.072623,0.079799,0.091909,0.111534,0.140838,0.182572", \ - "0.065838,0.071391,0.080922,0.096875,0.122476,0.160918,0.215501"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.200585,0.210515,0.228808,0.262473,0.323985,0.436593,0.643888", \ - "0.201775,0.211785,0.230217,0.264088,0.325976,0.439067,0.646839", \ - "0.215127,0.225082,0.243458,0.277250,0.339127,0.452416,0.660759", \ - "0.245169,0.255075,0.273010,0.306530,0.368256,0.481355,0.689417", \ - "0.289167,0.299064,0.317292,0.350438,0.411755,0.524689,0.732437", \ - "0.343308,0.354525,0.374795,0.410742,0.472610,0.585389,0.792744", \ - "0.403428,0.416180,0.439056,0.479282,0.548620,0.666143,0.873137"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.009074,0.009828,0.011188,0.013635,0.018012,0.025826,0.039971", \ - "0.008817,0.009534,0.010891,0.013378,0.017802,0.025690,0.039897", \ - "0.015472,0.015941,0.016785,0.018242,0.020758,0.026526,0.039667", \ - "0.028517,0.029132,0.030214,0.032087,0.035321,0.040676,0.049315", \ - "0.047359,0.048064,0.049591,0.051866,0.055824,0.062376,0.072829", \ - "0.071400,0.072328,0.074197,0.077270,0.082110,0.090011,0.102487", \ - "0.099594,0.100857,0.103274,0.107293,0.113584,0.123441,0.138435"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.105793,0.114601,0.131048,0.161186,0.216013,0.316342,0.500243", \ - "0.105796,0.114600,0.131048,0.161182,0.215962,0.316344,0.500233", \ - "0.105798,0.114604,0.131032,0.161182,0.216095,0.316358,0.500244", \ - "0.105823,0.114617,0.131024,0.161153,0.216078,0.316342,0.500245", \ - "0.108018,0.116161,0.131845,0.161307,0.215908,0.316332,0.500243", \ - "0.123995,0.131531,0.145155,0.170563,0.219758,0.316456,0.500239", \ - "0.144663,0.152618,0.167382,0.193710,0.239217,0.325773,0.500468"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.0197322,0.0212223,0.0239697,0.0290292,0.0383299,0.0554777,0.0870786", \ - "0.0243150,0.0258333,0.0286276,0.0337294,0.0430977,0.0602933,0.0919449", \ - "0.0392803,0.0413145,0.0448243,0.0506626,0.0601175,0.0772731,0.108920", \ - "0.0532215,0.0565102,0.0621995,0.0716516,0.0864670,0.108711,0.141619", \ - "0.0611646,0.0659867,0.0741259,0.0878604,0.109268,0.141102,0.186689", \ - "0.0609862,0.0675060,0.0784988,0.0968534,0.125729,0.168456,0.229088", \ - "0.0513583,0.0596844,0.0738532,0.0973160,0.134147,0.188714,0.266000"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.100399,0.110422,0.128829,0.162507,0.223890,0.336434,0.543521", \ - "0.0994544,0.109729,0.128574,0.162857,0.225287,0.338802,0.546726", \ - "0.109839,0.119739,0.138100,0.172019,0.234412,0.348479,0.557401", \ - "0.142194,0.151035,0.167975,0.200522,0.261425,0.374008,0.582016", \ - "0.192685,0.203052,0.221233,0.252346,0.310077,0.420415,0.625898", \ - "0.247789,0.260408,0.282607,0.320550,0.383665,0.490387,0.692785", \ - "0.308585,0.323345,0.349358,0.393935,0.467886,0.586455,0.784938"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.0121144,0.0133928,0.0157650,0.0201570,0.0282593,0.0432042,0.0708338", \ - "0.0121167,0.0133926,0.0157740,0.0201520,0.0282580,0.0432153,0.0708299", \ - "0.0171578,0.0180626,0.0196344,0.0225069,0.0289700,0.0432053,0.0708132", \ - "0.0299758,0.0311969,0.0333268,0.0368488,0.0427284,0.0523344,0.0729788", \ - "0.0472857,0.0489897,0.0521266,0.0567467,0.0641950,0.0759105,0.0942920", \ - "0.0691676,0.0713869,0.0753572,0.0815968,0.0910293,0.105551,0.127381", \ - "0.0955040,0.0983271,0.103335,0.111076,0.123014,0.140797,0.166895"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.0888219,0.0977188,0.114176,0.143920,0.198215,0.298005,0.481143", \ - "0.0884717,0.0974797,0.114047,0.143881,0.198251,0.298001,0.481143", \ - "0.0868642,0.0962805,0.113365,0.143658,0.198197,0.298010,0.481149", \ - "0.0853780,0.0938361,0.110081,0.141797,0.197885,0.298010,0.481146", \ - "0.102221,0.108145,0.120375,0.145140,0.196019,0.297771,0.481156", \ - "0.124962,0.132349,0.145950,0.169239,0.209951,0.298273,0.481133", \ - "0.151512,0.159747,0.174676,0.200079,0.243390,0.318704,0.481835"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.0224524,0.0239402,0.0266820,0.0317255,0.0410225,0.0581564,0.0897718", \ - "0.0276416,0.0291514,0.0319296,0.0370234,0.0463710,0.0635559,0.0952026", \ - "0.0418357,0.0436328,0.0468136,0.0523757,0.0619087,0.0792152,0.110968", \ - "0.0589460,0.0615885,0.0661396,0.0738289,0.0863841,0.106667,0.139802", \ - "0.0730365,0.0771009,0.0838418,0.0950919,0.112749,0.139300,0.179614", \ - "0.0807185,0.0864317,0.0956716,0.111707,0.136348,0.172182,0.223202", \ - "0.0798318,0.0875160,0.100164,0.121039,0.153823,0.201168,0.266663"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.130490,0.140371,0.158571,0.192019,0.253497,0.365995,0.573170", \ - "0.130785,0.140820,0.159274,0.193155,0.254997,0.367999,0.575612", \ - "0.141883,0.151810,0.170179,0.203987,0.266084,0.379461,0.587635", \ - "0.172250,0.181847,0.199475,0.232573,0.293682,0.406220,0.613730", \ - "0.225563,0.235014,0.251573,0.282601,0.342031,0.452858,0.658408", \ - "0.288296,0.299757,0.320113,0.355358,0.414863,0.522631,0.725556", \ - "0.356442,0.369901,0.393814,0.435261,0.505036,0.618780,0.817608"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.0121210,0.0133925,0.0157701,0.0201598,0.0282536,0.0432104,0.0708230", \ - "0.0121195,0.0134005,0.0157690,0.0201520,0.0282539,0.0432056,0.0708203", \ - "0.0142597,0.0153869,0.0174065,0.0211604,0.0285696,0.0432065,0.0708220", \ - "0.0214303,0.0225535,0.0246064,0.0283385,0.0351944,0.0477515,0.0720591", \ - "0.0339314,0.0351964,0.0374505,0.0412584,0.0478915,0.0600760,0.0827023", \ - "0.0509923,0.0525242,0.0554009,0.0597890,0.0669506,0.0790353,0.100877", \ - "0.0720688,0.0740082,0.0774979,0.0831008,0.0915342,0.104771,0.126528"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.105664,0.114517,0.131046,0.161252,0.216026,0.316342,0.500232", \ - "0.105585,0.114465,0.131017,0.161183,0.216099,0.316341,0.500235", \ - "0.105138,0.114158,0.130841,0.161171,0.216076,0.316343,0.500233", \ - "0.102117,0.111559,0.129252,0.160522,0.215846,0.316351,0.500232", \ - "0.110160,0.117632,0.132052,0.159862,0.213843,0.316304,0.500240", \ - "0.132999,0.140454,0.154180,0.177106,0.222424,0.315345,0.500251", \ - "0.159120,0.167448,0.182494,0.208412,0.251964,0.331166,0.499883"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.710566,0.733800,0.760643,0.805800,0.876898,0.954673,1.041352", \ - "0.694778,0.709199,0.746191,0.787970,0.843403,0.925318,1.005087", \ - "0.741827,0.745687,0.758632,0.781424,0.829147,0.895373,0.978210", \ - "0.898887,0.902270,0.903619,0.913830,0.910534,0.928110,0.974991", \ - "1.056021,1.058251,1.050825,1.048059,1.059960,1.058157,1.053580", \ - "1.256285,1.253484,1.252929,1.238329,1.231256,1.214395,1.196216", \ - "1.522988,1.515393,1.513419,1.501598,1.472936,1.433657,1.388750"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("3.795549,3.800789,3.800190,3.802416,3.804211,3.814368,3.815360", \ - "3.743869,3.750424,3.753481,3.769281,3.770600,3.786752,3.793764", \ - "3.697466,3.699114,3.702183,3.705043,3.715123,3.733606,3.771209", \ - "3.675674,3.680344,3.681560,3.683060,3.688954,3.705268,3.715363", \ - "3.673674,3.674286,3.670267,3.670862,3.658975,3.674075,3.706530", \ - "3.687620,3.688306,3.688428,3.679011,3.673002,3.684068,3.690560", \ - "3.682745,3.696450,3.722960,3.743038,3.734551,3.710430,3.710211"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.468398,0.492423,0.538461,0.593210,0.676630,0.783057,0.895499", \ - "0.468413,0.493460,0.527587,0.576270,0.653113,0.757814,0.862952", \ - "0.569848,0.573921,0.580645,0.606698,0.654144,0.737835,0.826276", \ - "0.751760,0.756135,0.760112,0.756729,0.772331,0.786989,0.844455", \ - "0.926391,0.920464,0.918284,0.923589,0.922650,0.927063,0.920246", \ - "1.129028,1.128244,1.124386,1.117590,1.105213,1.085544,1.068258", \ - "1.396959,1.391960,1.387183,1.367517,1.342050,1.306710,1.260423"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("3.520954,3.525207,3.529198,3.537846,3.533405,3.549815,3.542736", \ - "3.466790,3.472280,3.483406,3.491585,3.500287,3.523107,3.522174", \ - "3.421708,3.426164,3.428429,3.444383,3.446640,3.471687,3.476951", \ - "3.401113,3.404822,3.407304,3.412858,3.420335,3.422343,3.445496", \ - "3.395019,3.398271,3.400502,3.395427,3.404158,3.418122,3.418196", \ - "3.409477,3.412899,3.410131,3.402555,3.396994,3.417118,3.414229", \ - "3.404688,3.420378,3.450973,3.462088,3.451332,3.438198,3.433053"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.567630,0.588535,0.604361,0.659020,0.707224,0.808532,0.910604", \ - "0.544118,0.564925,0.587094,0.632924,0.689483,0.782443,0.878017", \ - "0.605744,0.610790,0.625754,0.651674,0.683831,0.754155,0.844071", \ - "0.779509,0.780109,0.775476,0.780034,0.778208,0.799928,0.856222", \ - "0.946759,0.941746,0.938875,0.935218,0.933053,0.928139,0.931681", \ - "1.151086,1.148894,1.143585,1.132859,1.113927,1.098047,1.074813", \ - "1.423930,1.416669,1.403926,1.389082,1.358820,1.318689,1.262625"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("4.124808,4.129726,4.135157,4.149900,4.164035,4.167189,4.169044", \ - "4.080903,4.086837,4.096583,4.109944,4.114440,4.142157,4.170289", \ - "4.030324,4.035490,4.045053,4.054629,4.082197,4.092511,4.126059", \ - "4.006914,4.011590,4.013652,4.030109,4.034602,4.067896,4.074488", \ - "3.999906,4.004297,4.009289,4.013940,4.012381,4.038277,4.067511", \ - "4.011319,4.015253,4.014354,4.010444,4.030529,4.037890,4.063738", \ - "4.036938,4.055494,4.072081,4.075759,4.060851,4.077372,4.080008"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.683304,0.689740,0.704682,0.724739,0.744953,0.785286,0.818973", \ - "0.687746,0.694212,0.706843,0.731514,0.753204,0.798132,0.832604", \ - "0.716729,0.718260,0.713604,0.738055,0.762028,0.798400,0.837620", \ - "0.811058,0.814319,0.809252,0.815877,0.814190,0.811507,0.840073", \ - "0.918698,0.920245,0.920074,0.913523,0.913132,0.908928,0.890905", \ - "1.087195,1.082021,1.082786,1.066559,1.057805,1.038277,1.012293", \ - "1.323737,1.322955,1.314358,1.299060,1.276067,1.230498,1.184234"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("3.264928,3.266224,3.272901,3.269075,3.283195,3.294274,3.294938", \ - "3.212612,3.219092,3.225214,3.228641,3.234912,3.250899,3.282384", \ - "3.165896,3.171279,3.173980,3.184966,3.191868,3.210979,3.224281", \ - "3.148473,3.151506,3.151130,3.147155,3.159688,3.175107,3.200860", \ - "3.147785,3.147915,3.146815,3.146356,3.156614,3.147011,3.178389", \ - "3.185353,3.184288,3.183654,3.172984,3.156605,3.181640,3.189067", \ - "3.248285,3.257280,3.281551,3.277484,3.241498,3.227060,3.214918"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.514426,0.532664,0.556881,0.589154,0.630899,0.683680,0.736833", \ - "0.521253,0.530776,0.552949,0.582702,0.635916,0.683379,0.740092", \ - "0.592350,0.593980,0.584320,0.602081,0.636313,0.676154,0.727821", \ - "0.699951,0.701769,0.699565,0.704701,0.705213,0.705107,0.731510", \ - "0.822646,0.823343,0.813844,0.820592,0.816155,0.803997,0.790129", \ - "0.993590,0.991635,0.983937,0.974194,0.963122,0.936365,0.908405", \ - "1.230559,1.222788,1.213611,1.196659,1.172723,1.130654,1.080045"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("2.997490,3.001642,3.007134,3.013426,3.017162,3.013625,3.006012", \ - "2.946257,2.951739,2.960032,2.973632,2.971986,2.994579,2.993414", \ - "2.900342,2.904917,2.910566,2.919614,2.928514,2.954449,2.959715", \ - "2.880630,2.884160,2.879149,2.887434,2.898408,2.901123,2.924437", \ - "2.878912,2.881820,2.883091,2.885595,2.885485,2.906016,2.905052", \ - "2.915236,2.914155,2.916956,2.910021,2.905464,2.900494,2.914622", \ - "2.968840,2.981690,3.011796,2.999508,2.970514,2.965401,2.948382"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.570322,0.577716,0.586592,0.611928,0.647961,0.690675,0.743433", \ - "0.570571,0.585358,0.596544,0.618172,0.649077,0.698422,0.746818", \ - "0.607156,0.608479,0.617243,0.631807,0.658914,0.688535,0.735707", \ - "0.712724,0.713841,0.715234,0.715278,0.706902,0.708862,0.739091", \ - "0.834949,0.829173,0.829204,0.821307,0.821138,0.807160,0.789487", \ - "1.004639,1.000178,0.993681,0.980423,0.966588,0.942433,0.910407", \ - "1.249198,1.240297,1.230243,1.213244,1.182248,1.136413,1.079640"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("3.601471,3.606232,3.610108,3.617744,3.628861,3.651289,3.653455", \ - "3.557234,3.563033,3.570608,3.583835,3.605782,3.633847,3.641703", \ - "3.509197,3.514449,3.524445,3.534613,3.545856,3.575114,3.609206", \ - "3.486104,3.490733,3.492358,3.507232,3.522720,3.541425,3.552885", \ - "3.482558,3.486731,3.494383,3.495239,3.512638,3.525958,3.533948", \ - "3.513235,3.512869,3.517675,3.520097,3.515961,3.540976,3.542511", \ - "3.592254,3.607546,3.610845,3.607091,3.594735,3.581701,3.595051"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.289782,0.282760,0.272661,0.260553,0.248671,0.232146,0.230601", \ - "0.275434,0.272424,0.265361,0.259442,0.255024,0.249981,0.249852", \ - "0.250492,0.248540,0.253006,0.256760,0.256668,0.266973,0.274890", \ - "0.305463,0.304706,0.300189,0.290419,0.275426,0.278166,0.286298", \ - "0.408931,0.404780,0.399678,0.385170,0.365301,0.337240,0.316189", \ - "0.576674,0.570898,0.555157,0.533875,0.504826,0.459283,0.406707", \ - "0.818565,0.809331,0.792389,0.757981,0.714612,0.646609,0.564632"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("2.195568,2.201887,2.204734,2.215242,2.209382,2.226951,2.220298", \ - "2.118727,2.126784,2.138123,2.160914,2.178690,2.207729,2.210682", \ - "2.056047,2.061182,2.066004,2.078719,2.108964,2.141524,2.156379", \ - "2.102027,2.101279,2.096188,2.090673,2.091607,2.113178,2.119582", \ - "2.232944,2.228001,2.219928,2.190899,2.175328,2.156858,2.140034", \ - "2.450830,2.440867,2.431029,2.402079,2.341088,2.305804,2.245605", \ - "2.672952,2.677561,2.689031,2.691333,2.625569,2.531245,2.450218"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.284182,0.282750,0.272226,0.260066,0.244747,0.236407,0.228276", \ - "0.273919,0.272005,0.264301,0.258686,0.257833,0.249776,0.252934", \ - "0.233332,0.239267,0.237706,0.243649,0.252236,0.259505,0.272285", \ - "0.243778,0.244626,0.245961,0.246677,0.241783,0.254114,0.272216", \ - "0.281536,0.281684,0.282573,0.280626,0.284000,0.279656,0.272178", \ - "0.374896,0.370228,0.364986,0.356203,0.354297,0.344334,0.323141", \ - "0.532372,0.527147,0.514498,0.498131,0.480385,0.451558,0.416698"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("2.751977,2.755937,2.762744,2.762660,2.773351,2.794209,2.794969", \ - "2.693232,2.699034,2.707337,2.720177,2.744960,2.750815,2.776853", \ - "2.646083,2.651002,2.658684,2.675427,2.694289,2.725543,2.737722", \ - "2.683821,2.686328,2.685005,2.682104,2.688038,2.711604,2.717548", \ - "2.804317,2.804331,2.801842,2.793924,2.771386,2.780513,2.769288", \ - "3.009677,3.005934,2.995704,2.979786,2.956800,2.910630,2.882506", \ - "3.249711,3.259735,3.281004,3.259934,3.205513,3.156715,3.064991"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI211_X2 - Cell Description : Combinational cell (AOI211_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI211_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 34.811020; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 55.642355; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 84.082980; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 64.711821; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 34.493550; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 14.826469; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 24.830511; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 24.829656; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 31.886807; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 14.388833; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 24.535355; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 24.534500; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 31.863798; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 21.479110; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 31.692627; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 31.692152; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 41.485793; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.992446; - fall_capacitance : 2.859370; - rise_capacitance : 2.992446; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.292884; - fall_capacitance : 2.952973; - rise_capacitance : 3.292884; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.055954; - fall_capacitance : 2.594700; - rise_capacitance : 3.055954; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.300606; - fall_capacitance : 2.770766; - rise_capacitance : 3.300606; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 28.926100; - function : "!(((C1 & C2) | B) | A)"; - - timing () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.012249,0.013052,0.014381,0.016971,0.021965,0.031481,0.049596", \ - "0.017995,0.018748,0.020010,0.022506,0.027383,0.036787,0.054825", \ - "0.035657,0.036711,0.038429,0.041550,0.047061,0.056290,0.073841", \ - "0.054802,0.056475,0.059219,0.064210,0.072925,0.087326,0.109961", \ - "0.071392,0.073815,0.077613,0.084799,0.097508,0.118361,0.150710", \ - "0.082421,0.085725,0.090946,0.100539,0.117915,0.146396,0.190277", \ - "0.085961,0.090234,0.097034,0.109435,0.131947,0.169060,0.226147"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.162493,0.169983,0.182520,0.207428,0.256770,0.354871,0.550346", \ - "0.165224,0.172792,0.185478,0.210607,0.260373,0.359044,0.555055", \ - "0.181858,0.189350,0.201909,0.226915,0.276560,0.375360,0.571895", \ - "0.211186,0.218604,0.231026,0.255840,0.305267,0.403779,0.600073", \ - "0.251864,0.259517,0.272089,0.296543,0.345829,0.444091,0.640085", \ - "0.296231,0.304950,0.319284,0.346689,0.399425,0.498312,0.694020", \ - "0.342856,0.352774,0.369017,0.400069,0.458343,0.565943,0.763823"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.009703,0.010384,0.011498,0.013664,0.017796,0.025668,0.040889", \ - "0.009210,0.009878,0.011034,0.013255,0.017473,0.025443,0.040764", \ - "0.015055,0.015498,0.016200,0.017528,0.019985,0.025882,0.040384", \ - "0.026212,0.026869,0.027865,0.029781,0.033163,0.038963,0.048633", \ - "0.041011,0.041989,0.043552,0.046289,0.050935,0.058615,0.070893", \ - "0.059717,0.061049,0.063273,0.067197,0.073476,0.083497,0.098909", \ - "0.082170,0.083999,0.086996,0.092417,0.100767,0.113716,0.132882"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.079482,0.085953,0.096813,0.118430,0.161439,0.246917,0.417328", \ - "0.079485,0.085959,0.096826,0.118406,0.161413,0.246916,0.417323", \ - "0.079513,0.085963,0.096829,0.118442,0.161392,0.246919,0.417351", \ - "0.079554,0.085996,0.096841,0.118437,0.161381,0.246923,0.417324", \ - "0.083175,0.089075,0.099072,0.119434,0.161482,0.246875,0.417328", \ - "0.095944,0.101952,0.111948,0.131441,0.169048,0.248554,0.417326", \ - "0.112513,0.118676,0.128964,0.149223,0.188073,0.262331,0.419828"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.010250,0.010993,0.012235,0.014698,0.019534,0.028943,0.047094", \ - "0.016084,0.016784,0.017969,0.020337,0.025052,0.034333,0.052378", \ - "0.032225,0.033392,0.035261,0.038645,0.044501,0.054092,0.071532", \ - "0.049243,0.051113,0.054144,0.059569,0.068909,0.084066,0.107447", \ - "0.063422,0.066128,0.070317,0.078196,0.091832,0.113808,0.147275", \ - "0.071692,0.075366,0.081099,0.091587,0.110276,0.140311,0.185727", \ - "0.072113,0.076833,0.084294,0.097785,0.121957,0.161134,0.220253"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.173579,0.182245,0.196710,0.225521,0.282502,0.395837,0.621667", \ - "0.175902,0.184647,0.199272,0.228336,0.285821,0.399808,0.626244", \ - "0.192225,0.200872,0.215352,0.244247,0.301604,0.415736,0.642743", \ - "0.221285,0.229883,0.244226,0.272894,0.329999,0.443798,0.670566", \ - "0.261266,0.270016,0.284464,0.312666,0.369575,0.483104,0.709512", \ - "0.304795,0.314597,0.330730,0.361686,0.421231,0.534761,0.760846", \ - "0.350470,0.361399,0.379336,0.413810,0.478670,0.599646,0.826301"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.007832,0.008532,0.009677,0.011926,0.016251,0.024479,0.040142", \ - "0.007846,0.008444,0.009482,0.011678,0.016008,0.024259,0.039976", \ - "0.015041,0.015479,0.016171,0.017507,0.019919,0.025354,0.039519", \ - "0.027020,0.027644,0.028603,0.030448,0.033727,0.039383,0.048895", \ - "0.042774,0.043700,0.045186,0.047764,0.052180,0.059562,0.071503", \ - "0.062446,0.063778,0.065873,0.069616,0.075506,0.085037,0.099909", \ - "0.086001,0.087795,0.090647,0.095832,0.103697,0.115964,0.134342"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.084464,0.092020,0.104749,0.130217,0.180625,0.281174,0.481440", \ - "0.084488,0.092041,0.104764,0.130192,0.180624,0.281149,0.481434", \ - "0.084519,0.092059,0.104768,0.130197,0.180571,0.281136,0.481434", \ - "0.084575,0.092106,0.104802,0.130183,0.180575,0.281176,0.481441", \ - "0.087702,0.094681,0.106560,0.130825,0.180619,0.281164,0.481438", \ - "0.099289,0.106404,0.118211,0.141147,0.186457,0.281792,0.481425", \ - "0.114102,0.121412,0.133597,0.157673,0.203795,0.292757,0.482490"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.010477,0.011220,0.012462,0.014921,0.019756,0.029162,0.047326", \ - "0.016297,0.016996,0.018181,0.020552,0.025270,0.034551,0.052605", \ - "0.032611,0.033757,0.035605,0.038952,0.044761,0.054303,0.071758", \ - "0.049906,0.051763,0.054734,0.060100,0.069361,0.084424,0.107719", \ - "0.064504,0.067169,0.071281,0.079046,0.092550,0.114372,0.147707", \ - "0.073315,0.076895,0.082571,0.092904,0.111355,0.141157,0.186376", \ - "0.074379,0.079031,0.086374,0.099725,0.123558,0.162382,0.221225"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.206549,0.215245,0.229790,0.258757,0.316043,0.429631,0.655831", \ - "0.209410,0.218180,0.232815,0.261997,0.319686,0.433746,0.660476", \ - "0.225482,0.234185,0.248766,0.277838,0.335567,0.449796,0.677061", \ - "0.254337,0.262997,0.277447,0.306316,0.363863,0.477782,0.704859", \ - "0.294538,0.303195,0.317621,0.346049,0.403226,0.517018,0.743753", \ - "0.341923,0.351351,0.366955,0.397139,0.455035,0.568628,0.795040", \ - "0.391795,0.402157,0.419256,0.452462,0.515537,0.634337,0.860468"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.008602,0.009323,0.010515,0.012833,0.017307,0.025755,0.041807", \ - "0.008548,0.009179,0.010270,0.012566,0.017042,0.025526,0.041635", \ - "0.016161,0.016563,0.017213,0.018469,0.020815,0.026537,0.041161", \ - "0.029956,0.030442,0.031218,0.032775,0.035746,0.041106,0.050445", \ - "0.049213,0.049788,0.050839,0.052705,0.056277,0.062816,0.074081", \ - "0.073189,0.073937,0.075251,0.077856,0.082241,0.090190,0.103681", \ - "0.100991,0.102051,0.103811,0.107368,0.113145,0.123082,0.139399"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.100740,0.108442,0.121399,0.147202,0.198207,0.299304,0.500442", \ - "0.100745,0.108444,0.121402,0.147198,0.198232,0.299308,0.500444", \ - "0.100748,0.108447,0.121401,0.147204,0.198265,0.299307,0.500442", \ - "0.100759,0.108455,0.121401,0.147182,0.198318,0.299316,0.500440", \ - "0.101782,0.109243,0.121896,0.147335,0.198138,0.299301,0.500442", \ - "0.112525,0.119683,0.131290,0.154492,0.201612,0.299472,0.500432", \ - "0.126826,0.134267,0.146668,0.170976,0.217438,0.308064,0.500872"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.012853,0.013616,0.014873,0.017317,0.022019,0.031039,0.048462", \ - "0.018448,0.019181,0.020405,0.022805,0.027465,0.036456,0.053869", \ - "0.035271,0.036328,0.038026,0.041133,0.046606,0.055758,0.072939", \ - "0.052576,0.054308,0.057076,0.062174,0.071047,0.085638,0.108452", \ - "0.066293,0.068844,0.072844,0.080363,0.093531,0.114976,0.147937", \ - "0.073614,0.077151,0.082742,0.092956,0.111215,0.140828,0.185856", \ - "0.072616,0.077246,0.084597,0.097925,0.121848,0.160747,0.219722"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.150274,0.157761,0.170317,0.195211,0.244542,0.342645,0.538103", \ - "0.151291,0.158856,0.171516,0.196664,0.246428,0.345088,0.541110", \ - "0.165138,0.172608,0.185169,0.210164,0.259810,0.358631,0.555163", \ - "0.196018,0.203455,0.215785,0.240379,0.289784,0.388295,0.584584", \ - "0.241396,0.249402,0.262278,0.286876,0.336159,0.434397,0.630384", \ - "0.291189,0.300880,0.316563,0.346304,0.401014,0.500097,0.695763", \ - "0.345351,0.356969,0.375657,0.410631,0.474024,0.585544,0.783066"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.009118,0.009706,0.010687,0.012621,0.016415,0.023912,0.038885", \ - "0.008733,0.009360,0.010391,0.012397,0.016275,0.023847,0.038869", \ - "0.014028,0.014473,0.015184,0.016540,0.019003,0.024674,0.038765", \ - "0.024739,0.025414,0.026473,0.028435,0.031927,0.037835,0.047637", \ - "0.039450,0.040446,0.042071,0.044872,0.049652,0.057485,0.069926", \ - "0.058287,0.059680,0.061999,0.066022,0.072421,0.082596,0.098108", \ - "0.081072,0.083012,0.086105,0.091681,0.100197,0.113243,0.132404"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.079490,0.085954,0.096821,0.118425,0.161385,0.246905,0.417324", \ - "0.079500,0.085957,0.096816,0.118412,0.161387,0.246918,0.417321", \ - "0.079512,0.085962,0.096830,0.118418,0.161379,0.246922,0.417359", \ - "0.079620,0.086046,0.096860,0.118413,0.161410,0.246912,0.417357", \ - "0.086810,0.092191,0.101426,0.120706,0.161636,0.246893,0.417327", \ - "0.107161,0.112578,0.121611,0.139175,0.173005,0.249087,0.417313", \ - "0.133147,0.138689,0.147923,0.166105,0.200681,0.267262,0.419537"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.010794,0.011544,0.012787,0.015225,0.019962,0.029082,0.046661", \ - "0.016573,0.017282,0.018476,0.020836,0.025484,0.034525,0.052054", \ - "0.032353,0.033502,0.035348,0.038688,0.044486,0.053980,0.071125", \ - "0.047859,0.049748,0.052823,0.058318,0.067729,0.082967,0.106395", \ - "0.059370,0.062191,0.066576,0.074728,0.088732,0.111141,0.145054", \ - "0.064020,0.067915,0.074023,0.085109,0.104565,0.135577,0.181961", \ - "0.059918,0.065003,0.073025,0.087428,0.112949,0.153784,0.214601"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.161794,0.170457,0.184916,0.213690,0.270701,0.384038,0.609853", \ - "0.162398,0.171145,0.185756,0.214807,0.272311,0.386293,0.612734", \ - "0.176033,0.184693,0.199160,0.228036,0.285431,0.399534,0.626554", \ - "0.206331,0.214900,0.229170,0.257612,0.314665,0.428488,0.655186", \ - "0.249494,0.258484,0.273173,0.301523,0.358391,0.471852,0.698239", \ - "0.296683,0.307312,0.324613,0.357727,0.419043,0.532583,0.758567", \ - "0.349547,0.361911,0.381885,0.419613,0.488683,0.612757,0.839114"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.007913,0.008554,0.009621,0.011691,0.015682,0.023378,0.038431", \ - "0.007741,0.008327,0.009337,0.011430,0.015469,0.023232,0.038358", \ - "0.014151,0.014601,0.015302,0.016645,0.019087,0.024389,0.038137", \ - "0.025407,0.026061,0.027054,0.028949,0.032350,0.038141,0.047803", \ - "0.040657,0.041629,0.043190,0.045886,0.050481,0.058082,0.070283", \ - "0.060057,0.061466,0.063677,0.067591,0.073718,0.083526,0.098689", \ - "0.083492,0.085396,0.088402,0.093896,0.102058,0.114658,0.133284"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.084463,0.092021,0.104741,0.130183,0.180580,0.281147,0.481436", \ - "0.084485,0.092044,0.104759,0.130180,0.180618,0.281187,0.481439", \ - "0.084512,0.092059,0.104771,0.130185,0.180638,0.281148,0.481438", \ - "0.084675,0.092168,0.104825,0.130201,0.180594,0.281183,0.481431", \ - "0.091070,0.097601,0.108792,0.131846,0.180743,0.281138,0.481434", \ - "0.108392,0.115104,0.126318,0.147758,0.189838,0.282242,0.481433", \ - "0.130542,0.137321,0.148621,0.170986,0.213730,0.296681,0.482335"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.011021,0.011771,0.013011,0.015447,0.020178,0.029297,0.046883", \ - "0.016785,0.017498,0.018691,0.021051,0.025697,0.034738,0.052275", \ - "0.032726,0.033860,0.035685,0.038996,0.044741,0.054187,0.071345", \ - "0.048512,0.050401,0.053413,0.058851,0.068183,0.083327,0.106671", \ - "0.060461,0.063233,0.067540,0.075581,0.089454,0.111721,0.145473", \ - "0.065665,0.069510,0.075510,0.086462,0.105653,0.136432,0.182609", \ - "0.062295,0.067260,0.075171,0.089489,0.114628,0.155058,0.215561"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.194740,0.203438,0.217965,0.246938,0.304212,0.417858,0.644034", \ - "0.195900,0.204656,0.219301,0.248436,0.306105,0.420234,0.646969", \ - "0.209288,0.218008,0.232579,0.261605,0.319360,0.433636,0.660888", \ - "0.239337,0.247994,0.262335,0.291002,0.348524,0.462518,0.689552", \ - "0.283307,0.291989,0.306482,0.334848,0.391986,0.505787,0.732485", \ - "0.336593,0.346516,0.362809,0.394355,0.452912,0.566421,0.792794", \ - "0.395825,0.407138,0.425590,0.460960,0.526919,0.647330,0.873257"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.008630,0.009295,0.010386,0.012516,0.016614,0.024525,0.039982", \ - "0.008407,0.009028,0.010076,0.012240,0.016398,0.024382,0.039906", \ - "0.015177,0.015593,0.016262,0.017540,0.019925,0.025483,0.039682", \ - "0.028152,0.028664,0.029497,0.031161,0.034269,0.039802,0.049308", \ - "0.046941,0.047580,0.048682,0.050712,0.054488,0.061287,0.072814", \ - "0.070932,0.071737,0.073158,0.075870,0.080486,0.088698,0.102449", \ - "0.098951,0.100073,0.101950,0.105674,0.111663,0.121843,0.138364"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.100740,0.108442,0.121392,0.147191,0.198226,0.299337,0.500437", \ - "0.100743,0.108445,0.121394,0.147191,0.198204,0.299315,0.500436", \ - "0.100751,0.108447,0.121395,0.147180,0.198243,0.299331,0.500443", \ - "0.100778,0.108467,0.121397,0.147183,0.198312,0.299326,0.500440", \ - "0.103385,0.110465,0.122555,0.147541,0.198123,0.299289,0.500432", \ - "0.119443,0.126331,0.137158,0.158775,0.203611,0.299517,0.500432", \ - "0.140024,0.147038,0.158717,0.181745,0.225052,0.310702,0.500718"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.0189123,0.0202157,0.0223976,0.0267461,0.0354020,0.0526617,0.0871251", \ - "0.0234806,0.0248112,0.0270342,0.0314338,0.0401535,0.0574785,0.0919913", \ - "0.0381168,0.0399514,0.0428688,0.0481324,0.0572059,0.0744807,0.108978", \ - "0.0512694,0.0542892,0.0590202,0.0675916,0.0821128,0.105396,0.141693", \ - "0.0582872,0.0626763,0.0695024,0.0819470,0.103022,0.136414,0.186799", \ - "0.0570775,0.0630074,0.0722201,0.0889343,0.117328,0.162193,0.229277", \ - "0.0463720,0.0539210,0.0657278,0.0869362,0.123238,0.180648,0.266198"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.0952781,0.104063,0.118695,0.147676,0.204972,0.318498,0.544470", \ - "0.0941927,0.103187,0.118166,0.147807,0.206039,0.320728,0.547660", \ - "0.104814,0.113426,0.128011,0.157139,0.215152,0.330282,0.558322", \ - "0.137754,0.145320,0.158583,0.186271,0.242636,0.356052,0.582972", \ - "0.187053,0.196332,0.211194,0.239254,0.292038,0.402835,0.627003", \ - "0.240859,0.252139,0.270273,0.304038,0.364879,0.473031,0.693649", \ - "0.300428,0.313612,0.334829,0.374505,0.445804,0.568547,0.785751"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.0113862,0.0124991,0.0143802,0.0181438,0.0256738,0.0407291,0.0708381", \ - "0.0113840,0.0125033,0.0143750,0.0181429,0.0256711,0.0407290,0.0708350", \ - "0.0166362,0.0174169,0.0187033,0.0211007,0.0267658,0.0407252,0.0708317", \ - "0.0292496,0.0303122,0.0320465,0.0352151,0.0408838,0.0507952,0.0729905", \ - "0.0463667,0.0478374,0.0503370,0.0545697,0.0618389,0.0740335,0.0942210", \ - "0.0679242,0.0699167,0.0731448,0.0788309,0.0880772,0.103216,0.127294", \ - "0.0939291,0.0964738,0.100512,0.107815,0.119469,0.138025,0.166824"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.0837591,0.0915369,0.104548,0.130107,0.180697,0.281130,0.481442", \ - "0.0833456,0.0912462,0.104371,0.130049,0.180603,0.281143,0.481440", \ - "0.0815286,0.0898325,0.103483,0.129676,0.180591,0.281184,0.481429", \ - "0.0807529,0.0880009,0.100602,0.127073,0.180074,0.281116,0.481432", \ - "0.0982229,0.104028,0.113041,0.133478,0.179241,0.280763,0.481440", \ - "0.120680,0.127190,0.138028,0.158518,0.196249,0.282707,0.481408", \ - "0.146688,0.153978,0.165978,0.188596,0.229798,0.305606,0.482124"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.0216066,0.0229058,0.0250788,0.0294147,0.0380605,0.0553158,0.0897798", \ - "0.0267752,0.0280995,0.0303063,0.0346926,0.0433990,0.0607085,0.0952164", \ - "0.0407804,0.0423795,0.0449620,0.0498532,0.0588958,0.0763518,0.110988", \ - "0.0573481,0.0597524,0.0635348,0.0704219,0.0825468,0.103466,0.139813", \ - "0.0705918,0.0742651,0.0800173,0.0902239,0.107536,0.135237,0.179647", \ - "0.0771666,0.0824272,0.0903891,0.104918,0.129244,0.166940,0.223273", \ - "0.0751764,0.0821297,0.0928828,0.111935,0.144431,0.194396,0.266842"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.125385,0.134028,0.148468,0.177284,0.234442,0.347899,0.573976", \ - "0.125589,0.134368,0.149032,0.178223,0.235794,0.349884,0.576411", \ - "0.136694,0.145371,0.160000,0.189107,0.246798,0.361287,0.588436", \ - "0.167266,0.175614,0.189665,0.218092,0.274789,0.388226,0.614586", \ - "0.220429,0.228834,0.242495,0.268626,0.323629,0.435131,0.659390", \ - "0.282001,0.292203,0.308734,0.339911,0.397126,0.505068,0.726280", \ - "0.348998,0.360971,0.380376,0.417080,0.484018,0.601400,0.818309"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.0113859,0.0125042,0.0143778,0.0181403,0.0256739,0.0407283,0.0708311", \ - "0.0113841,0.0124991,0.0143785,0.0181407,0.0256703,0.0407309,0.0708392", \ - "0.0136152,0.0145956,0.0162481,0.0194145,0.0261638,0.0407300,0.0708313", \ - "0.0207898,0.0217655,0.0233813,0.0265969,0.0329923,0.0456928,0.0720761", \ - "0.0331855,0.0343283,0.0360922,0.0394844,0.0457353,0.0579881,0.0826858", \ - "0.0500987,0.0514836,0.0537285,0.0577058,0.0646386,0.0769896,0.100798", \ - "0.0709662,0.0727174,0.0754998,0.0805688,0.0888339,0.102526,0.126404"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.100579,0.108338,0.121349,0.147176,0.198214,0.299303,0.500431", \ - "0.100482,0.108273,0.121307,0.147201,0.198137,0.299343,0.500432", \ - "0.0999634,0.107877,0.121071,0.147051,0.198086,0.299337,0.500433", \ - "0.0969606,0.104975,0.119038,0.146214,0.197945,0.299362,0.500430", \ - "0.106037,0.112421,0.123568,0.146942,0.196024,0.299156,0.500444", \ - "0.128656,0.135251,0.146176,0.166711,0.207391,0.298902,0.500429", \ - "0.154219,0.161651,0.173739,0.196682,0.238356,0.317295,0.500077"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("1.360612,1.415560,1.459255,1.544525,1.673550,1.835913,2.006185", \ - "1.340599,1.347543,1.411474,1.492966,1.609095,1.767413,1.937383", \ - "1.424023,1.437144,1.451095,1.489123,1.582600,1.717168,1.889513", \ - "1.743554,1.738413,1.745370,1.747726,1.779901,1.779704,1.883488", \ - "2.051589,2.045904,2.041135,2.031369,2.045929,2.044741,2.031922", \ - "2.448809,2.437109,2.427519,2.416946,2.398552,2.364670,2.313896", \ - "2.981995,2.974254,2.952808,2.933603,2.892865,2.803775,2.697318"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("7.684726,7.689698,7.701265,7.693110,7.722149,7.727633,7.721626", \ - "7.580019,7.587649,7.604629,7.614581,7.650689,7.670762,7.678405", \ - "7.489221,7.494865,7.506552,7.502138,7.540835,7.564706,7.634634", \ - "7.446584,7.450353,7.454717,7.467607,7.445980,7.508304,7.523458", \ - "7.443368,7.446979,7.441490,7.441653,7.436340,7.446362,7.505293", \ - "7.470459,7.474449,7.474974,7.456157,7.466593,7.470181,7.472467", \ - "7.451472,7.469465,7.513338,7.574404,7.541735,7.528383,7.546337"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.905372,0.947196,1.020890,1.120307,1.272152,1.496737,1.725332", \ - "0.902885,0.940873,0.993147,1.085607,1.222524,1.430577,1.672684", \ - "1.105392,1.102130,1.105843,1.144568,1.242752,1.407427,1.614501", \ - "1.456718,1.459591,1.466113,1.467759,1.488115,1.505476,1.625989", \ - "1.792403,1.782328,1.791047,1.777414,1.794020,1.786598,1.789746", \ - "2.203941,2.198181,2.191800,2.173519,2.154384,2.114997,2.065273", \ - "2.740812,2.728489,2.714939,2.695711,2.639693,2.553877,2.447053"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("7.131806,7.138549,7.149814,7.164822,7.146255,7.163274,7.177928", \ - "7.022474,7.038103,7.046624,7.068107,7.076327,7.111033,7.137004", \ - "6.934312,6.941069,6.954039,6.962663,6.969547,7.005373,7.047047", \ - "6.894188,6.899273,6.900411,6.913276,6.919629,6.949869,6.986448", \ - "6.889302,6.894208,6.893312,6.883676,6.876236,6.900095,6.930205", \ - "6.917544,6.916288,6.922920,6.907069,6.920778,6.899080,6.921493", \ - "6.888277,6.913424,6.960349,7.031373,6.991129,6.987696,6.954767"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("1.084284,1.108029,1.166371,1.246693,1.353205,1.553659,1.758412", \ - "1.049207,1.080644,1.132064,1.202180,1.319807,1.476513,1.701156", \ - "1.162012,1.159784,1.192578,1.233902,1.324100,1.453245,1.628364", \ - "1.505901,1.510839,1.500256,1.511438,1.525289,1.533579,1.649058", \ - "1.837735,1.834964,1.830499,1.811196,1.810158,1.809134,1.795709", \ - "2.244274,2.234171,2.220341,2.199968,2.172541,2.121700,2.077284", \ - "2.789258,2.781437,2.755569,2.713295,2.660455,2.576200,2.454588"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("8.338656,8.346448,8.360895,8.382572,8.405209,8.437070,8.474727", \ - "8.249839,8.259382,8.271539,8.298265,8.342514,8.385794,8.435271", \ - "8.149789,8.157996,8.175728,8.200850,8.238562,8.286166,8.347717", \ - "8.104670,8.111649,8.124553,8.145454,8.148431,8.187479,8.245331", \ - "8.091037,8.097832,8.112126,8.101175,8.139743,8.178890,8.231047", \ - "8.114239,8.120219,8.127086,8.118442,8.153214,8.177935,8.222954", \ - "8.149526,8.179836,8.230344,8.232376,8.208761,8.224071,8.256248"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("1.305724,1.314453,1.339094,1.371533,1.422283,1.500256,1.576437", \ - "1.316694,1.330466,1.354839,1.388007,1.449320,1.520802,1.588142", \ - "1.370607,1.374768,1.381720,1.396971,1.440045,1.512822,1.597689", \ - "1.550444,1.560797,1.547992,1.549795,1.550112,1.550414,1.601511", \ - "1.775762,1.769771,1.764322,1.753747,1.762737,1.741896,1.703532", \ - "2.110367,2.101447,2.096576,2.074873,2.046684,1.995370,1.942358", \ - "2.584117,2.571630,2.562207,2.538990,2.486414,2.392420,2.277139"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("6.623768,6.628878,6.639081,6.642774,6.635165,6.638831,6.693757", \ - "6.517898,6.525106,6.544375,6.555241,6.579322,6.598782,6.655345", \ - "6.426013,6.432843,6.438343,6.455402,6.447926,6.517408,6.539368", \ - "6.393188,6.393021,6.400139,6.389325,6.385778,6.448073,6.464536", \ - "6.394232,6.394381,6.396897,6.389352,6.385407,6.393339,6.449642", \ - "6.468528,6.469183,6.466503,6.460809,6.438333,6.453928,6.470724", \ - "6.578044,6.596175,6.635944,6.668587,6.612566,6.567732,6.524628"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.995328,0.993758,1.051845,1.111293,1.181225,1.300445,1.403898", \ - "1.015409,1.020462,1.063229,1.119675,1.192911,1.309860,1.404637", \ - "1.144095,1.131367,1.145515,1.161244,1.201717,1.295876,1.393961", \ - "1.339112,1.347775,1.356368,1.350667,1.339351,1.341861,1.393288", \ - "1.587978,1.587348,1.571588,1.574743,1.569401,1.552012,1.511995", \ - "1.921468,1.913244,1.911505,1.891274,1.861889,1.812349,1.740627", \ - "2.398116,2.390107,2.369951,2.338921,2.290541,2.202128,2.084841"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("6.085026,6.091600,6.097952,6.101288,6.114233,6.131483,6.147313", \ - "5.981145,5.989954,6.005273,6.015841,6.057972,6.091638,6.079015", \ - "5.891045,5.897943,5.904337,5.920028,5.933343,5.968833,6.011293", \ - "5.852913,5.857131,5.864684,5.864538,5.876073,5.905763,5.942171", \ - "5.850809,5.854881,5.858341,5.850765,5.854543,5.875617,5.904949", \ - "5.931033,5.927727,5.928361,5.924515,5.900859,5.907126,5.921809", \ - "6.021804,6.038039,6.079104,6.118685,6.077176,6.017461,5.991623"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("1.088994,1.107602,1.114642,1.168210,1.224274,1.324979,1.414326", \ - "1.102328,1.119445,1.136510,1.184422,1.247281,1.331873,1.415338", \ - "1.154697,1.164737,1.179982,1.199452,1.241484,1.317794,1.402463", \ - "1.370338,1.367968,1.368947,1.371244,1.368822,1.343406,1.402131", \ - "1.609095,1.603110,1.589948,1.579640,1.564484,1.543461,1.507992", \ - "1.947345,1.944335,1.923461,1.898406,1.870587,1.809992,1.744792", \ - "2.438357,2.426541,2.405783,2.372990,2.305531,2.209998,2.082039"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("7.291495,7.299157,7.312756,7.323399,7.335238,7.365205,7.401995", \ - "7.201883,7.211153,7.225895,7.250718,7.286083,7.328679,7.377643", \ - "7.106513,7.115254,7.131215,7.144797,7.163218,7.250232,7.312204", \ - "7.064184,7.069331,7.074244,7.082894,7.104541,7.144598,7.201880", \ - "7.056863,7.063179,7.073617,7.074440,7.077721,7.114908,7.163756", \ - "7.119949,7.117465,7.126980,7.140068,7.118968,7.143432,7.180333", \ - "7.261241,7.287759,7.317923,7.301871,7.284245,7.278376,7.290290"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.589034,0.580891,0.566462,0.536936,0.505097,0.476988,0.462444", \ - "0.557500,0.553937,0.548151,0.534728,0.520750,0.501037,0.504374", \ - "0.501219,0.504815,0.508972,0.512091,0.522381,0.531678,0.544846", \ - "0.621497,0.612857,0.600662,0.587290,0.557295,0.556513,0.572017", \ - "0.822032,0.817806,0.799647,0.782916,0.742204,0.681364,0.630962", \ - "1.161469,1.149458,1.124863,1.089555,1.023397,0.933245,0.812171", \ - "1.648615,1.626882,1.601252,1.545653,1.454531,1.307897,1.128591"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("4.385840,4.401410,4.417225,4.432317,4.443197,4.463204,4.438518", \ - "4.233950,4.248450,4.268567,4.299273,4.336292,4.417733,4.419532", \ - "4.108191,4.116127,4.132982,4.154204,4.190467,4.247835,4.311468", \ - "4.209161,4.204724,4.207470,4.187374,4.211405,4.195185,4.238388", \ - "4.477036,4.469048,4.448723,4.411626,4.391058,4.331569,4.283300", \ - "4.922846,4.902009,4.875228,4.822922,4.729625,4.632989,4.531772", \ - "5.342061,5.349196,5.362320,5.390906,5.296237,5.092134,4.898071"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.586268,0.569708,0.562340,0.536986,0.502350,0.471167,0.463397", \ - "0.552872,0.552273,0.543230,0.534882,0.521215,0.506803,0.506421", \ - "0.474583,0.470755,0.478364,0.493887,0.507452,0.521731,0.544999", \ - "0.481904,0.489999,0.488293,0.493856,0.486358,0.503906,0.544505", \ - "0.556662,0.559877,0.563653,0.556671,0.570720,0.562791,0.544603", \ - "0.747705,0.741402,0.735928,0.724384,0.711891,0.686518,0.649003", \ - "1.064691,1.061251,1.041016,1.013489,0.970061,0.908276,0.836525"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("5.500858,5.513682,5.524576,5.528418,5.529725,5.557465,5.590569", \ - "5.388433,5.397805,5.413517,5.439438,5.468145,5.510319,5.554615", \ - "5.288095,5.295610,5.309407,5.343200,5.366409,5.418020,5.476942", \ - "5.373060,5.376340,5.373195,5.377169,5.401340,5.393771,5.438029", \ - "5.616684,5.615283,5.610420,5.602178,5.577832,5.536318,5.544241", \ - "6.030977,6.022823,6.004292,5.985823,5.915232,5.839226,5.759092", \ - "6.492094,6.509220,6.541943,6.530077,6.463999,6.300896,6.172350"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI211_X4 - Cell Description : Combinational cell (AOI211_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI211_X4) { - - drive_strength : 4; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 77.922222; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 94.404065; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 108.638057; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 98.951196; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 76.365550; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 66.527550; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 71.529575; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 71.531704; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 75.057049; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 66.309126; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 71.382135; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 71.384359; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 75.045649; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 69.852825; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 74.959626; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 74.960652; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 79.856430; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.607501; - fall_capacitance : 1.538550; - rise_capacitance : 1.607501; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.628464; - fall_capacitance : 1.461891; - rise_capacitance : 1.628464; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.582227; - fall_capacitance : 1.356662; - rise_capacitance : 1.582227; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.670652; - fall_capacitance : 1.411129; - rise_capacitance : 1.670652; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.306300; - function : "!(!(!(((C1 & C2) | B) | A)))"; - - timing () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.094522,0.104795,0.112604,0.125209,0.146251,0.183656,0.254811", \ - "0.099767,0.110038,0.117845,0.130449,0.151488,0.188895,0.260047", \ - "0.118718,0.128971,0.136770,0.149367,0.170397,0.207798,0.278953", \ - "0.148667,0.158918,0.166639,0.179151,0.200174,0.237570,0.308724", \ - "0.180614,0.190884,0.198666,0.210785,0.231808,0.269233,0.340374", \ - "0.210819,0.221126,0.228973,0.241334,0.262429,0.299905,0.371067", \ - "0.236613,0.247191,0.255185,0.267817,0.289058,0.326575,0.397735"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.349393,0.368619,0.385191,0.417147,0.481154,0.609239,0.865096", \ - "0.352918,0.372155,0.388753,0.420699,0.484683,0.612805,0.868631", \ - "0.369127,0.388366,0.404941,0.436916,0.500900,0.628991,0.884843", \ - "0.398045,0.417282,0.433813,0.465699,0.529693,0.657767,0.913659", \ - "0.439085,0.458324,0.474915,0.506535,0.570513,0.698583,0.954430", \ - "0.492951,0.512230,0.528797,0.560613,0.624605,0.752673,1.008519", \ - "0.555018,0.574807,0.591481,0.623406,0.687317,0.815329,1.071138"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012197,0.016267,0.020084,0.027341,0.041685,0.071189,0.132731", \ - "0.012194,0.016261,0.020081,0.027338,0.041683,0.071185,0.132725", \ - "0.012173,0.016244,0.020065,0.027327,0.041674,0.071184,0.132722", \ - "0.012185,0.016255,0.020070,0.027326,0.041671,0.071180,0.132726", \ - "0.012382,0.016418,0.020207,0.027432,0.041738,0.071216,0.132732", \ - "0.012787,0.016736,0.020473,0.027631,0.041868,0.071276,0.132749", \ - "0.013574,0.017361,0.020997,0.028031,0.042127,0.071402,0.132782"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019695,0.029835,0.042785,0.072010,0.132822,0.255114,0.499989", \ - "0.019698,0.029837,0.042783,0.072012,0.132825,0.255117,0.499989", \ - "0.019694,0.029834,0.042783,0.072009,0.132816,0.255114,0.499988", \ - "0.019695,0.029835,0.042784,0.072005,0.132819,0.255112,0.499988", \ - "0.019695,0.029836,0.042785,0.072004,0.132818,0.255111,0.499998", \ - "0.019816,0.029916,0.042822,0.072023,0.132814,0.255130,0.499996", \ - "0.020793,0.030673,0.043235,0.072154,0.132862,0.255145,0.499989"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.091853,0.102151,0.109972,0.122589,0.143643,0.181060,0.252213", \ - "0.097149,0.107440,0.115258,0.127875,0.148926,0.186339,0.257495", \ - "0.116073,0.126350,0.134160,0.146765,0.167802,0.205208,0.276360", \ - "0.145035,0.155288,0.163027,0.175575,0.196603,0.234001,0.305157", \ - "0.175687,0.185970,0.193757,0.205884,0.226932,0.264366,0.335504", \ - "0.204362,0.214688,0.222550,0.234929,0.256027,0.293485,0.364662", \ - "0.228309,0.238947,0.246957,0.259608,0.280849,0.318396,0.389562"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.379261,0.399200,0.415937,0.447863,0.511724,0.639777,0.895580", \ - "0.382496,0.402437,0.419195,0.451054,0.514963,0.642990,0.898819", \ - "0.398331,0.418271,0.434980,0.466920,0.530805,0.658797,0.914664", \ - "0.426922,0.446863,0.463578,0.495396,0.559292,0.687294,0.943122", \ - "0.467036,0.486979,0.503730,0.535322,0.599188,0.727169,0.982997", \ - "0.518735,0.538702,0.555443,0.587347,0.651204,0.779190,1.034992", \ - "0.578757,0.599196,0.616077,0.648030,0.711813,0.839779,1.095571"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012245,0.016309,0.020121,0.027376,0.041712,0.071205,0.132731", \ - "0.012234,0.016299,0.020115,0.027369,0.041707,0.071204,0.132735", \ - "0.012199,0.016273,0.020091,0.027349,0.041693,0.071194,0.132728", \ - "0.012220,0.016282,0.020093,0.027346,0.041685,0.071188,0.132726", \ - "0.012419,0.016451,0.020236,0.027454,0.041753,0.071226,0.132738", \ - "0.012856,0.016794,0.020523,0.027670,0.041893,0.071288,0.132753", \ - "0.013723,0.017476,0.021095,0.028107,0.042177,0.071426,0.132789"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020951,0.030863,0.043364,0.072200,0.132883,0.255147,0.500011", \ - "0.020960,0.030862,0.043362,0.072200,0.132882,0.255144,0.500011", \ - "0.020953,0.030865,0.043365,0.072200,0.132874,0.255145,0.500002", \ - "0.020957,0.030863,0.043361,0.072197,0.132881,0.255143,0.500010", \ - "0.020960,0.030863,0.043364,0.072203,0.132885,0.255145,0.500005", \ - "0.021022,0.030913,0.043389,0.072210,0.132876,0.255143,0.499995", \ - "0.021897,0.031631,0.043799,0.072340,0.132909,0.255152,0.500004"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.094648,0.104965,0.112793,0.125417,0.146469,0.183886,0.255043", \ - "0.099934,0.110243,0.118069,0.130691,0.151741,0.189157,0.260314", \ - "0.118856,0.129143,0.136959,0.149569,0.170611,0.208022,0.279179", \ - "0.148800,0.159059,0.166783,0.179316,0.200362,0.237772,0.308931", \ - "0.181158,0.191469,0.199270,0.211428,0.232488,0.269930,0.341085", \ - "0.211875,0.222337,0.230238,0.242671,0.263810,0.301308,0.372485", \ - "0.238131,0.248978,0.257071,0.269805,0.291108,0.328680,0.399864"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.415052,0.435297,0.452100,0.483958,0.547742,0.675775,0.931611", \ - "0.418604,0.438840,0.455643,0.487469,0.551283,0.679315,0.935160", \ - "0.434451,0.454697,0.471518,0.503336,0.567140,0.695187,0.950988", \ - "0.462916,0.483156,0.499881,0.531686,0.595472,0.723535,0.979334", \ - "0.502886,0.523138,0.539932,0.571383,0.635243,0.763238,1.019054", \ - "0.554684,0.574938,0.591758,0.623619,0.687421,0.815390,1.071173", \ - "0.617068,0.637667,0.654563,0.686580,0.750281,0.878192,1.133962"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012289,0.016345,0.020150,0.027395,0.041725,0.071213,0.132735", \ - "0.012282,0.016335,0.020145,0.027390,0.041720,0.071208,0.132733", \ - "0.012246,0.016309,0.020120,0.027370,0.041706,0.071203,0.132736", \ - "0.012276,0.016329,0.020132,0.027371,0.041701,0.071197,0.132734", \ - "0.012557,0.016554,0.020319,0.027511,0.041789,0.071237,0.132739", \ - "0.013135,0.017004,0.020695,0.027796,0.041969,0.071322,0.132765", \ - "0.014193,0.017858,0.021415,0.028350,0.042334,0.071502,0.132806"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021541,0.031345,0.043638,0.072297,0.132900,0.255163,0.500007", \ - "0.021541,0.031346,0.043639,0.072297,0.132902,0.255165,0.500008", \ - "0.021537,0.031345,0.043639,0.072298,0.132904,0.255153,0.500007", \ - "0.021541,0.031345,0.043640,0.072297,0.132918,0.255158,0.500011", \ - "0.021542,0.031346,0.043639,0.072292,0.132907,0.255153,0.500003", \ - "0.021557,0.031358,0.043646,0.072293,0.132908,0.255154,0.500004", \ - "0.022197,0.031910,0.043967,0.072392,0.132934,0.255173,0.500007"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.092994,0.103220,0.111000,0.123574,0.144583,0.181967,0.253112", \ - "0.098381,0.108609,0.116389,0.128961,0.149971,0.187356,0.258503", \ - "0.117195,0.127412,0.135187,0.147759,0.168761,0.206141,0.277290", \ - "0.146040,0.156250,0.163987,0.176507,0.197511,0.234895,0.306039", \ - "0.176112,0.186354,0.194122,0.206322,0.227329,0.264736,0.335890", \ - "0.203931,0.214216,0.222058,0.234424,0.255494,0.292938,0.364111", \ - "0.226789,0.237391,0.245380,0.258028,0.279253,0.316772,0.387927"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.337221,0.356445,0.373021,0.404944,0.468985,0.597069,0.852927", \ - "0.339043,0.358268,0.374838,0.406766,0.470806,0.598888,0.854747", \ - "0.352483,0.371707,0.388279,0.420251,0.484253,0.612326,0.868193", \ - "0.382930,0.402168,0.418648,0.450294,0.514339,0.642418,0.898264", \ - "0.429414,0.448652,0.465200,0.497020,0.560893,0.688969,0.944812", \ - "0.494202,0.513500,0.530075,0.561887,0.625869,0.753918,1.009758", \ - "0.571828,0.591815,0.608524,0.640312,0.704122,0.832120,1.087918"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012071,0.016154,0.019981,0.027256,0.041621,0.071149,0.132709", \ - "0.012073,0.016154,0.019981,0.027255,0.041620,0.071149,0.132713", \ - "0.012066,0.016151,0.019979,0.027253,0.041619,0.071146,0.132708", \ - "0.012120,0.016191,0.020011,0.027273,0.041628,0.071149,0.132706", \ - "0.012323,0.016364,0.020156,0.027386,0.041702,0.071190,0.132725", \ - "0.012757,0.016706,0.020441,0.027601,0.041840,0.071256,0.132737", \ - "0.013617,0.017388,0.021014,0.028038,0.042124,0.071392,0.132772"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019698,0.029836,0.042784,0.072005,0.132808,0.255108,0.499987", \ - "0.019695,0.029835,0.042785,0.072004,0.132820,0.255114,0.499988", \ - "0.019694,0.029835,0.042785,0.072004,0.132820,0.255112,0.499988", \ - "0.019693,0.029834,0.042784,0.072005,0.132823,0.255112,0.499988", \ - "0.019702,0.029838,0.042785,0.072004,0.132818,0.255108,0.499987", \ - "0.019860,0.029942,0.042838,0.072023,0.132826,0.255123,0.499992", \ - "0.021189,0.030973,0.043394,0.072199,0.132881,0.255146,0.499991"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.090925,0.101160,0.108945,0.121522,0.142536,0.179925,0.251071", \ - "0.096316,0.106549,0.114334,0.126911,0.147924,0.185313,0.256459", \ - "0.115036,0.125263,0.133044,0.145614,0.166621,0.204004,0.275150", \ - "0.142945,0.153168,0.160892,0.173435,0.194439,0.231822,0.302972", \ - "0.171783,0.182033,0.189800,0.201991,0.223016,0.260429,0.331564", \ - "0.198087,0.208390,0.216226,0.228600,0.249683,0.287141,0.358295", \ - "0.219081,0.229728,0.237741,0.250399,0.271652,0.309172,0.380330"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.367562,0.387500,0.404198,0.436086,0.499986,0.628016,0.883843", \ - "0.369089,0.389027,0.405722,0.437643,0.501505,0.629540,0.885364", \ - "0.382180,0.402120,0.418895,0.450739,0.514638,0.642673,0.898500", \ - "0.412027,0.431958,0.448581,0.480169,0.544093,0.672116,0.927947", \ - "0.455895,0.475837,0.492557,0.524386,0.588158,0.716159,0.971974", \ - "0.516133,0.536120,0.552862,0.584774,0.648631,0.776602,1.032398", \ - "0.589538,0.610127,0.627024,0.658908,0.722660,0.850588,1.106359"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012091,0.016169,0.019996,0.027267,0.041629,0.071152,0.132710", \ - "0.012086,0.016168,0.019994,0.027266,0.041628,0.071153,0.132709", \ - "0.012075,0.016160,0.019989,0.027261,0.041623,0.071150,0.132712", \ - "0.012135,0.016202,0.020019,0.027279,0.041633,0.071154,0.132713", \ - "0.012343,0.016379,0.020170,0.027398,0.041710,0.071197,0.132722", \ - "0.012809,0.016745,0.020475,0.027625,0.041856,0.071264,0.132736", \ - "0.013736,0.017479,0.021093,0.028097,0.042162,0.071410,0.132779"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020954,0.030862,0.043361,0.072199,0.132883,0.255146,0.500010", \ - "0.020952,0.030864,0.043364,0.072198,0.132869,0.255144,0.500006", \ - "0.020957,0.030863,0.043362,0.072204,0.132872,0.255143,0.500011", \ - "0.020959,0.030861,0.043365,0.072203,0.132885,0.255143,0.500001", \ - "0.020959,0.030864,0.043365,0.072200,0.132870,0.255146,0.500008", \ - "0.021065,0.030941,0.043402,0.072211,0.132873,0.255141,0.500000", \ - "0.022211,0.031897,0.043957,0.072394,0.132920,0.255169,0.500000"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.093660,0.103915,0.111710,0.124293,0.145307,0.182699,0.253844", \ - "0.099047,0.109301,0.117094,0.129676,0.150691,0.188082,0.259227", \ - "0.117786,0.128020,0.135807,0.148385,0.169396,0.206784,0.277931", \ - "0.146735,0.156954,0.164675,0.177202,0.198223,0.235615,0.306764", \ - "0.177304,0.187593,0.195376,0.207596,0.228649,0.266076,0.337227", \ - "0.205741,0.216191,0.224085,0.236513,0.257642,0.295114,0.366278", \ - "0.229163,0.240023,0.248121,0.260861,0.282184,0.319754,0.390928"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.403304,0.423554,0.440357,0.472216,0.535994,0.664033,0.919869", \ - "0.405168,0.425412,0.442211,0.474060,0.537783,0.665879,0.921701", \ - "0.418341,0.438593,0.455395,0.487285,0.550979,0.679089,0.934888", \ - "0.447995,0.468250,0.484941,0.516466,0.580303,0.708318,0.964150", \ - "0.491764,0.512000,0.528783,0.560394,0.624289,0.752275,1.008081", \ - "0.552216,0.572474,0.589286,0.621226,0.685030,0.812955,1.068738", \ - "0.628906,0.649637,0.666563,0.698419,0.762151,0.890051,1.145809"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012137,0.016208,0.020026,0.027288,0.041642,0.071159,0.132712", \ - "0.012136,0.016204,0.020024,0.027287,0.041641,0.071159,0.132713", \ - "0.012125,0.016197,0.020018,0.027282,0.041638,0.071157,0.132710", \ - "0.012194,0.016251,0.020058,0.027309,0.041650,0.071162,0.132709", \ - "0.012488,0.016490,0.020260,0.027459,0.041745,0.071211,0.132727", \ - "0.013101,0.016970,0.020658,0.027761,0.041939,0.071301,0.132744", \ - "0.014238,0.017887,0.021435,0.028360,0.042333,0.071492,0.132798"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021539,0.031344,0.043639,0.072293,0.132898,0.255165,0.500009", \ - "0.021534,0.031346,0.043639,0.072296,0.132900,0.255152,0.500011", \ - "0.021543,0.031346,0.043637,0.072294,0.132902,0.255152,0.500004", \ - "0.021549,0.031345,0.043638,0.072299,0.132917,0.255165,0.500009", \ - "0.021542,0.031346,0.043639,0.072298,0.132902,0.255154,0.500005", \ - "0.021568,0.031367,0.043650,0.072291,0.132912,0.255158,0.500009", \ - "0.022421,0.032090,0.044059,0.072417,0.132936,0.255176,0.500007"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.112641,0.122969,0.130824,0.143489,0.164591,0.202048,0.273213", \ - "0.117331,0.127664,0.135514,0.148181,0.169286,0.206740,0.277910", \ - "0.134181,0.144509,0.152356,0.165020,0.186124,0.223586,0.294750", \ - "0.162863,0.173214,0.181013,0.193560,0.214675,0.252115,0.323274", \ - "0.192114,0.202513,0.210388,0.222686,0.243810,0.281326,0.352543", \ - "0.217660,0.228276,0.236292,0.248905,0.270173,0.307731,0.378903", \ - "0.237210,0.248386,0.256624,0.269602,0.291101,0.328790,0.399972"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.300579,0.320522,0.337229,0.369118,0.433035,0.561041,0.816869", \ - "0.301500,0.321454,0.338157,0.370073,0.433992,0.561972,0.817793", \ - "0.310609,0.330548,0.347275,0.379222,0.443109,0.571109,0.826920", \ - "0.338597,0.358537,0.375229,0.407054,0.470933,0.598932,0.854751", \ - "0.388427,0.408354,0.425084,0.456928,0.520782,0.648778,0.904576", \ - "0.461442,0.481360,0.498074,0.529905,0.593784,0.721781,0.977592", \ - "0.548205,0.568987,0.585901,0.617661,0.681350,0.809254,1.065026"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0124612,0.0164947,0.0202847,0.0275055,0.0418029,0.0712540,0.132735", \ - "0.0124619,0.0164951,0.0202850,0.0275051,0.0418035,0.0712517,0.132742", \ - "0.0124649,0.0164982,0.0202878,0.0275070,0.0418039,0.0712543,0.132738", \ - "0.0125667,0.0165787,0.0203523,0.0275525,0.0418310,0.0712644,0.132740", \ - "0.0129699,0.0169120,0.0206394,0.0277829,0.0419955,0.0713648,0.132765", \ - "0.0136821,0.0174858,0.0211255,0.0281564,0.0422343,0.0714705,0.132805", \ - "0.0150066,0.0185807,0.0220729,0.0289028,0.0427413,0.0717349,0.132871"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0209548,0.0308628,0.0433622,0.0722033,0.132873,0.255142,0.500009", \ - "0.0209539,0.0308632,0.0433647,0.0721972,0.132883,0.255143,0.499996", \ - "0.0209515,0.0308618,0.0433629,0.0721976,0.132883,0.255145,0.500009", \ - "0.0209534,0.0308605,0.0433620,0.0722026,0.132868,0.255145,0.500011", \ - "0.0209220,0.0308419,0.0433517,0.0721955,0.132883,0.255142,0.500002", \ - "0.0209717,0.0308790,0.0433687,0.0722008,0.132870,0.255149,0.500011", \ - "0.0226018,0.0321861,0.0441039,0.0724302,0.132935,0.255156,0.500005"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.115342,0.125669,0.133523,0.146186,0.167286,0.204747,0.275910", \ - "0.120651,0.130979,0.138837,0.151499,0.172600,0.210059,0.281223", \ - "0.136091,0.146418,0.154268,0.166935,0.188037,0.225498,0.296661", \ - "0.161644,0.171994,0.179855,0.192510,0.213621,0.251089,0.322252", \ - "0.191091,0.201496,0.209322,0.221794,0.242923,0.280434,0.351617", \ - "0.219952,0.230460,0.238370,0.250533,0.271687,0.309253,0.380468", \ - "0.244796,0.255565,0.263623,0.276100,0.297417,0.335045,0.406233"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.332485,0.352731,0.369527,0.401436,0.465190,0.593193,0.849013", \ - "0.333800,0.354047,0.370822,0.402687,0.466500,0.594492,0.850352", \ - "0.344714,0.364968,0.381766,0.413592,0.477446,0.605434,0.861250", \ - "0.373100,0.393345,0.410089,0.441841,0.505664,0.633621,0.889428", \ - "0.422423,0.442661,0.459446,0.491220,0.555034,0.682986,0.938818", \ - "0.495113,0.515352,0.532142,0.563994,0.627806,0.755775,1.011580", \ - "0.586544,0.607330,0.624245,0.656050,0.719705,0.847573,1.103349"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0124626,0.0164948,0.0202858,0.0275070,0.0418038,0.0712520,0.132734", \ - "0.0124625,0.0164944,0.0202845,0.0275064,0.0418038,0.0712542,0.132735", \ - "0.0124608,0.0164958,0.0202859,0.0275058,0.0418043,0.0712527,0.132735", \ - "0.0125307,0.0165490,0.0203280,0.0275356,0.0418212,0.0712610,0.132735", \ - "0.0128189,0.0167920,0.0205388,0.0277052,0.0419380,0.0713281,0.132754", \ - "0.0132848,0.0171781,0.0208729,0.0279698,0.0421182,0.0714201,0.132783", \ - "0.0140714,0.0178340,0.0214429,0.0284282,0.0424405,0.0715933,0.132837"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0215421,0.0313457,0.0436395,0.0722947,0.132896,0.255154,0.500010", \ - "0.0215366,0.0313455,0.0436400,0.0722918,0.132914,0.255154,0.500008", \ - "0.0215480,0.0313441,0.0436402,0.0722930,0.132898,0.255153,0.500003", \ - "0.0215352,0.0313448,0.0436401,0.0722921,0.132914,0.255152,0.500005", \ - "0.0215311,0.0313396,0.0436357,0.0722881,0.132908,0.255153,0.500008", \ - "0.0215180,0.0313238,0.0436286,0.0722952,0.132916,0.255153,0.500008", \ - "0.0226311,0.0322058,0.0441210,0.0724412,0.132932,0.255175,0.500008"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.843974,8.540521,8.933114,9.344902,9.667288,9.864433,9.972021", \ - "7.858926,8.512864,8.902641,9.311274,9.636798,9.819420,9.938735", \ - "7.789026,8.438859,8.832196,9.248313,9.569808,9.759273,9.867212", \ - "7.761487,8.406138,8.796964,9.202604,9.533067,9.719046,9.832460", \ - "7.882999,8.475036,8.843712,9.233899,9.576196,9.765826,9.886582", \ - "8.032638,8.675203,9.056362,9.452416,9.771643,9.942823,10.057340", \ - "8.383345,8.953453,9.336967,9.759992,10.096330,10.282720,10.359040"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.976340,11.570890,11.861330,12.347070,12.816220,12.970140,13.240590", \ - "10.952810,11.492380,11.817240,12.288430,12.759850,12.946010,13.193420", \ - "10.869180,11.465590,11.802000,12.219210,12.770790,12.864400,13.110750", \ - "10.843710,11.439700,11.734730,12.154930,12.626080,12.897030,13.141810", \ - "10.835740,11.441050,11.750780,12.183190,12.652380,13.004210,12.979400", \ - "10.870910,11.467880,11.816800,12.238740,12.683790,12.867930,13.116740", \ - "10.900380,11.543570,11.887230,12.320820,12.719480,13.149790,13.040880"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.764821,8.433582,8.819614,9.229421,9.578445,9.769736,9.896789", \ - "7.694281,8.385700,8.784789,9.209970,9.536264,9.739906,9.853444", \ - "7.611117,8.316080,8.709417,9.126054,9.451936,9.658864,9.770131", \ - "7.638342,8.298999,8.685059,9.078570,9.416462,9.614049,9.732511", \ - "7.759677,8.349107,8.728669,9.133466,9.458376,9.669350,9.787095", \ - "7.939780,8.539813,8.940364,9.338523,9.670435,9.835494,9.958397", \ - "8.280354,8.847110,9.177308,9.650663,9.990422,10.183790,10.264150"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.828080,11.408680,11.672480,12.050980,12.502370,12.670070,12.922060", \ - "10.821330,11.346620,11.631680,12.012280,12.461680,12.662850,12.913530", \ - "10.760640,11.290890,11.583950,11.964270,12.441400,12.603660,12.853160", \ - "10.695780,11.277500,11.597760,11.919550,12.466490,12.651800,12.631100", \ - "10.684200,11.266250,11.547900,11.999350,12.446690,12.537620,12.790300", \ - "10.765970,11.310440,11.590350,12.040860,12.489070,12.787850,12.768250", \ - "10.802600,11.417830,11.701170,12.119560,12.533010,12.819230,12.802480"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.699244,8.419464,8.817427,9.236138,9.575018,9.776803,9.889961", \ - "7.731380,8.384622,8.778719,9.193228,9.534502,9.737698,9.855776", \ - "7.624551,8.286412,8.707991,9.118586,9.453489,9.654688,9.768648", \ - "7.617383,8.266470,8.659742,9.091495,9.420207,9.622665,9.736731", \ - "7.766020,8.371068,8.738068,9.128989,9.463946,9.668910,9.794623", \ - "7.976260,8.557826,8.932692,9.348653,9.676034,9.851679,9.976790", \ - "8.290876,8.844528,9.211602,9.669552,10.013380,10.209330,10.294480"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.420730,12.020100,12.333080,12.742300,13.231710,13.597420,13.601040", \ - "11.402050,12.000500,12.297270,12.690530,13.180480,13.305830,13.581250", \ - "11.361850,11.952060,12.252070,12.644790,13.177150,13.516670,13.521930", \ - "11.338910,11.912720,12.201420,12.611240,13.100800,13.297580,13.575250", \ - "11.333250,11.898940,12.218180,12.584630,12.983280,13.462310,13.468230", \ - "11.363890,11.906560,12.201230,12.612630,13.043170,13.429100,13.434270", \ - "11.460020,12.041430,12.307180,12.712640,13.266190,13.602490,13.611180"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.616762,8.297475,8.685006,9.087057,9.388698,9.564598,9.646421", \ - "7.654947,8.323616,8.702260,9.100005,9.394856,9.570024,9.651413", \ - "7.584636,8.283433,8.654123,9.047914,9.348721,9.519431,9.607587", \ - "7.595779,8.225550,8.610266,9.002245,9.309719,9.485992,9.566576", \ - "7.669525,8.302060,8.662554,9.036582,9.350301,9.523040,9.622382", \ - "7.869931,8.479242,8.844198,9.233262,9.542664,9.691906,9.774936", \ - "8.155813,8.737200,9.084141,9.529375,9.855861,10.027970,10.085780"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.454930,10.993930,11.365540,11.808290,12.174570,12.557110,12.716050", \ - "10.416130,10.955440,11.296780,11.713700,12.184390,12.356170,12.676110", \ - "10.378100,10.916780,11.247910,11.665350,12.134910,12.425500,12.398540", \ - "10.321800,10.917550,11.235620,11.661670,12.146410,12.385960,12.360640", \ - "10.349230,10.888750,11.215860,11.639300,12.114820,12.462740,12.438220", \ - "10.423130,10.963480,11.305460,11.795520,12.263430,12.583300,12.560190", \ - "10.496920,11.145040,11.449900,11.888160,12.378840,12.553330,12.805840"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.577702,8.246248,8.615668,9.005226,9.320540,9.492648,9.580952", \ - "7.555790,8.246433,8.625331,9.011227,9.327093,9.486977,9.581785", \ - "7.539352,8.161908,8.560222,8.961504,9.260694,9.431738,9.518088", \ - "7.467336,8.114099,8.516903,8.910714,9.216981,9.387930,9.482193", \ - "7.602819,8.205898,8.558505,8.942882,9.251981,9.432185,9.530589", \ - "7.805193,8.378769,8.750315,9.141396,9.450062,9.605591,9.696833", \ - "8.071776,8.628933,9.025555,9.437181,9.767081,9.939541,10.001880"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.299350,10.870410,11.180550,11.498320,12.079710,12.242330,12.221400", \ - "10.267060,10.847580,11.106020,11.555590,11.915170,12.328090,12.307880", \ - "10.246640,10.774390,11.070640,11.523900,11.884250,12.142700,12.121660", \ - "10.227920,10.752590,11.028330,11.425730,11.872440,12.139410,12.119500", \ - "10.175640,10.757190,11.026960,11.412030,11.868980,12.082310,12.334120", \ - "10.277960,10.803760,11.099620,11.552650,11.916350,12.174790,12.154480", \ - "10.392050,11.015440,11.287260,11.616260,12.209840,12.394840,12.344860"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.546219,8.224323,8.616048,9.007029,9.316474,9.486466,9.578806", \ - "7.576160,8.221228,8.610142,9.006321,9.310697,9.488054,9.577876", \ - "7.514396,8.160292,8.551121,8.949593,9.261265,9.428582,9.516791", \ - "7.511495,8.119201,8.494437,8.903667,9.220783,9.388400,9.487430", \ - "7.606776,8.200394,8.560487,8.938010,9.254357,9.444838,9.538694", \ - "7.812366,8.383066,8.743688,9.147597,9.456802,9.622157,9.714755", \ - "8.087981,8.651589,9.023453,9.456811,9.788919,9.970566,10.035160"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.940770,11.487580,11.791750,12.235940,12.610780,12.898410,13.173110", \ - "10.904770,11.476910,11.775470,12.239950,12.599870,12.971120,12.975570", \ - "10.861080,11.423810,11.734840,12.074230,12.568450,12.782380,13.059280", \ - "10.813470,11.411470,11.706400,12.125060,12.631910,12.787540,13.063030", \ - "10.823780,11.380560,11.711870,12.129670,12.480750,12.730790,13.008380", \ - "10.869700,11.458850,11.762290,12.178680,12.612250,12.799740,13.076770", \ - "11.032910,11.591900,11.875560,12.287250,12.780500,13.073800,13.081890"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.932287,7.574852,7.976369,8.375259,8.668833,8.817230,8.885140", \ - "6.992574,7.592973,7.980233,8.372202,8.671708,8.826228,8.894077", \ - "6.978709,7.600670,7.967949,8.357627,8.664144,8.814165,8.878165", \ - "6.964842,7.579443,7.950653,8.352514,8.659465,8.808517,8.877859", \ - "7.079441,7.668345,8.003361,8.423615,8.738680,8.899124,8.969985", \ - "7.284993,7.882354,8.245094,8.649357,8.955590,9.101966,9.174951", \ - "7.563311,8.156203,8.531047,8.970716,9.312669,9.495779,9.539913"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.486521,10.067830,10.358390,10.756320,11.279110,11.548710,11.528290", \ - "9.488677,10.014600,10.306540,10.705120,11.179270,11.386310,11.365220", \ - "9.385759,9.966128,10.216880,10.609660,11.084400,11.390140,11.370860", \ - "9.385771,9.966208,10.247080,10.651430,11.078130,11.236720,11.488060", \ - "9.500758,10.041390,10.316890,10.725440,11.250970,11.518330,11.498000", \ - "9.776357,10.353210,10.626540,11.067160,11.403230,11.660330,11.912410", \ - "10.160930,10.770370,11.074010,11.484810,11.836130,12.180700,12.164260"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.989240,7.586075,7.981988,8.371801,8.667240,8.819154,8.888684", \ - "6.998671,7.609663,7.985677,8.375534,8.677666,8.828660,8.898590", \ - "6.942766,7.571755,7.971718,8.365392,8.663077,8.815412,8.886151", \ - "6.923546,7.526274,7.933548,8.319894,8.628513,8.775788,8.846079", \ - "6.969289,7.555314,7.931103,8.311336,8.621281,8.782120,8.849024", \ - "7.092376,7.676145,8.035817,8.438910,8.735634,8.884605,8.951527", \ - "7.274062,7.850253,8.195462,8.642201,8.980437,9.141006,9.183217"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.068410,10.642250,10.937200,11.375170,11.753160,12.079720,12.083890", \ - "10.010450,10.610800,10.869490,11.290210,11.802710,12.173430,12.177080", \ - "9.991134,10.546450,10.832810,11.302940,11.675720,12.108200,12.113790", \ - "9.977957,10.576470,10.845620,11.307920,11.752230,11.943520,12.220270", \ - "10.058980,10.657910,10.920810,11.387970,11.772650,11.970430,12.248510", \ - "10.314010,10.912090,11.194210,11.646450,12.135930,12.378680,12.383790", \ - "10.716490,11.332730,11.647650,12.062550,12.557980,12.649520,12.928170"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI221_X1 - Cell Description : Combinational cell (AOI221_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI221_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 21.453110; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 18.674530; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 32.906518; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 23.212825; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 17.276462; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 32.906432; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 47.126736; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 37.441118; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 22.342489; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 23.212730; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 37.441109; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 27.751500; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 22.340504; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 14.127526; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 19.167732; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 19.166203; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 21.504086; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 7.432610; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 12.434598; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 12.434132; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 15.963201; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 12.502285; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 17.504310; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 17.503845; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 21.033240; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 12.501819; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 17.503845; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 17.502942; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 21.032737; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 16.145734; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 21.242313; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 21.241805; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 25.921605; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.606635; - fall_capacitance : 1.451094; - rise_capacitance : 1.606635; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.548680; - fall_capacitance : 1.507183; - rise_capacitance : 1.548680; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.588505; - fall_capacitance : 1.485684; - rise_capacitance : 1.588505; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.579039; - fall_capacitance : 1.354940; - rise_capacitance : 1.579039; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.635743; - fall_capacitance : 1.374273; - rise_capacitance : 1.635743; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 13.798600; - function : "!(((C1 & C2) | A) | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.013277,0.014119,0.015633,0.018331,0.023107,0.031554,0.046595", \ - "0.018785,0.019599,0.021078,0.023740,0.028482,0.036910,0.051944", \ - "0.035598,0.036746,0.038760,0.042166,0.047624,0.056147,0.070980", \ - "0.053012,0.054902,0.058141,0.063733,0.072600,0.086161,0.106087", \ - "0.067001,0.069816,0.074396,0.082673,0.095825,0.115699,0.144522", \ - "0.074916,0.078801,0.085279,0.096375,0.114545,0.141952,0.181280", \ - "0.074988,0.080047,0.088684,0.103160,0.126794,0.162545,0.213920"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.117781,0.124339,0.136421,0.158219,0.197952,0.270506,0.402777", \ - "0.120211,0.126842,0.139055,0.161054,0.201120,0.274018,0.406712", \ - "0.136101,0.142670,0.154750,0.176677,0.216713,0.289743,0.422781", \ - "0.168277,0.174845,0.186465,0.208257,0.248102,0.320890,0.453844", \ - "0.212672,0.220225,0.233654,0.256423,0.296325,0.368882,0.501629", \ - "0.260560,0.269823,0.286047,0.313998,0.360654,0.437033,0.569621", \ - "0.312448,0.323680,0.343255,0.376415,0.431288,0.519512,0.659850"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.009346,0.010004,0.011198,0.013348,0.017233,0.024301,0.037248", \ - "0.008997,0.009690,0.010936,0.013157,0.017118,0.024245,0.037231", \ - "0.014286,0.014792,0.015655,0.017139,0.019649,0.025069,0.037131", \ - "0.025207,0.025977,0.027288,0.029390,0.032852,0.038316,0.046791", \ - "0.040143,0.041232,0.043322,0.046297,0.050988,0.058186,0.068958", \ - "0.059098,0.060643,0.063537,0.067878,0.074136,0.083493,0.096944", \ - "0.081868,0.083979,0.087810,0.093707,0.102182,0.114217,0.130945"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.064025,0.069740,0.080335,0.099462,0.134314,0.198195,0.314500", \ - "0.064033,0.069747,0.080326,0.099456,0.134337,0.198125,0.314500", \ - "0.064048,0.069738,0.080347,0.099447,0.134329,0.198157,0.314496", \ - "0.064377,0.069982,0.080395,0.099432,0.134283,0.198075,0.314554", \ - "0.075498,0.079921,0.088317,0.104321,0.135694,0.198012,0.314532", \ - "0.094864,0.099745,0.108858,0.124595,0.151746,0.204626,0.314537", \ - "0.119742,0.124846,0.134332,0.150802,0.179333,0.229210,0.324567"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011266,0.012099,0.013610,0.016316,0.021141,0.029684,0.044854", \ - "0.016950,0.017745,0.019201,0.021836,0.026577,0.035054,0.050182", \ - "0.032777,0.034035,0.036238,0.039862,0.045629,0.054424,0.069236", \ - "0.048479,0.050545,0.054130,0.060095,0.069473,0.083575,0.104042", \ - "0.060330,0.063426,0.068471,0.077350,0.091261,0.112013,0.141636", \ - "0.065658,0.069918,0.076983,0.088959,0.108204,0.136836,0.177330", \ - "0.062721,0.068255,0.077625,0.093258,0.118307,0.155739,0.208709"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.130064,0.137939,0.152362,0.178537,0.226115,0.312965,0.471502", \ - "0.132103,0.140055,0.154625,0.181027,0.229018,0.316326,0.475297", \ - "0.147703,0.155591,0.170025,0.196297,0.244260,0.331684,0.491085", \ - "0.178820,0.186634,0.200650,0.226822,0.274432,0.361649,0.520802", \ - "0.220840,0.229569,0.245168,0.271530,0.319081,0.406064,0.564942", \ - "0.266621,0.276848,0.294980,0.326411,0.379530,0.468379,0.627034", \ - "0.317661,0.329676,0.350769,0.386865,0.447151,0.546459,0.709297"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.008258,0.008968,0.010247,0.012533,0.016584,0.023790,0.036790", \ - "0.008033,0.008702,0.009963,0.012275,0.016377,0.023651,0.036712", \ - "0.014438,0.014926,0.015776,0.017244,0.019686,0.024773,0.036554", \ - "0.025846,0.026582,0.027823,0.029877,0.033240,0.038593,0.046949", \ - "0.041302,0.042355,0.044377,0.047209,0.051740,0.058733,0.069291", \ - "0.060849,0.062310,0.065151,0.069324,0.075368,0.084381,0.097540", \ - "0.084230,0.086286,0.090004,0.095776,0.103965,0.115566,0.131815"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.071371,0.078313,0.091238,0.114586,0.157162,0.234975,0.376917", \ - "0.071390,0.078323,0.091254,0.114560,0.157184,0.234976,0.376912", \ - "0.071417,0.078336,0.091272,0.114593,0.157233,0.235007,0.376915", \ - "0.071757,0.078577,0.091377,0.114609,0.157122,0.234982,0.376919", \ - "0.081020,0.086745,0.097566,0.118089,0.157676,0.234957,0.376899", \ - "0.097588,0.103782,0.115340,0.135583,0.170242,0.238902,0.376989", \ - "0.119189,0.125531,0.137327,0.158139,0.194387,0.258035,0.382967"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011494,0.012325,0.013833,0.016539,0.021356,0.029898,0.045072", \ - "0.017169,0.017964,0.019414,0.022049,0.026791,0.035270,0.050401", \ - "0.033163,0.034404,0.036564,0.040160,0.045878,0.054631,0.069449", \ - "0.049157,0.051197,0.054694,0.060613,0.069913,0.083943,0.104318", \ - "0.061413,0.064456,0.069427,0.078181,0.091985,0.112578,0.142070", \ - "0.067259,0.071460,0.078467,0.090244,0.109326,0.137733,0.178032", \ - "0.065011,0.070460,0.079738,0.095191,0.119937,0.157049,0.209727"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.156502,0.164420,0.178910,0.205261,0.253242,0.340264,0.499066", \ - "0.158962,0.166951,0.181578,0.208121,0.256348,0.343763,0.502904", \ - "0.174383,0.182331,0.196916,0.223384,0.271645,0.359191,0.518755", \ - "0.205316,0.213200,0.227343,0.253642,0.301683,0.389023,0.548484", \ - "0.249775,0.257883,0.272476,0.298483,0.346208,0.433401,0.592567", \ - "0.300574,0.310075,0.327090,0.356918,0.408242,0.495690,0.654636", \ - "0.357485,0.368459,0.387932,0.421748,0.479396,0.575833,0.736789"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.008980,0.009715,0.011022,0.013373,0.017540,0.024951,0.038288", \ - "0.008713,0.009401,0.010723,0.013104,0.017321,0.024814,0.038216", \ - "0.015431,0.015893,0.016711,0.018125,0.020539,0.025889,0.038045", \ - "0.028518,0.029122,0.030198,0.032001,0.035115,0.040250,0.048456", \ - "0.047415,0.048123,0.049645,0.051827,0.055606,0.061888,0.071863", \ - "0.071361,0.072276,0.074134,0.077190,0.081806,0.089438,0.101360", \ - "0.099194,0.100459,0.102867,0.106873,0.113045,0.122593,0.137011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.084463,0.091520,0.104738,0.128436,0.171498,0.249704,0.392150", \ - "0.084463,0.091517,0.104738,0.128462,0.171510,0.249608,0.392139", \ - "0.084464,0.091520,0.104739,0.128320,0.171444,0.249605,0.392137", \ - "0.084536,0.091566,0.104763,0.128368,0.171363,0.249601,0.392134", \ - "0.089799,0.096043,0.107791,0.129674,0.171384,0.249568,0.392131", \ - "0.105971,0.112302,0.124123,0.144175,0.180253,0.251768,0.392128", \ - "0.126290,0.132859,0.145090,0.166340,0.203228,0.267874,0.396454"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.013276,0.014118,0.015631,0.018328,0.023102,0.031547,0.046581", \ - "0.018814,0.019629,0.021109,0.023770,0.028511,0.036936,0.051964", \ - "0.035723,0.036871,0.038881,0.042278,0.047723,0.056231,0.071061", \ - "0.053220,0.055116,0.058365,0.063943,0.072785,0.086317,0.106212", \ - "0.067230,0.070052,0.074658,0.082887,0.096036,0.115905,0.144679", \ - "0.074836,0.078750,0.085286,0.096375,0.114624,0.142055,0.181411", \ - "0.074140,0.079260,0.087982,0.102611,0.126394,0.162385,0.213896"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.149636,0.158043,0.173452,0.201447,0.252217,0.344888,0.513836", \ - "0.151009,0.159506,0.175065,0.203314,0.254566,0.347704,0.517130", \ - "0.164959,0.173378,0.188847,0.216929,0.268118,0.361358,0.531289", \ - "0.195577,0.203948,0.218962,0.246884,0.297835,0.390862,0.560508", \ - "0.241016,0.249921,0.265520,0.293230,0.344006,0.436787,0.606208", \ - "0.291787,0.302516,0.321435,0.354210,0.409484,0.502462,0.671694", \ - "0.347436,0.360234,0.382659,0.420911,0.484597,0.588468,0.759174"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.009346,0.010006,0.011198,0.013347,0.017232,0.024301,0.037248", \ - "0.009004,0.009696,0.010940,0.013160,0.017120,0.024247,0.037231", \ - "0.014235,0.014736,0.015611,0.017089,0.019610,0.025048,0.037130", \ - "0.025094,0.025851,0.027157,0.029268,0.032749,0.038229,0.046725", \ - "0.039968,0.041079,0.043144,0.046138,0.050833,0.058060,0.068851", \ - "0.059041,0.060616,0.063457,0.067821,0.074049,0.083394,0.096846", \ - "0.082184,0.084273,0.088061,0.093966,0.102346,0.114308,0.130934"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.083403,0.090657,0.104109,0.128517,0.172824,0.253689,0.401014", \ - "0.083420,0.090669,0.104103,0.128436,0.172855,0.253671,0.401014", \ - "0.083432,0.090671,0.104119,0.128435,0.172855,0.253626,0.401006", \ - "0.083507,0.090735,0.104151,0.128458,0.172771,0.253671,0.401009", \ - "0.090309,0.096437,0.108040,0.130083,0.172809,0.253640,0.401009", \ - "0.110570,0.116627,0.127886,0.147200,0.182741,0.255469,0.401004", \ - "0.136575,0.142768,0.154206,0.174447,0.209829,0.272807,0.404212"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011263,0.012096,0.013607,0.016311,0.021131,0.029673,0.044836", \ - "0.016973,0.017768,0.019224,0.021858,0.026604,0.035080,0.050200", \ - "0.032907,0.034164,0.036360,0.039977,0.045723,0.054503,0.069314", \ - "0.048761,0.050819,0.054351,0.060298,0.069669,0.083739,0.104167", \ - "0.060584,0.063679,0.068707,0.077579,0.091486,0.112205,0.141775", \ - "0.065576,0.069864,0.076994,0.089008,0.108312,0.136948,0.177470", \ - "0.061843,0.067442,0.076907,0.092665,0.117903,0.155591,0.208703"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.161981,0.171706,0.189490,0.221804,0.280503,0.387472,0.582759", \ - "0.162966,0.172784,0.190772,0.223355,0.282599,0.390095,0.585908", \ - "0.176673,0.186405,0.204240,0.236682,0.295792,0.403484,0.599833", \ - "0.206554,0.216224,0.233611,0.265894,0.324714,0.432079,0.628115", \ - "0.249535,0.259560,0.277487,0.309475,0.368052,0.475185,0.670896", \ - "0.297508,0.309304,0.330286,0.366858,0.428881,0.535895,0.731309", \ - "0.351752,0.365412,0.389452,0.430797,0.500453,0.616384,0.812036"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.008259,0.008969,0.010249,0.012534,0.016583,0.023794,0.036792", \ - "0.008040,0.008710,0.009970,0.012285,0.016385,0.023659,0.036720", \ - "0.014380,0.014874,0.015728,0.017197,0.019630,0.024754,0.036553", \ - "0.025690,0.026429,0.027687,0.029753,0.033126,0.038501,0.046887", \ - "0.041095,0.042172,0.044196,0.047039,0.051594,0.058598,0.069196", \ - "0.060739,0.062269,0.065042,0.069210,0.075265,0.084271,0.097432", \ - "0.084477,0.086545,0.090196,0.095973,0.104084,0.115646,0.131824"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.089158,0.097649,0.113363,0.142138,0.194168,0.289165,0.462023", \ - "0.089186,0.097669,0.113382,0.142152,0.194182,0.289162,0.462018", \ - "0.089214,0.097690,0.113392,0.142131,0.194157,0.289170,0.462020", \ - "0.089368,0.097800,0.113473,0.142116,0.194272,0.289171,0.462017", \ - "0.095491,0.102907,0.116902,0.143212,0.194179,0.289251,0.462013", \ - "0.112745,0.120259,0.134206,0.157811,0.201913,0.290061,0.462004", \ - "0.134925,0.142491,0.156488,0.181390,0.225241,0.303679,0.463724"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011491,0.012324,0.013829,0.016535,0.021350,0.029887,0.045056", \ - "0.017192,0.017987,0.019438,0.022075,0.026817,0.035293,0.050419", \ - "0.033298,0.034533,0.036689,0.040272,0.045979,0.054713,0.069531", \ - "0.049391,0.051421,0.054921,0.060821,0.070099,0.084103,0.104438", \ - "0.061673,0.064690,0.069670,0.078413,0.092202,0.112785,0.142234", \ - "0.067203,0.071388,0.078463,0.090317,0.109415,0.137825,0.178158", \ - "0.064179,0.069642,0.079058,0.094660,0.119585,0.156884,0.209729"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.194995,0.204758,0.222591,0.255142,0.314098,0.421283,0.616889", \ - "0.196513,0.206343,0.224313,0.257098,0.316307,0.424061,0.620108", \ - "0.209996,0.219778,0.237696,0.270334,0.329763,0.437550,0.634138", \ - "0.239636,0.249371,0.266854,0.299400,0.358411,0.466065,0.662395", \ - "0.283285,0.293034,0.310820,0.342879,0.401763,0.509124,0.705137", \ - "0.337074,0.348131,0.367941,0.402869,0.462547,0.569781,0.765460", \ - "0.397417,0.409977,0.432324,0.471301,0.538149,0.650778,0.846076"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.008983,0.009709,0.011022,0.013378,0.017539,0.024950,0.038293", \ - "0.008720,0.009418,0.010732,0.013118,0.017327,0.024811,0.038218", \ - "0.015369,0.015841,0.016667,0.018074,0.020501,0.025866,0.038044", \ - "0.028367,0.028975,0.030059,0.031860,0.034992,0.040163,0.048386", \ - "0.047213,0.047920,0.049434,0.051649,0.055441,0.061770,0.071755", \ - "0.071277,0.072206,0.074079,0.077030,0.081723,0.089317,0.101250", \ - "0.099490,0.100757,0.103138,0.107054,0.113160,0.122634,0.136988"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.105475,0.114121,0.130172,0.159324,0.211855,0.307398,0.480954", \ - "0.105479,0.114126,0.130171,0.159314,0.211894,0.307396,0.480944", \ - "0.105487,0.114131,0.130160,0.159366,0.211864,0.307414,0.480954", \ - "0.105524,0.114158,0.130184,0.159315,0.211849,0.307400,0.480949", \ - "0.108097,0.116081,0.131174,0.159530,0.211815,0.307386,0.480949", \ - "0.123889,0.131501,0.144881,0.169371,0.216291,0.307665,0.480946", \ - "0.144500,0.152331,0.166768,0.192291,0.236308,0.317963,0.481603"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.013508,0.014348,0.015857,0.018549,0.023319,0.031762,0.046803", \ - "0.019039,0.019852,0.021330,0.023988,0.028727,0.037150,0.052184", \ - "0.036055,0.037192,0.039182,0.042551,0.047967,0.056440,0.071281", \ - "0.053814,0.055673,0.058894,0.064405,0.073200,0.086661,0.106492", \ - "0.068195,0.070971,0.075490,0.083675,0.096714,0.116453,0.145121", \ - "0.076302,0.080144,0.086630,0.097633,0.115677,0.142894,0.182074", \ - "0.076240,0.081250,0.089946,0.104481,0.127974,0.163665,0.214894"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.178149,0.186590,0.202054,0.230175,0.281247,0.374174,0.543515", \ - "0.179992,0.188501,0.204099,0.232431,0.283869,0.377120,0.546887", \ - "0.193778,0.202255,0.217825,0.246078,0.297452,0.390966,0.561106", \ - "0.224176,0.232608,0.247698,0.275839,0.327142,0.420309,0.590310", \ - "0.270572,0.279020,0.294390,0.322126,0.373195,0.466155,0.635995", \ - "0.327629,0.337559,0.355238,0.386204,0.438866,0.531761,0.701351", \ - "0.390159,0.401812,0.422384,0.458135,0.518640,0.619223,0.788801"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.010086,0.010757,0.011984,0.014184,0.018180,0.025456,0.038755", \ - "0.009736,0.010453,0.011722,0.013998,0.018073,0.025402,0.038740", \ - "0.015174,0.015647,0.016489,0.017929,0.020487,0.026176,0.038641", \ - "0.027475,0.028148,0.029315,0.031266,0.034526,0.039842,0.048209", \ - "0.045389,0.046219,0.047942,0.050405,0.054509,0.061119,0.071388", \ - "0.068658,0.069732,0.071820,0.075161,0.080235,0.088283,0.100624", \ - "0.096044,0.097475,0.100101,0.104413,0.111059,0.121124,0.136026"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.097402,0.104765,0.118455,0.143094,0.187869,0.269091,0.417139", \ - "0.097405,0.104767,0.118453,0.143111,0.187902,0.269093,0.417126", \ - "0.097412,0.104774,0.118482,0.143108,0.187873,0.269173,0.417130", \ - "0.097428,0.104792,0.118481,0.143092,0.187824,0.269094,0.417123", \ - "0.100476,0.107166,0.119812,0.143358,0.187739,0.269061,0.417132", \ - "0.118596,0.124831,0.136006,0.155631,0.193875,0.269635,0.417117", \ - "0.143172,0.149579,0.161423,0.182194,0.218223,0.283565,0.418930"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011491,0.012324,0.013829,0.016535,0.021350,0.029887,0.045056", \ - "0.017192,0.017987,0.019438,0.022075,0.026817,0.035293,0.050419", \ - "0.033298,0.034533,0.036689,0.040272,0.045979,0.054713,0.069531", \ - "0.049391,0.051421,0.054921,0.060821,0.070099,0.084103,0.104438", \ - "0.061673,0.064690,0.069670,0.078413,0.092202,0.112785,0.142234", \ - "0.067203,0.071388,0.078463,0.090317,0.109415,0.137825,0.178158", \ - "0.064179,0.069642,0.079058,0.094660,0.119585,0.156884,0.209729"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.194995,0.204758,0.222591,0.255142,0.314098,0.421283,0.616889", \ - "0.196513,0.206343,0.224313,0.257098,0.316307,0.424061,0.620108", \ - "0.209996,0.219778,0.237696,0.270334,0.329763,0.437550,0.634138", \ - "0.239636,0.249371,0.266854,0.299400,0.358411,0.466065,0.662395", \ - "0.283285,0.293034,0.310820,0.342879,0.401763,0.509124,0.705137", \ - "0.337074,0.348131,0.367941,0.402869,0.462547,0.569781,0.765460", \ - "0.397417,0.409977,0.432324,0.471301,0.538149,0.650778,0.846076"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.008983,0.009709,0.011022,0.013378,0.017539,0.024950,0.038293", \ - "0.008720,0.009418,0.010732,0.013118,0.017327,0.024811,0.038218", \ - "0.015369,0.015841,0.016667,0.018074,0.020501,0.025866,0.038044", \ - "0.028367,0.028975,0.030059,0.031860,0.034992,0.040163,0.048386", \ - "0.047213,0.047920,0.049434,0.051649,0.055441,0.061770,0.071755", \ - "0.071277,0.072206,0.074079,0.077030,0.081723,0.089317,0.101250", \ - "0.099490,0.100757,0.103138,0.107054,0.113160,0.122634,0.136988"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.105475,0.114121,0.130172,0.159324,0.211855,0.307398,0.480954", \ - "0.105479,0.114126,0.130171,0.159314,0.211894,0.307396,0.480944", \ - "0.105487,0.114131,0.130160,0.159366,0.211864,0.307414,0.480954", \ - "0.105524,0.114158,0.130184,0.159315,0.211849,0.307400,0.480949", \ - "0.108097,0.116081,0.131174,0.159530,0.211815,0.307386,0.480949", \ - "0.123889,0.131501,0.144881,0.169371,0.216291,0.307665,0.480946", \ - "0.144500,0.152331,0.166768,0.192291,0.236308,0.317963,0.481603"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011720,0.012548,0.014052,0.016753,0.021566,0.030105,0.045277", \ - "0.017409,0.018205,0.019655,0.022290,0.027031,0.035507,0.050640", \ - "0.033661,0.034876,0.037009,0.040556,0.046226,0.054920,0.069749", \ - "0.050020,0.052026,0.055486,0.061325,0.070539,0.084447,0.104718", \ - "0.062713,0.065709,0.070590,0.079232,0.092868,0.113317,0.142670", \ - "0.068840,0.072980,0.079915,0.091575,0.110513,0.138669,0.178844", \ - "0.066537,0.071929,0.081303,0.096562,0.121142,0.158123,0.210666"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.228353,0.238142,0.256049,0.288657,0.347798,0.455365,0.651281", \ - "0.230269,0.240116,0.258113,0.290839,0.350400,0.458258,0.654585", \ - "0.243680,0.253502,0.271457,0.304280,0.363802,0.471851,0.668660", \ - "0.273106,0.282899,0.300373,0.333127,0.392462,0.500268,0.697029", \ - "0.316704,0.326475,0.344196,0.376561,0.435728,0.543222,0.739616", \ - "0.374536,0.385101,0.404121,0.437201,0.496421,0.603879,0.799921", \ - "0.439901,0.451715,0.472908,0.510156,0.574835,0.684825,0.880393"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.009926,0.010684,0.012023,0.014433,0.018718,0.026359,0.040059", \ - "0.009609,0.010337,0.011703,0.014153,0.018504,0.026216,0.039985", \ - "0.016706,0.017119,0.017848,0.019171,0.021559,0.027204,0.039804", \ - "0.032338,0.032684,0.033384,0.034727,0.037387,0.042149,0.050106", \ - "0.054475,0.054837,0.055840,0.057223,0.060031,0.065355,0.074515", \ - "0.081077,0.081586,0.082793,0.084824,0.088239,0.094443,0.105146", \ - "0.112479,0.113188,0.114699,0.117355,0.121835,0.129471,0.142108"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.122080,0.130867,0.147167,0.176723,0.229750,0.325767,0.500107", \ - "0.122083,0.130867,0.147166,0.176779,0.229868,0.325769,0.500110", \ - "0.122083,0.130868,0.147162,0.176718,0.229761,0.325851,0.500105", \ - "0.122090,0.130874,0.147168,0.176692,0.229845,0.325855,0.500118", \ - "0.122750,0.131346,0.147434,0.176846,0.229812,0.325843,0.500112", \ - "0.135116,0.142615,0.156701,0.182739,0.231932,0.325823,0.500122", \ - "0.155571,0.163536,0.178244,0.204123,0.248086,0.333284,0.500282"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.028927,0.030787,0.034123,0.040050,0.050471,0.068704,0.100686", \ - "0.033560,0.035393,0.038694,0.044573,0.054943,0.073121,0.105072", \ - "0.051714,0.053382,0.056337,0.061829,0.071811,0.089691,0.121469", \ - "0.077706,0.080071,0.084315,0.091864,0.104027,0.123075,0.153999", \ - "0.100960,0.104312,0.109820,0.120246,0.137195,0.163552,0.203128", \ - "0.118628,0.123069,0.130585,0.144026,0.166090,0.200665,0.252413", \ - "0.129042,0.134649,0.144256,0.161127,0.188867,0.232379,0.297469"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.173821,0.182296,0.197763,0.225688,0.276523,0.369206,0.538154", \ - "0.176627,0.185261,0.200976,0.229326,0.280733,0.373927,0.543490", \ - "0.192342,0.200866,0.216552,0.244821,0.296397,0.390194,0.560486", \ - "0.223106,0.231414,0.246699,0.274760,0.325963,0.419323,0.589689", \ - "0.265676,0.274121,0.289182,0.317023,0.367923,0.460952,0.630848", \ - "0.312692,0.322364,0.339507,0.369864,0.423092,0.516057,0.685557", \ - "0.361412,0.372349,0.391973,0.425932,0.484674,0.584960,0.755847"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.022745,0.024143,0.026669,0.031196,0.039287,0.053746,0.079851", \ - "0.022310,0.023739,0.026299,0.030889,0.039048,0.053594,0.079766", \ - "0.022482,0.023636,0.025806,0.029972,0.038069,0.053094,0.079580", \ - "0.034805,0.035989,0.038008,0.041269,0.046818,0.056894,0.079597", \ - "0.051493,0.053110,0.056051,0.060381,0.067420,0.078591,0.096174", \ - "0.071957,0.074076,0.077898,0.083755,0.092957,0.107003,0.128084", \ - "0.096056,0.098743,0.103692,0.111188,0.122869,0.140438,0.166058"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.083395,0.090696,0.104195,0.128451,0.172800,0.253673,0.401012", \ - "0.083409,0.090696,0.104150,0.128420,0.172838,0.253610,0.401013", \ - "0.083421,0.090718,0.104172,0.128425,0.172813,0.253665,0.401009", \ - "0.083450,0.090734,0.104174,0.128470,0.172773,0.253599,0.401009", \ - "0.086165,0.092921,0.105454,0.128872,0.172737,0.253583,0.401017", \ - "0.098530,0.105267,0.117685,0.138815,0.178333,0.254536,0.400991", \ - "0.114763,0.121716,0.134568,0.156948,0.196712,0.266828,0.403693"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.023135,0.024984,0.028318,0.034270,0.044797,0.063266,0.095642", \ - "0.027992,0.029801,0.033077,0.038952,0.049385,0.067762,0.100072", \ - "0.046502,0.048320,0.051515,0.056890,0.066714,0.084579,0.116552", \ - "0.069955,0.072564,0.077237,0.085340,0.098250,0.118190,0.149370", \ - "0.090451,0.094114,0.100095,0.111426,0.129448,0.157038,0.197889", \ - "0.105054,0.109923,0.118115,0.132529,0.156021,0.192297,0.245783", \ - "0.112109,0.118227,0.128641,0.146797,0.176271,0.221870,0.289144"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.185984,0.195773,0.213626,0.245970,0.304651,0.411628,0.606931", \ - "0.188359,0.198333,0.216515,0.249316,0.308658,0.416250,0.612201", \ - "0.203581,0.213438,0.231502,0.264264,0.323777,0.432058,0.628851", \ - "0.234066,0.243687,0.261401,0.293776,0.352963,0.460722,0.657558", \ - "0.276029,0.285774,0.303109,0.335291,0.394046,0.501499,0.697770", \ - "0.322422,0.333317,0.352736,0.387093,0.447214,0.554371,0.750138", \ - "0.370357,0.382470,0.404179,0.441970,0.507560,0.620565,0.816494"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.019737,0.021266,0.024034,0.028919,0.037472,0.052444,0.078922", \ - "0.019313,0.020860,0.023646,0.028555,0.037168,0.052206,0.078775", \ - "0.021848,0.022787,0.024664,0.028486,0.036255,0.051445,0.078373", \ - "0.035543,0.036701,0.038624,0.041821,0.047264,0.056773,0.078629", \ - "0.053132,0.054744,0.057596,0.061767,0.068597,0.079475,0.096751", \ - "0.074585,0.076587,0.080328,0.086060,0.094908,0.108481,0.129067", \ - "0.099640,0.102246,0.106993,0.114250,0.125543,0.142507,0.167466"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.089138,0.097691,0.113562,0.142085,0.194180,0.289171,0.462020", \ - "0.089166,0.097706,0.113543,0.142134,0.194170,0.289163,0.462022", \ - "0.089212,0.097738,0.113578,0.142106,0.194172,0.289168,0.462022", \ - "0.089254,0.097764,0.113577,0.142066,0.194292,0.289160,0.462016", \ - "0.091490,0.099468,0.114585,0.142398,0.194068,0.289158,0.462027", \ - "0.102782,0.110747,0.125299,0.150445,0.198051,0.289433,0.462006", \ - "0.117322,0.125543,0.140672,0.167188,0.214369,0.298731,0.463318"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.023672,0.025516,0.028847,0.034796,0.045316,0.063790,0.096186", \ - "0.028522,0.030328,0.033594,0.039470,0.049900,0.068284,0.100613", \ - "0.047096,0.048884,0.052007,0.057361,0.067202,0.085088,0.117089", \ - "0.070879,0.073426,0.078095,0.086096,0.098922,0.118759,0.149886", \ - "0.091831,0.095473,0.101412,0.112583,0.130443,0.157893,0.198608", \ - "0.107037,0.111878,0.120005,0.134354,0.157544,0.193539,0.246787", \ - "0.114854,0.120921,0.131291,0.149241,0.178441,0.223636,0.290578"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.219082,0.228888,0.246782,0.279277,0.338317,0.445426,0.641056", \ - "0.222250,0.232169,0.250316,0.283252,0.342599,0.450285,0.646389", \ - "0.237330,0.247240,0.265369,0.298378,0.357887,0.466251,0.663188", \ - "0.267350,0.277030,0.294821,0.327549,0.386912,0.494892,0.691949", \ - "0.309295,0.319002,0.336440,0.368836,0.427954,0.535466,0.732060", \ - "0.359118,0.369621,0.388451,0.421774,0.480959,0.588380,0.784383", \ - "0.411046,0.422573,0.443318,0.479853,0.543951,0.654837,0.850575"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.023019,0.024607,0.027462,0.032501,0.041315,0.056593,0.083223", \ - "0.022512,0.024143,0.027018,0.032104,0.040982,0.056344,0.083071", \ - "0.024511,0.025586,0.027662,0.031776,0.039952,0.055565,0.082677", \ - "0.040370,0.041381,0.043022,0.045906,0.051023,0.060693,0.082858", \ - "0.061899,0.063057,0.065283,0.068646,0.074515,0.084451,0.100881", \ - "0.087439,0.088858,0.091516,0.095917,0.103273,0.115246,0.134361", \ - "0.116692,0.118509,0.121899,0.127381,0.136550,0.151289,0.174191"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.105469,0.114207,0.130432,0.159498,0.211979,0.307418,0.480953", \ - "0.105472,0.114208,0.130416,0.159483,0.211890,0.307403,0.480952", \ - "0.105483,0.114214,0.130425,0.159477,0.211861,0.307403,0.480952", \ - "0.105493,0.114216,0.130432,0.159570,0.212004,0.307358,0.480951", \ - "0.106204,0.114751,0.130733,0.159368,0.211967,0.307347,0.480952", \ - "0.115944,0.123775,0.138146,0.164468,0.213853,0.307487,0.480939", \ - "0.130138,0.138540,0.153929,0.180701,0.227800,0.314408,0.481431"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.031712,0.033554,0.036876,0.042779,0.053179,0.071390,0.103366", \ - "0.036825,0.038661,0.041960,0.047834,0.058192,0.076364,0.108312", \ - "0.052652,0.054431,0.057598,0.063301,0.073511,0.091588,0.123499", \ - "0.077199,0.079401,0.083262,0.090003,0.101407,0.120360,0.152251", \ - "0.103918,0.106795,0.111631,0.120273,0.134677,0.157805,0.194563", \ - "0.128431,0.132274,0.138596,0.149796,0.168601,0.197826,0.242440", \ - "0.147680,0.152663,0.160941,0.175498,0.199540,0.236695,0.291906"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.199166,0.207542,0.222939,0.250956,0.301743,0.394424,0.563686", \ - "0.202870,0.211313,0.226842,0.255058,0.305970,0.398918,0.568290", \ - "0.220181,0.228634,0.244176,0.272367,0.323633,0.416747,0.586550", \ - "0.251365,0.259786,0.274951,0.303065,0.354397,0.447405,0.617234", \ - "0.294299,0.302724,0.317865,0.345652,0.396758,0.489728,0.659381", \ - "0.344888,0.354154,0.370747,0.400246,0.452144,0.544997,0.714607", \ - "0.397734,0.408089,0.426759,0.459595,0.516654,0.615024,0.785048"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.022562,0.023973,0.026510,0.031055,0.039174,0.053673,0.079833", \ - "0.022288,0.023703,0.026263,0.030836,0.038992,0.053549,0.079755", \ - "0.022155,0.023472,0.025893,0.030340,0.038496,0.053300,0.079654", \ - "0.027965,0.029217,0.031439,0.035424,0.042444,0.055095,0.079764", \ - "0.038153,0.039482,0.041866,0.045957,0.053094,0.065698,0.087954", \ - "0.052837,0.054402,0.057313,0.061930,0.069425,0.082149,0.104469", \ - "0.071370,0.073322,0.076770,0.082464,0.091250,0.105069,0.127660"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.097408,0.104780,0.118492,0.143175,0.187868,0.269072,0.417124", \ - "0.097410,0.104781,0.118492,0.143131,0.187880,0.269093,0.417127", \ - "0.097409,0.104782,0.118511,0.143098,0.187899,0.269072,0.417140", \ - "0.097411,0.104783,0.118490,0.143140,0.187894,0.269096,0.417133", \ - "0.098381,0.105512,0.118926,0.143122,0.187778,0.269156,0.417125", \ - "0.109303,0.116026,0.128207,0.150129,0.191185,0.269368,0.417112", \ - "0.124809,0.131865,0.144865,0.167681,0.207742,0.279341,0.418851"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.025970,0.027806,0.031117,0.037045,0.047538,0.065980,0.098334", \ - "0.031238,0.033053,0.036334,0.042211,0.052646,0.071014,0.103315", \ - "0.047208,0.049051,0.052307,0.057997,0.068174,0.086346,0.118528", \ - "0.070331,0.072697,0.076794,0.083889,0.095690,0.115153,0.147377", \ - "0.094817,0.097975,0.103277,0.112605,0.127816,0.151766,0.189332", \ - "0.116386,0.120641,0.127560,0.139854,0.159911,0.190553,0.236402", \ - "0.132109,0.137635,0.146738,0.162623,0.188365,0.227577,0.284670"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.215502,0.225168,0.242890,0.275240,0.333974,0.441043,0.636558", \ - "0.218928,0.228677,0.246514,0.279048,0.338118,0.445307,0.641042", \ - "0.235925,0.245688,0.263580,0.296260,0.355462,0.462962,0.659084", \ - "0.266865,0.276576,0.294105,0.326626,0.385770,0.493217,0.689476", \ - "0.309076,0.318784,0.336303,0.368449,0.427344,0.534733,0.730828", \ - "0.358822,0.369291,0.388163,0.421545,0.480690,0.587978,0.783754", \ - "0.410628,0.422133,0.442877,0.479508,0.543618,0.654578,0.850194"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.019617,0.021150,0.023900,0.028796,0.037352,0.052362,0.078859", \ - "0.019341,0.020865,0.023623,0.028517,0.037114,0.052151,0.078725", \ - "0.020256,0.021512,0.023883,0.028332,0.036560,0.051715,0.078489", \ - "0.027343,0.028550,0.030718,0.034629,0.041621,0.054160,0.078656", \ - "0.038841,0.040093,0.042345,0.046245,0.053138,0.065424,0.087502", \ - "0.054536,0.056023,0.058811,0.063223,0.070393,0.082673,0.104444", \ - "0.073956,0.075843,0.079146,0.084668,0.093070,0.106352,0.128245"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.105516,0.114178,0.130255,0.159442,0.211929,0.307524,0.481122", \ - "0.105520,0.114181,0.130254,0.159441,0.212050,0.307522,0.481128", \ - "0.105529,0.114186,0.130255,0.159404,0.212002,0.307519,0.481118", \ - "0.105533,0.114189,0.130257,0.159421,0.211960,0.307462,0.481117", \ - "0.106254,0.114723,0.130577,0.159408,0.211913,0.307510,0.481121", \ - "0.115822,0.123704,0.138174,0.164605,0.213974,0.307604,0.481118", \ - "0.129724,0.138072,0.153379,0.180452,0.227790,0.314576,0.481632"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.026503,0.028338,0.031647,0.037565,0.048056,0.066500,0.098879", \ - "0.031763,0.033577,0.036854,0.042731,0.053160,0.071535,0.103858", \ - "0.047746,0.049577,0.052823,0.058491,0.068676,0.086862,0.119071", \ - "0.071076,0.073405,0.077475,0.084502,0.096262,0.115686,0.147910", \ - "0.095880,0.098988,0.104232,0.113478,0.128567,0.152433,0.189944", \ - "0.117925,0.122121,0.128936,0.141105,0.161003,0.191439,0.237165", \ - "0.134214,0.139663,0.148750,0.164372,0.189889,0.228842,0.285703"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.248620,0.258353,0.276126,0.308646,0.367642,0.474937,0.670716", \ - "0.252311,0.262094,0.279953,0.312610,0.371834,0.479285,0.675238", \ - "0.269418,0.279230,0.297170,0.329802,0.389240,0.497038,0.693334", \ - "0.300243,0.310002,0.327560,0.360191,0.419610,0.527298,0.723755", \ - "0.342372,0.352119,0.369664,0.401956,0.461137,0.568815,0.765123", \ - "0.394383,0.404586,0.422892,0.455455,0.514408,0.621878,0.817967", \ - "0.449672,0.460747,0.480792,0.516423,0.579256,0.688581,0.884359"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.022872,0.024462,0.027316,0.032361,0.041195,0.056502,0.083169", \ - "0.022525,0.024124,0.026987,0.032054,0.040924,0.056288,0.083031", \ - "0.023178,0.024525,0.027068,0.031733,0.040319,0.055834,0.082789", \ - "0.031212,0.032385,0.034515,0.038388,0.045420,0.058179,0.082935", \ - "0.044624,0.045714,0.047738,0.051325,0.057904,0.069978,0.091785", \ - "0.063264,0.064389,0.066597,0.070281,0.076619,0.088127,0.109262", \ - "0.085986,0.087308,0.089798,0.094119,0.101148,0.113026,0.133747"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.122084,0.130884,0.147205,0.176763,0.229765,0.325769,0.500106", \ - "0.122085,0.130885,0.147207,0.176732,0.229802,0.325833,0.500116", \ - "0.122081,0.130882,0.147222,0.176737,0.229764,0.325842,0.500110", \ - "0.122083,0.130886,0.147212,0.176720,0.229805,0.325769,0.500123", \ - "0.122283,0.131022,0.147294,0.176731,0.229682,0.325838,0.500108", \ - "0.129173,0.137253,0.152325,0.179774,0.230598,0.325854,0.500114", \ - "0.143388,0.151791,0.167228,0.194394,0.241807,0.330853,0.500267"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.019709,0.021173,0.023852,0.028745,0.037666,0.053979,0.083800", \ - "0.024291,0.025783,0.028507,0.033452,0.042434,0.058795,0.088669", \ - "0.039160,0.041157,0.044610,0.050287,0.059395,0.075727,0.105583", \ - "0.052886,0.056124,0.061720,0.070951,0.085309,0.106807,0.138270", \ - "0.060783,0.065516,0.073507,0.086822,0.107621,0.138371,0.182295", \ - "0.061015,0.067388,0.078036,0.095840,0.123711,0.164939,0.223332", \ - "0.052430,0.060515,0.074190,0.096770,0.132145,0.184590,0.258887"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.087534,0.095530,0.110069,0.136425,0.184280,0.271247,0.429887", \ - "0.087554,0.095735,0.110648,0.137520,0.186067,0.273919,0.433160", \ - "0.099212,0.107124,0.121649,0.148216,0.196677,0.284825,0.444942", \ - "0.132499,0.139517,0.152802,0.178202,0.225471,0.312345,0.471549", \ - "0.178607,0.187811,0.203821,0.231042,0.276091,0.360912,0.518010", \ - "0.228940,0.240220,0.259823,0.293041,0.347459,0.433640,0.587887", \ - "0.284565,0.297810,0.320928,0.360152,0.424483,0.526150,0.683628"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.012075,0.013339,0.015642,0.019884,0.027637,0.041869,0.067915", \ - "0.012078,0.013329,0.015648,0.019882,0.027643,0.041862,0.067907", \ - "0.017157,0.018037,0.019582,0.022325,0.028447,0.041862,0.067905", \ - "0.030071,0.031304,0.033344,0.036742,0.042390,0.051566,0.070545", \ - "0.047456,0.049113,0.052167,0.056668,0.063802,0.075030,0.092522", \ - "0.069224,0.071398,0.075402,0.081342,0.090482,0.104442,0.125335", \ - "0.095272,0.098053,0.102940,0.110566,0.122070,0.139280,0.164386"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.071140,0.078220,0.091325,0.114583,0.157229,0.235010,0.376920", \ - "0.070984,0.078108,0.091265,0.114565,0.157153,0.235042,0.376904", \ - "0.070059,0.077428,0.090836,0.114384,0.157117,0.234978,0.376927", \ - "0.071957,0.078083,0.089880,0.112834,0.156865,0.235031,0.376932", \ - "0.091134,0.096633,0.105932,0.122900,0.158925,0.234337,0.376914", \ - "0.114082,0.120300,0.131529,0.150196,0.180897,0.242397,0.376535", \ - "0.140119,0.147215,0.159885,0.181099,0.216214,0.273123,0.386599"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.019699,0.021162,0.023840,0.028731,0.037643,0.053946,0.083751", \ - "0.024285,0.025776,0.028492,0.033439,0.042413,0.058764,0.088627", \ - "0.039212,0.041202,0.044661,0.050324,0.059419,0.075740,0.105585", \ - "0.053116,0.056338,0.061925,0.071112,0.085447,0.106892,0.138324", \ - "0.061033,0.065765,0.073727,0.087101,0.107803,0.138536,0.182397", \ - "0.060809,0.067226,0.077931,0.095823,0.123754,0.165015,0.223419", \ - "0.051139,0.059330,0.073167,0.095988,0.131633,0.184337,0.258795"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.099717,0.109555,0.127467,0.160010,0.219060,0.326079,0.521527", \ - "0.098754,0.108813,0.127146,0.160449,0.220295,0.328335,0.524653", \ - "0.109261,0.118934,0.136759,0.169627,0.229374,0.337959,0.535265", \ - "0.141855,0.150488,0.166883,0.198263,0.256557,0.363685,0.559990", \ - "0.192254,0.202452,0.220239,0.250429,0.305376,0.410234,0.604087", \ - "0.247292,0.259707,0.281339,0.318212,0.379077,0.480520,0.671310", \ - "0.308046,0.322563,0.347963,0.391209,0.462568,0.576475,0.763776"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.012074,0.013334,0.015648,0.019881,0.027641,0.041868,0.067920", \ - "0.012078,0.013331,0.015640,0.019880,0.027645,0.041857,0.067910", \ - "0.017131,0.018020,0.019553,0.022306,0.028438,0.041860,0.067906", \ - "0.029943,0.031166,0.033224,0.036648,0.042329,0.051516,0.070529", \ - "0.047227,0.048903,0.051971,0.056474,0.063694,0.074949,0.092465", \ - "0.069076,0.071251,0.075262,0.081221,0.090379,0.104352,0.125270", \ - "0.095394,0.098199,0.103035,0.110646,0.122164,0.139342,0.164391"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.088205,0.097027,0.113185,0.142059,0.194290,0.289174,0.462019", \ - "0.087763,0.096704,0.113009,0.141996,0.194264,0.289166,0.462027", \ - "0.086028,0.095351,0.112157,0.141618,0.194072,0.289181,0.462027", \ - "0.084768,0.093058,0.108882,0.139547,0.193633,0.289171,0.462030", \ - "0.101922,0.107765,0.119629,0.143380,0.191881,0.288791,0.462029", \ - "0.124716,0.131968,0.145221,0.167816,0.206616,0.289879,0.461944", \ - "0.151266,0.159369,0.173929,0.198577,0.240254,0.311610,0.463574"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.020095,0.021563,0.024243,0.029132,0.038062,0.054383,0.084236", \ - "0.024690,0.026180,0.028897,0.033845,0.042833,0.059208,0.089104", \ - "0.039766,0.041752,0.045158,0.050770,0.059839,0.076179,0.106064", \ - "0.054115,0.057278,0.062806,0.071890,0.086103,0.107439,0.138788", \ - "0.062662,0.067314,0.075120,0.088298,0.108865,0.139365,0.183078", \ - "0.063197,0.069528,0.080241,0.097733,0.125390,0.166299,0.224441", \ - "0.054510,0.062563,0.076328,0.098821,0.133956,0.186209,0.260280"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.133079,0.142926,0.160925,0.193508,0.252664,0.360115,0.555877", \ - "0.133241,0.143256,0.161556,0.194582,0.254520,0.362597,0.559095", \ - "0.142827,0.152691,0.170816,0.203816,0.263841,0.372489,0.569874", \ - "0.172468,0.181882,0.199197,0.231271,0.290205,0.397853,0.594532", \ - "0.225679,0.234985,0.251064,0.280974,0.338092,0.443730,0.638272", \ - "0.288065,0.299347,0.319170,0.353438,0.410992,0.513249,0.704989", \ - "0.355845,0.369076,0.392429,0.432652,0.500048,0.609345,0.796875"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.013635,0.014985,0.017460,0.021999,0.030248,0.045185,0.071831", \ - "0.013637,0.014982,0.017463,0.022007,0.030254,0.045186,0.071830", \ - "0.018982,0.019859,0.021314,0.024334,0.031003,0.045188,0.071828", \ - "0.034577,0.035609,0.037370,0.040425,0.045768,0.054780,0.074397", \ - "0.056890,0.058035,0.060167,0.063669,0.069696,0.079915,0.096600", \ - "0.083974,0.085296,0.087918,0.092181,0.099372,0.111380,0.130676", \ - "0.115763,0.117348,0.120389,0.125542,0.134320,0.148712,0.171393"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.105287,0.114092,0.130386,0.159391,0.211996,0.307515,0.481116", \ - "0.105188,0.114019,0.130345,0.159349,0.212011,0.307525,0.481120", \ - "0.104619,0.113605,0.130080,0.159228,0.211968,0.307512,0.481118", \ - "0.101457,0.110909,0.128349,0.158438,0.211708,0.307524,0.481129", \ - "0.109656,0.116963,0.131006,0.157826,0.209629,0.307360,0.481121", \ - "0.133184,0.140556,0.153949,0.175684,0.218668,0.306637,0.481109", \ - "0.159974,0.168130,0.182763,0.207463,0.249272,0.323551,0.481199"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.022422,0.023884,0.026554,0.031436,0.040357,0.056649,0.086476", \ - "0.027613,0.029099,0.031806,0.036735,0.045700,0.062051,0.091918", \ - "0.041728,0.043493,0.046611,0.052005,0.061174,0.077651,0.107621", \ - "0.058661,0.061278,0.065734,0.073231,0.085349,0.104832,0.136359", \ - "0.072605,0.076547,0.083164,0.094176,0.111290,0.136930,0.175532", \ - "0.080234,0.085926,0.094878,0.110474,0.134382,0.169090,0.218183", \ - "0.079875,0.087365,0.099695,0.119859,0.151543,0.197299,0.260453"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.111637,0.119519,0.133938,0.160218,0.208044,0.294947,0.453688", \ - "0.112664,0.120663,0.135305,0.161898,0.209959,0.297338,0.456395", \ - "0.124877,0.132787,0.147335,0.173919,0.222172,0.309821,0.469279", \ - "0.156415,0.164035,0.177921,0.203815,0.251272,0.338215,0.497088", \ - "0.207983,0.216302,0.230902,0.255765,0.301548,0.386867,0.544130", \ - "0.265424,0.275613,0.293489,0.324120,0.375238,0.459301,0.614146", \ - "0.327860,0.339885,0.361037,0.397386,0.457783,0.554836,0.709752"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.012081,0.013335,0.015648,0.019877,0.027650,0.041875,0.067904", \ - "0.012077,0.013336,0.015640,0.019884,0.027641,0.041867,0.067913", \ - "0.014229,0.015344,0.017313,0.020937,0.028005,0.041863,0.067922", \ - "0.021487,0.022573,0.024559,0.028165,0.034719,0.046672,0.069377", \ - "0.034091,0.035301,0.037494,0.041155,0.047524,0.059031,0.080337", \ - "0.051173,0.052694,0.055504,0.059756,0.066631,0.078118,0.098640", \ - "0.072173,0.074062,0.077476,0.082927,0.091105,0.103725,0.124356"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.084432,0.091507,0.104747,0.128361,0.171444,0.249606,0.392123", \ - "0.084399,0.091484,0.104731,0.128442,0.171417,0.249629,0.392130", \ - "0.084143,0.091301,0.104629,0.128312,0.171340,0.249622,0.392140", \ - "0.082985,0.089927,0.103235,0.127768,0.171146,0.249609,0.392144", \ - "0.096613,0.101806,0.112077,0.131993,0.171075,0.249371,0.392143", \ - "0.119748,0.125916,0.137192,0.156012,0.187836,0.253824,0.392004", \ - "0.145451,0.152608,0.165385,0.186918,0.222195,0.280093,0.398830"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.022414,0.023873,0.026543,0.031421,0.040335,0.056622,0.086435", \ - "0.027606,0.029091,0.031798,0.036719,0.045681,0.062025,0.091873", \ - "0.041777,0.043542,0.046651,0.052040,0.061199,0.077662,0.107618", \ - "0.058853,0.061464,0.065910,0.073382,0.085483,0.104936,0.136408", \ - "0.072895,0.076895,0.083476,0.094445,0.111486,0.137084,0.175635", \ - "0.080521,0.086169,0.095257,0.110793,0.134671,0.169302,0.218370", \ - "0.079640,0.087187,0.099524,0.119718,0.151651,0.197415,0.260590"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.129761,0.139468,0.157217,0.189639,0.248409,0.355542,0.551058", \ - "0.130016,0.139879,0.157861,0.190574,0.249852,0.357454,0.553429", \ - "0.141168,0.150911,0.168803,0.201504,0.260968,0.368937,0.565429", \ - "0.171693,0.181086,0.198220,0.230160,0.288839,0.395840,0.591626", \ - "0.225116,0.234410,0.250607,0.280423,0.337266,0.442615,0.636525", \ - "0.287779,0.299049,0.318937,0.353071,0.410545,0.512659,0.703909", \ - "0.355876,0.369110,0.392445,0.432650,0.499936,0.609034,0.796308"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.012077,0.013331,0.015641,0.019877,0.027645,0.041866,0.067905", \ - "0.012077,0.013334,0.015649,0.019879,0.027644,0.041862,0.067914", \ - "0.014224,0.015335,0.017306,0.020930,0.028002,0.041861,0.067919", \ - "0.021395,0.022495,0.024505,0.028112,0.034691,0.046643,0.069369", \ - "0.033900,0.035148,0.037305,0.041009,0.047429,0.058973,0.080303", \ - "0.050939,0.052450,0.055234,0.059531,0.066429,0.077973,0.098595", \ - "0.072001,0.073907,0.077354,0.082782,0.090908,0.103622,0.124271"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.105203,0.113936,0.130102,0.159321,0.211863,0.307409,0.480955", \ - "0.105085,0.113853,0.130054,0.159321,0.211862,0.307361,0.480959", \ - "0.104519,0.113428,0.129793,0.159173,0.211864,0.307421,0.480951", \ - "0.101456,0.110714,0.128030,0.158427,0.211772,0.307412,0.480957", \ - "0.109748,0.117056,0.131087,0.157942,0.209449,0.307250,0.480958", \ - "0.132713,0.140035,0.153473,0.175579,0.218757,0.306548,0.480939", \ - "0.158842,0.167033,0.181736,0.206812,0.248798,0.323544,0.481094"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.022812,0.024277,0.026949,0.031826,0.040749,0.057058,0.086910", \ - "0.028010,0.029496,0.032207,0.037132,0.046102,0.062462,0.092358", \ - "0.042263,0.044022,0.047108,0.052476,0.061620,0.078103,0.108105", \ - "0.059590,0.062173,0.066571,0.073986,0.086031,0.105437,0.136895", \ - "0.074165,0.078073,0.084505,0.095406,0.112283,0.137751,0.176250", \ - "0.082391,0.087966,0.096949,0.112211,0.135839,0.170264,0.219100", \ - "0.082309,0.089730,0.101969,0.122017,0.153421,0.198826,0.261627"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.163028,0.172785,0.190609,0.223144,0.282297,0.389631,0.585438", \ - "0.163846,0.173705,0.191677,0.224425,0.283854,0.391633,0.587868", \ - "0.174834,0.184672,0.202642,0.235494,0.295123,0.403243,0.599963", \ - "0.204165,0.213789,0.231213,0.263481,0.322497,0.429872,0.626079", \ - "0.255603,0.264601,0.281347,0.312554,0.370241,0.476184,0.670670", \ - "0.325119,0.335553,0.354097,0.386314,0.441751,0.545630,0.737667", \ - "0.399826,0.412081,0.433828,0.471643,0.535581,0.640773,0.829492"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.013629,0.014987,0.017465,0.021993,0.030253,0.045192,0.071828", \ - "0.013625,0.014991,0.017464,0.022008,0.030247,0.045189,0.071830", \ - "0.015939,0.017107,0.019112,0.023009,0.030589,0.045189,0.071832", \ - "0.024231,0.025310,0.027316,0.030996,0.037745,0.049977,0.073275", \ - "0.039552,0.040497,0.042394,0.045683,0.051751,0.063190,0.084402", \ - "0.060824,0.061763,0.063738,0.066948,0.072721,0.083359,0.103281", \ - "0.086291,0.087378,0.089544,0.093364,0.099595,0.110506,0.129779"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.122017,0.130821,0.147185,0.176753,0.229868,0.325849,0.500114", \ - "0.121994,0.130806,0.147187,0.176731,0.229776,0.325842,0.500125", \ - "0.121851,0.130699,0.147121,0.176730,0.229776,0.325778,0.500127", \ - "0.120597,0.129750,0.146516,0.176433,0.229736,0.325872,0.500126", \ - "0.122039,0.130169,0.145584,0.174413,0.228629,0.325828,0.500110", \ - "0.142415,0.149757,0.162402,0.186134,0.232681,0.324429,0.500128", \ - "0.169032,0.177078,0.191637,0.216550,0.257849,0.336990,0.499434"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.617078,0.627991,0.630302,0.656111,0.675629,0.711611,0.744101", \ - "0.616390,0.622647,0.632370,0.655211,0.679691,0.705801,0.741002", \ - "0.633284,0.634919,0.633699,0.649178,0.669191,0.697261,0.732700", \ - "0.723714,0.722954,0.712626,0.712828,0.709886,0.713047,0.730145", \ - "0.838961,0.836405,0.831978,0.830123,0.819873,0.810429,0.790709", \ - "1.022717,1.016496,1.012973,0.994157,0.975303,0.950130,0.917256", \ - "1.284186,1.277840,1.271706,1.254163,1.214761,1.171847,1.106137"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.272516,3.275912,3.278206,3.288807,3.292008,3.296437,3.303197", \ - "3.222292,3.225365,3.233305,3.241071,3.260222,3.269662,3.284805", \ - "3.173252,3.175182,3.184169,3.191690,3.207134,3.218251,3.239584", \ - "3.158684,3.162165,3.150646,3.160875,3.166267,3.178493,3.217500", \ - "3.163698,3.163979,3.161762,3.160760,3.160794,3.166648,3.179725", \ - "3.211795,3.215785,3.217360,3.209104,3.188781,3.206811,3.215348", \ - "3.249306,3.257133,3.277510,3.303736,3.307613,3.279974,3.263315"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.482723,0.486035,0.502559,0.530259,0.575850,0.617373,0.657362", \ - "0.470793,0.483650,0.499641,0.532309,0.567921,0.605376,0.649415", \ - "0.516645,0.518567,0.522974,0.530821,0.554524,0.588326,0.631493", \ - "0.620138,0.621654,0.618437,0.625482,0.618489,0.615915,0.634197", \ - "0.749681,0.746788,0.745262,0.739557,0.733908,0.716123,0.697164", \ - "0.936723,0.929063,0.923935,0.905534,0.890844,0.862290,0.825125", \ - "1.196885,1.189652,1.175403,1.160878,1.126134,1.069294,1.009723"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.010620,3.016154,3.017836,3.029144,3.034531,3.032722,3.032361", \ - "2.956947,2.963840,2.973824,2.983629,2.979475,3.008588,3.014526", \ - "2.909853,2.915718,2.919128,2.921184,2.927883,2.958859,2.987116", \ - "2.892901,2.897685,2.895123,2.892746,2.894079,2.923086,2.932177", \ - "2.901459,2.901453,2.898531,2.901871,2.903838,2.911148,2.910926", \ - "2.948642,2.950985,2.955672,2.948247,2.932739,2.922550,2.948368", \ - "2.977459,2.983826,3.007826,3.046498,3.051857,3.004835,2.984197"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.517315,0.537082,0.542737,0.568164,0.587891,0.632336,0.662601", \ - "0.516119,0.528347,0.536678,0.555893,0.578396,0.622246,0.651169", \ - "0.529960,0.531473,0.540237,0.546472,0.572612,0.605487,0.635777", \ - "0.635028,0.630606,0.630619,0.630496,0.625890,0.616329,0.635940", \ - "0.758099,0.756641,0.747534,0.743423,0.733822,0.719930,0.695941", \ - "0.944841,0.941247,0.932480,0.910178,0.893601,0.860779,0.826599", \ - "1.213471,1.204942,1.190701,1.168760,1.129813,1.075168,1.010724"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.607395,3.611273,3.621264,3.622111,3.634754,3.663450,3.683714", \ - "3.560606,3.569958,3.576467,3.583236,3.607140,3.640384,3.655299", \ - "3.511253,3.516687,3.526764,3.541434,3.555800,3.591958,3.612766", \ - "3.491667,3.498536,3.496200,3.505637,3.520212,3.554984,3.574383", \ - "3.493473,3.498535,3.498607,3.503053,3.504700,3.537600,3.552663", \ - "3.538961,3.538865,3.544691,3.538246,3.553368,3.553876,3.563515", \ - "3.584315,3.596130,3.617979,3.657972,3.626288,3.630685,3.623999"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.641829,0.649780,0.661534,0.664661,0.684488,0.715562,0.738035", \ - "0.643693,0.646705,0.652529,0.673124,0.693211,0.716317,0.749563", \ - "0.661944,0.663755,0.665136,0.673550,0.696257,0.721492,0.754863", \ - "0.746483,0.749742,0.751218,0.741706,0.752657,0.743265,0.763248", \ - "0.854316,0.854119,0.853086,0.851530,0.846598,0.832506,0.819018", \ - "1.022635,1.017453,1.013642,0.999357,0.991892,0.970344,0.937455", \ - "1.259379,1.254103,1.244191,1.227942,1.202503,1.167034,1.112613"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.246523,3.249197,3.254862,3.259752,3.261636,3.274990,3.288461", \ - "3.196402,3.200406,3.206257,3.217228,3.234021,3.253518,3.248586", \ - "3.148534,3.151399,3.157848,3.162151,3.167562,3.187970,3.224494", \ - "3.131117,3.133300,3.132175,3.130635,3.135674,3.154346,3.177009", \ - "3.130080,3.131455,3.135042,3.126350,3.135382,3.151885,3.154002", \ - "3.172109,3.172173,3.172597,3.168562,3.162412,3.169879,3.159473", \ - "3.238866,3.252317,3.271257,3.266728,3.252251,3.215742,3.213908"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.508765,0.510732,0.525894,0.546875,0.579006,0.619665,0.658278", \ - "0.498793,0.509598,0.526111,0.542511,0.583300,0.615547,0.654569", \ - "0.544930,0.551856,0.551628,0.560808,0.585169,0.612754,0.655776", \ - "0.655944,0.648331,0.652743,0.653784,0.643135,0.645679,0.662621", \ - "0.766332,0.767724,0.759302,0.758637,0.757043,0.746488,0.725980", \ - "0.936445,0.929062,0.925500,0.910767,0.898144,0.877471,0.845643", \ - "1.168572,1.165298,1.156057,1.134722,1.113122,1.070321,1.015909"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("2.980881,2.986620,2.992212,3.001859,3.004028,3.010746,3.010139", \ - "2.931287,2.934889,2.944748,2.948292,2.956245,2.989476,2.995170", \ - "2.884647,2.887048,2.891587,2.895295,2.912325,2.927769,2.939142", \ - "2.863075,2.868225,2.864705,2.869974,2.884707,2.896725,2.905418", \ - "2.864285,2.868738,2.871974,2.865627,2.873715,2.884769,2.888729", \ - "2.907240,2.905301,2.903187,2.903288,2.897560,2.901041,2.900356", \ - "2.961907,2.977469,3.003267,2.999590,2.968552,2.951782,2.937930"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.538695,0.546098,0.558572,0.581245,0.600438,0.627535,0.663715", \ - "0.545120,0.546169,0.551770,0.570480,0.589731,0.632277,0.667839", \ - "0.558686,0.560215,0.563851,0.582385,0.601229,0.630023,0.658238", \ - "0.661649,0.656648,0.658763,0.658919,0.655154,0.646407,0.669920", \ - "0.776226,0.775990,0.767193,0.766784,0.757367,0.746177,0.728098", \ - "0.944535,0.941508,0.932346,0.917749,0.904064,0.875898,0.846963", \ - "1.185179,1.178788,1.166816,1.148477,1.118623,1.072990,1.017396"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.585085,3.591650,3.600036,3.611668,3.615570,3.628131,3.636747", \ - "3.542339,3.546437,3.559437,3.576233,3.590626,3.608798,3.638324", \ - "3.493409,3.497171,3.503032,3.524645,3.528309,3.569306,3.588763", \ - "3.469023,3.476147,3.478053,3.484684,3.498614,3.516943,3.555400", \ - "3.468098,3.471819,3.477624,3.480459,3.488056,3.503454,3.538540", \ - "3.502689,3.502635,3.507721,3.506568,3.507814,3.521177,3.528153", \ - "3.586198,3.599306,3.608877,3.600228,3.592285,3.587762,3.585697"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.670555,0.668242,0.680708,0.686583,0.698227,0.718735,0.742415", \ - "0.666600,0.670175,0.678095,0.680033,0.705428,0.722761,0.754046", \ - "0.669195,0.673661,0.682188,0.693600,0.708939,0.725745,0.762021", \ - "0.755044,0.758289,0.750458,0.752811,0.750782,0.744741,0.765045", \ - "0.866232,0.862107,0.857932,0.853897,0.850770,0.837230,0.818423", \ - "1.029526,1.026670,1.020808,1.003866,0.990499,0.970862,0.940600", \ - "1.272696,1.268161,1.258376,1.240557,1.212666,1.168133,1.114171"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.851792,3.854976,3.858091,3.863619,3.876968,3.895068,3.917251", \ - "3.808367,3.812478,3.823859,3.827288,3.851980,3.875391,3.902903", \ - "3.758630,3.764757,3.772468,3.777623,3.787163,3.813255,3.844522", \ - "3.734508,3.741579,3.738083,3.739764,3.757891,3.777229,3.807861", \ - "3.735159,3.737648,3.740217,3.734326,3.751885,3.773982,3.802438", \ - "3.769319,3.770389,3.770865,3.765350,3.774433,3.791828,3.814004", \ - "3.857985,3.869011,3.876377,3.873354,3.859167,3.833541,3.842677"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.538695,0.546098,0.558572,0.581245,0.600438,0.627535,0.663715", \ - "0.545120,0.546169,0.551770,0.570480,0.589731,0.632277,0.667839", \ - "0.558686,0.560215,0.563851,0.582385,0.601229,0.630023,0.658238", \ - "0.661649,0.656648,0.658763,0.658919,0.655154,0.646407,0.669920", \ - "0.776226,0.775990,0.767193,0.766784,0.757367,0.746177,0.728098", \ - "0.944535,0.941508,0.932346,0.917749,0.904064,0.875898,0.846963", \ - "1.185179,1.178788,1.166816,1.148477,1.118623,1.072990,1.017396"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.585085,3.591650,3.600036,3.611668,3.615570,3.628131,3.636747", \ - "3.542339,3.546437,3.559437,3.576233,3.590626,3.608798,3.638324", \ - "3.493409,3.497171,3.503032,3.524645,3.528309,3.569306,3.588763", \ - "3.469023,3.476147,3.478053,3.484684,3.498614,3.516943,3.555400", \ - "3.468098,3.471819,3.477624,3.480459,3.488056,3.503454,3.538540", \ - "3.502689,3.502635,3.507721,3.506568,3.507814,3.521177,3.528153", \ - "3.586198,3.599306,3.608877,3.600228,3.592285,3.587762,3.585697"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.562986,0.569060,0.581760,0.578239,0.611331,0.633267,0.672609", \ - "0.566890,0.566601,0.581284,0.578214,0.612087,0.638359,0.670478", \ - "0.575514,0.574122,0.586292,0.592923,0.606152,0.630268,0.665142", \ - "0.664450,0.666200,0.664746,0.655269,0.654237,0.651672,0.667249", \ - "0.779540,0.777364,0.772047,0.766836,0.758770,0.742651,0.729174", \ - "0.950096,0.947670,0.935090,0.923189,0.906374,0.880348,0.846864", \ - "1.195728,1.190550,1.177582,1.151454,1.122616,1.074447,1.017536"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.189970,4.197147,4.209855,4.217030,4.247086,4.265884,4.283649", \ - "4.152338,4.161426,4.172736,4.195635,4.205702,4.247730,4.270029", \ - "4.102809,4.108867,4.123809,4.143997,4.163772,4.189661,4.237397", \ - "4.079797,4.083407,4.089533,4.107830,4.133810,4.157279,4.183438", \ - "4.073910,4.080064,4.089407,4.105702,4.122831,4.143439,4.165599", \ - "4.101313,4.107111,4.114587,4.118549,4.140503,4.159573,4.177095", \ - "4.197339,4.199017,4.203160,4.206336,4.198586,4.204305,4.223313"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.928811,0.937745,0.952808,0.998260,1.053247,1.130971,1.208716", \ - "0.902407,0.908467,0.932870,0.972421,1.032505,1.104278,1.182239", \ - "0.876258,0.881998,0.904065,0.943998,1.002561,1.065555,1.136910", \ - "0.943990,0.945545,0.951457,0.969778,0.994286,1.064522,1.132335", \ - "1.103113,1.101759,1.099304,1.097773,1.110276,1.107671,1.147623", \ - "1.293214,1.295523,1.289542,1.288228,1.281483,1.267093,1.250014", \ - "1.553951,1.550301,1.542434,1.537451,1.517451,1.484259,1.437934"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.022294,4.026871,4.030459,4.024235,4.043317,4.056849,4.045805", \ - "3.971407,3.977805,3.985395,3.997401,4.015919,4.035941,4.056858", \ - "3.900503,3.908508,3.914891,3.925270,3.945059,3.973098,4.003534", \ - "3.873257,3.873939,3.874957,3.885920,3.895757,3.920025,3.951555", \ - "3.865604,3.869061,3.859234,3.857682,3.878533,3.874568,3.900101", \ - "3.873859,3.875016,3.869437,3.867601,3.881472,3.873384,3.895619", \ - "3.867901,3.881468,3.909714,3.912055,3.909080,3.914434,3.915151"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.713680,0.739869,0.762069,0.803732,0.898196,0.986236,1.082277", \ - "0.696700,0.714038,0.746075,0.800578,0.859995,0.956712,1.049487", \ - "0.690751,0.702626,0.729995,0.762025,0.844746,0.920462,1.019234", \ - "0.801508,0.801298,0.807685,0.819576,0.855817,0.911526,1.003134", \ - "0.968117,0.965595,0.966267,0.963032,0.975209,0.980279,1.019886", \ - "1.168560,1.166259,1.163504,1.156432,1.155985,1.144895,1.129455", \ - "1.428658,1.427092,1.424024,1.410683,1.388087,1.357598,1.310402"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.747964,3.751314,3.760527,3.754506,3.776609,3.783794,3.783273", \ - "3.697589,3.703106,3.716143,3.727665,3.749621,3.764498,3.770685", \ - "3.625438,3.631703,3.639463,3.657443,3.680725,3.703168,3.719544", \ - "3.599690,3.600110,3.600343,3.604785,3.629542,3.654194,3.669195", \ - "3.590769,3.595233,3.592627,3.583331,3.599066,3.612773,3.623715", \ - "3.598938,3.601606,3.604245,3.586395,3.589347,3.620296,3.627136", \ - "3.593061,3.607077,3.640299,3.648895,3.636715,3.635795,3.634707"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.788591,0.807508,0.827522,0.871556,0.922194,1.011709,1.094417", \ - "0.769566,0.784560,0.812490,0.828519,0.898869,0.985358,1.066085", \ - "0.756549,0.768793,0.783952,0.812285,0.878678,0.947873,1.024717", \ - "0.828585,0.824202,0.829260,0.851291,0.876957,0.934019,1.017385", \ - "0.988769,0.991923,0.991265,0.991597,0.993051,0.993284,1.034624", \ - "1.190052,1.189928,1.183095,1.180132,1.165582,1.148187,1.132148", \ - "1.455801,1.452591,1.442416,1.429825,1.401193,1.362822,1.316257"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.354595,4.357001,4.368470,4.379324,4.388967,4.400982,4.409711", \ - "4.315945,4.323864,4.333214,4.343404,4.365005,4.383531,4.419091", \ - "4.242659,4.248242,4.262880,4.281394,4.299414,4.325084,4.369752", \ - "4.207968,4.211961,4.220565,4.235839,4.252801,4.273943,4.299060", \ - "4.196960,4.201426,4.199768,4.210106,4.216437,4.254114,4.274571", \ - "4.202122,4.204416,4.204113,4.198911,4.223322,4.242018,4.256519", \ - "4.229412,4.249123,4.258568,4.256734,4.242870,4.271454,4.284064"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.924271,0.942392,0.963723,1.004008,1.062931,1.132944,1.210061", \ - "0.897372,0.912440,0.932733,0.965026,1.031126,1.101400,1.179366", \ - "0.874437,0.882805,0.909741,0.941937,1.001047,1.065827,1.144289", \ - "0.912224,0.917338,0.917571,0.940722,0.988320,1.046284,1.118408", \ - "1.014261,1.016521,1.023746,1.027942,1.052824,1.072527,1.124953", \ - "1.133743,1.139153,1.141880,1.142319,1.151864,1.168844,1.180000", \ - "1.304116,1.301604,1.302560,1.299096,1.302091,1.301487,1.295342"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.571520,4.574146,4.579777,4.587360,4.585778,4.601490,4.622002", \ - "4.537422,4.539561,4.549650,4.553301,4.558571,4.577224,4.599640", \ - "4.496190,4.497653,4.504307,4.511209,4.536387,4.559185,4.562088", \ - "4.476442,4.479930,4.474418,4.480174,4.503910,4.525233,4.553922", \ - "4.465856,4.473385,4.473102,4.475603,4.491643,4.513840,4.515897", \ - "4.474842,4.477477,4.482508,4.472242,4.471489,4.492387,4.518053", \ - "4.485961,4.500047,4.520718,4.528785,4.519098,4.533941,4.555854"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.720777,0.741200,0.778606,0.828115,0.895145,0.984165,1.085206", \ - "0.703423,0.713593,0.747200,0.798046,0.864354,0.957147,1.054260", \ - "0.671858,0.692714,0.725076,0.764306,0.835246,0.918941,1.016789", \ - "0.747532,0.757502,0.768532,0.775029,0.834657,0.898997,0.986505", \ - "0.869289,0.873632,0.876502,0.883300,0.909936,0.938527,0.997353", \ - "1.005298,1.007764,1.006384,1.015916,1.027314,1.039807,1.059124", \ - "1.179061,1.179783,1.176904,1.174364,1.174026,1.178074,1.176882"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.297284,4.298115,4.307837,4.317994,4.317275,4.327553,4.333726", \ - "4.260256,4.264444,4.271098,4.285604,4.291880,4.303862,4.312467", \ - "4.220692,4.223877,4.234795,4.245283,4.268329,4.285065,4.298453", \ - "4.200943,4.203244,4.207054,4.216346,4.235726,4.252015,4.268500", \ - "4.193159,4.196940,4.202030,4.202429,4.218410,4.243111,4.256547", \ - "4.198527,4.202690,4.206777,4.208804,4.218707,4.235230,4.245463", \ - "4.211976,4.235808,4.246073,4.251261,4.238393,4.266033,4.275844"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.794482,0.812901,0.830595,0.877552,0.929609,1.012629,1.093798", \ - "0.769179,0.785406,0.803044,0.847522,0.896701,0.985518,1.069505", \ - "0.741458,0.755077,0.781548,0.807292,0.868883,0.936808,1.031923", \ - "0.781871,0.787593,0.797046,0.815012,0.859067,0.923507,1.000214", \ - "0.900197,0.900951,0.906491,0.911906,0.924411,0.945372,0.999731", \ - "1.032299,1.031343,1.030424,1.032666,1.043614,1.047920,1.061944", \ - "1.205947,1.204061,1.199987,1.190612,1.191457,1.188547,1.181675"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.900605,4.903795,4.914350,4.927887,4.929127,4.965814,4.982112", \ - "4.866789,4.874563,4.881427,4.903199,4.924152,4.943227,4.961126", \ - "4.829156,4.833883,4.848677,4.857083,4.882878,4.905157,4.948065", \ - "4.808358,4.811012,4.813648,4.825048,4.850965,4.872684,4.917232", \ - "4.800290,4.805266,4.810129,4.814834,4.842316,4.863829,4.886045", \ - "4.802134,4.809862,4.815017,4.816703,4.834188,4.876181,4.896270", \ - "4.839371,4.843032,4.850375,4.859509,4.870878,4.887679,4.905676"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.272337,0.266288,0.258626,0.247822,0.232905,0.231816,0.229742", \ - "0.257332,0.255995,0.249762,0.249443,0.247394,0.247631,0.253501", \ - "0.232882,0.232690,0.233182,0.240600,0.244385,0.254278,0.267592", \ - "0.289573,0.288096,0.281101,0.272457,0.258220,0.263964,0.269880", \ - "0.400933,0.394131,0.388098,0.371642,0.350615,0.322790,0.296778", \ - "0.584054,0.575363,0.561329,0.538053,0.497041,0.452178,0.396964", \ - "0.850097,0.838541,0.818985,0.786016,0.732064,0.654961,0.566361"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("2.196650,2.203852,2.214654,2.209649,2.217139,2.240209,2.240908", \ - "2.122722,2.132617,2.144350,2.150044,2.184642,2.220535,2.230343", \ - "2.056109,2.062378,2.070245,2.080304,2.106237,2.147225,2.169027", \ - "2.109474,2.107463,2.106646,2.093167,2.110566,2.112365,2.123999", \ - "2.251023,2.244485,2.235908,2.218305,2.190779,2.173063,2.163513", \ - "2.444499,2.456538,2.462469,2.431403,2.384141,2.346346,2.289436", \ - "2.686535,2.686456,2.697977,2.698674,2.678941,2.577788,2.493409"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.300857,0.294231,0.285746,0.272820,0.256572,0.238062,0.228473", \ - "0.285973,0.282409,0.276447,0.271788,0.264210,0.255734,0.254332", \ - "0.257832,0.256566,0.258404,0.262203,0.261737,0.265387,0.273441", \ - "0.308389,0.304042,0.302661,0.292194,0.278325,0.280815,0.283166", \ - "0.410012,0.405926,0.399882,0.382765,0.366941,0.341075,0.314053", \ - "0.576452,0.570168,0.557356,0.538450,0.502594,0.462976,0.411265", \ - "0.817235,0.806625,0.792632,0.762821,0.713907,0.651666,0.570267"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("2.170113,2.177046,2.183222,2.193208,2.187651,2.215157,2.215433", \ - "2.092397,2.100657,2.117058,2.139302,2.157846,2.174930,2.184183", \ - "2.030494,2.035100,2.039923,2.057712,2.082168,2.108014,2.128639", \ - "2.076286,2.075781,2.071471,2.073284,2.069131,2.095125,2.091897", \ - "2.210494,2.204201,2.194627,2.178579,2.153091,2.147389,2.135133", \ - "2.429064,2.416826,2.403654,2.367597,2.340060,2.295662,2.242800", \ - "2.649600,2.651339,2.664638,2.666959,2.600060,2.522801,2.449404"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.282813,0.279745,0.270718,0.260461,0.247134,0.238764,0.229423", \ - "0.271000,0.270325,0.265425,0.263778,0.257402,0.253260,0.252535", \ - "0.247325,0.249813,0.253299,0.255122,0.260858,0.267320,0.274660", \ - "0.294221,0.291909,0.287814,0.281177,0.274293,0.273586,0.283719", \ - "0.401748,0.396490,0.391646,0.377962,0.360600,0.333236,0.317152", \ - "0.576708,0.567304,0.557373,0.533752,0.502654,0.458603,0.407417", \ - "0.829130,0.817789,0.797308,0.764893,0.715057,0.649920,0.567927"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("2.776357,2.782435,2.788903,2.794912,2.818088,2.831781,2.840375", \ - "2.719977,2.727968,2.743468,2.750664,2.772573,2.814786,2.831517", \ - "2.647225,2.653434,2.660460,2.674692,2.701803,2.753319,2.778082", \ - "2.670881,2.673404,2.679285,2.674930,2.680941,2.720425,2.740879", \ - "2.786717,2.784291,2.778690,2.776660,2.775108,2.759152,2.760374", \ - "2.988411,2.985519,2.974026,2.961251,2.938968,2.905966,2.864462", \ - "3.233477,3.241386,3.258251,3.239553,3.185922,3.127874,3.067949"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.266741,0.261769,0.253377,0.246325,0.237627,0.228797,0.230811", \ - "0.256689,0.255160,0.247770,0.248849,0.246474,0.246011,0.250119", \ - "0.214539,0.220887,0.223044,0.230289,0.240390,0.249480,0.263522", \ - "0.222486,0.223742,0.227712,0.228506,0.222953,0.234012,0.254922", \ - "0.266041,0.265112,0.266747,0.266307,0.265340,0.261333,0.252366", \ - "0.368879,0.366031,0.357094,0.350019,0.341731,0.328392,0.307975", \ - "0.542776,0.536936,0.521934,0.505682,0.480776,0.445831,0.408242"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("2.748858,2.753568,2.760209,2.764069,2.768873,2.795177,2.803347", \ - "2.689880,2.697160,2.709217,2.720228,2.738974,2.746749,2.784547", \ - "2.642621,2.644627,2.651710,2.657803,2.682191,2.719888,2.738878", \ - "2.682463,2.686523,2.682132,2.689726,2.693132,2.698338,2.736346", \ - "2.812440,2.809400,2.806310,2.797850,2.774166,2.787764,2.784931", \ - "3.027993,3.021979,3.014630,2.999945,2.965007,2.946703,2.889954", \ - "3.249357,3.256053,3.270812,3.285917,3.250353,3.193152,3.122683"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.294977,0.289225,0.284169,0.270735,0.251967,0.237492,0.233854", \ - "0.284301,0.281258,0.277088,0.270945,0.260242,0.253397,0.251189", \ - "0.240978,0.242067,0.244365,0.251797,0.257389,0.260381,0.269185", \ - "0.247553,0.248418,0.249658,0.250265,0.242824,0.255589,0.267680", \ - "0.280101,0.279963,0.282856,0.283974,0.284113,0.280992,0.271075", \ - "0.372495,0.370631,0.366895,0.359411,0.354565,0.345342,0.327515", \ - "0.527996,0.523598,0.515651,0.502158,0.481560,0.453724,0.421769"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("2.726929,2.728934,2.738672,2.744380,2.751149,2.762416,2.769494", \ - "2.668304,2.675630,2.683725,2.702555,2.722002,2.738815,2.751225", \ - "2.620964,2.623874,2.630946,2.645876,2.671024,2.692997,2.711256", \ - "2.658304,2.658893,2.662212,2.667485,2.666500,2.679143,2.691100", \ - "2.782221,2.780396,2.776103,2.771088,2.749804,2.748611,2.744185", \ - "2.984481,2.982361,2.974108,2.949750,2.936458,2.900609,2.855847", \ - "3.225800,3.237186,3.255229,3.236830,3.186245,3.132874,3.064735"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.282122,0.277525,0.270333,0.258455,0.248989,0.237432,0.232574", \ - "0.270470,0.268468,0.266886,0.263453,0.258025,0.251256,0.252399", \ - "0.233620,0.237040,0.241477,0.247634,0.252908,0.258928,0.270092", \ - "0.231828,0.233618,0.233511,0.238253,0.240051,0.252323,0.269134", \ - "0.273048,0.275765,0.274382,0.275345,0.279228,0.275678,0.271585", \ - "0.371723,0.370377,0.365594,0.359108,0.351452,0.340697,0.323953", \ - "0.538195,0.529818,0.519344,0.503359,0.481143,0.451927,0.418985"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.330628,3.335813,3.346273,3.352935,3.363753,3.405333,3.416508", \ - "3.282187,3.288830,3.297517,3.316049,3.338312,3.357923,3.398747", \ - "3.230688,3.238204,3.251761,3.267624,3.287508,3.333513,3.359905", \ - "3.259090,3.263280,3.267288,3.269867,3.297912,3.318614,3.339426", \ - "3.367365,3.368931,3.365757,3.373328,3.375987,3.384300,3.369207", \ - "3.560200,3.559639,3.553626,3.547463,3.537632,3.511958,3.499235", \ - "3.827099,3.839360,3.829942,3.809931,3.777638,3.746064,3.683990"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI221_X2 - Cell Description : Combinational cell (AOI221_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI221_X2) { - - drive_strength : 2; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 42.914922; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 37.358655; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 65.822555; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 46.435245; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 34.552925; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 65.822365; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 94.262990; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 74.891833; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 44.684960; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 46.435148; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 74.891829; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 55.512596; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 44.681065; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 28.255859; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 38.336062; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 38.333108; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 43.008219; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 14.878558; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 24.882514; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 24.881659; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 31.938154; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 25.017889; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 35.021930; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 35.021066; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 42.078217; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 25.017024; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 35.021066; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 35.019166; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 42.077172; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 32.299231; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 42.492579; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 42.491628; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 51.854247; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.373074; - fall_capacitance : 3.055905; - rise_capacitance : 3.373074; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.161009; - fall_capacitance : 3.077690; - rise_capacitance : 3.161009; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.056226; - fall_capacitance : 2.865858; - rise_capacitance : 3.056226; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.038334; - fall_capacitance : 2.585039; - rise_capacitance : 3.038334; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.355065; - fall_capacitance : 2.831081; - rise_capacitance : 3.355065; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 27.532110; - function : "!(((C1 & C2) | A) | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.012845,0.013545,0.014740,0.017068,0.021551,0.030156,0.046781", \ - "0.018383,0.019056,0.020219,0.022502,0.026943,0.035517,0.052131", \ - "0.035071,0.036042,0.037680,0.040680,0.045963,0.054806,0.071169", \ - "0.052184,0.053781,0.056475,0.061395,0.069963,0.084143,0.106338", \ - "0.065865,0.068225,0.072048,0.079275,0.092011,0.112816,0.144915", \ - "0.073397,0.076644,0.081969,0.091728,0.109311,0.137985,0.181833", \ - "0.073051,0.077275,0.084250,0.096940,0.119835,0.157389,0.214667"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.115669,0.121064,0.130410,0.148938,0.185722,0.258882,0.404710", \ - "0.118052,0.123517,0.132937,0.151667,0.188764,0.262361,0.408607", \ - "0.133988,0.139372,0.148729,0.167328,0.204358,0.278039,0.424656", \ - "0.166290,0.171630,0.180761,0.199049,0.235882,0.309314,0.455766", \ - "0.210272,0.216544,0.227151,0.246942,0.284137,0.357399,0.503617", \ - "0.257402,0.265116,0.278016,0.302332,0.346691,0.425484,0.571547", \ - "0.308197,0.317578,0.333183,0.362298,0.414795,0.506113,0.661795"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.009083,0.009624,0.010559,0.012397,0.016013,0.023157,0.037424", \ - "0.008697,0.009274,0.010258,0.012169,0.015870,0.023090,0.037404", \ - "0.014084,0.014497,0.015171,0.016464,0.018815,0.024076,0.037295", \ - "0.024884,0.025511,0.026495,0.028383,0.031711,0.037410,0.046851", \ - "0.039617,0.040533,0.042085,0.044786,0.049383,0.056950,0.068969", \ - "0.058312,0.059610,0.061814,0.065745,0.071943,0.081835,0.096907", \ - "0.080764,0.082536,0.085527,0.090974,0.099308,0.112069,0.130835"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.061180,0.065863,0.073990,0.090185,0.122459,0.186751,0.314922", \ - "0.061196,0.065879,0.073986,0.090191,0.122448,0.186738,0.314909", \ - "0.061229,0.065887,0.074007,0.090181,0.122456,0.186742,0.314932", \ - "0.061620,0.066196,0.074155,0.090242,0.122488,0.186721,0.314919", \ - "0.073028,0.076668,0.083019,0.096383,0.124744,0.186741,0.314958", \ - "0.092103,0.096140,0.103132,0.116712,0.142409,0.194569,0.314929", \ - "0.116858,0.121085,0.128374,0.142581,0.169372,0.220397,0.324948"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.010813,0.011502,0.012684,0.015011,0.019526,0.028224,0.045001", \ - "0.016541,0.017191,0.018323,0.020571,0.024995,0.033613,0.050336", \ - "0.032173,0.033238,0.035018,0.038242,0.043839,0.053053,0.069385", \ - "0.047558,0.049301,0.052234,0.057526,0.066659,0.081453,0.104260", \ - "0.058994,0.061590,0.065783,0.073625,0.087174,0.108950,0.141998", \ - "0.063866,0.067445,0.073262,0.083848,0.102619,0.132665,0.177877", \ - "0.060455,0.065093,0.072693,0.086389,0.110854,0.150266,0.209416"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.127412,0.133863,0.145045,0.167248,0.211309,0.298989,0.473673", \ - "0.129388,0.135910,0.147206,0.169626,0.214075,0.302260,0.477449", \ - "0.145052,0.151505,0.162684,0.184972,0.229299,0.317609,0.493249", \ - "0.176318,0.182730,0.193705,0.215607,0.259706,0.347711,0.523144", \ - "0.218077,0.225316,0.237640,0.260350,0.304501,0.392208,0.567379", \ - "0.263187,0.271681,0.285998,0.313285,0.363482,0.454499,0.629298", \ - "0.313217,0.323246,0.339997,0.371573,0.429020,0.531246,0.711613"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.007910,0.008500,0.009510,0.011481,0.015283,0.022619,0.036968", \ - "0.007732,0.008264,0.009218,0.011210,0.015061,0.022463,0.036889", \ - "0.014212,0.014624,0.015288,0.016581,0.018912,0.023828,0.036696", \ - "0.025515,0.026128,0.027081,0.028898,0.032148,0.037718,0.047014", \ - "0.040810,0.041720,0.043226,0.045792,0.050214,0.057544,0.069331", \ - "0.060121,0.061366,0.063536,0.067305,0.073273,0.082800,0.097503", \ - "0.083175,0.084954,0.087868,0.093206,0.101207,0.113535,0.131769"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.067872,0.073555,0.083472,0.103196,0.142537,0.221017,0.377453", \ - "0.067901,0.073570,0.083481,0.103198,0.142526,0.220971,0.377444", \ - "0.067927,0.073586,0.083498,0.103178,0.142508,0.221016,0.377480", \ - "0.068318,0.073880,0.083671,0.103227,0.142487,0.221007,0.377488", \ - "0.077868,0.082539,0.090814,0.107894,0.143792,0.220921,0.377508", \ - "0.094125,0.099240,0.108093,0.125463,0.158019,0.226108,0.377437", \ - "0.115608,0.120854,0.129918,0.147825,0.181704,0.246643,0.383398"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011039,0.011728,0.012908,0.015230,0.019740,0.028439,0.045220", \ - "0.016753,0.017407,0.018538,0.020785,0.025208,0.033828,0.050555", \ - "0.032550,0.033600,0.035353,0.038546,0.044097,0.053263,0.069602", \ - "0.048192,0.049927,0.052828,0.058067,0.067105,0.081811,0.104538", \ - "0.060081,0.062632,0.066756,0.074497,0.087902,0.109535,0.142426", \ - "0.065516,0.069013,0.074758,0.085224,0.103722,0.133549,0.178527", \ - "0.062793,0.067356,0.074831,0.088413,0.112554,0.151584,0.210397"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.153823,0.160315,0.171552,0.193946,0.238350,0.326244,0.501254", \ - "0.156247,0.162793,0.174117,0.196697,0.241274,0.329645,0.505061", \ - "0.171712,0.178211,0.189496,0.211968,0.256568,0.345088,0.520919", \ - "0.202783,0.209230,0.220316,0.242412,0.286847,0.375125,0.550812", \ - "0.247244,0.253925,0.265314,0.287309,0.331505,0.419561,0.594970", \ - "0.297452,0.305319,0.318690,0.344536,0.392858,0.481802,0.656910", \ - "0.353557,0.362670,0.378032,0.407418,0.462001,0.561032,0.739120"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.008627,0.009231,0.010275,0.012290,0.016204,0.023749,0.038481", \ - "0.008396,0.008961,0.009951,0.012011,0.015973,0.023589,0.038400", \ - "0.015235,0.015626,0.016254,0.017475,0.019750,0.024890,0.038200", \ - "0.028280,0.028764,0.029544,0.031117,0.034080,0.039393,0.048531", \ - "0.047119,0.047690,0.048767,0.050691,0.054289,0.060809,0.071901", \ - "0.070952,0.071716,0.073072,0.075708,0.080159,0.088076,0.101363", \ - "0.098580,0.099642,0.101460,0.105117,0.110957,0.120893,0.136980"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.080900,0.086685,0.096792,0.116807,0.156658,0.235532,0.392648", \ - "0.080902,0.086686,0.096791,0.116821,0.156619,0.235528,0.392665", \ - "0.080912,0.086688,0.096793,0.116833,0.156617,0.235529,0.392662", \ - "0.080996,0.086747,0.096828,0.116824,0.156568,0.235568,0.392652", \ - "0.086478,0.091569,0.100531,0.118812,0.156764,0.235480,0.392651", \ - "0.102489,0.107726,0.116817,0.134461,0.167490,0.238521,0.392645", \ - "0.122656,0.128091,0.137485,0.155874,0.190455,0.256112,0.396818"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.012844,0.013544,0.014739,0.017066,0.021548,0.030150,0.046767", \ - "0.018414,0.019087,0.020251,0.022535,0.026975,0.035547,0.052154", \ - "0.035203,0.036172,0.037809,0.040801,0.046064,0.054893,0.071252", \ - "0.052426,0.054024,0.056714,0.061611,0.070161,0.084308,0.106463", \ - "0.066094,0.068451,0.072286,0.079515,0.092224,0.113003,0.145073", \ - "0.073309,0.076576,0.081923,0.091733,0.109369,0.138097,0.181967", \ - "0.072176,0.076459,0.083505,0.096298,0.119391,0.157167,0.214621"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.147202,0.154100,0.166055,0.189816,0.236824,0.330322,0.516573", \ - "0.148515,0.155477,0.167567,0.191551,0.238973,0.333056,0.519804", \ - "0.162482,0.169347,0.181317,0.205171,0.252501,0.346654,0.533931", \ - "0.193206,0.200030,0.211804,0.235241,0.282314,0.376191,0.563276", \ - "0.238367,0.245822,0.258158,0.281637,0.328637,0.422244,0.608980", \ - "0.288343,0.297263,0.312232,0.340647,0.393109,0.487980,0.674395", \ - "0.342779,0.353470,0.371317,0.404796,0.465590,0.572780,0.761799"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.009083,0.009626,0.010560,0.012397,0.016013,0.023157,0.037424", \ - "0.008704,0.009281,0.010265,0.012174,0.015872,0.023092,0.037405", \ - "0.014025,0.014436,0.015116,0.016407,0.018774,0.024055,0.037293", \ - "0.024749,0.025374,0.026359,0.028259,0.031611,0.037317,0.046781", \ - "0.039425,0.040361,0.041923,0.044630,0.049229,0.056821,0.068862", \ - "0.058263,0.059579,0.061764,0.065689,0.071864,0.081737,0.096807", \ - "0.081057,0.082870,0.085807,0.091232,0.099459,0.112174,0.130843"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.079801,0.085750,0.096096,0.116720,0.157654,0.239190,0.401618", \ - "0.079821,0.085765,0.096113,0.116712,0.157668,0.239263,0.401612", \ - "0.079836,0.085778,0.096110,0.116710,0.157682,0.239186,0.401608", \ - "0.079950,0.085866,0.096166,0.116718,0.157653,0.239208,0.401617", \ - "0.087099,0.092078,0.100907,0.119261,0.157985,0.239141,0.401612", \ - "0.107271,0.112265,0.120927,0.137843,0.170018,0.241963,0.401605", \ - "0.133197,0.138311,0.147127,0.164520,0.197605,0.261116,0.404697"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.010810,0.011500,0.012682,0.015007,0.019520,0.028214,0.044983", \ - "0.016564,0.017216,0.018348,0.020597,0.025022,0.033639,0.050354", \ - "0.032316,0.033376,0.035146,0.038357,0.043943,0.053138,0.069465", \ - "0.047818,0.049563,0.052478,0.057761,0.066854,0.081614,0.104383", \ - "0.059229,0.061838,0.066027,0.073859,0.087402,0.109151,0.142151", \ - "0.063775,0.067374,0.073223,0.083849,0.102664,0.132780,0.178000", \ - "0.059550,0.064241,0.071935,0.085808,0.110458,0.150071,0.209408"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.158972,0.166963,0.180752,0.208196,0.262523,0.370541,0.585693", \ - "0.159871,0.167926,0.181873,0.209598,0.264379,0.373044,0.588820", \ - "0.173609,0.181581,0.195394,0.222914,0.277589,0.386383,0.602711", \ - "0.203664,0.211560,0.225172,0.252276,0.306636,0.415080,0.631195", \ - "0.246543,0.254859,0.268919,0.295982,0.350222,0.458337,0.674023", \ - "0.293752,0.303541,0.320073,0.351810,0.410608,0.519082,0.734370", \ - "0.346905,0.358284,0.377354,0.413433,0.479623,0.598745,0.815016"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.007911,0.008502,0.009514,0.011483,0.015285,0.022620,0.036969", \ - "0.007740,0.008272,0.009229,0.011219,0.015070,0.022470,0.036891", \ - "0.014151,0.014567,0.015233,0.016520,0.018870,0.023804,0.036694", \ - "0.025373,0.025975,0.026941,0.028763,0.032026,0.037620,0.046947", \ - "0.040623,0.041526,0.043026,0.045631,0.050053,0.057402,0.069224", \ - "0.060016,0.061321,0.063442,0.067223,0.073167,0.082690,0.097398", \ - "0.083448,0.085206,0.088112,0.093398,0.101363,0.113600,0.131749"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.084859,0.091818,0.103925,0.128171,0.176242,0.272068,0.462953", \ - "0.084902,0.091845,0.103949,0.128200,0.176187,0.272056,0.462954", \ - "0.084941,0.091873,0.103957,0.128178,0.176198,0.272073,0.462951", \ - "0.085112,0.092005,0.104051,0.128205,0.176205,0.272047,0.462949", \ - "0.091551,0.097584,0.108251,0.130207,0.176457,0.272033,0.462955", \ - "0.108643,0.114833,0.125551,0.146254,0.186247,0.273719,0.462942", \ - "0.130749,0.136995,0.147784,0.169197,0.210067,0.289066,0.464530"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011038,0.011728,0.012909,0.015228,0.019736,0.028432,0.045205", \ - "0.016778,0.017431,0.018566,0.020813,0.025238,0.033855,0.050577", \ - "0.032696,0.033735,0.035487,0.038665,0.044203,0.053349,0.069685", \ - "0.048460,0.050180,0.053074,0.058298,0.067308,0.081976,0.104663", \ - "0.060324,0.062881,0.067000,0.074721,0.088124,0.109726,0.142586", \ - "0.065443,0.068955,0.074731,0.085219,0.103790,0.133669,0.178660", \ - "0.061931,0.066515,0.074126,0.087819,0.112107,0.151387,0.210383"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.192024,0.200026,0.213876,0.241510,0.296168,0.404401,0.619957", \ - "0.193466,0.201529,0.215478,0.243264,0.298281,0.407052,0.623157", \ - "0.206962,0.214974,0.228871,0.256622,0.311695,0.420528,0.637149", \ - "0.236739,0.244727,0.258412,0.285712,0.340515,0.449187,0.665590", \ - "0.280500,0.288501,0.302312,0.329405,0.383857,0.492356,0.708374", \ - "0.333702,0.342845,0.358377,0.388540,0.444712,0.552965,0.768658", \ - "0.393164,0.403576,0.421196,0.455025,0.518142,0.633817,0.849240"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.008639,0.009251,0.010288,0.012305,0.016223,0.023762,0.038498", \ - "0.008416,0.008977,0.009978,0.012037,0.015994,0.023614,0.038420", \ - "0.015194,0.015575,0.016206,0.017438,0.019715,0.024890,0.038225", \ - "0.028145,0.028630,0.029416,0.030999,0.033984,0.039319,0.048474", \ - "0.046938,0.047521,0.048588,0.050525,0.054145,0.060689,0.071807", \ - "0.070901,0.071660,0.073007,0.075636,0.080065,0.087975,0.101268", \ - "0.098914,0.099952,0.101748,0.105338,0.111139,0.120973,0.136966"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.101121,0.108216,0.120564,0.145191,0.193859,0.290232,0.481985", \ - "0.101129,0.108221,0.120566,0.145171,0.193820,0.290226,0.481987", \ - "0.101139,0.108227,0.120567,0.145189,0.193960,0.290245,0.481997", \ - "0.101182,0.108260,0.120587,0.145157,0.193825,0.290238,0.481994", \ - "0.103940,0.110458,0.121942,0.145671,0.193781,0.290253,0.481993", \ - "0.119752,0.126125,0.136581,0.157248,0.199811,0.290664,0.481980", \ - "0.140265,0.146734,0.157900,0.179953,0.221553,0.302788,0.482595"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.013080,0.013778,0.014968,0.017290,0.021766,0.030365,0.046988", \ - "0.018639,0.019312,0.020474,0.022755,0.027191,0.035762,0.052374", \ - "0.035547,0.036505,0.038118,0.041083,0.046316,0.055102,0.071471", \ - "0.053031,0.054599,0.057258,0.062102,0.070595,0.084654,0.106738", \ - "0.067077,0.069403,0.073160,0.080306,0.092908,0.113567,0.145506", \ - "0.074798,0.078020,0.083303,0.092968,0.110421,0.138943,0.182615", \ - "0.074317,0.078504,0.085501,0.098150,0.120943,0.158400,0.215577"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.175678,0.182614,0.194610,0.218580,0.265998,0.359580,0.546160", \ - "0.177474,0.184446,0.196546,0.220650,0.268296,0.362413,0.549482", \ - "0.191204,0.198179,0.210195,0.234193,0.281998,0.376179,0.563708", \ - "0.221733,0.228630,0.240497,0.264110,0.311539,0.405659,0.592967", \ - "0.268139,0.275078,0.287057,0.310464,0.357658,0.451617,0.638657", \ - "0.324515,0.332738,0.346639,0.373396,0.423336,0.517234,0.704023", \ - "0.386058,0.395732,0.412021,0.443020,0.500525,0.603920,0.791338"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.009829,0.010385,0.011345,0.013220,0.016938,0.024294,0.038951", \ - "0.009442,0.010033,0.011046,0.012998,0.016792,0.024232,0.038934", \ - "0.014976,0.015372,0.016020,0.017271,0.019623,0.025148,0.038824", \ - "0.027183,0.027733,0.028599,0.030318,0.033459,0.038952,0.048276", \ - "0.045003,0.045689,0.046937,0.049116,0.053076,0.059980,0.071417", \ - "0.068135,0.069014,0.070568,0.073536,0.078424,0.086851,0.100615", \ - "0.095298,0.096495,0.098513,0.102499,0.108829,0.119335,0.135981"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.093738,0.099780,0.110291,0.131154,0.172723,0.254552,0.417637", \ - "0.093737,0.099782,0.110295,0.131151,0.172654,0.254554,0.417659", \ - "0.093751,0.099786,0.110290,0.131165,0.172709,0.254549,0.417661", \ - "0.093766,0.099801,0.110307,0.131168,0.172574,0.254566,0.417638", \ - "0.097050,0.102502,0.112136,0.131720,0.172505,0.254567,0.417652", \ - "0.115257,0.120380,0.129215,0.145778,0.180402,0.255657,0.417631", \ - "0.139722,0.145014,0.154142,0.172038,0.205844,0.271261,0.419332"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011038,0.011728,0.012909,0.015228,0.019736,0.028432,0.045205", \ - "0.016778,0.017431,0.018566,0.020813,0.025238,0.033855,0.050577", \ - "0.032696,0.033735,0.035487,0.038665,0.044203,0.053349,0.069685", \ - "0.048460,0.050180,0.053074,0.058298,0.067308,0.081976,0.104663", \ - "0.060324,0.062881,0.067000,0.074721,0.088124,0.109726,0.142586", \ - "0.065443,0.068955,0.074731,0.085219,0.103790,0.133669,0.178660", \ - "0.061931,0.066515,0.074126,0.087819,0.112107,0.151387,0.210383"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.192024,0.200026,0.213876,0.241510,0.296168,0.404401,0.619957", \ - "0.193466,0.201529,0.215478,0.243264,0.298281,0.407052,0.623157", \ - "0.206962,0.214974,0.228871,0.256622,0.311695,0.420528,0.637149", \ - "0.236739,0.244727,0.258412,0.285712,0.340515,0.449187,0.665590", \ - "0.280500,0.288501,0.302312,0.329405,0.383857,0.492356,0.708374", \ - "0.333702,0.342845,0.358377,0.388540,0.444712,0.552965,0.768658", \ - "0.393164,0.403576,0.421196,0.455025,0.518142,0.633817,0.849240"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.008639,0.009251,0.010288,0.012305,0.016223,0.023762,0.038498", \ - "0.008416,0.008977,0.009978,0.012037,0.015994,0.023614,0.038420", \ - "0.015194,0.015575,0.016206,0.017438,0.019715,0.024890,0.038225", \ - "0.028145,0.028630,0.029416,0.030999,0.033984,0.039319,0.048474", \ - "0.046938,0.047521,0.048588,0.050525,0.054145,0.060689,0.071807", \ - "0.070901,0.071660,0.073007,0.075636,0.080065,0.087975,0.101268", \ - "0.098914,0.099952,0.101748,0.105338,0.111139,0.120973,0.136966"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.101121,0.108216,0.120564,0.145191,0.193859,0.290232,0.481985", \ - "0.101129,0.108221,0.120566,0.145171,0.193820,0.290226,0.481987", \ - "0.101139,0.108227,0.120567,0.145189,0.193960,0.290245,0.481997", \ - "0.101182,0.108260,0.120587,0.145157,0.193825,0.290238,0.481994", \ - "0.103940,0.110458,0.121942,0.145671,0.193781,0.290253,0.481993", \ - "0.119752,0.126125,0.136581,0.157248,0.199811,0.290664,0.481980", \ - "0.140265,0.146734,0.157900,0.179953,0.221553,0.302788,0.482595"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011267,0.011953,0.013132,0.015448,0.019954,0.028648,0.045427", \ - "0.016993,0.017648,0.018781,0.021028,0.025451,0.034070,0.050797", \ - "0.033056,0.034087,0.035816,0.038965,0.044459,0.053556,0.069903", \ - "0.049110,0.050806,0.053649,0.058816,0.067746,0.082329,0.104938", \ - "0.061399,0.063914,0.067953,0.075563,0.088828,0.110291,0.143019", \ - "0.067100,0.070543,0.076203,0.086493,0.104888,0.134528,0.179318", \ - "0.064318,0.068847,0.076287,0.089740,0.113753,0.152666,0.211337"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.225263,0.233294,0.247211,0.274857,0.329695,0.438342,0.654165", \ - "0.227131,0.235197,0.249190,0.276963,0.332071,0.441083,0.657413", \ - "0.240533,0.248590,0.262534,0.290327,0.345429,0.454673,0.671511", \ - "0.270113,0.278146,0.291866,0.319296,0.374276,0.483277,0.699907", \ - "0.313840,0.321835,0.335667,0.362889,0.417612,0.526341,0.742640", \ - "0.371308,0.380017,0.394900,0.423640,0.478423,0.586935,0.802912", \ - "0.435936,0.445703,0.462318,0.494497,0.555332,0.667834,0.883325"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.009582,0.010200,0.011259,0.013342,0.017365,0.025137,0.040271", \ - "0.009292,0.009879,0.010922,0.013038,0.017128,0.024980,0.040192", \ - "0.016562,0.016891,0.017446,0.018566,0.020738,0.026200,0.039989", \ - "0.032261,0.032514,0.032969,0.034063,0.036494,0.041351,0.050195", \ - "0.054385,0.054674,0.055303,0.056462,0.059009,0.064409,0.074571", \ - "0.080893,0.081307,0.082137,0.083846,0.086955,0.093298,0.105155", \ - "0.112142,0.112710,0.113816,0.116206,0.120287,0.128069,0.142084"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.117629,0.124834,0.137373,0.162327,0.211394,0.308494,0.501001", \ - "0.117632,0.124833,0.137374,0.162326,0.211375,0.308471,0.501001", \ - "0.117633,0.124834,0.137372,0.162329,0.211390,0.308482,0.501006", \ - "0.117644,0.124843,0.137371,0.162309,0.211375,0.308486,0.501002", \ - "0.118369,0.125399,0.137730,0.162446,0.211410,0.308476,0.501005", \ - "0.131074,0.137197,0.147958,0.169851,0.214655,0.308567,0.500994", \ - "0.151280,0.157851,0.169192,0.191555,0.232853,0.317454,0.501148"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.028084,0.029627,0.032250,0.037339,0.047092,0.065610,0.100862", \ - "0.032712,0.034223,0.036825,0.041869,0.051564,0.070027,0.105238", \ - "0.050890,0.052285,0.054640,0.059270,0.068515,0.086631,0.121641", \ - "0.076430,0.078504,0.081905,0.088505,0.100185,0.119994,0.154171", \ - "0.099111,0.102008,0.106605,0.115576,0.131852,0.159317,0.203340", \ - "0.116145,0.119922,0.126052,0.137600,0.158948,0.195057,0.252690", \ - "0.125876,0.130605,0.138367,0.152985,0.179667,0.225057,0.297660"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.169368,0.176307,0.188342,0.212050,0.259114,0.352626,0.538887", \ - "0.172113,0.179164,0.191390,0.215528,0.263156,0.357343,0.544195", \ - "0.187818,0.194803,0.206974,0.230977,0.278726,0.373428,0.561197", \ - "0.218325,0.225208,0.237009,0.260732,0.308115,0.402440,0.590047", \ - "0.260496,0.267537,0.279368,0.302721,0.349774,0.443646,0.630860", \ - "0.306649,0.314635,0.328205,0.354154,0.404329,0.498635,0.685457", \ - "0.354500,0.363567,0.379020,0.408379,0.463822,0.566544,0.755555"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.022098,0.023237,0.025187,0.029041,0.036538,0.051140,0.079864", \ - "0.021646,0.022807,0.024796,0.028708,0.036285,0.050975,0.079788", \ - "0.021939,0.022856,0.024485,0.027925,0.035259,0.050455,0.079612", \ - "0.034181,0.035129,0.036711,0.039590,0.044901,0.054901,0.079626", \ - "0.050646,0.051951,0.054204,0.058127,0.064939,0.076587,0.096129", \ - "0.070842,0.072555,0.075564,0.080906,0.089789,0.104485,0.127990", \ - "0.094545,0.096794,0.100658,0.107539,0.118970,0.137444,0.166037"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.079782,0.085734,0.096129,0.116683,0.157660,0.239192,0.401609", \ - "0.079810,0.085754,0.096111,0.116705,0.157653,0.239209,0.401616", \ - "0.079825,0.085765,0.096141,0.116691,0.157650,0.239189,0.401634", \ - "0.079858,0.085798,0.096160,0.116695,0.157650,0.239258,0.401610", \ - "0.082901,0.088374,0.097975,0.117530,0.157813,0.239143,0.401618", \ - "0.095305,0.100816,0.110386,0.128911,0.164897,0.240804,0.401639", \ - "0.111511,0.117185,0.127089,0.146339,0.183489,0.254538,0.404339"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.022215,0.023744,0.026362,0.031478,0.041331,0.060120,0.095828", \ - "0.027092,0.028583,0.031152,0.036185,0.045937,0.064616,0.100240", \ - "0.045610,0.047128,0.049688,0.054378,0.063423,0.081490,0.116722", \ - "0.068532,0.070806,0.074572,0.081716,0.094184,0.114980,0.149538", \ - "0.088408,0.091590,0.096581,0.106393,0.123789,0.152632,0.198123", \ - "0.102330,0.106483,0.113172,0.125693,0.148510,0.186461,0.246052", \ - "0.108656,0.113828,0.122271,0.138029,0.166531,0.214254,0.289335"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.180965,0.188975,0.202862,0.230314,0.284692,0.392709,0.607871", \ - "0.183257,0.191421,0.205549,0.233422,0.288495,0.397294,0.613143", \ - "0.198495,0.206558,0.220557,0.248356,0.303516,0.412891,0.629761", \ - "0.228727,0.236690,0.250302,0.277740,0.332490,0.441409,0.658159", \ - "0.270301,0.278361,0.291989,0.318980,0.373307,0.481769,0.698002", \ - "0.315827,0.324804,0.340140,0.369465,0.426108,0.534415,0.750159", \ - "0.362906,0.372911,0.389982,0.422646,0.484391,0.599890,0.816224"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.019004,0.020275,0.022432,0.026625,0.034632,0.049807,0.078960", \ - "0.018553,0.019838,0.022017,0.026244,0.034299,0.049560,0.078810", \ - "0.021402,0.022143,0.023524,0.026589,0.033509,0.048757,0.078435", \ - "0.034936,0.035860,0.037404,0.040204,0.045389,0.054920,0.078670", \ - "0.052310,0.053601,0.055816,0.059592,0.066193,0.077520,0.096683", \ - "0.073462,0.075118,0.078061,0.083223,0.091777,0.105995,0.128963", \ - "0.098160,0.100330,0.104065,0.110750,0.121793,0.139605,0.167434"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.084845,0.091802,0.104024,0.128151,0.176257,0.272061,0.462946", \ - "0.084877,0.091829,0.104030,0.128156,0.176255,0.272080,0.462950", \ - "0.084924,0.091866,0.104056,0.128156,0.176256,0.272045,0.462951", \ - "0.084991,0.091906,0.104089,0.128160,0.176267,0.272064,0.462949", \ - "0.087567,0.094017,0.105474,0.128768,0.176275,0.272050,0.462956", \ - "0.098846,0.105361,0.116745,0.138379,0.181691,0.272702,0.462945", \ - "0.113308,0.120019,0.131731,0.154525,0.198615,0.283622,0.464163"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.022750,0.024276,0.026888,0.031998,0.041843,0.060634,0.096365", \ - "0.027613,0.029103,0.031670,0.036696,0.046444,0.065128,0.100776", \ - "0.046167,0.047669,0.050203,0.054843,0.063905,0.081990,0.117256", \ - "0.069458,0.071712,0.075412,0.082476,0.094840,0.115524,0.150068", \ - "0.089825,0.092954,0.097906,0.107574,0.124812,0.153474,0.198809", \ - "0.104365,0.108427,0.115083,0.127440,0.150004,0.187691,0.247029", \ - "0.111461,0.116512,0.124947,0.140554,0.168697,0.216022,0.290762"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.214060,0.222082,0.235969,0.263634,0.318230,0.426488,0.642006", \ - "0.217170,0.225294,0.239353,0.267348,0.322361,0.431298,0.647349", \ - "0.232232,0.240326,0.254404,0.282406,0.337639,0.447084,0.664116", \ - "0.261995,0.270015,0.283712,0.311444,0.366474,0.475465,0.692652", \ - "0.303636,0.311618,0.325260,0.352427,0.407032,0.515772,0.732316", \ - "0.352764,0.361406,0.376191,0.404805,0.459914,0.568321,0.784403", \ - "0.403960,0.413447,0.429724,0.461214,0.521269,0.634609,0.850379"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.022277,0.023593,0.025827,0.030147,0.038390,0.053927,0.083280", \ - "0.021753,0.023077,0.025341,0.029710,0.038033,0.053669,0.083130", \ - "0.024020,0.024842,0.026390,0.029763,0.037104,0.052835,0.082754", \ - "0.039885,0.040622,0.041935,0.044401,0.049210,0.058750,0.082923", \ - "0.061315,0.062187,0.063861,0.066831,0.072378,0.082621,0.100854", \ - "0.086652,0.087791,0.089865,0.093812,0.100674,0.113031,0.134294", \ - "0.115661,0.117155,0.119745,0.124726,0.133480,0.148756,0.174198"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.101097,0.108192,0.120678,0.145229,0.193800,0.290186,0.481892", \ - "0.101106,0.108196,0.120681,0.145234,0.193788,0.290239,0.481893", \ - "0.101116,0.108204,0.120671,0.145228,0.193785,0.290172,0.481891", \ - "0.101128,0.108213,0.120684,0.145236,0.193844,0.290174,0.481905", \ - "0.101962,0.108871,0.121127,0.145326,0.193705,0.290186,0.481894", \ - "0.112089,0.118503,0.129607,0.151810,0.196891,0.290336,0.481898", \ - "0.126046,0.132892,0.144844,0.167907,0.212337,0.298792,0.482376"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.030918,0.032449,0.035062,0.040128,0.049848,0.068351,0.103589", \ - "0.036027,0.037539,0.040132,0.045171,0.054854,0.073315,0.108523", \ - "0.051841,0.053322,0.055806,0.060658,0.070172,0.088527,0.123709", \ - "0.076168,0.078000,0.081062,0.086912,0.097718,0.117196,0.152452", \ - "0.102477,0.104877,0.108875,0.116401,0.130126,0.154002,0.194767", \ - "0.126406,0.129644,0.134934,0.144729,0.162803,0.193188,0.242689", \ - "0.144963,0.149157,0.155958,0.168593,0.191869,0.230822,0.292288"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.194776,0.201651,0.213557,0.237317,0.284531,0.377970,0.564395", \ - "0.198479,0.205410,0.217385,0.241298,0.288707,0.382373,0.569006", \ - "0.215735,0.222683,0.234683,0.258643,0.306165,0.400203,0.587248", \ - "0.246628,0.253525,0.265363,0.289200,0.336614,0.430635,0.617677", \ - "0.289252,0.296174,0.308049,0.331294,0.378488,0.472430,0.659389", \ - "0.339121,0.346770,0.359844,0.385036,0.433731,0.527567,0.714378", \ - "0.391216,0.399780,0.414399,0.442645,0.496370,0.596917,0.784689"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.021912,0.023061,0.025018,0.028889,0.036417,0.051065,0.079834", \ - "0.021623,0.022776,0.024759,0.028652,0.036223,0.050927,0.079762", \ - "0.021532,0.022602,0.024470,0.028208,0.035715,0.050674,0.079675", \ - "0.027329,0.028326,0.030049,0.033479,0.040020,0.052756,0.079809", \ - "0.037428,0.038524,0.040341,0.043866,0.050554,0.063363,0.087958", \ - "0.051995,0.053271,0.055494,0.059598,0.066755,0.079782,0.104388", \ - "0.070271,0.071922,0.074631,0.079777,0.088256,0.102570,0.127554"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.093749,0.099788,0.110304,0.131142,0.172624,0.254595,0.417642", \ - "0.093744,0.099784,0.110301,0.131137,0.172631,0.254554,0.417653", \ - "0.093752,0.099788,0.110304,0.131150,0.172647,0.254583,0.417657", \ - "0.093750,0.099788,0.110319,0.131167,0.172638,0.254624,0.417641", \ - "0.094916,0.100697,0.110906,0.131370,0.172499,0.254569,0.417653", \ - "0.105986,0.111580,0.121116,0.139720,0.177201,0.255155,0.417630", \ - "0.121453,0.127260,0.137304,0.156893,0.194343,0.266575,0.419380"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.025108,0.026626,0.029227,0.034315,0.044132,0.062885,0.098572", \ - "0.030375,0.031873,0.034448,0.039488,0.049243,0.067917,0.103537", \ - "0.046308,0.047841,0.050414,0.055340,0.064807,0.083246,0.118743", \ - "0.069187,0.071156,0.074437,0.080611,0.091866,0.111909,0.147574", \ - "0.093180,0.095854,0.100243,0.108435,0.123033,0.147857,0.189544", \ - "0.114144,0.117715,0.123509,0.134305,0.153740,0.185705,0.236657", \ - "0.129103,0.133769,0.141308,0.155142,0.180274,0.221431,0.285072"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.210563,0.218489,0.232233,0.259621,0.314070,0.422112,0.637477", \ - "0.213980,0.221970,0.235811,0.263379,0.318020,0.426406,0.641962", \ - "0.230929,0.238929,0.252791,0.280435,0.335289,0.444002,0.660029", \ - "0.261561,0.269541,0.283205,0.310641,0.365514,0.474077,0.690188", \ - "0.303462,0.311423,0.325141,0.352139,0.406624,0.515107,0.731021", \ - "0.352496,0.361134,0.375959,0.404520,0.459709,0.567976,0.783722", \ - "0.403574,0.413076,0.429322,0.460901,0.520963,0.634328,0.849988"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.018873,0.020145,0.022307,0.026499,0.034510,0.049722,0.078908", \ - "0.018590,0.019852,0.022015,0.026217,0.034251,0.049504,0.078772", \ - "0.019655,0.020682,0.022486,0.026197,0.033773,0.049041,0.078548", \ - "0.026711,0.027697,0.029370,0.032713,0.039217,0.051887,0.078720", \ - "0.038120,0.039168,0.040912,0.044272,0.050694,0.063153,0.087509", \ - "0.053708,0.054958,0.057072,0.061021,0.067840,0.080380,0.104376", \ - "0.072901,0.074480,0.077094,0.082051,0.090175,0.103919,0.128132"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.101127,0.108219,0.120581,0.145168,0.193819,0.290237,0.481986", \ - "0.101131,0.108222,0.120581,0.145179,0.193816,0.290294,0.481986", \ - "0.101139,0.108227,0.120584,0.145177,0.193834,0.290312,0.481995", \ - "0.101149,0.108236,0.120587,0.145174,0.193961,0.290313,0.481988", \ - "0.101996,0.108905,0.121027,0.145336,0.193772,0.290285,0.481989", \ - "0.111815,0.118380,0.129604,0.151909,0.196985,0.290386,0.481979", \ - "0.125594,0.132470,0.144342,0.167593,0.212110,0.298946,0.482531"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.025641,0.027154,0.029753,0.034829,0.044644,0.063398,0.099107", \ - "0.030898,0.032397,0.034964,0.039999,0.049750,0.068426,0.104074", \ - "0.046859,0.048380,0.050934,0.055832,0.065300,0.083755,0.119281", \ - "0.069919,0.071881,0.075120,0.081238,0.092447,0.112443,0.148107", \ - "0.094263,0.096904,0.101225,0.109334,0.123799,0.148528,0.190150", \ - "0.115691,0.119245,0.124909,0.135610,0.154823,0.186599,0.237408", \ - "0.131259,0.135858,0.143300,0.156935,0.181806,0.222688,0.286073"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.243644,0.251614,0.265436,0.292916,0.347559,0.456015,0.671653", \ - "0.247325,0.255339,0.269223,0.296867,0.351650,0.460289,0.676177", \ - "0.264396,0.272431,0.286349,0.314087,0.369031,0.477999,0.694265", \ - "0.294913,0.302930,0.316633,0.344166,0.399061,0.508030,0.724486", \ - "0.336714,0.344708,0.358472,0.385546,0.440319,0.549029,0.765255", \ - "0.388244,0.396637,0.411052,0.438749,0.493388,0.601958,0.817910", \ - "0.442854,0.451996,0.467671,0.498334,0.557051,0.668459,0.884137"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.022118,0.023434,0.025673,0.030001,0.038264,0.053831,0.083225", \ - "0.021769,0.023084,0.025326,0.029672,0.037975,0.053607,0.083088", \ - "0.022548,0.023634,0.025569,0.029501,0.037419,0.053131,0.082862", \ - "0.030607,0.031563,0.033179,0.036470,0.043000,0.055843,0.083010", \ - "0.044063,0.044932,0.046453,0.049490,0.055571,0.067747,0.091812", \ - "0.062689,0.063581,0.065219,0.068397,0.074314,0.085969,0.109212", \ - "0.085273,0.086362,0.088265,0.092095,0.098675,0.110805,0.133676"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.117630,0.124834,0.137396,0.162330,0.211384,0.308491,0.500989", \ - "0.117632,0.124834,0.137394,0.162311,0.211381,0.308480,0.500986", \ - "0.117635,0.124835,0.137395,0.162318,0.211381,0.308493,0.500985", \ - "0.117634,0.124838,0.137395,0.162315,0.211429,0.308495,0.500998", \ - "0.117875,0.125017,0.137505,0.162371,0.211330,0.308470,0.500992", \ - "0.125186,0.131784,0.143328,0.166414,0.213034,0.308529,0.500991", \ - "0.139243,0.146152,0.158106,0.181504,0.225891,0.314777,0.501145"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.018962,0.020163,0.022244,0.026391,0.034643,0.051102,0.083961", \ - "0.023529,0.024752,0.026874,0.031070,0.039389,0.055908,0.088823", \ - "0.038080,0.039788,0.042585,0.047652,0.056386,0.072860,0.105754", \ - "0.051110,0.053881,0.058453,0.066698,0.080762,0.103355,0.138449", \ - "0.058176,0.062226,0.068764,0.080692,0.101097,0.133492,0.182547", \ - "0.057519,0.062941,0.071693,0.087641,0.114966,0.158413,0.223705", \ - "0.047994,0.054850,0.066000,0.086121,0.120840,0.176222,0.259308"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.083005,0.089576,0.100893,0.123289,0.167555,0.255447,0.430232", \ - "0.082968,0.089688,0.101289,0.124169,0.169207,0.257973,0.433552", \ - "0.094877,0.101318,0.112594,0.135083,0.179877,0.268918,0.445389", \ - "0.128802,0.134381,0.144482,0.165735,0.209141,0.296666,0.472068", \ - "0.173571,0.181285,0.194059,0.218014,0.260653,0.345653,0.518688", \ - "0.222879,0.232316,0.248008,0.277197,0.329584,0.418845,0.588366", \ - "0.277516,0.288593,0.307044,0.341531,0.403333,0.509065,0.684084"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011419,0.012447,0.014231,0.017822,0.024995,0.039340,0.068031", \ - "0.011423,0.012448,0.014235,0.017823,0.024993,0.039342,0.068032", \ - "0.016697,0.017410,0.018631,0.020913,0.026233,0.039337,0.068021", \ - "0.029407,0.030410,0.032036,0.035072,0.040487,0.049991,0.070633", \ - "0.046605,0.047997,0.050356,0.054416,0.061374,0.073102,0.092532", \ - "0.068111,0.069937,0.073029,0.078503,0.087397,0.102033,0.125315", \ - "0.093828,0.096165,0.100034,0.107103,0.118349,0.136403,0.164385"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.067552,0.073323,0.083426,0.103174,0.142528,0.221047,0.377459", \ - "0.067339,0.073170,0.083332,0.103138,0.142488,0.221018,0.377436", \ - "0.066177,0.072270,0.082750,0.102886,0.142444,0.220997,0.377452", \ - "0.068779,0.073670,0.082579,0.101405,0.141960,0.220967,0.377443", \ - "0.088106,0.092636,0.100397,0.114092,0.145992,0.219984,0.377448", \ - "0.110686,0.115815,0.124598,0.140992,0.170724,0.230568,0.377055", \ - "0.136230,0.142109,0.152106,0.170872,0.204345,0.263370,0.387035"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.018953,0.020153,0.022234,0.026377,0.034626,0.051074,0.083919", \ - "0.023521,0.024745,0.026865,0.031057,0.039372,0.055883,0.088776", \ - "0.038137,0.039846,0.042639,0.047692,0.056412,0.072875,0.105748", \ - "0.051332,0.054122,0.058653,0.066888,0.080906,0.103452,0.138500", \ - "0.058431,0.062477,0.069013,0.080945,0.101279,0.133654,0.182648", \ - "0.057287,0.062748,0.071558,0.087610,0.114983,0.158502,0.223787", \ - "0.046642,0.053593,0.064883,0.085234,0.120256,0.175948,0.259232"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.094186,0.102265,0.116214,0.143839,0.198426,0.306699,0.521989", \ - "0.093154,0.101403,0.115673,0.143919,0.199459,0.308847,0.525151", \ - "0.103974,0.111832,0.125657,0.153367,0.208680,0.318443,0.535831", \ - "0.137277,0.144158,0.156566,0.182802,0.236381,0.344416,0.560626", \ - "0.186673,0.195198,0.209363,0.236185,0.286153,0.391501,0.604915", \ - "0.240612,0.250982,0.268270,0.300550,0.358921,0.462025,0.671910", \ - "0.300314,0.312440,0.332681,0.370638,0.439061,0.557214,0.764377"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011418,0.012446,0.014236,0.017823,0.024993,0.039340,0.068028", \ - "0.011422,0.012447,0.014230,0.017815,0.024994,0.039335,0.068027", \ - "0.016667,0.017378,0.018616,0.020896,0.026224,0.039337,0.068020", \ - "0.029292,0.030285,0.031925,0.034959,0.040396,0.049935,0.070613", \ - "0.046368,0.047785,0.050150,0.054227,0.061240,0.072996,0.092472", \ - "0.067951,0.069794,0.072908,0.078361,0.087282,0.101937,0.125249", \ - "0.093948,0.096289,0.100166,0.107223,0.118472,0.136469,0.164409"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.083691,0.090940,0.103438,0.127940,0.176212,0.272111,0.462948", \ - "0.083152,0.090525,0.103183,0.127835,0.176152,0.272052,0.462957", \ - "0.081114,0.088851,0.102039,0.127333,0.176108,0.272107,0.462959", \ - "0.080454,0.087128,0.099117,0.124278,0.175356,0.272052,0.462963", \ - "0.098153,0.103602,0.112048,0.131347,0.174676,0.271331,0.462950", \ - "0.120744,0.126728,0.137067,0.156639,0.192545,0.274087,0.462865", \ - "0.146834,0.153542,0.164985,0.186663,0.226109,0.298254,0.464391"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.019350,0.020553,0.022633,0.026778,0.035038,0.051506,0.084389", \ - "0.023919,0.025150,0.027267,0.031462,0.039786,0.056318,0.089251", \ - "0.038726,0.040400,0.043160,0.048164,0.056825,0.073307,0.106223", \ - "0.052369,0.055096,0.059583,0.067702,0.081586,0.104006,0.138975", \ - "0.060080,0.064083,0.070477,0.082225,0.102342,0.134505,0.183322", \ - "0.059751,0.065101,0.073800,0.089525,0.116625,0.159808,0.224784", \ - "0.050101,0.056923,0.068087,0.088068,0.122602,0.177796,0.260645"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.127532,0.135605,0.149572,0.177320,0.232075,0.340653,0.556295", \ - "0.127639,0.135863,0.150057,0.178242,0.233611,0.343073,0.559518", \ - "0.137344,0.145417,0.159480,0.187528,0.242967,0.352891,0.570388", \ - "0.167273,0.174971,0.188341,0.215556,0.269902,0.378456,0.595088", \ - "0.220518,0.228257,0.241276,0.265905,0.318320,0.424825,0.639014", \ - "0.281952,0.291338,0.307109,0.336958,0.391796,0.494505,0.705522", \ - "0.348775,0.359778,0.378282,0.413355,0.477629,0.590657,0.797406"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.012919,0.014048,0.015956,0.019800,0.027447,0.042573,0.071975", \ - "0.012918,0.014043,0.015954,0.019795,0.027445,0.042569,0.071973", \ - "0.018510,0.019244,0.020449,0.022786,0.028616,0.042570,0.071973", \ - "0.034052,0.034852,0.036239,0.038871,0.043950,0.053198,0.074509", \ - "0.056388,0.057254,0.058866,0.061872,0.067640,0.078172,0.096621", \ - "0.083374,0.084427,0.086354,0.090015,0.096814,0.109247,0.130700", \ - "0.115008,0.116295,0.118590,0.123153,0.131410,0.146245,0.171435"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.100842,0.108013,0.120579,0.145162,0.193797,0.290322,0.481996", \ - "0.100719,0.107916,0.120508,0.145147,0.193712,0.290319,0.481981", \ - "0.100032,0.107387,0.120163,0.144964,0.193680,0.290272,0.481996", \ - "0.096734,0.104161,0.117798,0.143806,0.193458,0.290221,0.481983", \ - "0.105897,0.111694,0.122309,0.144550,0.191318,0.289955,0.481998", \ - "0.129167,0.135221,0.145678,0.165245,0.203330,0.289990,0.481976", \ - "0.155545,0.162280,0.173787,0.195426,0.235090,0.309550,0.481986"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.021658,0.022855,0.024927,0.029063,0.037305,0.053755,0.086614", \ - "0.026824,0.028045,0.030150,0.034329,0.042636,0.059146,0.092045", \ - "0.040764,0.042237,0.044714,0.049395,0.058067,0.074726,0.107764", \ - "0.057208,0.059431,0.063057,0.069685,0.081379,0.101551,0.136501", \ - "0.070361,0.073753,0.079268,0.089098,0.105860,0.132738,0.175720", \ - "0.077094,0.081880,0.089531,0.103441,0.126989,0.163631,0.218445", \ - "0.075666,0.082057,0.092294,0.110364,0.141727,0.190243,0.260860"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.107151,0.113610,0.124806,0.147097,0.191259,0.279147,0.454047", \ - "0.108130,0.114697,0.126049,0.148601,0.193228,0.281510,0.456805", \ - "0.120426,0.126891,0.138243,0.160734,0.205343,0.293960,0.469731", \ - "0.152202,0.158409,0.169209,0.191083,0.234895,0.322526,0.497634", \ - "0.203393,0.210293,0.221894,0.243844,0.285684,0.371551,0.544803", \ - "0.259892,0.268385,0.282617,0.309444,0.358285,0.444224,0.614625", \ - "0.321421,0.331439,0.348241,0.380001,0.437777,0.538407,0.710211"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011418,0.012442,0.014231,0.017820,0.024995,0.039341,0.068023", \ - "0.011421,0.012443,0.014236,0.017818,0.024993,0.039337,0.068027", \ - "0.013658,0.014557,0.016132,0.019150,0.025546,0.039340,0.068028", \ - "0.020906,0.021793,0.023328,0.026395,0.032464,0.044550,0.069486", \ - "0.033408,0.034461,0.036141,0.039349,0.045303,0.056945,0.080411", \ - "0.050370,0.051655,0.053783,0.057617,0.064226,0.076021,0.098650", \ - "0.071170,0.072773,0.075421,0.080363,0.088274,0.101466,0.124317"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.080848,0.086648,0.096778,0.116789,0.156523,0.235561,0.392649", \ - "0.080797,0.086612,0.096761,0.116743,0.156563,0.235577,0.392640", \ - "0.080457,0.086360,0.096597,0.116682,0.156443,0.235520,0.392663", \ - "0.079408,0.085031,0.095051,0.115812,0.156305,0.235465,0.392655", \ - "0.093955,0.098059,0.105669,0.122136,0.157153,0.235136,0.392648", \ - "0.116338,0.121498,0.130247,0.146832,0.176541,0.241274,0.392513", \ - "0.141495,0.147499,0.157576,0.176624,0.210285,0.269641,0.399221"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.021649,0.022845,0.024915,0.029049,0.037287,0.053730,0.086567", \ - "0.026818,0.028033,0.030140,0.034322,0.042620,0.059120,0.092006", \ - "0.040814,0.042284,0.044752,0.049434,0.058093,0.074742,0.107758", \ - "0.057417,0.059619,0.063239,0.069841,0.081513,0.101637,0.136553", \ - "0.070693,0.074065,0.079583,0.089375,0.106080,0.132930,0.175831", \ - "0.077327,0.082179,0.089836,0.103728,0.127246,0.163854,0.218604", \ - "0.075396,0.081816,0.092141,0.110375,0.141782,0.190350,0.260982"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.124272,0.132230,0.146007,0.173483,0.227895,0.336153,0.551520", \ - "0.124489,0.132568,0.146554,0.174343,0.229260,0.338029,0.553912", \ - "0.135706,0.143683,0.157631,0.185376,0.240357,0.349487,0.566003", \ - "0.166511,0.174155,0.187483,0.214508,0.268539,0.376594,0.592299", \ - "0.219943,0.227674,0.240697,0.265439,0.317583,0.423761,0.637326", \ - "0.281652,0.291032,0.306795,0.336619,0.391382,0.493952,0.704504", \ - "0.348785,0.359798,0.378304,0.413368,0.477573,0.590410,0.796859"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011418,0.012443,0.014231,0.017818,0.024995,0.039339,0.068021", \ - "0.011417,0.012444,0.014230,0.017819,0.024993,0.039343,0.068027", \ - "0.013646,0.014548,0.016123,0.019143,0.025542,0.039339,0.068024", \ - "0.020824,0.021719,0.023265,0.026337,0.032420,0.044527,0.069476", \ - "0.033236,0.034290,0.035969,0.039208,0.045193,0.056873,0.080374", \ - "0.050141,0.051414,0.053542,0.057405,0.064057,0.075886,0.098577", \ - "0.071001,0.072606,0.075276,0.080173,0.088141,0.101350,0.124228"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.100764,0.107944,0.120394,0.145092,0.193706,0.290256,0.481903", \ - "0.100611,0.107837,0.120324,0.145060,0.193666,0.290188,0.481898", \ - "0.099917,0.107280,0.119962,0.144883,0.193642,0.290192,0.481902", \ - "0.096756,0.104164,0.117575,0.143751,0.193428,0.290179,0.481902", \ - "0.105959,0.111816,0.122417,0.144706,0.191358,0.289940,0.481893", \ - "0.128686,0.134773,0.145193,0.164985,0.203360,0.290007,0.481881", \ - "0.154350,0.161194,0.172730,0.194709,0.234575,0.309488,0.481939"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.022045,0.023244,0.025316,0.029451,0.037701,0.054162,0.087044", \ - "0.027219,0.028441,0.030543,0.034725,0.043034,0.059554,0.092481", \ - "0.041307,0.042768,0.045221,0.049877,0.058511,0.075176,0.108235", \ - "0.058175,0.060351,0.063918,0.070467,0.082065,0.102143,0.137040", \ - "0.071962,0.075308,0.080698,0.090362,0.106908,0.133598,0.176424", \ - "0.079277,0.084014,0.091541,0.105221,0.128471,0.164820,0.219359", \ - "0.078171,0.084470,0.094599,0.112566,0.143569,0.191732,0.262031"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.157493,0.165508,0.179337,0.206910,0.261573,0.370076,0.585818", \ - "0.158289,0.166386,0.180363,0.208124,0.263172,0.372125,0.588291", \ - "0.169329,0.177399,0.191383,0.219247,0.274403,0.383728,0.600447", \ - "0.198811,0.206686,0.220291,0.247599,0.302075,0.410575,0.626677", \ - "0.250745,0.257968,0.270838,0.297023,0.350303,0.457202,0.671381", \ - "0.319395,0.328055,0.342693,0.370590,0.422530,0.526716,0.738167", \ - "0.393218,0.403387,0.420563,0.453391,0.514188,0.622719,0.829979"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.012932,0.014034,0.015964,0.019802,0.027446,0.042569,0.071970", \ - "0.012934,0.014041,0.015957,0.019799,0.027448,0.042573,0.071971", \ - "0.015334,0.016292,0.017889,0.021080,0.027974,0.042574,0.071971", \ - "0.023655,0.024550,0.026081,0.029177,0.035438,0.047867,0.073408", \ - "0.039069,0.039849,0.041199,0.044008,0.049625,0.061126,0.084496", \ - "0.060391,0.061146,0.062555,0.065301,0.070685,0.081403,0.103317", \ - "0.085796,0.086670,0.088266,0.091520,0.097400,0.108510,0.129796"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.117555,0.124780,0.137354,0.162353,0.211346,0.308484,0.500991", \ - "0.117526,0.124757,0.137347,0.162333,0.211418,0.308489,0.500986", \ - "0.117336,0.124612,0.137259,0.162287,0.211366,0.308492,0.501006", \ - "0.115838,0.123407,0.136420,0.161866,0.211214,0.308454,0.500986", \ - "0.117800,0.124424,0.136145,0.160100,0.209642,0.308370,0.500985", \ - "0.138387,0.144472,0.154751,0.174312,0.216272,0.307144,0.500995", \ - "0.164625,0.171327,0.182711,0.204482,0.244126,0.322143,0.500245"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.256566,1.303555,1.320604,1.344295,1.405151,1.484456,1.561001", \ - "1.275648,1.270609,1.305352,1.335546,1.406861,1.478344,1.555425", \ - "1.315067,1.303004,1.310194,1.348740,1.383800,1.457320,1.535585", \ - "1.502572,1.507119,1.510753,1.504385,1.502574,1.489063,1.539259", \ - "1.749882,1.743136,1.724090,1.730390,1.721729,1.697979,1.661581", \ - "2.109010,2.107856,2.092426,2.075879,2.034374,1.982354,1.914092", \ - "2.642627,2.633619,2.620280,2.585523,2.521521,2.428806,2.289485"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("6.576018,6.574966,6.590885,6.584525,6.585149,6.631331,6.648672", \ - "6.464585,6.475649,6.486194,6.507606,6.519426,6.580256,6.611979", \ - "6.368042,6.377507,6.381874,6.404810,6.412041,6.476771,6.521407", \ - "6.342452,6.339612,6.346686,6.336699,6.333406,6.409623,6.428417", \ - "6.357628,6.353971,6.359172,6.341708,6.323270,6.371367,6.400750", \ - "6.432115,6.460315,6.455131,6.443381,6.431458,6.399633,6.437441", \ - "6.515789,6.528349,6.545486,6.578261,6.652463,6.610345,6.570135"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.979626,0.993837,1.038731,1.073419,1.167804,1.280785,1.383209", \ - "0.948958,0.986409,1.027856,1.068144,1.162130,1.266844,1.371947", \ - "1.070749,1.083809,1.094825,1.108263,1.150767,1.236239,1.333946", \ - "1.296566,1.289230,1.290370,1.290372,1.304722,1.299479,1.334406", \ - "1.555257,1.559626,1.553710,1.536636,1.519328,1.492638,1.468529", \ - "1.932355,1.927109,1.912307,1.882987,1.844620,1.798740,1.717297", \ - "2.463883,2.450339,2.433673,2.396800,2.332479,2.218988,2.084317"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("6.048942,6.052499,6.062372,6.070096,6.089534,6.067630,6.103661", \ - "5.940909,5.946495,5.967549,5.971080,5.976978,6.017633,6.068355", \ - "5.848288,5.851583,5.863524,5.874336,5.872630,5.917752,5.995942", \ - "5.813145,5.823476,5.821740,5.824486,5.808922,5.845371,5.904317", \ - "5.828513,5.829791,5.836383,5.828902,5.829779,5.864407,5.862530", \ - "5.898985,5.922634,5.928135,5.911758,5.887863,5.895530,5.875790", \ - "5.963921,5.977440,6.009796,6.069790,6.090665,6.067074,6.002832"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.084518,1.082770,1.112696,1.141634,1.199367,1.310502,1.403370", \ - "1.074459,1.086035,1.094504,1.149934,1.191515,1.282994,1.377341", \ - "1.099316,1.113881,1.122546,1.134706,1.169729,1.251926,1.340019", \ - "1.325307,1.322771,1.323651,1.304700,1.299988,1.300019,1.341912", \ - "1.572930,1.571352,1.560845,1.553864,1.538100,1.506191,1.466048", \ - "1.957590,1.946972,1.926699,1.906815,1.861299,1.797847,1.716639", \ - "2.495925,2.479291,2.459456,2.414854,2.346182,2.229633,2.082414"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("7.241591,7.246372,7.261277,7.262161,7.285678,7.333585,7.382916", \ - "7.147933,7.162518,7.170793,7.200114,7.250527,7.295302,7.348984", \ - "7.050214,7.055010,7.075251,7.091319,7.125445,7.182284,7.264662", \ - "7.009889,7.021567,7.027607,7.033649,7.057078,7.109412,7.187574", \ - "7.011747,7.023336,7.023972,7.036339,7.026655,7.071521,7.142195", \ - "7.098933,7.102010,7.105380,7.101857,7.128859,7.105083,7.158074", \ - "7.174310,7.196682,7.225686,7.294381,7.319968,7.266532,7.280921"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.333856,1.335712,1.349902,1.388029,1.422861,1.490142,1.553885", \ - "1.319939,1.315289,1.342515,1.374560,1.424774,1.497962,1.573792", \ - "1.381096,1.383959,1.381079,1.405971,1.441181,1.506145,1.582723", \ - "1.556251,1.551957,1.553071,1.561636,1.561195,1.549634,1.597113", \ - "1.784682,1.779406,1.777791,1.772611,1.762160,1.749718,1.718349", \ - "2.108091,2.108594,2.092618,2.084181,2.051318,2.010550,1.954580", \ - "2.592558,2.576175,2.567025,2.543364,2.494506,2.416753,2.298995"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("6.519657,6.526668,6.533835,6.538473,6.550630,6.558943,6.558627", \ - "6.418727,6.427896,6.434469,6.460887,6.490869,6.515639,6.528595", \ - "6.324688,6.331548,6.342275,6.354973,6.359000,6.384233,6.458817", \ - "6.290176,6.295054,6.294951,6.304791,6.298953,6.317143,6.387687", \ - "6.294455,6.291262,6.298352,6.286616,6.300896,6.312228,6.324847", \ - "6.376058,6.370853,6.372291,6.359009,6.357266,6.351528,6.348338", \ - "6.495947,6.506912,6.535424,6.584859,6.538918,6.496547,6.456515"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.031218,1.038636,1.081196,1.108185,1.187757,1.287155,1.382453", \ - "1.032625,1.037914,1.076448,1.113346,1.194259,1.286986,1.388447", \ - "1.126450,1.149527,1.153805,1.162976,1.203204,1.283945,1.379970", \ - "1.348497,1.360579,1.362480,1.368923,1.361946,1.359850,1.392674", \ - "1.592729,1.595381,1.582919,1.585078,1.569567,1.557137,1.524200", \ - "1.931013,1.927641,1.918491,1.888961,1.863471,1.821627,1.753666", \ - "2.406679,2.395245,2.386662,2.359535,2.306482,2.215799,2.096577"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("5.993061,5.996185,6.012403,6.023802,6.008741,6.043933,6.054310", \ - "5.886540,5.898540,5.906788,5.934662,5.948688,5.998963,6.024453", \ - "5.794707,5.798146,5.809672,5.814353,5.822462,5.875466,5.912139", \ - "5.758296,5.766037,5.772011,5.770882,5.768320,5.813983,5.847254", \ - "5.758996,5.766579,5.764530,5.765078,5.750173,5.788105,5.811455", \ - "5.837018,5.836942,5.837848,5.830587,5.800416,5.822442,5.831004", \ - "5.935041,5.954741,5.996371,6.036478,5.983778,5.931258,5.905756"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.124587,1.120885,1.148266,1.169656,1.219957,1.310234,1.401669", \ - "1.112556,1.132471,1.150363,1.169149,1.213123,1.301850,1.393589", \ - "1.162878,1.172981,1.185605,1.189130,1.243385,1.300338,1.394099", \ - "1.379436,1.376834,1.381217,1.376628,1.358263,1.360029,1.400190", \ - "1.607523,1.608018,1.598834,1.592852,1.583941,1.554901,1.522155", \ - "1.957308,1.948427,1.939204,1.915279,1.879181,1.826090,1.757665", \ - "2.446999,2.432251,2.411199,2.377811,2.319666,2.221403,2.094866"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("7.199920,7.203929,7.223251,7.232738,7.267043,7.274951,7.305267", \ - "7.107754,7.120131,7.131942,7.155082,7.175140,7.234521,7.277171", \ - "7.011064,7.022209,7.031707,7.063388,7.092192,7.154529,7.209733", \ - "6.967860,6.978153,6.988753,6.994922,7.032612,7.052232,7.144464", \ - "6.965051,6.967706,6.976358,6.976565,7.009915,7.024881,7.108737", \ - "7.024974,7.032700,7.035529,7.047224,7.054493,7.077668,7.085573", \ - "7.179950,7.200543,7.228268,7.230521,7.215983,7.196911,7.200345"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.379022,1.380229,1.409393,1.418811,1.451914,1.497882,1.573545", \ - "1.386548,1.387330,1.397088,1.421445,1.453495,1.518814,1.583397", \ - "1.400714,1.403662,1.412317,1.441455,1.471341,1.518786,1.598026", \ - "1.573043,1.578249,1.570296,1.580701,1.566729,1.550688,1.603678", \ - "1.795135,1.794099,1.785594,1.772196,1.763908,1.752842,1.717013", \ - "2.131114,2.125359,2.120745,2.093844,2.067102,2.021920,1.949698", \ - "2.623005,2.611804,2.589769,2.565711,2.503482,2.421553,2.298262"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("7.730083,7.737803,7.750422,7.753932,7.782947,7.799406,7.867222", \ - "7.643148,7.652406,7.665792,7.673543,7.729874,7.759104,7.789573", \ - "7.544266,7.553096,7.560824,7.577562,7.600695,7.679196,7.722943", \ - "7.503485,7.502790,7.518210,7.518739,7.549927,7.564867,7.670591", \ - "7.497552,7.502840,7.507838,7.511384,7.531143,7.558573,7.637842", \ - "7.562864,7.567121,7.574664,7.580207,7.580986,7.593537,7.654096", \ - "7.723153,7.751385,7.771776,7.771709,7.751717,7.729824,7.718354"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.124587,1.120885,1.148266,1.169656,1.219957,1.310234,1.401669", \ - "1.112556,1.132471,1.150363,1.169149,1.213123,1.301850,1.393589", \ - "1.162878,1.172981,1.185605,1.189130,1.243385,1.300338,1.394099", \ - "1.379436,1.376834,1.381217,1.376628,1.358263,1.360029,1.400190", \ - "1.607523,1.608018,1.598834,1.592852,1.583941,1.554901,1.522155", \ - "1.957308,1.948427,1.939204,1.915279,1.879181,1.826090,1.757665", \ - "2.446999,2.432251,2.411199,2.377811,2.319666,2.221403,2.094866"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("7.199920,7.203929,7.223251,7.232738,7.267043,7.274951,7.305267", \ - "7.107754,7.120131,7.131942,7.155082,7.175140,7.234521,7.277171", \ - "7.011064,7.022209,7.031707,7.063388,7.092192,7.154529,7.209733", \ - "6.967860,6.978153,6.988753,6.994922,7.032612,7.052232,7.144464", \ - "6.965051,6.967706,6.976358,6.976565,7.009915,7.024881,7.108737", \ - "7.024974,7.032700,7.035529,7.047224,7.054493,7.077668,7.085573", \ - "7.179950,7.200543,7.228268,7.230521,7.215983,7.196911,7.200345"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.172087,1.174927,1.186497,1.219509,1.271602,1.332218,1.415403", \ - "1.164402,1.181163,1.195441,1.188993,1.263482,1.329521,1.413562", \ - "1.196964,1.203451,1.211603,1.210164,1.268794,1.318427,1.405056", \ - "1.385686,1.384799,1.387450,1.377453,1.377628,1.362676,1.411689", \ - "1.624741,1.623678,1.613396,1.603914,1.585874,1.561897,1.521465", \ - "1.971387,1.961670,1.948985,1.925709,1.882673,1.828246,1.762533", \ - "2.466795,2.454490,2.433964,2.385762,2.323103,2.222480,2.102972"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("8.409292,8.414730,8.434367,8.459413,8.486072,8.550996,8.602901", \ - "8.334515,8.340782,8.363155,8.391947,8.439181,8.513228,8.576171", \ - "8.229272,8.245298,8.262098,8.290845,8.318426,8.395638,8.469239", \ - "8.182259,8.194347,8.203279,8.215868,8.258728,8.332479,8.403133", \ - "8.176681,8.181119,8.195124,8.210887,8.237498,8.305138,8.367683", \ - "8.229559,8.232088,8.245191,8.263117,8.275842,8.335168,8.386971", \ - "8.416330,8.418746,8.423042,8.434700,8.396448,8.428024,8.454999"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.866901,1.896690,1.926313,2.012168,2.123751,2.266805,2.426480", \ - "1.802654,1.832537,1.886056,1.945699,2.044026,2.215075,2.371229", \ - "1.759719,1.787279,1.824050,1.872387,1.996444,2.139523,2.301229", \ - "1.910156,1.924572,1.914507,1.944429,2.007780,2.121509,2.279518", \ - "2.224981,2.223248,2.225861,2.211972,2.236273,2.244222,2.325622", \ - "2.605522,2.608652,2.604881,2.591601,2.586409,2.558171,2.512113", \ - "3.129570,3.125106,3.122724,3.098412,3.057242,2.997338,2.891459"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("8.020715,8.028466,8.030267,8.046884,8.028990,8.083519,8.084426", \ - "7.916543,7.928228,7.941067,7.955329,7.969544,8.041268,8.057111", \ - "7.776626,7.785918,7.799423,7.811514,7.827199,7.913071,7.989279", \ - "7.716634,7.723754,7.717805,7.721815,7.736902,7.814369,7.850039", \ - "7.705028,7.710927,7.697847,7.690889,7.705125,7.724937,7.800130", \ - "7.723792,7.730233,7.725729,7.719969,7.719662,7.729428,7.745862", \ - "7.697802,7.721259,7.757192,7.823457,7.818233,7.770369,7.814965"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.447891,1.484775,1.514920,1.614469,1.754240,1.959337,2.174889", \ - "1.390361,1.426835,1.483966,1.577524,1.714737,1.920003,2.112247", \ - "1.383412,1.412561,1.451326,1.531990,1.645435,1.843597,2.037325", \ - "1.611713,1.623240,1.625678,1.635658,1.703968,1.845619,2.019709", \ - "1.946517,1.954212,1.951427,1.952737,1.971832,1.972071,2.071328", \ - "2.344734,2.344751,2.344496,2.327428,2.319119,2.299826,2.266481", \ - "2.878801,2.870621,2.859141,2.836232,2.797104,2.732290,2.643289"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("7.469820,7.473507,7.483592,7.494776,7.512316,7.508164,7.517886", \ - "7.360209,7.374446,7.395687,7.406103,7.454136,7.467557,7.492750", \ - "7.224150,7.229277,7.247056,7.267398,7.315757,7.342999,7.433202", \ - "7.167664,7.177412,7.172532,7.183989,7.189536,7.246241,7.292752", \ - "7.153705,7.162351,7.163450,7.139341,7.162567,7.210402,7.247367", \ - "7.172138,7.174473,7.184636,7.162375,7.151370,7.189498,7.215777", \ - "7.143450,7.173219,7.221186,7.266291,7.256639,7.219300,7.228175"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.598386,1.628592,1.669611,1.740983,1.848527,2.001344,2.203500", \ - "1.540308,1.569873,1.611835,1.667158,1.787644,1.955641,2.146394", \ - "1.516559,1.540053,1.564671,1.637073,1.724431,1.894803,2.070419", \ - "1.674599,1.675208,1.677373,1.700055,1.774163,1.871788,2.049637", \ - "2.003097,2.001532,1.989922,1.997409,1.992042,1.990488,2.083062", \ - "2.405008,2.398564,2.393711,2.371047,2.348779,2.318329,2.275079", \ - "2.939015,2.933155,2.909242,2.884012,2.835517,2.750434,2.646596"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("8.679126,8.683306,8.703918,8.708047,8.732299,8.781012,8.812781", \ - "8.596900,8.610604,8.624093,8.660315,8.682454,8.744998,8.789541", \ - "8.452558,8.463989,8.486682,8.511761,8.549750,8.625993,8.697965", \ - "8.383660,8.395066,8.397669,8.416224,8.459196,8.526886,8.596353", \ - "8.365522,8.368773,8.379389,8.372449,8.388344,8.450193,8.506385", \ - "8.378174,8.381009,8.391404,8.383786,8.413034,8.452264,8.516660", \ - "8.412687,8.446911,8.478702,8.474175,8.458639,8.504541,8.528941"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.876958,1.886703,1.942609,2.011724,2.125194,2.265475,2.437075", \ - "1.821184,1.850373,1.889226,1.957390,2.067269,2.209614,2.373861", \ - "1.755585,1.783478,1.817734,1.882413,1.993263,2.144456,2.304574", \ - "1.847479,1.850581,1.863615,1.892752,1.953992,2.108711,2.258632", \ - "2.050640,2.050044,2.051910,2.083751,2.119387,2.159243,2.268730", \ - "2.286219,2.294474,2.295989,2.305266,2.312350,2.355458,2.374178", \ - "2.628735,2.630110,2.624949,2.613680,2.614246,2.617587,2.605005"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("9.112767,9.118744,9.125420,9.123121,9.160581,9.175102,9.189303", \ - "9.044023,9.051624,9.059036,9.071913,9.104869,9.124613,9.144655", \ - "8.963773,8.963915,8.976581,8.991404,9.014783,9.043561,9.120127", \ - "8.914565,8.921859,8.931184,8.930786,8.950612,8.978467,9.059310", \ - "8.905037,8.912080,8.913897,8.900780,8.926508,8.954809,9.032847", \ - "8.916708,8.924009,8.935151,8.928871,8.941279,8.963891,9.039909", \ - "8.929886,8.956047,9.006128,9.006143,8.994108,9.004021,9.066554"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.438103,1.475203,1.532710,1.608269,1.757286,1.956292,2.187481", \ - "1.387691,1.424121,1.492884,1.561375,1.732398,1.898845,2.121347", \ - "1.362967,1.395037,1.431663,1.525488,1.660684,1.827727,2.047194", \ - "1.507941,1.516591,1.533450,1.571888,1.630368,1.806569,1.992772", \ - "1.757792,1.754864,1.775463,1.797913,1.829801,1.889213,2.008861", \ - "2.016039,2.022680,2.032503,2.042159,2.061184,2.093835,2.132377", \ - "2.375438,2.376075,2.371839,2.364460,2.374015,2.368842,2.366003"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("8.562917,8.571930,8.579853,8.590963,8.593220,8.636251,8.663061", \ - "8.496955,8.498987,8.514845,8.534103,8.539109,8.588631,8.631676", \ - "8.409257,8.420337,8.434356,8.455447,8.490917,8.510044,8.551652", \ - "8.370088,8.373533,8.381860,8.396823,8.432603,8.449060,8.492843", \ - "8.357694,8.360780,8.371964,8.376812,8.375083,8.430884,8.472038", \ - "8.370234,8.373469,8.378468,8.377784,8.404270,8.415662,8.453867", \ - "8.385439,8.420119,8.459605,8.468302,8.449573,8.485710,8.514374"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.609329,1.620668,1.671964,1.746366,1.834135,2.009283,2.203644", \ - "1.557077,1.568060,1.612893,1.683753,1.797713,1.943595,2.155751", \ - "1.505021,1.528767,1.560893,1.611657,1.735907,1.874125,2.077044", \ - "1.589461,1.597296,1.599758,1.626270,1.695362,1.855946,2.014837", \ - "1.818737,1.818533,1.821164,1.849218,1.862585,1.912410,2.015926", \ - "2.076218,2.082771,2.084600,2.087187,2.094149,2.110554,2.142682", \ - "2.427829,2.429512,2.418645,2.412916,2.391758,2.393956,2.375492"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("9.768041,9.778835,9.789158,9.804267,9.849386,9.870017,9.917771", \ - "9.707039,9.712012,9.732598,9.761305,9.798162,9.823293,9.917959", \ - "9.624777,9.637367,9.658433,9.678867,9.716214,9.789018,9.850794", \ - "9.583694,9.588723,9.596902,9.622175,9.655188,9.726612,9.793591", \ - "9.570642,9.575348,9.591159,9.596304,9.639550,9.668833,9.772712", \ - "9.577182,9.588452,9.592934,9.600954,9.629497,9.697408,9.754421", \ - "9.652201,9.659274,9.674708,9.696571,9.711843,9.747091,9.772364"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.545773,0.539910,0.524248,0.500370,0.477221,0.461242,0.463847", \ - "0.513807,0.505411,0.503903,0.493418,0.486459,0.492384,0.503293", \ - "0.457948,0.464306,0.468115,0.470782,0.489041,0.508801,0.537894", \ - "0.584936,0.571686,0.569488,0.555726,0.524532,0.522212,0.548951", \ - "0.803398,0.797594,0.784389,0.753274,0.710817,0.650025,0.597016", \ - "1.182587,1.166248,1.137745,1.093609,1.025047,0.921733,0.791396", \ - "1.710871,1.693347,1.664008,1.595738,1.498894,1.335530,1.135131"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("4.430212,4.444310,4.459480,4.467881,4.482322,4.513203,4.500681", \ - "4.270865,4.290108,4.311552,4.348808,4.411895,4.419560,4.479489", \ - "4.150296,4.163604,4.173055,4.186808,4.254820,4.321218,4.357418", \ - "4.260904,4.258796,4.256987,4.255603,4.226714,4.256288,4.319561", \ - "4.549358,4.539250,4.521710,4.485807,4.450010,4.388288,4.350501", \ - "4.919181,4.936356,4.979457,4.912913,4.843713,4.739325,4.597932", \ - "5.421277,5.416747,5.415779,5.432035,5.396295,5.262705,5.057482"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.600659,0.595013,0.580032,0.551150,0.516395,0.484474,0.466223", \ - "0.569029,0.560047,0.555852,0.547200,0.528970,0.505655,0.504765", \ - "0.507155,0.512852,0.516318,0.518861,0.524802,0.532138,0.549003", \ - "0.621921,0.616739,0.606492,0.592043,0.561701,0.556912,0.574114", \ - "0.828585,0.816115,0.796701,0.779334,0.740291,0.688975,0.630627", \ - "1.165873,1.151937,1.128737,1.088600,1.032475,0.941982,0.819841", \ - "1.643666,1.628822,1.602016,1.550729,1.464477,1.323714,1.143150"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("4.378913,4.390899,4.404900,4.401936,4.445146,4.445099,4.456813", \ - "4.220489,4.237599,4.265068,4.288715,4.337397,4.402062,4.436497", \ - "4.100820,4.104965,4.124163,4.137741,4.191138,4.265892,4.325608", \ - "4.196465,4.193794,4.197328,4.183286,4.175045,4.214946,4.252422", \ - "4.469985,4.459416,4.443922,4.412248,4.396595,4.353943,4.300786", \ - "4.911686,4.895754,4.868656,4.821769,4.737775,4.618170,4.511268", \ - "5.340016,5.345645,5.358672,5.391339,5.271083,5.122631,4.923877"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.566317,0.560994,0.545609,0.527165,0.501587,0.476822,0.456117", \ - "0.537637,0.538290,0.532333,0.527375,0.515502,0.505833,0.499790", \ - "0.485533,0.492128,0.493282,0.500078,0.509516,0.528515,0.546614", \ - "0.585409,0.584083,0.577397,0.567333,0.546542,0.554806,0.567254", \ - "0.807723,0.801428,0.788854,0.766395,0.730469,0.675404,0.626918", \ - "1.162785,1.147825,1.124095,1.087614,1.019604,0.931841,0.812080", \ - "1.671435,1.653957,1.618930,1.559732,1.456880,1.318555,1.137897"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("5.591470,5.603602,5.622475,5.637954,5.663740,5.718015,5.750736", \ - "5.469708,5.485262,5.511894,5.546770,5.568561,5.641051,5.728335", \ - "5.325801,5.338543,5.360670,5.381049,5.425621,5.510403,5.626042", \ - "5.383383,5.391179,5.394662,5.400978,5.433133,5.452756,5.541453", \ - "5.618679,5.621011,5.617483,5.598372,5.596429,5.579874,5.594057", \ - "6.033810,6.022095,6.005237,5.965900,5.925655,5.873804,5.798260", \ - "6.505532,6.519022,6.546272,6.548991,6.457596,6.328978,6.162428"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.543098,0.529382,0.518392,0.503421,0.480868,0.461571,0.462003", \ - "0.514239,0.510498,0.505479,0.501327,0.488535,0.495013,0.505595", \ - "0.429876,0.428600,0.434919,0.454505,0.472230,0.500554,0.532106", \ - "0.442895,0.449431,0.453065,0.456429,0.452212,0.467615,0.509700", \ - "0.529631,0.533645,0.532087,0.529775,0.533445,0.525060,0.500758", \ - "0.743628,0.735474,0.721692,0.708672,0.689892,0.658835,0.614189", \ - "1.092237,1.078282,1.057248,1.032635,0.977641,0.900463,0.814559"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("5.534784,5.537927,5.554226,5.551234,5.583240,5.622005,5.625438", \ - "5.416407,5.431194,5.449136,5.453845,5.473864,5.523484,5.587861", \ - "5.322428,5.326893,5.349136,5.364485,5.406456,5.470037,5.496991", \ - "5.405200,5.414256,5.416160,5.420135,5.438300,5.428018,5.493700", \ - "5.668747,5.664260,5.666291,5.649115,5.610251,5.615384,5.593911", \ - "6.100045,6.095323,6.085718,6.058461,5.997334,5.919275,5.849917", \ - "6.531488,6.539841,6.565020,6.587104,6.584646,6.437685,6.263477"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.597723,0.583830,0.574062,0.550167,0.518998,0.483071,0.465100", \ - "0.564037,0.563544,0.555775,0.547899,0.524544,0.512114,0.507013", \ - "0.481490,0.479525,0.483717,0.500797,0.508678,0.523566,0.541819", \ - "0.493053,0.491866,0.495352,0.488157,0.492371,0.504141,0.534222", \ - "0.556991,0.563125,0.560335,0.564497,0.570720,0.562047,0.537348", \ - "0.748333,0.743218,0.736751,0.729791,0.714096,0.694557,0.650979", \ - "1.068123,1.056311,1.040093,1.011193,0.976760,0.914320,0.841404"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("5.494390,5.496804,5.505497,5.528605,5.531699,5.579385,5.607601", \ - "5.375227,5.387666,5.405549,5.417094,5.470383,5.489956,5.528759", \ - "5.281159,5.284973,5.303477,5.332606,5.367396,5.396069,5.491339", \ - "5.358769,5.365575,5.363301,5.372250,5.385726,5.412545,5.452301", \ - "5.609547,5.605043,5.598150,5.595580,5.542386,5.558277,5.546860", \ - "6.018297,6.014413,6.000697,5.969032,5.921014,5.823234,5.779477", \ - "6.482285,6.503757,6.527209,6.531086,6.434982,6.328953,6.154567"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.563325,0.559690,0.547297,0.530867,0.502961,0.479873,0.462482", \ - "0.534666,0.536285,0.536165,0.527701,0.517626,0.506286,0.501068", \ - "0.460216,0.466413,0.473431,0.478990,0.501365,0.521336,0.537728", \ - "0.460199,0.467164,0.470398,0.466485,0.471841,0.503137,0.536624", \ - "0.547835,0.549230,0.552045,0.556321,0.555838,0.552213,0.537498", \ - "0.748594,0.742390,0.735128,0.718008,0.707056,0.685090,0.644990", \ - "1.079951,1.070937,1.054468,1.024482,0.974469,0.910320,0.835948"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("6.700852,6.705072,6.722665,6.751191,6.751329,6.812553,6.861007", \ - "6.602820,6.616012,6.627498,6.667023,6.696431,6.767237,6.825521", \ - "6.499931,6.512766,6.528191,6.559359,6.597143,6.677228,6.748157", \ - "6.558791,6.561456,6.567987,6.588292,6.623631,6.649567,6.750810", \ - "6.781239,6.782897,6.778278,6.777581,6.787904,6.786197,6.812184", \ - "7.166830,7.160432,7.154932,7.151633,7.116868,7.083081,7.025650", \ - "7.684972,7.703314,7.710275,7.690481,7.611594,7.538655,7.436951"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI221_X4 - Cell Description : Combinational cell (AOI221_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI221_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 82.676774; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 85.251575; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 99.494108; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 89.799655; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 76.395285; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 99.494022; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 113.728005; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 104.041153; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 81.461360; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 89.799560; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 104.041144; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 94.350301; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 81.464637; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 73.257302; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 78.297243; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 78.301080; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 80.625835; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 66.553675; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 71.555510; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 71.557733; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 75.082794; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 71.623359; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 76.625290; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 76.627418; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 80.152763; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 71.625478; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 76.627418; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 76.629185; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 80.154103; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 75.267645; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 80.364205; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 80.365440; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 85.042499; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.580743; - fall_capacitance : 1.425819; - rise_capacitance : 1.580743; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.523845; - fall_capacitance : 1.485054; - rise_capacitance : 1.523845; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.610497; - fall_capacitance : 1.512045; - rise_capacitance : 1.610497; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.552275; - fall_capacitance : 1.330298; - rise_capacitance : 1.552275; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.606700; - fall_capacitance : 1.349341; - rise_capacitance : 1.606700; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.259500; - function : "!(!(!(((C1 & C2) | A) | (B1 & B2))))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.093203,0.103400,0.111175,0.123748,0.144763,0.182161,0.253324", \ - "0.098529,0.108730,0.116504,0.129076,0.150094,0.187492,0.258654", \ - "0.117298,0.127486,0.135255,0.147826,0.168838,0.206229,0.277396", \ - "0.146094,0.156281,0.164011,0.176521,0.197527,0.234924,0.306085", \ - "0.176166,0.186393,0.194144,0.206351,0.227361,0.264782,0.335958", \ - "0.204122,0.214390,0.222217,0.234575,0.255669,0.293126,0.364319", \ - "0.227364,0.237935,0.245918,0.258574,0.279814,0.317338,0.388514"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.275044,0.293166,0.309560,0.341639,0.405840,0.533994,0.789856", \ - "0.278096,0.296215,0.312609,0.344695,0.408887,0.537038,0.792901", \ - "0.293735,0.311856,0.328274,0.360332,0.424509,0.552674,0.808511", \ - "0.325628,0.343746,0.360098,0.391894,0.456061,0.584218,0.840085", \ - "0.373913,0.392034,0.408429,0.440314,0.504494,0.632635,0.888452", \ - "0.439161,0.457444,0.473858,0.505699,0.569773,0.697887,0.953711", \ - "0.512977,0.531950,0.548414,0.580176,0.644166,0.772218,1.028032"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012115,0.016193,0.020023,0.027298,0.041666,0.071198,0.132764", \ - "0.012114,0.016194,0.020022,0.027299,0.041666,0.071198,0.132764", \ - "0.012112,0.016192,0.020020,0.027297,0.041663,0.071196,0.132765", \ - "0.012165,0.016234,0.020052,0.027316,0.041674,0.071199,0.132763", \ - "0.012368,0.016407,0.020201,0.027430,0.041749,0.071242,0.132781", \ - "0.012809,0.016750,0.020486,0.027645,0.041887,0.071304,0.132792", \ - "0.013663,0.017429,0.021057,0.028080,0.042170,0.071442,0.132831"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017647,0.028420,0.042088,0.071835,0.132800,0.255115,0.500012", \ - "0.017646,0.028418,0.042088,0.071833,0.132799,0.255115,0.500011", \ - "0.017645,0.028417,0.042088,0.071831,0.132782,0.255124,0.500012", \ - "0.017650,0.028419,0.042088,0.071835,0.132790,0.255116,0.500011", \ - "0.017662,0.028425,0.042091,0.071837,0.132800,0.255123,0.500011", \ - "0.018172,0.028705,0.042217,0.071864,0.132808,0.255128,0.500024", \ - "0.019552,0.029653,0.042701,0.072018,0.132861,0.255147,0.500033"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.091169,0.101375,0.109156,0.121734,0.142754,0.180155,0.251318", \ - "0.096499,0.106704,0.114483,0.127059,0.148079,0.185479,0.256642", \ - "0.115170,0.125369,0.133144,0.145715,0.166726,0.204123,0.275284", \ - "0.143051,0.153248,0.160952,0.173495,0.194507,0.231902,0.303069", \ - "0.171876,0.182099,0.189865,0.202049,0.223091,0.260523,0.331676", \ - "0.198330,0.208593,0.216430,0.228810,0.249906,0.287365,0.358551", \ - "0.219717,0.230336,0.238333,0.251002,0.272250,0.309786,0.380964"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.306559,0.325350,0.341857,0.373853,0.437885,0.566034,0.821818", \ - "0.309318,0.328109,0.344607,0.376585,0.440641,0.568790,0.824580", \ - "0.324570,0.343346,0.359836,0.391843,0.455902,0.584000,0.839816", \ - "0.355393,0.374185,0.390593,0.422315,0.486361,0.614473,0.870301", \ - "0.400317,0.419096,0.435583,0.467520,0.531507,0.659584,0.915405", \ - "0.460843,0.479765,0.496268,0.528198,0.592194,0.720236,0.976034", \ - "0.531016,0.550575,0.567187,0.599073,0.662945,0.790954,1.046718"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012131,0.016208,0.020035,0.027310,0.041674,0.071199,0.132766", \ - "0.012128,0.016206,0.020034,0.027309,0.041673,0.071199,0.132764", \ - "0.012119,0.016200,0.020029,0.027303,0.041669,0.071199,0.132765", \ - "0.012181,0.016244,0.020061,0.027323,0.041679,0.071202,0.132770", \ - "0.012387,0.016422,0.020213,0.027441,0.041756,0.071246,0.132779", \ - "0.012857,0.016789,0.020517,0.027670,0.041903,0.071312,0.132793", \ - "0.013775,0.017519,0.021134,0.028140,0.042207,0.071460,0.132834"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019023,0.029345,0.042562,0.071993,0.132851,0.255152,0.500018", \ - "0.019023,0.029345,0.042563,0.071989,0.132841,0.255151,0.500020", \ - "0.019023,0.029348,0.042563,0.071988,0.132853,0.255161,0.500025", \ - "0.019016,0.029346,0.042562,0.071988,0.132853,0.255156,0.500020", \ - "0.019030,0.029351,0.042564,0.071988,0.132842,0.255153,0.500019", \ - "0.019370,0.029555,0.042662,0.072016,0.132860,0.255159,0.500020", \ - "0.020597,0.030483,0.043158,0.072176,0.132907,0.255176,0.500034"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.093901,0.104128,0.111916,0.124500,0.145521,0.182923,0.254089", \ - "0.099227,0.109451,0.117238,0.129820,0.150841,0.188243,0.259410", \ - "0.117914,0.128121,0.135903,0.148481,0.169498,0.206899,0.278063", \ - "0.146814,0.157005,0.164748,0.177275,0.198302,0.235708,0.306878", \ - "0.177398,0.187656,0.195438,0.207664,0.228724,0.266164,0.337335", \ - "0.205968,0.216390,0.224274,0.236707,0.257845,0.295335,0.366517", \ - "0.229761,0.240589,0.248684,0.261425,0.282733,0.320323,0.391522"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.335660,0.354657,0.371198,0.403098,0.467161,0.595209,0.851033", \ - "0.338674,0.357673,0.374183,0.406122,0.470161,0.598222,0.854042", \ - "0.353991,0.373001,0.389488,0.421472,0.485462,0.613531,0.869344", \ - "0.384653,0.403650,0.420079,0.451703,0.515759,0.643826,0.899648", \ - "0.429484,0.448481,0.464972,0.496775,0.560788,0.688850,0.944655", \ - "0.490890,0.509950,0.526463,0.558413,0.622390,0.750430,1.006241", \ - "0.564691,0.584307,0.600915,0.632789,0.696641,0.824643,1.080421"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012180,0.016247,0.020066,0.027331,0.041687,0.071209,0.132770", \ - "0.012177,0.016243,0.020063,0.027329,0.041686,0.071209,0.132772", \ - "0.012169,0.016238,0.020058,0.027324,0.041683,0.071207,0.132766", \ - "0.012238,0.016293,0.020101,0.027351,0.041695,0.071211,0.132767", \ - "0.012536,0.016533,0.020303,0.027503,0.041792,0.071261,0.132788", \ - "0.013149,0.017013,0.020702,0.027805,0.041986,0.071350,0.132801", \ - "0.014280,0.017928,0.021475,0.028401,0.042379,0.071542,0.132852"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019498,0.029673,0.042733,0.072044,0.132869,0.255170,0.500042", \ - "0.019486,0.029672,0.042734,0.072046,0.132880,0.255171,0.500041", \ - "0.019493,0.029673,0.042732,0.072043,0.132876,0.255171,0.500042", \ - "0.019497,0.029674,0.042732,0.072045,0.132878,0.255171,0.500035", \ - "0.019500,0.029674,0.042735,0.072043,0.132875,0.255164,0.500032", \ - "0.019632,0.029758,0.042772,0.072053,0.132881,0.255168,0.500025", \ - "0.020741,0.030589,0.043215,0.072193,0.132917,0.255180,0.500046"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.093197,0.103394,0.111169,0.123742,0.144757,0.182155,0.253318", \ - "0.098559,0.108756,0.116531,0.129103,0.150120,0.187519,0.258684", \ - "0.117392,0.127580,0.135350,0.147921,0.168931,0.206323,0.277490", \ - "0.146254,0.156444,0.164175,0.176685,0.197700,0.235092,0.306255", \ - "0.176329,0.186552,0.194309,0.206468,0.227536,0.264955,0.336110", \ - "0.204151,0.214407,0.222238,0.234611,0.255692,0.293156,0.364343", \ - "0.226987,0.237561,0.245542,0.258218,0.279435,0.316959,0.388141"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.334552,0.353761,0.370312,0.402279,0.466250,0.594330,0.850150", \ - "0.336715,0.355924,0.372515,0.404461,0.468429,0.596515,0.852353", \ - "0.350345,0.369542,0.386103,0.418037,0.482046,0.610116,0.865959", \ - "0.380587,0.399795,0.416274,0.447966,0.511955,0.640026,0.895843", \ - "0.426979,0.446179,0.462709,0.494472,0.558450,0.686488,0.942299", \ - "0.491763,0.511032,0.527586,0.559435,0.623385,0.751412,1.007216", \ - "0.569662,0.589601,0.606297,0.638065,0.701890,0.829870,1.085638"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012114,0.016194,0.020022,0.027299,0.041666,0.071198,0.132764", \ - "0.012115,0.016194,0.020022,0.027299,0.041666,0.071197,0.132769", \ - "0.012111,0.016193,0.020020,0.027298,0.041663,0.071196,0.132762", \ - "0.012164,0.016233,0.020053,0.027316,0.041674,0.071198,0.132763", \ - "0.012370,0.016405,0.020199,0.027430,0.041749,0.071242,0.132782", \ - "0.012806,0.016749,0.020486,0.027645,0.041888,0.071305,0.132794", \ - "0.013669,0.017434,0.021062,0.028084,0.042172,0.071443,0.132830"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019821,0.029962,0.042906,0.072122,0.132919,0.255208,0.500061", \ - "0.019827,0.029964,0.042907,0.072120,0.132907,0.255206,0.500059", \ - "0.019823,0.029963,0.042908,0.072117,0.132913,0.255203,0.500058", \ - "0.019828,0.029965,0.042905,0.072119,0.132923,0.255203,0.500057", \ - "0.019824,0.029963,0.042906,0.072116,0.132921,0.255218,0.500061", \ - "0.019989,0.030070,0.042961,0.072138,0.132928,0.255206,0.500059", \ - "0.021294,0.031082,0.043505,0.072308,0.132973,0.255234,0.500069"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.091163,0.101369,0.109149,0.121724,0.142747,0.180148,0.251310", \ - "0.096526,0.106729,0.114509,0.127084,0.148105,0.185505,0.256670", \ - "0.115262,0.125461,0.133236,0.145808,0.166818,0.204214,0.275374", \ - "0.143197,0.153394,0.161136,0.173658,0.194672,0.232067,0.303232", \ - "0.172049,0.182268,0.190034,0.202191,0.223256,0.260697,0.331849", \ - "0.198360,0.208622,0.216460,0.228841,0.249928,0.287403,0.358572", \ - "0.219351,0.229971,0.237968,0.250636,0.271883,0.309417,0.380599"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.365326,0.385242,0.401959,0.433832,0.497731,0.625742,0.881548", \ - "0.367203,0.387113,0.403840,0.435791,0.499624,0.627617,0.883418", \ - "0.380492,0.400399,0.417134,0.449014,0.512882,0.640910,0.896709", \ - "0.410022,0.429933,0.446553,0.478174,0.542033,0.670073,0.925865", \ - "0.453687,0.473595,0.490303,0.522109,0.585929,0.713908,0.969699", \ - "0.513864,0.533823,0.550559,0.582482,0.646304,0.774261,1.030031", \ - "0.587446,0.608008,0.624901,0.656783,0.720549,0.848450,1.104184"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012132,0.016207,0.020035,0.027310,0.041674,0.071199,0.132765", \ - "0.012131,0.016206,0.020034,0.027308,0.041673,0.071200,0.132764", \ - "0.012119,0.016200,0.020028,0.027304,0.041669,0.071199,0.132765", \ - "0.012180,0.016243,0.020060,0.027323,0.041679,0.071203,0.132768", \ - "0.012387,0.016421,0.020213,0.027441,0.041756,0.071246,0.132782", \ - "0.012857,0.016788,0.020517,0.027670,0.041903,0.071311,0.132793", \ - "0.013783,0.017524,0.021138,0.028143,0.042210,0.071461,0.132834"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021095,0.031000,0.043488,0.072319,0.132973,0.255230,0.500070", \ - "0.021096,0.030997,0.043489,0.072314,0.132986,0.255238,0.500080", \ - "0.021091,0.030996,0.043490,0.072314,0.132973,0.255229,0.500071", \ - "0.021097,0.030997,0.043491,0.072319,0.132978,0.255241,0.500078", \ - "0.021091,0.031003,0.043492,0.072318,0.132988,0.255234,0.500068", \ - "0.021207,0.031079,0.043531,0.072329,0.132978,0.255232,0.500070", \ - "0.022338,0.032019,0.044075,0.072508,0.133028,0.255257,0.500070"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.093896,0.104122,0.111910,0.124494,0.145515,0.182917,0.254085", \ - "0.099257,0.109481,0.117268,0.129850,0.150871,0.188275,0.259436", \ - "0.118033,0.128241,0.136023,0.148601,0.169617,0.207018,0.278182", \ - "0.146969,0.157161,0.164888,0.177442,0.198470,0.235877,0.307045", \ - "0.177569,0.187822,0.195601,0.207828,0.228841,0.266282,0.337450", \ - "0.206001,0.216421,0.224309,0.236737,0.257869,0.295359,0.366550", \ - "0.229421,0.240251,0.248339,0.261082,0.282396,0.319982,0.391174"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.401204,0.421426,0.438225,0.470056,0.533836,0.661883,0.917653", \ - "0.403406,0.423611,0.440421,0.472315,0.536016,0.664088,0.919844", \ - "0.416765,0.436973,0.453768,0.485629,0.549392,0.677400,0.933197", \ - "0.446117,0.466325,0.483009,0.514582,0.578348,0.706422,0.962163", \ - "0.489651,0.509865,0.526645,0.558280,0.622086,0.750066,1.005858", \ - "0.550068,0.570296,0.587107,0.619038,0.682830,0.810738,1.066500", \ - "0.626879,0.647572,0.664492,0.696369,0.760085,0.887956,1.143703"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012180,0.016246,0.020065,0.027331,0.041687,0.071209,0.132774", \ - "0.012175,0.016243,0.020063,0.027330,0.041687,0.071208,0.132766", \ - "0.012167,0.016238,0.020060,0.027324,0.041683,0.071207,0.132767", \ - "0.012238,0.016293,0.020100,0.027350,0.041694,0.071211,0.132766", \ - "0.012535,0.016532,0.020302,0.027502,0.041791,0.071262,0.132787", \ - "0.013148,0.017012,0.020702,0.027805,0.041987,0.071350,0.132802", \ - "0.014285,0.017933,0.021480,0.028405,0.042382,0.071542,0.132854"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021684,0.031482,0.043770,0.072414,0.133021,0.255253,0.500074", \ - "0.021684,0.031482,0.043770,0.072407,0.133011,0.255255,0.500082", \ - "0.021685,0.031483,0.043770,0.072408,0.133009,0.255261,0.500078", \ - "0.021683,0.031481,0.043770,0.072409,0.133007,0.255258,0.500080", \ - "0.021686,0.031483,0.043769,0.072411,0.133004,0.255250,0.500077", \ - "0.021715,0.031506,0.043782,0.072415,0.133009,0.255249,0.500081", \ - "0.022550,0.032218,0.044187,0.072537,0.133040,0.255262,0.500095"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.095922,0.106136,0.113920,0.126498,0.147515,0.184917,0.256085", \ - "0.101281,0.111497,0.119280,0.131859,0.152876,0.190277,0.261439", \ - "0.120128,0.130334,0.138114,0.150689,0.171704,0.209105,0.280266", \ - "0.149888,0.160080,0.167813,0.180335,0.201358,0.238768,0.309940", \ - "0.181635,0.191884,0.199660,0.211851,0.232936,0.270371,0.341540", \ - "0.211479,0.221879,0.229763,0.242182,0.263312,0.300800,0.371979", \ - "0.236635,0.247419,0.255493,0.268221,0.289532,0.327103,0.398296"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.365594,0.385040,0.401689,0.433571,0.497462,0.625544,0.881355", \ - "0.368043,0.387486,0.404096,0.435974,0.499912,0.627991,0.883799", \ - "0.381715,0.401157,0.417767,0.449677,0.513595,0.641653,0.897468", \ - "0.411831,0.431269,0.447800,0.479396,0.543327,0.671399,0.927209", \ - "0.458132,0.477572,0.494121,0.525791,0.589728,0.717780,0.973575", \ - "0.523381,0.542836,0.559438,0.591289,0.655202,0.783204,1.038996", \ - "0.605032,0.625023,0.641725,0.673494,0.737303,0.865265,1.121048"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012166,0.016234,0.020053,0.027320,0.041680,0.071203,0.132770", \ - "0.012164,0.016232,0.020054,0.027320,0.041679,0.071205,0.132765", \ - "0.012162,0.016231,0.020052,0.027319,0.041678,0.071202,0.132764", \ - "0.012222,0.016282,0.020091,0.027344,0.041691,0.071209,0.132771", \ - "0.012511,0.016512,0.020285,0.027490,0.041784,0.071257,0.132780", \ - "0.013087,0.016966,0.020662,0.027776,0.041967,0.071339,0.132803", \ - "0.014151,0.017826,0.021389,0.028337,0.042337,0.071521,0.132847"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020312,0.030324,0.043103,0.072187,0.132932,0.255227,0.500065", \ - "0.020314,0.030324,0.043101,0.072186,0.132936,0.255228,0.500068", \ - "0.020314,0.030325,0.043101,0.072187,0.132934,0.255225,0.500075", \ - "0.020308,0.030325,0.043103,0.072187,0.132940,0.255224,0.500068", \ - "0.020310,0.030326,0.043104,0.072182,0.132950,0.255229,0.500063", \ - "0.020358,0.030360,0.043119,0.072190,0.132948,0.255222,0.500064", \ - "0.021421,0.031154,0.043552,0.072323,0.132984,0.255241,0.500069"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.093896,0.104122,0.111910,0.124494,0.145515,0.182917,0.254085", \ - "0.099257,0.109481,0.117268,0.129850,0.150871,0.188275,0.259436", \ - "0.118033,0.128241,0.136023,0.148601,0.169617,0.207018,0.278182", \ - "0.146969,0.157161,0.164888,0.177442,0.198470,0.235877,0.307045", \ - "0.177569,0.187822,0.195601,0.207828,0.228841,0.266282,0.337450", \ - "0.206001,0.216421,0.224309,0.236737,0.257869,0.295359,0.366550", \ - "0.229421,0.240251,0.248339,0.261082,0.282396,0.319982,0.391174"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.401204,0.421426,0.438225,0.470056,0.533836,0.661883,0.917653", \ - "0.403406,0.423611,0.440421,0.472315,0.536016,0.664088,0.919844", \ - "0.416765,0.436973,0.453768,0.485629,0.549392,0.677400,0.933197", \ - "0.446117,0.466325,0.483009,0.514582,0.578348,0.706422,0.962163", \ - "0.489651,0.509865,0.526645,0.558280,0.622086,0.750066,1.005858", \ - "0.550068,0.570296,0.587107,0.619038,0.682830,0.810738,1.066500", \ - "0.626879,0.647572,0.664492,0.696369,0.760085,0.887956,1.143703"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012180,0.016246,0.020065,0.027331,0.041687,0.071209,0.132774", \ - "0.012175,0.016243,0.020063,0.027330,0.041687,0.071208,0.132766", \ - "0.012167,0.016238,0.020060,0.027324,0.041683,0.071207,0.132767", \ - "0.012238,0.016293,0.020100,0.027350,0.041694,0.071211,0.132766", \ - "0.012535,0.016532,0.020302,0.027502,0.041791,0.071262,0.132787", \ - "0.013148,0.017012,0.020702,0.027805,0.041987,0.071350,0.132802", \ - "0.014285,0.017933,0.021480,0.028405,0.042382,0.071542,0.132854"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021684,0.031482,0.043770,0.072414,0.133021,0.255253,0.500074", \ - "0.021684,0.031482,0.043770,0.072407,0.133011,0.255255,0.500082", \ - "0.021685,0.031483,0.043770,0.072408,0.133009,0.255261,0.500078", \ - "0.021683,0.031481,0.043770,0.072409,0.133007,0.255258,0.500080", \ - "0.021686,0.031483,0.043769,0.072411,0.133004,0.255250,0.500077", \ - "0.021715,0.031506,0.043782,0.072415,0.133009,0.255249,0.500081", \ - "0.022550,0.032218,0.044187,0.072537,0.133040,0.255262,0.500095"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.096664,0.106898,0.114694,0.127288,0.148320,0.185730,0.256898", \ - "0.102018,0.112250,0.120045,0.132639,0.153670,0.191079,0.262249", \ - "0.120782,0.131001,0.138791,0.151381,0.172409,0.209817,0.280984", \ - "0.150632,0.160837,0.168565,0.181122,0.202164,0.239572,0.310746", \ - "0.182841,0.193143,0.200945,0.213177,0.234286,0.271740,0.342911", \ - "0.213193,0.223704,0.231644,0.244140,0.265317,0.302833,0.374014", \ - "0.238722,0.249724,0.257907,0.270746,0.292146,0.329761,0.400962"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.437005,0.457533,0.474415,0.506237,0.569879,0.697860,0.953674", \ - "0.439468,0.459999,0.476865,0.508706,0.572347,0.700332,0.956137", \ - "0.452885,0.473411,0.490286,0.522076,0.585757,0.713722,0.969541", \ - "0.482077,0.502604,0.519396,0.550898,0.614593,0.742554,0.998403", \ - "0.525514,0.546044,0.562905,0.594504,0.658155,0.786131,1.041889", \ - "0.585937,0.606469,0.623362,0.655224,0.718955,0.846846,1.102593", \ - "0.665042,0.685792,0.702737,0.734601,0.798305,0.926148,1.181884"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012245,0.016298,0.020107,0.027360,0.041706,0.071217,0.132769", \ - "0.012243,0.016294,0.020104,0.027359,0.041705,0.071219,0.132771", \ - "0.012234,0.016290,0.020101,0.027356,0.041702,0.071214,0.132771", \ - "0.012324,0.016358,0.020153,0.027389,0.041719,0.071220,0.132769", \ - "0.012704,0.016661,0.020405,0.027579,0.041839,0.071282,0.132787", \ - "0.013452,0.017253,0.020903,0.027957,0.042084,0.071395,0.132811", \ - "0.014769,0.018330,0.021823,0.028673,0.042562,0.071634,0.132877"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022272,0.031985,0.044067,0.072512,0.133043,0.255276,0.500085", \ - "0.022273,0.031984,0.044066,0.072516,0.133040,0.255273,0.500085", \ - "0.022272,0.031987,0.044066,0.072517,0.133040,0.255280,0.500091", \ - "0.022277,0.031984,0.044067,0.072516,0.133056,0.255277,0.500089", \ - "0.022280,0.031988,0.044067,0.072516,0.133041,0.255277,0.500091", \ - "0.022287,0.031994,0.044072,0.072513,0.133054,0.255277,0.500103", \ - "0.022788,0.032401,0.044295,0.072576,0.133059,0.255273,0.500089"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.132810,0.143313,0.151276,0.164077,0.185320,0.222870,0.294105", \ - "0.137197,0.147697,0.155662,0.168461,0.189703,0.227249,0.298489", \ - "0.153750,0.164248,0.172203,0.184996,0.206224,0.243786,0.315023", \ - "0.186236,0.196730,0.204623,0.217168,0.238387,0.275909,0.347119", \ - "0.225490,0.235974,0.243866,0.256261,0.277454,0.315054,0.386282", \ - "0.262854,0.273424,0.281417,0.294046,0.315338,0.352932,0.424176", \ - "0.295809,0.306746,0.314913,0.327797,0.349243,0.386934,0.458168"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.359582,0.378784,0.395327,0.427280,0.491258,0.619341,0.875172", \ - "0.363501,0.382711,0.399301,0.431205,0.495223,0.623284,0.879129", \ - "0.379165,0.398359,0.414923,0.446847,0.510875,0.638940,0.894774", \ - "0.409276,0.428474,0.444968,0.476700,0.540678,0.668748,0.924573", \ - "0.451939,0.471135,0.487672,0.519122,0.583070,0.711122,0.966944", \ - "0.507102,0.526335,0.542884,0.574632,0.638549,0.766591,1.022405", \ - "0.570577,0.590289,0.606952,0.638867,0.702742,0.830769,1.086542"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013061,0.017033,0.020777,0.027933,0.042143,0.071492,0.132880", \ - "0.013058,0.017029,0.020773,0.027929,0.042142,0.071490,0.132886", \ - "0.013037,0.017010,0.020758,0.027917,0.042133,0.071483,0.132884", \ - "0.012995,0.016975,0.020724,0.027887,0.042109,0.071470,0.132870", \ - "0.013220,0.017157,0.020879,0.028008,0.042185,0.071501,0.132882", \ - "0.013700,0.017536,0.021198,0.028250,0.042343,0.071583,0.132911", \ - "0.014576,0.018253,0.021813,0.028731,0.042668,0.071749,0.132950"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019819,0.029961,0.042907,0.072119,0.132926,0.255215,0.500056", \ - "0.019825,0.029962,0.042906,0.072123,0.132922,0.255207,0.500060", \ - "0.019822,0.029961,0.042907,0.072117,0.132909,0.255205,0.500060", \ - "0.019826,0.029964,0.042906,0.072119,0.132924,0.255208,0.500061", \ - "0.019822,0.029964,0.042906,0.072122,0.132911,0.255207,0.500070", \ - "0.019905,0.030019,0.042934,0.072126,0.132921,0.255216,0.500062", \ - "0.020834,0.030731,0.043319,0.072253,0.132966,0.255235,0.500061"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.126993,0.137521,0.145502,0.158326,0.179578,0.217157,0.288401", \ - "0.131449,0.141977,0.149958,0.162776,0.184029,0.221621,0.292851", \ - "0.148288,0.158799,0.166767,0.179573,0.200821,0.238402,0.309642", \ - "0.180521,0.191021,0.198925,0.211507,0.232734,0.270262,0.341471", \ - "0.218154,0.228655,0.236579,0.248971,0.270190,0.307789,0.379019", \ - "0.253645,0.264270,0.272274,0.284918,0.306220,0.343838,0.415054", \ - "0.284452,0.295464,0.303651,0.316559,0.338057,0.375754,0.446983"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.390154,0.410056,0.426781,0.458670,0.522554,0.650567,0.906367", \ - "0.393865,0.413769,0.430524,0.462390,0.526311,0.654295,0.910083", \ - "0.408947,0.428857,0.445587,0.477512,0.541357,0.669394,0.925171", \ - "0.438684,0.458594,0.475273,0.506952,0.570835,0.698825,0.954630", \ - "0.480580,0.500490,0.517179,0.548549,0.612483,0.740441,0.996235", \ - "0.533832,0.553759,0.570503,0.602308,0.666188,0.794130,1.049904", \ - "0.595525,0.615878,0.632745,0.664703,0.728507,0.856423,1.112177"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013129,0.017102,0.020841,0.027990,0.042191,0.071523,0.132901", \ - "0.013122,0.017091,0.020833,0.027985,0.042186,0.071521,0.132894", \ - "0.013081,0.017056,0.020803,0.027960,0.042168,0.071510,0.132898", \ - "0.013028,0.017007,0.020754,0.027916,0.042132,0.071485,0.132879", \ - "0.013274,0.017204,0.020919,0.028044,0.042217,0.071519,0.132883", \ - "0.013792,0.017614,0.021266,0.028303,0.042382,0.071608,0.132916", \ - "0.014743,0.018395,0.021937,0.028829,0.042732,0.071786,0.132959"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021088,0.030996,0.043491,0.072315,0.132974,0.255233,0.500081", \ - "0.021089,0.030998,0.043488,0.072314,0.132979,0.255237,0.500082", \ - "0.021094,0.030999,0.043490,0.072319,0.132974,0.255239,0.500082", \ - "0.021092,0.031000,0.043489,0.072313,0.132986,0.255239,0.500082", \ - "0.021093,0.030999,0.043489,0.072316,0.132987,0.255235,0.500067", \ - "0.021138,0.031031,0.043507,0.072318,0.132980,0.255233,0.500081", \ - "0.021943,0.031693,0.043887,0.072440,0.133022,0.255246,0.500079"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.132235,0.142857,0.150891,0.163762,0.185049,0.222639,0.293877", \ - "0.136683,0.147303,0.155334,0.168203,0.189489,0.227083,0.298316", \ - "0.153451,0.164062,0.172083,0.184940,0.206219,0.243806,0.315040", \ - "0.185991,0.196566,0.204496,0.217090,0.238357,0.275925,0.347148", \ - "0.225216,0.235856,0.243860,0.256297,0.277609,0.315218,0.386433", \ - "0.262925,0.273776,0.281897,0.294585,0.315987,0.353657,0.424897", \ - "0.296178,0.307460,0.315798,0.328810,0.350408,0.388189,0.459448"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.425971,0.446183,0.462992,0.494829,0.558588,0.686604,0.942440", \ - "0.430054,0.450268,0.467072,0.498892,0.562690,0.690689,0.946485", \ - "0.445384,0.465592,0.482388,0.514216,0.578018,0.706014,0.961806", \ - "0.474877,0.495085,0.511775,0.543438,0.607230,0.735298,0.991042", \ - "0.516563,0.536771,0.553552,0.584810,0.648620,0.776599,1.032386", \ - "0.569774,0.589992,0.606800,0.638530,0.702352,0.830270,1.086039", \ - "0.633578,0.654146,0.671033,0.702941,0.766704,0.894622,1.150366"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013370,0.017295,0.021007,0.028119,0.042278,0.071573,0.132919", \ - "0.013361,0.017286,0.020999,0.028114,0.042275,0.071571,0.132918", \ - "0.013321,0.017251,0.020968,0.028088,0.042255,0.071558,0.132910", \ - "0.013273,0.017207,0.020926,0.028050,0.042223,0.071536,0.132900", \ - "0.013582,0.017454,0.021135,0.028212,0.042324,0.071579,0.132908", \ - "0.014241,0.017988,0.021585,0.028559,0.042556,0.071699,0.132946", \ - "0.015405,0.018952,0.022416,0.029214,0.043003,0.071935,0.133006"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021679,0.031479,0.043767,0.072405,0.133002,0.255250,0.500079", \ - "0.021676,0.031479,0.043766,0.072408,0.133010,0.255244,0.500075", \ - "0.021679,0.031479,0.043768,0.072410,0.133009,0.255244,0.500074", \ - "0.021678,0.031480,0.043767,0.072408,0.133009,0.255251,0.500080", \ - "0.021681,0.031479,0.043767,0.072413,0.133000,0.255252,0.500078", \ - "0.021693,0.031490,0.043772,0.072406,0.133002,0.255244,0.500079", \ - "0.022301,0.031983,0.044046,0.072491,0.133030,0.255265,0.500078"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.135484,0.145988,0.153952,0.166751,0.187995,0.225542,0.296777", \ - "0.140452,0.150953,0.158913,0.171709,0.192941,0.230505,0.301741", \ - "0.155678,0.166168,0.174127,0.186921,0.208154,0.245728,0.316953", \ - "0.183532,0.194057,0.202047,0.214815,0.236046,0.273590,0.344807", \ - "0.219692,0.230187,0.238088,0.250584,0.271836,0.309406,0.380646", \ - "0.258301,0.268847,0.276790,0.289057,0.310275,0.347900,0.419143", \ - "0.295640,0.306310,0.314356,0.326843,0.348183,0.385866,0.457098"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.386912,0.406357,0.423004,0.454878,0.518757,0.646855,0.902669", \ - "0.391057,0.410490,0.427105,0.458986,0.522937,0.650989,0.906839", \ - "0.408638,0.428070,0.444683,0.476574,0.540492,0.668582,0.924395", \ - "0.439736,0.459181,0.475690,0.507407,0.571316,0.699397,0.955204", \ - "0.482709,0.502141,0.518715,0.550088,0.613983,0.742043,0.997855", \ - "0.538168,0.557610,0.574230,0.605948,0.669871,0.797880,1.053674", \ - "0.603989,0.623810,0.640489,0.672352,0.736298,0.864235,1.120034"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013060,0.017030,0.020776,0.027932,0.042143,0.071491,0.132880", \ - "0.013055,0.017028,0.020772,0.027929,0.042140,0.071489,0.132881", \ - "0.013043,0.017019,0.020765,0.027924,0.042137,0.071486,0.132879", \ - "0.013027,0.017003,0.020749,0.027910,0.042125,0.071480,0.132882", \ - "0.013183,0.017130,0.020854,0.027990,0.042177,0.071500,0.132878", \ - "0.013500,0.017392,0.021083,0.028170,0.042302,0.071568,0.132900", \ - "0.014008,0.017815,0.021450,0.028467,0.042506,0.071682,0.132935"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020313,0.030325,0.043103,0.072187,0.132934,0.255223,0.500064", \ - "0.020311,0.030325,0.043100,0.072189,0.132935,0.255216,0.500078", \ - "0.020312,0.030325,0.043102,0.072187,0.132939,0.255228,0.500079", \ - "0.020313,0.030324,0.043103,0.072187,0.132933,0.255228,0.500067", \ - "0.020308,0.030325,0.043103,0.072189,0.132936,0.255235,0.500068", \ - "0.020334,0.030343,0.043109,0.072183,0.132942,0.255228,0.500068", \ - "0.021077,0.030902,0.043417,0.072286,0.132973,0.255229,0.500075"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.129695,0.140217,0.148202,0.161018,0.182271,0.219851,0.291105", \ - "0.134696,0.145225,0.153205,0.166025,0.187276,0.224859,0.296096", \ - "0.149998,0.160518,0.168491,0.181300,0.202552,0.240137,0.311372", \ - "0.177667,0.188190,0.196160,0.208928,0.230170,0.267741,0.338969", \ - "0.212785,0.223295,0.231213,0.243733,0.264990,0.302583,0.373825", \ - "0.249838,0.260390,0.268366,0.280654,0.301882,0.339508,0.410778", \ - "0.285106,0.295817,0.303882,0.316378,0.337755,0.375419,0.446683"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.421846,0.442068,0.458865,0.490704,0.554485,0.682495,0.938294", \ - "0.425782,0.445990,0.462786,0.494643,0.558399,0.686444,0.942214", \ - "0.443085,0.463288,0.480086,0.511927,0.575729,0.703756,0.959513", \ - "0.473914,0.494130,0.510833,0.542478,0.606303,0.734277,0.990068", \ - "0.516147,0.536356,0.553155,0.584418,0.648267,0.776230,1.032000", \ - "0.569548,0.589767,0.606581,0.638410,0.702164,0.830086,1.085855", \ - "0.633179,0.653739,0.670630,0.702546,0.766310,0.894240,1.150003"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013128,0.017097,0.020838,0.027990,0.042188,0.071521,0.132898", \ - "0.013118,0.017091,0.020834,0.027984,0.042187,0.071521,0.132896", \ - "0.013099,0.017070,0.020815,0.027970,0.042175,0.071515,0.132892", \ - "0.013068,0.017043,0.020789,0.027946,0.042155,0.071503,0.132894", \ - "0.013236,0.017177,0.020901,0.028028,0.042207,0.071521,0.132891", \ - "0.013565,0.017450,0.021135,0.028215,0.042334,0.071588,0.132910", \ - "0.014112,0.017903,0.021529,0.028532,0.042555,0.071708,0.132946"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021692,0.031482,0.043770,0.072410,0.133005,0.255260,0.500076", \ - "0.021684,0.031482,0.043770,0.072407,0.133016,0.255249,0.500077", \ - "0.021684,0.031482,0.043769,0.072415,0.133008,0.255253,0.500078", \ - "0.021688,0.031483,0.043768,0.072414,0.133003,0.255247,0.500085", \ - "0.021683,0.031481,0.043768,0.072414,0.133002,0.255252,0.500082", \ - "0.021699,0.031494,0.043773,0.072406,0.133012,0.255251,0.500074", \ - "0.022282,0.031972,0.044046,0.072498,0.133042,0.255262,0.500078"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.134931,0.145550,0.153581,0.166454,0.187738,0.225332,0.296566", \ - "0.139936,0.150552,0.158583,0.171451,0.192738,0.230327,0.301561", \ - "0.155236,0.165848,0.173872,0.186733,0.208016,0.245604,0.316839", \ - "0.182991,0.193596,0.201603,0.214469,0.235741,0.273325,0.344557", \ - "0.218813,0.229455,0.237431,0.250038,0.271338,0.308934,0.380161", \ - "0.257008,0.267786,0.275829,0.288208,0.309518,0.347167,0.418394", \ - "0.293935,0.304938,0.313107,0.325700,0.347166,0.384891,0.456153"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.457595,0.478123,0.495007,0.526837,0.590492,0.718456,0.974252", \ - "0.461628,0.482156,0.499057,0.530826,0.594506,0.722470,0.978291", \ - "0.479091,0.499621,0.516484,0.548286,0.611952,0.739913,0.995740", \ - "0.509859,0.530387,0.547179,0.578839,0.642513,0.770496,1.026284", \ - "0.552003,0.572530,0.589371,0.620657,0.684285,0.812249,1.068057", \ - "0.605370,0.625902,0.642779,0.674468,0.738222,0.866096,1.121858", \ - "0.670575,0.691256,0.708192,0.740105,0.803820,0.931682,1.187425"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013368,0.017292,0.021005,0.028118,0.042278,0.071571,0.132913", \ - "0.013359,0.017286,0.020998,0.028111,0.042273,0.071570,0.132914", \ - "0.013337,0.017265,0.020981,0.028099,0.042263,0.071562,0.132910", \ - "0.013310,0.017241,0.020956,0.028077,0.042245,0.071552,0.132908", \ - "0.013521,0.017408,0.021096,0.028182,0.042311,0.071578,0.132906", \ - "0.013923,0.017746,0.021393,0.028421,0.042476,0.071667,0.132935", \ - "0.014572,0.018294,0.021870,0.028807,0.042750,0.071819,0.132983"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022275,0.031985,0.044068,0.072514,0.133050,0.255277,0.500092", \ - "0.022270,0.031985,0.044068,0.072517,0.133040,0.255279,0.500091", \ - "0.022274,0.031985,0.044067,0.072512,0.133053,0.255279,0.500089", \ - "0.022271,0.031985,0.044066,0.072510,0.133039,0.255275,0.500085", \ - "0.022271,0.031985,0.044068,0.072509,0.133056,0.255279,0.500090", \ - "0.022286,0.031992,0.044069,0.072512,0.133037,0.255275,0.500088", \ - "0.022623,0.032278,0.044233,0.072560,0.133057,0.255283,0.500102"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.113164,0.123469,0.131317,0.143985,0.165094,0.202565,0.273750", \ - "0.117855,0.128162,0.136009,0.148675,0.169787,0.207261,0.278443", \ - "0.134668,0.144967,0.152811,0.165474,0.186589,0.224067,0.295248", \ - "0.163307,0.173636,0.181435,0.193993,0.215119,0.252578,0.323748", \ - "0.192660,0.203042,0.210918,0.223213,0.244339,0.281879,0.353122", \ - "0.218556,0.229138,0.237155,0.249767,0.271051,0.308614,0.379812", \ - "0.238825,0.249959,0.258190,0.271167,0.292679,0.330381,0.401582"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.262378,0.281189,0.297674,0.329651,0.393725,0.521802,0.777625", \ - "0.263959,0.282740,0.299238,0.331213,0.395270,0.523415,0.779218", \ - "0.274625,0.293412,0.309894,0.341901,0.405973,0.534054,0.789867", \ - "0.304137,0.322927,0.339379,0.371260,0.435313,0.563403,0.819221", \ - "0.355599,0.374372,0.390883,0.422722,0.486733,0.614804,0.870615", \ - "0.428013,0.447026,0.463499,0.495314,0.559302,0.687349,0.943174", \ - "0.509402,0.529337,0.545989,0.577763,0.641500,0.769498,1.025279"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012515,0.016543,0.020332,0.027554,0.041853,0.071304,0.132793", \ - "0.012515,0.016544,0.020332,0.027554,0.041853,0.071306,0.132799", \ - "0.012518,0.016547,0.020336,0.027556,0.041854,0.071304,0.132792", \ - "0.012619,0.016628,0.020399,0.027601,0.041879,0.071314,0.132799", \ - "0.013021,0.016961,0.020687,0.027832,0.042045,0.071415,0.132821", \ - "0.013732,0.017533,0.021172,0.028204,0.042283,0.071522,0.132862", \ - "0.015041,0.018615,0.022108,0.028943,0.042785,0.071785,0.132930"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019026,0.029345,0.042563,0.071986,0.132845,0.255156,0.500033", \ - "0.019019,0.029343,0.042561,0.071990,0.132843,0.255147,0.500034", \ - "0.019016,0.029343,0.042563,0.071987,0.132848,0.255161,0.500031", \ - "0.019021,0.029344,0.042562,0.071991,0.132857,0.255161,0.500020", \ - "0.019005,0.029338,0.042558,0.071990,0.132845,0.255155,0.500035", \ - "0.019611,0.029665,0.042711,0.072029,0.132860,0.255154,0.500026", \ - "0.021380,0.031049,0.043449,0.072253,0.132931,0.255187,0.500028"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.113145,0.123448,0.131300,0.143966,0.165075,0.202547,0.273729", \ - "0.117836,0.128143,0.135990,0.148657,0.169769,0.207242,0.278423", \ - "0.134674,0.144974,0.152818,0.165481,0.186595,0.224073,0.295254", \ - "0.163413,0.173752,0.181544,0.194103,0.215223,0.252684,0.323873", \ - "0.192809,0.203204,0.211064,0.223379,0.244501,0.282019,0.353271", \ - "0.218544,0.229127,0.237133,0.249765,0.271036,0.308597,0.379787", \ - "0.238260,0.249401,0.257637,0.270610,0.292123,0.329824,0.401027"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.300661,0.320569,0.337289,0.369160,0.433079,0.561105,0.816870", \ - "0.301601,0.321514,0.338242,0.370145,0.434032,0.562026,0.817822", \ - "0.310846,0.330761,0.347479,0.379384,0.443272,0.571245,0.827056", \ - "0.338938,0.358847,0.375547,0.407351,0.471229,0.599206,0.854997", \ - "0.388881,0.408774,0.425503,0.457337,0.521186,0.649167,0.904935", \ - "0.462106,0.481978,0.498682,0.530511,0.594381,0.722363,0.978147", \ - "0.549186,0.569906,0.586810,0.618563,0.682247,0.810130,1.065885"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012515,0.016543,0.020332,0.027554,0.041853,0.071305,0.132791", \ - "0.012515,0.016544,0.020333,0.027554,0.041853,0.071306,0.132796", \ - "0.012518,0.016546,0.020336,0.027555,0.041854,0.071304,0.132795", \ - "0.012618,0.016627,0.020399,0.027601,0.041879,0.071316,0.132796", \ - "0.013020,0.016958,0.020686,0.027830,0.042045,0.071414,0.132824", \ - "0.013731,0.017531,0.021172,0.028202,0.042282,0.071521,0.132863", \ - "0.015049,0.018623,0.022116,0.028947,0.042791,0.071785,0.132931"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021094,0.030995,0.043491,0.072317,0.132972,0.255235,0.500072", \ - "0.021095,0.030995,0.043490,0.072314,0.132987,0.255233,0.500082", \ - "0.021094,0.030998,0.043489,0.072315,0.132977,0.255230,0.500072", \ - "0.021092,0.030994,0.043489,0.072314,0.132983,0.255238,0.500082", \ - "0.021052,0.030969,0.043475,0.072314,0.132988,0.255234,0.500081", \ - "0.021064,0.030980,0.043481,0.072311,0.132978,0.255245,0.500083", \ - "0.022697,0.032281,0.044211,0.072531,0.133045,0.255250,0.500081"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.118074,0.128470,0.136369,0.149083,0.170219,0.207703,0.278893", \ - "0.122770,0.133166,0.141065,0.153778,0.174916,0.212400,0.283591", \ - "0.139594,0.149989,0.157887,0.170598,0.191737,0.229221,0.300411", \ - "0.169026,0.179452,0.187286,0.199863,0.221020,0.258506,0.329695", \ - "0.200514,0.211055,0.219006,0.231389,0.252608,0.290167,0.361376", \ - "0.228818,0.239667,0.247784,0.260510,0.281861,0.319497,0.390715", \ - "0.251333,0.262774,0.271190,0.284315,0.305951,0.343740,0.414984"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.336647,0.356860,0.373658,0.405537,0.469307,0.597277,0.853071", \ - "0.338169,0.358384,0.375169,0.407025,0.470817,0.598806,0.854586", \ - "0.347510,0.367725,0.384503,0.416348,0.480164,0.608135,0.863921", \ - "0.374746,0.394953,0.411700,0.443423,0.507237,0.635167,0.890947", \ - "0.423538,0.443756,0.460538,0.492295,0.556101,0.684028,0.939814", \ - "0.496056,0.516246,0.533033,0.564873,0.628678,0.756629,1.012408", \ - "0.587581,0.608309,0.625220,0.656991,0.720668,0.848507,1.104264"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012752,0.016737,0.020496,0.027681,0.041941,0.071353,0.132809", \ - "0.012751,0.016737,0.020497,0.027681,0.041940,0.071353,0.132812", \ - "0.012756,0.016741,0.020500,0.027684,0.041941,0.071351,0.132810", \ - "0.012866,0.016830,0.020575,0.027738,0.041973,0.071365,0.132818", \ - "0.013370,0.017249,0.020935,0.028023,0.042177,0.071483,0.132840", \ - "0.014267,0.017975,0.021553,0.028507,0.042493,0.071636,0.132895", \ - "0.015831,0.019286,0.022691,0.029411,0.043118,0.071972,0.132991"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021684,0.031481,0.043770,0.072411,0.133005,0.255248,0.500080", \ - "0.021681,0.031483,0.043769,0.072409,0.133005,0.255247,0.500078", \ - "0.021679,0.031482,0.043770,0.072412,0.133015,0.255248,0.500078", \ - "0.021681,0.031482,0.043769,0.072405,0.133002,0.255246,0.500076", \ - "0.021674,0.031473,0.043765,0.072410,0.133019,0.255248,0.500080", \ - "0.021616,0.031432,0.043743,0.072406,0.133018,0.255248,0.500082", \ - "0.022757,0.032332,0.044247,0.072548,0.133037,0.255260,0.500095"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.115864,0.126165,0.134017,0.146684,0.167795,0.205268,0.276448", \ - "0.121171,0.131474,0.139327,0.151991,0.173098,0.210573,0.281756", \ - "0.136566,0.146863,0.154706,0.167377,0.188487,0.225962,0.297146", \ - "0.162063,0.172381,0.180242,0.192890,0.214014,0.251490,0.322676", \ - "0.191526,0.201892,0.209721,0.222164,0.243347,0.280872,0.352078", \ - "0.220531,0.231009,0.238914,0.251084,0.272258,0.309819,0.381063", \ - "0.245782,0.256522,0.264570,0.277054,0.298389,0.336023,0.407272"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.288369,0.307369,0.323896,0.355805,0.419831,0.547918,0.803775", \ - "0.290247,0.309235,0.325761,0.357718,0.421712,0.549788,0.805605", \ - "0.302347,0.321343,0.337858,0.369800,0.433852,0.561899,0.817727", \ - "0.332149,0.351158,0.367606,0.399408,0.463423,0.591487,0.847303", \ - "0.383166,0.402165,0.418658,0.450505,0.514467,0.642529,0.898337", \ - "0.456811,0.475864,0.492367,0.524205,0.588127,0.716204,0.972033", \ - "0.543325,0.563195,0.579837,0.611565,0.675364,0.803348,1.059131"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012514,0.016542,0.020332,0.027553,0.041853,0.071303,0.132794", \ - "0.012514,0.016542,0.020331,0.027553,0.041854,0.071306,0.132792", \ - "0.012516,0.016545,0.020333,0.027555,0.041852,0.071304,0.132795", \ - "0.012582,0.016597,0.020375,0.027585,0.041870,0.071313,0.132797", \ - "0.012870,0.016843,0.020587,0.027753,0.041988,0.071378,0.132812", \ - "0.013337,0.017228,0.020921,0.028019,0.042169,0.071472,0.132842", \ - "0.014120,0.017879,0.021489,0.028477,0.042491,0.071647,0.132897"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019486,0.029673,0.042731,0.072044,0.132876,0.255170,0.500042", \ - "0.019495,0.029673,0.042732,0.072049,0.132870,0.255169,0.500040", \ - "0.019494,0.029672,0.042733,0.072041,0.132877,0.255166,0.500030", \ - "0.019492,0.029672,0.042733,0.072047,0.132872,0.255165,0.500033", \ - "0.019482,0.029669,0.042732,0.072043,0.132878,0.255173,0.500042", \ - "0.019656,0.029780,0.042784,0.072057,0.132880,0.255161,0.500037", \ - "0.021285,0.030972,0.043411,0.072243,0.132932,0.255191,0.500036"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.115843,0.126147,0.133999,0.146665,0.167774,0.205247,0.276428", \ - "0.121156,0.131457,0.139311,0.151974,0.173081,0.210556,0.281738", \ - "0.136593,0.146889,0.154736,0.167400,0.188515,0.225990,0.297175", \ - "0.162184,0.172508,0.180359,0.193000,0.214125,0.251598,0.322784", \ - "0.191738,0.202104,0.209916,0.222374,0.243526,0.281048,0.352256", \ - "0.220721,0.231216,0.239107,0.251273,0.272445,0.310022,0.381268", \ - "0.245742,0.256481,0.264534,0.276997,0.298341,0.335970,0.407185"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.332581,0.352798,0.369608,0.401407,0.465235,0.593253,0.849016", \ - "0.333886,0.354107,0.370908,0.402749,0.466553,0.594534,0.850317", \ - "0.344952,0.365160,0.381954,0.413773,0.477655,0.605596,0.861384", \ - "0.373447,0.393659,0.410400,0.442135,0.505954,0.633896,0.889673", \ - "0.422865,0.443069,0.459852,0.491617,0.555426,0.683357,0.939141", \ - "0.495706,0.515900,0.532684,0.564528,0.628329,0.756289,1.012064", \ - "0.587421,0.608151,0.625057,0.656857,0.720496,0.848351,1.104111"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012515,0.016543,0.020332,0.027553,0.041853,0.071304,0.132792", \ - "0.012513,0.016542,0.020331,0.027554,0.041854,0.071305,0.132792", \ - "0.012516,0.016545,0.020333,0.027555,0.041852,0.071302,0.132795", \ - "0.012582,0.016598,0.020375,0.027584,0.041870,0.071310,0.132795", \ - "0.012869,0.016840,0.020585,0.027753,0.041988,0.071379,0.132814", \ - "0.013337,0.017226,0.020919,0.028017,0.042170,0.071470,0.132842", \ - "0.014119,0.017877,0.021488,0.028476,0.042489,0.071644,0.132895"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021684,0.031478,0.043766,0.072408,0.133016,0.255250,0.500081", \ - "0.021683,0.031479,0.043768,0.072411,0.133007,0.255253,0.500076", \ - "0.021676,0.031480,0.043768,0.072411,0.133002,0.255247,0.500074", \ - "0.021674,0.031478,0.043767,0.072409,0.133002,0.255248,0.500077", \ - "0.021666,0.031471,0.043762,0.072402,0.133001,0.255245,0.500075", \ - "0.021631,0.031439,0.043746,0.072400,0.133013,0.255257,0.500080", \ - "0.022725,0.032306,0.044231,0.072542,0.133040,0.255258,0.500090"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.120770,0.131168,0.139067,0.151778,0.172919,0.210403,0.281592", \ - "0.126085,0.136482,0.144381,0.157094,0.178231,0.215714,0.286904", \ - "0.141519,0.151915,0.159811,0.172522,0.193664,0.231146,0.302337", \ - "0.167398,0.177813,0.185710,0.198427,0.219576,0.257064,0.328254", \ - "0.197925,0.208430,0.216347,0.228890,0.250101,0.287640,0.358822", \ - "0.228479,0.239165,0.247159,0.259437,0.280694,0.318292,0.389499", \ - "0.255620,0.266632,0.274805,0.287397,0.308846,0.346549,0.417791"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.368460,0.388989,0.405871,0.437673,0.501373,0.629355,0.885135", \ - "0.370019,0.390542,0.407439,0.439278,0.502928,0.630906,0.886689", \ - "0.381197,0.401723,0.418621,0.450426,0.514174,0.642129,0.897890", \ - "0.409161,0.429692,0.446520,0.478166,0.541925,0.669885,0.925641", \ - "0.457744,0.478272,0.495139,0.526799,0.590542,0.718450,0.974219", \ - "0.529710,0.550224,0.567101,0.598932,0.662698,0.790595,1.046342", \ - "0.624161,0.644958,0.661876,0.693618,0.757279,0.885123,1.140865"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012750,0.016736,0.020496,0.027681,0.041941,0.071350,0.132810", \ - "0.012751,0.016737,0.020496,0.027682,0.041941,0.071353,0.132809", \ - "0.012753,0.016739,0.020498,0.027682,0.041941,0.071353,0.132807", \ - "0.012824,0.016796,0.020545,0.027716,0.041962,0.071361,0.132810", \ - "0.013176,0.017089,0.020799,0.027920,0.042104,0.071438,0.132830", \ - "0.013732,0.017558,0.021209,0.028249,0.042331,0.071558,0.132874", \ - "0.014648,0.018332,0.021884,0.028796,0.042718,0.071777,0.132942"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022271,0.031986,0.044067,0.072516,0.133055,0.255274,0.500087", \ - "0.022283,0.031988,0.044068,0.072509,0.133043,0.255273,0.500086", \ - "0.022268,0.031984,0.044069,0.072516,0.133040,0.255277,0.500087", \ - "0.022286,0.031985,0.044066,0.072516,0.133035,0.255278,0.500086", \ - "0.022271,0.031985,0.044067,0.072509,0.133044,0.255277,0.500092", \ - "0.022238,0.031958,0.044051,0.072507,0.133046,0.255276,0.500085", \ - "0.022829,0.032425,0.044301,0.072575,0.133065,0.255269,0.500102"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.680840,8.321471,8.710567,9.094664,9.397401,9.562385,9.652161", \ - "7.668106,8.325343,8.698807,9.086194,9.390627,9.563562,9.645959", \ - "7.610070,8.249650,8.633270,9.041399,9.335419,9.505684,9.591183", \ - "7.589250,8.222881,8.600178,8.979955,9.303630,9.467335,9.553113", \ - "7.642831,8.300832,8.655877,9.038567,9.344001,9.526128,9.613152", \ - "7.909728,8.491401,8.848516,9.244847,9.549790,9.698578,9.788068", \ - "8.218383,8.779137,9.122867,9.560122,9.896574,10.058970,10.121550"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.608990,11.175640,11.498610,11.953830,12.341620,12.391730,12.611290", \ - "10.572510,11.124090,11.470050,11.925070,12.297330,12.394610,12.614430", \ - "10.482950,11.072120,11.431690,11.885750,12.243520,12.343680,12.292530", \ - "10.460860,11.049870,11.406390,11.803520,12.033840,12.223400,12.444180", \ - "10.449320,11.060680,11.368740,11.832300,12.246350,12.208300,12.431410", \ - "10.549040,11.144060,11.484860,11.839260,12.335430,12.320770,12.547350", \ - "10.592630,11.231630,11.548900,12.004150,12.410170,12.508600,12.740890"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.607611,8.246282,8.622818,9.023006,9.328723,9.500171,9.590272", \ - "7.541808,8.243658,8.620636,9.006328,9.319664,9.487695,9.576739", \ - "7.517023,8.170597,8.549585,8.949288,9.249447,9.415316,9.503347", \ - "7.445758,8.113585,8.510693,8.900245,9.205161,9.377123,9.469524", \ - "7.561785,8.173613,8.559009,8.938134,9.247876,9.429177,9.526810", \ - "7.780438,8.388261,8.762376,9.158758,9.462399,9.615910,9.706415", \ - "8.103019,8.664163,9.029179,9.470877,9.800544,9.971269,10.032910"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.413380,10.925970,11.219380,11.654070,12.047960,12.275630,12.234620", \ - "10.377230,10.889920,11.207420,11.640880,11.926950,12.022930,12.252890", \ - "10.292010,10.859570,11.125280,11.490700,11.991660,11.994190,12.222930", \ - "10.274110,10.841630,11.161310,11.472320,11.971540,11.934910,12.164110", \ - "10.283890,10.816990,11.111210,11.548950,11.851980,12.100470,12.057810", \ - "10.375260,10.891070,11.185410,11.554400,11.923750,12.190920,12.151710", \ - "10.463150,11.050440,11.331750,11.798960,12.195890,12.293660,12.528530"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.591548,8.230668,8.620068,9.014389,9.326739,9.500631,9.584934", \ - "7.588820,8.221600,8.607753,9.002896,9.310933,9.487744,9.574535", \ - "7.514419,8.146032,8.537535,8.936065,9.245151,9.413650,9.504075", \ - "7.502800,8.101236,8.477898,8.892773,9.209260,9.387664,9.475297", \ - "7.591953,8.187426,8.555915,8.933515,9.256077,9.439770,9.538122", \ - "7.800829,8.379710,8.765355,9.159673,9.472120,9.625122,9.727996", \ - "8.087937,8.696244,9.047491,9.483493,9.822046,9.995679,10.062130"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.976660,11.561130,11.844150,12.305700,12.713150,12.736230,12.988810", \ - "10.960310,11.517880,11.824180,12.283990,12.690810,12.745270,12.997680", \ - "10.898560,11.464730,11.742150,12.134740,12.604630,12.712780,12.965660", \ - "10.888630,11.437660,11.723450,12.126940,12.548100,12.659600,12.913840", \ - "10.899120,11.434960,11.749130,12.213730,12.621130,12.825750,12.807670", \ - "10.937830,11.523930,11.847330,12.173600,12.712560,12.859860,12.842330", \ - "11.065030,11.676750,11.964220,12.377200,12.802620,13.035180,13.020350"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.673988,8.322083,8.699888,9.087289,9.406782,9.563472,9.652811", \ - "7.674048,8.333540,8.706524,9.093241,9.398372,9.571041,9.654112", \ - "7.651540,8.276078,8.664064,9.051554,9.357669,9.529220,9.613593", \ - "7.583307,8.236227,8.624420,9.014749,9.330486,9.498200,9.581701", \ - "7.723261,8.322366,8.666986,9.058328,9.367593,9.539135,9.633767", \ - "7.898985,8.488064,8.861095,9.253131,9.558014,9.713164,9.802679", \ - "8.163422,8.733052,9.123591,9.543911,9.878130,10.043690,10.104720"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.417830,11.030450,11.348920,11.775470,12.129350,12.449090,12.700460", \ - "10.416350,10.993570,11.300680,11.793810,12.150200,12.500100,12.478280", \ - "10.367560,10.904990,11.244210,11.738340,12.091760,12.558850,12.537640", \ - "10.323690,10.917280,11.243330,11.612780,12.101520,12.530130,12.510260", \ - "10.301010,10.895280,11.237800,11.606790,12.168960,12.341920,12.593950", \ - "10.395550,10.988360,11.267380,11.798350,12.253400,12.465230,12.446290", \ - "10.497470,11.135290,11.472040,11.875210,12.365020,12.694340,12.681130"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.597168,8.247096,8.622732,9.022599,9.328791,9.497324,9.583037", \ - "7.588261,8.209096,8.627972,9.009700,9.322801,9.492217,9.584599", \ - "7.546874,8.177008,8.562779,8.959870,9.272719,9.445463,9.528297", \ - "7.530837,8.124501,8.531043,8.930443,9.240475,9.402700,9.497605", \ - "7.589830,8.197537,8.589704,8.956201,9.270021,9.459703,9.544633", \ - "7.785428,8.396866,8.770482,9.157994,9.464918,9.623630,9.713233", \ - "8.103053,8.663020,9.026315,9.457484,9.785838,9.957508,10.020090"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.294730,10.870790,11.177580,11.570960,12.034310,12.383700,12.368130", \ - "10.247980,10.828400,11.146950,11.470390,11.803850,12.178910,12.434770", \ - "10.218170,10.796640,11.087220,11.433400,11.855500,12.254960,12.263920", \ - "10.215750,10.739590,11.029360,11.487840,11.917090,12.267200,12.253320", \ - "10.177310,10.757050,11.033100,11.493160,11.958000,12.221920,12.207720", \ - "10.284060,10.808230,11.114730,11.508580,11.976380,12.321550,12.307710", \ - "10.390290,11.000630,11.301860,11.707520,12.183080,12.500350,12.489660"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.600944,8.238252,8.621629,9.015278,9.326219,9.502308,9.589569", \ - "7.595939,8.234679,8.619946,9.009283,9.325313,9.490457,9.583291", \ - "7.506526,8.156388,8.561076,8.961747,9.271724,9.435088,9.527474", \ - "7.526013,8.118801,8.530664,8.919303,9.232328,9.414897,9.502178", \ - "7.626957,8.200368,8.578846,8.944553,9.275105,9.458629,9.554369", \ - "7.805119,8.386128,8.766004,9.165955,9.483790,9.640247,9.732912", \ - "8.081296,8.654455,9.050488,9.475339,9.811574,9.989765,10.049100"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.935530,11.502680,11.776380,12.259560,12.766670,13.032420,13.042130", \ - "10.880470,11.477000,11.733930,12.228010,12.575100,12.813290,13.096640", \ - "10.847050,11.428620,11.695100,12.110190,12.524830,12.971020,12.898880", \ - "10.795730,11.401800,11.683340,12.070640,12.551040,12.908070,12.920120", \ - "10.818510,11.392310,11.708430,12.065060,12.500650,12.867860,12.878460", \ - "10.840840,11.438090,11.710740,12.195830,12.659670,12.939970,12.950140", \ - "11.027910,11.626970,11.883430,12.371560,12.789370,13.210070,13.222970"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.624177,8.310921,8.694386,9.088590,9.393592,9.560806,9.651103", \ - "7.666453,8.313634,8.695292,9.093296,9.396521,9.562061,9.654569", \ - "7.637817,8.260908,8.644538,9.047810,9.360494,9.522506,9.613610", \ - "7.584226,8.221796,8.608149,9.011872,9.331798,9.495823,9.590268", \ - "7.686721,8.289609,8.670758,9.054858,9.370462,9.551233,9.641157", \ - "7.888529,8.466297,8.844154,9.260820,9.571452,9.719788,9.817409", \ - "8.160062,8.744637,9.104532,9.561465,9.895715,10.076190,10.132920"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.043150,11.597690,11.926610,12.444870,12.842600,13.346930,13.351420", \ - "11.030250,11.584630,11.939250,12.352990,12.850920,13.115830,13.392040", \ - "10.979160,11.533530,11.882260,12.263520,12.795210,13.174700,13.179620", \ - "10.941580,11.496150,11.824630,12.288250,12.815230,13.151250,13.156190", \ - "10.921940,11.476140,11.831560,12.290460,12.816410,12.962230,13.241170", \ - "10.960060,11.571680,11.903480,12.427940,12.951180,13.051940,13.329660", \ - "11.145500,11.721520,12.067810,12.599270,13.133810,13.343690,13.352580"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.600944,8.238252,8.621629,9.015278,9.326219,9.502308,9.589569", \ - "7.595939,8.234679,8.619946,9.009283,9.325313,9.490457,9.583291", \ - "7.506526,8.156388,8.561076,8.961747,9.271724,9.435088,9.527474", \ - "7.526013,8.118801,8.530664,8.919303,9.232328,9.414897,9.502178", \ - "7.626957,8.200368,8.578846,8.944553,9.275105,9.458629,9.554369", \ - "7.805119,8.386128,8.766004,9.165955,9.483790,9.640247,9.732912", \ - "8.081296,8.654455,9.050488,9.475339,9.811574,9.989765,10.049100"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.935530,11.502680,11.776380,12.259560,12.766670,13.032420,13.042130", \ - "10.880470,11.477000,11.733930,12.228010,12.575100,12.813290,13.096640", \ - "10.847050,11.428620,11.695100,12.110190,12.524830,12.971020,12.898880", \ - "10.795730,11.401800,11.683340,12.070640,12.551040,12.908070,12.920120", \ - "10.818510,11.392310,11.708430,12.065060,12.500650,12.867860,12.878460", \ - "10.840840,11.438090,11.710740,12.195830,12.659670,12.939970,12.950140", \ - "11.027910,11.626970,11.883430,12.371560,12.789370,13.210070,13.222970"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.597721,8.233984,8.605836,9.012028,9.330451,9.491807,9.587655", \ - "7.594795,8.222993,8.609810,9.005851,9.321553,9.489915,9.580445", \ - "7.517336,8.181076,8.549589,8.950360,9.266398,9.434114,9.526909", \ - "7.505833,8.145940,8.523212,8.913034,9.234906,9.406053,9.502147", \ - "7.624572,8.206755,8.568723,8.948615,9.284039,9.464808,9.560645", \ - "7.786504,8.383568,8.766762,9.179903,9.489548,9.652972,9.752422", \ - "8.137190,8.688420,9.067850,9.476101,9.839324,10.013560,10.081680"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.532770,12.146650,12.468020,12.839070,13.301390,13.694470,13.729300", \ - "11.523030,12.081080,12.415860,12.853980,13.359230,13.737430,13.773450", \ - "11.430190,12.045560,12.377620,12.817260,13.241630,13.539430,13.845410", \ - "11.443200,12.027800,12.337480,12.761670,13.311580,13.565720,13.597440", \ - "11.413490,12.027660,12.348490,12.795610,13.210340,13.526500,13.835790", \ - "11.479220,12.061090,12.348610,12.788090,13.332190,13.579640,13.889240", \ - "11.626910,12.256310,12.560030,12.998170,13.542430,13.728810,14.038780"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.921680,8.580877,8.986732,9.427285,9.783646,9.996560,10.131910", \ - "7.931031,8.552369,8.943631,9.394358,9.750047,9.971688,10.106050", \ - "7.851804,8.521202,8.925032,9.352601,9.711191,9.923407,10.060420", \ - "7.861909,8.506974,8.918852,9.326638,9.692362,9.904123,10.040540", \ - "7.984323,8.560615,8.970140,9.399023,9.753895,9.972560,10.115530", \ - "8.190858,8.759464,9.142816,9.591891,9.934393,10.135720,10.275570", \ - "8.503564,9.078127,9.436971,9.876910,10.248930,10.459130,10.555990"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.281530,11.824830,12.138280,12.642990,13.154720,13.262420,13.513390", \ - "11.249200,11.787080,12.134980,12.574030,13.083960,13.224430,13.474530", \ - "11.179630,11.717050,12.020570,12.525810,12.923970,13.153510,13.404140", \ - "11.126860,11.676280,12.023470,12.401600,12.910900,13.110380,13.362240", \ - "11.077140,11.671320,11.969700,12.422530,12.871180,13.127840,13.379480", \ - "11.144190,11.682520,11.988380,12.501180,13.011150,13.275100,13.433740", \ - "11.153670,11.735480,12.083430,12.534810,13.060120,13.276180,13.532820"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.771316,8.444011,8.821196,9.295826,9.657297,9.885239,10.035020", \ - "7.752091,8.411018,8.792847,9.263024,9.636790,9.857701,10.007160", \ - "7.700918,8.368062,8.785170,9.204977,9.586503,9.813878,9.958058", \ - "7.714629,8.349633,8.773910,9.194022,9.566872,9.791236,9.936961", \ - "7.853358,8.428936,8.829590,9.272007,9.638021,9.863001,10.002270", \ - "8.087042,8.655668,9.027140,9.468605,9.809704,10.022070,10.167310", \ - "8.367513,8.936553,9.319253,9.760156,10.143210,10.355590,10.451140"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.140910,11.683800,11.954090,12.350430,12.838120,13.196310,13.180830", \ - "11.106980,11.658060,11.955740,12.293820,12.781500,13.170300,13.155260", \ - "11.040100,11.563870,11.873500,12.277120,12.633390,12.857640,13.115220", \ - "10.952850,11.532860,11.832430,12.177700,12.665750,12.836690,13.092950", \ - "10.947220,11.526670,11.826920,12.183570,12.671110,12.895680,12.880420", \ - "11.007090,11.531070,11.820540,12.296110,12.685380,13.046430,13.031730", \ - "11.050070,11.622440,11.904930,12.314350,12.809630,12.970310,13.230540"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.798246,8.423445,8.835474,9.287192,9.649431,9.888209,10.037790", \ - "7.771581,8.410075,8.800909,9.263714,9.631939,9.867924,10.008170", \ - "7.700221,8.365838,8.767960,9.219190,9.590531,9.811908,9.951327", \ - "7.727343,8.346306,8.758740,9.204589,9.571013,9.799969,9.941706", \ - "7.795848,8.447268,8.817745,9.259784,9.633046,9.879094,10.014840", \ - "8.086207,8.639394,9.028613,9.470337,9.831359,10.046590,10.199000", \ - "8.405568,8.955575,9.351474,9.785823,10.178350,10.395450,10.501440"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.752950,12.314470,12.594510,13.021380,13.422140,13.850700,13.858870", \ - "11.717630,12.253300,12.588070,13.003400,13.387560,13.810220,13.820320", \ - "11.607430,12.217970,12.499980,12.982120,13.463650,13.761390,13.771820", \ - "11.587620,12.183640,12.479940,12.848190,13.278270,13.745910,13.758170", \ - "11.591410,12.158690,12.441150,12.877550,13.276110,13.545490,13.828100", \ - "11.586190,12.182840,12.427030,12.893470,13.319160,13.688860,13.700260", \ - "11.651450,12.260710,12.571110,13.014950,13.401140,13.766960,13.779740"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.952189,8.574597,8.992449,9.412546,9.778807,9.995192,10.133460", \ - "7.910888,8.571614,8.941696,9.403127,9.752158,9.972922,10.105980", \ - "7.837932,8.533537,8.932242,9.349062,9.716855,9.938840,10.071590", \ - "7.818194,8.515825,8.913776,9.345342,9.699921,9.915607,10.044070", \ - "7.904689,8.500440,8.869768,9.331807,9.690412,9.908315,10.042130", \ - "8.005781,8.622427,8.990850,9.408298,9.763767,9.963901,10.099540", \ - "8.198740,8.788774,9.164493,9.609784,9.953899,10.168650,10.261840"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.776020,12.383440,12.715300,13.101740,13.641030,14.024790,14.028910", \ - "11.784930,12.338320,12.640560,13.161450,13.628580,13.979810,13.983010", \ - "11.700180,12.306820,12.650150,13.055200,13.572750,13.838070,14.114870", \ - "11.717960,12.272510,12.611860,13.024970,13.541060,13.759530,14.036490", \ - "11.715350,12.268750,12.606280,13.080080,13.503030,13.916370,14.043750", \ - "11.705400,12.315360,12.661070,13.093220,13.590240,13.799310,14.076780", \ - "11.802320,12.372840,12.698260,13.226820,13.767490,14.041710,14.050630"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.775611,8.440929,8.830341,9.276844,9.654504,9.889503,10.038370", \ - "7.745810,8.392161,8.826453,9.259307,9.632167,9.869573,10.010810", \ - "7.732605,8.375522,8.792412,9.228712,9.593943,9.819657,9.967123", \ - "7.670386,8.369038,8.726676,9.208552,9.571537,9.797840,9.939487", \ - "7.755428,8.355224,8.758856,9.180681,9.568076,9.788378,9.935468", \ - "7.860128,8.495257,8.851956,9.283207,9.646420,9.856491,10.002520", \ - "8.073667,8.643154,9.026064,9.486575,9.852205,10.063200,10.157800"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.636860,12.240400,12.534430,12.954010,13.347610,13.770090,13.746030", \ - "11.660690,12.224830,12.536490,12.888010,13.270710,13.702360,13.712240", \ - "11.588040,12.184560,12.495760,12.915580,13.295520,13.577190,13.859390", \ - "11.568470,12.165010,12.474040,12.900210,13.278960,13.512470,13.795830", \ - "11.549960,12.153880,12.443450,12.888190,13.262200,13.559180,13.842370", \ - "11.594360,12.171250,12.476440,12.900870,13.268480,13.695370,13.706630", \ - "11.666730,12.219850,12.518420,13.005440,13.517970,13.782890,13.796230"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.780442,8.435402,8.834159,9.290319,9.660427,9.889004,10.038090", \ - "7.783227,8.402126,8.770327,9.261981,9.622996,9.859920,10.011110", \ - "7.714584,8.357603,8.772772,9.230406,9.584199,9.827330,9.974233", \ - "7.697193,8.331185,8.734089,9.203708,9.574825,9.798614,9.934807", \ - "7.728968,8.365345,8.754069,9.201180,9.557632,9.804592,9.944342", \ - "7.897920,8.482073,8.868704,9.300132,9.652250,9.865125,10.009230", \ - "8.079883,8.643239,9.041105,9.474559,9.866123,10.076440,10.179580"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.293320,12.874160,13.187070,13.547250,14.112100,14.400720,14.708090", \ - "12.255270,12.868550,13.165100,13.609280,14.037400,14.363330,14.668620", \ - "12.233170,12.792550,13.122730,13.497340,14.056990,14.504170,14.538180", \ - "12.217530,12.796810,13.109300,13.490070,14.048130,14.438730,14.475410", \ - "12.202970,12.760750,13.095020,13.489490,14.046320,14.219890,14.528240", \ - "12.186240,12.800270,13.065310,13.580790,13.997480,14.346510,14.384370", \ - "12.311430,12.897160,13.198190,13.626190,14.044520,14.356350,14.665920"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.978394,7.602988,7.986890,8.376618,8.678076,8.832354,8.899319", \ - "6.981112,7.602631,7.998837,8.382839,8.689611,8.845230,8.905463", \ - "6.951774,7.582381,7.974029,8.363564,8.665629,8.820003,8.888339", \ - "6.979174,7.585187,7.941211,8.353440,8.651693,8.820271,8.876134", \ - "7.036777,7.669277,8.015455,8.417551,8.733977,8.895891,8.969578", \ - "7.302820,7.863800,8.228018,8.659088,8.971670,9.119014,9.189605", \ - "7.613353,8.176711,8.576932,8.995455,9.352854,9.529494,9.566934"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.678412,10.205020,10.500110,10.879820,11.275640,11.488390,11.445240", \ - "9.587386,10.155530,10.480580,10.793920,11.149530,11.289510,11.519030", \ - "9.509793,10.077950,10.370300,10.749670,11.144350,11.212810,11.441770", \ - "9.539025,10.051820,10.409810,10.714030,11.148280,11.249470,11.478310", \ - "9.638066,10.205980,10.526710,10.851950,11.218740,11.460650,11.417940", \ - "9.975084,10.515380,10.788930,11.237900,11.629420,11.648980,11.883900", \ - "10.290890,10.896760,11.199950,11.647410,11.906710,12.196080,12.161730"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.986887,7.596378,7.990569,8.385842,8.679200,8.831978,8.900712", \ - "6.989796,7.612400,7.997008,8.391589,8.685548,8.845839,8.905794", \ - "6.960697,7.597482,7.981234,8.372241,8.670893,8.824452,8.892269", \ - "7.003812,7.595789,7.955272,8.374436,8.669030,8.831670,8.892686", \ - "7.058536,7.686979,8.049238,8.434605,8.749183,8.909237,8.978302", \ - "7.307136,7.884465,8.244381,8.663234,8.979555,9.123683,9.190221", \ - "7.587817,8.165817,8.564271,8.976086,9.338084,9.507401,9.552733"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.582135,10.106160,10.416140,10.754830,11.246660,11.617980,11.622730", \ - "9.527216,10.052050,10.363640,10.701320,11.059300,11.456460,11.711920", \ - "9.421843,9.996650,10.280440,10.737960,11.227810,11.455460,11.496630", \ - "9.466188,9.989922,10.294330,10.638850,11.130900,11.293470,11.550150", \ - "9.530100,10.096790,10.400800,10.844800,11.336960,11.568600,11.552650", \ - "9.840271,10.360130,10.676630,11.048260,11.533550,11.699510,11.957000", \ - "10.231350,10.818510,11.106490,11.456740,11.957260,12.203390,12.283300"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.971969,7.572570,7.956954,8.367401,8.674254,8.835728,8.898128", \ - "6.971520,7.577768,7.984780,8.376498,8.680407,8.841511,8.899837", \ - "6.977769,7.565476,7.965119,8.359527,8.658814,8.821902,8.891650", \ - "6.996659,7.582808,7.960769,8.363057,8.664589,8.827427,8.896646", \ - "7.070738,7.666518,8.033835,8.426479,8.750218,8.917987,8.997011", \ - "7.335272,7.871571,8.255825,8.664012,8.985630,9.136220,9.220067", \ - "7.614357,8.194225,8.567478,9.004403,9.367609,9.545428,9.594973"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.164540,10.761950,11.073930,11.458680,11.863400,12.263230,12.273450", \ - "10.142500,10.728380,11.019970,11.463200,11.923470,12.076290,12.359160", \ - "10.085880,10.657890,10.922950,11.382800,11.861270,12.073380,12.355330", \ - "10.061890,10.639690,10.933800,11.374160,11.776450,12.221730,12.232930", \ - "10.161130,10.727970,10.995590,11.409420,11.849370,12.266970,12.277880", \ - "10.364840,10.965420,11.246120,11.731200,11.998210,12.405580,12.687550", \ - "10.824220,11.416690,11.705190,12.073750,12.508570,12.940330,12.952270"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.994449,7.610092,7.990520,8.385527,8.680559,8.841204,8.902223", \ - "6.956971,7.604185,7.997092,8.386966,8.694574,8.842731,8.911079", \ - "6.965309,7.587199,7.979983,8.371768,8.669955,8.824149,8.893326", \ - "6.882648,7.558513,7.927937,8.323212,8.623836,8.786957,8.846840", \ - "6.977828,7.551117,7.927129,8.306855,8.624019,8.783657,8.848593", \ - "7.097199,7.669854,8.048697,8.439796,8.742204,8.886635,8.952260", \ - "7.228996,7.865673,8.236644,8.658445,8.993920,9.160303,9.200521"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.186130,10.744890,11.087180,11.452570,11.872030,12.128720,12.032190", \ - "10.140730,10.669940,10.988340,11.449650,11.826610,12.116160,12.097200", \ - "10.066510,10.651730,10.955120,11.348870,11.760240,11.987740,11.969680", \ - "10.088740,10.674090,10.998630,11.430240,11.841280,12.020670,12.002600", \ - "10.221380,10.786360,11.095780,11.569360,11.980620,11.973870,12.226440", \ - "10.492790,11.076650,11.393270,11.724000,12.134340,12.357430,12.338500", \ - "10.872350,11.464530,11.780110,12.131500,12.567940,12.897030,12.882640"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.002951,7.616440,7.994460,8.387585,8.681346,8.834227,8.902299", \ - "6.964687,7.612421,8.000828,8.390885,8.695448,8.842537,8.912171", \ - "6.972652,7.593918,7.985585,8.381356,8.676502,8.831678,8.899450", \ - "6.968973,7.568176,7.944134,8.332404,8.635779,8.792610,8.858151", \ - "6.995272,7.570189,7.945214,8.332789,8.635716,8.797990,8.861386", \ - "7.095947,7.678016,8.060179,8.450571,8.755982,8.894016,8.963683", \ - "7.230573,7.866061,8.234932,8.654721,8.993251,9.160292,9.200006"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.107200,10.705610,10.998660,11.438910,11.859830,12.149410,12.159880", \ - "10.094020,10.658080,10.930870,11.417340,11.923530,12.243990,12.254410", \ - "10.023030,10.619030,10.889530,11.376660,11.747620,12.172680,12.183110", \ - "10.059090,10.627080,10.897530,11.388710,11.752640,12.000040,12.282470", \ - "10.134070,10.729800,10.970770,11.464460,11.839400,12.293650,12.304610", \ - "10.381180,10.966170,11.240730,11.696520,12.008500,12.420660,12.431610", \ - "10.787180,11.384920,11.690350,12.044230,12.496910,12.949670,12.961980"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.995014,7.584601,7.964321,8.369258,8.676537,8.837598,8.900467", \ - "7.002536,7.608669,7.989029,8.378428,8.685461,8.848263,8.909733", \ - "6.947352,7.592648,7.951538,8.362811,8.675624,8.824977,8.896132", \ - "6.912830,7.526461,7.906775,8.328878,8.632721,8.792788,8.859862", \ - "6.959460,7.540449,7.915582,8.319530,8.633457,8.802733,8.863383", \ - "7.075009,7.654914,8.029125,8.447037,8.762720,8.907059,8.973638", \ - "7.291717,7.845204,8.208574,8.640002,9.005053,9.174634,9.222323"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.756580,11.314090,11.661210,12.104040,12.655500,12.803240,13.111650", \ - "10.675540,11.296420,11.625430,12.025130,12.445180,12.887830,13.134390", \ - "10.656800,11.247780,11.540620,11.983740,12.533260,12.810960,12.847520", \ - "10.661320,11.250990,11.580260,12.030170,12.444810,12.932120,12.970140", \ - "10.716110,11.331140,11.649900,12.017650,12.496500,12.991170,13.029210", \ - "10.923580,11.549060,11.845310,12.222340,12.816620,13.138270,13.174780", \ - "11.352480,11.987860,12.264810,12.639810,13.184070,13.508060,13.544660"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI222_X1 - Cell Description : Combinational cell (AOI222_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI222_X1) { - - drive_strength : 1; - - area : 2.128000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 25.239307; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 9.524225; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 23.764487; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 14.064704; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 14.279165; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 23.764582; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 37.996380; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 28.302773; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 19.245290; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 14.064703; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 28.302781; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 18.605754; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 19.243760; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 14.575945; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 19.644594; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 19.643017; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 21.433748; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 23.764582; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 37.996485; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 28.302782; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 19.284382; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 37.996466; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 52.216683; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 42.531057; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 24.284365; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 28.302867; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 42.531066; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 32.841543; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 24.282846; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 19.476339; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 24.545140; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 24.543582; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 26.533357; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 14.064703; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 28.302781; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 18.605848; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 19.282843; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 28.302867; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 42.531066; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 32.841543; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 24.282845; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 18.605847; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 32.841552; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 23.147943; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 24.280432; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 19.474791; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 24.543573; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 24.541141; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 26.532369; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 17.306131; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 22.372139; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 22.370134; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 21.518003; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 22.372177; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 27.438204; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 27.436218; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 26.610573; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 22.370192; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 27.436218; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 27.433321; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 26.609576; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 21.541449; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 26.611428; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 26.610421; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 31.207834; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.585110; - fall_capacitance : 1.370744; - rise_capacitance : 1.585110; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.627354; - fall_capacitance : 1.377423; - rise_capacitance : 1.627354; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.541727; - fall_capacitance : 1.426854; - rise_capacitance : 1.541727; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.577619; - fall_capacitance : 1.408489; - rise_capacitance : 1.577619; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.517113; - fall_capacitance : 1.471542; - rise_capacitance : 1.517113; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.551235; - fall_capacitance : 1.440765; - rise_capacitance : 1.551235; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 12.968270; - function : "!(((A1 & A2) | (B1 & B2)) | (C1 & C2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.019780,0.021217,0.023811,0.028506,0.036979,0.052321,0.080111", \ - "0.024273,0.025734,0.028370,0.033122,0.041649,0.057045,0.084873", \ - "0.039044,0.041013,0.044366,0.049843,0.058553,0.073906,0.101711", \ - "0.052816,0.056004,0.061371,0.070260,0.084063,0.104617,0.134433", \ - "0.061031,0.065604,0.073283,0.086102,0.105991,0.135360,0.177233", \ - "0.062105,0.068230,0.078437,0.095409,0.121964,0.161139,0.216760", \ - "0.054963,0.062690,0.075684,0.097069,0.130568,0.180284,0.250845"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.064420,0.070428,0.081316,0.101027,0.136455,0.200159,0.315229", \ - "0.066018,0.072145,0.083226,0.103290,0.139200,0.203561,0.319129", \ - "0.080518,0.086277,0.096900,0.116482,0.152198,0.216727,0.332873", \ - "0.115907,0.121807,0.131725,0.149498,0.183594,0.246921,0.362210", \ - "0.158279,0.166038,0.179298,0.201769,0.238065,0.298504,0.411910", \ - "0.204584,0.214235,0.230820,0.258727,0.303733,0.373955,0.485218", \ - "0.255512,0.266960,0.286735,0.319894,0.373729,0.457787,0.584648"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012170,0.013397,0.015636,0.019696,0.027072,0.040452,0.064696", \ - "0.012170,0.013402,0.015634,0.019702,0.027065,0.040436,0.064693", \ - "0.017354,0.018207,0.019687,0.022269,0.027995,0.040442,0.064691", \ - "0.030353,0.031532,0.033519,0.036811,0.042149,0.050846,0.067947", \ - "0.047708,0.049371,0.052304,0.056605,0.063458,0.074157,0.090627", \ - "0.069157,0.071322,0.075208,0.081060,0.089857,0.103210,0.123024", \ - "0.094695,0.097459,0.102223,0.109630,0.120865,0.137475,0.161461"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.055412,0.061145,0.071392,0.089502,0.121754,0.179486,0.283820", \ - "0.054874,0.060694,0.071076,0.089328,0.121639,0.179550,0.283807", \ - "0.052853,0.058895,0.069708,0.088534,0.121406,0.179445,0.283817", \ - "0.059443,0.063470,0.071529,0.087535,0.119647,0.179220,0.283807", \ - "0.080120,0.084484,0.092184,0.104310,0.127725,0.178946,0.283653", \ - "0.103184,0.108251,0.117291,0.131969,0.156078,0.196714,0.285509", \ - "0.128913,0.134825,0.145384,0.162469,0.190316,0.234693,0.309064"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.019774,0.021208,0.023802,0.028489,0.036963,0.052296,0.080072", \ - "0.024267,0.025726,0.028363,0.033104,0.041638,0.057026,0.084841", \ - "0.039095,0.041048,0.044406,0.049883,0.058574,0.073929,0.101720", \ - "0.053064,0.056186,0.061572,0.070443,0.084228,0.104734,0.134511", \ - "0.061329,0.065892,0.073614,0.086335,0.106237,0.135549,0.177362", \ - "0.061897,0.068066,0.078320,0.095359,0.121993,0.161285,0.216901", \ - "0.053529,0.061372,0.074539,0.096181,0.129991,0.180006,0.250775"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.071429,0.078928,0.092731,0.117963,0.163759,0.246399,0.395343", \ - "0.072356,0.079924,0.093899,0.119541,0.165953,0.249477,0.399048", \ - "0.086470,0.093480,0.106700,0.131557,0.177644,0.261368,0.411733", \ - "0.123693,0.130061,0.141308,0.163776,0.207699,0.289814,0.439256", \ - "0.170806,0.179442,0.194283,0.219643,0.260868,0.339267,0.486517", \ - "0.222598,0.233320,0.251791,0.283016,0.333879,0.414179,0.556840", \ - "0.279562,0.292239,0.314195,0.351203,0.411615,0.506947,0.653427"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012172,0.013398,0.015632,0.019697,0.027069,0.040437,0.064696", \ - "0.012169,0.013393,0.015635,0.019701,0.027063,0.040442,0.064682", \ - "0.017325,0.018189,0.019670,0.022254,0.028002,0.040444,0.064692", \ - "0.030201,0.031421,0.033401,0.036698,0.042069,0.050780,0.067921", \ - "0.047439,0.049139,0.052098,0.056438,0.063304,0.074001,0.090506", \ - "0.068992,0.071175,0.075108,0.080881,0.089717,0.103083,0.122931", \ - "0.094813,0.097580,0.102420,0.109750,0.120997,0.137524,0.161464"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.069741,0.077581,0.091577,0.115896,0.158393,0.233003,0.366330", \ - "0.068486,0.076488,0.090718,0.115508,0.158178,0.233030,0.366328", \ - "0.065141,0.073340,0.088023,0.113845,0.157500,0.232862,0.366331", \ - "0.068357,0.074369,0.086202,0.109559,0.154764,0.232315,0.366315", \ - "0.089327,0.094663,0.104204,0.120138,0.155563,0.228808,0.366125", \ - "0.113416,0.119405,0.130205,0.148033,0.177916,0.236879,0.363470", \ - "0.140189,0.147042,0.159190,0.179461,0.212951,0.267775,0.374537"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020170,0.021607,0.024207,0.028898,0.037382,0.052733,0.080545", \ - "0.024671,0.026129,0.028769,0.033515,0.042055,0.057463,0.085310", \ - "0.039666,0.041600,0.044910,0.050333,0.058991,0.074360,0.102191", \ - "0.054082,0.057170,0.062470,0.071269,0.084890,0.105284,0.134984", \ - "0.062945,0.067429,0.074970,0.087698,0.107259,0.136418,0.178068", \ - "0.064273,0.070340,0.080607,0.097342,0.123664,0.162589,0.217942", \ - "0.056845,0.064600,0.077657,0.098944,0.132299,0.181876,0.252268"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.097547,0.105323,0.119480,0.145046,0.191113,0.273975,0.423154", \ - "0.099054,0.106934,0.121255,0.147158,0.193707,0.277186,0.426952", \ - "0.111594,0.119210,0.133252,0.158930,0.205518,0.289297,0.439768", \ - "0.145358,0.152212,0.165039,0.189371,0.234682,0.317446,0.467231", \ - "0.199430,0.207265,0.220939,0.244420,0.285780,0.366250,0.514095", \ - "0.258237,0.267949,0.284810,0.313769,0.361659,0.439316,0.583992", \ - "0.321825,0.333347,0.353448,0.387776,0.444688,0.535814,0.679660"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013719,0.015061,0.017448,0.021806,0.029640,0.043704,0.068568", \ - "0.013731,0.015052,0.017463,0.021811,0.029636,0.043699,0.068570", \ - "0.019179,0.020040,0.021429,0.024275,0.030512,0.043703,0.068572", \ - "0.034863,0.035868,0.037558,0.040465,0.045536,0.053987,0.071748", \ - "0.057070,0.058189,0.060322,0.063604,0.069456,0.079065,0.094704", \ - "0.083783,0.085119,0.087735,0.091824,0.098784,0.110250,0.128485", \ - "0.114959,0.116585,0.119635,0.124726,0.133246,0.147096,0.168672"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.085965,0.093587,0.107320,0.131026,0.173033,0.247777,0.381635", \ - "0.085356,0.093093,0.106995,0.130797,0.172942,0.247762,0.381636", \ - "0.083148,0.091110,0.105425,0.129865,0.172664,0.247680,0.381647", \ - "0.080167,0.087394,0.100928,0.126290,0.171112,0.247412,0.381646", \ - "0.095619,0.100425,0.110159,0.129670,0.168731,0.245088,0.381552", \ - "0.119503,0.125577,0.136484,0.154410,0.184937,0.248743,0.379389", \ - "0.146692,0.153600,0.165864,0.186103,0.219606,0.274831,0.386737"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.019782,0.021215,0.023808,0.028503,0.036971,0.052304,0.080075", \ - "0.024316,0.025771,0.028409,0.033158,0.041681,0.057073,0.084887", \ - "0.039204,0.041163,0.044510,0.049974,0.058658,0.074009,0.101804", \ - "0.053112,0.056297,0.061663,0.070519,0.084281,0.104801,0.134574", \ - "0.061225,0.065822,0.073455,0.086308,0.106235,0.135581,0.177412", \ - "0.061644,0.067837,0.078143,0.095263,0.121939,0.161226,0.216886", \ - "0.053196,0.061063,0.074269,0.095960,0.129829,0.179906,0.250721"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.081188,0.088990,0.103069,0.128506,0.173957,0.255954,0.403735", \ - "0.081569,0.089532,0.103944,0.129758,0.175992,0.258802,0.407224", \ - "0.094050,0.101624,0.115538,0.140978,0.187096,0.270119,0.419364", \ - "0.129092,0.135518,0.147805,0.171727,0.216383,0.298087,0.446405", \ - "0.175827,0.184671,0.199848,0.225693,0.267827,0.347214,0.493410", \ - "0.226932,0.237821,0.256606,0.288244,0.339752,0.420745,0.563725", \ - "0.283218,0.296045,0.318246,0.355665,0.416673,0.512731,0.660035"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012173,0.013396,0.015640,0.019700,0.027074,0.040445,0.064695", \ - "0.012168,0.013394,0.015635,0.019697,0.027067,0.040451,0.064696", \ - "0.017277,0.018137,0.019621,0.022218,0.027970,0.040438,0.064686", \ - "0.030170,0.031347,0.033361,0.036632,0.042020,0.050733,0.067905", \ - "0.047482,0.049160,0.052124,0.056449,0.063298,0.074007,0.090510", \ - "0.069210,0.071350,0.075236,0.081014,0.089813,0.103126,0.122933", \ - "0.095201,0.097955,0.102691,0.110008,0.121195,0.137620,0.161520"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.072234,0.079424,0.092443,0.115188,0.155869,0.229288,0.361627", \ - "0.071700,0.079011,0.092197,0.115074,0.155845,0.229352,0.361617", \ - "0.069617,0.077283,0.090947,0.114509,0.155720,0.229262,0.361618", \ - "0.071249,0.077376,0.089075,0.111707,0.154723,0.229134,0.361612", \ - "0.090769,0.096195,0.105381,0.121645,0.156215,0.227398,0.361601", \ - "0.114139,0.120212,0.131081,0.148936,0.178519,0.236229,0.360403", \ - "0.140486,0.147378,0.159624,0.179931,0.213453,0.267691,0.372366"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.019769,0.021205,0.023797,0.028487,0.036951,0.052273,0.080035", \ - "0.024305,0.025763,0.028396,0.033137,0.041661,0.057040,0.084841", \ - "0.039228,0.041185,0.044531,0.049992,0.058666,0.074008,0.101785", \ - "0.053290,0.056409,0.061816,0.070655,0.084391,0.104865,0.134600", \ - "0.061485,0.066082,0.073773,0.086585,0.106406,0.135725,0.177485", \ - "0.061545,0.067769,0.078088,0.095259,0.121985,0.161320,0.216982", \ - "0.052088,0.060044,0.073389,0.095290,0.129377,0.179675,0.250639"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.089479,0.098939,0.116153,0.147339,0.203540,0.304503,0.486622", \ - "0.089025,0.098637,0.116217,0.148008,0.205047,0.306941,0.489948", \ - "0.100859,0.109926,0.126770,0.157956,0.214818,0.317210,0.501068", \ - "0.135982,0.143721,0.158620,0.187771,0.242840,0.343721,0.526529", \ - "0.187454,0.197173,0.213965,0.242616,0.292772,0.391010,0.571457", \ - "0.243523,0.255444,0.276045,0.310966,0.368242,0.462121,0.639354", \ - "0.305213,0.319218,0.343511,0.384617,0.452056,0.559181,0.732515"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012170,0.013394,0.015636,0.019697,0.027077,0.040439,0.064680", \ - "0.012168,0.013393,0.015635,0.019698,0.027065,0.040438,0.064676", \ - "0.017257,0.018126,0.019607,0.022215,0.027971,0.040436,0.064685", \ - "0.030072,0.031276,0.033274,0.036583,0.041958,0.050705,0.067881", \ - "0.047279,0.048963,0.051964,0.056305,0.063195,0.073909,0.090434", \ - "0.069011,0.071189,0.075095,0.080847,0.089686,0.103031,0.122869", \ - "0.095202,0.097965,0.102767,0.110063,0.121200,0.137656,0.161514"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.088225,0.097445,0.113919,0.142668,0.193030,0.282836,0.444090", \ - "0.087076,0.096518,0.113291,0.142485,0.192969,0.282885,0.444091", \ - "0.083802,0.093668,0.111131,0.141293,0.192609,0.282788,0.444091", \ - "0.082547,0.090861,0.106562,0.136738,0.191111,0.282637,0.444088", \ - "0.100636,0.106765,0.117936,0.141023,0.188033,0.281035,0.444089", \ - "0.124309,0.131361,0.144201,0.165747,0.202934,0.281714,0.443334", \ - "0.151402,0.159274,0.173337,0.196897,0.236542,0.304089,0.445146"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020169,0.021605,0.024204,0.028891,0.037368,0.052714,0.080502", \ - "0.024708,0.026167,0.028804,0.033546,0.042080,0.057480,0.085312", \ - "0.039799,0.041729,0.045030,0.050446,0.059081,0.074443,0.102265", \ - "0.054300,0.057365,0.062709,0.071444,0.085063,0.105421,0.135080", \ - "0.063108,0.067591,0.075270,0.087800,0.107475,0.136589,0.178193", \ - "0.063939,0.070061,0.080276,0.097161,0.123568,0.162648,0.218025", \ - "0.055445,0.063302,0.076537,0.098130,0.131715,0.181562,0.252141"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.122535,0.132150,0.149575,0.180997,0.237353,0.338425,0.520828", \ - "0.123030,0.132797,0.150484,0.182313,0.239224,0.341119,0.524255", \ - "0.133457,0.143014,0.160479,0.192102,0.249230,0.351631,0.535569", \ - "0.164534,0.173441,0.189907,0.220508,0.276481,0.377704,0.560937", \ - "0.219913,0.228782,0.244371,0.271601,0.325025,0.424373,0.605438", \ - "0.283485,0.294336,0.313213,0.345658,0.399913,0.494516,0.672839", \ - "0.352278,0.365053,0.387417,0.425658,0.489258,0.592016,0.765399"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013727,0.015054,0.017452,0.021804,0.029645,0.043703,0.068568", \ - "0.013729,0.015048,0.017464,0.021799,0.029638,0.043708,0.068571", \ - "0.019103,0.019970,0.021353,0.024232,0.030493,0.043701,0.068570", \ - "0.034669,0.035685,0.037392,0.040346,0.045421,0.053922,0.071712", \ - "0.056898,0.058026,0.060077,0.063513,0.069275,0.078951,0.094630", \ - "0.083823,0.085134,0.087683,0.091865,0.098777,0.110214,0.128439", \ - "0.115441,0.117037,0.120031,0.125038,0.133505,0.147232,0.168727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.107085,0.116033,0.132245,0.160569,0.211005,0.301080,0.462998", \ - "0.106657,0.115703,0.132032,0.160487,0.210869,0.301091,0.462999", \ - "0.105014,0.114329,0.131074,0.159891,0.210707,0.301070,0.462998", \ - "0.100233,0.109534,0.127135,0.157789,0.210083,0.301096,0.463013", \ - "0.108659,0.115814,0.129646,0.155993,0.206096,0.300307,0.463010", \ - "0.132722,0.139927,0.152971,0.173968,0.215053,0.298491,0.462700", \ - "0.160031,0.167962,0.182059,0.205783,0.245704,0.315910,0.462389"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020177,0.021614,0.024215,0.028905,0.037388,0.052744,0.080550", \ - "0.024714,0.026175,0.028815,0.033560,0.042101,0.057510,0.085356", \ - "0.039758,0.041702,0.045007,0.050426,0.059071,0.074446,0.102281", \ - "0.054105,0.057233,0.062543,0.071337,0.084959,0.105348,0.135044", \ - "0.062821,0.067339,0.074876,0.087578,0.107280,0.136445,0.178102", \ - "0.064014,0.070122,0.080279,0.097136,0.123503,0.162548,0.217941", \ - "0.056527,0.064287,0.077365,0.098737,0.132145,0.181779,0.252216"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.107954,0.115800,0.129997,0.155413,0.201096,0.283268,0.431319", \ - "0.109179,0.117143,0.131577,0.157351,0.203606,0.286331,0.434911", \ - "0.120704,0.128532,0.142730,0.168469,0.214708,0.297880,0.447196", \ - "0.152398,0.159689,0.173105,0.197979,0.243298,0.325541,0.474128", \ - "0.205136,0.213096,0.227058,0.250654,0.293502,0.373888,0.520765", \ - "0.263149,0.273009,0.290091,0.319356,0.367782,0.446409,0.590639", \ - "0.325990,0.337637,0.357962,0.392624,0.450027,0.541785,0.686317"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013719,0.015047,0.017459,0.021801,0.029644,0.043703,0.068568", \ - "0.013727,0.015051,0.017468,0.021807,0.029646,0.043701,0.068574", \ - "0.019111,0.019966,0.021378,0.024241,0.030505,0.043702,0.068572", \ - "0.034763,0.035782,0.037487,0.040424,0.045497,0.053955,0.071731", \ - "0.057141,0.058258,0.060337,0.063689,0.069403,0.079050,0.094700", \ - "0.084037,0.085350,0.087876,0.092006,0.098859,0.110340,0.128509", \ - "0.115390,0.116995,0.119982,0.125002,0.133437,0.147212,0.168720"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.086227,0.093382,0.106372,0.129085,0.170117,0.243907,0.376825", \ - "0.086066,0.093248,0.106287,0.129104,0.170141,0.243907,0.376821", \ - "0.085220,0.092585,0.105827,0.128829,0.169962,0.243904,0.376826", \ - "0.082811,0.089902,0.103011,0.127400,0.169554,0.243851,0.376813", \ - "0.096597,0.101606,0.111603,0.130847,0.168583,0.242984,0.376817", \ - "0.120271,0.126337,0.137281,0.155169,0.185351,0.247472,0.376068", \ - "0.147047,0.153957,0.166348,0.186511,0.219951,0.274522,0.384192"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020169,0.021605,0.024204,0.028891,0.037368,0.052714,0.080502", \ - "0.024708,0.026167,0.028804,0.033546,0.042080,0.057480,0.085312", \ - "0.039799,0.041729,0.045030,0.050446,0.059081,0.074443,0.102265", \ - "0.054300,0.057365,0.062709,0.071444,0.085063,0.105421,0.135080", \ - "0.063108,0.067591,0.075270,0.087800,0.107475,0.136589,0.178193", \ - "0.063939,0.070061,0.080276,0.097161,0.123568,0.162648,0.218025", \ - "0.055445,0.063302,0.076537,0.098130,0.131715,0.181562,0.252141"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.122535,0.132150,0.149575,0.180997,0.237353,0.338425,0.520828", \ - "0.123030,0.132797,0.150484,0.182313,0.239224,0.341119,0.524255", \ - "0.133457,0.143014,0.160479,0.192102,0.249230,0.351631,0.535569", \ - "0.164534,0.173441,0.189907,0.220508,0.276481,0.377704,0.560937", \ - "0.219913,0.228782,0.244371,0.271601,0.325025,0.424373,0.605438", \ - "0.283485,0.294336,0.313213,0.345658,0.399913,0.494516,0.672839", \ - "0.352278,0.365053,0.387417,0.425658,0.489258,0.592016,0.765399"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013727,0.015054,0.017452,0.021804,0.029645,0.043703,0.068568", \ - "0.013729,0.015048,0.017464,0.021799,0.029638,0.043708,0.068571", \ - "0.019103,0.019970,0.021353,0.024232,0.030493,0.043701,0.068570", \ - "0.034669,0.035685,0.037392,0.040346,0.045421,0.053922,0.071712", \ - "0.056898,0.058026,0.060077,0.063513,0.069275,0.078951,0.094630", \ - "0.083823,0.085134,0.087683,0.091865,0.098777,0.110214,0.128439", \ - "0.115441,0.117037,0.120031,0.125038,0.133505,0.147232,0.168727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.107085,0.116033,0.132245,0.160569,0.211005,0.301080,0.462998", \ - "0.106657,0.115703,0.132032,0.160487,0.210869,0.301091,0.462999", \ - "0.105014,0.114329,0.131074,0.159891,0.210707,0.301070,0.462998", \ - "0.100233,0.109534,0.127135,0.157789,0.210083,0.301096,0.463013", \ - "0.108659,0.115814,0.129646,0.155993,0.206096,0.300307,0.463010", \ - "0.132722,0.139927,0.152971,0.173968,0.215053,0.298491,0.462700", \ - "0.160031,0.167962,0.182059,0.205783,0.245704,0.315910,0.462389"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020570,0.022007,0.024603,0.029295,0.037786,0.053151,0.080976", \ - "0.025111,0.026572,0.029208,0.033952,0.042502,0.057917,0.085787", \ - "0.040360,0.042256,0.045515,0.050884,0.059498,0.074876,0.102738", \ - "0.055291,0.058346,0.063559,0.072196,0.085710,0.105961,0.135553", \ - "0.064714,0.069104,0.076504,0.088991,0.108471,0.137429,0.178878", \ - "0.066263,0.072336,0.082395,0.099035,0.125157,0.163898,0.219036", \ - "0.058745,0.066560,0.079764,0.100841,0.133966,0.183409,0.253592"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.156094,0.165755,0.183173,0.214725,0.271204,0.372461,0.555071", \ - "0.157337,0.167099,0.184717,0.216486,0.273509,0.375333,0.558611", \ - "0.167392,0.177091,0.194649,0.226516,0.283608,0.386052,0.570053", \ - "0.196518,0.205844,0.222780,0.253974,0.310209,0.411876,0.595353", \ - "0.249638,0.257930,0.273609,0.303190,0.358009,0.457924,0.639492", \ - "0.320184,0.330255,0.347842,0.378492,0.430218,0.527347,0.706439", \ - "0.395608,0.407459,0.428302,0.464258,0.524816,0.623810,0.798410"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.016315,0.017761,0.020394,0.025085,0.033381,0.047782,0.072703", \ - "0.016323,0.017770,0.020388,0.025081,0.033387,0.047789,0.072699", \ - "0.022145,0.022759,0.024179,0.027432,0.034206,0.047786,0.072704", \ - "0.041609,0.042257,0.043403,0.045634,0.049953,0.057841,0.075787", \ - "0.066713,0.067420,0.068956,0.071226,0.075895,0.084293,0.098929", \ - "0.097035,0.097801,0.099424,0.102256,0.107560,0.117364,0.133980", \ - "0.132828,0.133637,0.135394,0.138774,0.145106,0.156510,0.175851"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.124718,0.133626,0.149898,0.178341,0.228894,0.319471,0.481967", \ - "0.124578,0.133512,0.149817,0.178180,0.228921,0.319440,0.481970", \ - "0.123895,0.132956,0.149419,0.178032,0.228741,0.319429,0.481962", \ - "0.120888,0.130407,0.147545,0.177043,0.228393,0.319392,0.481974", \ - "0.121351,0.129616,0.145011,0.173085,0.225843,0.319111,0.481972", \ - "0.142313,0.149628,0.161885,0.184429,0.229352,0.316315,0.481861", \ - "0.169788,0.177686,0.191840,0.215341,0.254800,0.329213,0.480348"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022495,0.023926,0.026515,0.031201,0.039669,0.055002,0.082779", \ - "0.027597,0.029051,0.031677,0.036403,0.044923,0.060302,0.088123", \ - "0.041616,0.043348,0.046369,0.051566,0.060305,0.075815,0.103746", \ - "0.058528,0.061064,0.065409,0.072626,0.084237,0.102748,0.132431", \ - "0.072538,0.076402,0.082791,0.093388,0.109792,0.134274,0.170854", \ - "0.080623,0.086100,0.094729,0.109646,0.132538,0.165715,0.212473", \ - "0.081119,0.088354,0.100058,0.119290,0.149556,0.193140,0.253412"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.082783,0.088785,0.099676,0.119324,0.154683,0.218491,0.333663", \ - "0.085021,0.091119,0.102162,0.121938,0.157622,0.221696,0.337095", \ - "0.099453,0.105422,0.116335,0.136096,0.171758,0.236013,0.351756", \ - "0.134187,0.139606,0.149578,0.168461,0.203307,0.266807,0.382002", \ - "0.183328,0.190301,0.202314,0.222959,0.256802,0.318389,0.431968", \ - "0.236159,0.244855,0.259994,0.285586,0.327664,0.394538,0.505395", \ - "0.293380,0.303744,0.321791,0.352449,0.402849,0.482808,0.605412"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012170,0.013403,0.015642,0.019704,0.027077,0.040442,0.064689", \ - "0.012172,0.013403,0.015642,0.019703,0.027075,0.040448,0.064696", \ - "0.014371,0.015451,0.017358,0.020802,0.027492,0.040442,0.064696", \ - "0.021702,0.022775,0.024692,0.028132,0.034325,0.045551,0.066452", \ - "0.034367,0.035558,0.037686,0.041186,0.047215,0.058001,0.077772", \ - "0.051413,0.052893,0.055614,0.059733,0.066308,0.077167,0.096215", \ - "0.072152,0.074020,0.077385,0.082630,0.090490,0.102641,0.121979"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.066372,0.071985,0.082200,0.100246,0.132547,0.190692,0.295477", \ - "0.066129,0.071769,0.082089,0.100133,0.132575,0.190738,0.295463", \ - "0.065052,0.070857,0.081396,0.099796,0.132423,0.190641,0.295471", \ - "0.065769,0.070766,0.080176,0.097935,0.131491,0.190539,0.295486", \ - "0.083894,0.088121,0.095739,0.108693,0.135312,0.189458,0.295346", \ - "0.106819,0.111876,0.121006,0.135806,0.160053,0.203285,0.295860", \ - "0.132024,0.138021,0.148674,0.166209,0.194225,0.238842,0.316068"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022486,0.023916,0.026504,0.031184,0.039656,0.054977,0.082745", \ - "0.027591,0.029045,0.031668,0.036392,0.044906,0.060283,0.088091", \ - "0.041664,0.043393,0.046410,0.051600,0.060334,0.075834,0.103748", \ - "0.058730,0.061265,0.065577,0.072779,0.084381,0.102861,0.132514", \ - "0.072900,0.076784,0.083136,0.093705,0.110078,0.134496,0.171004", \ - "0.080897,0.086389,0.095086,0.110007,0.132867,0.165991,0.212713", \ - "0.080901,0.088155,0.100004,0.119309,0.149647,0.193346,0.253575"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.094910,0.102583,0.116546,0.141870,0.187783,0.270367,0.419379", \ - "0.096510,0.104267,0.118375,0.143998,0.190091,0.273123,0.422517", \ - "0.110251,0.117805,0.131705,0.157106,0.203295,0.286606,0.436298", \ - "0.144784,0.151620,0.164292,0.188475,0.233552,0.315972,0.465054", \ - "0.198959,0.206816,0.220400,0.243896,0.285187,0.365382,0.512848", \ - "0.258018,0.267731,0.284648,0.313511,0.361319,0.438938,0.583256", \ - "0.321914,0.333448,0.353581,0.387873,0.444718,0.535681,0.679371"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012170,0.013400,0.015641,0.019702,0.027069,0.040439,0.064688", \ - "0.012171,0.013397,0.015639,0.019701,0.027075,0.040442,0.064697", \ - "0.014361,0.015438,0.017346,0.020795,0.027489,0.040437,0.064694", \ - "0.021629,0.022689,0.024630,0.028075,0.034282,0.045520,0.066437", \ - "0.034174,0.035383,0.037483,0.041027,0.047081,0.057905,0.077722", \ - "0.051151,0.052638,0.055384,0.059506,0.066088,0.076982,0.096121", \ - "0.071959,0.073834,0.077239,0.082498,0.090349,0.102449,0.121830"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.085657,0.093221,0.106993,0.130860,0.173068,0.247756,0.381636", \ - "0.084991,0.092660,0.106582,0.130686,0.172869,0.247733,0.381633", \ - "0.082868,0.090788,0.105100,0.129730,0.172518,0.247724,0.381620", \ - "0.080231,0.087409,0.100915,0.126141,0.171013,0.247429,0.381623", \ - "0.095415,0.100292,0.110158,0.129789,0.168809,0.244994,0.381529", \ - "0.119025,0.125025,0.135968,0.154115,0.184937,0.248781,0.379352", \ - "0.145385,0.152325,0.164715,0.185393,0.219110,0.274725,0.386800"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022887,0.024318,0.026907,0.031589,0.040068,0.055415,0.083220", \ - "0.027993,0.029449,0.032079,0.036804,0.045324,0.060716,0.088564", \ - "0.042150,0.043870,0.046864,0.052037,0.060754,0.076270,0.104222", \ - "0.059470,0.061981,0.066249,0.073381,0.084932,0.103368,0.132986", \ - "0.074127,0.077942,0.084180,0.094644,0.110854,0.135152,0.171616", \ - "0.082792,0.088145,0.096728,0.111440,0.134079,0.166928,0.213457", \ - "0.083572,0.090675,0.102379,0.121475,0.151403,0.194743,0.254657"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.121626,0.129425,0.143578,0.169102,0.215144,0.297959,0.447207", \ - "0.123572,0.131454,0.145694,0.171496,0.217782,0.300943,0.450379", \ - "0.136858,0.144664,0.158847,0.184635,0.230992,0.314410,0.464264", \ - "0.169115,0.176543,0.190011,0.215039,0.260793,0.343556,0.492889", \ - "0.225137,0.232407,0.245177,0.267725,0.311294,0.392457,0.540374", \ - "0.290565,0.299538,0.315294,0.342253,0.387738,0.464962,0.610493", \ - "0.360690,0.371345,0.390039,0.422243,0.476107,0.563514,0.705913"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013727,0.015066,0.017454,0.021799,0.029640,0.043702,0.068573", \ - "0.013721,0.015059,0.017450,0.021809,0.029637,0.043708,0.068570", \ - "0.016089,0.017222,0.019152,0.022876,0.030035,0.043706,0.068573", \ - "0.024453,0.025516,0.027459,0.030954,0.037328,0.048857,0.070309", \ - "0.039817,0.040772,0.042558,0.045679,0.051445,0.062140,0.081837", \ - "0.060999,0.061934,0.063941,0.066957,0.072446,0.082418,0.100899", \ - "0.086158,0.087245,0.089431,0.093043,0.099161,0.109484,0.127450"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.100803,0.108226,0.121903,0.145519,0.187547,0.262601,0.396987", \ - "0.100483,0.107983,0.121721,0.145545,0.187582,0.262623,0.396960", \ - "0.099293,0.106959,0.120924,0.145048,0.187340,0.262587,0.396965", \ - "0.095146,0.102910,0.117631,0.142923,0.186525,0.262428,0.396960", \ - "0.102218,0.108311,0.119971,0.141864,0.183119,0.261080,0.396938", \ - "0.126197,0.132132,0.143027,0.160939,0.194165,0.261516,0.395748", \ - "0.153362,0.160172,0.172358,0.192723,0.226333,0.283249,0.399696"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022494,0.023927,0.026515,0.031191,0.039663,0.054993,0.082754", \ - "0.027636,0.029090,0.031712,0.036434,0.044957,0.060327,0.088139", \ - "0.041763,0.043488,0.046506,0.051694,0.060422,0.075922,0.103840", \ - "0.058804,0.061358,0.065672,0.072855,0.084458,0.102934,0.132580", \ - "0.072920,0.076758,0.083154,0.093733,0.110102,0.134534,0.171066", \ - "0.080767,0.086303,0.094892,0.109913,0.132819,0.165986,0.212694", \ - "0.080613,0.087887,0.099751,0.119141,0.149517,0.193281,0.253555"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.105308,0.113037,0.127029,0.152262,0.197687,0.279642,0.427546", \ - "0.106597,0.114444,0.128656,0.154159,0.200053,0.282257,0.430529", \ - "0.119345,0.127107,0.141215,0.166671,0.212562,0.295154,0.443816", \ - "0.151812,0.159094,0.172352,0.197121,0.242182,0.323997,0.472055", \ - "0.204669,0.212648,0.226574,0.250199,0.292953,0.373168,0.519506", \ - "0.262936,0.272791,0.289927,0.319105,0.367455,0.446035,0.589968", \ - "0.326083,0.337740,0.358075,0.392733,0.450058,0.541670,0.686058"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012170,0.013398,0.015636,0.019702,0.027079,0.040449,0.064688", \ - "0.012170,0.013396,0.015633,0.019700,0.027074,0.040448,0.064693", \ - "0.014336,0.015418,0.017327,0.020786,0.027478,0.040439,0.064688", \ - "0.021594,0.022659,0.024587,0.028041,0.034257,0.045504,0.066428", \ - "0.034170,0.035359,0.037494,0.041013,0.047057,0.057889,0.077703", \ - "0.051224,0.052715,0.055476,0.059547,0.066116,0.077006,0.096087", \ - "0.072158,0.074017,0.077399,0.082614,0.090446,0.102498,0.121848"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.086174,0.093235,0.106249,0.129070,0.170057,0.243889,0.376814", \ - "0.085998,0.093098,0.106175,0.129033,0.170131,0.243892,0.376827", \ - "0.085136,0.092425,0.105729,0.128811,0.169959,0.243882,0.376815", \ - "0.082910,0.089876,0.102998,0.127295,0.169521,0.243817,0.376831", \ - "0.096527,0.101559,0.111600,0.130995,0.168676,0.243035,0.376813", \ - "0.119813,0.125829,0.136752,0.154868,0.185389,0.247583,0.376043", \ - "0.145786,0.152757,0.165149,0.185817,0.219490,0.274445,0.384270"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022487,0.023914,0.026500,0.031181,0.039644,0.054954,0.082707", \ - "0.027627,0.029080,0.031699,0.036422,0.044937,0.060300,0.088088", \ - "0.041788,0.043517,0.046528,0.051704,0.060428,0.075918,0.103820", \ - "0.058959,0.061503,0.065806,0.072990,0.084551,0.103004,0.132616", \ - "0.073196,0.077063,0.083393,0.093968,0.110316,0.134703,0.171176", \ - "0.081072,0.086618,0.095228,0.110243,0.133106,0.166192,0.212855", \ - "0.080483,0.087833,0.099703,0.119165,0.149550,0.193397,0.253691"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.119242,0.128727,0.145919,0.177079,0.233100,0.334018,0.516181", \ - "0.119822,0.129449,0.146862,0.178357,0.234788,0.336200,0.518782", \ - "0.131771,0.141258,0.158516,0.189916,0.246482,0.348214,0.531366", \ - "0.163794,0.172689,0.188971,0.219368,0.275084,0.375830,0.558283", \ - "0.219389,0.228264,0.243814,0.271117,0.324323,0.423331,0.603897", \ - "0.283248,0.294089,0.313045,0.345357,0.399514,0.494031,0.671988", \ - "0.352377,0.365158,0.387511,0.425740,0.489251,0.591833,0.764998"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012171,0.013399,0.015635,0.019701,0.027070,0.040438,0.064684", \ - "0.012172,0.013402,0.015639,0.019703,0.027072,0.040440,0.064686", \ - "0.014334,0.015414,0.017322,0.020779,0.027477,0.040438,0.064691", \ - "0.021518,0.022593,0.024545,0.027993,0.034225,0.045483,0.066420", \ - "0.034017,0.035208,0.037349,0.040879,0.046961,0.057829,0.077665", \ - "0.050974,0.052476,0.055214,0.059330,0.065930,0.076835,0.096013", \ - "0.071933,0.073811,0.077147,0.082440,0.090294,0.102382,0.121742"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.106874,0.115767,0.131919,0.160463,0.210842,0.301074,0.462991", \ - "0.106403,0.115403,0.131664,0.160365,0.210830,0.301067,0.462992", \ - "0.104803,0.114089,0.130746,0.159887,0.210671,0.301128,0.462990", \ - "0.100218,0.109452,0.126800,0.157745,0.210024,0.301074,0.462992", \ - "0.108718,0.115917,0.129729,0.156092,0.206141,0.300343,0.463005", \ - "0.132260,0.139384,0.152391,0.173843,0.215169,0.298575,0.462701", \ - "0.158896,0.166846,0.181038,0.205144,0.245210,0.315970,0.462405"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022883,0.024315,0.026902,0.031585,0.040057,0.055395,0.083184", \ - "0.028026,0.029485,0.032107,0.036833,0.045353,0.060737,0.088565", \ - "0.042272,0.043990,0.046983,0.052140,0.060849,0.076356,0.104292", \ - "0.059689,0.062205,0.066450,0.073579,0.085085,0.103511,0.133095", \ - "0.074470,0.078232,0.084472,0.094909,0.111093,0.135380,0.171767", \ - "0.082945,0.088335,0.096939,0.111653,0.134298,0.167132,0.213637", \ - "0.083143,0.090339,0.102113,0.121327,0.151386,0.194819,0.254770"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.152502,0.162064,0.179347,0.210592,0.266854,0.367983,0.550381", \ - "0.153606,0.163273,0.180716,0.212215,0.268844,0.370262,0.553046", \ - "0.165290,0.174919,0.192343,0.223904,0.280629,0.382466,0.565716", \ - "0.195521,0.204865,0.221663,0.252659,0.308593,0.409816,0.592536", \ - "0.249123,0.257447,0.273115,0.302540,0.357115,0.456813,0.637822", \ - "0.319881,0.329936,0.347592,0.378105,0.429856,0.526794,0.705483", \ - "0.395674,0.407520,0.428340,0.464265,0.524720,0.623553,0.797919"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013724,0.015044,0.017457,0.021797,0.029640,0.043702,0.068571", \ - "0.013715,0.015052,0.017465,0.021804,0.029638,0.043705,0.068568", \ - "0.016049,0.017184,0.019122,0.022859,0.030023,0.043704,0.068569", \ - "0.024337,0.025407,0.027359,0.030877,0.037275,0.048816,0.070294", \ - "0.039609,0.040569,0.042384,0.045520,0.051321,0.062067,0.081785", \ - "0.060802,0.061743,0.063654,0.066823,0.072279,0.082262,0.100790", \ - "0.086150,0.087233,0.089387,0.092990,0.099052,0.109391,0.127366"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.124617,0.133421,0.149562,0.178228,0.228794,0.319447,0.481934", \ - "0.124450,0.133286,0.149477,0.178157,0.228887,0.319412,0.481941", \ - "0.123766,0.132749,0.149085,0.177935,0.228714,0.319445,0.481943", \ - "0.120716,0.130186,0.147201,0.176937,0.228346,0.319367,0.481944", \ - "0.121478,0.129662,0.144947,0.173237,0.225631,0.319152,0.481946", \ - "0.141968,0.149120,0.161684,0.184479,0.229377,0.316330,0.481838", \ - "0.169016,0.176860,0.190966,0.214852,0.254559,0.329343,0.480345"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022892,0.024324,0.026913,0.031598,0.040076,0.055423,0.083225", \ - "0.028037,0.029493,0.032121,0.036847,0.045371,0.060764,0.088611", \ - "0.042246,0.043963,0.046960,0.052130,0.060841,0.076358,0.104308", \ - "0.059541,0.062058,0.066319,0.073467,0.085006,0.103448,0.133050", \ - "0.074148,0.077927,0.084194,0.094674,0.110893,0.135192,0.171664", \ - "0.082644,0.088033,0.096614,0.111320,0.134066,0.166911,0.213464", \ - "0.083246,0.090400,0.102136,0.121292,0.151286,0.194676,0.254634"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.132054,0.139827,0.153859,0.179220,0.224865,0.307010,0.455167", \ - "0.133781,0.141645,0.155805,0.181420,0.227284,0.309767,0.458135", \ - "0.146372,0.154195,0.168376,0.193981,0.240014,0.322742,0.471532", \ - "0.177405,0.185022,0.198664,0.223732,0.269199,0.351319,0.499680", \ - "0.231345,0.238751,0.251529,0.275106,0.319260,0.399993,0.546870", \ - "0.295951,0.305027,0.320911,0.348217,0.394152,0.472219,0.616958", \ - "0.365270,0.376029,0.394901,0.427381,0.481647,0.569631,0.712491"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013725,0.015057,0.017452,0.021804,0.029641,0.043703,0.068573", \ - "0.013715,0.015057,0.017453,0.021809,0.029639,0.043707,0.068570", \ - "0.016061,0.017192,0.019134,0.022866,0.030025,0.043706,0.068573", \ - "0.024407,0.025475,0.027423,0.030921,0.037308,0.048836,0.070302", \ - "0.039812,0.040749,0.042525,0.045669,0.051426,0.062123,0.081824", \ - "0.061074,0.062003,0.063964,0.067030,0.072494,0.082400,0.100867", \ - "0.086382,0.087459,0.089606,0.093182,0.099223,0.109532,0.127465"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.099766,0.106866,0.120053,0.143036,0.184326,0.258552,0.392046", \ - "0.099716,0.106819,0.120024,0.143094,0.184349,0.258580,0.392030", \ - "0.099404,0.106589,0.119827,0.142957,0.184240,0.258576,0.392057", \ - "0.097134,0.104749,0.118538,0.142269,0.184042,0.258522,0.392045", \ - "0.103610,0.109676,0.121135,0.142352,0.182351,0.258135,0.392038", \ - "0.126925,0.132866,0.143731,0.161399,0.194144,0.259790,0.391741", \ - "0.153759,0.160565,0.172732,0.193087,0.226539,0.282635,0.396791"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022883,0.024315,0.026902,0.031585,0.040057,0.055395,0.083184", \ - "0.028026,0.029485,0.032107,0.036833,0.045353,0.060737,0.088565", \ - "0.042272,0.043990,0.046983,0.052140,0.060849,0.076356,0.104292", \ - "0.059689,0.062205,0.066450,0.073579,0.085085,0.103511,0.133095", \ - "0.074470,0.078232,0.084472,0.094909,0.111093,0.135380,0.171767", \ - "0.082945,0.088335,0.096939,0.111653,0.134298,0.167132,0.213637", \ - "0.083143,0.090339,0.102113,0.121327,0.151386,0.194819,0.254770"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.152502,0.162064,0.179347,0.210592,0.266854,0.367983,0.550381", \ - "0.153606,0.163273,0.180716,0.212215,0.268844,0.370262,0.553046", \ - "0.165290,0.174919,0.192343,0.223904,0.280629,0.382466,0.565716", \ - "0.195521,0.204865,0.221663,0.252659,0.308593,0.409816,0.592536", \ - "0.249123,0.257447,0.273115,0.302540,0.357115,0.456813,0.637822", \ - "0.319881,0.329936,0.347592,0.378105,0.429856,0.526794,0.705483", \ - "0.395674,0.407520,0.428340,0.464265,0.524720,0.623553,0.797919"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013724,0.015044,0.017457,0.021797,0.029640,0.043702,0.068571", \ - "0.013715,0.015052,0.017465,0.021804,0.029638,0.043705,0.068568", \ - "0.016049,0.017184,0.019122,0.022859,0.030023,0.043704,0.068569", \ - "0.024337,0.025407,0.027359,0.030877,0.037275,0.048816,0.070294", \ - "0.039609,0.040569,0.042384,0.045520,0.051321,0.062067,0.081785", \ - "0.060802,0.061743,0.063654,0.066823,0.072279,0.082262,0.100790", \ - "0.086150,0.087233,0.089387,0.092990,0.099052,0.109391,0.127366"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.124617,0.133421,0.149562,0.178228,0.228794,0.319447,0.481934", \ - "0.124450,0.133286,0.149477,0.178157,0.228887,0.319412,0.481941", \ - "0.123766,0.132749,0.149085,0.177935,0.228714,0.319445,0.481943", \ - "0.120716,0.130186,0.147201,0.176937,0.228346,0.319367,0.481944", \ - "0.121478,0.129662,0.144947,0.173237,0.225631,0.319152,0.481946", \ - "0.141968,0.149120,0.161684,0.184479,0.229377,0.316330,0.481838", \ - "0.169016,0.176860,0.190966,0.214852,0.254559,0.329343,0.480345"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023283,0.024715,0.027303,0.031991,0.040475,0.055830,0.083656", \ - "0.028435,0.029889,0.032514,0.037242,0.045771,0.061174,0.089036", \ - "0.042760,0.044461,0.047443,0.052577,0.061271,0.076795,0.104769", \ - "0.060418,0.062906,0.067115,0.074191,0.085638,0.104006,0.133578", \ - "0.075652,0.079361,0.085498,0.095852,0.111878,0.136036,0.172362", \ - "0.084797,0.090094,0.098529,0.113064,0.135448,0.168073,0.214411", \ - "0.085834,0.092893,0.104639,0.123412,0.153114,0.196158,0.255822"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.186100,0.195702,0.212997,0.244343,0.300725,0.402009,0.584655", \ - "0.187574,0.197236,0.214669,0.246080,0.302826,0.404421,0.587351", \ - "0.199273,0.208929,0.226388,0.257848,0.314672,0.416686,0.600120", \ - "0.228568,0.238054,0.255027,0.286113,0.342429,0.443929,0.626866", \ - "0.279257,0.288353,0.304844,0.335099,0.390339,0.490470,0.671882", \ - "0.354102,0.363554,0.380217,0.409307,0.461565,0.559827,0.739106", \ - "0.436109,0.447223,0.466856,0.500931,0.558718,0.654819,0.831058"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.016314,0.017761,0.020386,0.025078,0.033389,0.047783,0.072703", \ - "0.016309,0.017773,0.020384,0.025080,0.033380,0.047784,0.072700", \ - "0.018871,0.019926,0.022000,0.026099,0.033755,0.047785,0.072703", \ - "0.029311,0.030217,0.031980,0.035286,0.041518,0.052816,0.074400", \ - "0.047126,0.047755,0.049116,0.051616,0.056688,0.066811,0.086047", \ - "0.070583,0.071103,0.072519,0.074583,0.078979,0.087911,0.105647", \ - "0.099003,0.099518,0.100775,0.103254,0.107649,0.116326,0.132993"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.141939,0.150760,0.166975,0.195860,0.246917,0.337823,0.500963", \ - "0.141877,0.150716,0.166958,0.195941,0.246894,0.337904,0.500962", \ - "0.141625,0.150519,0.166836,0.195893,0.246745,0.337832,0.500968", \ - "0.140248,0.149407,0.165984,0.195341,0.246599,0.337900,0.500980", \ - "0.137143,0.145878,0.162095,0.191790,0.245343,0.337774,0.500978", \ - "0.151931,0.158961,0.172399,0.197815,0.244992,0.335055,0.500942", \ - "0.179607,0.187355,0.201336,0.225075,0.265088,0.343767,0.498998"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.029771,0.031442,0.034416,0.039682,0.048952,0.065238,0.094023", \ - "0.034396,0.036061,0.039040,0.044306,0.053577,0.069872,0.098663", \ - "0.051697,0.053292,0.056085,0.061187,0.070316,0.086543,0.115302", \ - "0.075624,0.077953,0.082076,0.089351,0.101021,0.119211,0.147783", \ - "0.096003,0.099341,0.104818,0.115051,0.131533,0.156992,0.194945", \ - "0.110389,0.114864,0.122370,0.135649,0.157277,0.190916,0.240896", \ - "0.117305,0.122987,0.132680,0.149373,0.176699,0.219145,0.282247"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.129726,0.136239,0.147964,0.168980,0.206824,0.275107,0.398456", \ - "0.132295,0.138931,0.150850,0.172169,0.210442,0.279180,0.402929", \ - "0.147164,0.153730,0.165592,0.186913,0.225310,0.294434,0.418712", \ - "0.179645,0.186003,0.197474,0.218548,0.256580,0.325428,0.449750", \ - "0.225824,0.233054,0.245513,0.266950,0.304962,0.373468,0.497436", \ - "0.275564,0.284369,0.299767,0.325979,0.369816,0.441341,0.565045", \ - "0.327950,0.338679,0.357238,0.388644,0.440294,0.523204,0.654635"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020598,0.021849,0.024082,0.028148,0.035479,0.048799,0.072989", \ - "0.020476,0.021736,0.024002,0.028080,0.035441,0.048776,0.072984", \ - "0.021096,0.022135,0.024097,0.027826,0.035066,0.048662,0.072973", \ - "0.033068,0.034245,0.036210,0.039356,0.044684,0.053852,0.073946", \ - "0.049513,0.051152,0.054019,0.058271,0.065100,0.075859,0.092584", \ - "0.069787,0.071945,0.075767,0.081536,0.090481,0.104094,0.124266", \ - "0.093677,0.096429,0.101254,0.108771,0.120236,0.137280,0.161903"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.066498,0.072172,0.082482,0.100780,0.134085,0.194179,0.302814", \ - "0.066518,0.072187,0.082400,0.100787,0.134096,0.194220,0.302817", \ - "0.066569,0.072236,0.082445,0.100814,0.134097,0.194252,0.302773", \ - "0.066812,0.072440,0.082533,0.100861,0.134062,0.194151,0.302778", \ - "0.075379,0.079914,0.088421,0.104363,0.134961,0.194164,0.302765", \ - "0.094632,0.099556,0.108428,0.123513,0.149571,0.200136,0.302821", \ - "0.119698,0.124791,0.134114,0.149784,0.177089,0.224322,0.313197"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.029762,0.031433,0.034410,0.039670,0.048935,0.065212,0.093987", \ - "0.034439,0.036106,0.039076,0.044355,0.053614,0.069902,0.098680", \ - "0.051850,0.053444,0.056253,0.061349,0.070479,0.086699,0.115453", \ - "0.075950,0.078268,0.082381,0.089652,0.101295,0.119435,0.147990", \ - "0.096354,0.099691,0.105158,0.115389,0.131851,0.157274,0.195185", \ - "0.110432,0.114927,0.122464,0.135794,0.157459,0.191117,0.241126", \ - "0.116552,0.122283,0.132043,0.148915,0.176386,0.219019,0.282230"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.163921,0.172250,0.187360,0.214216,0.262612,0.349878,0.507363", \ - "0.165528,0.174017,0.189349,0.216655,0.265627,0.353451,0.511426", \ - "0.178292,0.186714,0.201913,0.229221,0.278374,0.366733,0.525422", \ - "0.208934,0.217089,0.231922,0.258930,0.307599,0.395581,0.554358", \ - "0.255394,0.263841,0.278674,0.305436,0.353907,0.441550,0.599765", \ - "0.308430,0.318681,0.336626,0.367446,0.419173,0.506828,0.664741", \ - "0.364719,0.376978,0.398288,0.434378,0.494373,0.591940,0.751427"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020598,0.021848,0.024095,0.028144,0.035479,0.048800,0.072991", \ - "0.020478,0.021738,0.023999,0.028079,0.035440,0.048771,0.072981", \ - "0.021062,0.022107,0.024071,0.027814,0.035066,0.048660,0.072968", \ - "0.032944,0.034104,0.036085,0.039235,0.044570,0.053763,0.073911", \ - "0.049333,0.050967,0.053864,0.058085,0.064927,0.075705,0.092457", \ - "0.069726,0.071857,0.075665,0.081420,0.090372,0.103951,0.124158", \ - "0.093935,0.096646,0.101550,0.108930,0.120343,0.137319,0.161877"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.087117,0.094275,0.107413,0.130747,0.173087,0.249308,0.386848", \ - "0.087161,0.094314,0.107422,0.130772,0.173029,0.249316,0.386847", \ - "0.087211,0.094336,0.107435,0.130767,0.173023,0.249371,0.386850", \ - "0.087263,0.094404,0.107469,0.130800,0.173072,0.249300,0.386864", \ - "0.091726,0.098036,0.109700,0.131591,0.173101,0.249316,0.386841", \ - "0.110999,0.117058,0.128127,0.146385,0.181346,0.250812,0.386839", \ - "0.137111,0.143250,0.154500,0.173805,0.207675,0.267546,0.390200"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030272,0.031937,0.034906,0.040165,0.049419,0.065706,0.094505", \ - "0.034941,0.036604,0.039573,0.044838,0.054102,0.070398,0.099199", \ - "0.052334,0.053897,0.056712,0.061816,0.070957,0.087188,0.115961", \ - "0.076760,0.078997,0.083137,0.090330,0.101899,0.119953,0.148498", \ - "0.097609,0.100915,0.106298,0.116464,0.132782,0.158074,0.195875", \ - "0.112218,0.116691,0.124214,0.137368,0.158873,0.192368,0.242144", \ - "0.119036,0.124738,0.134527,0.151237,0.178426,0.220787,0.283665"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.192602,0.200928,0.216090,0.243075,0.291636,0.379153,0.536864", \ - "0.194899,0.203331,0.218690,0.245989,0.294901,0.382747,0.540986", \ - "0.207584,0.216019,0.231270,0.258651,0.308070,0.396189,0.555112", \ - "0.237816,0.245990,0.260902,0.288067,0.336950,0.425161,0.584056", \ - "0.284509,0.292823,0.307624,0.334492,0.383099,0.470870,0.629461", \ - "0.343313,0.352831,0.369641,0.398928,0.448473,0.536101,0.694279", \ - "0.406455,0.417648,0.437281,0.471080,0.528165,0.622613,0.780908"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023238,0.024529,0.026882,0.031104,0.038766,0.052549,0.077104", \ - "0.023126,0.024425,0.026788,0.031036,0.038732,0.052533,0.077102", \ - "0.023546,0.024660,0.026763,0.030734,0.038348,0.052424,0.077083", \ - "0.036911,0.038021,0.039832,0.042814,0.047973,0.057415,0.078000", \ - "0.056912,0.058233,0.060718,0.064346,0.070480,0.080515,0.096587", \ - "0.081390,0.083030,0.086005,0.090794,0.098494,0.110647,0.129478", \ - "0.109784,0.111840,0.115515,0.121437,0.131057,0.146058,0.168690"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.101104,0.108402,0.121729,0.145462,0.188130,0.264792,0.402812", \ - "0.101110,0.108409,0.121738,0.145486,0.188089,0.264694,0.402812", \ - "0.101115,0.108430,0.121725,0.145484,0.188136,0.264697,0.402810", \ - "0.101145,0.108441,0.121744,0.145507,0.188082,0.264719,0.402813", \ - "0.102808,0.109649,0.122362,0.145579,0.187955,0.264691,0.402814", \ - "0.119423,0.125434,0.136195,0.155582,0.192899,0.265102,0.402808", \ - "0.144047,0.150431,0.162071,0.181802,0.216135,0.278376,0.404767"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024557,0.026300,0.029400,0.034860,0.044397,0.061016,0.090132", \ - "0.029311,0.031032,0.034109,0.039539,0.049041,0.065636,0.094726", \ - "0.047010,0.048756,0.051794,0.056884,0.066011,0.082325,0.111287", \ - "0.068785,0.071331,0.075900,0.083678,0.096004,0.114949,0.143902", \ - "0.086656,0.090290,0.096255,0.107243,0.124672,0.151213,0.190290", \ - "0.098106,0.102984,0.111143,0.125343,0.148226,0.183361,0.234844", \ - "0.101717,0.107887,0.118347,0.136247,0.165144,0.209528,0.274552"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.143743,0.151537,0.165603,0.190751,0.236073,0.317924,0.465564", \ - "0.145897,0.153829,0.168129,0.193661,0.239509,0.321832,0.470001", \ - "0.160341,0.168214,0.182445,0.207937,0.253918,0.336666,0.485521", \ - "0.192029,0.199671,0.213425,0.238750,0.284250,0.366769,0.515535", \ - "0.236324,0.244740,0.258993,0.284367,0.329727,0.411741,0.560137", \ - "0.284053,0.293876,0.311190,0.340696,0.390707,0.474076,0.622089", \ - "0.335499,0.347057,0.367191,0.401344,0.458211,0.551564,0.703793"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.019162,0.020492,0.022864,0.027090,0.034575,0.047944,0.072023", \ - "0.018817,0.020179,0.022586,0.026868,0.034416,0.047849,0.071984", \ - "0.020843,0.021730,0.023471,0.026918,0.033889,0.047454,0.071851", \ - "0.033699,0.034854,0.036741,0.039821,0.045044,0.053831,0.073071", \ - "0.050617,0.052218,0.055062,0.059192,0.065864,0.076388,0.092839", \ - "0.071349,0.073450,0.077273,0.082891,0.091639,0.104931,0.124756", \ - "0.095773,0.098493,0.103242,0.110584,0.121784,0.138471,0.162646"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.073645,0.080513,0.093070,0.115376,0.155893,0.229324,0.361615", \ - "0.073687,0.080538,0.093088,0.115355,0.155968,0.229272,0.361613", \ - "0.073763,0.080614,0.093078,0.115454,0.155923,0.229286,0.361607", \ - "0.074068,0.080834,0.093210,0.115474,0.155900,0.229319,0.361606", \ - "0.081000,0.086851,0.097656,0.117852,0.156294,0.229176,0.361612", \ - "0.097328,0.103524,0.114910,0.134121,0.167404,0.232753,0.361680", \ - "0.118745,0.125103,0.136843,0.156559,0.191272,0.251485,0.367862"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024546,0.026288,0.029387,0.034844,0.044377,0.060986,0.090084", \ - "0.029349,0.031068,0.034146,0.039575,0.049073,0.065655,0.094737", \ - "0.047180,0.048919,0.051950,0.057040,0.066168,0.082479,0.111431", \ - "0.069161,0.071679,0.076218,0.083979,0.096264,0.115177,0.144080", \ - "0.087012,0.090683,0.096605,0.107586,0.124998,0.151493,0.190512", \ - "0.098151,0.103051,0.111240,0.125474,0.148399,0.183568,0.235050", \ - "0.100938,0.107169,0.117721,0.135761,0.164835,0.209401,0.274565"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.178373,0.188023,0.205407,0.236619,0.292536,0.393149,0.575134", \ - "0.179569,0.189398,0.207104,0.238715,0.295198,0.396644,0.579149", \ - "0.191910,0.201653,0.219280,0.250801,0.307526,0.409429,0.592908", \ - "0.222058,0.231514,0.248656,0.279915,0.336109,0.437702,0.621069", \ - "0.266387,0.276133,0.293395,0.324313,0.380219,0.481291,0.664133", \ - "0.316919,0.328257,0.348275,0.382783,0.440992,0.541971,0.724334", \ - "0.371653,0.384784,0.407726,0.446893,0.512579,0.621542,0.804275"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.019161,0.020486,0.022869,0.027091,0.034575,0.047937,0.072020", \ - "0.018831,0.020189,0.022603,0.026874,0.034422,0.047841,0.071984", \ - "0.020781,0.021693,0.023428,0.026917,0.033890,0.047463,0.071867", \ - "0.033537,0.034702,0.036602,0.039706,0.044918,0.053728,0.073062", \ - "0.050427,0.051961,0.054864,0.058987,0.065663,0.076218,0.092725", \ - "0.071244,0.073345,0.077144,0.082757,0.091505,0.104788,0.124626", \ - "0.095970,0.098683,0.103408,0.110723,0.121871,0.138492,0.162609"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.092486,0.100857,0.116270,0.143755,0.193406,0.282863,0.444084", \ - "0.092562,0.100902,0.116294,0.143764,0.193267,0.282806,0.444081", \ - "0.092657,0.100987,0.116314,0.143769,0.193272,0.282856,0.444076", \ - "0.092793,0.101105,0.116437,0.143776,0.193275,0.282804,0.444074", \ - "0.096724,0.104280,0.118255,0.144463,0.193363,0.282858,0.444072", \ - "0.113063,0.120547,0.134097,0.156576,0.199703,0.283539,0.444064", \ - "0.134937,0.142499,0.156293,0.180120,0.222090,0.296601,0.445968"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.025066,0.026804,0.029895,0.035340,0.044866,0.061477,0.090601", \ - "0.029865,0.031584,0.034647,0.040069,0.049564,0.066145,0.095254", \ - "0.047762,0.049471,0.052452,0.057501,0.066634,0.082965,0.111951", \ - "0.070045,0.072516,0.077029,0.084706,0.096925,0.115721,0.144603", \ - "0.088394,0.092006,0.097861,0.108728,0.125992,0.152349,0.191255", \ - "0.100120,0.105000,0.113124,0.127212,0.149937,0.184885,0.236110", \ - "0.103668,0.109863,0.120390,0.138281,0.166993,0.211234,0.276021"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.211672,0.221289,0.238755,0.270105,0.326009,0.427012,0.609260", \ - "0.213659,0.223409,0.241036,0.272651,0.329170,0.430590,0.613363", \ - "0.225899,0.235640,0.253272,0.284885,0.341790,0.443685,0.627264", \ - "0.255570,0.265070,0.282316,0.313616,0.370132,0.471882,0.655490", \ - "0.300083,0.309683,0.326849,0.357890,0.414154,0.515307,0.698453", \ - "0.355704,0.366383,0.385428,0.418220,0.474844,0.575983,0.758600", \ - "0.416484,0.428616,0.450007,0.487040,0.550141,0.655984,0.838382"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021940,0.023316,0.025781,0.030136,0.037921,0.051714,0.076143", \ - "0.021583,0.022996,0.025487,0.029917,0.037763,0.051622,0.076103", \ - "0.023175,0.024170,0.026076,0.029801,0.037164,0.051240,0.075981", \ - "0.037999,0.038979,0.040702,0.043508,0.048464,0.057332,0.077125", \ - "0.058799,0.059983,0.062270,0.065682,0.071491,0.081168,0.096884", \ - "0.083962,0.085451,0.088237,0.092603,0.099907,0.111616,0.130032", \ - "0.113086,0.114973,0.118419,0.123954,0.133057,0.147467,0.169536"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.108720,0.117287,0.133045,0.161053,0.211019,0.301102,0.462985", \ - "0.108736,0.117298,0.133058,0.161034,0.211070,0.301054,0.462980", \ - "0.108770,0.117327,0.133073,0.160996,0.211136,0.301112,0.462995", \ - "0.108822,0.117375,0.133111,0.160967,0.210984,0.301101,0.462988", \ - "0.110158,0.118422,0.133801,0.161098,0.211181,0.301055,0.462988", \ - "0.124343,0.131625,0.144873,0.168960,0.214467,0.301407,0.462974", \ - "0.144955,0.152786,0.166997,0.191365,0.233248,0.311015,0.463790"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.025075,0.026815,0.029905,0.035354,0.044892,0.061510,0.090649", \ - "0.029828,0.031543,0.034609,0.040028,0.049525,0.066119,0.095248", \ - "0.047581,0.049299,0.052293,0.057345,0.066479,0.082810,0.111807", \ - "0.069694,0.072171,0.076711,0.084412,0.096661,0.115493,0.144384", \ - "0.088038,0.091644,0.097513,0.108398,0.125678,0.152064,0.190998", \ - "0.100072,0.104897,0.113023,0.127079,0.149775,0.184656,0.235898", \ - "0.104449,0.110537,0.121059,0.138674,0.167282,0.211307,0.275996"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.170370,0.178181,0.192335,0.217660,0.263152,0.345141,0.493110", \ - "0.173157,0.181078,0.195420,0.220921,0.266834,0.349289,0.497612", \ - "0.187516,0.195418,0.209734,0.235308,0.281416,0.364259,0.513281", \ - "0.218837,0.226459,0.240435,0.265744,0.311631,0.394313,0.543303", \ - "0.264403,0.272297,0.286224,0.311444,0.356924,0.439265,0.587847", \ - "0.317253,0.326424,0.342783,0.370938,0.419116,0.501473,0.649769", \ - "0.374594,0.385176,0.403797,0.435965,0.490335,0.581009,0.731326"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021943,0.023316,0.025772,0.030137,0.037927,0.051721,0.076146", \ - "0.021567,0.022976,0.025475,0.029904,0.037754,0.051620,0.076106", \ - "0.023227,0.024201,0.026122,0.029818,0.037170,0.051233,0.075982", \ - "0.038142,0.039176,0.040800,0.043634,0.048594,0.057422,0.077182", \ - "0.059033,0.060219,0.062498,0.065851,0.071674,0.081334,0.097005", \ - "0.084074,0.085562,0.088322,0.092803,0.100086,0.111785,0.130167", \ - "0.112845,0.114745,0.118207,0.123829,0.132978,0.147448,0.169573"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.086660,0.093710,0.106503,0.129172,0.170230,0.243904,0.376820", \ - "0.086670,0.093704,0.106498,0.129140,0.170223,0.243900,0.376819", \ - "0.086690,0.093724,0.106558,0.129187,0.170204,0.243901,0.376825", \ - "0.086784,0.093799,0.106542,0.129136,0.170190,0.243896,0.376814", \ - "0.090475,0.096867,0.108574,0.129942,0.170208,0.243884,0.376817", \ - "0.105985,0.112365,0.123983,0.142720,0.177773,0.245720,0.376807", \ - "0.126225,0.132821,0.144977,0.165094,0.200308,0.261408,0.381271"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.025066,0.026804,0.029895,0.035340,0.044866,0.061477,0.090601", \ - "0.029865,0.031584,0.034647,0.040069,0.049564,0.066145,0.095254", \ - "0.047762,0.049471,0.052452,0.057501,0.066634,0.082965,0.111951", \ - "0.070045,0.072516,0.077029,0.084706,0.096925,0.115721,0.144603", \ - "0.088394,0.092006,0.097861,0.108728,0.125992,0.152349,0.191255", \ - "0.100120,0.105000,0.113124,0.127212,0.149937,0.184885,0.236110", \ - "0.103668,0.109863,0.120390,0.138281,0.166993,0.211234,0.276021"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.211672,0.221289,0.238755,0.270105,0.326009,0.427012,0.609260", \ - "0.213659,0.223409,0.241036,0.272651,0.329170,0.430590,0.613363", \ - "0.225899,0.235640,0.253272,0.284885,0.341790,0.443685,0.627264", \ - "0.255570,0.265070,0.282316,0.313616,0.370132,0.471882,0.655490", \ - "0.300083,0.309683,0.326849,0.357890,0.414154,0.515307,0.698453", \ - "0.355704,0.366383,0.385428,0.418220,0.474844,0.575983,0.758600", \ - "0.416484,0.428616,0.450007,0.487040,0.550141,0.655984,0.838382"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021940,0.023316,0.025781,0.030136,0.037921,0.051714,0.076143", \ - "0.021583,0.022996,0.025487,0.029917,0.037763,0.051622,0.076103", \ - "0.023175,0.024170,0.026076,0.029801,0.037164,0.051240,0.075981", \ - "0.037999,0.038979,0.040702,0.043508,0.048464,0.057332,0.077125", \ - "0.058799,0.059983,0.062270,0.065682,0.071491,0.081168,0.096884", \ - "0.083962,0.085451,0.088237,0.092603,0.099907,0.111616,0.130032", \ - "0.113086,0.114973,0.118419,0.123954,0.133057,0.147467,0.169536"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.108720,0.117287,0.133045,0.161053,0.211019,0.301102,0.462985", \ - "0.108736,0.117298,0.133058,0.161034,0.211070,0.301054,0.462980", \ - "0.108770,0.117327,0.133073,0.160996,0.211136,0.301112,0.462995", \ - "0.108822,0.117375,0.133111,0.160967,0.210984,0.301101,0.462988", \ - "0.110158,0.118422,0.133801,0.161098,0.211181,0.301055,0.462988", \ - "0.124343,0.131625,0.144873,0.168960,0.214467,0.301407,0.462974", \ - "0.144955,0.152786,0.166997,0.191365,0.233248,0.311015,0.463790"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.025586,0.027319,0.030400,0.035835,0.045351,0.061964,0.091115", \ - "0.030379,0.032092,0.035147,0.040556,0.050044,0.066637,0.095761", \ - "0.048289,0.049977,0.052922,0.057963,0.067106,0.083464,0.112467", \ - "0.070925,0.073358,0.077821,0.085417,0.097551,0.116262,0.145096", \ - "0.089769,0.093379,0.099118,0.109912,0.126947,0.153191,0.191950", \ - "0.102170,0.106966,0.115142,0.128992,0.151418,0.186067,0.237098", \ - "0.106453,0.112652,0.123222,0.140755,0.169107,0.212897,0.277439"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.245114,0.254747,0.272132,0.303636,0.359751,0.460978,0.643461", \ - "0.247646,0.257362,0.274896,0.306555,0.363117,0.464709,0.647634", \ - "0.259992,0.269744,0.287317,0.319191,0.375986,0.477971,0.661653", \ - "0.289298,0.298770,0.316048,0.347550,0.404191,0.506117,0.689943", \ - "0.333646,0.343226,0.360390,0.391752,0.447956,0.549545,0.732834", \ - "0.392531,0.402756,0.420835,0.452268,0.508710,0.610000,0.792836", \ - "0.458291,0.469744,0.490016,0.525492,0.586579,0.690030,0.872559"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026795,0.028105,0.030486,0.034789,0.042486,0.056150,0.080440", \ - "0.026408,0.027748,0.030181,0.034548,0.042325,0.056057,0.080401", \ - "0.027520,0.028529,0.030496,0.034297,0.041690,0.055681,0.080279", \ - "0.044366,0.045103,0.046343,0.048626,0.052969,0.061617,0.081394", \ - "0.067611,0.068470,0.070339,0.072872,0.077674,0.086314,0.101120", \ - "0.095546,0.096624,0.098734,0.102156,0.108154,0.118391,0.135418", \ - "0.128095,0.129459,0.131971,0.136262,0.143695,0.156208,0.176389"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.125315,0.134050,0.150115,0.178513,0.228838,0.319444,0.481954", \ - "0.125320,0.134053,0.150107,0.178502,0.228848,0.319407,0.481958", \ - "0.125328,0.134059,0.150094,0.178602,0.228846,0.319400,0.481956", \ - "0.125347,0.134080,0.150102,0.178444,0.228814,0.319412,0.481967", \ - "0.125818,0.134452,0.150350,0.178515,0.228832,0.319439,0.481964", \ - "0.135729,0.143390,0.157505,0.183047,0.230371,0.319549,0.481948", \ - "0.156312,0.164259,0.178800,0.203322,0.245454,0.326341,0.482256"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.032439,0.034107,0.037081,0.042346,0.051614,0.067902,0.096686", \ - "0.037639,0.039307,0.042286,0.047549,0.056816,0.073104,0.101898", \ - "0.053129,0.054798,0.057750,0.062967,0.072215,0.088526,0.117370", \ - "0.076298,0.078426,0.082103,0.088451,0.099184,0.116807,0.146073", \ - "0.100734,0.103596,0.108374,0.116756,0.130582,0.152593,0.187120", \ - "0.122268,0.126118,0.132413,0.143571,0.161942,0.190252,0.232895", \ - "0.137990,0.143074,0.151367,0.165853,0.189625,0.226043,0.279486"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.149294,0.155711,0.167421,0.188441,0.226356,0.294755,0.418121", \ - "0.152570,0.159044,0.170824,0.191944,0.230043,0.298572,0.422081", \ - "0.168715,0.175210,0.187071,0.208230,0.246369,0.315131,0.438927", \ - "0.201486,0.207933,0.219338,0.240474,0.278528,0.347288,0.471078", \ - "0.249779,0.256470,0.268255,0.289188,0.327161,0.395724,0.519513", \ - "0.304886,0.313022,0.327313,0.352019,0.394051,0.463720,0.587455", \ - "0.363696,0.373449,0.390493,0.419687,0.468585,0.548480,0.677210"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020538,0.021785,0.024033,0.028103,0.035460,0.048784,0.072996", \ - "0.020494,0.021746,0.024005,0.028075,0.035436,0.048791,0.072989", \ - "0.020703,0.021880,0.024047,0.027984,0.035300,0.048730,0.072987", \ - "0.026284,0.027459,0.029542,0.033284,0.039801,0.051341,0.073658", \ - "0.036520,0.037800,0.040077,0.043926,0.050605,0.062345,0.082903", \ - "0.051402,0.052942,0.055787,0.060218,0.067333,0.079216,0.099802", \ - "0.070116,0.072045,0.075474,0.081023,0.089476,0.102554,0.123523"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.077229,0.082953,0.093348,0.111979,0.145636,0.206068,0.315028", \ - "0.077234,0.082958,0.093352,0.111976,0.145611,0.206074,0.315027", \ - "0.077243,0.082962,0.093421,0.111997,0.145636,0.206050,0.315028", \ - "0.077313,0.083007,0.093404,0.112003,0.145582,0.206131,0.315043", \ - "0.082266,0.087262,0.096461,0.113576,0.145783,0.205974,0.315030", \ - "0.100347,0.105286,0.114365,0.129770,0.156771,0.209800,0.315025", \ - "0.124021,0.129250,0.138897,0.155115,0.183035,0.230769,0.323060"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.032431,0.034099,0.037073,0.042339,0.051597,0.067876,0.096652", \ - "0.037684,0.039352,0.042331,0.047593,0.056855,0.073137,0.101920", \ - "0.053307,0.054972,0.057919,0.063140,0.072385,0.088691,0.117522", \ - "0.076604,0.078719,0.082399,0.088757,0.099466,0.117055,0.146298", \ - "0.101154,0.103989,0.108741,0.117083,0.130905,0.152896,0.187407", \ - "0.122638,0.126503,0.132726,0.143896,0.162267,0.190557,0.233170", \ - "0.138016,0.143105,0.151419,0.165961,0.189804,0.226250,0.279715"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.189336,0.197555,0.212544,0.239445,0.287805,0.375057,0.532712", \ - "0.191863,0.200148,0.215238,0.242388,0.291028,0.378286,0.536106", \ - "0.206250,0.214551,0.229667,0.256863,0.305723,0.393314,0.551413", \ - "0.237300,0.245564,0.260236,0.287274,0.336140,0.423614,0.581857", \ - "0.284268,0.292540,0.307497,0.334154,0.382614,0.470204,0.628218", \ - "0.342916,0.352442,0.369229,0.398554,0.448138,0.535671,0.693586", \ - "0.405964,0.417160,0.436841,0.470662,0.527762,0.622180,0.780477"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020538,0.021784,0.024034,0.028097,0.035458,0.048777,0.072996", \ - "0.020496,0.021750,0.024010,0.028077,0.035436,0.048789,0.072984", \ - "0.020689,0.021873,0.024039,0.027984,0.035302,0.048732,0.072985", \ - "0.026207,0.027396,0.029486,0.033210,0.039752,0.051301,0.073639", \ - "0.036350,0.037626,0.039947,0.043801,0.050491,0.062248,0.082827", \ - "0.051228,0.052771,0.055600,0.060039,0.067153,0.079085,0.099675", \ - "0.070029,0.071965,0.075400,0.080927,0.089367,0.102431,0.123413"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.101107,0.108360,0.121691,0.145400,0.188113,0.264684,0.402801", \ - "0.101115,0.108366,0.121691,0.145415,0.188134,0.264691,0.402814", \ - "0.101128,0.108374,0.121686,0.145361,0.188120,0.264721,0.402812", \ - "0.101137,0.108392,0.121712,0.145390,0.188152,0.264712,0.402806", \ - "0.102888,0.109661,0.122341,0.145564,0.188023,0.264723,0.402813", \ - "0.119182,0.125250,0.136070,0.155675,0.192956,0.265114,0.402803", \ - "0.143661,0.149986,0.161566,0.181557,0.216025,0.278469,0.404812"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.032937,0.034601,0.037570,0.042829,0.052084,0.068370,0.097167", \ - "0.038191,0.039854,0.042824,0.048084,0.057338,0.073627,0.102429", \ - "0.053809,0.055457,0.058398,0.063620,0.072870,0.089185,0.118039", \ - "0.077257,0.079366,0.083014,0.089351,0.099987,0.117577,0.146811", \ - "0.102117,0.104895,0.109637,0.117926,0.131644,0.153520,0.187990", \ - "0.124006,0.127838,0.133995,0.145066,0.163296,0.191446,0.233910", \ - "0.139910,0.144927,0.153269,0.167641,0.191239,0.227489,0.280746"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.217996,0.226262,0.241244,0.268446,0.317122,0.404398,0.562223", \ - "0.220747,0.229062,0.244156,0.271299,0.320146,0.407630,0.565681", \ - "0.235251,0.243590,0.258671,0.285884,0.335065,0.422767,0.581017", \ - "0.266200,0.274480,0.289146,0.316380,0.365153,0.453010,0.611430", \ - "0.313109,0.321388,0.336263,0.363154,0.411862,0.499489,0.657829", \ - "0.375614,0.384639,0.400664,0.428505,0.477363,0.565106,0.723093", \ - "0.444463,0.454917,0.473361,0.505519,0.560294,0.651935,0.809967"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023176,0.024474,0.026821,0.031071,0.038742,0.052540,0.077101", \ - "0.023135,0.024438,0.026789,0.031047,0.038722,0.052531,0.077097", \ - "0.023283,0.024515,0.026784,0.030930,0.038581,0.052490,0.077095", \ - "0.029468,0.030632,0.032752,0.036499,0.043141,0.055016,0.077739", \ - "0.041272,0.042452,0.044632,0.048337,0.054894,0.066542,0.087018", \ - "0.058946,0.060222,0.062665,0.066546,0.073047,0.084355,0.104419", \ - "0.081035,0.082518,0.085246,0.089868,0.097150,0.108999,0.128901"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.115283,0.122642,0.136186,0.160235,0.203208,0.280199,0.418812", \ - "0.115290,0.122645,0.136174,0.160154,0.203196,0.280196,0.418814", \ - "0.115284,0.122644,0.136190,0.160156,0.203206,0.280221,0.418820", \ - "0.115301,0.122658,0.136194,0.160177,0.203147,0.280181,0.418816", \ - "0.115725,0.122974,0.136379,0.160228,0.203185,0.280181,0.418811", \ - "0.127943,0.134086,0.145513,0.166485,0.205688,0.280315,0.418811", \ - "0.151954,0.158369,0.170070,0.190270,0.224825,0.290224,0.419766"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.027303,0.029038,0.032124,0.037568,0.047087,0.063690,0.092796", \ - "0.032546,0.034274,0.037349,0.042777,0.052276,0.068862,0.097958", \ - "0.048140,0.049904,0.052987,0.058313,0.067695,0.084221,0.113320", \ - "0.070267,0.072536,0.076462,0.083165,0.094244,0.112369,0.142008", \ - "0.092746,0.095858,0.101060,0.110053,0.124616,0.147339,0.182617", \ - "0.111494,0.115756,0.122598,0.134664,0.154251,0.183804,0.227560", \ - "0.123806,0.129385,0.138454,0.154174,0.179557,0.217794,0.272971"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.167422,0.175138,0.189157,0.214364,0.259758,0.341556,0.489343", \ - "0.170437,0.178199,0.192319,0.217600,0.263166,0.345127,0.493159", \ - "0.186325,0.194116,0.208224,0.233689,0.279306,0.361623,0.509891", \ - "0.218403,0.226103,0.239810,0.265081,0.310689,0.392987,0.541271", \ - "0.264170,0.272033,0.286067,0.311073,0.356442,0.438492,0.586730", \ - "0.316872,0.326018,0.342255,0.370580,0.418778,0.501007,0.649110", \ - "0.374074,0.384667,0.403355,0.435540,0.489932,0.580588,0.730888"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.018990,0.020332,0.022722,0.026978,0.034488,0.047886,0.072006", \ - "0.018819,0.020163,0.022559,0.026836,0.034382,0.047807,0.071966", \ - "0.019563,0.020722,0.022858,0.026804,0.034117,0.047626,0.071911", \ - "0.025939,0.027076,0.029132,0.032780,0.039214,0.050662,0.072673", \ - "0.036988,0.038218,0.040450,0.044121,0.050567,0.061984,0.082340", \ - "0.052507,0.053999,0.056747,0.061040,0.067895,0.079411,0.099533", \ - "0.071732,0.073632,0.076974,0.082390,0.090582,0.103286,0.123721"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.086685,0.093629,0.106485,0.129309,0.170219,0.243964,0.376825", \ - "0.086697,0.093637,0.106492,0.129140,0.170171,0.243874,0.376817", \ - "0.086709,0.093646,0.106503,0.129282,0.170215,0.243890,0.376821", \ - "0.086798,0.093710,0.106538,0.129167,0.170149,0.243897,0.376810", \ - "0.090576,0.096868,0.108625,0.129985,0.170238,0.243837,0.376809", \ - "0.105745,0.111997,0.123533,0.142762,0.177891,0.245730,0.376813", \ - "0.125749,0.132271,0.144269,0.164811,0.200093,0.261482,0.381326"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.027294,0.029027,0.032113,0.037553,0.047067,0.063663,0.092755", \ - "0.032585,0.034312,0.037388,0.042814,0.052309,0.068889,0.097971", \ - "0.048306,0.050071,0.053154,0.058476,0.067858,0.084377,0.113462", \ - "0.070563,0.072837,0.076742,0.083442,0.094497,0.112609,0.142223", \ - "0.093137,0.096239,0.101457,0.110392,0.124934,0.147641,0.182860", \ - "0.111825,0.116094,0.122906,0.135029,0.154557,0.184108,0.227817", \ - "0.123837,0.129430,0.138512,0.154319,0.179690,0.218023,0.273177"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.208017,0.217510,0.234742,0.265879,0.321831,0.422463,0.604571", \ - "0.210271,0.219840,0.237213,0.268536,0.324577,0.425528,0.607862", \ - "0.224422,0.234015,0.251435,0.282805,0.339091,0.440329,0.623027", \ - "0.255011,0.264562,0.281517,0.312816,0.369015,0.470204,0.652959", \ - "0.299804,0.309359,0.326562,0.357480,0.413438,0.514506,0.697066", \ - "0.355300,0.365945,0.384868,0.417886,0.474404,0.575541,0.757769", \ - "0.415977,0.428103,0.449501,0.486605,0.549710,0.655611,0.837838"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.018987,0.020326,0.022726,0.026973,0.034488,0.047882,0.072000", \ - "0.018831,0.020176,0.022573,0.026843,0.034386,0.047810,0.071959", \ - "0.019569,0.020723,0.022861,0.026809,0.034123,0.047632,0.071912", \ - "0.025857,0.027011,0.029054,0.032716,0.039161,0.050620,0.072656", \ - "0.036828,0.038063,0.040274,0.043992,0.050439,0.061885,0.082276", \ - "0.052310,0.053806,0.056584,0.060845,0.067727,0.079288,0.099429", \ - "0.071628,0.073528,0.076917,0.082301,0.090447,0.103154,0.123631"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.108751,0.117257,0.132857,0.160923,0.211007,0.301055,0.462978", \ - "0.108768,0.117271,0.132864,0.160932,0.210979,0.301049,0.462992", \ - "0.108790,0.117286,0.132870,0.160944,0.211061,0.301051,0.462981", \ - "0.108830,0.117320,0.132904,0.160955,0.211139,0.301039,0.462982", \ - "0.110200,0.118362,0.133596,0.161140,0.211000,0.301092,0.462976", \ - "0.124183,0.131509,0.144819,0.169075,0.214497,0.301339,0.462963", \ - "0.144525,0.152286,0.166457,0.191077,0.233244,0.311109,0.463826"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.027807,0.029536,0.032616,0.038048,0.047558,0.064155,0.093270", \ - "0.033096,0.034819,0.037890,0.043308,0.052797,0.069379,0.098488", \ - "0.048838,0.050589,0.053649,0.058952,0.068337,0.084867,0.113979", \ - "0.071275,0.073525,0.077408,0.084045,0.095065,0.113129,0.142732", \ - "0.094188,0.097229,0.102396,0.111271,0.125699,0.148299,0.183476", \ - "0.113359,0.117549,0.124297,0.136290,0.155673,0.185029,0.228591", \ - "0.125959,0.131466,0.140553,0.156105,0.181264,0.219303,0.274236"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.241256,0.250799,0.268102,0.299312,0.355374,0.456445,0.638785", \ - "0.243767,0.253368,0.270726,0.302129,0.358429,0.459530,0.642056", \ - "0.258048,0.267667,0.285107,0.316521,0.373050,0.474439,0.657334", \ - "0.288518,0.298087,0.315080,0.346391,0.402897,0.504270,0.687257", \ - "0.333257,0.342821,0.360013,0.391087,0.447295,0.548448,0.731330", \ - "0.392100,0.402301,0.420380,0.451956,0.508280,0.609395,0.791995", \ - "0.457831,0.469264,0.489554,0.525074,0.586142,0.689617,0.871979"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021759,0.023145,0.025623,0.030024,0.037840,0.051668,0.076123", \ - "0.021585,0.022972,0.025458,0.029888,0.037728,0.051596,0.076088", \ - "0.022174,0.023394,0.025638,0.029790,0.037442,0.051418,0.076031", \ - "0.029315,0.030440,0.032471,0.036102,0.042643,0.054343,0.076762", \ - "0.042203,0.043271,0.045279,0.048749,0.054975,0.066269,0.086490", \ - "0.060811,0.061926,0.064181,0.067756,0.073845,0.084663,0.104224", \ - "0.083621,0.084963,0.087523,0.091764,0.098580,0.109881,0.129160"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.125328,0.133983,0.149858,0.178348,0.228833,0.319445,0.481965", \ - "0.125330,0.133983,0.149849,0.178289,0.228944,0.319408,0.481955", \ - "0.125342,0.133992,0.149860,0.178362,0.228828,0.319400,0.481965", \ - "0.125353,0.134001,0.149876,0.178279,0.228938,0.319376,0.481960", \ - "0.125824,0.134372,0.150123,0.178405,0.228859,0.319400,0.481955", \ - "0.135808,0.143415,0.157505,0.183094,0.230486,0.319511,0.481950", \ - "0.155989,0.163849,0.178146,0.203107,0.245552,0.326413,0.482260"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.027818,0.029549,0.032627,0.038060,0.047572,0.064182,0.093307", \ - "0.033058,0.034782,0.037852,0.043268,0.052762,0.069351,0.098485", \ - "0.048662,0.050414,0.053485,0.058787,0.068172,0.084707,0.113830", \ - "0.070973,0.073228,0.077103,0.083763,0.094805,0.112891,0.142516", \ - "0.093796,0.096844,0.102039,0.110920,0.125365,0.147996,0.183229", \ - "0.113020,0.117201,0.123944,0.135956,0.155334,0.184708,0.228310", \ - "0.125942,0.131418,0.140506,0.155979,0.181117,0.219098,0.274015"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.194063,0.201821,0.215887,0.241190,0.286831,0.368829,0.516878", \ - "0.197290,0.205080,0.219181,0.244747,0.290365,0.372570,0.520724", \ - "0.213289,0.221096,0.235262,0.260825,0.306625,0.389092,0.537503", \ - "0.245239,0.252970,0.266734,0.292185,0.337971,0.420418,0.568964", \ - "0.291187,0.298997,0.312952,0.338125,0.383691,0.465979,0.614327", \ - "0.348147,0.356860,0.372418,0.399591,0.446197,0.528382,0.676689", \ - "0.410329,0.420266,0.437844,0.468491,0.520968,0.609376,0.758414"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021751,0.023143,0.025615,0.030010,0.037827,0.051663,0.076119", \ - "0.021569,0.022963,0.025456,0.029867,0.037713,0.051586,0.076088", \ - "0.022152,0.023375,0.025650,0.029782,0.037424,0.051404,0.076027", \ - "0.029379,0.030497,0.032534,0.036173,0.042690,0.054375,0.076776", \ - "0.042376,0.043435,0.045426,0.048889,0.055097,0.066364,0.086555", \ - "0.061023,0.062135,0.064390,0.067934,0.074027,0.084814,0.104332", \ - "0.083718,0.085072,0.087594,0.091869,0.098723,0.110025,0.129293"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.099908,0.106978,0.120063,0.143161,0.184481,0.258555,0.392032", \ - "0.099917,0.106984,0.120090,0.143254,0.184486,0.258579,0.392018", \ - "0.099917,0.106986,0.120063,0.143177,0.184533,0.258591,0.392046", \ - "0.099945,0.107003,0.120066,0.143141,0.184514,0.258576,0.392039", \ - "0.101513,0.108172,0.120796,0.143301,0.184328,0.258522,0.392017", \ - "0.115191,0.121484,0.132663,0.152431,0.189266,0.259327,0.392035", \ - "0.134582,0.141185,0.153329,0.174015,0.209591,0.272281,0.395102"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.027807,0.029536,0.032616,0.038048,0.047558,0.064155,0.093270", \ - "0.033096,0.034819,0.037890,0.043308,0.052797,0.069379,0.098488", \ - "0.048838,0.050589,0.053649,0.058952,0.068337,0.084867,0.113979", \ - "0.071275,0.073525,0.077408,0.084045,0.095065,0.113129,0.142732", \ - "0.094188,0.097229,0.102396,0.111271,0.125699,0.148299,0.183476", \ - "0.113359,0.117549,0.124297,0.136290,0.155673,0.185029,0.228591", \ - "0.125959,0.131466,0.140553,0.156105,0.181264,0.219303,0.274236"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.241256,0.250799,0.268102,0.299312,0.355374,0.456445,0.638785", \ - "0.243767,0.253368,0.270726,0.302129,0.358429,0.459530,0.642056", \ - "0.258048,0.267667,0.285107,0.316521,0.373050,0.474439,0.657334", \ - "0.288518,0.298087,0.315080,0.346391,0.402897,0.504270,0.687257", \ - "0.333257,0.342821,0.360013,0.391087,0.447295,0.548448,0.731330", \ - "0.392100,0.402301,0.420380,0.451956,0.508280,0.609395,0.791995", \ - "0.457831,0.469264,0.489554,0.525074,0.586142,0.689617,0.871979"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021759,0.023145,0.025623,0.030024,0.037840,0.051668,0.076123", \ - "0.021585,0.022972,0.025458,0.029888,0.037728,0.051596,0.076088", \ - "0.022174,0.023394,0.025638,0.029790,0.037442,0.051418,0.076031", \ - "0.029315,0.030440,0.032471,0.036102,0.042643,0.054343,0.076762", \ - "0.042203,0.043271,0.045279,0.048749,0.054975,0.066269,0.086490", \ - "0.060811,0.061926,0.064181,0.067756,0.073845,0.084663,0.104224", \ - "0.083621,0.084963,0.087523,0.091764,0.098580,0.109881,0.129160"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.125328,0.133983,0.149858,0.178348,0.228833,0.319445,0.481965", \ - "0.125330,0.133983,0.149849,0.178289,0.228944,0.319408,0.481955", \ - "0.125342,0.133992,0.149860,0.178362,0.228828,0.319400,0.481965", \ - "0.125353,0.134001,0.149876,0.178279,0.228938,0.319376,0.481960", \ - "0.125824,0.134372,0.150123,0.178405,0.228859,0.319400,0.481955", \ - "0.135808,0.143415,0.157505,0.183094,0.230486,0.319511,0.481950", \ - "0.155989,0.163849,0.178146,0.203107,0.245552,0.326413,0.482260"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.028325,0.030052,0.033122,0.038539,0.048040,0.064641,0.093779", \ - "0.033612,0.035328,0.038387,0.043798,0.053286,0.069867,0.098994", \ - "0.049354,0.051094,0.054141,0.059430,0.068820,0.085358,0.114493", \ - "0.071979,0.074204,0.078053,0.084639,0.095627,0.113650,0.143241", \ - "0.095250,0.098263,0.103300,0.112125,0.126442,0.148951,0.184077", \ - "0.114901,0.119035,0.125683,0.137561,0.156718,0.185931,0.229330", \ - "0.128108,0.133539,0.142570,0.157966,0.182782,0.220540,0.275254"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.274732,0.284301,0.301585,0.332886,0.389071,0.490422,0.672970", \ - "0.277428,0.287032,0.304379,0.335749,0.392174,0.493588,0.676285", \ - "0.291867,0.301492,0.318896,0.350399,0.406864,0.508574,0.691608", \ - "0.322251,0.331798,0.348834,0.380270,0.436712,0.538384,0.721562", \ - "0.366883,0.376487,0.393679,0.424804,0.481197,0.582608,0.765616", \ - "0.427308,0.437010,0.454451,0.485718,0.542114,0.643378,0.826196", \ - "0.497532,0.508459,0.527979,0.562206,0.621689,0.723586,0.906111"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026592,0.027917,0.030321,0.034652,0.042393,0.056095,0.080416", \ - "0.026398,0.027729,0.030152,0.034507,0.042284,0.056021,0.080381", \ - "0.026760,0.027964,0.030218,0.034358,0.041981,0.055849,0.080327", \ - "0.034949,0.035888,0.037670,0.041027,0.047238,0.058711,0.081041", \ - "0.049316,0.050173,0.051782,0.054770,0.060385,0.071079,0.090808", \ - "0.069575,0.070396,0.072169,0.075067,0.080297,0.090247,0.109046", \ - "0.094743,0.095705,0.097582,0.100897,0.106543,0.116561,0.134714"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.142188,0.150961,0.167027,0.195844,0.246743,0.337875,0.500974", \ - "0.142190,0.150962,0.167026,0.195846,0.246763,0.337885,0.500963", \ - "0.142193,0.150962,0.167022,0.195835,0.246725,0.337798,0.500968", \ - "0.142196,0.150969,0.167037,0.195830,0.246725,0.337869,0.500966", \ - "0.142368,0.151099,0.167116,0.195855,0.246777,0.337789,0.500965", \ - "0.148731,0.156728,0.171559,0.198355,0.247326,0.337800,0.500955", \ - "0.168179,0.176110,0.190572,0.214965,0.258940,0.342586,0.501082"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.031726,0.033649,0.037062,0.043038,0.053377,0.071139,0.101722", \ - "0.036364,0.038265,0.041649,0.047597,0.057897,0.075630,0.106186", \ - "0.054737,0.056377,0.059425,0.064972,0.074860,0.092275,0.122657", \ - "0.083981,0.086145,0.090098,0.097093,0.108366,0.126067,0.155282", \ - "0.111317,0.114345,0.119372,0.128827,0.144391,0.168631,0.205224", \ - "0.133802,0.137825,0.144525,0.156768,0.176745,0.208267,0.255852", \ - "0.149640,0.154685,0.163288,0.178447,0.203484,0.242941,0.302556"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.167069,0.173774,0.185744,0.207173,0.245664,0.314966,0.440032", \ - "0.170438,0.177287,0.189448,0.211201,0.250105,0.319864,0.445340", \ - "0.187085,0.193879,0.205986,0.227694,0.266735,0.336878,0.462993", \ - "0.220363,0.226917,0.238691,0.260225,0.298972,0.368856,0.494944", \ - "0.268010,0.274697,0.286351,0.307874,0.346401,0.415937,0.541733", \ - "0.321706,0.329614,0.343229,0.367147,0.408514,0.478791,0.604209", \ - "0.376451,0.385610,0.401772,0.429326,0.476128,0.554494,0.684134"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026428,0.027835,0.030338,0.034771,0.042565,0.056307,0.080699", \ - "0.025965,0.027406,0.029963,0.034463,0.042346,0.056162,0.080634", \ - "0.024844,0.026114,0.028445,0.032871,0.041036,0.055486,0.080368", \ - "0.036501,0.037656,0.039546,0.042675,0.047929,0.057950,0.079796", \ - "0.053118,0.054712,0.057429,0.061578,0.068193,0.078739,0.095279", \ - "0.073379,0.075395,0.079006,0.084515,0.093223,0.106524,0.126454", \ - "0.097149,0.099741,0.104283,0.111377,0.122460,0.139132,0.163496"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.069700,0.075464,0.085748,0.104439,0.138128,0.199075,0.308990", \ - "0.069775,0.075519,0.085808,0.104444,0.138139,0.199075,0.308994", \ - "0.069864,0.075584,0.085881,0.104452,0.138170,0.199055,0.309012", \ - "0.069925,0.075660,0.085905,0.104461,0.138147,0.199095,0.309013", \ - "0.072448,0.077700,0.087333,0.105235,0.138381,0.199034,0.309044", \ - "0.085081,0.090351,0.099730,0.116078,0.145385,0.201340,0.308983", \ - "0.101895,0.107444,0.117452,0.134770,0.165264,0.217453,0.314993"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030024,0.031901,0.035250,0.041132,0.051354,0.069005,0.099486", \ - "0.034747,0.036592,0.039903,0.045736,0.055899,0.073485,0.103922", \ - "0.053240,0.054826,0.057792,0.063207,0.072932,0.090165,0.120371", \ - "0.081185,0.083382,0.087450,0.094564,0.106037,0.123954,0.153051", \ - "0.106998,0.110118,0.115281,0.125012,0.140868,0.165490,0.202537", \ - "0.127647,0.131791,0.138704,0.151299,0.171782,0.203897,0.252180", \ - "0.141282,0.146517,0.155425,0.171067,0.196755,0.237091,0.297674"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.195150,0.203513,0.218649,0.245513,0.293897,0.381122,0.538665", \ - "0.197954,0.206485,0.221816,0.249096,0.298076,0.385861,0.543914", \ - "0.213791,0.222218,0.237481,0.264756,0.313852,0.402155,0.560976", \ - "0.245619,0.253765,0.268672,0.295666,0.344397,0.432383,0.591129", \ - "0.289905,0.298238,0.312704,0.339563,0.387980,0.475586,0.633929", \ - "0.340853,0.350133,0.366385,0.395136,0.444730,0.532203,0.690213", \ - "0.393133,0.403555,0.422107,0.453886,0.508775,0.602357,0.761360"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024705,0.026134,0.028664,0.033154,0.041044,0.054889,0.079414", \ - "0.024242,0.025697,0.028261,0.032805,0.040771,0.054694,0.079301", \ - "0.023786,0.024994,0.027239,0.031467,0.039504,0.053992,0.078980", \ - "0.035972,0.037142,0.039053,0.042189,0.047469,0.057205,0.078647", \ - "0.052805,0.054369,0.057135,0.061269,0.067929,0.078498,0.095034", \ - "0.073337,0.075381,0.079033,0.084497,0.093215,0.106541,0.126427", \ - "0.097480,0.100086,0.104768,0.111824,0.122874,0.139504,0.163751"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.087119,0.094294,0.107367,0.130778,0.173026,0.249309,0.386860", \ - "0.087164,0.094301,0.107343,0.130749,0.173024,0.249304,0.386858", \ - "0.087213,0.094354,0.107373,0.130775,0.173016,0.249304,0.386857", \ - "0.087229,0.094389,0.107465,0.130812,0.173031,0.249312,0.386846", \ - "0.088558,0.095398,0.108092,0.131094,0.173038,0.249299,0.386859", \ - "0.099500,0.106098,0.117674,0.138424,0.176927,0.249813,0.386824", \ - "0.114667,0.121482,0.133912,0.155537,0.193731,0.260560,0.389106"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030613,0.032486,0.035822,0.041688,0.051902,0.069546,0.100042", \ - "0.035321,0.037168,0.040463,0.046288,0.056440,0.074028,0.104486", \ - "0.053737,0.055324,0.058294,0.063719,0.073456,0.090693,0.120921", \ - "0.082011,0.084166,0.088205,0.095269,0.106645,0.124486,0.153591", \ - "0.108239,0.111347,0.116408,0.126058,0.141800,0.166308,0.203224", \ - "0.129393,0.133525,0.140427,0.152852,0.173148,0.205092,0.253163", \ - "0.143662,0.148873,0.157776,0.173270,0.198716,0.238780,0.299081"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.223854,0.232185,0.247265,0.274313,0.322951,0.410358,0.568131", \ - "0.227328,0.235780,0.251032,0.278344,0.327566,0.415296,0.573452", \ - "0.243048,0.251478,0.266857,0.294128,0.343465,0.431673,0.590598", \ - "0.274500,0.282699,0.297716,0.324765,0.373855,0.461953,0.620781", \ - "0.318739,0.327018,0.341590,0.368630,0.417159,0.505015,0.663520", \ - "0.372636,0.381580,0.397355,0.425048,0.473954,0.561543,0.719794", \ - "0.428825,0.438747,0.456424,0.487285,0.540724,0.632540,0.790859"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.028493,0.029922,0.032492,0.037056,0.045101,0.059163,0.083782", \ - "0.027973,0.029439,0.032056,0.036688,0.044812,0.058962,0.083671", \ - "0.027114,0.028405,0.030778,0.035187,0.043494,0.058245,0.083345", \ - "0.040386,0.041460,0.043191,0.046107,0.051153,0.061255,0.082991", \ - "0.060561,0.061818,0.064219,0.067692,0.073584,0.083377,0.099199", \ - "0.084850,0.086411,0.089209,0.093796,0.101240,0.113160,0.131751", \ - "0.112826,0.114792,0.118319,0.124022,0.133366,0.148065,0.170459"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.101100,0.108431,0.121717,0.145442,0.188161,0.264715,0.402805", \ - "0.101101,0.108436,0.121720,0.145426,0.188165,0.264729,0.402810", \ - "0.101125,0.108434,0.121774,0.145471,0.188135,0.264694,0.402797", \ - "0.101130,0.108444,0.121781,0.145487,0.188059,0.264782,0.402802", \ - "0.101601,0.108825,0.121981,0.145543,0.187978,0.264712,0.402795", \ - "0.110277,0.116764,0.128611,0.150199,0.190102,0.264856,0.402785", \ - "0.125477,0.132460,0.145099,0.166896,0.204941,0.273244,0.404184"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023904,0.025787,0.029157,0.035117,0.045536,0.063587,0.094729", \ - "0.028826,0.030665,0.033983,0.039866,0.050199,0.068168,0.099243", \ - "0.048164,0.049931,0.053006,0.058248,0.067879,0.085231,0.115883", \ - "0.074282,0.076726,0.081152,0.088756,0.100889,0.119643,0.149058", \ - "0.098549,0.101958,0.107475,0.117907,0.134612,0.160291,0.198422", \ - "0.117763,0.122208,0.129593,0.142865,0.164406,0.197795,0.247373", \ - "0.130065,0.135624,0.145063,0.161495,0.188335,0.230092,0.292189"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.181770,0.189765,0.204075,0.229579,0.275374,0.357817,0.506565", \ - "0.184754,0.192892,0.207443,0.233328,0.279620,0.362601,0.511870", \ - "0.200888,0.208969,0.223463,0.249317,0.295742,0.379174,0.529105", \ - "0.233885,0.241731,0.255851,0.281475,0.327585,0.410667,0.560589", \ - "0.280967,0.288918,0.302904,0.328425,0.374278,0.456987,0.606483", \ - "0.334378,0.343460,0.359289,0.386943,0.434503,0.517396,0.666548", \ - "0.388728,0.399027,0.417216,0.448257,0.501201,0.590723,0.741709"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021487,0.023103,0.025977,0.030966,0.039570,0.054244,0.079523", \ - "0.021088,0.022716,0.025603,0.030641,0.039267,0.054011,0.079365", \ - "0.023190,0.024211,0.026227,0.030190,0.038064,0.053039,0.078810", \ - "0.037296,0.038379,0.040267,0.043305,0.048458,0.057673,0.078576", \ - "0.055226,0.056719,0.059417,0.063378,0.069817,0.080093,0.096250", \ - "0.076705,0.078653,0.082086,0.087495,0.095869,0.108700,0.128055", \ - "0.101656,0.104187,0.108561,0.115478,0.126095,0.142165,0.165718"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.076691,0.083556,0.096142,0.118512,0.159222,0.233112,0.366310", \ - "0.076800,0.083648,0.096183,0.118543,0.159267,0.233107,0.366318", \ - "0.076978,0.083808,0.096278,0.118585,0.159233,0.233099,0.366311", \ - "0.077056,0.083894,0.096336,0.118630,0.159244,0.233102,0.366312", \ - "0.079061,0.085572,0.097502,0.119239,0.159459,0.233058,0.366311", \ - "0.090354,0.096705,0.108041,0.127743,0.164378,0.234086,0.366314", \ - "0.105163,0.111841,0.124062,0.144856,0.181755,0.246233,0.369510"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023319,0.025162,0.028464,0.034310,0.044564,0.062393,0.093275", \ - "0.028265,0.030063,0.033310,0.039077,0.049238,0.066970,0.097767", \ - "0.047330,0.049093,0.052174,0.057374,0.066861,0.083998,0.114378", \ - "0.072350,0.074803,0.079355,0.087051,0.099300,0.118162,0.147504", \ - "0.095193,0.098680,0.104312,0.114957,0.131913,0.157889,0.196337", \ - "0.112620,0.117199,0.124836,0.138410,0.160342,0.194246,0.244362", \ - "0.122765,0.128506,0.138231,0.155150,0.182619,0.225115,0.288052"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.208953,0.218627,0.236079,0.267201,0.323095,0.423800,0.605800", \ - "0.211353,0.221199,0.238950,0.270478,0.327010,0.428461,0.611007", \ - "0.226687,0.236434,0.254076,0.285582,0.342281,0.444229,0.627701", \ - "0.258259,0.267717,0.285001,0.316196,0.372489,0.474038,0.657419", \ - "0.302091,0.311718,0.328594,0.359590,0.415552,0.516622,0.699538", \ - "0.352660,0.363179,0.381773,0.414171,0.470682,0.571656,0.754048", \ - "0.404385,0.415997,0.436693,0.472257,0.533789,0.639283,0.821880"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020593,0.022168,0.024989,0.029911,0.038409,0.052991,0.078209", \ - "0.020221,0.021802,0.024629,0.029571,0.038094,0.052733,0.078026", \ - "0.022626,0.023609,0.025550,0.029373,0.037022,0.051798,0.077480", \ - "0.036732,0.037823,0.039706,0.042753,0.047951,0.057004,0.077531", \ - "0.054688,0.056197,0.058902,0.062890,0.069358,0.079659,0.095824", \ - "0.076352,0.078275,0.081843,0.087155,0.095580,0.108420,0.127779", \ - "0.101642,0.104193,0.108566,0.115477,0.126149,0.142183,0.165666"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.092499,0.100869,0.116266,0.143682,0.193269,0.282854,0.444082", \ - "0.092556,0.100909,0.116299,0.143646,0.193295,0.282810,0.444073", \ - "0.092662,0.100994,0.116363,0.143654,0.193260,0.282855,0.444079", \ - "0.092730,0.101026,0.116395,0.143707,0.193386,0.282808,0.444074", \ - "0.093889,0.101989,0.117058,0.143963,0.193302,0.282856,0.444071", \ - "0.103728,0.111287,0.124890,0.149678,0.195892,0.283039,0.444061", \ - "0.117166,0.125219,0.139878,0.165391,0.210392,0.290888,0.445125"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023870,0.025713,0.029006,0.034847,0.045097,0.062935,0.093835", \ - "0.028799,0.030603,0.033846,0.039612,0.049769,0.067506,0.098326", \ - "0.047876,0.049634,0.052680,0.057861,0.067365,0.084519,0.114935", \ - "0.073298,0.075723,0.080181,0.087791,0.099958,0.118729,0.148043", \ - "0.096575,0.100006,0.105566,0.116125,0.132926,0.158742,0.197058", \ - "0.114581,0.119122,0.126708,0.140128,0.161836,0.195507,0.245430", \ - "0.125469,0.131163,0.140861,0.157569,0.184744,0.226921,0.289505"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.242283,0.251918,0.269325,0.300730,0.356709,0.457688,0.639912", \ - "0.245445,0.255198,0.272847,0.304415,0.361044,0.462469,0.645211", \ - "0.260637,0.270395,0.288059,0.319676,0.376401,0.478430,0.662022", \ - "0.291764,0.301265,0.318495,0.349925,0.406534,0.508095,0.691745", \ - "0.335530,0.345051,0.362050,0.393310,0.449460,0.550675,0.733851", \ - "0.388790,0.398960,0.416735,0.448297,0.504486,0.605644,0.788307", \ - "0.444222,0.455302,0.475110,0.509607,0.569833,0.673499,0.855959"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024277,0.025905,0.028802,0.033857,0.042561,0.057366,0.082640", \ - "0.023810,0.025459,0.028381,0.033475,0.042228,0.057096,0.082456", \ - "0.025601,0.026699,0.028845,0.032963,0.041005,0.056117,0.081900", \ - "0.041724,0.042668,0.044236,0.046988,0.051836,0.061066,0.081898", \ - "0.063495,0.064572,0.066655,0.069878,0.075377,0.084715,0.100100", \ - "0.089129,0.090498,0.093089,0.097135,0.104087,0.115317,0.133220", \ - "0.118480,0.120222,0.123427,0.128584,0.137206,0.151057,0.172544"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.108723,0.117312,0.133107,0.161014,0.210983,0.301054,0.462982", \ - "0.108739,0.117323,0.133098,0.161029,0.211014,0.301050,0.462993", \ - "0.108777,0.117354,0.133127,0.160965,0.211028,0.301064,0.462984", \ - "0.108802,0.117369,0.133139,0.160953,0.211012,0.301123,0.462982", \ - "0.109228,0.117713,0.133368,0.161032,0.211060,0.301052,0.462981", \ - "0.116418,0.124218,0.138474,0.164387,0.212136,0.301188,0.462972", \ - "0.130213,0.138465,0.153433,0.179186,0.224080,0.306848,0.463402"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024470,0.026353,0.029714,0.035665,0.046082,0.064137,0.095294", \ - "0.029382,0.031224,0.034528,0.040423,0.050744,0.068713,0.099810", \ - "0.048766,0.050496,0.053505,0.058744,0.068393,0.085765,0.116445", \ - "0.075206,0.077586,0.081985,0.089529,0.101559,0.120206,0.149590", \ - "0.099920,0.103275,0.108694,0.119037,0.135609,0.161142,0.199138", \ - "0.119670,0.124115,0.131497,0.144607,0.165893,0.199065,0.248446", \ - "0.132709,0.138222,0.147672,0.163875,0.190434,0.231862,0.293640"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.208910,0.216845,0.231193,0.256750,0.302656,0.385326,0.534293", \ - "0.212531,0.220582,0.235044,0.260888,0.307164,0.390304,0.539622", \ - "0.228589,0.236631,0.251190,0.276990,0.323585,0.406997,0.557021", \ - "0.261230,0.269021,0.283268,0.308913,0.355202,0.438432,0.588530", \ - "0.308421,0.316295,0.330202,0.355752,0.401819,0.484732,0.634349", \ - "0.365072,0.373735,0.389073,0.415641,0.462206,0.544976,0.694380", \ - "0.423539,0.433211,0.450453,0.480178,0.531701,0.619520,0.769446"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.025503,0.027155,0.030069,0.035159,0.043902,0.058724,0.084005", \ - "0.025015,0.026687,0.029645,0.034783,0.043586,0.058482,0.083850", \ - "0.026403,0.027563,0.029784,0.034025,0.042230,0.057468,0.083292", \ - "0.042374,0.043287,0.044901,0.047610,0.052431,0.061834,0.082988", \ - "0.064010,0.065086,0.067264,0.070342,0.075852,0.085154,0.100520", \ - "0.089343,0.090713,0.093279,0.097382,0.104304,0.115560,0.133492", \ - "0.118214,0.119961,0.123154,0.128402,0.137076,0.151003,0.172567"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.089467,0.096561,0.109413,0.132198,0.173536,0.247837,0.381607", \ - "0.089504,0.096591,0.109417,0.132213,0.173530,0.247850,0.381607", \ - "0.089581,0.096647,0.109508,0.132288,0.173551,0.247835,0.381603", \ - "0.089633,0.096689,0.109551,0.132320,0.173551,0.247804,0.381606", \ - "0.090591,0.097518,0.110096,0.132556,0.173601,0.247905,0.381603", \ - "0.100159,0.106482,0.117823,0.138398,0.176557,0.248266,0.381589", \ - "0.114764,0.121662,0.134128,0.155280,0.192522,0.258016,0.383723"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023870,0.025713,0.029006,0.034847,0.045097,0.062935,0.093835", \ - "0.028799,0.030603,0.033846,0.039612,0.049769,0.067506,0.098326", \ - "0.047876,0.049634,0.052680,0.057861,0.067365,0.084519,0.114935", \ - "0.073298,0.075723,0.080181,0.087791,0.099958,0.118729,0.148043", \ - "0.096575,0.100006,0.105566,0.116125,0.132926,0.158742,0.197058", \ - "0.114581,0.119122,0.126708,0.140128,0.161836,0.195507,0.245430", \ - "0.125469,0.131163,0.140861,0.157569,0.184744,0.226921,0.289505"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.242283,0.251918,0.269325,0.300730,0.356709,0.457688,0.639912", \ - "0.245445,0.255198,0.272847,0.304415,0.361044,0.462469,0.645211", \ - "0.260637,0.270395,0.288059,0.319676,0.376401,0.478430,0.662022", \ - "0.291764,0.301265,0.318495,0.349925,0.406534,0.508095,0.691745", \ - "0.335530,0.345051,0.362050,0.393310,0.449460,0.550675,0.733851", \ - "0.388790,0.398960,0.416735,0.448297,0.504486,0.605644,0.788307", \ - "0.444222,0.455302,0.475110,0.509607,0.569833,0.673499,0.855959"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024277,0.025905,0.028802,0.033857,0.042561,0.057366,0.082640", \ - "0.023810,0.025459,0.028381,0.033475,0.042228,0.057096,0.082456", \ - "0.025601,0.026699,0.028845,0.032963,0.041005,0.056117,0.081900", \ - "0.041724,0.042668,0.044236,0.046988,0.051836,0.061066,0.081898", \ - "0.063495,0.064572,0.066655,0.069878,0.075377,0.084715,0.100100", \ - "0.089129,0.090498,0.093089,0.097135,0.104087,0.115317,0.133220", \ - "0.118480,0.120222,0.123427,0.128584,0.137206,0.151057,0.172544"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.108723,0.117312,0.133107,0.161014,0.210983,0.301054,0.462982", \ - "0.108739,0.117323,0.133098,0.161029,0.211014,0.301050,0.462993", \ - "0.108777,0.117354,0.133127,0.160965,0.211028,0.301064,0.462984", \ - "0.108802,0.117369,0.133139,0.160953,0.211012,0.301123,0.462982", \ - "0.109228,0.117713,0.133368,0.161032,0.211060,0.301052,0.462981", \ - "0.116418,0.124218,0.138474,0.164387,0.212136,0.301188,0.462972", \ - "0.130213,0.138465,0.153433,0.179186,0.224080,0.306848,0.463402"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024421,0.026262,0.029553,0.035385,0.045628,0.063469,0.094393", \ - "0.029341,0.031143,0.034377,0.040142,0.050299,0.068042,0.098882", \ - "0.048479,0.050190,0.053192,0.058345,0.067866,0.085045,0.115478", \ - "0.074201,0.076593,0.081018,0.088536,0.100593,0.119291,0.148584", \ - "0.097972,0.101366,0.106871,0.117252,0.133903,0.159586,0.197774", \ - "0.116564,0.121096,0.128621,0.141864,0.163319,0.196722,0.246425", \ - "0.128220,0.133865,0.143546,0.160097,0.186872,0.228621,0.290919"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.275719,0.285359,0.302745,0.334297,0.390471,0.491610,0.674068", \ - "0.279433,0.289130,0.306703,0.338481,0.394887,0.496443,0.679411", \ - "0.294704,0.304466,0.322093,0.353744,0.410735,0.512705,0.696372", \ - "0.325475,0.334912,0.352222,0.383946,0.440535,0.542381,0.726161", \ - "0.369043,0.378599,0.395511,0.427007,0.483275,0.584871,0.768209", \ - "0.423695,0.433471,0.450938,0.482185,0.538411,0.639681,0.822557", \ - "0.482517,0.493226,0.512404,0.546122,0.605230,0.707559,0.890121"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030644,0.032121,0.034773,0.039517,0.047825,0.062226,0.087183", \ - "0.030098,0.031609,0.034303,0.039096,0.047469,0.061945,0.086997", \ - "0.031198,0.032276,0.034365,0.038360,0.046160,0.060947,0.086435", \ - "0.048457,0.049137,0.050297,0.052424,0.056575,0.065670,0.086372", \ - "0.072327,0.073107,0.074774,0.077128,0.081676,0.089937,0.104372", \ - "0.100537,0.101512,0.103382,0.106607,0.112240,0.122084,0.138627", \ - "0.133019,0.134240,0.136638,0.140587,0.147656,0.159681,0.179341"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.125307,0.134063,0.150149,0.178599,0.228830,0.319421,0.481929", \ - "0.125315,0.134065,0.150141,0.178579,0.228811,0.319383,0.481931", \ - "0.125325,0.134077,0.150127,0.178478,0.228926,0.319396,0.481932", \ - "0.125335,0.134077,0.150154,0.178566,0.228930,0.319388,0.481936", \ - "0.125488,0.134196,0.150224,0.178530,0.228803,0.319421,0.481931", \ - "0.130158,0.138334,0.153357,0.180078,0.229271,0.319439,0.481919", \ - "0.144026,0.152334,0.167465,0.193107,0.238503,0.323375,0.482088"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.034459,0.036371,0.039777,0.045741,0.056060,0.073812,0.104389", \ - "0.039581,0.041489,0.044881,0.050821,0.061127,0.078854,0.109414", \ - "0.055646,0.057444,0.060666,0.066417,0.076548,0.094166,0.124683", \ - "0.081973,0.084135,0.087853,0.094345,0.105222,0.123272,0.153525", \ - "0.111541,0.114176,0.118681,0.126698,0.140204,0.161932,0.196325", \ - "0.140236,0.143691,0.149367,0.159587,0.176673,0.203579,0.245001", \ - "0.164582,0.169057,0.176397,0.189492,0.211151,0.244875,0.295594"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.187138,0.193717,0.205644,0.227049,0.265524,0.334877,0.459988", \ - "0.191206,0.197831,0.209928,0.231332,0.269971,0.339532,0.464780", \ - "0.209144,0.215777,0.227875,0.249346,0.288126,0.357864,0.483414", \ - "0.242745,0.249329,0.261098,0.282544,0.321218,0.390991,0.516599", \ - "0.290840,0.297473,0.309162,0.330394,0.368973,0.438554,0.564050", \ - "0.348020,0.355480,0.368749,0.391792,0.431831,0.501584,0.627017", \ - "0.407083,0.415655,0.430923,0.457291,0.502540,0.579072,0.707078"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026240,0.027660,0.030173,0.034634,0.042459,0.056233,0.080673", \ - "0.025992,0.027422,0.029952,0.034438,0.042313,0.056129,0.080614", \ - "0.025298,0.026672,0.029143,0.033603,0.041641,0.055785,0.080468", \ - "0.030555,0.031813,0.034014,0.037913,0.044693,0.056881,0.080248", \ - "0.040095,0.041481,0.043787,0.047812,0.054749,0.066958,0.087883", \ - "0.054232,0.055735,0.058525,0.062962,0.070256,0.082566,0.103819", \ - "0.072214,0.074077,0.077369,0.082777,0.091193,0.104511,0.126128"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.080427,0.086238,0.096819,0.115734,0.149821,0.211184,0.321423", \ - "0.080452,0.086263,0.096818,0.115685,0.149809,0.211091,0.321435", \ - "0.080486,0.086289,0.096833,0.115693,0.149810,0.211146,0.321435", \ - "0.080488,0.086284,0.096901,0.115718,0.149812,0.211123,0.321463", \ - "0.081669,0.087256,0.097491,0.116079,0.149807,0.211105,0.321431", \ - "0.092855,0.098161,0.107628,0.124265,0.154764,0.212295,0.321398", \ - "0.109219,0.114851,0.125169,0.142721,0.173287,0.226101,0.325929"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.032813,0.034684,0.038015,0.043873,0.054072,0.071698,0.102165", \ - "0.038005,0.039854,0.043164,0.048992,0.059144,0.076728,0.107161", \ - "0.054077,0.055835,0.058979,0.064603,0.074563,0.092016,0.122374", \ - "0.079733,0.081871,0.085610,0.092115,0.103028,0.121081,0.151216", \ - "0.108229,0.110989,0.115595,0.123725,0.137346,0.159175,0.193749", \ - "0.135396,0.139000,0.144827,0.155322,0.172815,0.200093,0.241884", \ - "0.157821,0.162458,0.170058,0.183551,0.205791,0.240236,0.291657"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.220587,0.228819,0.243800,0.270850,0.319173,0.406358,0.563986", \ - "0.224304,0.232603,0.247709,0.274773,0.323520,0.410803,0.568556", \ - "0.241761,0.250075,0.265184,0.292395,0.341274,0.428825,0.586916", \ - "0.273983,0.282236,0.297048,0.324177,0.372755,0.460433,0.618623", \ - "0.318497,0.326754,0.341506,0.368269,0.416683,0.504341,0.662356", \ - "0.372347,0.381237,0.397176,0.424814,0.473663,0.561126,0.719091", \ - "0.428453,0.438341,0.456019,0.486938,0.540374,0.632181,0.790429"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024546,0.025982,0.028508,0.033008,0.040927,0.054806,0.079359", \ - "0.024253,0.025688,0.028242,0.032767,0.040711,0.054645,0.079276", \ - "0.023801,0.025157,0.027606,0.032030,0.040037,0.054268,0.079085", \ - "0.029520,0.030750,0.032951,0.036865,0.043614,0.055713,0.078983", \ - "0.039450,0.040804,0.043104,0.047078,0.053986,0.066058,0.087000", \ - "0.053903,0.055434,0.058198,0.062666,0.069880,0.082078,0.103177", \ - "0.072213,0.074119,0.077450,0.082840,0.091225,0.104452,0.125858"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.101103,0.108369,0.121667,0.145556,0.188048,0.264715,0.402821", \ - "0.101120,0.108378,0.121691,0.145497,0.188140,0.264743,0.402813", \ - "0.101133,0.108392,0.121673,0.145508,0.188150,0.264709,0.402809", \ - "0.101125,0.108383,0.121704,0.145406,0.188075,0.264703,0.402813", \ - "0.101608,0.108765,0.121915,0.145526,0.187983,0.264782,0.402820", \ - "0.110258,0.116760,0.128630,0.150264,0.190166,0.264862,0.402798", \ - "0.125136,0.132068,0.144650,0.166640,0.204857,0.273300,0.404243"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.033397,0.035261,0.038581,0.044427,0.054616,0.072238,0.102721", \ - "0.038580,0.040431,0.043728,0.049545,0.059688,0.077268,0.107720", \ - "0.054610,0.056358,0.059505,0.065135,0.075100,0.092551,0.122934", \ - "0.080423,0.082556,0.086280,0.092729,0.103588,0.121614,0.151765", \ - "0.109215,0.111889,0.116467,0.124558,0.138079,0.159814,0.194390", \ - "0.136754,0.140293,0.146046,0.156462,0.173827,0.200982,0.242657", \ - "0.159663,0.164233,0.171765,0.185143,0.207172,0.241439,0.292675"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.249261,0.257538,0.272507,0.299651,0.348329,0.435607,0.593493", \ - "0.253180,0.261499,0.276573,0.303738,0.352511,0.440095,0.598122", \ - "0.270735,0.279074,0.294175,0.321445,0.370347,0.458167,0.616518", \ - "0.302879,0.311125,0.325937,0.353097,0.401966,0.489775,0.648195", \ - "0.347298,0.355590,0.370343,0.397147,0.445910,0.533657,0.691939", \ - "0.403089,0.411739,0.427081,0.454198,0.502838,0.590590,0.748605", \ - "0.462669,0.472207,0.489310,0.519288,0.571614,0.661818,0.819967"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.028300,0.029739,0.032324,0.036908,0.044982,0.059079,0.083736", \ - "0.027973,0.029420,0.032022,0.036634,0.044754,0.058913,0.083637", \ - "0.027330,0.028723,0.031255,0.035816,0.044046,0.058523,0.083453", \ - "0.033483,0.034680,0.036855,0.040719,0.047507,0.059876,0.083325", \ - "0.044942,0.046124,0.048327,0.052111,0.058800,0.070619,0.091330", \ - "0.061775,0.063024,0.065446,0.069356,0.075947,0.087527,0.108008", \ - "0.082975,0.084446,0.087113,0.091673,0.098959,0.111041,0.131413"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.115286,0.122658,0.136221,0.160200,0.203207,0.280200,0.418821", \ - "0.115288,0.122661,0.136202,0.160183,0.203096,0.280205,0.418811", \ - "0.115288,0.122655,0.136236,0.160176,0.203099,0.280197,0.418815", \ - "0.115297,0.122660,0.136191,0.160178,0.203107,0.280183,0.418821", \ - "0.115440,0.122766,0.136260,0.160156,0.203064,0.280175,0.418813", \ - "0.121500,0.128259,0.140649,0.162969,0.203997,0.280250,0.418800", \ - "0.136587,0.143555,0.156267,0.178215,0.216424,0.286532,0.419510"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026715,0.028588,0.031941,0.037878,0.048269,0.066290,0.097414", \ - "0.032012,0.033869,0.037198,0.043093,0.053439,0.071409,0.102480", \ - "0.048435,0.050289,0.053531,0.059159,0.069193,0.086912,0.117822", \ - "0.073104,0.075396,0.079404,0.086215,0.097580,0.116234,0.146894", \ - "0.100206,0.103222,0.108211,0.116986,0.131315,0.153923,0.189366", \ - "0.125757,0.129689,0.135944,0.147264,0.165806,0.194248,0.237147", \ - "0.146423,0.151415,0.159604,0.174028,0.197498,0.233504,0.286404"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.205945,0.213769,0.227997,0.253402,0.299165,0.381717,0.530507", \ - "0.209783,0.217670,0.231975,0.257589,0.303481,0.386208,0.535196", \ - "0.227411,0.235314,0.249689,0.275382,0.321365,0.404352,0.553655", \ - "0.260775,0.268614,0.282668,0.308195,0.354190,0.437111,0.586483", \ - "0.308212,0.316087,0.330152,0.355407,0.401343,0.484011,0.633319", \ - "0.364799,0.373399,0.388728,0.415391,0.461928,0.544608,0.693772", \ - "0.423178,0.432808,0.450033,0.479852,0.531370,0.619155,0.769042"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021355,0.022990,0.025850,0.030848,0.039464,0.054166,0.079456", \ - "0.021120,0.022736,0.025598,0.030629,0.039255,0.053978,0.079325", \ - "0.021956,0.023289,0.025767,0.030319,0.038570,0.053418,0.079008", \ - "0.029355,0.030579,0.032730,0.036569,0.043400,0.055543,0.078869", \ - "0.040757,0.041982,0.044185,0.048029,0.054727,0.066567,0.087452", \ - "0.056274,0.057731,0.060386,0.064615,0.071557,0.083342,0.103999", \ - "0.075398,0.077199,0.080366,0.085583,0.093638,0.106358,0.127175"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.089536,0.096532,0.109427,0.132252,0.173524,0.247868,0.381631", \ - "0.089578,0.096565,0.109457,0.132332,0.173541,0.247867,0.381639", \ - "0.089624,0.096603,0.109458,0.132370,0.173533,0.247854,0.381634", \ - "0.089652,0.096629,0.109493,0.132270,0.173509,0.247863,0.381626", \ - "0.090604,0.097423,0.110087,0.132568,0.173563,0.247816,0.381634", \ - "0.100053,0.106400,0.117828,0.138458,0.176594,0.248287,0.381617", \ - "0.114442,0.121248,0.133723,0.155040,0.192342,0.258097,0.383797"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026149,0.027980,0.031265,0.037089,0.047309,0.065113,0.095972", \ - "0.031480,0.033295,0.036548,0.042326,0.052494,0.070219,0.101014", \ - "0.047775,0.049604,0.052799,0.058343,0.068206,0.085685,0.116308", \ - "0.071824,0.074112,0.078121,0.084932,0.096251,0.114846,0.145345", \ - "0.097923,0.100893,0.105994,0.114847,0.129301,0.152007,0.187498", \ - "0.122001,0.126013,0.132444,0.143985,0.162820,0.191594,0.234746", \ - "0.140743,0.145914,0.154312,0.169164,0.193125,0.229699,0.283213"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.238623,0.248138,0.265390,0.296538,0.352496,0.453095,0.635253", \ - "0.242056,0.251641,0.269022,0.300350,0.356505,0.457378,0.639675", \ - "0.259209,0.268812,0.286241,0.317569,0.373968,0.475164,0.657861", \ - "0.291206,0.300725,0.317823,0.349144,0.405400,0.506557,0.689332", \ - "0.335252,0.344794,0.361840,0.392831,0.448850,0.549871,0.732496", \ - "0.388472,0.398572,0.416594,0.448037,0.504112,0.605125,0.787503", \ - "0.443831,0.454873,0.474642,0.509239,0.569487,0.673158,0.855452"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020505,0.022082,0.024894,0.029808,0.038298,0.052897,0.078142", \ - "0.020278,0.021845,0.024639,0.029561,0.038061,0.052680,0.077971", \ - "0.021173,0.022462,0.024859,0.029303,0.037418,0.052127,0.077622", \ - "0.028555,0.029749,0.031877,0.035700,0.042474,0.054496,0.077660", \ - "0.040073,0.041335,0.043555,0.047361,0.053973,0.065730,0.086534", \ - "0.055826,0.057286,0.059936,0.064178,0.071045,0.082762,0.103290", \ - "0.075201,0.077032,0.080175,0.085424,0.093449,0.106088,0.126766"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.108749,0.117267,0.132892,0.160997,0.211078,0.301071,0.463000", \ - "0.108769,0.117283,0.132902,0.160918,0.211134,0.301112,0.463003", \ - "0.108791,0.117297,0.132912,0.160926,0.211013,0.301108,0.462995", \ - "0.108810,0.117306,0.132916,0.161005,0.211141,0.301107,0.462990", \ - "0.109233,0.117649,0.133156,0.161040,0.210988,0.301042,0.463002", \ - "0.116462,0.124244,0.138500,0.164433,0.212194,0.301200,0.462984", \ - "0.129850,0.138041,0.152872,0.178885,0.224129,0.306870,0.463421"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026696,0.028528,0.031806,0.037623,0.047845,0.065654,0.096536", \ - "0.032020,0.033835,0.037088,0.042864,0.053027,0.070755,0.101574", \ - "0.048332,0.050148,0.053324,0.058849,0.068728,0.086216,0.116864", \ - "0.072554,0.074836,0.078791,0.085554,0.096839,0.115387,0.145884", \ - "0.099001,0.101959,0.106972,0.115765,0.130099,0.152700,0.188130", \ - "0.123493,0.127425,0.133792,0.145229,0.163899,0.192505,0.235493", \ - "0.142812,0.147907,0.156275,0.170871,0.194644,0.230992,0.284255"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.271876,0.281423,0.298727,0.329883,0.385990,0.487073,0.669412", \ - "0.275556,0.285154,0.302540,0.333936,0.390240,0.491368,0.673871", \ - "0.292810,0.302443,0.319854,0.351280,0.407833,0.509215,0.692095", \ - "0.324705,0.334246,0.351334,0.382713,0.439199,0.540539,0.723551", \ - "0.368671,0.378238,0.395245,0.426418,0.482586,0.583877,0.766775", \ - "0.423448,0.433201,0.450663,0.481868,0.537988,0.639158,0.821738", \ - "0.482142,0.492824,0.511991,0.545760,0.604842,0.707197,0.889589"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024158,0.025797,0.028690,0.033746,0.042456,0.057275,0.082581", \ - "0.023866,0.025493,0.028393,0.033451,0.042185,0.057043,0.082411", \ - "0.024443,0.025822,0.028380,0.033036,0.041463,0.056457,0.082059", \ - "0.032694,0.033849,0.035957,0.039712,0.046495,0.058688,0.082049", \ - "0.046123,0.047193,0.049154,0.052659,0.058982,0.070439,0.090927", \ - "0.064602,0.065684,0.067850,0.071352,0.077434,0.088370,0.108203", \ - "0.087127,0.088413,0.090791,0.094918,0.101611,0.112893,0.132398"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.125328,0.133995,0.149906,0.178319,0.228832,0.319447,0.481963", \ - "0.125334,0.133997,0.149908,0.178319,0.228931,0.319436,0.481960", \ - "0.125339,0.134003,0.149896,0.178312,0.228840,0.319375,0.481963", \ - "0.125345,0.134005,0.149905,0.178424,0.228852,0.319384,0.481965", \ - "0.125496,0.134124,0.149953,0.178335,0.228807,0.319393,0.481963", \ - "0.130231,0.138323,0.153262,0.180130,0.229219,0.319453,0.481949", \ - "0.143729,0.151977,0.166946,0.193008,0.238571,0.323454,0.482120"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.027280,0.029151,0.032497,0.038430,0.048813,0.066836,0.097979", \ - "0.032571,0.034426,0.037748,0.043640,0.053982,0.071957,0.103044", \ - "0.049010,0.050848,0.054061,0.059678,0.069733,0.087451,0.118387", \ - "0.073848,0.076138,0.080092,0.086864,0.098174,0.116790,0.147451", \ - "0.101306,0.104243,0.109172,0.117886,0.132092,0.154601,0.189992", \ - "0.127240,0.131079,0.137307,0.148531,0.166899,0.195192,0.237914", \ - "0.148417,0.153356,0.161533,0.175753,0.199022,0.234759,0.287452"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.232942,0.240789,0.255020,0.280649,0.326596,0.409180,0.558299", \ - "0.236977,0.244869,0.259133,0.284813,0.331115,0.413725,0.562980", \ - "0.254705,0.262627,0.276952,0.302705,0.349046,0.432006,0.581483", \ - "0.287990,0.295818,0.309873,0.335577,0.381749,0.464715,0.614340", \ - "0.335425,0.343289,0.357232,0.382790,0.428986,0.511636,0.661149", \ - "0.394238,0.402577,0.417359,0.443316,0.489405,0.572275,0.721556", \ - "0.456211,0.465452,0.481914,0.510876,0.561099,0.647327,0.796874"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.025345,0.027004,0.029935,0.035030,0.043792,0.058635,0.083948", \ - "0.025049,0.026706,0.029649,0.034767,0.043550,0.058441,0.083809", \ - "0.025548,0.026973,0.029565,0.034283,0.042801,0.057859,0.083475", \ - "0.033740,0.034894,0.036986,0.040762,0.047549,0.059822,0.083311", \ - "0.046905,0.047984,0.049960,0.053451,0.059805,0.071325,0.091878", \ - "0.065056,0.066125,0.068312,0.071823,0.077950,0.088972,0.108943", \ - "0.087192,0.088480,0.090863,0.095028,0.101767,0.113152,0.132814"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.102695,0.109843,0.123043,0.146371,0.188021,0.262609,0.396962", \ - "0.102712,0.109855,0.123033,0.146307,0.188081,0.262626,0.396980", \ - "0.102733,0.109872,0.123012,0.146319,0.188027,0.262656,0.396955", \ - "0.102750,0.109882,0.123054,0.146348,0.188007,0.262602,0.396963", \ - "0.103183,0.110251,0.123353,0.146465,0.188104,0.262603,0.396978", \ - "0.110301,0.116825,0.128695,0.150110,0.189569,0.262824,0.396931", \ - "0.125012,0.131889,0.144427,0.165950,0.203181,0.270444,0.398327"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026696,0.028528,0.031806,0.037623,0.047845,0.065654,0.096536", \ - "0.032020,0.033835,0.037088,0.042864,0.053027,0.070755,0.101574", \ - "0.048332,0.050148,0.053324,0.058849,0.068728,0.086216,0.116864", \ - "0.072554,0.074836,0.078791,0.085554,0.096839,0.115387,0.145884", \ - "0.099001,0.101959,0.106972,0.115765,0.130099,0.152700,0.188130", \ - "0.123493,0.127425,0.133792,0.145229,0.163899,0.192505,0.235493", \ - "0.142812,0.147907,0.156275,0.170871,0.194644,0.230992,0.284255"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.271876,0.281423,0.298727,0.329883,0.385990,0.487073,0.669412", \ - "0.275556,0.285154,0.302540,0.333936,0.390240,0.491368,0.673871", \ - "0.292810,0.302443,0.319854,0.351280,0.407833,0.509215,0.692095", \ - "0.324705,0.334246,0.351334,0.382713,0.439199,0.540539,0.723551", \ - "0.368671,0.378238,0.395245,0.426418,0.482586,0.583877,0.766775", \ - "0.423448,0.433201,0.450663,0.481868,0.537988,0.639158,0.821738", \ - "0.482142,0.492824,0.511991,0.545760,0.604842,0.707197,0.889589"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024158,0.025797,0.028690,0.033746,0.042456,0.057275,0.082581", \ - "0.023866,0.025493,0.028393,0.033451,0.042185,0.057043,0.082411", \ - "0.024443,0.025822,0.028380,0.033036,0.041463,0.056457,0.082059", \ - "0.032694,0.033849,0.035957,0.039712,0.046495,0.058688,0.082049", \ - "0.046123,0.047193,0.049154,0.052659,0.058982,0.070439,0.090927", \ - "0.064602,0.065684,0.067850,0.071352,0.077434,0.088370,0.108203", \ - "0.087127,0.088413,0.090791,0.094918,0.101611,0.112893,0.132398"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.125328,0.133995,0.149906,0.178319,0.228832,0.319447,0.481963", \ - "0.125334,0.133997,0.149908,0.178319,0.228931,0.319436,0.481960", \ - "0.125339,0.134003,0.149896,0.178312,0.228840,0.319375,0.481963", \ - "0.125345,0.134005,0.149905,0.178424,0.228852,0.319384,0.481965", \ - "0.125496,0.134124,0.149953,0.178335,0.228807,0.319393,0.481963", \ - "0.130231,0.138323,0.153262,0.180130,0.229219,0.319453,0.481949", \ - "0.143729,0.151977,0.166946,0.193008,0.238571,0.323454,0.482120"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.027244,0.029075,0.032355,0.038158,0.048380,0.066186,0.097082", \ - "0.032561,0.034374,0.037624,0.043399,0.053553,0.071290,0.102127", \ - "0.048882,0.050686,0.053841,0.059349,0.069238,0.086740,0.117421", \ - "0.073294,0.075568,0.079464,0.086179,0.097426,0.115937,0.146424", \ - "0.100066,0.102979,0.107923,0.116641,0.130832,0.153360,0.188736", \ - "0.125013,0.128870,0.135150,0.146477,0.164924,0.193397,0.236260", \ - "0.144880,0.149890,0.158271,0.172637,0.196180,0.232249,0.285275"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.305350,0.314920,0.332243,0.363470,0.419740,0.521018,0.703600", \ - "0.309201,0.318815,0.336178,0.367497,0.423935,0.525351,0.708078", \ - "0.326624,0.336252,0.353668,0.385060,0.441645,0.543288,0.726361", \ - "0.358428,0.367981,0.385082,0.416470,0.472952,0.574659,0.757813", \ - "0.402309,0.411886,0.428938,0.460030,0.516474,0.618002,0.801041", \ - "0.457438,0.467064,0.484385,0.515610,0.571880,0.673148,0.855952", \ - "0.519365,0.529763,0.548448,0.581533,0.639605,0.741194,0.923815"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030503,0.031984,0.034650,0.039391,0.047714,0.062132,0.087122", \ - "0.030144,0.031631,0.034303,0.039069,0.047423,0.061891,0.086949", \ - "0.030384,0.031685,0.034094,0.038538,0.046656,0.061293,0.086596", \ - "0.039133,0.040095,0.041880,0.045232,0.051526,0.063414,0.086557", \ - "0.053582,0.054417,0.056026,0.058964,0.064643,0.075442,0.095399", \ - "0.073440,0.074237,0.075967,0.078802,0.084054,0.094074,0.113148", \ - "0.098072,0.098995,0.100813,0.104017,0.109564,0.119607,0.138010"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.142190,0.150978,0.167093,0.195957,0.246728,0.337876,0.500978", \ - "0.142188,0.150978,0.167077,0.195983,0.246748,0.337799,0.500960", \ - "0.142191,0.150977,0.167087,0.195987,0.246728,0.337808,0.500972", \ - "0.142193,0.150980,0.167069,0.195946,0.246729,0.337884,0.500960", \ - "0.142250,0.151020,0.167101,0.195864,0.246737,0.337878,0.500962", \ - "0.144984,0.153361,0.168823,0.196666,0.247028,0.337855,0.500950", \ - "0.157954,0.166228,0.181249,0.207035,0.253848,0.340584,0.501018"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.276840,0.270378,0.263570,0.246855,0.235956,0.228473,0.227188", \ - "0.252788,0.250511,0.247268,0.238901,0.235057,0.232372,0.236327", \ - "0.216151,0.217729,0.218188,0.218961,0.223061,0.232576,0.242153", \ - "0.271758,0.268746,0.264490,0.253579,0.239380,0.238780,0.248862", \ - "0.392384,0.387786,0.375665,0.359703,0.338180,0.308670,0.280858", \ - "0.594575,0.583507,0.570992,0.541458,0.498764,0.449628,0.389902", \ - "0.883817,0.870956,0.851517,0.814331,0.751601,0.673554,0.578075"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.156547,2.160550,2.165902,2.175772,2.203896,2.210854,2.226907", \ - "2.076588,2.092160,2.101660,2.111652,2.147507,2.186357,2.211915", \ - "2.016684,2.027565,2.037819,2.037528,2.076723,2.099668,2.135627", \ - "2.075489,2.075034,2.070156,2.075290,2.075493,2.082639,2.107746", \ - "2.235050,2.226898,2.215952,2.192850,2.180515,2.171494,2.173221", \ - "2.409548,2.419032,2.431089,2.439675,2.387689,2.345809,2.308025", \ - "2.707574,2.702199,2.705825,2.690618,2.706398,2.624367,2.525217"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.311264,0.306889,0.292811,0.280481,0.263765,0.246407,0.232050", \ - "0.285679,0.282977,0.276704,0.275798,0.261772,0.249055,0.244163", \ - "0.249722,0.248268,0.252076,0.247230,0.250848,0.247492,0.250561", \ - "0.295554,0.293772,0.288983,0.279960,0.263814,0.260909,0.265271", \ - "0.406169,0.399373,0.388780,0.379057,0.355680,0.330473,0.302653", \ - "0.583274,0.574298,0.564158,0.537445,0.504432,0.463310,0.409057", \ - "0.842531,0.830688,0.811219,0.786212,0.737257,0.667366,0.582362"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.102019,2.111672,2.118808,2.120244,2.132651,2.166183,2.166147", \ - "2.027784,2.035972,2.048462,2.080735,2.098409,2.143311,2.152465", \ - "1.969351,1.977299,1.988252,1.994698,2.017765,2.066327,2.111530", \ - "2.020241,2.021749,2.014966,2.030977,2.023111,2.031296,2.065683", \ - "2.168762,2.165603,2.159006,2.132615,2.129939,2.121112,2.107925", \ - "2.378973,2.394252,2.383405,2.350722,2.332242,2.272306,2.237828", \ - "2.635879,2.633819,2.635886,2.643996,2.616358,2.540776,2.447811"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.295345,0.291238,0.284200,0.272836,0.258174,0.239198,0.232121", \ - "0.274200,0.272831,0.270873,0.266132,0.255894,0.248702,0.241546", \ - "0.238221,0.241465,0.239510,0.241807,0.245215,0.247339,0.251620", \ - "0.281685,0.278447,0.273968,0.264052,0.257499,0.260894,0.266223", \ - "0.394604,0.390768,0.380302,0.371203,0.349449,0.323596,0.299411", \ - "0.584768,0.576819,0.557844,0.538088,0.504241,0.457370,0.403365", \ - "0.852898,0.844670,0.822333,0.788427,0.735036,0.663806,0.579594"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.712368,2.716819,2.722548,2.739138,2.755121,2.771205,2.804815", \ - "2.651795,2.660897,2.675230,2.690770,2.726572,2.750750,2.792347", \ - "2.585029,2.591390,2.597774,2.610122,2.647864,2.701655,2.728202", \ - "2.614680,2.617325,2.624310,2.631506,2.645336,2.665353,2.707101", \ - "2.743590,2.741694,2.733669,2.724857,2.722560,2.721894,2.745971", \ - "2.967534,2.959757,2.952929,2.940563,2.913153,2.896012,2.872583", \ - "3.202842,3.208746,3.216267,3.240194,3.184690,3.127847,3.079255"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.289763,0.281873,0.276061,0.257909,0.246831,0.232710,0.227489", \ - "0.270929,0.265622,0.263330,0.252205,0.248780,0.243278,0.241256", \ - "0.238112,0.238011,0.241432,0.239411,0.242345,0.248611,0.256772", \ - "0.292404,0.286559,0.283236,0.275064,0.261105,0.260242,0.268433", \ - "0.402465,0.395527,0.385559,0.376067,0.353275,0.328349,0.301801", \ - "0.584765,0.577682,0.563446,0.537648,0.506416,0.458986,0.404633", \ - "0.847541,0.833709,0.819585,0.787584,0.734042,0.664342,0.578917"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.174985,2.180378,2.194187,2.186615,2.208239,2.224463,2.220066", \ - "2.100779,2.110350,2.124248,2.146955,2.174569,2.195871,2.207356", \ - "2.037754,2.046253,2.054204,2.060827,2.095953,2.119954,2.168387", \ - "2.091292,2.089939,2.089850,2.080262,2.088767,2.109609,2.128779", \ - "2.232555,2.227653,2.219472,2.196957,2.181498,2.173896,2.164870", \ - "2.434228,2.447746,2.444583,2.408343,2.379173,2.323856,2.293205", \ - "2.680682,2.679542,2.685970,2.698829,2.679080,2.590560,2.499966"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.315560,0.307212,0.303227,0.289115,0.270164,0.249602,0.233527", \ - "0.297015,0.291747,0.291505,0.283478,0.270517,0.255994,0.246700", \ - "0.264052,0.261743,0.264033,0.267550,0.265512,0.263525,0.263829", \ - "0.314201,0.309128,0.302804,0.294178,0.280197,0.277897,0.282849", \ - "0.413744,0.406960,0.399378,0.387068,0.368993,0.345675,0.319025", \ - "0.577513,0.571748,0.557754,0.537002,0.508435,0.469628,0.418953", \ - "0.814820,0.804335,0.791969,0.760654,0.719856,0.660011,0.582996"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.143084,2.146388,2.157418,2.158426,2.174313,2.182153,2.186608", \ - "2.065253,2.075054,2.091445,2.112882,2.126037,2.160937,2.174541", \ - "2.003028,2.010198,2.017335,2.024724,2.047305,2.090879,2.115719", \ - "2.052453,2.053661,2.045433,2.043272,2.058660,2.063366,2.077955", \ - "2.190208,2.182476,2.171101,2.157693,2.139648,2.130245,2.123527", \ - "2.412898,2.401113,2.387697,2.360977,2.311373,2.282128,2.233669", \ - "2.640948,2.641298,2.655566,2.648918,2.596618,2.514197,2.443248"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.298882,0.296642,0.286728,0.278283,0.264436,0.241952,0.233517", \ - "0.282537,0.280584,0.276898,0.272691,0.264685,0.254601,0.247104", \ - "0.253571,0.256193,0.258928,0.261076,0.257806,0.264022,0.264216", \ - "0.296445,0.293495,0.291166,0.279909,0.274244,0.277874,0.282532", \ - "0.402644,0.398375,0.393401,0.382108,0.362938,0.338794,0.316473", \ - "0.578740,0.570448,0.555694,0.533353,0.507194,0.465689,0.414865", \ - "0.827445,0.814982,0.795015,0.767337,0.721062,0.657548,0.580361"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.751049,2.756808,2.762939,2.769768,2.787251,2.820014,2.833626", \ - "2.694229,2.704186,2.717734,2.724938,2.758910,2.781096,2.822765", \ - "2.624392,2.629976,2.637547,2.650046,2.686790,2.714609,2.766196", \ - "2.651274,2.652288,2.656100,2.663665,2.667584,2.704615,2.727920", \ - "2.768955,2.765499,2.761514,2.749548,2.750207,2.744695,2.749151", \ - "2.972576,2.971613,2.963737,2.948019,2.907640,2.893345,2.855903", \ - "3.226199,3.234346,3.254781,3.228972,3.181500,3.118231,3.062406"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.271388,0.269271,0.262855,0.253359,0.241296,0.227784,0.228156", \ - "0.254562,0.251487,0.251725,0.245896,0.245091,0.240912,0.242301", \ - "0.229023,0.230360,0.230740,0.235180,0.241914,0.247399,0.257151", \ - "0.276114,0.272195,0.270438,0.260647,0.255044,0.260707,0.269844", \ - "0.392002,0.386427,0.379226,0.367978,0.346856,0.321396,0.299193", \ - "0.584731,0.574679,0.560328,0.533400,0.501262,0.454189,0.400557", \ - "0.857076,0.845784,0.825842,0.788983,0.733827,0.660858,0.576110"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.780085,2.784607,2.791594,2.798647,2.807515,2.847770,2.859497", \ - "2.720023,2.729263,2.744490,2.751096,2.779685,2.828561,2.848071", \ - "2.649333,2.654683,2.667385,2.670716,2.700743,2.756438,2.785792", \ - "2.678713,2.680610,2.686158,2.691718,2.698602,2.717775,2.765354", \ - "2.802705,2.800996,2.800857,2.787297,2.780438,2.774419,2.778050", \ - "3.024642,3.021330,3.012085,2.993865,2.961472,2.946252,2.903375", \ - "3.252575,3.257916,3.274167,3.286741,3.250433,3.174731,3.132497"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.298882,0.296642,0.286728,0.278283,0.264436,0.241952,0.233517", \ - "0.282537,0.280584,0.276898,0.272691,0.264685,0.254601,0.247104", \ - "0.253571,0.256193,0.258928,0.261076,0.257806,0.264022,0.264216", \ - "0.296445,0.293495,0.291166,0.279909,0.274244,0.277874,0.282532", \ - "0.402644,0.398375,0.393401,0.382108,0.362938,0.338794,0.316473", \ - "0.578740,0.570448,0.555694,0.533353,0.507194,0.465689,0.414865", \ - "0.827445,0.814982,0.795015,0.767337,0.721062,0.657548,0.580361"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.751049,2.756808,2.762939,2.769768,2.787251,2.820014,2.833626", \ - "2.694229,2.704186,2.717734,2.724938,2.758910,2.781096,2.822765", \ - "2.624392,2.629976,2.637547,2.650046,2.686790,2.714609,2.766196", \ - "2.651274,2.652288,2.656100,2.663665,2.667584,2.704615,2.727920", \ - "2.768955,2.765499,2.761514,2.749548,2.750207,2.744695,2.749151", \ - "2.972576,2.971613,2.963737,2.948019,2.907640,2.893345,2.855903", \ - "3.226199,3.234346,3.254781,3.228972,3.181500,3.118231,3.062406"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.287183,0.284490,0.279198,0.266729,0.257377,0.242140,0.230063", \ - "0.271396,0.270955,0.266585,0.262799,0.260010,0.251995,0.247481", \ - "0.245812,0.247225,0.248447,0.250933,0.258589,0.260997,0.265103", \ - "0.282074,0.280886,0.275438,0.270785,0.271973,0.271266,0.281843", \ - "0.397594,0.392844,0.383906,0.374366,0.357678,0.332742,0.314230", \ - "0.576195,0.566771,0.554726,0.531807,0.500002,0.461164,0.411134", \ - "0.830522,0.821364,0.800768,0.768086,0.719425,0.654390,0.577782"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.363134,3.368964,3.382306,3.395062,3.420505,3.439581,3.460879", \ - "3.315812,3.326458,3.337687,3.356912,3.378063,3.422693,3.451157", \ - "3.244268,3.252038,3.263267,3.273492,3.307483,3.365858,3.396615", \ - "3.256196,3.260724,3.265856,3.265971,3.301509,3.325995,3.358134", \ - "3.359764,3.357863,3.363493,3.353744,3.373478,3.382521,3.397308", \ - "3.549777,3.545749,3.541732,3.536197,3.531206,3.506317,3.500842", \ - "3.825185,3.834215,3.821788,3.807305,3.772080,3.746892,3.683129"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.270606,0.268319,0.260941,0.249982,0.238336,0.226470,0.226974", \ - "0.252046,0.249532,0.247853,0.239730,0.236883,0.237179,0.239848", \ - "0.200843,0.202903,0.205796,0.210448,0.218055,0.232118,0.243768", \ - "0.209390,0.207163,0.208570,0.204600,0.204071,0.213217,0.226913", \ - "0.252789,0.252750,0.248267,0.244839,0.244965,0.241129,0.230167", \ - "0.364186,0.360872,0.354392,0.344847,0.330430,0.313481,0.289967", \ - "0.554308,0.545293,0.532216,0.514872,0.483578,0.444936,0.399044"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.703304,2.710345,2.714323,2.719803,2.734526,2.745642,2.768661", \ - "2.643188,2.653395,2.658508,2.670235,2.701512,2.718265,2.745587", \ - "2.598591,2.604864,2.617567,2.619535,2.631914,2.683713,2.718077", \ - "2.645577,2.651189,2.644917,2.661515,2.668973,2.680097,2.707157", \ - "2.789588,2.787386,2.784473,2.774522,2.776224,2.771707,2.747558", \ - "2.980840,2.990947,3.006896,2.990187,2.974354,2.949952,2.904921", \ - "3.245252,3.251567,3.253510,3.272437,3.284755,3.220105,3.140622"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.305305,0.302655,0.294471,0.280411,0.265927,0.242163,0.229953", \ - "0.287994,0.286789,0.280742,0.271625,0.262045,0.252346,0.243882", \ - "0.235263,0.238889,0.241078,0.241840,0.243571,0.249046,0.252211", \ - "0.237240,0.236376,0.236759,0.231070,0.227872,0.236912,0.243620", \ - "0.272875,0.272530,0.268677,0.271967,0.267212,0.264013,0.253358", \ - "0.370258,0.365187,0.360693,0.353915,0.343811,0.331864,0.312489", \ - "0.538375,0.532194,0.524024,0.506133,0.482905,0.451605,0.413420"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.660112,2.665551,2.676029,2.674974,2.684433,2.721104,2.736853", \ - "2.604936,2.612539,2.624344,2.630026,2.651830,2.669785,2.706095", \ - "2.555857,2.564097,2.569434,2.583110,2.592394,2.639087,2.681389", \ - "2.601731,2.604035,2.608617,2.608486,2.629002,2.641944,2.677544", \ - "2.733297,2.737112,2.734798,2.722168,2.714149,2.709595,2.728872", \ - "2.957169,2.953218,2.951714,2.928955,2.909571,2.890633,2.837399", \ - "3.191841,3.200325,3.211294,3.237276,3.183076,3.131392,3.075627"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.293372,0.289268,0.282047,0.272829,0.256740,0.240032,0.229189", \ - "0.274265,0.273721,0.271014,0.265209,0.257340,0.244010,0.240079", \ - "0.227648,0.230124,0.233319,0.237220,0.241448,0.241070,0.247125", \ - "0.221153,0.223138,0.221669,0.223306,0.220220,0.233688,0.244876", \ - "0.265208,0.264427,0.261020,0.262574,0.264617,0.257787,0.247958", \ - "0.369600,0.364933,0.359287,0.347901,0.340959,0.327253,0.308991", \ - "0.546493,0.538890,0.524191,0.508929,0.482206,0.449690,0.412811"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.264273,3.269044,3.282297,3.291384,3.307173,3.327237,3.367057", \ - "3.215569,3.223815,3.237326,3.252134,3.278464,3.302295,3.346066", \ - "3.165674,3.173243,3.190155,3.198547,3.230655,3.272825,3.322455", \ - "3.200207,3.205605,3.211299,3.215932,3.228082,3.273372,3.292553", \ - "3.319592,3.318576,3.318102,3.316491,3.330822,3.336548,3.340688", \ - "3.528415,3.525236,3.528748,3.514222,3.495989,3.487964,3.472624", \ - "3.779672,3.790597,3.806681,3.803364,3.781704,3.743565,3.702159"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.283796,0.278991,0.273137,0.260656,0.246508,0.232070,0.224451", \ - "0.268656,0.267005,0.258572,0.253702,0.249208,0.244887,0.244545", \ - "0.221716,0.226894,0.226516,0.235157,0.236699,0.248002,0.257954", \ - "0.229171,0.227460,0.228005,0.227486,0.226060,0.234506,0.246558", \ - "0.266357,0.266312,0.266654,0.269597,0.265990,0.262937,0.253062", \ - "0.367227,0.366076,0.361333,0.353710,0.342929,0.330701,0.310816", \ - "0.541826,0.532864,0.524726,0.504667,0.483093,0.450643,0.413543"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.727639,2.732925,2.740518,2.745739,2.758907,2.772449,2.781742", \ - "2.673002,2.680488,2.689602,2.695792,2.704759,2.746980,2.761218", \ - "2.624723,2.628443,2.639437,2.650309,2.669649,2.693023,2.738535", \ - "2.668957,2.668567,2.666573,2.675479,2.681621,2.696215,2.709987", \ - "2.796868,2.797754,2.790061,2.788149,2.764864,2.763175,2.768799", \ - "3.018501,3.013232,3.007065,2.988667,2.957695,2.915609,2.893170", \ - "3.241573,3.248765,3.263436,3.283595,3.248669,3.173604,3.111944"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.310058,0.307793,0.299633,0.287371,0.270079,0.245376,0.232043", \ - "0.295956,0.294363,0.287568,0.282440,0.270622,0.259214,0.249498", \ - "0.248918,0.254634,0.253871,0.253045,0.257620,0.262473,0.264693", \ - "0.252395,0.253861,0.253231,0.247703,0.246140,0.254281,0.260019", \ - "0.285863,0.284867,0.285141,0.287330,0.284176,0.281813,0.271589", \ - "0.374985,0.371999,0.368474,0.362831,0.355447,0.347652,0.328859", \ - "0.527474,0.524695,0.512563,0.501720,0.484194,0.458176,0.427294"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.701009,2.703640,2.714301,2.723708,2.719266,2.749701,2.761035", \ - "2.645298,2.649909,2.659318,2.668773,2.688618,2.704692,2.720209", \ - "2.597077,2.601579,2.609218,2.625582,2.636115,2.676982,2.698535", \ - "2.638162,2.641287,2.641176,2.638528,2.651355,2.663077,2.677691", \ - "2.763964,2.762779,2.754433,2.748275,2.736720,2.733520,2.731605", \ - "2.968325,2.965602,2.961117,2.939481,2.904561,2.887559,2.846023", \ - "3.218088,3.225290,3.247175,3.222869,3.180884,3.117428,3.065079"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.297945,0.294625,0.286248,0.277313,0.262459,0.242935,0.230631", \ - "0.281582,0.280248,0.276536,0.268690,0.265309,0.251568,0.244565", \ - "0.242070,0.244093,0.247510,0.246418,0.255470,0.257660,0.259644", \ - "0.238672,0.239306,0.240222,0.241014,0.237278,0.249080,0.261199", \ - "0.277518,0.278279,0.276263,0.277894,0.281224,0.275517,0.269271", \ - "0.373806,0.369352,0.366646,0.358939,0.353067,0.341972,0.326860", \ - "0.535163,0.529923,0.517776,0.500519,0.483579,0.456879,0.424360"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.306817,3.314083,3.319723,3.333855,3.352297,3.369039,3.388374", \ - "3.261222,3.267701,3.277942,3.296365,3.305182,3.352205,3.369098", \ - "3.208810,3.217883,3.227959,3.248144,3.273643,3.298961,3.327655", \ - "3.240443,3.242987,3.244063,3.254819,3.263518,3.302766,3.327081", \ - "3.348907,3.352868,3.355933,3.352990,3.343543,3.369765,3.357965", \ - "3.546312,3.543876,3.544070,3.525125,3.526587,3.515543,3.490254", \ - "3.818699,3.826957,3.821592,3.805260,3.779359,3.745581,3.689782"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.270689,0.267410,0.259741,0.249282,0.237994,0.228245,0.226921", \ - "0.255138,0.254695,0.252865,0.245630,0.244701,0.239202,0.243322", \ - "0.214826,0.216271,0.222678,0.224309,0.236288,0.244289,0.252629", \ - "0.213565,0.216140,0.215166,0.219134,0.220092,0.230610,0.248392", \ - "0.261949,0.261659,0.258303,0.260203,0.262616,0.256668,0.249320", \ - "0.369029,0.364092,0.358562,0.347004,0.339938,0.325739,0.307650", \ - "0.548802,0.540943,0.525506,0.504286,0.482095,0.448487,0.411053"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.326203,3.334832,3.340333,3.351458,3.359172,3.403974,3.422192", \ - "3.278246,3.285631,3.296304,3.312488,3.330790,3.354908,3.402180", \ - "3.229201,3.236001,3.250238,3.259653,3.274037,3.327356,3.355170", \ - "3.259670,3.264101,3.271320,3.277222,3.281086,3.328376,3.363146", \ - "3.376503,3.379701,3.376806,3.378746,3.382857,3.390595,3.399106", \ - "3.585719,3.583320,3.580757,3.569475,3.546126,3.539094,3.529502", \ - "3.829237,3.836592,3.855980,3.865916,3.825131,3.791968,3.736304"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.297945,0.294625,0.286248,0.277313,0.262459,0.242935,0.230631", \ - "0.281582,0.280248,0.276536,0.268690,0.265309,0.251568,0.244565", \ - "0.242070,0.244093,0.247510,0.246418,0.255470,0.257660,0.259644", \ - "0.238672,0.239306,0.240222,0.241014,0.237278,0.249080,0.261199", \ - "0.277518,0.278279,0.276263,0.277894,0.281224,0.275517,0.269271", \ - "0.373806,0.369352,0.366646,0.358939,0.353067,0.341972,0.326860", \ - "0.535163,0.529923,0.517776,0.500519,0.483579,0.456879,0.424360"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.306817,3.314083,3.319723,3.333855,3.352297,3.369039,3.388374", \ - "3.261222,3.267701,3.277942,3.296365,3.305182,3.352205,3.369098", \ - "3.208810,3.217883,3.227959,3.248144,3.273643,3.298961,3.327655", \ - "3.240443,3.242987,3.244063,3.254819,3.263518,3.302766,3.327081", \ - "3.348907,3.352868,3.355933,3.352990,3.343543,3.369765,3.357965", \ - "3.546312,3.543876,3.544070,3.525125,3.526587,3.515543,3.490254", \ - "3.818699,3.826957,3.821592,3.805260,3.779359,3.745581,3.689782"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.286386,0.282309,0.276308,0.266894,0.256423,0.241736,0.231053", \ - "0.271662,0.271677,0.266587,0.266281,0.255492,0.251860,0.245419", \ - "0.234205,0.237077,0.240809,0.242152,0.252174,0.256601,0.261752", \ - "0.226206,0.227386,0.226793,0.227847,0.234950,0.248619,0.262294", \ - "0.271098,0.272540,0.271443,0.275400,0.275163,0.270452,0.266536", \ - "0.371637,0.368258,0.363843,0.358116,0.350464,0.340704,0.322978", \ - "0.541134,0.534101,0.521073,0.504175,0.483890,0.454791,0.421719"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.915959,3.920453,3.935893,3.943720,3.967426,4.008597,4.037302", \ - "3.873057,3.879620,3.892105,3.911225,3.941055,3.983814,4.018533", \ - "3.825193,3.832952,3.842391,3.871038,3.891481,3.941197,3.978196", \ - "3.842944,3.848068,3.857542,3.870988,3.898351,3.924159,3.956318", \ - "3.943971,3.950410,3.953741,3.962611,3.973375,3.987404,4.006044", \ - "4.129247,4.130264,4.130892,4.127951,4.131237,4.133163,4.114599", \ - "4.404877,4.401796,4.397121,4.387859,4.367714,4.355732,4.320541"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.842406,0.850526,0.862693,0.875023,0.902846,0.937725,0.973084", \ - "0.839077,0.841526,0.854711,0.875183,0.893727,0.930585,0.968326", \ - "0.810919,0.822866,0.832197,0.855218,0.875948,0.922614,0.958167", \ - "0.863546,0.865203,0.860566,0.865217,0.877758,0.916555,0.955855", \ - "0.985863,0.981734,0.975321,0.970489,0.967805,0.959793,0.979673", \ - "1.165964,1.162644,1.152114,1.146512,1.127733,1.105254,1.077338", \ - "1.423138,1.414895,1.409468,1.393316,1.365381,1.320585,1.259260"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.516122,3.515733,3.522062,3.521748,3.535590,3.536430,3.549907", \ - "3.466605,3.468584,3.477118,3.498599,3.509894,3.519013,3.538759", \ - "3.393605,3.398758,3.398106,3.404735,3.443220,3.459726,3.488543", \ - "3.363647,3.365111,3.367454,3.372595,3.386447,3.396454,3.426247", \ - "3.363326,3.364457,3.354993,3.352478,3.368586,3.374567,3.397991", \ - "3.402289,3.408061,3.398477,3.399797,3.383348,3.407944,3.422959", \ - "3.424879,3.431592,3.450605,3.484471,3.485334,3.465338,3.458940"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.849695,0.856947,0.862196,0.883602,0.904070,0.937667,0.974814", \ - "0.850496,0.852270,0.862753,0.886644,0.900982,0.944246,0.981388", \ - "0.843086,0.844508,0.862737,0.883220,0.910822,0.941694,0.981354", \ - "0.891325,0.891953,0.892774,0.895717,0.906341,0.944232,0.982209", \ - "1.006523,1.004320,1.001064,1.003971,1.000861,0.992463,1.006739", \ - "1.171488,1.167380,1.167991,1.155976,1.146407,1.122389,1.098643", \ - "1.402465,1.401423,1.395966,1.382180,1.353805,1.320700,1.270294"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.484341,3.490157,3.491910,3.496579,3.494738,3.518180,3.514245", \ - "3.434519,3.441487,3.451305,3.456286,3.471699,3.503474,3.505924", \ - "3.363520,3.369774,3.373427,3.375265,3.392506,3.431452,3.466994", \ - "3.334866,3.335780,3.336362,3.346822,3.344202,3.379382,3.416086", \ - "3.330807,3.332030,3.328995,3.333126,3.335525,3.342467,3.371805", \ - "3.358498,3.358056,3.358961,3.356332,3.352140,3.351308,3.375127", \ - "3.421277,3.433069,3.442444,3.440923,3.425171,3.407035,3.417107"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.878637,0.883032,0.884303,0.899771,0.920962,0.949825,0.979394", \ - "0.879406,0.882541,0.889515,0.896253,0.926001,0.949735,0.983682", \ - "0.871168,0.876047,0.885589,0.899127,0.924896,0.948506,0.986341", \ - "0.903558,0.899540,0.899853,0.906837,0.922983,0.955858,0.990903", \ - "1.018033,1.013116,1.004997,1.010362,1.001154,0.992637,1.004776", \ - "1.182829,1.177767,1.175614,1.161986,1.147891,1.126929,1.099195", \ - "1.420304,1.415269,1.407273,1.392251,1.360929,1.324899,1.269377"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.092798,4.096644,4.098108,4.100543,4.112361,4.141992,4.144627", \ - "4.052723,4.058283,4.067003,4.085474,4.093226,4.127915,4.158174", \ - "3.981249,3.988498,3.996109,4.013468,4.041486,4.057976,4.100250", \ - "3.946864,3.944035,3.946748,3.957483,3.966480,4.006302,4.050117", \ - "3.935894,3.941535,3.936105,3.941904,3.954421,3.990941,4.005402", \ - "3.958720,3.963808,3.961354,3.951720,3.967997,3.975721,4.007696", \ - "4.044145,4.045115,4.046162,4.033212,4.036735,4.027898,4.049313"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.692722,0.704217,0.716549,0.751381,0.790457,0.831792,0.877501", \ - "0.687878,0.693267,0.713579,0.734599,0.785840,0.820079,0.870801", \ - "0.664525,0.679885,0.693531,0.723996,0.765104,0.805694,0.857125", \ - "0.745322,0.745269,0.737188,0.749053,0.767246,0.798435,0.842874", \ - "0.875900,0.877232,0.871811,0.868870,0.862038,0.855433,0.867714", \ - "1.061219,1.056085,1.053673,1.034289,1.026253,1.000571,0.968378", \ - "1.315930,1.310052,1.304973,1.286358,1.254687,1.209539,1.148884"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.250643,3.257718,3.262223,3.269154,3.264799,3.273679,3.299775", \ - "3.201601,3.205719,3.218917,3.225656,3.240149,3.255739,3.289419", \ - "3.126684,3.132675,3.142907,3.156323,3.174957,3.197907,3.241678", \ - "3.100798,3.105274,3.106212,3.109694,3.122825,3.142168,3.191717", \ - "3.098747,3.101095,3.099423,3.106536,3.120028,3.133428,3.146590", \ - "3.136917,3.136416,3.144403,3.136573,3.134304,3.138528,3.160033", \ - "3.149241,3.162009,3.188235,3.221188,3.216028,3.179007,3.192699"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.702152,0.716127,0.730745,0.751045,0.791210,0.834609,0.877891", \ - "0.700959,0.709137,0.713217,0.747934,0.792540,0.833682,0.875211", \ - "0.698202,0.706656,0.723405,0.735988,0.783964,0.822998,0.876474", \ - "0.772187,0.776311,0.773200,0.777207,0.796059,0.825199,0.868141", \ - "0.895571,0.898734,0.894625,0.891882,0.886740,0.877786,0.894946", \ - "1.066258,1.059823,1.060766,1.053275,1.039805,1.018228,0.990323", \ - "1.299784,1.296214,1.290297,1.268473,1.249528,1.211115,1.158166"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.215378,3.219703,3.226411,3.232544,3.247245,3.253394,3.256845", \ - "3.165847,3.171736,3.185410,3.200014,3.204497,3.238009,3.248744", \ - "3.096523,3.102456,3.110026,3.115615,3.147291,3.180463,3.201683", \ - "3.067155,3.068539,3.069188,3.073980,3.081769,3.118883,3.139581", \ - "3.062599,3.065004,3.064003,3.070606,3.079750,3.092838,3.107193", \ - "3.086338,3.088325,3.092114,3.079416,3.092365,3.098745,3.106699", \ - "3.139931,3.154645,3.167733,3.169148,3.145040,3.147579,3.132031"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.741743,0.750127,0.755755,0.771846,0.801591,0.845225,0.887345", \ - "0.740879,0.747511,0.760693,0.782359,0.808505,0.841608,0.884676", \ - "0.735761,0.744013,0.744583,0.772502,0.800902,0.831629,0.880905", \ - "0.786571,0.786706,0.781142,0.792364,0.810393,0.839049,0.879045", \ - "0.910998,0.906850,0.900015,0.898603,0.894919,0.880604,0.903158", \ - "1.079710,1.075181,1.069488,1.057946,1.040302,1.021846,0.990799", \ - "1.315572,1.311924,1.302532,1.284982,1.255351,1.210732,1.160249"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.825606,3.831387,3.837932,3.848495,3.859320,3.870859,3.882698", \ - "3.786102,3.793870,3.806994,3.815906,3.840898,3.857680,3.875560", \ - "3.712578,3.720440,3.729404,3.746014,3.767978,3.811447,3.838308", \ - "3.677176,3.681151,3.685360,3.694629,3.719137,3.745245,3.789907", \ - "3.669756,3.672380,3.675753,3.673594,3.696982,3.733680,3.756844", \ - "3.687793,3.691712,3.696902,3.699945,3.705505,3.720385,3.756403", \ - "3.765260,3.766010,3.774506,3.769435,3.752310,3.771864,3.779838"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.737247,0.745797,0.753004,0.773275,0.809709,0.845903,0.886319", \ - "0.731189,0.735529,0.753776,0.775108,0.801773,0.836145,0.879645", \ - "0.713049,0.719953,0.724439,0.744850,0.774435,0.812317,0.859649", \ - "0.758124,0.755413,0.753987,0.752830,0.776027,0.812683,0.851133", \ - "0.886529,0.886245,0.880389,0.875079,0.869939,0.857881,0.873308", \ - "1.074195,1.069863,1.060570,1.047318,1.026827,1.003730,0.968918", \ - "1.334789,1.327415,1.315324,1.294283,1.260015,1.213160,1.150893"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.850064,3.858594,3.859747,3.860460,3.889219,3.902902,3.913398", \ - "3.811031,3.817326,3.826078,3.844278,3.868174,3.887823,3.929335", \ - "3.739543,3.744950,3.760366,3.764580,3.808284,3.832385,3.883398", \ - "3.703504,3.706233,3.708137,3.725711,3.751094,3.775938,3.828021", \ - "3.698182,3.702096,3.705868,3.701981,3.721836,3.742581,3.788773", \ - "3.728947,3.729981,3.737674,3.737358,3.732736,3.770603,3.786383", \ - "3.762708,3.777391,3.804338,3.831691,3.809507,3.806345,3.832384"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.741743,0.750127,0.755755,0.771846,0.801591,0.845225,0.887345", \ - "0.740879,0.747511,0.760693,0.782359,0.808505,0.841608,0.884676", \ - "0.735761,0.744013,0.744583,0.772502,0.800902,0.831629,0.880905", \ - "0.786571,0.786706,0.781142,0.792364,0.810393,0.839049,0.879045", \ - "0.910998,0.906850,0.900015,0.898603,0.894919,0.880604,0.903158", \ - "1.079710,1.075181,1.069488,1.057946,1.040302,1.021846,0.990799", \ - "1.315572,1.311924,1.302532,1.284982,1.255351,1.210732,1.160249"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.825606,3.831387,3.837932,3.848495,3.859320,3.870859,3.882698", \ - "3.786102,3.793870,3.806994,3.815906,3.840898,3.857680,3.875560", \ - "3.712578,3.720440,3.729404,3.746014,3.767978,3.811447,3.838308", \ - "3.677176,3.681151,3.685360,3.694629,3.719137,3.745245,3.789907", \ - "3.669756,3.672380,3.675753,3.673594,3.696982,3.733680,3.756844", \ - "3.687793,3.691712,3.696902,3.699945,3.705505,3.720385,3.756403", \ - "3.765260,3.766010,3.774506,3.769435,3.752310,3.771864,3.779838"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.773692,0.774513,0.787829,0.794748,0.823604,0.858739,0.895410", \ - "0.766923,0.774500,0.784239,0.802660,0.817642,0.855620,0.892024", \ - "0.760244,0.769455,0.775906,0.781404,0.808207,0.851524,0.885854", \ - "0.794128,0.791775,0.794434,0.800867,0.819928,0.838506,0.886773", \ - "0.915974,0.916258,0.906115,0.905190,0.895288,0.886179,0.901556", \ - "1.088449,1.083972,1.076478,1.063179,1.047605,1.020919,0.991273", \ - "1.326846,1.320982,1.311518,1.288213,1.256237,1.213990,1.159129"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.432643,4.436692,4.447471,4.469314,4.472655,4.509871,4.530529", \ - "4.403447,4.411414,4.423329,4.442595,4.456992,4.498315,4.524315", \ - "4.331982,4.340336,4.353305,4.382179,4.406475,4.433976,4.491149", \ - "4.292108,4.292385,4.306372,4.320666,4.337153,4.383725,4.420110", \ - "4.279142,4.284086,4.291662,4.298108,4.332890,4.356457,4.386853", \ - "4.294032,4.298728,4.306060,4.316075,4.320618,4.361239,4.385348", \ - "4.366784,4.367844,4.374799,4.368471,4.381542,4.391025,4.407661"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.843544,0.851706,0.857362,0.882611,0.907571,0.938386,0.975514", \ - "0.838772,0.845714,0.858257,0.875979,0.898661,0.936712,0.970755", \ - "0.812811,0.824179,0.830012,0.850313,0.884303,0.924755,0.962838", \ - "0.831891,0.834619,0.834491,0.842269,0.863993,0.900044,0.946644", \ - "0.889680,0.896171,0.895548,0.901096,0.909811,0.916566,0.937795", \ - "0.992138,0.990503,0.986771,0.990537,0.986534,0.992212,0.993819", \ - "1.148003,1.147961,1.146718,1.137245,1.126949,1.115711,1.100003"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.056349,4.059477,4.064245,4.072816,4.061417,4.094820,4.113663", \ - "4.024931,4.027500,4.026489,4.039287,4.035635,4.072860,4.094054", \ - "3.980494,3.987305,3.995243,3.999295,4.024439,4.035754,4.061449", \ - "3.960600,3.963393,3.962015,3.967926,3.990008,3.992339,4.018058", \ - "3.960031,3.963691,3.962745,3.965197,3.969982,3.976601,4.033083", \ - "3.990487,3.992192,3.996671,3.992700,4.010143,4.011121,4.032639", \ - "4.019540,4.031558,4.047599,4.082977,4.077937,4.064976,4.075591"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.849254,0.853050,0.862004,0.881357,0.908535,0.929077,0.977653", \ - "0.849610,0.857377,0.864804,0.886675,0.905260,0.942737,0.976720", \ - "0.835789,0.848887,0.861736,0.881467,0.903779,0.943739,0.982177", \ - "0.858627,0.864407,0.868580,0.870882,0.893424,0.929403,0.973005", \ - "0.917948,0.917840,0.922688,0.925670,0.936636,0.945107,0.966251", \ - "1.006380,1.006206,1.005602,1.008577,1.014511,1.015824,1.020300", \ - "1.149030,1.150923,1.146974,1.142706,1.135838,1.128436,1.119378"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.036354,4.037757,4.043172,4.046061,4.062418,4.075406,4.092711", \ - "3.999417,4.004191,4.012509,4.016410,4.019639,4.047248,4.076248", \ - "3.959467,3.962698,3.970907,3.984190,3.988850,4.020505,4.029073", \ - "3.936240,3.938849,3.936426,3.944349,3.954567,3.987014,4.022220", \ - "3.932536,3.938169,3.941820,3.944871,3.948105,3.958317,3.990240", \ - "3.954546,3.959208,3.955948,3.955591,3.964476,3.970135,3.998854", \ - "4.029392,4.034657,4.032304,4.028188,4.032540,4.023779,4.043524"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.873917,0.881410,0.890968,0.903516,0.917864,0.940920,0.977999", \ - "0.879997,0.885717,0.889267,0.906982,0.927163,0.943320,0.985115", \ - "0.866541,0.876580,0.883242,0.890802,0.925193,0.944281,0.989110", \ - "0.873334,0.875347,0.871680,0.879908,0.904026,0.932335,0.975539", \ - "0.929860,0.930153,0.930973,0.931561,0.940896,0.947793,0.974261", \ - "1.016617,1.019565,1.018520,1.015687,1.015949,1.022944,1.021703", \ - "1.162981,1.161005,1.157518,1.151134,1.145824,1.133799,1.120379"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.638875,4.642117,4.653154,4.652414,4.682020,4.689948,4.724215", \ - "4.611379,4.613254,4.623727,4.625945,4.638463,4.671424,4.707880", \ - "4.571472,4.576171,4.582622,4.602378,4.610364,4.646340,4.686095", \ - "4.546753,4.550158,4.551763,4.561553,4.573949,4.612153,4.654967", \ - "4.542307,4.546662,4.549906,4.549163,4.569939,4.606978,4.623321", \ - "4.558068,4.562171,4.566128,4.569465,4.582777,4.620315,4.631713", \ - "4.634027,4.636144,4.636684,4.632035,4.645879,4.646367,4.675616"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.696947,0.704217,0.722223,0.755997,0.792323,0.833310,0.881129", \ - "0.687714,0.702809,0.717192,0.738157,0.775905,0.828847,0.871309", \ - "0.662467,0.673603,0.692129,0.714860,0.763553,0.806586,0.854479", \ - "0.702222,0.710164,0.713146,0.721819,0.730379,0.782396,0.834731", \ - "0.775398,0.780011,0.781741,0.783705,0.801817,0.807003,0.829707", \ - "0.885903,0.884375,0.878121,0.876228,0.887556,0.889814,0.889322", \ - "1.046917,1.045804,1.040390,1.032754,1.026251,1.010645,0.997885"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.792334,3.799957,3.805173,3.802390,3.815264,3.827065,3.860382", \ - "3.760990,3.763794,3.768903,3.785085,3.790654,3.804882,3.841442", \ - "3.717785,3.724156,3.727973,3.746601,3.752231,3.769740,3.810684", \ - "3.697194,3.702366,3.700065,3.702796,3.736297,3.757024,3.774124", \ - "3.694794,3.697106,3.704201,3.696439,3.724932,3.746535,3.773551", \ - "3.722983,3.727134,3.730890,3.730779,3.729004,3.764100,3.777076", \ - "3.747731,3.763615,3.792760,3.814618,3.803996,3.806905,3.800691"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.705387,0.716367,0.718055,0.748786,0.793443,0.834019,0.881879", \ - "0.699692,0.712371,0.722807,0.749989,0.782436,0.834303,0.877254", \ - "0.693305,0.699810,0.717762,0.736938,0.783637,0.825726,0.874549", \ - "0.734966,0.736618,0.733709,0.749276,0.758760,0.807310,0.861084", \ - "0.802655,0.804371,0.806524,0.814454,0.827752,0.834815,0.857759", \ - "0.899035,0.898173,0.900979,0.903649,0.907171,0.913065,0.915148", \ - "1.047469,1.044078,1.047334,1.038167,1.034909,1.024116,1.016940"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.767190,3.770533,3.779227,3.785963,3.790069,3.798793,3.809237", \ - "3.730953,3.737486,3.746510,3.756946,3.768360,3.779839,3.792808", \ - "3.691437,3.696458,3.705138,3.711341,3.737584,3.752660,3.770224", \ - "3.670700,3.674834,3.673837,3.687638,3.706979,3.721371,3.741051", \ - "3.666473,3.668558,3.671163,3.681403,3.689280,3.705753,3.721909", \ - "3.684116,3.687492,3.691912,3.693084,3.700244,3.716759,3.726573", \ - "3.752971,3.756782,3.762126,3.756706,3.753161,3.767692,3.773806"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.744750,0.752509,0.765050,0.771822,0.812635,0.836888,0.885411", \ - "0.741573,0.752129,0.762291,0.773792,0.809042,0.847781,0.886789", \ - "0.733698,0.738330,0.750707,0.756224,0.803368,0.840795,0.880839", \ - "0.747894,0.750463,0.753028,0.762463,0.780171,0.814889,0.867497", \ - "0.816212,0.819951,0.819986,0.826600,0.833156,0.838996,0.864428", \ - "0.913112,0.914245,0.912306,0.911378,0.916011,0.915394,0.915967", \ - "1.063756,1.059893,1.054128,1.046142,1.044687,1.029552,1.017895"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.371792,4.376209,4.384287,4.391980,4.402612,4.437887,4.456934", \ - "4.342978,4.347801,4.355650,4.366606,4.383198,4.419507,4.443974", \ - "4.301421,4.309880,4.322389,4.342374,4.353216,4.393550,4.419111", \ - "4.279591,4.283988,4.287617,4.303288,4.322407,4.362342,4.390162", \ - "4.274633,4.279590,4.286259,4.298147,4.305612,4.343613,4.372315", \ - "4.290585,4.294599,4.303393,4.311081,4.325227,4.354344,4.375810", \ - "4.356416,4.361618,4.362613,4.364639,4.378001,4.386476,4.401223"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.739375,0.747803,0.751603,0.781490,0.811781,0.836843,0.884822", \ - "0.730726,0.739490,0.754619,0.763508,0.802480,0.842090,0.880972", \ - "0.711292,0.716318,0.721348,0.739278,0.778312,0.809080,0.861647", \ - "0.721360,0.723318,0.727873,0.734309,0.755711,0.788639,0.841144", \ - "0.793827,0.794459,0.794079,0.799808,0.806650,0.811071,0.836839", \ - "0.899321,0.899786,0.897183,0.894094,0.896076,0.892399,0.889838", \ - "1.062955,1.060674,1.053810,1.040900,1.034338,1.015910,0.999174"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.390294,4.398541,4.404261,4.410357,4.439758,4.457055,4.474693", \ - "4.362334,4.367245,4.381331,4.383182,4.417200,4.436647,4.456322", \ - "4.321100,4.327789,4.341327,4.353184,4.380340,4.403022,4.451846", \ - "4.298922,4.305895,4.309110,4.323525,4.341887,4.365059,4.417624", \ - "4.295343,4.301670,4.309026,4.321922,4.341934,4.366656,4.389434", \ - "4.319559,4.323890,4.330174,4.334786,4.351911,4.372295,4.418413", \ - "4.367388,4.385073,4.410672,4.413638,4.424601,4.431104,4.441859"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.744750,0.752509,0.765050,0.771822,0.812635,0.836888,0.885411", \ - "0.741573,0.752129,0.762291,0.773792,0.809042,0.847781,0.886789", \ - "0.733698,0.738330,0.750707,0.756224,0.803368,0.840795,0.880839", \ - "0.747894,0.750463,0.753028,0.762463,0.780171,0.814889,0.867497", \ - "0.816212,0.819951,0.819986,0.826600,0.833156,0.838996,0.864428", \ - "0.913112,0.914245,0.912306,0.911378,0.916011,0.915394,0.915967", \ - "1.063756,1.059893,1.054128,1.046142,1.044687,1.029552,1.017895"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.371792,4.376209,4.384287,4.391980,4.402612,4.437887,4.456934", \ - "4.342978,4.347801,4.355650,4.366606,4.383198,4.419507,4.443974", \ - "4.301421,4.309880,4.322389,4.342374,4.353216,4.393550,4.419111", \ - "4.279591,4.283988,4.287617,4.303288,4.322407,4.362342,4.390162", \ - "4.274633,4.279590,4.286259,4.298147,4.305612,4.343613,4.372315", \ - "4.290585,4.294599,4.303393,4.311081,4.325227,4.354344,4.375810", \ - "4.356416,4.361618,4.362613,4.364639,4.378001,4.386476,4.401223"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.770300,0.776631,0.789832,0.801334,0.818273,0.850615,0.892642", \ - "0.772802,0.777301,0.787729,0.792422,0.825380,0.848025,0.895065", \ - "0.758387,0.765294,0.775751,0.792141,0.817052,0.849359,0.889438", \ - "0.759111,0.761752,0.766187,0.768409,0.792300,0.828975,0.872632", \ - "0.829454,0.831132,0.827771,0.830471,0.838010,0.838827,0.865728", \ - "0.924391,0.924674,0.920162,0.919343,0.917694,0.918207,0.915863", \ - "1.077055,1.073099,1.069164,1.061969,1.048181,1.034476,1.019301"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.978497,4.986535,4.994146,5.012465,5.036286,5.057464,5.106127", \ - "4.951623,4.957833,4.968977,4.990226,5.016825,5.040112,5.069359", \ - "4.916245,4.924449,4.936184,4.960254,4.989354,5.015197,5.048403", \ - "4.889885,4.895192,4.904575,4.918858,4.957604,4.984733,5.019586", \ - "4.884539,4.892113,4.898707,4.907551,4.942356,4.965826,5.020882", \ - "4.898607,4.904619,4.915873,4.926704,4.952495,4.974724,5.026403", \ - "4.959789,4.965020,4.972846,4.982605,4.990085,5.027955,5.051004"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.196603,1.216943,1.230682,1.263931,1.350736,1.429187,1.516983", \ - "1.181474,1.189128,1.222012,1.252154,1.331860,1.406228,1.504079", \ - "1.153242,1.161426,1.192205,1.236407,1.294281,1.382011,1.470923", \ - "1.213174,1.215254,1.229947,1.249334,1.304482,1.371980,1.461393", \ - "1.384728,1.383175,1.386671,1.393774,1.409614,1.429147,1.492364", \ - "1.584710,1.582854,1.590677,1.588719,1.591890,1.593140,1.594336", \ - "1.850534,1.850971,1.852056,1.842767,1.836189,1.817518,1.792587"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.619650,4.625622,4.629511,4.635149,4.649231,4.645744,4.678323", \ - "4.571163,4.576824,4.583548,4.587358,4.619165,4.652586,4.661983", \ - "4.499312,4.507953,4.517165,4.515790,4.541968,4.582157,4.601383", \ - "4.470181,4.475478,4.475899,4.478115,4.506321,4.513580,4.562835", \ - "4.460324,4.465604,4.449987,4.458285,4.460684,4.488826,4.535013", \ - "4.465786,4.469729,4.466627,4.451871,4.473896,4.472855,4.519462", \ - "4.447315,4.458803,4.480083,4.513015,4.493611,4.515977,4.516858"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.093695,1.120328,1.151725,1.200768,1.267441,1.358833,1.461718", \ - "1.077460,1.087520,1.117723,1.156181,1.225547,1.320380,1.435768", \ - "1.051040,1.063243,1.088021,1.148160,1.201078,1.303145,1.401697", \ - "1.136858,1.134799,1.139512,1.173191,1.218057,1.292372,1.377668", \ - "1.306880,1.314028,1.311277,1.322597,1.332931,1.349665,1.410181", \ - "1.508560,1.512326,1.513470,1.514245,1.515410,1.515442,1.516550", \ - "1.772091,1.769429,1.772474,1.768467,1.758928,1.737916,1.711853"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.377256,4.382361,4.384384,4.393246,4.406649,4.407708,4.403796", \ - "4.326734,4.335978,4.340741,4.349929,4.377989,4.387381,4.413975", \ - "4.259309,4.260026,4.267295,4.281735,4.306467,4.322618,4.359207", \ - "4.228525,4.228788,4.234445,4.230516,4.254295,4.265536,4.309902", \ - "4.220255,4.222605,4.214724,4.209788,4.228507,4.235292,4.266512", \ - "4.222409,4.224658,4.223569,4.211122,4.220754,4.224888,4.253053", \ - "4.230751,4.247812,4.260456,4.247747,4.253293,4.253421,4.273669"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.168808,1.180348,1.208056,1.239946,1.307731,1.376242,1.464340", \ - "1.150924,1.164386,1.178591,1.200520,1.286085,1.349883,1.447398", \ - "1.121063,1.138226,1.159313,1.189263,1.249589,1.326111,1.415976", \ - "1.171681,1.173366,1.186150,1.207617,1.255387,1.320181,1.403592", \ - "1.336680,1.341204,1.331177,1.346452,1.353118,1.367017,1.426660", \ - "1.537735,1.535214,1.539082,1.535302,1.528750,1.529722,1.523515", \ - "1.803703,1.798513,1.793195,1.784520,1.770382,1.751062,1.720118"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.985095,4.987320,4.991658,4.995278,5.023465,5.029630,5.057754", \ - "4.947834,4.951381,4.957407,4.977998,4.999779,5.011261,5.044450", \ - "4.875377,4.881213,4.891430,4.900464,4.932011,4.962891,5.001164", \ - "4.841780,4.842736,4.847955,4.858609,4.878106,4.893480,4.935369", \ - "4.830849,4.830129,4.824856,4.837038,4.849067,4.862237,4.900566", \ - "4.829100,4.830107,4.826643,4.823179,4.840291,4.874446,4.886282", \ - "4.857722,4.862003,4.860547,4.859778,4.869941,4.875026,4.908003"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.890596,0.905372,0.944343,0.984293,1.101568,1.207382,1.331519", \ - "0.867649,0.893161,0.929485,0.996830,1.084578,1.193510,1.310292", \ - "0.872556,0.892055,0.919735,0.975401,1.058121,1.162535,1.282124", \ - "0.990488,0.995238,0.997896,1.022244,1.081664,1.153840,1.275528", \ - "1.184846,1.186107,1.178741,1.199050,1.218175,1.240055,1.309344", \ - "1.400006,1.397416,1.403640,1.406586,1.412062,1.415306,1.419056", \ - "1.674875,1.673493,1.676681,1.663057,1.661033,1.637991,1.618913"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.341946,4.350560,4.352601,4.370910,4.381653,4.390181,4.414825", \ - "4.289657,4.297232,4.308198,4.333070,4.352451,4.368765,4.400106", \ - "4.220223,4.226245,4.234103,4.244591,4.278480,4.301657,4.342662", \ - "4.192681,4.192458,4.198263,4.208945,4.218388,4.261192,4.282850", \ - "4.181861,4.186002,4.183057,4.181539,4.202744,4.216244,4.252362", \ - "4.187440,4.188960,4.194116,4.193888,4.201682,4.212984,4.246345", \ - "4.166448,4.183150,4.221475,4.222057,4.219319,4.223201,4.249577"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.822646,0.848139,0.872871,0.953133,1.032595,1.149849,1.279271", \ - "0.803460,0.818702,0.868357,0.903628,1.019885,1.123813,1.265927", \ - "0.803276,0.817261,0.859461,0.891705,0.996691,1.088602,1.228611", \ - "0.935657,0.933173,0.938863,0.969110,1.026365,1.107675,1.206883", \ - "1.122719,1.130462,1.129215,1.135391,1.152762,1.184365,1.246111", \ - "1.338004,1.344307,1.341846,1.346471,1.351841,1.353000,1.361657", \ - "1.609837,1.606306,1.609610,1.602681,1.589870,1.580082,1.553184"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.096788,4.099453,4.107828,4.116968,4.125555,4.132537,4.135942", \ - "4.046962,4.053777,4.065554,4.079906,4.098406,4.112299,4.122805", \ - "3.974235,3.981348,3.986599,3.998414,4.028293,4.050059,4.070194", \ - "3.948223,3.948487,3.947477,3.960213,3.978216,3.994348,4.014408", \ - "3.938306,3.942254,3.935733,3.936524,3.954638,3.967504,3.982242", \ - "3.937935,3.943732,3.942428,3.930524,3.953385,3.963987,3.975327", \ - "3.948787,3.969207,3.977674,3.968685,3.956243,3.978282,3.988688"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.910165,0.926119,0.966443,1.014347,1.081405,1.184839,1.307007", \ - "0.897599,0.914646,0.939780,0.986145,1.055869,1.165749,1.281947", \ - "0.888096,0.906012,0.914203,0.958627,1.037161,1.134757,1.248783", \ - "0.974984,0.976021,0.985482,1.010803,1.064394,1.138525,1.227922", \ - "1.164696,1.166790,1.161556,1.174510,1.183786,1.199233,1.264503", \ - "1.376496,1.372045,1.367185,1.368050,1.368660,1.367924,1.369457", \ - "1.644550,1.642769,1.639450,1.627513,1.610815,1.587261,1.562014"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.702718,4.708189,4.720298,4.718589,4.737119,4.748335,4.760866", \ - "4.666069,4.672980,4.686982,4.701187,4.713608,4.750962,4.769579", \ - "4.591950,4.600464,4.614023,4.626621,4.647217,4.691313,4.718679", \ - "4.559229,4.564400,4.564434,4.570025,4.596097,4.636793,4.664594", \ - "4.546621,4.552031,4.547054,4.554783,4.571952,4.608792,4.632597", \ - "4.546377,4.549471,4.548159,4.557429,4.568296,4.585856,4.603708", \ - "4.574218,4.577035,4.587107,4.582374,4.588563,4.598506,4.616377"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.976290,0.995245,1.019024,1.053181,1.130512,1.242048,1.357835", \ - "0.963715,0.972184,0.995792,1.054748,1.130860,1.227480,1.331476", \ - "0.951416,0.965269,0.992589,1.021983,1.103129,1.197999,1.306181", \ - "1.032539,1.037379,1.039957,1.064765,1.108589,1.192355,1.295821", \ - "1.221999,1.220882,1.220751,1.226328,1.233360,1.258416,1.319272", \ - "1.435513,1.435974,1.434498,1.429901,1.431169,1.431305,1.427423", \ - "1.711597,1.706267,1.707138,1.689863,1.673068,1.652644,1.623080"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.947923,4.955426,4.954190,4.972213,4.981308,5.020000,5.027739", \ - "4.909796,4.918511,4.930389,4.941548,4.956106,5.000797,5.039340", \ - "4.834921,4.841172,4.853034,4.871772,4.909548,4.936410,4.984179", \ - "4.802229,4.802435,4.802714,4.824328,4.847640,4.873868,4.919503", \ - "4.788807,4.795117,4.792039,4.792302,4.829969,4.850997,4.894076", \ - "4.788222,4.792375,4.796060,4.809016,4.828373,4.846557,4.887980", \ - "4.801947,4.816385,4.835873,4.832101,4.843837,4.855687,4.891556"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.910165,0.926119,0.966443,1.014347,1.081405,1.184839,1.307007", \ - "0.897599,0.914646,0.939780,0.986145,1.055869,1.165749,1.281947", \ - "0.888096,0.906012,0.914203,0.958627,1.037161,1.134757,1.248783", \ - "0.974984,0.976021,0.985482,1.010803,1.064394,1.138525,1.227922", \ - "1.164696,1.166790,1.161556,1.174510,1.183786,1.199233,1.264503", \ - "1.376496,1.372045,1.367185,1.368050,1.368660,1.367924,1.369457", \ - "1.644550,1.642769,1.639450,1.627513,1.610815,1.587261,1.562014"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.702718,4.708189,4.720298,4.718589,4.737119,4.748335,4.760866", \ - "4.666069,4.672980,4.686982,4.701187,4.713608,4.750962,4.769579", \ - "4.591950,4.600464,4.614023,4.626621,4.647217,4.691313,4.718679", \ - "4.559229,4.564400,4.564434,4.570025,4.596097,4.636793,4.664594", \ - "4.546621,4.552031,4.547054,4.554783,4.571952,4.608792,4.632597", \ - "4.546377,4.549471,4.548159,4.557429,4.568296,4.585856,4.603708", \ - "4.574218,4.577035,4.587107,4.582374,4.588563,4.598506,4.616377"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.984343,0.991727,1.009761,1.061777,1.124294,1.215991,1.322042", \ - "0.959817,0.970131,0.987857,1.027652,1.101871,1.190371,1.291017", \ - "0.951465,0.957381,0.970111,1.001608,1.072308,1.154208,1.261720", \ - "1.011551,1.016454,1.031856,1.055882,1.099053,1.163357,1.247741", \ - "1.194349,1.193312,1.187152,1.186900,1.199678,1.219089,1.268915", \ - "1.401023,1.404114,1.399416,1.389096,1.389675,1.381793,1.376131", \ - "1.671281,1.670766,1.658387,1.644919,1.627713,1.600021,1.565705"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.309625,5.317834,5.332407,5.341594,5.350678,5.387646,5.413161", \ - "5.279680,5.290123,5.305731,5.311873,5.349019,5.371237,5.409420", \ - "5.210730,5.219760,5.231209,5.245090,5.286950,5.313967,5.369119", \ - "5.174192,5.174429,5.188013,5.197557,5.233952,5.259338,5.315909", \ - "5.156243,5.160841,5.166547,5.178104,5.208722,5.231721,5.262568", \ - "5.155540,5.158049,5.170000,5.176505,5.185756,5.228194,5.254604", \ - "5.181348,5.183617,5.188551,5.196961,5.203398,5.241330,5.266803"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.201609,1.213907,1.229732,1.271419,1.351071,1.425559,1.523910", \ - "1.180325,1.196949,1.226472,1.267243,1.332727,1.414323,1.500606", \ - "1.153158,1.165679,1.193941,1.237508,1.302429,1.378771,1.472989", \ - "1.178391,1.189319,1.203689,1.228675,1.277788,1.367042,1.458128", \ - "1.289399,1.298629,1.303058,1.321129,1.351615,1.387809,1.455420", \ - "1.423918,1.426542,1.433403,1.432185,1.459159,1.483840,1.514173", \ - "1.596520,1.596456,1.598811,1.605253,1.614470,1.625865,1.637740"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.166541,5.171789,5.176407,5.169281,5.202186,5.203156,5.240916", \ - "5.130953,5.138778,5.147801,5.153609,5.172888,5.175718,5.216526", \ - "5.092304,5.095047,5.097403,5.115070,5.121824,5.157942,5.180035", \ - "5.069110,5.072951,5.073273,5.083907,5.100133,5.106707,5.153026", \ - "5.065178,5.066051,5.063628,5.054789,5.085808,5.091434,5.136773", \ - "5.068434,5.068542,5.074048,5.077814,5.075490,5.107264,5.123035", \ - "5.062306,5.076840,5.104984,5.113866,5.122228,5.121489,5.129689"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.100200,1.122150,1.153300,1.196989,1.268650,1.359110,1.457618", \ - "1.076768,1.091009,1.128115,1.151892,1.244842,1.334096,1.424715", \ - "1.050674,1.067279,1.091181,1.148319,1.208301,1.297304,1.392224", \ - "1.097095,1.102215,1.110944,1.141214,1.205982,1.269848,1.374377", \ - "1.213615,1.219003,1.221741,1.238397,1.268571,1.304232,1.378776", \ - "1.352529,1.355665,1.357759,1.358342,1.384266,1.415781,1.443904", \ - "1.521539,1.527855,1.526136,1.525616,1.537332,1.552863,1.563463"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.929538,4.932177,4.939011,4.948184,4.951988,4.955566,4.981822", \ - "4.895414,4.900105,4.902823,4.914842,4.926099,4.931126,4.959398", \ - "4.854797,4.858876,4.864549,4.878351,4.880050,4.912439,4.945465", \ - "4.834466,4.836981,4.837641,4.837766,4.863372,4.873752,4.907749", \ - "4.823582,4.828579,4.823389,4.824710,4.841761,4.852847,4.884766", \ - "4.826522,4.830488,4.828005,4.830668,4.836853,4.845632,4.877306", \ - "4.849815,4.853629,4.859089,4.854249,4.866778,4.871231,4.902220"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.174006,1.184911,1.211105,1.248828,1.307834,1.383850,1.470952", \ - "1.150523,1.159072,1.189971,1.215915,1.286674,1.357929,1.447154", \ - "1.122511,1.137202,1.149046,1.192381,1.247938,1.325287,1.409270", \ - "1.137594,1.144691,1.162339,1.193953,1.226339,1.308935,1.392399", \ - "1.248467,1.253025,1.261712,1.274895,1.288236,1.318941,1.391450", \ - "1.381980,1.383441,1.387295,1.394341,1.406731,1.427150,1.452593", \ - "1.555095,1.555603,1.558279,1.559120,1.560825,1.567260,1.570653"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.531245,5.538993,5.539917,5.554862,5.570226,5.578275,5.612792", \ - "5.504660,5.507399,5.516302,5.525482,5.542788,5.554355,5.590493", \ - "5.461632,5.470204,5.478827,5.482347,5.499203,5.537138,5.577530", \ - "5.445616,5.448216,5.448064,5.456298,5.484378,5.499027,5.540577", \ - "5.433260,5.435582,5.437381,5.449366,5.464361,5.478401,5.536640", \ - "5.432896,5.437333,5.443858,5.451013,5.456576,5.496248,5.510856", \ - "5.458039,5.459623,5.471998,5.463195,5.486753,5.503826,5.536405"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.885037,0.917806,0.938257,1.010484,1.087691,1.201129,1.339384", \ - "0.877884,0.891418,0.918119,0.998093,1.063281,1.176344,1.315188", \ - "0.861776,0.880646,0.916448,0.953310,1.052901,1.149183,1.286230", \ - "0.935075,0.946065,0.952997,0.989345,1.055699,1.147223,1.261679", \ - "1.077378,1.085770,1.093325,1.101794,1.147298,1.185904,1.272668", \ - "1.224769,1.231780,1.233944,1.240101,1.274933,1.300514,1.341890", \ - "1.413513,1.414726,1.418050,1.421793,1.431270,1.446773,1.467364"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.890381,4.895695,4.897416,4.909446,4.931094,4.943552,4.974467", \ - "4.855618,4.858694,4.869321,4.877044,4.903036,4.918316,4.951494", \ - "4.813155,4.817242,4.831194,4.840589,4.855185,4.898542,4.911272", \ - "4.792709,4.798076,4.800479,4.811583,4.833554,4.851976,4.890777", \ - "4.785673,4.792178,4.788667,4.792799,4.823551,4.840969,4.879036", \ - "4.785341,4.793998,4.793703,4.791620,4.800262,4.840624,4.878997", \ - "4.788969,4.808926,4.822504,4.837012,4.839892,4.851783,4.885602"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.818406,0.841175,0.888202,0.934174,1.042348,1.141527,1.281547", \ - "0.809810,0.825550,0.865779,0.934622,1.022633,1.134147,1.253596", \ - "0.791951,0.814316,0.838136,0.904029,0.991240,1.103235,1.218869", \ - "0.875665,0.883264,0.898733,0.928252,0.986744,1.073441,1.202974", \ - "1.019359,1.028172,1.028861,1.051123,1.088093,1.130529,1.205989", \ - "1.174822,1.176782,1.184471,1.194379,1.217038,1.248995,1.280919", \ - "1.357334,1.359822,1.367824,1.367246,1.376410,1.388116,1.407466"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.648934,4.651750,4.655528,4.671569,4.668892,4.697910,4.708988", \ - "4.612082,4.616605,4.627776,4.639766,4.642795,4.674434,4.687725", \ - "4.572240,4.576808,4.583683,4.591044,4.618589,4.634631,4.651676", \ - "4.552096,4.556938,4.558409,4.568155,4.583250,4.597842,4.627089", \ - "4.543505,4.548233,4.548952,4.558994,4.564585,4.578561,4.596015", \ - "4.544134,4.545772,4.553768,4.545671,4.564754,4.580455,4.595107", \ - "4.563659,4.567485,4.576992,4.581794,4.586083,4.595245,4.617070"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.916665,0.936568,0.961324,1.004576,1.071659,1.191544,1.305627", \ - "0.895416,0.912956,0.942736,0.996098,1.068670,1.168170,1.275800", \ - "0.874158,0.890820,0.908618,0.961642,1.019978,1.133002,1.240734", \ - "0.928395,0.931107,0.946440,0.975471,1.028276,1.106434,1.223845", \ - "1.061904,1.067021,1.076454,1.090773,1.115918,1.148747,1.225803", \ - "1.210491,1.212307,1.213198,1.219898,1.241248,1.261809,1.290885", \ - "1.395153,1.396778,1.395737,1.390852,1.399110,1.404056,1.420470"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.253174,5.255745,5.266465,5.272076,5.300114,5.316073,5.335323", \ - "5.222985,5.229077,5.235459,5.244585,5.275715,5.292865,5.314156", \ - "5.181463,5.189040,5.199247,5.217119,5.233840,5.274326,5.300075", \ - "5.161413,5.168190,5.170577,5.192871,5.198343,5.238580,5.265332", \ - "5.151949,5.155315,5.163049,5.174435,5.181264,5.220437,5.246377", \ - "5.152777,5.158174,5.161815,5.170716,5.181126,5.222030,5.244960", \ - "5.174456,5.179407,5.187540,5.193727,5.200348,5.237114,5.260478"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.981827,0.998884,1.028469,1.058894,1.136005,1.235793,1.350204", \ - "0.962323,0.977626,1.012402,1.060372,1.110982,1.210281,1.336579", \ - "0.943471,0.959555,0.982567,1.018062,1.091625,1.193376,1.307353", \ - "0.988934,0.994240,1.010810,1.041601,1.097329,1.179024,1.283484", \ - "1.120972,1.125162,1.126973,1.143560,1.173888,1.208021,1.282898", \ - "1.268326,1.266898,1.272262,1.279418,1.291464,1.314667,1.347120", \ - "1.453376,1.451586,1.454666,1.447203,1.456970,1.462895,1.475367"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.489816,5.494801,5.505650,5.522318,5.532426,5.573841,5.588244", \ - "5.458141,5.467545,5.481941,5.492758,5.506550,5.549311,5.591066", \ - "5.422071,5.425187,5.434790,5.448565,5.483832,5.506206,5.551355", \ - "5.399172,5.403333,5.408065,5.417068,5.437998,5.484791,5.531759", \ - "5.388494,5.397826,5.398167,5.418720,5.429573,5.474135,5.503913", \ - "5.389404,5.398913,5.402808,5.411325,5.427133,5.474128,5.494865", \ - "5.415657,5.422764,5.429880,5.445744,5.441655,5.484209,5.530199"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.916665,0.936568,0.961324,1.004576,1.071659,1.191544,1.305627", \ - "0.895416,0.912956,0.942736,0.996098,1.068670,1.168170,1.275800", \ - "0.874158,0.890820,0.908618,0.961642,1.019978,1.133002,1.240734", \ - "0.928395,0.931107,0.946440,0.975471,1.028276,1.106434,1.223845", \ - "1.061904,1.067021,1.076454,1.090773,1.115918,1.148747,1.225803", \ - "1.210491,1.212307,1.213198,1.219898,1.241248,1.261809,1.290885", \ - "1.395153,1.396778,1.395737,1.390852,1.399110,1.404056,1.420470"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.253174,5.255745,5.266465,5.272076,5.300114,5.316073,5.335323", \ - "5.222985,5.229077,5.235459,5.244585,5.275715,5.292865,5.314156", \ - "5.181463,5.189040,5.199247,5.217119,5.233840,5.274326,5.300075", \ - "5.161413,5.168190,5.170577,5.192871,5.198343,5.238580,5.265332", \ - "5.151949,5.155315,5.163049,5.174435,5.181264,5.220437,5.246377", \ - "5.152777,5.158174,5.161815,5.170716,5.181126,5.222030,5.244960", \ - "5.174456,5.179407,5.187540,5.193727,5.200348,5.237114,5.260478"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.981144,1.000624,1.025991,1.044383,1.125579,1.203541,1.323395", \ - "0.959257,0.980442,1.006274,1.044323,1.110156,1.181055,1.296540", \ - "0.935532,0.948724,0.966011,1.008046,1.070092,1.145183,1.260732", \ - "0.964739,0.973311,0.982729,1.016846,1.059219,1.136339,1.230264", \ - "1.096682,1.102622,1.103168,1.112029,1.134944,1.164207,1.243890", \ - "1.240872,1.244202,1.245298,1.243537,1.254071,1.274025,1.301824", \ - "1.423528,1.424263,1.419041,1.413861,1.414117,1.418821,1.427870"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.859365,5.863247,5.875102,5.899593,5.914269,5.955785,5.984167", \ - "5.831322,5.835926,5.847319,5.871865,5.889625,5.932713,5.963234", \ - "5.792037,5.799613,5.811344,5.838684,5.849855,5.895535,5.929108", \ - "5.771549,5.780646,5.785509,5.810370,5.834364,5.860698,5.915820", \ - "5.761894,5.766511,5.779138,5.793673,5.817456,5.842617,5.897139", \ - "5.763017,5.768211,5.776544,5.785852,5.820085,5.843775,5.874989", \ - "5.784082,5.789193,5.800863,5.806971,5.816044,5.863453,5.891033"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI222_X2 - Cell Description : Combinational cell (AOI222_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI222_X2) { - - drive_strength : 2; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 50.482632; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 19.057950; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 47.538570; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 28.138908; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 28.558292; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 47.538665; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 76.002280; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 56.615064; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 38.490561; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 28.139003; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 56.615064; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 37.221101; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 38.487511; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 29.151880; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 39.289159; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 39.286100; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 42.867553; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 47.538665; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 76.002375; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 56.615159; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 38.568689; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 76.002470; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 104.442810; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 85.071746; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 48.568816; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 56.615159; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 85.071746; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 65.692512; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 48.565672; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 38.952736; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 49.090290; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 49.087146; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 53.066848; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 28.139006; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 56.615161; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 37.221199; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 38.565639; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 56.615161; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 85.071653; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 65.692514; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 48.565767; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 37.221199; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 65.692514; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 46.305392; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 48.560817; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 38.949591; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 49.087136; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 49.082187; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 53.064758; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 34.612205; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 44.744240; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 44.740345; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 43.036045; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 44.744335; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 54.876370; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 54.872475; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 53.221242; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 44.740440; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 54.872475; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 54.866680; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 53.219057; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 43.082937; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 53.222885; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 53.220795; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 62.415741; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.062979; - fall_capacitance : 2.626833; - rise_capacitance : 3.062979; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.081955; - fall_capacitance : 2.585122; - rise_capacitance : 3.081955; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.953198; - fall_capacitance : 2.725766; - rise_capacitance : 2.953198; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.306879; - fall_capacitance : 2.979381; - rise_capacitance : 3.306879; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.912284; - fall_capacitance : 2.819519; - rise_capacitance : 2.912284; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.220392; - fall_capacitance : 3.000466; - rise_capacitance : 3.220392; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.578210; - function : "!(((A1 & A2) | (B1 & B2)) | (C1 & C2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.019339,0.020393,0.022327,0.026180,0.033857,0.049161,0.079712", \ - "0.023825,0.024898,0.026869,0.030777,0.038512,0.053879,0.084483", \ - "0.038457,0.039934,0.042514,0.047240,0.055435,0.070748,0.101332", \ - "0.051881,0.054266,0.058459,0.066133,0.079336,0.100761,0.134063", \ - "0.059680,0.063154,0.069070,0.080186,0.099243,0.129914,0.176767", \ - "0.060304,0.064916,0.072879,0.087520,0.112944,0.153938,0.216200", \ - "0.052697,0.058494,0.068571,0.086914,0.119044,0.171055,0.250114"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.062925,0.067321,0.075437,0.091578,0.123637,0.187217,0.313706", \ - "0.064549,0.069023,0.077293,0.093733,0.126308,0.190560,0.317657", \ - "0.079171,0.083360,0.091222,0.107168,0.139398,0.203772,0.331407", \ - "0.114420,0.118817,0.126572,0.140918,0.171219,0.234137,0.360814", \ - "0.156259,0.162060,0.172261,0.191499,0.225626,0.286167,0.410537", \ - "0.202042,0.209225,0.221920,0.245602,0.288207,0.360725,0.483879", \ - "0.252475,0.260987,0.276060,0.304275,0.355008,0.441791,0.583171"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011862,0.012752,0.014419,0.017750,0.024415,0.037747,0.064403", \ - "0.011857,0.012753,0.014415,0.017751,0.024416,0.037754,0.064410", \ - "0.017117,0.017737,0.018860,0.020954,0.025798,0.037765,0.064405", \ - "0.030016,0.030874,0.032376,0.035164,0.040214,0.049100,0.067700", \ - "0.047287,0.048481,0.050697,0.054436,0.060987,0.072036,0.090342", \ - "0.068616,0.070198,0.073109,0.078253,0.086667,0.100568,0.122697", \ - "0.094007,0.096040,0.099673,0.106327,0.117009,0.134284,0.161103"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.053818,0.057991,0.065631,0.080492,0.109777,0.167415,0.282002", \ - "0.053263,0.057510,0.065255,0.080300,0.109705,0.167394,0.282011", \ - "0.051206,0.055639,0.063730,0.079305,0.109369,0.167374,0.281977", \ - "0.058367,0.061193,0.066876,0.079469,0.107441,0.166979,0.281971", \ - "0.078894,0.081959,0.087696,0.098332,0.118439,0.167634,0.281773", \ - "0.101674,0.105386,0.112187,0.124749,0.147241,0.187787,0.283842", \ - "0.127151,0.131507,0.139383,0.154149,0.180290,0.225803,0.307739"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.019330,0.020384,0.022318,0.026168,0.033840,0.049137,0.079676", \ - "0.023820,0.024893,0.026862,0.030765,0.038499,0.053860,0.084446", \ - "0.038520,0.039986,0.042570,0.047282,0.055466,0.070770,0.101337", \ - "0.052110,0.054508,0.058682,0.066341,0.079498,0.100878,0.134138", \ - "0.059974,0.063438,0.069378,0.080474,0.099499,0.130136,0.176911", \ - "0.060081,0.064730,0.072739,0.087443,0.112943,0.154051,0.216345", \ - "0.051224,0.057110,0.067331,0.085912,0.118358,0.170718,0.250039"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.069577,0.075054,0.085280,0.105859,0.147232,0.229629,0.393403", \ - "0.070548,0.076067,0.086405,0.107307,0.149312,0.232574,0.397166", \ - "0.084843,0.089914,0.099603,0.119670,0.161066,0.244471,0.409847", \ - "0.122047,0.126903,0.135153,0.152902,0.191708,0.273223,0.437450", \ - "0.168564,0.175000,0.186388,0.207872,0.246631,0.323183,0.484811", \ - "0.219785,0.227754,0.241883,0.268346,0.316226,0.398988,0.555031", \ - "0.276209,0.285628,0.302342,0.333755,0.390593,0.488736,0.651650"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011860,0.012752,0.014419,0.017747,0.024416,0.037752,0.064407", \ - "0.011854,0.012752,0.014415,0.017749,0.024415,0.037749,0.064407", \ - "0.017086,0.017712,0.018834,0.020935,0.025790,0.037758,0.064403", \ - "0.029879,0.030750,0.032250,0.035056,0.040111,0.049032,0.067675", \ - "0.047046,0.048225,0.050468,0.054253,0.060810,0.071890,0.090259", \ - "0.068459,0.070055,0.072912,0.078113,0.086533,0.100438,0.122591", \ - "0.094114,0.096163,0.099802,0.106464,0.117132,0.134362,0.161106"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.067533,0.073290,0.083725,0.104006,0.142816,0.217413,0.364063", \ - "0.066275,0.072158,0.082813,0.103429,0.142592,0.217359,0.364067", \ - "0.062903,0.068939,0.079935,0.101383,0.141642,0.217225,0.364070", \ - "0.066766,0.071034,0.079484,0.097911,0.138026,0.216531,0.364051", \ - "0.087810,0.091619,0.098756,0.111637,0.141937,0.213006,0.363853", \ - "0.111659,0.116043,0.124084,0.139187,0.167183,0.223763,0.361272", \ - "0.138164,0.143201,0.152339,0.169642,0.200805,0.256946,0.372768"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.019730,0.020784,0.022717,0.026572,0.034252,0.049567,0.080145", \ - "0.024220,0.025296,0.027265,0.031170,0.038912,0.054288,0.084916", \ - "0.039079,0.040534,0.043085,0.047751,0.055878,0.071197,0.101806", \ - "0.053132,0.055470,0.059598,0.067156,0.080185,0.101442,0.134605", \ - "0.061596,0.065022,0.070850,0.081730,0.100562,0.130997,0.177602", \ - "0.062467,0.067035,0.074938,0.089406,0.114597,0.155360,0.217364", \ - "0.054583,0.060333,0.070473,0.088745,0.120709,0.172607,0.251494"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.095569,0.101289,0.111800,0.132782,0.174468,0.257109,0.421144", \ - "0.097136,0.102924,0.113569,0.134819,0.176994,0.260303,0.424993", \ - "0.109749,0.115321,0.125752,0.146746,0.188791,0.272375,0.437826", \ - "0.143702,0.148692,0.158086,0.177810,0.218455,0.300771,0.465321", \ - "0.197428,0.203243,0.213625,0.233408,0.270596,0.350000,0.512331", \ - "0.255711,0.262914,0.275768,0.300092,0.344877,0.423813,0.582103", \ - "0.318798,0.327334,0.342587,0.371573,0.424772,0.518274,0.677794"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013394,0.014359,0.016147,0.019713,0.026827,0.040904,0.068309", \ - "0.013381,0.014368,0.016157,0.019719,0.026822,0.040904,0.068312", \ - "0.018928,0.019556,0.020670,0.022802,0.028142,0.040903,0.068310", \ - "0.034560,0.035282,0.036564,0.038981,0.043673,0.052339,0.071517", \ - "0.056816,0.057591,0.059125,0.061930,0.067312,0.077157,0.094500", \ - "0.083505,0.084449,0.086292,0.089787,0.096211,0.107983,0.128210", \ - "0.114624,0.115790,0.117994,0.122372,0.130222,0.144377,0.168372"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.083812,0.089366,0.099585,0.119177,0.157445,0.232064,0.379279", \ - "0.083206,0.088836,0.099153,0.118921,0.157355,0.232070,0.379285", \ - "0.080946,0.086760,0.097436,0.117804,0.156899,0.231976,0.379269", \ - "0.078199,0.083430,0.093376,0.113589,0.154835,0.231606,0.379275", \ - "0.094113,0.097663,0.104467,0.119793,0.153981,0.228555,0.379139", \ - "0.117766,0.122169,0.130289,0.145486,0.173354,0.234735,0.376969", \ - "0.144680,0.149730,0.158907,0.176171,0.207376,0.263435,0.384768"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.019340,0.020393,0.022324,0.026178,0.033849,0.049143,0.079687", \ - "0.023864,0.024939,0.026908,0.030810,0.038544,0.053905,0.084494", \ - "0.038629,0.040090,0.042675,0.047375,0.055550,0.070857,0.101425", \ - "0.052171,0.054577,0.058748,0.066410,0.079577,0.100949,0.134199", \ - "0.059862,0.063338,0.069283,0.080415,0.099468,0.130150,0.176942", \ - "0.059817,0.064481,0.072525,0.087294,0.112851,0.154001,0.216331", \ - "0.050879,0.056785,0.067037,0.085648,0.118160,0.170610,0.249988"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.079333,0.085055,0.095561,0.116389,0.157611,0.239379,0.401893", \ - "0.079735,0.085569,0.096296,0.117561,0.159501,0.242124,0.405457", \ - "0.092341,0.097867,0.108203,0.129007,0.170645,0.253487,0.417612", \ - "0.127521,0.132250,0.141229,0.160400,0.200401,0.281680,0.444721", \ - "0.173587,0.180171,0.191779,0.213804,0.253034,0.331184,0.491833", \ - "0.224114,0.232213,0.246566,0.273385,0.321906,0.405534,0.561966", \ - "0.279855,0.289386,0.306297,0.338082,0.395482,0.494461,0.658314"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011864,0.012754,0.014419,0.017749,0.024419,0.037752,0.064408", \ - "0.011851,0.012755,0.014414,0.017750,0.024415,0.037753,0.064408", \ - "0.017033,0.017660,0.018784,0.020887,0.025769,0.037752,0.064403", \ - "0.029843,0.030687,0.032208,0.035009,0.040068,0.048996,0.067657", \ - "0.047083,0.048273,0.050514,0.054267,0.060815,0.071880,0.090239", \ - "0.068680,0.070250,0.073122,0.078270,0.086623,0.100498,0.122602", \ - "0.094518,0.096547,0.100155,0.106745,0.117350,0.134489,0.161158"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.070215,0.075455,0.085087,0.103793,0.140730,0.213834,0.359336", \ - "0.069674,0.075013,0.084788,0.103681,0.140685,0.213828,0.359339", \ - "0.067527,0.073164,0.083370,0.102912,0.140489,0.213832,0.359325", \ - "0.069604,0.074015,0.082490,0.100449,0.139002,0.213668,0.359332", \ - "0.089251,0.093120,0.100321,0.113169,0.142959,0.211922,0.359326", \ - "0.112376,0.116799,0.124925,0.140111,0.168040,0.223520,0.358156", \ - "0.138432,0.143515,0.152700,0.170161,0.201324,0.257146,0.370600"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.019329,0.020382,0.022313,0.026162,0.033831,0.049119,0.079637", \ - "0.023856,0.024931,0.026899,0.030798,0.038529,0.053880,0.084453", \ - "0.038652,0.040118,0.042706,0.047394,0.055559,0.070856,0.101407", \ - "0.052354,0.054750,0.058921,0.066549,0.079688,0.101022,0.134235", \ - "0.060125,0.063604,0.069548,0.080623,0.099684,0.130295,0.177040", \ - "0.059706,0.064395,0.072479,0.087233,0.112885,0.154096,0.216428", \ - "0.049741,0.055715,0.066079,0.084892,0.117648,0.170357,0.249891"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.087224,0.094160,0.106973,0.132524,0.183355,0.284151,0.484367", \ - "0.086824,0.093859,0.106893,0.132992,0.184684,0.286546,0.487749", \ - "0.098823,0.105402,0.117870,0.143211,0.194517,0.296664,0.498922", \ - "0.134222,0.139786,0.150631,0.173928,0.223121,0.323413,0.524388", \ - "0.185018,0.192226,0.205053,0.229394,0.274323,0.371296,0.569455", \ - "0.240455,0.249310,0.265032,0.294578,0.348322,0.442983,0.637197", \ - "0.301561,0.311960,0.330444,0.365306,0.428575,0.538706,0.730361"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011861,0.012753,0.014415,0.017750,0.024415,0.037748,0.064399", \ - "0.011851,0.012752,0.014413,0.017748,0.024415,0.037747,0.064403", \ - "0.017022,0.017649,0.018772,0.020888,0.025761,0.037756,0.064404", \ - "0.029739,0.030593,0.032115,0.034939,0.040006,0.048959,0.067640", \ - "0.046900,0.048101,0.050316,0.054113,0.060677,0.071782,0.090191", \ - "0.068475,0.070064,0.072961,0.078115,0.086503,0.100375,0.122535", \ - "0.094507,0.096547,0.100144,0.106758,0.117407,0.134517,0.161166"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.085638,0.092396,0.104650,0.128455,0.174321,0.263978,0.441624", \ - "0.084459,0.091400,0.103917,0.128112,0.174246,0.263948,0.441624", \ - "0.081121,0.088383,0.101483,0.126669,0.173777,0.263927,0.441625", \ - "0.080347,0.086358,0.097758,0.121795,0.171584,0.263670,0.441626", \ - "0.098756,0.103549,0.111373,0.129275,0.170233,0.261331,0.441606", \ - "0.122270,0.127427,0.136925,0.155046,0.188603,0.264546,0.440833", \ - "0.149099,0.154870,0.165356,0.185438,0.222109,0.289654,0.442882"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.019729,0.020781,0.022713,0.026566,0.034242,0.049548,0.080109", \ - "0.024259,0.025335,0.027303,0.031204,0.038941,0.054310,0.084919", \ - "0.039227,0.040671,0.043219,0.047866,0.055970,0.071286,0.101878", \ - "0.053352,0.055737,0.059837,0.067371,0.080378,0.101585,0.134705", \ - "0.061748,0.065159,0.071027,0.081925,0.100752,0.131166,0.177729", \ - "0.062111,0.066717,0.074733,0.089280,0.114544,0.155404,0.217444", \ - "0.053150,0.058985,0.069236,0.087758,0.120020,0.172241,0.251362"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.120230,0.127285,0.140242,0.166026,0.216990,0.318073,0.518529", \ - "0.120776,0.127939,0.141077,0.167265,0.218825,0.320711,0.522020", \ - "0.131249,0.138266,0.151236,0.177241,0.228809,0.331074,0.533349", \ - "0.162466,0.168993,0.181089,0.206138,0.256505,0.357434,0.558753", \ - "0.217741,0.224320,0.236122,0.258348,0.305804,0.404515,0.603413", \ - "0.280739,0.288778,0.303156,0.330482,0.380987,0.474940,0.670631", \ - "0.348990,0.358451,0.375379,0.407612,0.467055,0.572259,0.763163"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013396,0.014365,0.016145,0.019723,0.026826,0.040901,0.068308", \ - "0.013380,0.014371,0.016146,0.019721,0.026821,0.040903,0.068309", \ - "0.018849,0.019488,0.020593,0.022756,0.028117,0.040898,0.068309", \ - "0.034406,0.035089,0.036395,0.038848,0.043582,0.052261,0.071484", \ - "0.056651,0.057428,0.058952,0.061781,0.067156,0.077028,0.094432", \ - "0.083551,0.084491,0.086305,0.089753,0.096191,0.107939,0.128140", \ - "0.115111,0.116262,0.118434,0.122764,0.130515,0.144540,0.168428"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.104548,0.111053,0.123049,0.146382,0.192028,0.282129,0.460479", \ - "0.104113,0.110698,0.122781,0.146268,0.191989,0.282078,0.460479", \ - "0.102418,0.109228,0.121664,0.145641,0.191761,0.282048,0.460478", \ - "0.097720,0.104477,0.117159,0.142880,0.190889,0.281947,0.460482", \ - "0.106743,0.111842,0.121808,0.142911,0.187188,0.280890,0.460473", \ - "0.130662,0.135902,0.145579,0.164014,0.199292,0.280379,0.460161", \ - "0.157734,0.163544,0.174149,0.194201,0.231173,0.300593,0.459978"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.019736,0.020790,0.022726,0.026578,0.034260,0.049573,0.080154", \ - "0.024267,0.025343,0.027311,0.031216,0.038957,0.054334,0.084963", \ - "0.039194,0.040644,0.043188,0.047847,0.055960,0.071286,0.101893", \ - "0.053186,0.055550,0.059669,0.067232,0.080261,0.101512,0.134670", \ - "0.061508,0.064904,0.070780,0.081687,0.100541,0.131010,0.177631", \ - "0.062227,0.066798,0.074784,0.089199,0.114487,0.155305,0.217354", \ - "0.054265,0.060019,0.070197,0.088529,0.120537,0.172497,0.251443"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.106084,0.111823,0.122378,0.143267,0.184644,0.266646,0.429416", \ - "0.107348,0.113184,0.123914,0.145108,0.186966,0.269626,0.433095", \ - "0.118899,0.124643,0.135263,0.156354,0.198204,0.281179,0.445374", \ - "0.150693,0.156052,0.165915,0.186346,0.227205,0.309016,0.472416", \ - "0.203152,0.209077,0.219626,0.239842,0.278052,0.357838,0.519143", \ - "0.260641,0.267948,0.280989,0.305690,0.350933,0.430705,0.588850", \ - "0.322979,0.331609,0.347024,0.376316,0.429979,0.524216,0.684536"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013392,0.014371,0.016157,0.019721,0.026834,0.040910,0.068311", \ - "0.013386,0.014374,0.016156,0.019726,0.026829,0.040911,0.068312", \ - "0.018872,0.019506,0.020613,0.022768,0.028128,0.040906,0.068315", \ - "0.034530,0.035230,0.036518,0.038938,0.043632,0.052320,0.071501", \ - "0.056902,0.057660,0.059201,0.061948,0.067324,0.077146,0.094486", \ - "0.083760,0.084684,0.086498,0.090011,0.096358,0.108038,0.128216", \ - "0.115073,0.116206,0.118374,0.122723,0.130464,0.144502,0.168425"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.084210,0.089383,0.098995,0.117675,0.154703,0.228351,0.374463", \ - "0.084036,0.089242,0.098911,0.117582,0.154701,0.228331,0.374452", \ - "0.083171,0.088513,0.098387,0.117309,0.154638,0.228301,0.374461", \ - "0.080902,0.085986,0.095666,0.115410,0.154053,0.228219,0.374445", \ - "0.095269,0.098737,0.105821,0.121173,0.154224,0.227088,0.374433", \ - "0.118514,0.122945,0.131048,0.146315,0.173908,0.233897,0.373689", \ - "0.145010,0.150091,0.159314,0.176678,0.207836,0.263303,0.382184"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.019729,0.020781,0.022713,0.026566,0.034242,0.049548,0.080109", \ - "0.024259,0.025335,0.027303,0.031204,0.038941,0.054310,0.084919", \ - "0.039227,0.040671,0.043219,0.047866,0.055970,0.071286,0.101878", \ - "0.053352,0.055737,0.059837,0.067371,0.080378,0.101585,0.134705", \ - "0.061748,0.065159,0.071027,0.081925,0.100752,0.131166,0.177729", \ - "0.062111,0.066717,0.074733,0.089280,0.114544,0.155404,0.217444", \ - "0.053150,0.058985,0.069236,0.087758,0.120020,0.172241,0.251362"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.120230,0.127285,0.140242,0.166026,0.216990,0.318073,0.518529", \ - "0.120776,0.127939,0.141077,0.167265,0.218825,0.320711,0.522020", \ - "0.131249,0.138266,0.151236,0.177241,0.228809,0.331074,0.533349", \ - "0.162466,0.168993,0.181089,0.206138,0.256505,0.357434,0.558753", \ - "0.217741,0.224320,0.236122,0.258348,0.305804,0.404515,0.603413", \ - "0.280739,0.288778,0.303156,0.330482,0.380987,0.474940,0.670631", \ - "0.348990,0.358451,0.375379,0.407612,0.467055,0.572259,0.763163"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013396,0.014365,0.016145,0.019723,0.026826,0.040901,0.068308", \ - "0.013380,0.014371,0.016146,0.019721,0.026821,0.040903,0.068309", \ - "0.018849,0.019488,0.020593,0.022756,0.028117,0.040898,0.068309", \ - "0.034406,0.035089,0.036395,0.038848,0.043582,0.052261,0.071484", \ - "0.056651,0.057428,0.058952,0.061781,0.067156,0.077028,0.094432", \ - "0.083551,0.084491,0.086305,0.089753,0.096191,0.107939,0.128140", \ - "0.115111,0.116262,0.118434,0.122764,0.130515,0.144540,0.168428"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.104548,0.111053,0.123049,0.146382,0.192028,0.282129,0.460479", \ - "0.104113,0.110698,0.122781,0.146268,0.191989,0.282078,0.460479", \ - "0.102418,0.109228,0.121664,0.145641,0.191761,0.282048,0.460478", \ - "0.097720,0.104477,0.117159,0.142880,0.190889,0.281947,0.460482", \ - "0.106743,0.111842,0.121808,0.142911,0.187188,0.280890,0.460473", \ - "0.130662,0.135902,0.145579,0.164014,0.199292,0.280379,0.460161", \ - "0.157734,0.163544,0.174149,0.194201,0.231173,0.300593,0.459978"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.020126,0.021179,0.023112,0.026970,0.034654,0.049980,0.080580", \ - "0.024668,0.025739,0.027706,0.031611,0.039354,0.054742,0.085392", \ - "0.039795,0.041220,0.043729,0.048333,0.056385,0.071719,0.102352", \ - "0.054366,0.056693,0.060707,0.068160,0.081051,0.102146,0.135179", \ - "0.063371,0.066759,0.072430,0.083144,0.101814,0.132029,0.178417", \ - "0.064538,0.069036,0.076822,0.091107,0.116147,0.156686,0.218446", \ - "0.056498,0.062262,0.072315,0.090469,0.122333,0.174098,0.252797"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.153759,0.160844,0.173823,0.199657,0.250768,0.352012,0.552689", \ - "0.155073,0.162236,0.175348,0.201477,0.253037,0.354909,0.556263", \ - "0.165116,0.172243,0.185335,0.211466,0.263184,0.365531,0.567789", \ - "0.194323,0.201217,0.213761,0.239316,0.290216,0.391558,0.593117", \ - "0.247737,0.253706,0.265165,0.289024,0.338348,0.437959,0.637438", \ - "0.317676,0.325116,0.338460,0.364089,0.412009,0.507548,0.704153", \ - "0.392584,0.401347,0.417093,0.447315,0.503563,0.604647,0.796108"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.015944,0.017015,0.018981,0.022846,0.030441,0.044967,0.072449", \ - "0.015945,0.017024,0.018974,0.022850,0.030437,0.044968,0.072452", \ - "0.021918,0.022415,0.023319,0.025778,0.031670,0.044957,0.072453", \ - "0.041471,0.041886,0.042731,0.044471,0.048313,0.056200,0.075561", \ - "0.066606,0.067046,0.068087,0.069983,0.074120,0.082568,0.098723", \ - "0.096925,0.097428,0.098552,0.100900,0.105605,0.115307,0.133759", \ - "0.132725,0.133298,0.134513,0.137275,0.142803,0.154213,0.175585"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.122176,0.128617,0.140622,0.163923,0.209733,0.300297,0.479356", \ - "0.122029,0.128493,0.140535,0.163966,0.209815,0.300282,0.479363", \ - "0.121320,0.127901,0.140051,0.163629,0.209616,0.300279,0.479373", \ - "0.118244,0.125194,0.137911,0.162435,0.209225,0.300212,0.479375", \ - "0.119092,0.125055,0.136306,0.159037,0.205719,0.299710,0.479371", \ - "0.140269,0.145550,0.155249,0.173045,0.212489,0.297381,0.479242", \ - "0.167525,0.173274,0.183844,0.203769,0.240787,0.313019,0.477820"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022536,0.023586,0.025513,0.029354,0.037018,0.052314,0.082867", \ - "0.027692,0.028759,0.030717,0.034609,0.042329,0.057677,0.088273", \ - "0.041790,0.043063,0.045337,0.049677,0.057746,0.073243,0.103974", \ - "0.058686,0.060581,0.063879,0.070001,0.080893,0.099808,0.132664", \ - "0.072594,0.075470,0.080451,0.089461,0.105115,0.130457,0.171108", \ - "0.080312,0.084438,0.091378,0.104078,0.125998,0.160584,0.212745", \ - "0.080380,0.085805,0.095079,0.111616,0.140646,0.186376,0.253726"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.083516,0.087940,0.096054,0.112202,0.144285,0.207984,0.334710", \ - "0.085735,0.090205,0.098423,0.114747,0.147106,0.211125,0.338155", \ - "0.100095,0.104480,0.112649,0.128911,0.161232,0.225409,0.352768", \ - "0.134698,0.138685,0.146144,0.161614,0.193060,0.256364,0.383033", \ - "0.184039,0.189149,0.198311,0.215592,0.247329,0.308194,0.433090", \ - "0.237052,0.243454,0.254852,0.276304,0.315633,0.384101,0.506408", \ - "0.294458,0.302082,0.315675,0.341393,0.388402,0.470333,0.606376"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011858,0.012755,0.014416,0.017749,0.024417,0.037744,0.064409", \ - "0.011857,0.012754,0.014419,0.017752,0.024416,0.037752,0.064406", \ - "0.014008,0.014798,0.016256,0.019052,0.024989,0.037750,0.064406", \ - "0.021221,0.022002,0.023443,0.026285,0.031928,0.043179,0.066147", \ - "0.033737,0.034637,0.036215,0.039239,0.044788,0.055586,0.077382", \ - "0.050678,0.051785,0.053754,0.057367,0.063587,0.074657,0.095700", \ - "0.071420,0.072810,0.075255,0.079852,0.087355,0.099874,0.121354"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.065962,0.070063,0.077604,0.092418,0.121791,0.179829,0.295059", \ - "0.065720,0.069875,0.077431,0.092318,0.121751,0.179833,0.295053", \ - "0.064687,0.068960,0.076721,0.091898,0.121582,0.179784,0.295059", \ - "0.065245,0.068925,0.075870,0.090268,0.120440,0.179617,0.295066", \ - "0.083157,0.086303,0.091938,0.102493,0.125993,0.178860,0.294917", \ - "0.105882,0.109618,0.116351,0.129021,0.151775,0.194517,0.295407", \ - "0.130867,0.135336,0.143299,0.158405,0.184724,0.230535,0.315759"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022528,0.023578,0.025504,0.029346,0.037005,0.052292,0.082831", \ - "0.027686,0.028753,0.030710,0.034599,0.042315,0.057658,0.088241", \ - "0.041833,0.043107,0.045381,0.049715,0.057776,0.073263,0.103979", \ - "0.058879,0.060775,0.064075,0.070167,0.081040,0.099917,0.132744", \ - "0.072931,0.075800,0.080769,0.089808,0.105385,0.130681,0.171271", \ - "0.080626,0.084717,0.091679,0.104417,0.126319,0.160867,0.212973", \ - "0.080205,0.085605,0.094945,0.111512,0.140741,0.186523,0.253917"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.095899,0.101546,0.111957,0.132773,0.174278,0.256782,0.420765", \ - "0.097444,0.103145,0.113667,0.134709,0.176553,0.259489,0.423864", \ - "0.111110,0.116652,0.127040,0.147947,0.189693,0.272815,0.437662", \ - "0.145473,0.150509,0.159974,0.179708,0.220266,0.302356,0.466406", \ - "0.199774,0.205566,0.215828,0.235488,0.272735,0.352206,0.514281", \ - "0.259056,0.266205,0.278960,0.303084,0.347585,0.426243,0.584523", \ - "0.323166,0.331649,0.346797,0.375601,0.428435,0.521442,0.680598"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011856,0.012754,0.014417,0.017750,0.024415,0.037746,0.064408", \ - "0.011858,0.012753,0.014417,0.017747,0.024417,0.037751,0.064407", \ - "0.013998,0.014787,0.016243,0.019044,0.024985,0.037749,0.064403", \ - "0.021142,0.021921,0.023368,0.026233,0.031880,0.043152,0.066135", \ - "0.033542,0.034445,0.036028,0.039061,0.044643,0.055506,0.077328", \ - "0.050423,0.051536,0.053523,0.057133,0.063371,0.074480,0.095592", \ - "0.071211,0.072611,0.075061,0.079688,0.087182,0.099724,0.121227"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.085188,0.090760,0.100895,0.120648,0.158992,0.233789,0.381178", \ - "0.084573,0.090197,0.100443,0.120380,0.158912,0.233776,0.381154", \ - "0.082519,0.088319,0.098892,0.119372,0.158491,0.233705,0.381178", \ - "0.079740,0.085018,0.094968,0.115339,0.156527,0.233374,0.381180", \ - "0.094634,0.098214,0.105224,0.121004,0.155503,0.230430,0.381043", \ - "0.118027,0.122470,0.130527,0.145931,0.174178,0.236351,0.378987", \ - "0.144181,0.149340,0.158575,0.176163,0.207663,0.264174,0.386470"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022927,0.023976,0.025902,0.029747,0.037416,0.052725,0.083300", \ - "0.028086,0.029158,0.031114,0.035005,0.042728,0.058090,0.088712", \ - "0.042320,0.043585,0.045839,0.050157,0.058193,0.073695,0.104447", \ - "0.059627,0.061490,0.064743,0.070790,0.081594,0.100428,0.133220", \ - "0.074151,0.076967,0.081901,0.090752,0.106210,0.131368,0.171866", \ - "0.082522,0.086535,0.093377,0.105901,0.127553,0.161839,0.213735", \ - "0.082858,0.088192,0.097388,0.113730,0.142546,0.187932,0.254972"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.122679,0.128408,0.138937,0.159949,0.201664,0.284369,0.448578", \ - "0.124571,0.130351,0.140967,0.162155,0.204111,0.287166,0.451705", \ - "0.137768,0.143502,0.154100,0.175298,0.217349,0.300594,0.465571", \ - "0.169952,0.175428,0.185517,0.206040,0.247400,0.329898,0.494252", \ - "0.225917,0.231265,0.240902,0.259226,0.298366,0.379204,0.541819", \ - "0.291564,0.298150,0.309982,0.332588,0.374656,0.451897,0.611697", \ - "0.361885,0.369716,0.383766,0.410632,0.460584,0.549724,0.707096"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013398,0.014360,0.016150,0.019720,0.026825,0.040902,0.068313", \ - "0.013383,0.014368,0.016158,0.019717,0.026828,0.040904,0.068310", \ - "0.015704,0.016532,0.017984,0.020977,0.027371,0.040906,0.068309", \ - "0.023966,0.024733,0.026180,0.029071,0.034869,0.046449,0.070021", \ - "0.039248,0.039932,0.041197,0.043851,0.049063,0.059750,0.081470", \ - "0.060393,0.061076,0.062403,0.065055,0.070074,0.080077,0.100417", \ - "0.085575,0.086365,0.087878,0.090996,0.096561,0.107030,0.126911"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.100275,0.105718,0.115745,0.135290,0.173516,0.248491,0.396456", \ - "0.099974,0.105460,0.115536,0.135142,0.173450,0.248486,0.396454", \ - "0.098803,0.104424,0.114693,0.134645,0.173269,0.248439,0.396444", \ - "0.094717,0.100413,0.111280,0.132256,0.172218,0.248286,0.396458", \ - "0.101496,0.105963,0.114508,0.132327,0.169037,0.246693,0.396407", \ - "0.125244,0.129652,0.137703,0.153133,0.182394,0.248382,0.395263", \ - "0.152256,0.157288,0.166347,0.183679,0.214928,0.272054,0.399197"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022535,0.023585,0.025511,0.029353,0.037014,0.052301,0.082840", \ - "0.027732,0.028799,0.030757,0.034642,0.042362,0.057704,0.088291", \ - "0.041931,0.043206,0.045476,0.049807,0.057863,0.073355,0.104070", \ - "0.058963,0.060850,0.064148,0.070249,0.081119,0.100003,0.132814", \ - "0.072894,0.075756,0.080811,0.089821,0.105434,0.130737,0.171306", \ - "0.080525,0.084621,0.091613,0.104321,0.126265,0.160856,0.212991", \ - "0.079884,0.085357,0.094737,0.111378,0.140577,0.186471,0.253892"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.106409,0.112096,0.122509,0.143290,0.184461,0.266318,0.429055", \ - "0.107652,0.113425,0.123995,0.145013,0.186558,0.268821,0.431975", \ - "0.120337,0.126034,0.136575,0.157555,0.199120,0.281619,0.445249", \ - "0.152641,0.158017,0.167978,0.188249,0.229048,0.310617,0.473480", \ - "0.205566,0.211434,0.221943,0.241970,0.280243,0.360050,0.521071", \ - "0.264044,0.271288,0.284217,0.308711,0.353728,0.433215,0.591273", \ - "0.327386,0.335957,0.351266,0.380361,0.433664,0.527355,0.687329"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011858,0.012755,0.014419,0.017749,0.024416,0.037742,0.064406", \ - "0.011860,0.012754,0.014413,0.017753,0.024416,0.037751,0.064406", \ - "0.013977,0.014768,0.016224,0.019026,0.024976,0.037748,0.064403", \ - "0.021108,0.021893,0.023334,0.026198,0.031855,0.043129,0.066124", \ - "0.033536,0.034451,0.036001,0.039032,0.044627,0.055478,0.077319", \ - "0.050484,0.051591,0.053559,0.057171,0.063406,0.074493,0.095590", \ - "0.071398,0.072786,0.075234,0.079815,0.087286,0.099767,0.121237"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.085619,0.090811,0.100360,0.119140,0.156320,0.230028,0.376346", \ - "0.085449,0.090658,0.100256,0.119090,0.156322,0.230033,0.376339", \ - "0.084652,0.089992,0.099777,0.118848,0.156220,0.229999,0.376311", \ - "0.082400,0.087526,0.097166,0.117000,0.155660,0.229909,0.376351", \ - "0.095726,0.099412,0.106654,0.122430,0.155755,0.228869,0.376329", \ - "0.118805,0.123266,0.131329,0.146733,0.174666,0.235348,0.375585", \ - "0.144572,0.149744,0.158998,0.176705,0.208145,0.264021,0.383835"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022525,0.023574,0.025497,0.029340,0.036994,0.052274,0.082794", \ - "0.027723,0.028789,0.030748,0.034629,0.042344,0.057680,0.088245", \ - "0.041959,0.043230,0.045500,0.049824,0.057875,0.073353,0.104052", \ - "0.059112,0.061000,0.064289,0.070375,0.081224,0.100068,0.132853", \ - "0.073264,0.076098,0.081063,0.090093,0.105644,0.130890,0.171426", \ - "0.080821,0.084940,0.091906,0.104639,0.126556,0.161098,0.213144", \ - "0.079800,0.085284,0.094677,0.111396,0.140693,0.186599,0.254035"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.120662,0.127636,0.140448,0.166010,0.216767,0.317584,0.517997", \ - "0.121181,0.128258,0.141247,0.167121,0.218299,0.319690,0.520574", \ - "0.133038,0.140011,0.152912,0.178720,0.229950,0.331627,0.533138", \ - "0.164886,0.171437,0.183632,0.208578,0.258811,0.359394,0.560042", \ - "0.220429,0.226965,0.238659,0.260972,0.308635,0.407247,0.605753", \ - "0.284518,0.292490,0.306746,0.333869,0.384079,0.478092,0.673609", \ - "0.353862,0.363259,0.380068,0.412072,0.471141,0.575771,0.766594"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011863,0.012755,0.014418,0.017750,0.024415,0.037743,0.064402", \ - "0.011859,0.012754,0.014415,0.017749,0.024415,0.037750,0.064403", \ - "0.013977,0.014764,0.016217,0.019022,0.024974,0.037747,0.064400", \ - "0.021053,0.021827,0.023283,0.026147,0.031826,0.043111,0.066118", \ - "0.033379,0.034300,0.035880,0.038903,0.044510,0.055399,0.077278", \ - "0.050242,0.051363,0.053340,0.056960,0.063209,0.074359,0.095502", \ - "0.071172,0.072558,0.075010,0.079608,0.087098,0.099629,0.121150"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.106284,0.112804,0.124733,0.148231,0.193971,0.284179,0.462785", \ - "0.105828,0.112440,0.124463,0.148098,0.193931,0.284190,0.462793", \ - "0.104308,0.111115,0.123457,0.147554,0.193764,0.284150,0.462799", \ - "0.099776,0.106561,0.119321,0.144969,0.192888,0.284034,0.462784", \ - "0.107907,0.113194,0.123346,0.144751,0.189232,0.283067,0.462786", \ - "0.131208,0.136474,0.146103,0.164606,0.200779,0.282379,0.462495", \ - "0.157634,0.163535,0.174138,0.194546,0.231770,0.302052,0.462211"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022925,0.023972,0.025897,0.029740,0.037406,0.052707,0.083266", \ - "0.028125,0.029194,0.031149,0.035035,0.042756,0.058113,0.088717", \ - "0.042443,0.043703,0.045959,0.050265,0.058293,0.073785,0.104521", \ - "0.059855,0.061719,0.064964,0.070983,0.081774,0.100579,0.133324", \ - "0.074457,0.077268,0.082175,0.091046,0.106466,0.131572,0.172010", \ - "0.082697,0.086732,0.093587,0.106155,0.127792,0.162067,0.213913", \ - "0.082500,0.087868,0.097118,0.113624,0.142505,0.188006,0.255097"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.153982,0.161006,0.173891,0.199586,0.250491,0.351569,0.552199", \ - "0.155031,0.162122,0.175126,0.201015,0.252277,0.353751,0.554799", \ - "0.166618,0.173690,0.186706,0.212626,0.264049,0.365897,0.567449", \ - "0.196772,0.203648,0.216253,0.241619,0.292376,0.393340,0.594304", \ - "0.250141,0.256261,0.267883,0.291824,0.341171,0.440619,0.639707", \ - "0.321129,0.328513,0.341794,0.367209,0.414843,0.510668,0.707108", \ - "0.397134,0.405838,0.421477,0.451496,0.507405,0.608011,0.799523"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013394,0.014358,0.016147,0.019722,0.026823,0.040900,0.068309", \ - "0.013391,0.014371,0.016151,0.019714,0.026827,0.040903,0.068307", \ - "0.015667,0.016508,0.017958,0.020956,0.027361,0.040904,0.068306", \ - "0.023840,0.024639,0.026090,0.028995,0.034807,0.046406,0.070003", \ - "0.039037,0.039735,0.041030,0.043670,0.048921,0.059654,0.081423", \ - "0.060194,0.060875,0.062221,0.064864,0.069890,0.079940,0.100314", \ - "0.085541,0.086327,0.087826,0.090968,0.096485,0.106936,0.126832"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.123965,0.130418,0.142308,0.165844,0.211741,0.302404,0.481715", \ - "0.123801,0.130288,0.142217,0.165785,0.211703,0.302417,0.481710", \ - "0.123161,0.129735,0.141807,0.165543,0.211699,0.302440,0.481717", \ - "0.120280,0.127212,0.139816,0.164390,0.211249,0.302324,0.481719", \ - "0.120784,0.126803,0.138043,0.161001,0.207860,0.301883,0.481724", \ - "0.140992,0.146290,0.155826,0.174241,0.214155,0.299477,0.481599", \ - "0.167878,0.173678,0.184166,0.204380,0.241577,0.314722,0.480146"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022934,0.023982,0.025909,0.029754,0.037424,0.052732,0.083309", \ - "0.028132,0.029205,0.031159,0.035047,0.042772,0.058138,0.088758", \ - "0.042419,0.043678,0.045939,0.050249,0.058280,0.073784,0.104539", \ - "0.059704,0.061570,0.064821,0.070872,0.081677,0.100505,0.133289", \ - "0.074147,0.076975,0.081882,0.090779,0.106240,0.131415,0.171897", \ - "0.082383,0.086410,0.093277,0.105804,0.127505,0.161840,0.213752", \ - "0.082562,0.087927,0.097124,0.113567,0.142407,0.187856,0.254948"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.133194,0.138904,0.149372,0.170233,0.211586,0.293650,0.456632", \ - "0.134875,0.140649,0.151228,0.172248,0.213850,0.296273,0.459563", \ - "0.147374,0.153138,0.163724,0.184806,0.226524,0.309183,0.472927", \ - "0.178372,0.183963,0.194202,0.214806,0.255990,0.337932,0.501113", \ - "0.232234,0.237674,0.247354,0.266408,0.306286,0.386855,0.548395", \ - "0.297020,0.303700,0.315657,0.338491,0.381047,0.459189,0.618235", \ - "0.366540,0.374446,0.388628,0.415767,0.466129,0.555806,0.713761"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013400,0.014364,0.016159,0.019728,0.026830,0.040905,0.068315", \ - "0.013389,0.014370,0.016163,0.019716,0.026834,0.040910,0.068315", \ - "0.015688,0.016519,0.017967,0.020963,0.027369,0.040912,0.068313", \ - "0.023924,0.024709,0.026152,0.029046,0.034844,0.046434,0.070014", \ - "0.039247,0.039928,0.041239,0.043844,0.049054,0.059744,0.081463", \ - "0.060478,0.061154,0.062485,0.065094,0.070094,0.080084,0.100406", \ - "0.085800,0.086573,0.088061,0.091176,0.096674,0.107092,0.126934"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.099181,0.104382,0.114015,0.133048,0.170442,0.244554,0.391518", \ - "0.099128,0.104344,0.113997,0.132977,0.170368,0.244588,0.391490", \ - "0.098842,0.104104,0.113825,0.132867,0.170360,0.244537,0.391494", \ - "0.096726,0.102301,0.112453,0.132093,0.170169,0.244498,0.391491", \ - "0.102877,0.107338,0.115757,0.133043,0.168696,0.244081,0.391516", \ - "0.125982,0.130388,0.138422,0.153800,0.182643,0.246932,0.391205", \ - "0.152653,0.157690,0.166769,0.184071,0.215239,0.271607,0.396261"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022925,0.023972,0.025897,0.029740,0.037406,0.052707,0.083266", \ - "0.028125,0.029194,0.031149,0.035035,0.042756,0.058113,0.088717", \ - "0.042443,0.043703,0.045959,0.050265,0.058293,0.073785,0.104521", \ - "0.059855,0.061719,0.064964,0.070983,0.081774,0.100579,0.133324", \ - "0.074457,0.077268,0.082175,0.091046,0.106466,0.131572,0.172010", \ - "0.082697,0.086732,0.093587,0.106155,0.127792,0.162067,0.213913", \ - "0.082500,0.087868,0.097118,0.113624,0.142505,0.188006,0.255097"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.153982,0.161006,0.173891,0.199586,0.250491,0.351569,0.552199", \ - "0.155031,0.162122,0.175126,0.201015,0.252277,0.353751,0.554799", \ - "0.166618,0.173690,0.186706,0.212626,0.264049,0.365897,0.567449", \ - "0.196772,0.203648,0.216253,0.241619,0.292376,0.393340,0.594304", \ - "0.250141,0.256261,0.267883,0.291824,0.341171,0.440619,0.639707", \ - "0.321129,0.328513,0.341794,0.367209,0.414843,0.510668,0.707108", \ - "0.397134,0.405838,0.421477,0.451496,0.507405,0.608011,0.799523"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013394,0.014358,0.016147,0.019722,0.026823,0.040900,0.068309", \ - "0.013391,0.014371,0.016151,0.019714,0.026827,0.040903,0.068307", \ - "0.015667,0.016508,0.017958,0.020956,0.027361,0.040904,0.068306", \ - "0.023840,0.024639,0.026090,0.028995,0.034807,0.046406,0.070003", \ - "0.039037,0.039735,0.041030,0.043670,0.048921,0.059654,0.081423", \ - "0.060194,0.060875,0.062221,0.064864,0.069890,0.079940,0.100314", \ - "0.085541,0.086327,0.087826,0.090968,0.096485,0.106936,0.126832"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.123965,0.130418,0.142308,0.165844,0.211741,0.302404,0.481715", \ - "0.123801,0.130288,0.142217,0.165785,0.211703,0.302417,0.481710", \ - "0.123161,0.129735,0.141807,0.165543,0.211699,0.302440,0.481717", \ - "0.120280,0.127212,0.139816,0.164390,0.211249,0.302324,0.481719", \ - "0.120784,0.126803,0.138043,0.161001,0.207860,0.301883,0.481724", \ - "0.140992,0.146290,0.155826,0.174241,0.214155,0.299477,0.481599", \ - "0.167878,0.173678,0.184166,0.204380,0.241577,0.314722,0.480146"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023324,0.024373,0.026298,0.030144,0.037819,0.053139,0.083737", \ - "0.028532,0.029598,0.031553,0.035441,0.043171,0.058544,0.089190", \ - "0.042924,0.044177,0.046418,0.050708,0.058711,0.074222,0.104994", \ - "0.060592,0.062428,0.065631,0.071603,0.082328,0.101087,0.133810", \ - "0.075667,0.078429,0.083243,0.091991,0.107270,0.132243,0.172602", \ - "0.084573,0.088528,0.095202,0.107534,0.128974,0.163012,0.214678", \ - "0.085212,0.090440,0.099500,0.115725,0.144242,0.189388,0.256138"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.187620,0.194652,0.207579,0.233250,0.284304,0.385542,0.586417", \ - "0.189019,0.196108,0.209105,0.234996,0.286268,0.387818,0.589067", \ - "0.200653,0.207740,0.220756,0.246695,0.298163,0.400053,0.601791", \ - "0.229877,0.236857,0.249600,0.275024,0.326108,0.427367,0.628578", \ - "0.280461,0.287150,0.299491,0.324146,0.374110,0.474113,0.673676", \ - "0.355301,0.362245,0.374762,0.398994,0.445876,0.543567,0.740673", \ - "0.437512,0.445675,0.460385,0.488773,0.542164,0.639212,0.832565"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.015943,0.017020,0.018975,0.022847,0.030436,0.044963,0.072452", \ - "0.015945,0.017026,0.018974,0.022851,0.030442,0.044969,0.072453", \ - "0.018472,0.019239,0.020751,0.024049,0.030953,0.044970,0.072448", \ - "0.028832,0.029492,0.030784,0.033495,0.039105,0.050486,0.074118", \ - "0.046597,0.047054,0.047975,0.050038,0.054517,0.064499,0.085697", \ - "0.070030,0.070395,0.071236,0.073060,0.076912,0.085730,0.105161", \ - "0.098498,0.098828,0.099640,0.101652,0.105495,0.114112,0.132464"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.141228,0.147696,0.159641,0.183407,0.229530,0.320694,0.500669", \ - "0.141173,0.147652,0.159608,0.183354,0.229516,0.320703,0.500665", \ - "0.140942,0.147452,0.159472,0.183264,0.229500,0.320696,0.500669", \ - "0.139658,0.146349,0.158614,0.182777,0.229311,0.320658,0.500674", \ - "0.136510,0.142916,0.154851,0.179109,0.227655,0.320466,0.500669", \ - "0.150995,0.156151,0.166057,0.186753,0.228893,0.317726,0.500631", \ - "0.178530,0.184242,0.194633,0.214657,0.251338,0.328505,0.498735"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.029272,0.030499,0.032731,0.037089,0.045535,0.061850,0.093492", \ - "0.033889,0.035112,0.037349,0.041715,0.050166,0.066481,0.098130", \ - "0.051190,0.052373,0.054508,0.058683,0.066953,0.083177,0.114788", \ - "0.074780,0.076592,0.079747,0.085928,0.096913,0.115650,0.147276", \ - "0.094780,0.097335,0.101710,0.110225,0.125743,0.152054,0.194374", \ - "0.108731,0.112120,0.117973,0.129012,0.149570,0.184406,0.240147", \ - "0.115187,0.119450,0.126916,0.140965,0.166714,0.210686,0.281165"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.127508,0.132266,0.141022,0.158290,0.192576,0.260679,0.396254", \ - "0.130039,0.134910,0.143804,0.161366,0.196066,0.264688,0.400725", \ - "0.144961,0.149754,0.158564,0.176075,0.210857,0.279825,0.416541", \ - "0.177377,0.182144,0.190561,0.207800,0.242275,0.310911,0.447504", \ - "0.223284,0.228608,0.238229,0.255995,0.290583,0.358915,0.495186", \ - "0.272471,0.278990,0.290654,0.312763,0.353584,0.426703,0.562739", \ - "0.324266,0.332251,0.346447,0.373091,0.421495,0.506511,0.652432"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.020190,0.021092,0.022744,0.026070,0.032697,0.045961,0.072522", \ - "0.020059,0.020976,0.022655,0.026002,0.032657,0.045934,0.072515", \ - "0.020769,0.021523,0.022921,0.025904,0.032280,0.045799,0.072500", \ - "0.032750,0.033575,0.035037,0.037713,0.042701,0.051786,0.073517", \ - "0.049071,0.050233,0.052336,0.056035,0.062533,0.073644,0.092250", \ - "0.069209,0.070729,0.073603,0.078692,0.087170,0.101303,0.123827", \ - "0.092895,0.094914,0.098538,0.105164,0.116156,0.133949,0.161466"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.064870,0.068970,0.076558,0.091656,0.121765,0.181636,0.300923", \ - "0.064876,0.068985,0.076596,0.091647,0.121754,0.181644,0.300908", \ - "0.064932,0.069025,0.076597,0.091669,0.121768,0.181650,0.300880", \ - "0.065204,0.069258,0.076712,0.091723,0.121779,0.181625,0.300878", \ - "0.074164,0.077426,0.083603,0.096472,0.123494,0.181674,0.300924", \ - "0.093296,0.096843,0.103385,0.116048,0.140041,0.189166,0.300978", \ - "0.118297,0.121989,0.128860,0.142010,0.167040,0.214818,0.311711"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.029264,0.030490,0.032720,0.037078,0.045522,0.061825,0.093455", \ - "0.033931,0.035156,0.037390,0.041755,0.050204,0.066512,0.098152", \ - "0.051348,0.052528,0.054670,0.058844,0.067116,0.083331,0.114937", \ - "0.075104,0.076928,0.080070,0.086228,0.097181,0.115886,0.147486", \ - "0.095134,0.097693,0.102067,0.110558,0.126064,0.152343,0.194619", \ - "0.108767,0.112171,0.118048,0.129129,0.149723,0.184593,0.240360", \ - "0.114411,0.118712,0.126245,0.140389,0.166340,0.210522,0.281174"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.160964,0.167048,0.178275,0.200432,0.244270,0.331300,0.504472", \ - "0.162535,0.168764,0.180194,0.202683,0.247076,0.334765,0.508555", \ - "0.175317,0.181510,0.192845,0.215233,0.259745,0.347923,0.522552", \ - "0.205984,0.212051,0.222959,0.245073,0.289161,0.376900,0.551398", \ - "0.252331,0.258563,0.269774,0.291581,0.335446,0.422778,0.596831", \ - "0.304771,0.312342,0.325927,0.351832,0.400018,0.488143,0.661796", \ - "0.360465,0.369558,0.385746,0.416338,0.472379,0.572081,0.748554"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.020187,0.021099,0.022745,0.026067,0.032696,0.045949,0.072519", \ - "0.020062,0.020977,0.022655,0.026002,0.032657,0.045934,0.072524", \ - "0.020734,0.021488,0.022890,0.025887,0.032277,0.045798,0.072497", \ - "0.032600,0.033430,0.034897,0.037590,0.042573,0.051696,0.073489", \ - "0.048876,0.050050,0.052140,0.055853,0.062358,0.073495,0.092123", \ - "0.069137,0.070643,0.073507,0.078582,0.087055,0.101168,0.123703", \ - "0.093177,0.095158,0.098824,0.105395,0.116297,0.134011,0.161433"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.085020,0.090259,0.099933,0.119103,0.157296,0.233325,0.384495", \ - "0.085069,0.090290,0.099953,0.119101,0.157311,0.233340,0.384489", \ - "0.085112,0.090329,0.100000,0.119114,0.157326,0.233338,0.384485", \ - "0.085166,0.090379,0.100015,0.119124,0.157309,0.233321,0.384493", \ - "0.089931,0.094507,0.103055,0.120657,0.157581,0.233305,0.384479", \ - "0.109303,0.113694,0.121834,0.137378,0.168118,0.235900,0.384487", \ - "0.135366,0.139837,0.148123,0.164241,0.195224,0.254743,0.388059"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.029767,0.030990,0.033213,0.037565,0.046000,0.062306,0.093969", \ - "0.034433,0.035656,0.037885,0.042240,0.050680,0.066992,0.098655", \ - "0.051836,0.053007,0.055125,0.059306,0.067586,0.083814,0.115443", \ - "0.075931,0.077723,0.080818,0.086921,0.097807,0.116421,0.147987", \ - "0.096389,0.098919,0.103223,0.111644,0.127025,0.153154,0.195287", \ - "0.110583,0.113952,0.119809,0.130744,0.151139,0.185796,0.241349", \ - "0.116927,0.121165,0.128682,0.142763,0.168361,0.212223,0.282579"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.189634,0.195709,0.206973,0.229320,0.273219,0.360530,0.533909", \ - "0.191911,0.198081,0.209440,0.231975,0.276365,0.364124,0.538044", \ - "0.204594,0.210746,0.222107,0.244782,0.289286,0.377472,0.552196", \ - "0.234839,0.240925,0.251826,0.274121,0.318486,0.406361,0.581099", \ - "0.281490,0.287615,0.298736,0.320520,0.364534,0.452146,0.626424", \ - "0.339865,0.346890,0.359563,0.384128,0.429908,0.517402,0.691299", \ - "0.402518,0.410794,0.425646,0.454070,0.507083,0.603243,0.777958"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022783,0.023731,0.025468,0.028934,0.035861,0.049634,0.076647", \ - "0.022664,0.023622,0.025382,0.028865,0.035822,0.049617,0.076642", \ - "0.023168,0.023987,0.025506,0.028669,0.035424,0.049485,0.076628", \ - "0.036599,0.037340,0.038715,0.041224,0.046013,0.055252,0.077582", \ - "0.056542,0.057448,0.059233,0.062404,0.068151,0.078440,0.096267", \ - "0.080952,0.082127,0.084346,0.088498,0.095611,0.108122,0.129092", \ - "0.109250,0.110738,0.113489,0.118669,0.127705,0.143144,0.168320"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.098962,0.104279,0.114114,0.133611,0.172156,0.248666,0.400375", \ - "0.098968,0.104284,0.114136,0.133628,0.172167,0.248640,0.400371", \ - "0.098986,0.104296,0.114146,0.133613,0.172212,0.248600,0.400371", \ - "0.099013,0.104317,0.114160,0.133608,0.172190,0.248663,0.400372", \ - "0.100857,0.105806,0.115028,0.133938,0.172171,0.248600,0.400389", \ - "0.117675,0.122135,0.130084,0.145896,0.178874,0.249554,0.400379", \ - "0.142245,0.146871,0.155464,0.172014,0.203651,0.264871,0.402433"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.024080,0.025364,0.027690,0.032220,0.040938,0.057610,0.089625", \ - "0.028841,0.030106,0.032413,0.036911,0.045588,0.062224,0.094222", \ - "0.046543,0.047830,0.050154,0.054442,0.062668,0.078975,0.110799", \ - "0.067932,0.069927,0.073381,0.080034,0.091707,0.111301,0.143430", \ - "0.085377,0.088175,0.092920,0.102129,0.118622,0.146127,0.189724", \ - "0.096369,0.100064,0.106442,0.118326,0.140175,0.176639,0.234121", \ - "0.099495,0.104128,0.112216,0.127302,0.154680,0.200775,0.273497"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.141128,0.146820,0.157296,0.177993,0.219032,0.300588,0.462985", \ - "0.143261,0.149058,0.159742,0.180752,0.222303,0.304459,0.467414", \ - "0.157717,0.163466,0.174018,0.194992,0.236595,0.319191,0.482946", \ - "0.189366,0.195077,0.205202,0.225771,0.267102,0.349291,0.512867", \ - "0.233321,0.239555,0.250651,0.271320,0.312496,0.394226,0.557380", \ - "0.280582,0.287820,0.300886,0.325712,0.372006,0.456537,0.619244", \ - "0.331499,0.340067,0.355346,0.384281,0.437375,0.532521,0.701111"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.018732,0.019706,0.021484,0.024967,0.031764,0.045102,0.071547", \ - "0.018388,0.019384,0.021183,0.024725,0.031587,0.044992,0.071503", \ - "0.020562,0.021189,0.022434,0.025137,0.031159,0.044568,0.071387", \ - "0.033373,0.034150,0.035600,0.038211,0.043080,0.051872,0.072660", \ - "0.050175,0.051272,0.053389,0.056980,0.063316,0.074185,0.092489", \ - "0.070783,0.072277,0.075098,0.080100,0.088370,0.102151,0.124300", \ - "0.094986,0.096986,0.100567,0.107122,0.117836,0.135200,0.162199"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.071679,0.076655,0.085968,0.104247,0.140816,0.213796,0.359344", \ - "0.071727,0.076691,0.085978,0.104242,0.140804,0.213840,0.359348", \ - "0.071802,0.076752,0.086029,0.104256,0.140819,0.213847,0.359339", \ - "0.072127,0.077019,0.086181,0.104343,0.140832,0.213826,0.359351", \ - "0.079382,0.083623,0.091557,0.107815,0.141756,0.213840,0.359348", \ - "0.095626,0.100107,0.108478,0.124483,0.154904,0.218746,0.359356", \ - "0.116999,0.121606,0.130210,0.146776,0.178489,0.239191,0.365899"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.024069,0.025352,0.027677,0.032205,0.040919,0.057580,0.089585", \ - "0.028879,0.030144,0.032449,0.036947,0.045621,0.062250,0.094235", \ - "0.046711,0.047993,0.050312,0.054591,0.062824,0.079128,0.110939", \ - "0.068283,0.070256,0.073710,0.080332,0.091982,0.111534,0.143629", \ - "0.085737,0.088545,0.093275,0.102466,0.118947,0.146426,0.189957", \ - "0.096408,0.100114,0.106504,0.118423,0.140337,0.176837,0.234328", \ - "0.098689,0.103371,0.111539,0.126731,0.154320,0.200602,0.273500"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.175009,0.182069,0.195033,0.220648,0.271293,0.371817,0.571825", \ - "0.176177,0.183362,0.196567,0.222574,0.273852,0.375150,0.575857", \ - "0.188539,0.195639,0.208765,0.234658,0.286066,0.387901,0.589587", \ - "0.218680,0.225717,0.238365,0.263836,0.314841,0.416161,0.617698", \ - "0.262853,0.270050,0.283058,0.308229,0.358873,0.459671,0.660651", \ - "0.312844,0.321202,0.336275,0.365206,0.419344,0.520376,0.720841", \ - "0.367040,0.376761,0.394162,0.427214,0.488350,0.599150,0.800853"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.018732,0.019706,0.021487,0.024967,0.031764,0.045098,0.071552", \ - "0.018404,0.019396,0.021201,0.024730,0.031597,0.044995,0.071507", \ - "0.020509,0.021150,0.022402,0.025108,0.031157,0.044576,0.071389", \ - "0.033197,0.034010,0.035450,0.038070,0.042961,0.051767,0.072620", \ - "0.049967,0.051063,0.053185,0.056794,0.063133,0.074030,0.092369", \ - "0.070649,0.072201,0.075003,0.079988,0.088229,0.102018,0.124189", \ - "0.095212,0.097171,0.100736,0.107289,0.117942,0.135243,0.162172"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.090078,0.096172,0.107507,0.129997,0.174772,0.263993,0.441613", \ - "0.090145,0.096225,0.107544,0.130021,0.174730,0.264011,0.441613", \ - "0.090250,0.096312,0.107615,0.130049,0.174771,0.263982,0.441614", \ - "0.090387,0.096432,0.107701,0.130063,0.174766,0.263992,0.441616", \ - "0.094620,0.100074,0.110337,0.131283,0.175071,0.263967,0.441614", \ - "0.110969,0.116406,0.126543,0.145357,0.183465,0.265539,0.441626", \ - "0.132824,0.138320,0.148525,0.168442,0.206707,0.280651,0.443571"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.024587,0.025865,0.028183,0.032700,0.041405,0.058067,0.090092", \ - "0.029389,0.030648,0.032950,0.037438,0.046105,0.062735,0.094740", \ - "0.047246,0.048519,0.050808,0.055046,0.063290,0.079600,0.111448", \ - "0.069178,0.071132,0.074545,0.081092,0.092638,0.112085,0.144124", \ - "0.087125,0.089885,0.094551,0.103652,0.119972,0.147276,0.190643", \ - "0.098396,0.102053,0.108412,0.120206,0.141821,0.178104,0.235336", \ - "0.101458,0.106083,0.114215,0.129279,0.156534,0.202416,0.274941"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.208297,0.215333,0.228283,0.254071,0.304873,0.405604,0.605915", \ - "0.210273,0.217405,0.230523,0.256548,0.307822,0.409125,0.610010", \ - "0.222508,0.229622,0.242715,0.268840,0.320270,0.422093,0.623914", \ - "0.252184,0.259220,0.271858,0.297637,0.348821,0.450265,0.652081", \ - "0.296634,0.303645,0.316556,0.341822,0.392577,0.493711,0.694957", \ - "0.351842,0.359702,0.373935,0.401626,0.453358,0.554241,0.754980", \ - "0.412190,0.421134,0.437270,0.468312,0.526719,0.634282,0.834940"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021498,0.022506,0.024331,0.027937,0.035004,0.048813,0.075685", \ - "0.021132,0.022155,0.024022,0.027684,0.034826,0.048712,0.075643", \ - "0.022860,0.023572,0.024939,0.027882,0.034311,0.048283,0.075524", \ - "0.037665,0.038351,0.039628,0.041987,0.046569,0.055309,0.076752", \ - "0.058465,0.059271,0.060938,0.063851,0.069243,0.079134,0.096557", \ - "0.083555,0.084610,0.086645,0.090525,0.097216,0.109180,0.129654", \ - "0.112583,0.113945,0.116481,0.121333,0.129858,0.144643,0.169164"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.106256,0.112492,0.124088,0.147009,0.192259,0.282097,0.460474", \ - "0.106275,0.112502,0.124095,0.146999,0.192373,0.282088,0.460466", \ - "0.106308,0.112535,0.124119,0.147019,0.192264,0.282064,0.460480", \ - "0.106363,0.112581,0.124152,0.147030,0.192278,0.282093,0.460475", \ - "0.107826,0.113786,0.125018,0.147407,0.192309,0.282102,0.460479", \ - "0.122329,0.127628,0.137380,0.157011,0.197366,0.282568,0.460467", \ - "0.142768,0.148447,0.158980,0.179455,0.218272,0.294350,0.461358"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.024591,0.025868,0.028187,0.032709,0.041417,0.058088,0.090130", \ - "0.029343,0.030604,0.032905,0.037394,0.046064,0.062702,0.094724", \ - "0.047073,0.048348,0.050649,0.054891,0.063127,0.079440,0.111302", \ - "0.068853,0.070812,0.074215,0.080781,0.092357,0.111850,0.143925", \ - "0.086768,0.089517,0.094191,0.103298,0.119643,0.146982,0.190402", \ - "0.098352,0.102004,0.108319,0.120071,0.141686,0.177885,0.235139", \ - "0.102250,0.106800,0.114878,0.129816,0.156921,0.202563,0.274920"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.170487,0.176198,0.186722,0.207595,0.249018,0.330928,0.493653", \ - "0.173301,0.179108,0.189747,0.210890,0.252518,0.334935,0.498147", \ - "0.187670,0.193460,0.204125,0.225223,0.267139,0.349868,0.513820", \ - "0.218900,0.224629,0.234866,0.255723,0.297326,0.379806,0.543808", \ - "0.264446,0.270219,0.280793,0.301205,0.342508,0.424642,0.588220", \ - "0.317332,0.324051,0.336243,0.359936,0.404280,0.486873,0.650024", \ - "0.374816,0.382597,0.396560,0.423420,0.473688,0.565616,0.731731"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021541,0.022552,0.024378,0.027993,0.035083,0.048973,0.076080", \ - "0.021165,0.022188,0.024063,0.027730,0.034900,0.048867,0.076038", \ - "0.022938,0.023642,0.025015,0.027955,0.034386,0.048430,0.075918", \ - "0.037972,0.038656,0.039926,0.042250,0.046819,0.055558,0.077158", \ - "0.059317,0.060095,0.061727,0.064549,0.069871,0.079715,0.097136", \ - "0.085092,0.086103,0.088065,0.091814,0.098353,0.110191,0.130514", \ - "0.114554,0.115865,0.118313,0.123030,0.131360,0.145931,0.170216"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.086083,0.091177,0.100692,0.119356,0.156524,0.230125,0.376340", \ - "0.086091,0.091182,0.100676,0.119351,0.156465,0.230086,0.376352", \ - "0.086109,0.091197,0.100702,0.119345,0.156518,0.230042,0.376352", \ - "0.086195,0.091266,0.100754,0.119362,0.156434,0.230091,0.376351", \ - "0.089829,0.094445,0.103121,0.120507,0.156625,0.230040,0.376341", \ - "0.105248,0.109810,0.118414,0.134507,0.165846,0.232697,0.376330", \ - "0.125322,0.130094,0.139040,0.156058,0.188386,0.249843,0.380796"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.024580,0.025858,0.028176,0.032695,0.041400,0.058063,0.090088", \ - "0.029382,0.030643,0.032943,0.037433,0.046099,0.062731,0.094737", \ - "0.047241,0.048513,0.050803,0.055045,0.063285,0.079595,0.111442", \ - "0.069173,0.071127,0.074539,0.081088,0.092634,0.112081,0.144120", \ - "0.087120,0.089879,0.094540,0.103647,0.119968,0.147272,0.190639", \ - "0.098391,0.102046,0.108404,0.120201,0.141816,0.178101,0.235333", \ - "0.101453,0.106075,0.114209,0.129276,0.156524,0.202413,0.274937"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.211740,0.218797,0.231774,0.257610,0.308473,0.409342,0.609819", \ - "0.213779,0.220913,0.234037,0.260141,0.311599,0.412869,0.613921", \ - "0.226028,0.233164,0.246296,0.272451,0.323942,0.425867,0.627834", \ - "0.255658,0.262725,0.275386,0.301224,0.352459,0.454033,0.655997", \ - "0.300096,0.307152,0.320063,0.345391,0.396273,0.497463,0.698864", \ - "0.355765,0.363596,0.377780,0.405385,0.457065,0.557986,0.758894", \ - "0.416716,0.425612,0.441653,0.472540,0.530779,0.638072,0.838832"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021541,0.022555,0.024381,0.027995,0.035085,0.048972,0.076079", \ - "0.021175,0.022204,0.024079,0.027741,0.034908,0.048870,0.076037", \ - "0.022897,0.023610,0.024984,0.027945,0.034385,0.048438,0.075916", \ - "0.037801,0.038481,0.039756,0.042100,0.046682,0.055462,0.077142", \ - "0.059074,0.059862,0.061478,0.064329,0.069674,0.079546,0.097006", \ - "0.084976,0.085981,0.087946,0.091699,0.098221,0.110037,0.130380", \ - "0.114810,0.116110,0.118531,0.123209,0.131481,0.145957,0.170176"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.108026,0.114277,0.125914,0.148884,0.194235,0.284212,0.462781", \ - "0.108040,0.114288,0.125919,0.148888,0.194375,0.284196,0.462777", \ - "0.108071,0.114314,0.125932,0.148912,0.194239,0.284187,0.462793", \ - "0.108119,0.114354,0.125968,0.148911,0.194318,0.284206,0.462778", \ - "0.109411,0.115422,0.126746,0.149254,0.194256,0.284205,0.462782", \ - "0.123532,0.128830,0.138634,0.158425,0.199010,0.284622,0.462776", \ - "0.143962,0.149658,0.160238,0.180716,0.219565,0.296047,0.463578"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.025095,0.026372,0.028683,0.033186,0.041883,0.058545,0.090599", \ - "0.029890,0.031148,0.033444,0.037922,0.046582,0.063214,0.095252", \ - "0.047771,0.049026,0.051295,0.055501,0.063755,0.080079,0.111951", \ - "0.070085,0.072010,0.075350,0.081825,0.093290,0.112626,0.144620", \ - "0.088505,0.091245,0.095839,0.104779,0.120959,0.148107,0.191334", \ - "0.100434,0.104021,0.110297,0.121975,0.143325,0.179303,0.236336", \ - "0.104265,0.108826,0.116889,0.131704,0.158596,0.204181,0.276332"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.245228,0.252287,0.265261,0.291109,0.342107,0.443232,0.643957", \ - "0.247800,0.254913,0.267983,0.294033,0.345422,0.446927,0.648160", \ - "0.260173,0.267310,0.280413,0.306481,0.358101,0.460194,0.662183", \ - "0.289401,0.296504,0.309146,0.335042,0.386427,0.488259,0.690393", \ - "0.333685,0.340738,0.353640,0.379104,0.430104,0.531531,0.733176", \ - "0.392582,0.400083,0.413663,0.439826,0.490910,0.592033,0.793116", \ - "0.458490,0.466875,0.482076,0.511558,0.567725,0.672166,0.872921"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026550,0.027495,0.029266,0.032795,0.039814,0.053590,0.080466", \ - "0.026139,0.027118,0.028935,0.032531,0.039631,0.053488,0.080426", \ - "0.027321,0.028062,0.029497,0.032518,0.039074,0.053058,0.080307", \ - "0.044536,0.044993,0.045916,0.047688,0.051542,0.059893,0.081496", \ - "0.068294,0.068833,0.070074,0.072156,0.076427,0.085012,0.101324", \ - "0.096747,0.097439,0.098915,0.101790,0.106982,0.117134,0.135825", \ - "0.129806,0.130723,0.132509,0.136056,0.142649,0.154999,0.177047"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.124607,0.130952,0.142795,0.166142,0.211902,0.302415,0.481699", \ - "0.124610,0.130958,0.142798,0.166142,0.211893,0.302391,0.481706", \ - "0.124619,0.130965,0.142794,0.166100,0.211822,0.302392,0.481720", \ - "0.124636,0.130979,0.142807,0.166099,0.211818,0.302396,0.481715", \ - "0.125089,0.131355,0.143088,0.166211,0.211914,0.302392,0.481704", \ - "0.134918,0.140485,0.150960,0.171821,0.214299,0.302537,0.481695", \ - "0.155380,0.161149,0.171895,0.192597,0.231206,0.310799,0.481982"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.031904,0.033133,0.035362,0.039717,0.048165,0.064474,0.096124", \ - "0.037101,0.038328,0.040555,0.044916,0.053356,0.069676,0.101331", \ - "0.052569,0.053811,0.056019,0.060316,0.068734,0.085079,0.116796", \ - "0.075558,0.077129,0.079922,0.085263,0.095199,0.113150,0.145494", \ - "0.099688,0.101852,0.105563,0.112650,0.125609,0.148133,0.186466", \ - "0.120796,0.123721,0.128760,0.138101,0.155504,0.184730,0.232137", \ - "0.136066,0.139833,0.146405,0.158523,0.181131,0.219021,0.278686"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.147006,0.151728,0.160415,0.177740,0.212014,0.280274,0.415858", \ - "0.150286,0.155035,0.163803,0.181197,0.215627,0.283974,0.419822", \ - "0.166416,0.171184,0.179972,0.197382,0.231941,0.300500,0.436650", \ - "0.199192,0.203918,0.212533,0.229593,0.264056,0.332544,0.468840", \ - "0.247321,0.252286,0.261208,0.278266,0.312664,0.381025,0.517170", \ - "0.301951,0.307963,0.318761,0.339552,0.378423,0.449121,0.585042", \ - "0.360246,0.367472,0.380423,0.405044,0.450628,0.532219,0.674912"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.020129,0.021033,0.022693,0.026027,0.032671,0.045939,0.072515", \ - "0.020080,0.020993,0.022661,0.025994,0.032655,0.045927,0.072520", \ - "0.020324,0.021185,0.022775,0.025977,0.032518,0.045886,0.072506", \ - "0.025918,0.026756,0.028301,0.031387,0.037333,0.048858,0.073214", \ - "0.036138,0.037069,0.038733,0.041952,0.048052,0.059802,0.082491", \ - "0.050958,0.052098,0.054137,0.057987,0.064613,0.076674,0.099309", \ - "0.069560,0.071013,0.073539,0.078432,0.086404,0.099850,0.123033"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.075576,0.079753,0.087422,0.102740,0.133146,0.193492,0.313095", \ - "0.075567,0.079747,0.087435,0.102732,0.133128,0.193398,0.313154", \ - "0.075584,0.079763,0.087430,0.102736,0.133110,0.193392,0.313099", \ - "0.075651,0.079814,0.087481,0.102725,0.133108,0.193377,0.313098", \ - "0.080881,0.084492,0.091231,0.105058,0.133590,0.193330,0.313149", \ - "0.098962,0.102593,0.109260,0.122273,0.146605,0.198401,0.313090", \ - "0.122530,0.126379,0.133442,0.147127,0.172742,0.221093,0.321504"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.031897,0.033124,0.035353,0.039706,0.048151,0.064454,0.096089", \ - "0.037143,0.038369,0.040600,0.044958,0.053398,0.069708,0.101351", \ - "0.052747,0.053984,0.056193,0.060489,0.068905,0.085245,0.116952", \ - "0.075866,0.077438,0.080217,0.085560,0.095477,0.113402,0.145721", \ - "0.100113,0.102241,0.105957,0.112998,0.125951,0.148437,0.186743", \ - "0.121170,0.124071,0.129105,0.138459,0.155854,0.185056,0.232433", \ - "0.136069,0.139858,0.146449,0.158604,0.181301,0.219231,0.278910"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.186332,0.192376,0.203459,0.225600,0.269468,0.356483,0.529750", \ - "0.188860,0.194936,0.206107,0.228387,0.272403,0.359679,0.533157", \ - "0.203277,0.209347,0.220536,0.242848,0.287070,0.374563,0.548479", \ - "0.234285,0.240368,0.251387,0.273340,0.317532,0.404854,0.578901", \ - "0.281225,0.287281,0.298415,0.320141,0.364043,0.451390,0.625203", \ - "0.339431,0.346462,0.359140,0.383684,0.429610,0.516910,0.690550", \ - "0.401973,0.410263,0.425136,0.453603,0.506627,0.602773,0.777461"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.020128,0.021033,0.022694,0.026026,0.032671,0.045938,0.072517", \ - "0.020081,0.020992,0.022664,0.025999,0.032650,0.045926,0.072513", \ - "0.020313,0.021180,0.022766,0.025971,0.032513,0.045886,0.072509", \ - "0.025842,0.026686,0.028235,0.031320,0.037267,0.048813,0.073195", \ - "0.035960,0.036914,0.038590,0.041808,0.047916,0.059703,0.082417", \ - "0.050781,0.051924,0.053955,0.057798,0.064436,0.076520,0.099209", \ - "0.069488,0.070934,0.073455,0.078344,0.086275,0.099711,0.122905"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.098980,0.104295,0.114105,0.133582,0.172205,0.248615,0.400388", \ - "0.098980,0.104295,0.114100,0.133578,0.172149,0.248611,0.400383", \ - "0.099000,0.104311,0.114102,0.133591,0.172231,0.248624,0.400396", \ - "0.099013,0.104325,0.114125,0.133560,0.172277,0.248626,0.400391", \ - "0.100928,0.105874,0.115080,0.133941,0.172198,0.248597,0.400404", \ - "0.117410,0.121927,0.130014,0.145979,0.179005,0.249642,0.400379", \ - "0.141844,0.146498,0.155014,0.171739,0.203438,0.264972,0.402498"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.032400,0.033622,0.035844,0.040192,0.048627,0.064937,0.096601", \ - "0.037648,0.038871,0.041093,0.045441,0.053879,0.070189,0.101857", \ - "0.053252,0.054475,0.056672,0.060963,0.069385,0.085728,0.117457", \ - "0.076516,0.078083,0.080858,0.086158,0.096023,0.113916,0.146223", \ - "0.101075,0.103173,0.106842,0.113845,0.126697,0.149093,0.187332", \ - "0.122571,0.125436,0.130370,0.139633,0.156901,0.185936,0.233152", \ - "0.137997,0.141765,0.148314,0.160285,0.182778,0.220462,0.279912"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.214955,0.221001,0.232137,0.254397,0.298342,0.385673,0.559154", \ - "0.217710,0.223793,0.234983,0.257300,0.301467,0.388925,0.562604", \ - "0.232229,0.238333,0.249550,0.271912,0.316176,0.403965,0.577935", \ - "0.263140,0.269227,0.280264,0.302297,0.346555,0.434228,0.608340", \ - "0.310029,0.316100,0.327222,0.349048,0.393142,0.480694,0.654689", \ - "0.372261,0.378911,0.390961,0.414321,0.458692,0.546143,0.720008", \ - "0.440682,0.448402,0.462311,0.489135,0.539863,0.633061,0.806870"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022723,0.023674,0.025411,0.028884,0.035832,0.049620,0.076645", \ - "0.022680,0.023639,0.025375,0.028863,0.035813,0.049611,0.076640", \ - "0.022860,0.023766,0.025442,0.028807,0.035671,0.049565,0.076637", \ - "0.029081,0.029924,0.031489,0.034576,0.040623,0.052449,0.077312", \ - "0.040904,0.041752,0.043346,0.046416,0.052378,0.064045,0.086621", \ - "0.058586,0.059512,0.061232,0.064571,0.070539,0.081923,0.103978", \ - "0.080644,0.081734,0.083748,0.087770,0.094461,0.106508,0.128448"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.113119,0.118495,0.128445,0.148163,0.187028,0.263958,0.416278", \ - "0.113117,0.118495,0.128447,0.148123,0.187045,0.263966,0.416301", \ - "0.113116,0.118499,0.128447,0.148143,0.187004,0.264012,0.416291", \ - "0.113124,0.118502,0.128455,0.148137,0.187042,0.263952,0.416299", \ - "0.113604,0.118889,0.128703,0.148269,0.187037,0.263952,0.416296", \ - "0.126217,0.130691,0.139049,0.156075,0.190934,0.264143,0.416287", \ - "0.150102,0.154812,0.163451,0.180409,0.212253,0.276145,0.417316"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026795,0.028071,0.030387,0.034900,0.043596,0.060250,0.092261", \ - "0.032034,0.033304,0.035611,0.040109,0.048786,0.065420,0.097419", \ - "0.047584,0.048885,0.051215,0.055668,0.064210,0.080769,0.112775", \ - "0.069508,0.071202,0.074192,0.079848,0.090193,0.108676,0.141455", \ - "0.091655,0.093986,0.098073,0.105730,0.119471,0.142849,0.181962", \ - "0.109961,0.113135,0.118643,0.128901,0.147473,0.178124,0.226818", \ - "0.121759,0.125953,0.133202,0.146337,0.170653,0.210533,0.272183"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.164742,0.170389,0.180772,0.201559,0.242595,0.324163,0.486627", \ - "0.167749,0.173452,0.183901,0.204806,0.245956,0.327813,0.490453", \ - "0.183646,0.189351,0.199858,0.220723,0.262093,0.344178,0.507185", \ - "0.215670,0.221343,0.231637,0.252163,0.293390,0.375494,0.538566", \ - "0.261323,0.267111,0.277607,0.298009,0.339068,0.420895,0.583848", \ - "0.313529,0.320297,0.332488,0.356275,0.400728,0.483382,0.646138", \ - "0.370300,0.378136,0.392231,0.419256,0.469753,0.561897,0.728046"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.018564,0.019543,0.021328,0.024838,0.031670,0.045038,0.071521", \ - "0.018390,0.019377,0.021167,0.024690,0.031551,0.044958,0.071490", \ - "0.019216,0.020056,0.021623,0.024816,0.031350,0.044759,0.071431", \ - "0.025554,0.026390,0.027901,0.030897,0.036766,0.048231,0.072232", \ - "0.036605,0.037513,0.039117,0.042200,0.048095,0.059533,0.081930", \ - "0.052066,0.053166,0.055145,0.058862,0.065258,0.076931,0.099052", \ - "0.071190,0.072596,0.075075,0.079837,0.087571,0.100617,0.123215"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.084677,0.089757,0.099170,0.117873,0.154885,0.228408,0.374446", \ - "0.084692,0.089765,0.099176,0.117870,0.154864,0.228412,0.374457", \ - "0.084708,0.089777,0.099182,0.117839,0.154824,0.228409,0.374447", \ - "0.084797,0.089850,0.099234,0.117832,0.154837,0.228329,0.374456", \ - "0.088825,0.093397,0.101941,0.119234,0.155073,0.228323,0.374453", \ - "0.103998,0.108588,0.117028,0.133479,0.164752,0.231246,0.374436", \ - "0.123914,0.128712,0.137505,0.154757,0.187099,0.248688,0.379175"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026785,0.028061,0.030376,0.034887,0.043579,0.060224,0.092217", \ - "0.032072,0.033342,0.035648,0.040147,0.048820,0.065447,0.097429", \ - "0.047753,0.049054,0.051380,0.055832,0.064373,0.080929,0.112920", \ - "0.069822,0.071502,0.074485,0.080130,0.090460,0.108923,0.141674", \ - "0.092049,0.094367,0.098447,0.106092,0.119809,0.143152,0.182219", \ - "0.110280,0.113509,0.118962,0.129212,0.147809,0.178435,0.227089", \ - "0.121774,0.125962,0.133237,0.146435,0.170745,0.210737,0.272398"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.204604,0.211575,0.224374,0.249909,0.300667,0.401054,0.601201", \ - "0.206858,0.213887,0.226780,0.252480,0.303452,0.404104,0.604464", \ - "0.221031,0.228061,0.240963,0.266717,0.317764,0.418838,0.619690", \ - "0.251595,0.258604,0.271340,0.296679,0.347630,0.448695,0.649598", \ - "0.296294,0.303320,0.316166,0.341337,0.392017,0.492832,0.693536", \ - "0.351372,0.359232,0.373468,0.401172,0.453012,0.553751,0.754174", \ - "0.411627,0.420587,0.436711,0.467814,0.526238,0.633834,0.834373"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.018564,0.019542,0.021329,0.024835,0.031670,0.045037,0.071519", \ - "0.018401,0.019388,0.021181,0.024701,0.031552,0.044961,0.071487", \ - "0.019214,0.020055,0.021620,0.024814,0.031355,0.044765,0.071438", \ - "0.025491,0.026314,0.027823,0.030836,0.036711,0.048185,0.072218", \ - "0.036442,0.037353,0.038960,0.042057,0.047963,0.059429,0.081861", \ - "0.051866,0.052976,0.054949,0.058674,0.065086,0.076777,0.098941", \ - "0.071084,0.072500,0.074959,0.079723,0.087465,0.100492,0.123108"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.106286,0.112513,0.124002,0.146942,0.192346,0.282082,0.460488", \ - "0.106300,0.112528,0.124013,0.146949,0.192378,0.282093,0.460484", \ - "0.106328,0.112548,0.124029,0.146954,0.192273,0.282095,0.460491", \ - "0.106371,0.112585,0.124053,0.146970,0.192272,0.282102,0.460493", \ - "0.107906,0.113808,0.124926,0.147441,0.192337,0.282091,0.460478", \ - "0.122094,0.127517,0.137355,0.157125,0.197457,0.282580,0.460484", \ - "0.142324,0.148031,0.158479,0.179093,0.218102,0.294451,0.461408"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.027299,0.028571,0.030878,0.035381,0.044066,0.060709,0.092732", \ - "0.032583,0.033849,0.036149,0.040639,0.049304,0.065931,0.097939", \ - "0.048283,0.049575,0.051887,0.056310,0.064851,0.081413,0.113428", \ - "0.070529,0.072200,0.075155,0.080741,0.091026,0.109442,0.142183", \ - "0.093103,0.095411,0.099432,0.106975,0.120561,0.143797,0.182834", \ - "0.111843,0.114998,0.120403,0.130507,0.148924,0.179351,0.227843", \ - "0.123938,0.128045,0.135230,0.148290,0.172376,0.212025,0.273419"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.237791,0.244794,0.257629,0.283238,0.334150,0.434873,0.635247", \ - "0.240321,0.247357,0.260262,0.285973,0.337029,0.437964,0.638574", \ - "0.254604,0.261650,0.274602,0.300427,0.351539,0.452822,0.653787", \ - "0.285046,0.292077,0.304809,0.330285,0.381382,0.482607,0.683688", \ - "0.329711,0.336737,0.349588,0.374841,0.425706,0.526751,0.727675", \ - "0.388299,0.395805,0.409460,0.435807,0.486760,0.587664,0.788237", \ - "0.453643,0.462075,0.477329,0.506970,0.563239,0.667942,0.868353"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021306,0.022316,0.024176,0.027800,0.034903,0.048752,0.075660", \ - "0.021128,0.022145,0.023997,0.027650,0.034784,0.048675,0.075624", \ - "0.021775,0.022661,0.024320,0.027687,0.034551,0.048477,0.075570", \ - "0.028929,0.029742,0.031226,0.034221,0.040164,0.051829,0.076336", \ - "0.041850,0.042635,0.044080,0.046931,0.052578,0.063851,0.086094", \ - "0.060473,0.061300,0.062848,0.065911,0.071450,0.082312,0.103779", \ - "0.083250,0.084235,0.086075,0.089781,0.096026,0.107469,0.128715"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.122806,0.129139,0.140828,0.164099,0.209884,0.300263,0.479365", \ - "0.122812,0.129144,0.140829,0.164102,0.209901,0.300267,0.479366", \ - "0.122823,0.129150,0.140830,0.164102,0.209821,0.300272,0.479358", \ - "0.122837,0.129164,0.140845,0.164122,0.209906,0.300263,0.479364", \ - "0.123344,0.129587,0.141151,0.164279,0.209903,0.300253,0.479361", \ - "0.133683,0.139226,0.149545,0.170339,0.212554,0.300434,0.479358", \ - "0.153748,0.159520,0.170100,0.190938,0.229825,0.309080,0.479690"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.027301,0.028574,0.030883,0.035386,0.044076,0.060730,0.092769", \ - "0.032538,0.033804,0.036104,0.040596,0.049263,0.065898,0.097922", \ - "0.048110,0.049403,0.051714,0.056141,0.064680,0.081247,0.113280", \ - "0.070226,0.071901,0.074851,0.080455,0.090754,0.109189,0.141959", \ - "0.092703,0.095015,0.099047,0.106590,0.120216,0.143487,0.182570", \ - "0.111493,0.114644,0.120036,0.130172,0.148574,0.179035,0.227565", \ - "0.123912,0.128040,0.135174,0.148191,0.172231,0.211837,0.273199"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.194167,0.199848,0.210307,0.231119,0.272434,0.354444,0.517347", \ - "0.197389,0.203115,0.213612,0.234553,0.275974,0.358154,0.521213", \ - "0.213401,0.219147,0.229683,0.250691,0.292213,0.374637,0.538008", \ - "0.245272,0.250986,0.261327,0.282012,0.323525,0.405890,0.569420", \ - "0.291163,0.296898,0.307399,0.327847,0.369189,0.451316,0.614655", \ - "0.348148,0.354552,0.366150,0.388862,0.431672,0.513748,0.676846", \ - "0.410444,0.417744,0.430919,0.456478,0.504777,0.594197,0.758704"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021352,0.022364,0.024225,0.027856,0.034983,0.048912,0.076057", \ - "0.021158,0.022186,0.024037,0.027701,0.034857,0.048831,0.076019", \ - "0.021820,0.022700,0.024357,0.027744,0.034620,0.048628,0.075965", \ - "0.029097,0.029914,0.031388,0.034382,0.040323,0.052032,0.076749", \ - "0.042258,0.043033,0.044460,0.047300,0.052931,0.064240,0.086601", \ - "0.061367,0.062158,0.063660,0.066657,0.072148,0.082982,0.104476", \ - "0.084698,0.085648,0.087399,0.091020,0.097126,0.108439,0.129599"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.099309,0.104479,0.114088,0.133045,0.170439,0.244550,0.391486", \ - "0.099309,0.104481,0.114089,0.133070,0.170436,0.244571,0.391491", \ - "0.099309,0.104488,0.114086,0.133083,0.170445,0.244601,0.391516", \ - "0.099334,0.104506,0.114095,0.133055,0.170500,0.244621,0.391514", \ - "0.100872,0.105745,0.114924,0.133453,0.170600,0.244554,0.391487", \ - "0.114472,0.119088,0.127403,0.143817,0.176741,0.245913,0.391481", \ - "0.133731,0.138579,0.147507,0.164999,0.197607,0.260263,0.394545"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.027292,0.028564,0.030873,0.035375,0.044059,0.060706,0.092725", \ - "0.032576,0.033844,0.036143,0.040634,0.049298,0.065926,0.097935", \ - "0.048277,0.049570,0.051881,0.056305,0.064846,0.081409,0.113424", \ - "0.070523,0.072189,0.075148,0.080736,0.091021,0.109438,0.142179", \ - "0.093097,0.095405,0.099416,0.106970,0.120556,0.143799,0.182831", \ - "0.111835,0.114992,0.120386,0.130502,0.148921,0.179345,0.227839", \ - "0.123930,0.128037,0.135231,0.148284,0.172372,0.212021,0.273415"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.241316,0.248321,0.261198,0.286855,0.337817,0.438675,0.639221", \ - "0.243847,0.250894,0.263835,0.289587,0.340692,0.441764,0.642552", \ - "0.258155,0.265227,0.278185,0.304028,0.355232,0.456619,0.657815", \ - "0.288584,0.295633,0.308395,0.333899,0.385076,0.486422,0.687758", \ - "0.333247,0.340274,0.353141,0.378446,0.429442,0.530558,0.731657", \ - "0.392107,0.399604,0.413202,0.439450,0.490449,0.591470,0.792218", \ - "0.457976,0.466370,0.481560,0.511075,0.567238,0.671736,0.872312"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021357,0.022364,0.024218,0.027856,0.034981,0.048910,0.076052", \ - "0.021169,0.022192,0.024049,0.027708,0.034862,0.048833,0.076017", \ - "0.021816,0.022703,0.024372,0.027740,0.034630,0.048635,0.075964", \ - "0.029005,0.029820,0.031307,0.034304,0.040263,0.051986,0.076728", \ - "0.042070,0.042849,0.044294,0.047126,0.052787,0.064147,0.086529", \ - "0.061143,0.061939,0.063441,0.066451,0.071949,0.082823,0.104362", \ - "0.084598,0.085538,0.087292,0.090889,0.096977,0.108301,0.129471"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.124616,0.130964,0.142684,0.166020,0.211894,0.302421,0.481725", \ - "0.124619,0.130969,0.142687,0.166021,0.211909,0.302422,0.481728", \ - "0.124624,0.130973,0.142689,0.166020,0.211829,0.302402,0.481728", \ - "0.124639,0.130986,0.142700,0.166026,0.211912,0.302420,0.481712", \ - "0.125096,0.131366,0.142975,0.166174,0.211908,0.302402,0.481724", \ - "0.135008,0.140587,0.150978,0.171904,0.214325,0.302548,0.481715", \ - "0.155056,0.160837,0.171429,0.192285,0.231247,0.310888,0.482021"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.027806,0.029074,0.031373,0.035865,0.044541,0.061191,0.093233", \ - "0.033087,0.034352,0.036645,0.041122,0.049780,0.066411,0.098445", \ - "0.048806,0.050088,0.052383,0.056778,0.065323,0.081894,0.113937", \ - "0.071232,0.072883,0.075805,0.081348,0.091586,0.109958,0.142690", \ - "0.094144,0.096425,0.100362,0.107824,0.121330,0.144459,0.183433", \ - "0.113378,0.116506,0.121834,0.131772,0.149997,0.180258,0.228594", \ - "0.126086,0.130166,0.137258,0.150084,0.173947,0.213298,0.274429"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.274813,0.281854,0.294745,0.320380,0.371389,0.472575,0.673345", \ - "0.277528,0.284584,0.297510,0.323232,0.374411,0.475698,0.676693", \ - "0.291992,0.299057,0.312037,0.337843,0.389129,0.490655,0.692017", \ - "0.322325,0.329386,0.342154,0.367686,0.418928,0.520449,0.721888", \ - "0.366881,0.373931,0.386806,0.412135,0.463215,0.564554,0.765864", \ - "0.427290,0.434407,0.447432,0.473213,0.524241,0.625350,0.826348", \ - "0.497625,0.505644,0.520216,0.548713,0.603171,0.705620,0.906342"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026339,0.027295,0.029086,0.032649,0.039707,0.053528,0.080440", \ - "0.026136,0.027103,0.028905,0.032489,0.039587,0.053449,0.080406", \ - "0.026530,0.027404,0.029065,0.032440,0.039324,0.053251,0.080354", \ - "0.034907,0.035575,0.036857,0.039547,0.045123,0.056519,0.081098", \ - "0.049599,0.050189,0.051322,0.053668,0.058631,0.069208,0.090934", \ - "0.070291,0.070844,0.071991,0.074350,0.078903,0.088678,0.109262", \ - "0.095910,0.096566,0.097847,0.100630,0.105447,0.115246,0.135060"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.141465,0.147890,0.159765,0.183389,0.229612,0.320691,0.500670", \ - "0.141467,0.147890,0.159766,0.183384,0.229583,0.320699,0.500668", \ - "0.141466,0.147893,0.159768,0.183434,0.229527,0.320699,0.500665", \ - "0.141473,0.147897,0.159768,0.183380,0.229526,0.320678,0.500668", \ - "0.141638,0.148032,0.159863,0.183427,0.229527,0.320690,0.500663", \ - "0.147946,0.153797,0.164709,0.186613,0.230529,0.320717,0.500659", \ - "0.167286,0.173094,0.183765,0.204498,0.244042,0.326511,0.500769"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.031138,0.032560,0.035142,0.040121,0.049621,0.067532,0.101252", \ - "0.035789,0.037191,0.039751,0.044702,0.054157,0.072025,0.105716", \ - "0.054270,0.055460,0.057750,0.062288,0.071271,0.088751,0.122208", \ - "0.083266,0.085010,0.087970,0.093898,0.104484,0.122691,0.154863", \ - "0.110358,0.112720,0.116720,0.124564,0.139121,0.164071,0.204798", \ - "0.132570,0.135620,0.140887,0.150917,0.169768,0.202311,0.255353", \ - "0.148104,0.151903,0.158522,0.171166,0.194651,0.235294,0.301767"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.165331,0.170228,0.179175,0.196818,0.231707,0.300849,0.438339", \ - "0.168690,0.173661,0.182772,0.200704,0.236017,0.305673,0.443650", \ - "0.185357,0.190276,0.199336,0.217194,0.252583,0.322614,0.461274", \ - "0.218690,0.223555,0.232199,0.249881,0.284997,0.354686,0.493287", \ - "0.266337,0.271307,0.280149,0.297494,0.332468,0.401848,0.540073", \ - "0.319757,0.325552,0.335990,0.355653,0.393789,0.464706,0.602593", \ - "0.374163,0.380922,0.393081,0.416059,0.459428,0.539063,0.682523"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026028,0.027046,0.028913,0.032587,0.039724,0.053498,0.080346", \ - "0.025546,0.026599,0.028518,0.032255,0.039478,0.053345,0.080266", \ - "0.024498,0.025415,0.027140,0.030664,0.037985,0.052584,0.080001", \ - "0.036193,0.036986,0.038461,0.041106,0.046017,0.055706,0.079433", \ - "0.052765,0.053820,0.055873,0.059450,0.065741,0.076600,0.094999", \ - "0.072851,0.074354,0.077020,0.081910,0.090109,0.103848,0.126063", \ - "0.096476,0.098379,0.101791,0.108082,0.118587,0.135944,0.163102"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.068051,0.072208,0.079908,0.095175,0.125658,0.186396,0.307135", \ - "0.068146,0.072284,0.079932,0.095175,0.125676,0.186397,0.307136", \ - "0.068235,0.072372,0.080020,0.095232,0.125694,0.186402,0.307140", \ - "0.068315,0.072443,0.080064,0.095274,0.125692,0.186386,0.307140", \ - "0.070930,0.074746,0.081778,0.096329,0.126069,0.186426,0.307157", \ - "0.083536,0.087345,0.094336,0.108099,0.134429,0.189474,0.307120", \ - "0.100237,0.104259,0.111658,0.126104,0.154016,0.206848,0.313378"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.029445,0.030830,0.033349,0.038239,0.047615,0.065386,0.098990", \ - "0.034170,0.035540,0.038028,0.042874,0.052183,0.069887,0.103431", \ - "0.052757,0.053938,0.056142,0.060564,0.069378,0.086643,0.119899", \ - "0.080449,0.082221,0.085253,0.091297,0.102066,0.120520,0.152618", \ - "0.105983,0.108403,0.112520,0.120575,0.135463,0.160846,0.202090", \ - "0.126317,0.129480,0.134908,0.145262,0.164591,0.197842,0.251630", \ - "0.139630,0.143570,0.150437,0.163494,0.187638,0.229241,0.296824"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.192880,0.198971,0.210246,0.232367,0.276219,0.363275,0.536444", \ - "0.195651,0.201883,0.213333,0.235825,0.280207,0.367901,0.541689", \ - "0.211535,0.217676,0.229051,0.251464,0.295945,0.384108,0.558762", \ - "0.243458,0.249531,0.260489,0.282625,0.326737,0.414472,0.589074", \ - "0.287821,0.293923,0.304932,0.326556,0.370431,0.457767,0.631855", \ - "0.338549,0.345352,0.357700,0.381197,0.426952,0.514436,0.688121", \ - "0.390547,0.398192,0.412083,0.438579,0.489200,0.583832,0.759300"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.024270,0.025316,0.027203,0.030927,0.038144,0.052056,0.079047", \ - "0.023797,0.024866,0.026779,0.030562,0.037848,0.051846,0.078932", \ - "0.023456,0.024315,0.025949,0.029346,0.036503,0.051070,0.078604", \ - "0.035659,0.036472,0.037937,0.040598,0.045531,0.055006,0.078286", \ - "0.052408,0.053501,0.055560,0.059155,0.065463,0.076347,0.094741", \ - "0.072827,0.074257,0.076973,0.081883,0.090110,0.103831,0.126015", \ - "0.096805,0.098704,0.102193,0.108470,0.119008,0.136299,0.163351"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.085027,0.090258,0.099930,0.119087,0.157323,0.233348,0.384486", \ - "0.085072,0.090281,0.099957,0.119112,0.157317,0.233336,0.384483", \ - "0.085109,0.090326,0.099996,0.119135,0.157319,0.233334,0.384489", \ - "0.085136,0.090351,0.100023,0.119138,0.157320,0.233359,0.384507", \ - "0.086532,0.091526,0.100830,0.119553,0.157383,0.233335,0.384489", \ - "0.097535,0.102361,0.111061,0.128046,0.162473,0.234263,0.384479", \ - "0.112573,0.117559,0.126737,0.144749,0.179645,0.246418,0.386882"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.030028,0.031410,0.033917,0.038793,0.048159,0.065924,0.099542", \ - "0.034751,0.036107,0.038591,0.043425,0.052723,0.070420,0.103982", \ - "0.053261,0.054424,0.056639,0.061071,0.069893,0.087168,0.120446", \ - "0.081279,0.083024,0.086011,0.091996,0.102696,0.121058,0.153154", \ - "0.107232,0.109631,0.113669,0.121644,0.136410,0.161656,0.202766", \ - "0.128078,0.131210,0.136617,0.146826,0.165987,0.199018,0.252596", \ - "0.142031,0.145934,0.152791,0.165749,0.189621,0.230901,0.298196"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.221567,0.227648,0.238874,0.261227,0.305163,0.392414,0.565859", \ - "0.225019,0.231203,0.242550,0.265188,0.309468,0.397226,0.571170", \ - "0.240786,0.246945,0.258337,0.280963,0.325412,0.413649,0.588377", \ - "0.272303,0.278423,0.289395,0.311678,0.356090,0.443966,0.618713", \ - "0.316643,0.322722,0.333745,0.355559,0.399530,0.487130,0.661487", \ - "0.370406,0.376963,0.388890,0.411773,0.456289,0.543686,0.717665", \ - "0.426379,0.433646,0.446916,0.472481,0.521597,0.614397,0.788803"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.028064,0.029115,0.031035,0.034811,0.042175,0.056317,0.083440", \ - "0.027537,0.028615,0.030574,0.034413,0.041859,0.056102,0.083323", \ - "0.026752,0.027683,0.029432,0.033009,0.040424,0.055306,0.082993", \ - "0.040138,0.040853,0.042207,0.044622,0.049305,0.059018,0.082640", \ - "0.060276,0.061141,0.062844,0.065860,0.071381,0.081387,0.098944", \ - "0.084492,0.085592,0.087707,0.091682,0.098580,0.110745,0.131396", \ - "0.112358,0.113785,0.116419,0.121372,0.130136,0.145272,0.170154"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.098962,0.104279,0.114119,0.133597,0.172155,0.248627,0.400387", \ - "0.098971,0.104289,0.114130,0.133605,0.172161,0.248623,0.400383", \ - "0.098994,0.104309,0.114144,0.133606,0.172162,0.248621,0.400384", \ - "0.099001,0.104315,0.114138,0.133602,0.172235,0.248603,0.400395", \ - "0.099510,0.104733,0.114457,0.133713,0.172162,0.248607,0.400393", \ - "0.108319,0.113059,0.121838,0.139511,0.175252,0.248931,0.400381", \ - "0.123361,0.128436,0.137843,0.155976,0.191044,0.258719,0.401805"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023371,0.024757,0.027284,0.032225,0.041764,0.059933,0.094258", \ - "0.028310,0.029664,0.032144,0.037014,0.046461,0.064532,0.098775", \ - "0.047701,0.049001,0.051355,0.055703,0.064368,0.081700,0.115436", \ - "0.073555,0.075466,0.078787,0.085277,0.096746,0.116091,0.148650", \ - "0.097499,0.100131,0.104530,0.113207,0.128964,0.155496,0.197982", \ - "0.116410,0.119797,0.125593,0.136574,0.156968,0.191570,0.246855", \ - "0.128390,0.132577,0.139862,0.153597,0.178901,0.222056,0.291368"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.179641,0.185482,0.196209,0.217192,0.258701,0.340952,0.504526", \ - "0.182587,0.188540,0.199459,0.220772,0.262789,0.345644,0.509783", \ - "0.198775,0.204666,0.215482,0.236742,0.278846,0.362132,0.527060", \ - "0.231837,0.237674,0.248071,0.269111,0.310854,0.393736,0.558626", \ - "0.278933,0.284831,0.295409,0.316081,0.357589,0.440106,0.604523", \ - "0.332087,0.338728,0.350793,0.373525,0.417494,0.500550,0.664525", \ - "0.386104,0.393665,0.407378,0.433340,0.482177,0.572923,0.739625"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.020998,0.022201,0.024349,0.028530,0.036452,0.051277,0.079136", \ - "0.020600,0.021810,0.023978,0.028184,0.036148,0.051032,0.078980", \ - "0.022898,0.023652,0.025070,0.028217,0.035102,0.049987,0.078432", \ - "0.037057,0.037764,0.039177,0.041767,0.046589,0.055608,0.078230", \ - "0.054839,0.055883,0.057867,0.061364,0.067455,0.077979,0.095977", \ - "0.076216,0.077611,0.080213,0.084981,0.092857,0.106078,0.127653", \ - "0.101010,0.102847,0.106205,0.112238,0.122406,0.139070,0.165337"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.074730,0.079709,0.089035,0.107338,0.144098,0.217590,0.364064", \ - "0.074859,0.079808,0.089106,0.107366,0.144123,0.217570,0.364056", \ - "0.075048,0.079969,0.089219,0.107443,0.144140,0.217576,0.364058", \ - "0.075133,0.080053,0.089343,0.107500,0.144160,0.217563,0.364080", \ - "0.077238,0.081898,0.090707,0.108355,0.144482,0.217633,0.364110", \ - "0.088505,0.093102,0.101660,0.117916,0.150650,0.219254,0.364060", \ - "0.103207,0.108026,0.117070,0.134367,0.168196,0.232851,0.367389"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022798,0.024151,0.026621,0.031465,0.040837,0.058763,0.092794", \ - "0.027756,0.029078,0.031501,0.036274,0.045544,0.063360,0.097288", \ - "0.046842,0.048157,0.050513,0.054867,0.063391,0.080494,0.113915", \ - "0.071570,0.073521,0.076938,0.083500,0.095071,0.114584,0.147091", \ - "0.094088,0.096782,0.101290,0.110148,0.126160,0.153024,0.195881", \ - "0.111181,0.114669,0.120639,0.131892,0.152739,0.187905,0.243837", \ - "0.120977,0.125305,0.132827,0.146957,0.172898,0.216916,0.287205"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.206272,0.213332,0.226326,0.251947,0.302598,0.403116,0.603148", \ - "0.208624,0.215826,0.229022,0.255066,0.306330,0.407619,0.608345", \ - "0.224017,0.231130,0.244223,0.270169,0.321500,0.423341,0.625043", \ - "0.255666,0.262714,0.275371,0.301027,0.351944,0.453275,0.654917", \ - "0.299602,0.306652,0.319417,0.344495,0.395098,0.495988,0.697047", \ - "0.349978,0.357679,0.371710,0.398471,0.450242,0.550962,0.751546", \ - "0.401438,0.409956,0.425472,0.455094,0.511705,0.618332,0.819302"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.020109,0.021282,0.023377,0.027489,0.035307,0.050013,0.077816", \ - "0.019738,0.020916,0.023025,0.027143,0.034992,0.049758,0.077631", \ - "0.022347,0.023054,0.024431,0.027443,0.034099,0.048755,0.077080", \ - "0.036395,0.037174,0.038592,0.041203,0.046035,0.054974,0.077195", \ - "0.054290,0.055337,0.057341,0.060845,0.066972,0.077535,0.095539", \ - "0.075862,0.077256,0.079892,0.084652,0.092551,0.105801,0.127383", \ - "0.100999,0.102871,0.106229,0.112281,0.122445,0.139084,0.165292"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.090076,0.096171,0.107536,0.129983,0.174760,0.263979,0.441614", \ - "0.090149,0.096232,0.107566,0.130000,0.174748,0.263985,0.441617", \ - "0.090253,0.096319,0.107640,0.130037,0.174739,0.263979,0.441619", \ - "0.090327,0.096382,0.107689,0.130051,0.174762,0.263984,0.441620", \ - "0.091554,0.097419,0.108448,0.130412,0.174797,0.263963,0.441616", \ - "0.101450,0.107012,0.117150,0.137394,0.178574,0.264411,0.441599", \ - "0.114768,0.120632,0.131491,0.152755,0.193994,0.273785,0.442608"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023346,0.024700,0.027163,0.032000,0.041367,0.059296,0.093347", \ - "0.028292,0.029614,0.032032,0.036805,0.046070,0.063889,0.097841", \ - "0.047403,0.048704,0.051034,0.055344,0.063887,0.081011,0.114464", \ - "0.072511,0.074444,0.077789,0.084278,0.095753,0.115151,0.147629", \ - "0.095499,0.098133,0.102563,0.111327,0.127191,0.153881,0.196580", \ - "0.113172,0.116586,0.122533,0.133647,0.154249,0.189165,0.244845", \ - "0.123703,0.127954,0.135449,0.149499,0.175076,0.218705,0.288631"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.239581,0.246632,0.259608,0.285341,0.336345,0.436903,0.637221", \ - "0.242738,0.249863,0.262994,0.288992,0.340365,0.441603,0.642497", \ - "0.257970,0.265077,0.278185,0.304268,0.355898,0.457546,0.659353", \ - "0.289191,0.296230,0.308869,0.334752,0.385949,0.487446,0.689229", \ - "0.333029,0.340040,0.352799,0.378109,0.428889,0.530002,0.731325", \ - "0.386190,0.393627,0.407233,0.433063,0.484039,0.584914,0.785740", \ - "0.441406,0.449526,0.464369,0.493107,0.548219,0.652781,0.853420"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023792,0.025002,0.027179,0.031395,0.039420,0.054388,0.082276", \ - "0.023334,0.024554,0.026746,0.030996,0.039069,0.054109,0.082092", \ - "0.025300,0.026103,0.027617,0.030918,0.037994,0.053057,0.081535", \ - "0.041489,0.042137,0.043346,0.045605,0.050046,0.058972,0.081562", \ - "0.063243,0.063986,0.065489,0.068239,0.073318,0.082796,0.099834", \ - "0.088816,0.089789,0.091658,0.095248,0.101572,0.113002,0.132878", \ - "0.118068,0.119333,0.121669,0.126149,0.134226,0.148414,0.172240"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.106256,0.112489,0.124109,0.147012,0.192429,0.282088,0.460481", \ - "0.106278,0.112508,0.124122,0.147018,0.192342,0.282098,0.460484", \ - "0.106313,0.112538,0.124151,0.147010,0.192430,0.282071,0.460482", \ - "0.106343,0.112562,0.124166,0.147045,0.192378,0.282118,0.460492", \ - "0.106797,0.112938,0.124443,0.147117,0.192275,0.282096,0.460482", \ - "0.114122,0.119807,0.130352,0.151466,0.194210,0.282228,0.460478", \ - "0.127781,0.133775,0.144850,0.166419,0.207629,0.289273,0.460913"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023924,0.025307,0.027829,0.032766,0.042295,0.060466,0.094811", \ - "0.028847,0.030202,0.032680,0.037550,0.046989,0.065062,0.099327", \ - "0.048249,0.049544,0.051864,0.056184,0.064866,0.082215,0.115981", \ - "0.074434,0.076336,0.079613,0.086032,0.097400,0.116649,0.149177", \ - "0.098867,0.101451,0.105788,0.114364,0.129974,0.156345,0.198677", \ - "0.118333,0.121669,0.127442,0.138286,0.158450,0.192803,0.247861", \ - "0.131052,0.135145,0.142408,0.156069,0.181022,0.223811,0.292784"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.209587,0.215382,0.226112,0.247216,0.288881,0.371452,0.535396", \ - "0.213238,0.219097,0.229935,0.251274,0.293292,0.376340,0.540725", \ - "0.229326,0.235187,0.246028,0.267396,0.309571,0.393076,0.558160", \ - "0.261993,0.267791,0.278187,0.299384,0.341379,0.424536,0.589669", \ - "0.309239,0.315047,0.325550,0.346307,0.387940,0.470807,0.635572", \ - "0.365986,0.372298,0.383837,0.405894,0.448324,0.531133,0.695519", \ - "0.424602,0.431661,0.444529,0.469391,0.516528,0.605110,0.770535"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.025134,0.026357,0.028563,0.032831,0.040932,0.056039,0.084146", \ - "0.024635,0.025879,0.028113,0.032429,0.040595,0.055789,0.083989", \ - "0.026207,0.027014,0.028637,0.032041,0.039337,0.054680,0.083427", \ - "0.042355,0.042975,0.044168,0.046410,0.050816,0.059948,0.083147", \ - "0.064477,0.065191,0.066626,0.069292,0.074284,0.083709,0.100754", \ - "0.090487,0.091405,0.093195,0.096697,0.102852,0.114139,0.133889", \ - "0.119975,0.121180,0.123440,0.127793,0.135669,0.149642,0.173275"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.088883,0.094017,0.103585,0.122358,0.159764,0.233946,0.381181", \ - "0.088920,0.094046,0.103609,0.122357,0.159752,0.233996,0.381179", \ - "0.088995,0.094106,0.103658,0.122393,0.159746,0.234009,0.381167", \ - "0.089039,0.094149,0.103683,0.122458,0.159758,0.233973,0.381180", \ - "0.089950,0.094938,0.104330,0.122732,0.159824,0.233927,0.381168", \ - "0.099360,0.103967,0.112503,0.129459,0.163688,0.234634,0.381137", \ - "0.113881,0.118863,0.128178,0.145841,0.180070,0.245562,0.383236"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023337,0.024690,0.027153,0.031990,0.041358,0.059287,0.093339", \ - "0.028283,0.029605,0.032024,0.036793,0.046060,0.063879,0.097833", \ - "0.047395,0.048694,0.051029,0.055336,0.063880,0.081002,0.114456", \ - "0.072499,0.074433,0.077777,0.084269,0.095744,0.115143,0.147622", \ - "0.095488,0.098120,0.102554,0.111315,0.127181,0.153872,0.196578", \ - "0.113160,0.116572,0.122519,0.133636,0.154237,0.189156,0.244838", \ - "0.123692,0.127941,0.135462,0.149492,0.175067,0.218697,0.288623"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.243038,0.250087,0.263084,0.288874,0.339963,0.440623,0.641125", \ - "0.246236,0.253387,0.266537,0.292592,0.343987,0.445350,0.646404", \ - "0.261492,0.268626,0.281762,0.307864,0.359579,0.461329,0.663272", \ - "0.292666,0.299737,0.312398,0.338323,0.389622,0.491215,0.693134", \ - "0.336483,0.343526,0.356284,0.381638,0.432536,0.533763,0.735237", \ - "0.389876,0.397301,0.410846,0.436643,0.487648,0.588644,0.789633", \ - "0.445471,0.453567,0.468360,0.497037,0.552038,0.656525,0.857296"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023868,0.025079,0.027260,0.031492,0.039552,0.054638,0.082752", \ - "0.023402,0.024626,0.026823,0.031092,0.039197,0.054356,0.082566", \ - "0.025347,0.026164,0.027686,0.030995,0.038109,0.053292,0.082005", \ - "0.041663,0.042309,0.043507,0.045750,0.050188,0.059182,0.082023", \ - "0.063933,0.064649,0.066104,0.068787,0.073800,0.083252,0.100319", \ - "0.090273,0.091193,0.092990,0.096452,0.102619,0.113878,0.133617", \ - "0.120274,0.121470,0.123700,0.128024,0.135843,0.149725,0.173255"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.108025,0.114278,0.125929,0.148891,0.194402,0.284168,0.462780", \ - "0.108037,0.114287,0.125944,0.148901,0.194288,0.284189,0.462784", \ - "0.108074,0.114314,0.125965,0.148893,0.194404,0.284185,0.462783", \ - "0.108097,0.114335,0.125983,0.148906,0.194292,0.284212,0.462779", \ - "0.108505,0.114674,0.126240,0.148988,0.194250,0.284201,0.462780", \ - "0.115550,0.121263,0.131882,0.153105,0.195986,0.284311,0.462775", \ - "0.129254,0.135262,0.146401,0.167947,0.209142,0.291104,0.463174"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023886,0.025236,0.027696,0.032527,0.041890,0.059819,0.093890", \ - "0.028818,0.030139,0.032562,0.037319,0.046589,0.064409,0.098385", \ - "0.047952,0.049241,0.051531,0.055814,0.064371,0.081518,0.115000", \ - "0.073414,0.075317,0.078613,0.085018,0.096406,0.115694,0.148154", \ - "0.096873,0.099494,0.103888,0.112519,0.128180,0.154715,0.197257", \ - "0.115154,0.118546,0.124407,0.135403,0.155707,0.190397,0.245815", \ - "0.126487,0.130632,0.138096,0.151896,0.177150,0.220422,0.290017"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.276514,0.283579,0.296557,0.322370,0.373438,0.474540,0.675289", \ - "0.280242,0.287372,0.300463,0.326467,0.377882,0.479402,0.680616", \ - "0.295583,0.302727,0.315860,0.341982,0.393580,0.495660,0.697645", \ - "0.326409,0.333516,0.346221,0.372175,0.423607,0.525466,0.727534", \ - "0.370046,0.377102,0.389872,0.415354,0.466455,0.567903,0.769587", \ - "0.424790,0.431942,0.445001,0.470560,0.521466,0.622691,0.823898", \ - "0.483762,0.491600,0.505900,0.533863,0.587747,0.690590,0.891510"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.030560,0.031647,0.033643,0.037559,0.045181,0.059744,0.087383", \ - "0.030005,0.031112,0.033141,0.037113,0.044806,0.059460,0.087195", \ - "0.031189,0.031967,0.033483,0.036700,0.043581,0.058372,0.086630", \ - "0.048797,0.049199,0.050037,0.051718,0.055383,0.064006,0.086594", \ - "0.073116,0.073604,0.074689,0.076635,0.080619,0.088795,0.104675", \ - "0.101813,0.102455,0.103797,0.106446,0.111314,0.120949,0.139065", \ - "0.134784,0.135619,0.137270,0.140582,0.146810,0.158641,0.180073"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.124607,0.130957,0.142813,0.166094,0.211853,0.302417,0.481733", \ - "0.124608,0.130961,0.142817,0.166140,0.211888,0.302421,0.481735", \ - "0.124619,0.130968,0.142816,0.166128,0.211868,0.302444,0.481721", \ - "0.124629,0.130976,0.142829,0.166151,0.211930,0.302479,0.481734", \ - "0.124773,0.131095,0.142917,0.166171,0.211873,0.302393,0.481735", \ - "0.129336,0.135267,0.146416,0.168318,0.212536,0.302435,0.481729", \ - "0.143109,0.149153,0.160345,0.182080,0.223227,0.307256,0.481879"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.033852,0.035267,0.037835,0.042801,0.052286,0.070176,0.103890", \ - "0.038976,0.040384,0.042943,0.047891,0.057350,0.075224,0.108916", \ - "0.055079,0.056399,0.058801,0.063546,0.072805,0.090539,0.124181", \ - "0.081312,0.082892,0.085716,0.091152,0.101244,0.119618,0.153016", \ - "0.110679,0.112691,0.116185,0.122949,0.135464,0.157596,0.195775", \ - "0.139095,0.141712,0.146248,0.154741,0.170855,0.198478,0.244402", \ - "0.163149,0.166509,0.172323,0.183144,0.203569,0.238517,0.294994"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.185378,0.190172,0.199039,0.216632,0.251469,0.320682,0.458219", \ - "0.189446,0.194304,0.203233,0.220922,0.255906,0.325320,0.463008", \ - "0.207377,0.212222,0.221172,0.238914,0.274026,0.343661,0.481642", \ - "0.241038,0.245891,0.254625,0.272137,0.307179,0.376748,0.514849", \ - "0.289172,0.294041,0.302872,0.320025,0.354951,0.424384,0.562407", \ - "0.346133,0.351625,0.361594,0.380585,0.417511,0.487408,0.625279", \ - "0.404909,0.411214,0.422654,0.444653,0.486402,0.563917,0.705362"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.025829,0.026864,0.028748,0.032451,0.039614,0.053423,0.080314", \ - "0.025571,0.026631,0.028514,0.032246,0.039449,0.053308,0.080255", \ - "0.024911,0.025919,0.027741,0.031410,0.038686,0.052921,0.080114", \ - "0.030236,0.031126,0.032738,0.036012,0.042205,0.054318,0.079886", \ - "0.039729,0.040706,0.042422,0.045756,0.052143,0.064375,0.087533", \ - "0.053789,0.054912,0.056913,0.060768,0.067515,0.079954,0.103362", \ - "0.071679,0.073077,0.075516,0.080234,0.088165,0.101769,0.125664"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.078750,0.082993,0.090794,0.106319,0.137189,0.198342,0.319502", \ - "0.078788,0.083013,0.090811,0.106332,0.137185,0.198377,0.319502", \ - "0.078808,0.083034,0.090828,0.106359,0.137194,0.198372,0.319502", \ - "0.078819,0.083059,0.090845,0.106349,0.137155,0.198318,0.319497", \ - "0.080053,0.084111,0.091652,0.106816,0.137295,0.198310,0.319499", \ - "0.091267,0.095171,0.102247,0.116048,0.143386,0.200105,0.319487", \ - "0.107511,0.111647,0.119245,0.133962,0.162113,0.215189,0.324223"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.032204,0.033587,0.036093,0.040961,0.050310,0.068058,0.101642", \ - "0.037399,0.038772,0.041259,0.046095,0.055402,0.073095,0.106641", \ - "0.053495,0.054799,0.057140,0.061769,0.070858,0.088392,0.121853", \ - "0.079025,0.080628,0.083447,0.088903,0.099018,0.117398,0.150685", \ - "0.107305,0.109393,0.112970,0.119853,0.132521,0.154826,0.193167", \ - "0.134209,0.136891,0.141580,0.150349,0.166813,0.194880,0.241253", \ - "0.156293,0.159743,0.165775,0.176982,0.197987,0.233715,0.291037"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.218309,0.224347,0.235440,0.257587,0.301450,0.388437,0.561727", \ - "0.222008,0.228080,0.239271,0.261614,0.305558,0.392810,0.566276", \ - "0.239464,0.245538,0.256762,0.279139,0.323253,0.410777,0.584653", \ - "0.271773,0.277840,0.288838,0.310942,0.355024,0.442528,0.616473", \ - "0.316364,0.322410,0.333499,0.355152,0.399037,0.486393,0.660235", \ - "0.370078,0.376631,0.388554,0.411432,0.455990,0.543275,0.716908", \ - "0.425965,0.433241,0.446465,0.472084,0.521209,0.613926,0.788280"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.024106,0.025153,0.027044,0.030786,0.038030,0.051969,0.078996", \ - "0.023815,0.024864,0.026766,0.030527,0.037799,0.051795,0.078894", \ - "0.023396,0.024388,0.026196,0.029821,0.037072,0.051373,0.078708", \ - "0.029147,0.030050,0.031661,0.034899,0.041105,0.053149,0.078611", \ - "0.039098,0.040026,0.041738,0.045055,0.051407,0.063526,0.086650", \ - "0.053494,0.054589,0.056634,0.060440,0.067169,0.079512,0.102721", \ - "0.071684,0.073108,0.075558,0.080296,0.088214,0.101728,0.125398"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.098984,0.104300,0.114108,0.133552,0.172243,0.248603,0.400399", \ - "0.098992,0.104303,0.114119,0.133593,0.172203,0.248597,0.400371", \ - "0.098994,0.104304,0.114129,0.133572,0.172194,0.248624,0.400384", \ - "0.099007,0.104316,0.114135,0.133559,0.172202,0.248640,0.400385", \ - "0.099512,0.104729,0.114415,0.133703,0.172163,0.248589,0.400384", \ - "0.108282,0.113061,0.121839,0.139565,0.175271,0.248938,0.400361", \ - "0.123017,0.128104,0.137439,0.155723,0.190802,0.258751,0.401829"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.032789,0.034164,0.036658,0.041515,0.050851,0.068593,0.102191", \ - "0.037978,0.039343,0.041819,0.046647,0.055940,0.073631,0.107189", \ - "0.054040,0.055334,0.057668,0.062298,0.071386,0.088923,0.122402", \ - "0.079711,0.081303,0.084125,0.089527,0.099604,0.117930,0.151227", \ - "0.108307,0.110337,0.113867,0.120679,0.133271,0.155490,0.193780", \ - "0.135564,0.138232,0.142822,0.151514,0.167845,0.195774,0.242021", \ - "0.158140,0.161579,0.167525,0.178579,0.199415,0.234925,0.292021"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.246903,0.252961,0.264120,0.286295,0.330296,0.417613,0.591120", \ - "0.250811,0.256912,0.268101,0.290428,0.334572,0.422040,0.595719", \ - "0.268399,0.274507,0.285721,0.308109,0.352357,0.440100,0.614114", \ - "0.300602,0.306706,0.317700,0.339904,0.384113,0.471840,0.645988", \ - "0.345138,0.351218,0.362308,0.384041,0.428118,0.515715,0.689711", \ - "0.400879,0.407243,0.418798,0.440997,0.485128,0.572584,0.746404", \ - "0.460255,0.467257,0.480029,0.504927,0.552850,0.643842,0.817743"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.027876,0.028932,0.030862,0.034655,0.042045,0.056228,0.083390", \ - "0.027542,0.028610,0.030548,0.034369,0.041803,0.056048,0.083287", \ - "0.026928,0.027952,0.029827,0.033570,0.041030,0.055616,0.083102", \ - "0.033143,0.034025,0.035642,0.038820,0.045015,0.057273,0.082981", \ - "0.044636,0.045487,0.047102,0.050208,0.056280,0.068182,0.091011", \ - "0.061471,0.062367,0.064099,0.067410,0.073460,0.085080,0.107614", \ - "0.082618,0.083687,0.085651,0.089597,0.096325,0.108531,0.130993"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.113110,0.118494,0.128467,0.148137,0.187010,0.263958,0.416289", \ - "0.113112,0.118501,0.128450,0.148128,0.187043,0.263954,0.416289", \ - "0.113121,0.118505,0.128454,0.148129,0.187006,0.263960,0.416280", \ - "0.113114,0.118502,0.128466,0.148123,0.187026,0.263971,0.416289", \ - "0.113288,0.118639,0.128550,0.148164,0.186990,0.263947,0.416284", \ - "0.119487,0.124415,0.133553,0.151814,0.188671,0.264055,0.416287", \ - "0.134465,0.139571,0.148952,0.167270,0.202307,0.271592,0.417001"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026162,0.027539,0.030051,0.034970,0.044477,0.062615,0.096919", \ - "0.031462,0.032827,0.035319,0.040203,0.049662,0.067742,0.101988", \ - "0.047873,0.049238,0.051683,0.056375,0.065490,0.083267,0.117325", \ - "0.072369,0.074111,0.077146,0.082889,0.093476,0.112497,0.146401", \ - "0.099266,0.101529,0.105456,0.112876,0.126286,0.149477,0.188809", \ - "0.124534,0.127451,0.132529,0.142017,0.159534,0.188900,0.236552", \ - "0.144832,0.148608,0.155088,0.167127,0.189416,0.226789,0.285810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.203795,0.209543,0.220087,0.241032,0.282466,0.364716,0.528343", \ - "0.207632,0.213396,0.224012,0.245105,0.286699,0.369179,0.532996", \ - "0.225253,0.231034,0.241681,0.262870,0.304558,0.387289,0.551483", \ - "0.258686,0.264451,0.274913,0.295812,0.337428,0.420148,0.584404", \ - "0.306168,0.311939,0.322501,0.343001,0.384521,0.467035,0.631205", \ - "0.362561,0.368890,0.380407,0.402528,0.445062,0.527658,0.691625", \ - "0.420677,0.427775,0.440658,0.465662,0.512892,0.601541,0.766881"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.020883,0.022072,0.024234,0.028417,0.036351,0.051189,0.079083", \ - "0.020639,0.021841,0.023987,0.028173,0.036126,0.050994,0.078942", \ - "0.021593,0.022561,0.024378,0.028078,0.035539,0.050399,0.078614", \ - "0.029004,0.029866,0.031463,0.034637,0.040892,0.052989,0.078505", \ - "0.040389,0.041258,0.042905,0.046075,0.052203,0.064078,0.087111", \ - "0.055857,0.056920,0.058867,0.062514,0.068929,0.080844,0.103580", \ - "0.074892,0.076214,0.078585,0.083150,0.090724,0.103715,0.126711"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.087537,0.092643,0.102124,0.120865,0.158137,0.232238,0.379273", \ - "0.087580,0.092680,0.102146,0.120881,0.158158,0.232251,0.379247", \ - "0.087628,0.092720,0.102182,0.120921,0.158156,0.232199,0.379272", \ - "0.087659,0.092749,0.102208,0.120924,0.158166,0.232235,0.379261", \ - "0.088654,0.093618,0.102882,0.121318,0.158238,0.232239,0.379271", \ - "0.098145,0.102818,0.111354,0.128309,0.162361,0.232982,0.379268", \ - "0.112433,0.117419,0.126600,0.144391,0.178605,0.244273,0.381504"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.025603,0.026948,0.029406,0.034223,0.043566,0.061458,0.095462", \ - "0.030935,0.032270,0.034704,0.039483,0.048768,0.066581,0.100508", \ - "0.047208,0.048552,0.050965,0.055595,0.064552,0.082067,0.115799", \ - "0.071070,0.072803,0.075841,0.081585,0.092144,0.111098,0.144824", \ - "0.096952,0.099238,0.103196,0.110679,0.124253,0.147558,0.186931", \ - "0.120686,0.123682,0.128857,0.138592,0.156401,0.186134,0.234106", \ - "0.139066,0.142933,0.149647,0.161996,0.184832,0.222878,0.282582"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.235927,0.242894,0.255713,0.281299,0.331926,0.432385,0.632493", \ - "0.239340,0.246369,0.259280,0.285027,0.335880,0.436584,0.636939", \ - "0.256491,0.263531,0.276461,0.302208,0.353307,0.454322,0.655120", \ - "0.288586,0.295593,0.308302,0.333880,0.384766,0.485821,0.686702", \ - "0.332710,0.339714,0.352533,0.377583,0.428339,0.529156,0.729915", \ - "0.385835,0.393266,0.406831,0.432772,0.483694,0.584404,0.784906", \ - "0.440975,0.449098,0.463885,0.492678,0.547770,0.652383,0.852865"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.020028,0.021189,0.023300,0.027397,0.035206,0.049929,0.077756", \ - "0.019798,0.020961,0.023052,0.027150,0.034961,0.049709,0.077584", \ - "0.020812,0.021742,0.023496,0.027093,0.034409,0.049107,0.077240", \ - "0.028173,0.029040,0.030615,0.033776,0.039960,0.051972,0.077283", \ - "0.039704,0.040613,0.042240,0.045420,0.051470,0.063251,0.086180", \ - "0.055384,0.056469,0.058387,0.062062,0.068464,0.080291,0.102851", \ - "0.074673,0.076047,0.078393,0.082979,0.090548,0.103475,0.126302"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.106286,0.112516,0.124016,0.146987,0.192293,0.282081,0.460469", \ - "0.106303,0.112528,0.124026,0.146989,0.192310,0.282079,0.460471", \ - "0.106324,0.112548,0.124040,0.146960,0.192318,0.282097,0.460471", \ - "0.106344,0.112565,0.124049,0.147006,0.192252,0.282104,0.460477", \ - "0.106801,0.112944,0.124325,0.147084,0.192304,0.282068,0.460471", \ - "0.114153,0.119860,0.130396,0.151521,0.194238,0.282184,0.460462", \ - "0.127411,0.133418,0.144432,0.166107,0.207534,0.289294,0.460932"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026147,0.027494,0.029948,0.034758,0.044099,0.061990,0.096016", \ - "0.031476,0.032808,0.035239,0.040013,0.049294,0.067111,0.101059", \ - "0.047767,0.049105,0.051498,0.056101,0.065058,0.082592,0.116349", \ - "0.071838,0.073542,0.076545,0.082225,0.092743,0.111646,0.145369", \ - "0.098028,0.100273,0.104182,0.111607,0.125045,0.148237,0.187550", \ - "0.122205,0.125193,0.130254,0.139867,0.157525,0.187078,0.234883", \ - "0.141163,0.144964,0.151589,0.163792,0.186373,0.224158,0.283614"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.269099,0.276092,0.288948,0.314599,0.365410,0.466158,0.666556", \ - "0.272775,0.279800,0.292717,0.318451,0.369468,0.470417,0.671015", \ - "0.290055,0.297115,0.310056,0.335863,0.387004,0.488275,0.689260", \ - "0.322031,0.329066,0.341767,0.367418,0.418523,0.519757,0.720853", \ - "0.366087,0.373113,0.385928,0.411092,0.462036,0.563080,0.764083", \ - "0.420876,0.428050,0.441122,0.466615,0.517411,0.618318,0.819037", \ - "0.479376,0.487217,0.501522,0.529575,0.583493,0.686406,0.886971"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023682,0.024887,0.027061,0.031282,0.039312,0.054295,0.082216", \ - "0.023391,0.024592,0.026768,0.030990,0.039030,0.054056,0.082044", \ - "0.024056,0.025062,0.026936,0.030743,0.038375,0.053428,0.081695", \ - "0.032381,0.033225,0.034770,0.037834,0.044004,0.056120,0.081699", \ - "0.045862,0.046604,0.048043,0.050897,0.056586,0.068044,0.090610", \ - "0.064338,0.065116,0.066632,0.069606,0.075107,0.086034,0.107825", \ - "0.086815,0.087756,0.089500,0.093020,0.099167,0.110519,0.131995"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.122809,0.129139,0.140848,0.164127,0.209848,0.300264,0.479365", \ - "0.122815,0.129144,0.140850,0.164137,0.209908,0.300263,0.479362", \ - "0.122820,0.129152,0.140850,0.164105,0.209824,0.300254,0.479358", \ - "0.122830,0.129157,0.140852,0.164085,0.209878,0.300251,0.479361", \ - "0.122990,0.129291,0.140947,0.164118,0.209884,0.300256,0.479368", \ - "0.127859,0.133761,0.144730,0.166641,0.210674,0.300275,0.479349", \ - "0.141274,0.147316,0.158418,0.180262,0.221644,0.305360,0.479536"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026710,0.028085,0.030594,0.035505,0.045008,0.063145,0.097467", \ - "0.032005,0.033369,0.035858,0.040737,0.050189,0.068272,0.102535", \ - "0.048431,0.049791,0.052218,0.056880,0.066005,0.083792,0.117872", \ - "0.073139,0.074832,0.077834,0.083525,0.094070,0.113043,0.146939", \ - "0.100364,0.102571,0.106412,0.113755,0.127071,0.150157,0.189424", \ - "0.126011,0.128903,0.133863,0.143262,0.160628,0.189810,0.237315", \ - "0.146856,0.150584,0.156982,0.168875,0.190919,0.228040,0.286823"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.233608,0.239351,0.249943,0.271008,0.312625,0.395242,0.559273", \ - "0.237656,0.243444,0.254060,0.275228,0.317028,0.399747,0.563968", \ - "0.255396,0.261200,0.271842,0.293084,0.335007,0.417964,0.582465", \ - "0.288713,0.294504,0.304937,0.325962,0.367802,0.450800,0.615429", \ - "0.336199,0.341997,0.352547,0.373134,0.414863,0.497727,0.662208", \ - "0.395111,0.401220,0.412350,0.433662,0.475580,0.558295,0.722602", \ - "0.457222,0.463983,0.476286,0.500362,0.546325,0.633189,0.797828"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.024987,0.026212,0.028424,0.032698,0.040817,0.055951,0.084089", \ - "0.024675,0.025905,0.028125,0.032420,0.040560,0.055743,0.083947", \ - "0.025240,0.026278,0.028202,0.032099,0.039859,0.055114,0.083611", \ - "0.033569,0.034400,0.035938,0.039028,0.045226,0.057499,0.083459", \ - "0.046967,0.047704,0.049153,0.051980,0.057726,0.069298,0.092070", \ - "0.065548,0.066301,0.067785,0.070698,0.076191,0.087212,0.109212", \ - "0.088237,0.089123,0.090815,0.094242,0.100291,0.111623,0.133196"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.102096,0.107317,0.117014,0.136146,0.173855,0.248576,0.396450", \ - "0.102105,0.107332,0.117031,0.136158,0.173922,0.248575,0.396451", \ - "0.102122,0.107348,0.117041,0.136169,0.173929,0.248607,0.396442", \ - "0.102142,0.107357,0.117044,0.136181,0.173872,0.248591,0.396419", \ - "0.102556,0.107722,0.117335,0.136357,0.173931,0.248660,0.396434", \ - "0.109555,0.114338,0.123171,0.140748,0.176223,0.248907,0.396441", \ - "0.124168,0.129207,0.138462,0.156484,0.190766,0.257624,0.397740"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026137,0.027484,0.029938,0.034749,0.044089,0.061980,0.096007", \ - "0.031467,0.032799,0.035229,0.040005,0.049284,0.067103,0.101052", \ - "0.047758,0.049096,0.051489,0.056091,0.065049,0.082584,0.116341", \ - "0.071827,0.073520,0.076534,0.082215,0.092734,0.111638,0.145362", \ - "0.098015,0.100261,0.104170,0.111596,0.125035,0.148227,0.187543", \ - "0.122190,0.125179,0.130234,0.139854,0.157514,0.187068,0.234876", \ - "0.141147,0.144948,0.151579,0.163779,0.186361,0.224148,0.283606"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.272630,0.279643,0.292516,0.318179,0.369066,0.469960,0.670529", \ - "0.276331,0.283377,0.296314,0.322053,0.373135,0.474225,0.674992", \ - "0.293623,0.300681,0.313650,0.339504,0.390695,0.492091,0.693237", \ - "0.325589,0.332630,0.345349,0.371039,0.422245,0.523576,0.724834", \ - "0.369629,0.376655,0.389514,0.414714,0.465736,0.566882,0.768053", \ - "0.424503,0.431656,0.444727,0.470224,0.521057,0.622093,0.822990", \ - "0.483340,0.491179,0.505464,0.533440,0.587318,0.690179,0.890900"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023753,0.024962,0.027139,0.031378,0.039443,0.054545,0.082691", \ - "0.023460,0.024664,0.026849,0.031083,0.039161,0.054303,0.082518", \ - "0.024113,0.025126,0.027008,0.030825,0.038497,0.053668,0.082166", \ - "0.032500,0.033330,0.034880,0.037949,0.044140,0.056344,0.082164", \ - "0.046160,0.046891,0.048321,0.051160,0.056862,0.068395,0.091097", \ - "0.065081,0.065833,0.067305,0.070213,0.075669,0.086602,0.108448", \ - "0.088177,0.089069,0.090738,0.094151,0.100152,0.111377,0.132776"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.124611,0.130961,0.142699,0.166041,0.211826,0.302403,0.481715", \ - "0.124619,0.130964,0.142700,0.166038,0.211833,0.302403,0.481714", \ - "0.124627,0.130971,0.142709,0.165999,0.211826,0.302392,0.481708", \ - "0.124634,0.130975,0.142702,0.166044,0.211886,0.302403,0.481715", \ - "0.124779,0.131096,0.142789,0.166057,0.211885,0.302389,0.481707", \ - "0.129404,0.135333,0.146358,0.168394,0.212582,0.302414,0.481703", \ - "0.142806,0.148857,0.159967,0.181823,0.223261,0.307309,0.481857"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026684,0.028028,0.030477,0.035285,0.044617,0.062514,0.096558", \ - "0.032007,0.033339,0.035768,0.040536,0.049810,0.067632,0.101605", \ - "0.048313,0.049639,0.052016,0.056597,0.065564,0.083107,0.116891", \ - "0.072567,0.074252,0.077213,0.082858,0.093326,0.112187,0.145905", \ - "0.099087,0.101296,0.105144,0.112474,0.125803,0.148899,0.188155", \ - "0.123712,0.126653,0.131632,0.141107,0.158606,0.187984,0.235645", \ - "0.143253,0.147004,0.153592,0.165548,0.187923,0.225422,0.284618"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.306123,0.313145,0.326032,0.351749,0.402709,0.503859,0.704653", \ - "0.310003,0.317049,0.329981,0.355763,0.406881,0.508187,0.709130", \ - "0.327423,0.334502,0.347489,0.373262,0.424561,0.526105,0.727427", \ - "0.359310,0.366368,0.379130,0.404788,0.456023,0.557595,0.759046", \ - "0.403270,0.410322,0.423151,0.448404,0.499505,0.600886,0.802256", \ - "0.458477,0.465546,0.478494,0.503908,0.554913,0.656079,0.857174", \ - "0.520554,0.528181,0.542106,0.569477,0.622453,0.724130,0.925021"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.030423,0.031508,0.033497,0.037424,0.045067,0.059654,0.087322", \ - "0.030048,0.031145,0.033153,0.037093,0.044761,0.059403,0.087147", \ - "0.030316,0.031270,0.033052,0.036677,0.044030,0.058754,0.086793", \ - "0.039284,0.039964,0.041233,0.043924,0.049550,0.061298,0.086763", \ - "0.054030,0.054584,0.055712,0.058050,0.063014,0.073684,0.095649", \ - "0.074250,0.074792,0.075915,0.078216,0.082753,0.092594,0.113471", \ - "0.099285,0.099891,0.101124,0.103770,0.108571,0.118352,0.138426"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.141462,0.147890,0.159791,0.183413,0.229655,0.320676,0.500666", \ - "0.141463,0.147892,0.159792,0.183394,0.229684,0.320686,0.500668", \ - "0.141469,0.147892,0.159784,0.183395,0.229661,0.320687,0.500667", \ - "0.141468,0.147895,0.159786,0.183426,0.229653,0.320722,0.500664", \ - "0.141522,0.147937,0.159813,0.183400,0.229511,0.320679,0.500667", \ - "0.144176,0.150304,0.161694,0.184456,0.229942,0.320685,0.500667", \ - "0.157057,0.163118,0.174297,0.195851,0.238041,0.323985,0.500716"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.553365,0.544290,0.535462,0.507274,0.480587,0.460338,0.454508", \ - "0.506120,0.500684,0.493874,0.489588,0.470848,0.471097,0.476148", \ - "0.433642,0.430148,0.436048,0.433612,0.447314,0.464690,0.486269", \ - "0.548115,0.537623,0.531393,0.517943,0.486932,0.475328,0.498330", \ - "0.794300,0.778512,0.756549,0.737072,0.684742,0.626864,0.558049", \ - "1.197468,1.181359,1.154968,1.107805,1.032737,0.916124,0.780858", \ - "1.774218,1.759043,1.720799,1.664834,1.543492,1.375733,1.155324"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.466792,4.477652,4.487092,4.502758,4.548570,4.572729,4.590124", \ - "4.319378,4.334489,4.357558,4.405274,4.410894,4.522202,4.562094", \ - "4.202519,4.212774,4.223684,4.249228,4.293295,4.349225,4.475457", \ - "4.310700,4.313011,4.311217,4.317591,4.300951,4.360356,4.421038", \ - "4.632506,4.625759,4.605334,4.585476,4.524486,4.506200,4.489084", \ - "4.975481,4.988814,5.000271,5.049857,4.953040,4.865554,4.741222", \ - "5.582196,5.576115,5.573567,5.537248,5.535622,5.459886,5.196940"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.622483,0.613552,0.605449,0.577586,0.547318,0.500061,0.466143", \ - "0.579046,0.573314,0.567264,0.552219,0.537019,0.507787,0.486768", \ - "0.501434,0.495953,0.500744,0.496516,0.498751,0.500189,0.505968", \ - "0.594455,0.591900,0.577472,0.566675,0.535935,0.521350,0.531156", \ - "0.813457,0.799178,0.786231,0.765714,0.720912,0.665390,0.603747", \ - "1.173666,1.160503,1.139300,1.099511,1.039179,0.942918,0.815979", \ - "1.690285,1.671307,1.644391,1.593192,1.501983,1.358906,1.163746"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.358318,4.370598,4.394823,4.416580,4.440586,4.465664,4.484488", \ - "4.210800,4.227181,4.258694,4.294027,4.366902,4.417387,4.458847", \ - "4.096954,4.107961,4.122015,4.148650,4.206285,4.263708,4.377760", \ - "4.200711,4.204342,4.199914,4.221801,4.226701,4.258403,4.286664", \ - "4.500760,4.494776,4.489085,4.459436,4.453616,4.388161,4.374917", \ - "4.911865,4.933628,4.953639,4.892385,4.821626,4.748216,4.633009", \ - "5.428048,5.428784,5.428056,5.438421,5.422927,5.246164,5.056153"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.588550,0.587115,0.576674,0.557636,0.530135,0.491341,0.457626", \ - "0.547038,0.544225,0.539568,0.529328,0.519822,0.495299,0.484513", \ - "0.472936,0.480255,0.485123,0.486758,0.495506,0.498818,0.505444", \ - "0.564080,0.559588,0.553092,0.535951,0.514261,0.512966,0.528617", \ - "0.794617,0.786091,0.774913,0.751788,0.706301,0.650163,0.599028", \ - "1.174536,1.159039,1.138742,1.098551,1.025566,0.928092,0.808020", \ - "1.716586,1.701310,1.665772,1.605789,1.503918,1.350688,1.161580"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.578746,5.591601,5.606631,5.628494,5.635145,5.722422,5.762969", \ - "5.458990,5.474678,5.497635,5.528410,5.581061,5.681245,5.763067", \ - "5.326961,5.339100,5.351092,5.393981,5.445358,5.532730,5.612425", \ - "5.387838,5.396474,5.401277,5.421397,5.421494,5.509728,5.570838", \ - "5.648407,5.649169,5.647515,5.646433,5.635652,5.637538,5.651616", \ - "6.098875,6.091803,6.075124,6.059386,5.974908,5.938743,5.902491", \ - "6.562435,6.573475,6.586126,6.610309,6.582234,6.465322,6.318735"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.580261,0.568848,0.557694,0.537138,0.504460,0.470201,0.455620", \ - "0.539949,0.537992,0.528052,0.516606,0.504452,0.488584,0.486877", \ - "0.472831,0.473837,0.476490,0.484654,0.492068,0.501706,0.515424", \ - "0.584876,0.582839,0.566250,0.559238,0.529666,0.518735,0.537689", \ - "0.810902,0.795728,0.781461,0.763744,0.720457,0.662083,0.599868", \ - "1.176939,1.162874,1.133705,1.101291,1.035159,0.932752,0.810024", \ - "1.700979,1.681018,1.651734,1.596513,1.502300,1.354119,1.156746"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.515369,4.518563,4.535480,4.553862,4.544217,4.568712,4.594166", \ - "4.359416,4.375445,4.400030,4.432615,4.472417,4.522126,4.582690", \ - "4.236226,4.247191,4.263874,4.287984,4.313877,4.371363,4.442376", \ - "4.345006,4.341002,4.341337,4.337716,4.340336,4.356725,4.404129", \ - "4.630944,4.626105,4.617886,4.594090,4.559210,4.494291,4.491502", \ - "5.023227,5.048193,5.075041,5.009742,4.915584,4.851147,4.744213", \ - "5.526637,5.521882,5.526527,5.545821,5.534299,5.386633,5.162021"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.630944,0.621451,0.610864,0.585782,0.551127,0.504315,0.468405", \ - "0.594716,0.589105,0.583807,0.568345,0.547923,0.522348,0.495660", \ - "0.525221,0.524286,0.529787,0.535061,0.528856,0.529711,0.531811", \ - "0.623982,0.622443,0.613411,0.598868,0.568682,0.554730,0.563889", \ - "0.828000,0.819870,0.808870,0.791962,0.746132,0.694198,0.631593", \ - "1.161402,1.149537,1.128264,1.095536,1.042998,0.955709,0.839785", \ - "1.634422,1.616870,1.590875,1.551156,1.471034,1.344519,1.164880"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("4.443032,4.448590,4.466563,4.486367,4.506416,4.527241,4.541791", \ - "4.288350,4.305043,4.326914,4.350088,4.398379,4.462685,4.519298", \ - "4.166739,4.178052,4.188242,4.213657,4.249929,4.302048,4.402513", \ - "4.267190,4.265544,4.266547,4.264303,4.273849,4.291865,4.327790", \ - "4.545648,4.535917,4.522969,4.491416,4.458452,4.436312,4.380117", \ - "4.992350,4.976205,4.952549,4.916072,4.846895,4.746365,4.639417", \ - "5.437616,5.440104,5.454148,5.488140,5.392699,5.220276,5.058422"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.597529,0.596231,0.585658,0.566016,0.538146,0.493176,0.464627", \ - "0.563513,0.560667,0.558925,0.553448,0.533924,0.508553,0.495702", \ - "0.503227,0.511113,0.515357,0.520233,0.517294,0.526562,0.530953", \ - "0.593436,0.590931,0.585053,0.571727,0.551345,0.551063,0.565327", \ - "0.809821,0.802303,0.786620,0.773899,0.732673,0.679997,0.631837", \ - "1.161433,1.147454,1.130149,1.095349,1.029608,0.946438,0.830999", \ - "1.659236,1.645126,1.616782,1.564038,1.473934,1.334584,1.159548"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.658678,5.671272,5.687886,5.701015,5.726086,5.761847,5.800959", \ - "5.546306,5.561927,5.585844,5.608364,5.668362,5.722858,5.775051", \ - "5.408057,5.414533,5.437641,5.458037,5.485595,5.588325,5.662716", \ - "5.463304,5.465960,5.465669,5.485433,5.494338,5.531544,5.629196", \ - "5.700520,5.697025,5.699744,5.682917,5.660364,5.662200,5.674628", \ - "6.109792,6.106210,6.094112,6.065086,6.031879,5.961377,5.884454", \ - "6.603161,6.618921,6.649495,6.636392,6.554025,6.421623,6.297470"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.543469,0.540888,0.527723,0.513012,0.490326,0.462371,0.449073", \ - "0.507027,0.504730,0.506160,0.501516,0.488922,0.478910,0.485696", \ - "0.450530,0.458659,0.460019,0.463577,0.480527,0.497724,0.516593", \ - "0.553857,0.550794,0.542291,0.532165,0.507670,0.516733,0.532146", \ - "0.790624,0.781027,0.768027,0.746542,0.701145,0.645933,0.597572", \ - "1.174756,1.159534,1.136359,1.095754,1.020831,0.923068,0.802609", \ - "1.724680,1.708283,1.671496,1.608452,1.500275,1.345435,1.151206"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.716884,5.728724,5.743103,5.763364,5.786654,5.827319,5.873112", \ - "5.598098,5.612936,5.635490,5.664194,5.727464,5.787336,5.852381", \ - "5.458045,5.470800,5.492432,5.502893,5.572726,5.642178,5.728745", \ - "5.521246,5.526719,5.525543,5.528072,5.577197,5.619260,5.689550", \ - "5.777111,5.770339,5.766545,5.753458,5.738669,5.743244,5.725360", \ - "6.213896,6.209760,6.190372,6.152360,6.120778,6.058040,5.964804", \ - "6.662787,6.671220,6.691431,6.726207,6.702212,6.558455,6.373874"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.597529,0.596231,0.585658,0.566016,0.538146,0.493176,0.464627", \ - "0.563513,0.560667,0.558925,0.553448,0.533924,0.508553,0.495702", \ - "0.503227,0.511113,0.515357,0.520233,0.517294,0.526562,0.530953", \ - "0.593436,0.590931,0.585053,0.571727,0.551345,0.551063,0.565327", \ - "0.809821,0.802303,0.786620,0.773899,0.732673,0.679997,0.631837", \ - "1.161433,1.147454,1.130149,1.095349,1.029608,0.946438,0.830999", \ - "1.659236,1.645126,1.616782,1.564038,1.473934,1.334584,1.159548"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.658678,5.671272,5.687886,5.701015,5.726086,5.761847,5.800959", \ - "5.546306,5.561927,5.585844,5.608364,5.668362,5.722858,5.775051", \ - "5.408057,5.414533,5.437641,5.458037,5.485595,5.588325,5.662716", \ - "5.463304,5.465960,5.465669,5.485433,5.494338,5.531544,5.629196", \ - "5.700520,5.697025,5.699744,5.682917,5.660364,5.662200,5.674628", \ - "6.109792,6.106210,6.094112,6.065086,6.031879,5.961377,5.884454", \ - "6.603161,6.618921,6.649495,6.636392,6.554025,6.421623,6.297470"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.574292,0.571577,0.563226,0.545265,0.516908,0.489446,0.463907", \ - "0.541617,0.539820,0.541295,0.532519,0.517197,0.504422,0.492376", \ - "0.489150,0.495447,0.496192,0.503358,0.511389,0.520635,0.531714", \ - "0.564935,0.562001,0.559363,0.545833,0.535309,0.542599,0.559207", \ - "0.798829,0.788948,0.775895,0.755436,0.720964,0.672578,0.628106", \ - "1.156315,1.144147,1.117888,1.078238,1.021450,0.933640,0.822576", \ - "1.669047,1.652648,1.625045,1.558628,1.471633,1.334530,1.155285"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("6.882400,6.888877,6.907564,6.938381,6.948892,7.038800,7.092829", \ - "6.789454,6.804861,6.824621,6.861419,6.902170,6.964789,7.075236", \ - "6.647004,6.654677,6.674623,6.699431,6.762403,6.836873,6.966836", \ - "6.672176,6.676720,6.681583,6.707825,6.719606,6.814517,6.890842", \ - "6.878073,6.879049,6.885401,6.875545,6.869563,6.893762,6.930921", \ - "7.262784,7.257123,7.253134,7.240575,7.231684,7.182693,7.175566", \ - "7.804700,7.823841,7.816837,7.782659,7.727895,7.634708,7.540262"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.544154,0.534386,0.524504,0.508511,0.484226,0.461695,0.455583", \ - "0.504394,0.499280,0.488552,0.484578,0.476423,0.472478,0.481003", \ - "0.396559,0.402241,0.406775,0.418430,0.429383,0.459364,0.487065", \ - "0.405785,0.406120,0.406188,0.410658,0.405344,0.416012,0.456542", \ - "0.493135,0.493833,0.492388,0.489597,0.481432,0.477506,0.454946", \ - "0.709627,0.704078,0.694416,0.677419,0.648266,0.619829,0.572828", \ - "1.083602,1.070915,1.052057,1.015824,0.956609,0.878370,0.780991"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.683945,5.692885,5.704454,5.713018,5.753184,5.793824,5.827906", \ - "5.575718,5.587367,5.597192,5.628110,5.679136,5.736193,5.780838", \ - "5.473429,5.483888,5.504753,5.536385,5.588899,5.602934,5.726150", \ - "5.565885,5.572255,5.585638,5.608531,5.582827,5.662768,5.704807", \ - "5.853531,5.853210,5.848131,5.841224,5.830835,5.789010,5.805137", \ - "6.235626,6.254265,6.277157,6.297157,6.235613,6.149739,6.099642", \ - "6.765829,6.775666,6.782921,6.799617,6.821332,6.762203,6.573812"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.613817,0.604436,0.595685,0.576586,0.543407,0.498359,0.465447", \ - "0.576835,0.571054,0.561081,0.550287,0.532969,0.506832,0.488204", \ - "0.467386,0.470096,0.479491,0.478574,0.483773,0.496020,0.504344", \ - "0.467750,0.461596,0.468154,0.466286,0.457071,0.462571,0.489620", \ - "0.526816,0.528879,0.523147,0.532063,0.525014,0.519049,0.502009", \ - "0.715512,0.715566,0.705806,0.693746,0.676316,0.655853,0.617511", \ - "1.046368,1.042837,1.024069,0.996088,0.952184,0.891777,0.811427"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.603398,5.613934,5.632131,5.651649,5.660458,5.714238,5.749956", \ - "5.491901,5.505129,5.520785,5.547352,5.589848,5.659454,5.705500", \ - "5.392645,5.409300,5.424713,5.440415,5.506381,5.545781,5.656222", \ - "5.489286,5.487970,5.495615,5.512840,5.515357,5.555069,5.597649", \ - "5.753572,5.746554,5.753330,5.752528,5.753242,5.699752,5.701976", \ - "6.200587,6.186325,6.181732,6.158551,6.101850,6.063259,5.966951", \ - "6.661011,6.669317,6.686067,6.710634,6.690323,6.595513,6.445928"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.588428,0.581659,0.571475,0.553845,0.525138,0.487786,0.461941", \ - "0.546673,0.543927,0.538710,0.531723,0.515241,0.499978,0.480179", \ - "0.444689,0.453746,0.460543,0.465479,0.470853,0.486771,0.498136", \ - "0.434944,0.437447,0.439628,0.440255,0.436961,0.458795,0.488255", \ - "0.517588,0.514816,0.513278,0.519031,0.519530,0.508208,0.499003", \ - "0.714380,0.712609,0.700134,0.682020,0.670168,0.646155,0.608070", \ - "1.062248,1.056160,1.037178,1.003611,0.958563,0.887578,0.810253"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("6.811708,6.823539,6.834319,6.861204,6.877905,6.973930,7.031000", \ - "6.713190,6.726733,6.748474,6.768592,6.815592,6.871832,6.987884", \ - "6.611875,6.625138,6.645231,6.678938,6.748428,6.811609,6.940365", \ - "6.680253,6.690892,6.697288,6.722113,6.768711,6.816300,6.880979", \ - "6.917999,6.924645,6.928066,6.919537,6.930757,6.951490,6.980721", \ - "7.334649,7.333861,7.327001,7.333701,7.314243,7.267644,7.239038", \ - "7.836913,7.847061,7.874415,7.920750,7.848236,7.773585,7.659343"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.569974,0.559946,0.552135,0.531957,0.502291,0.464252,0.456473", \ - "0.537207,0.531552,0.522160,0.513625,0.499724,0.489871,0.488948", \ - "0.439377,0.445928,0.450817,0.461000,0.467924,0.492314,0.514545", \ - "0.450523,0.448642,0.453461,0.449787,0.451185,0.458882,0.496080", \ - "0.519375,0.521629,0.518065,0.524456,0.520623,0.521138,0.500977", \ - "0.715936,0.712372,0.705637,0.686681,0.672161,0.653471,0.614666", \ - "1.053402,1.042755,1.029941,1.003505,0.953550,0.890200,0.808181"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.749203,5.754679,5.766772,5.771624,5.800866,5.818379,5.859936", \ - "5.630277,5.642733,5.655370,5.668969,5.732248,5.764991,5.817951", \ - "5.532388,5.543699,5.560782,5.585653,5.607287,5.654588,5.772079", \ - "5.619494,5.618399,5.631369,5.634703,5.660410,5.664480,5.716023", \ - "5.874361,5.877268,5.871694,5.866090,5.846620,5.851010,5.819375", \ - "6.316679,6.305362,6.303045,6.263025,6.234197,6.163588,6.079475", \ - "6.762442,6.773031,6.793077,6.814816,6.807368,6.688142,6.500757"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.622635,0.613291,0.609150,0.584255,0.551513,0.505630,0.470104", \ - "0.592617,0.586680,0.579511,0.566291,0.544765,0.520782,0.500000", \ - "0.494515,0.503989,0.505930,0.512874,0.519944,0.523341,0.529568", \ - "0.497599,0.499202,0.499007,0.500007,0.490687,0.497266,0.522525", \ - "0.551056,0.554451,0.556572,0.562342,0.557298,0.558332,0.537698", \ - "0.725237,0.723443,0.721765,0.713187,0.695885,0.685041,0.651590", \ - "1.032501,1.023443,1.013083,0.988744,0.953427,0.904178,0.839847"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.690333,5.700833,5.711963,5.718493,5.737618,5.755154,5.826657", \ - "5.577883,5.591365,5.608125,5.621948,5.670049,5.703333,5.743804", \ - "5.480096,5.485069,5.501338,5.528256,5.554739,5.604050,5.700324", \ - "5.560500,5.561753,5.572094,5.575722,5.570800,5.618621,5.658749", \ - "5.810942,5.808121,5.807124,5.799667,5.757037,5.767403,5.769537", \ - "6.219072,6.216277,6.210152,6.181801,6.131029,6.084223,5.993444", \ - "6.709719,6.727398,6.752904,6.743403,6.672902,6.545929,6.416548"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.597629,0.590776,0.581052,0.563833,0.533449,0.494033,0.467273", \ - "0.565194,0.559967,0.556939,0.551745,0.534400,0.512641,0.495841", \ - "0.479746,0.482106,0.486758,0.494256,0.499577,0.513704,0.524250", \ - "0.465276,0.468770,0.468940,0.476093,0.472292,0.497509,0.521301", \ - "0.542392,0.540509,0.539973,0.546226,0.552532,0.543971,0.535568", \ - "0.729726,0.723444,0.717094,0.710630,0.689948,0.675209,0.645210", \ - "1.047412,1.040193,1.021059,0.998892,0.951658,0.899902,0.834200"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("6.908263,6.913122,6.930420,6.947925,6.986788,7.031734,7.081842", \ - "6.808922,6.816962,6.831018,6.862396,6.887938,6.981979,7.042110", \ - "6.709755,6.716137,6.737097,6.760466,6.819476,6.887736,6.959085", \ - "6.765225,6.768926,6.781912,6.786072,6.811711,6.857187,6.958783", \ - "6.981200,6.989194,6.986303,6.998971,6.977398,6.996191,7.022875", \ - "7.374639,7.369840,7.366471,7.366179,7.347691,7.296603,7.282396", \ - "7.916602,7.936908,7.926067,7.907121,7.849067,7.758029,7.657502"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.542685,0.535901,0.528370,0.511229,0.489741,0.462324,0.450808", \ - "0.507252,0.505290,0.507188,0.498838,0.486151,0.485827,0.485589", \ - "0.425018,0.428156,0.436666,0.445017,0.457730,0.484794,0.514107", \ - "0.419149,0.423090,0.427977,0.428293,0.430064,0.456580,0.499709", \ - "0.510953,0.510838,0.508410,0.510524,0.515030,0.505577,0.493871", \ - "0.714560,0.712209,0.699763,0.685503,0.670742,0.643264,0.608176", \ - "1.068339,1.059339,1.042052,1.006268,0.950854,0.885389,0.806865"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("6.946218,6.948689,6.967575,6.978330,7.028962,7.077574,7.148289", \ - "6.849138,6.853365,6.878285,6.909945,6.919988,7.027260,7.100011", \ - "6.740867,6.753658,6.777499,6.799284,6.855060,6.921040,7.006275", \ - "6.801225,6.811354,6.819080,6.842565,6.879513,6.926364,7.000135", \ - "7.033935,7.040835,7.047215,7.037731,7.034973,7.057926,7.103568", \ - "7.451171,7.452025,7.444835,7.443452,7.414757,7.356101,7.353087", \ - "7.937691,7.949833,7.980746,8.011718,7.934650,7.870019,7.776420"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.597629,0.590776,0.581052,0.563833,0.533449,0.494033,0.467273", \ - "0.565194,0.559967,0.556939,0.551745,0.534400,0.512641,0.495841", \ - "0.479746,0.482106,0.486758,0.494256,0.499577,0.513704,0.524250", \ - "0.465276,0.468770,0.468940,0.476093,0.472292,0.497509,0.521301", \ - "0.542392,0.540509,0.539973,0.546226,0.552532,0.543971,0.535568", \ - "0.729726,0.723444,0.717094,0.710630,0.689948,0.675209,0.645210", \ - "1.047412,1.040193,1.021059,0.998892,0.951658,0.899902,0.834200"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("6.908263,6.913122,6.930420,6.947925,6.986788,7.031734,7.081842", \ - "6.808922,6.816962,6.831018,6.862396,6.887938,6.981979,7.042110", \ - "6.709755,6.716137,6.737097,6.760466,6.819476,6.887736,6.959085", \ - "6.765225,6.768926,6.781912,6.786072,6.811711,6.857187,6.958783", \ - "6.981200,6.989194,6.986303,6.998971,6.977398,6.996191,7.022875", \ - "7.374639,7.369840,7.366471,7.366179,7.347691,7.296603,7.282396", \ - "7.916602,7.936908,7.926067,7.907121,7.849067,7.758029,7.657502"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.573671,0.567922,0.560701,0.545153,0.516446,0.490387,0.462620", \ - "0.542517,0.540524,0.541491,0.532062,0.517280,0.504240,0.493946", \ - "0.458975,0.467041,0.473790,0.482877,0.494005,0.509253,0.523172", \ - "0.441653,0.444591,0.446031,0.449783,0.462516,0.492953,0.522712", \ - "0.529988,0.528752,0.528795,0.530808,0.539254,0.533751,0.528178", \ - "0.724893,0.719638,0.709712,0.700180,0.685262,0.672448,0.636232", \ - "1.055862,1.046010,1.027860,0.999838,0.955897,0.896561,0.828792"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("8.122668,8.128806,8.150133,8.167068,8.211545,8.269717,8.381601", \ - "8.032295,8.046853,8.061818,8.090515,8.156365,8.223161,8.342929", \ - "7.935433,7.950138,7.971836,8.003963,8.058031,8.131612,8.262234", \ - "7.970431,7.983047,8.000526,8.023640,8.038140,8.139323,8.219182", \ - "8.171794,8.182056,8.191643,8.204792,8.189952,8.269033,8.320371", \ - "8.541381,8.540318,8.548927,8.554896,8.550922,8.521354,8.532996", \ - "9.091801,9.085269,9.079107,9.073191,9.035717,8.972958,8.944116"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.683028,1.688627,1.716593,1.737363,1.795885,1.870655,1.945845", \ - "1.675779,1.681590,1.706082,1.727710,1.780775,1.864197,1.939346", \ - "1.630020,1.641898,1.667900,1.687628,1.758452,1.834629,1.922337", \ - "1.732519,1.734117,1.732915,1.722597,1.760744,1.815988,1.913325", \ - "1.972307,1.972282,1.966421,1.944081,1.955746,1.926827,1.958658", \ - "2.338341,2.325716,2.325756,2.304275,2.270114,2.216556,2.148858", \ - "2.851987,2.842239,2.830196,2.800885,2.749650,2.657588,2.525928"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.137009,7.140391,7.147337,7.155853,7.189266,7.199232,7.195062", \ - "7.036894,7.047136,7.056702,7.090992,7.077616,7.161330,7.172734", \ - "6.892549,6.897399,6.912562,6.929373,6.941613,7.038893,7.073434", \ - "6.841651,6.846520,6.842405,6.836390,6.889244,6.919046,6.950531", \ - "6.843825,6.844945,6.849239,6.847839,6.858438,6.877021,6.895890", \ - "6.922654,6.924363,6.923926,6.893494,6.891037,6.887768,6.944521", \ - "6.964194,6.973198,7.002215,7.047814,7.099294,7.072120,7.025707"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.699288,1.703523,1.728727,1.738839,1.799080,1.866379,1.946300", \ - "1.699765,1.704429,1.724321,1.746287,1.794712,1.875891,1.950711", \ - "1.684751,1.689329,1.709890,1.732464,1.803715,1.872013,1.968969", \ - "1.787604,1.789815,1.788624,1.780874,1.817608,1.873260,1.966270", \ - "2.023470,2.016269,2.017288,2.011019,2.006353,1.987996,1.997862", \ - "2.340169,2.337001,2.331171,2.321816,2.295193,2.261343,2.191899", \ - "2.809528,2.809290,2.799067,2.775271,2.733127,2.654002,2.541325"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.076124,7.081813,7.092310,7.092439,7.117021,7.142377,7.138886", \ - "6.975470,6.984417,7.000271,7.006517,7.039368,7.063343,7.122004", \ - "6.833575,6.845773,6.857763,6.866052,6.878249,6.961288,7.043215", \ - "6.777314,6.783066,6.774297,6.791453,6.790164,6.863047,6.895316", \ - "6.770489,6.774527,6.769338,6.751089,6.773375,6.789895,6.856213", \ - "6.824727,6.826807,6.820907,6.827530,6.813956,6.812482,6.862581", \ - "6.945551,6.959784,6.996444,6.989849,6.966801,6.937333,6.903354"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.756131,1.761517,1.772362,1.779132,1.836402,1.891028,1.962874", \ - "1.757735,1.765954,1.775278,1.781049,1.833985,1.896438,1.967338", \ - "1.740996,1.745317,1.761061,1.783186,1.826289,1.900179,1.975795", \ - "1.802171,1.804414,1.801411,1.811086,1.832203,1.896612,1.982832", \ - "2.035228,2.037433,2.033752,2.021262,2.012698,1.982254,2.015752", \ - "2.370039,2.362254,2.349087,2.338072,2.307573,2.257101,2.193991", \ - "2.845046,2.833547,2.816608,2.793328,2.743407,2.661049,2.546015"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("8.292757,8.298851,8.303051,8.306638,8.320681,8.387150,8.400926", \ - "8.211906,8.220135,8.239138,8.262530,8.279631,8.358443,8.385959", \ - "8.069086,8.077006,8.088499,8.124789,8.171586,8.215661,8.310781", \ - "8.001046,8.007409,8.001687,8.024589,8.032891,8.117023,8.213516", \ - "7.980046,7.986040,7.995655,7.990728,8.009710,8.039476,8.124221", \ - "8.027802,8.030889,8.036931,8.035728,8.043423,8.059129,8.128999", \ - "8.194318,8.199153,8.201500,8.178575,8.186282,8.174426,8.167021"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.381454,1.392015,1.417598,1.480620,1.550922,1.660875,1.758617", \ - "1.372153,1.391282,1.407629,1.464933,1.549122,1.640970,1.743153", \ - "1.347110,1.354221,1.373492,1.431934,1.506413,1.596360,1.701260", \ - "1.500298,1.497476,1.485379,1.494084,1.517619,1.579531,1.689653", \ - "1.752586,1.750736,1.743088,1.743548,1.732255,1.713033,1.728967", \ - "2.128088,2.121783,2.105142,2.085825,2.058735,2.008886,1.934055", \ - "2.639164,2.633976,2.620574,2.593393,2.530872,2.438035,2.300113"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("6.615408,6.617267,6.622825,6.641303,6.663353,6.686132,6.659725", \ - "6.507867,6.521699,6.533511,6.548348,6.608647,6.649253,6.689524", \ - "6.368265,6.371554,6.395027,6.394189,6.464564,6.480891,6.594557", \ - "6.308139,6.318898,6.322239,6.314160,6.331330,6.373303,6.482982", \ - "6.313385,6.313953,6.314776,6.323380,6.328842,6.358747,6.406823", \ - "6.382349,6.384145,6.392199,6.393282,6.361886,6.373971,6.400955", \ - "6.402035,6.419153,6.454354,6.512674,6.530914,6.517763,6.506091"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.402643,1.411207,1.442010,1.474904,1.555319,1.660827,1.759437", \ - "1.400216,1.414931,1.432895,1.478851,1.547324,1.652719,1.750673", \ - "1.397190,1.403836,1.436414,1.466666,1.548533,1.633084,1.747671", \ - "1.549923,1.550745,1.556819,1.551856,1.574505,1.634682,1.742419", \ - "1.802431,1.801185,1.797838,1.794087,1.788323,1.766169,1.792160", \ - "2.131317,2.125287,2.125838,2.112981,2.083239,2.042686,1.977868", \ - "2.606049,2.594641,2.582692,2.562351,2.516938,2.438582,2.318387"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("6.538502,6.550152,6.562854,6.562263,6.569266,6.586217,6.597391", \ - "6.438665,6.446561,6.468623,6.477730,6.524033,6.554444,6.580923", \ - "6.293520,6.309427,6.322207,6.340793,6.363562,6.451230,6.501599", \ - "6.242814,6.246862,6.247481,6.258881,6.280165,6.317715,6.407004", \ - "6.234539,6.237624,6.239830,6.230615,6.239986,6.265611,6.342289", \ - "6.284244,6.285903,6.286624,6.288059,6.270488,6.281371,6.299772", \ - "6.382450,6.407467,6.443830,6.445140,6.422983,6.406121,6.395436"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.482919,1.503870,1.518174,1.545867,1.604316,1.669755,1.778139", \ - "1.480430,1.487458,1.516333,1.544802,1.594085,1.684967,1.776905", \ - "1.469720,1.475528,1.503016,1.536728,1.578172,1.665554,1.760011", \ - "1.576057,1.577521,1.571649,1.575094,1.610536,1.671996,1.760637", \ - "1.822397,1.823037,1.818788,1.808337,1.788164,1.772809,1.791972", \ - "2.165803,2.155289,2.144976,2.117931,2.095327,2.049068,1.978908", \ - "2.643233,2.634896,2.619348,2.582732,2.526702,2.444861,2.321956"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.758416,7.763291,7.771604,7.787711,7.830384,7.859577,7.891922", \ - "7.679749,7.686146,7.707947,7.718691,7.750748,7.831671,7.877554", \ - "7.531705,7.545525,7.561450,7.596939,7.639231,7.695465,7.802043", \ - "7.462575,7.474693,7.471817,7.498596,7.550410,7.600100,7.667398", \ - "7.449172,7.452759,7.458673,7.455918,7.504942,7.546982,7.601377", \ - "7.490320,7.489442,7.495505,7.504828,7.529715,7.559395,7.598853", \ - "7.641111,7.646619,7.646905,7.644906,7.634460,7.636377,7.651460"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.489550,1.497318,1.504394,1.555220,1.594662,1.687327,1.778921", \ - "1.463544,1.485759,1.494579,1.513565,1.598003,1.668466,1.762785", \ - "1.427391,1.433322,1.459891,1.490352,1.531066,1.622148,1.725396", \ - "1.520001,1.521695,1.516871,1.518668,1.548242,1.612164,1.704881", \ - "1.785926,1.781071,1.769538,1.762162,1.746403,1.719290,1.750723", \ - "2.155166,2.143872,2.130012,2.103943,2.075502,2.008928,1.939249", \ - "2.679762,2.671407,2.653087,2.607992,2.543517,2.446818,2.306354"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.934774,7.937405,7.948933,7.957466,7.994608,8.032175,8.074629", \ - "7.857889,7.871394,7.881812,7.912025,7.948634,7.999564,8.055715", \ - "7.708214,7.721360,7.746689,7.778057,7.824636,7.887120,7.964619", \ - "7.643701,7.646521,7.648154,7.682547,7.719040,7.776087,7.856030", \ - "7.634926,7.636774,7.651053,7.649362,7.663038,7.709730,7.829499", \ - "7.689359,7.698810,7.703034,7.724925,7.740133,7.770730,7.822645", \ - "7.758589,7.783267,7.821105,7.888809,7.851126,7.855217,7.871275"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.501399,1.507895,1.524789,1.552244,1.598141,1.687331,1.780709", \ - "1.497071,1.505530,1.523685,1.551829,1.599237,1.685219,1.766030", \ - "1.473354,1.492480,1.512298,1.535266,1.597655,1.666903,1.763098", \ - "1.574326,1.575965,1.578235,1.580878,1.606581,1.675708,1.758904", \ - "1.826183,1.819200,1.813403,1.810635,1.795371,1.772041,1.804136", \ - "2.165674,2.161123,2.152538,2.125227,2.099963,2.053432,1.982366", \ - "2.646686,2.632508,2.619453,2.584798,2.529235,2.447784,2.324686"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.882319,7.887486,7.901039,7.919921,7.956189,7.987788,8.021405", \ - "7.804374,7.814057,7.827658,7.852458,7.879552,7.960249,8.007200", \ - "7.657893,7.671871,7.694757,7.709809,7.767592,7.824213,7.932053", \ - "7.587833,7.600168,7.606832,7.629231,7.679000,7.729310,7.807352", \ - "7.573996,7.578397,7.585530,7.596759,7.633819,7.675979,7.731793", \ - "7.611241,7.618626,7.627245,7.627152,7.657839,7.688129,7.729253", \ - "7.769943,7.771570,7.774821,7.774188,7.760739,7.764626,7.781589"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.551012,1.556256,1.573950,1.598439,1.639435,1.692544,1.796001", \ - "1.548236,1.553650,1.564597,1.580428,1.640409,1.708456,1.784076", \ - "1.532314,1.537332,1.543724,1.563264,1.619124,1.690303,1.771453", \ - "1.590786,1.592032,1.587542,1.606920,1.637439,1.676136,1.774255", \ - "1.841554,1.836575,1.828079,1.817832,1.795324,1.777184,1.810496", \ - "2.182629,2.175160,2.166556,2.145197,2.107746,2.049340,1.984061", \ - "2.665157,2.656447,2.640395,2.600637,2.538802,2.450286,2.322566"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.096410,9.102557,9.115880,9.152770,9.178528,9.223131,9.318472", \ - "9.039046,9.046540,9.068500,9.097435,9.146705,9.200355,9.305981", \ - "8.895986,8.911002,8.926994,8.970081,9.002856,9.110477,9.192534", \ - "8.817104,8.824386,8.828198,8.855947,8.909974,8.974370,9.101449", \ - "8.792574,8.798281,8.809965,8.828831,8.863868,8.918393,9.034803", \ - "8.823225,8.827508,8.842112,8.847332,8.899929,8.929356,9.031178", \ - "8.971270,8.974415,8.982136,8.969874,8.976212,9.039121,9.086834"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.673303,1.694756,1.706387,1.734225,1.785934,1.859833,1.941357", \ - "1.675679,1.681558,1.704619,1.744042,1.786265,1.860292,1.944728", \ - "1.625079,1.647149,1.653932,1.696244,1.747123,1.825762,1.929724", \ - "1.667065,1.662283,1.669751,1.682556,1.700999,1.792574,1.887413", \ - "1.785585,1.785918,1.792497,1.803224,1.814098,1.831911,1.879222", \ - "1.985927,1.985783,1.985188,1.967304,1.989574,1.992072,1.984548", \ - "2.303439,2.300122,2.291588,2.279581,2.257909,2.238222,2.205141"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("8.218369,8.230736,8.238574,8.241984,8.239547,8.289383,8.325869", \ - "8.155272,8.158751,8.165644,8.190344,8.188422,8.210583,8.287771", \ - "8.066394,8.076359,8.079747,8.091986,8.105327,8.194800,8.221086", \ - "8.028055,8.031243,8.033561,8.050762,8.077584,8.107770,8.200646", \ - "8.027257,8.029588,8.041586,8.041774,8.055078,8.080238,8.169859", \ - "8.089358,8.091808,8.098038,8.092562,8.088404,8.155059,8.165136", \ - "8.140269,8.161928,8.188493,8.233409,8.281527,8.271525,8.249097"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.688376,1.708199,1.713136,1.748993,1.789441,1.861046,1.944104", \ - "1.698223,1.702983,1.718921,1.748930,1.800494,1.872404,1.956620", \ - "1.670903,1.692519,1.710167,1.747846,1.785155,1.861773,1.968267", \ - "1.720877,1.728246,1.729635,1.744477,1.767988,1.851333,1.940321", \ - "1.830628,1.833162,1.840052,1.841894,1.874687,1.888448,1.935973", \ - "2.013564,2.015055,2.018366,2.019132,2.028796,2.037968,2.037969", \ - "2.304829,2.302504,2.297549,2.288058,2.273669,2.263545,2.242721"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("8.172491,8.182650,8.183354,8.200547,8.223038,8.237109,8.248191", \ - "8.106672,8.112435,8.120769,8.131133,8.132309,8.198193,8.214734", \ - "8.026415,8.032419,8.040050,8.062326,8.070738,8.141998,8.168940", \ - "7.980423,7.985916,7.991401,7.987582,8.008617,8.079353,8.109341", \ - "7.974201,7.978919,7.982755,7.974289,7.998006,8.055503,8.094916", \ - "8.019384,8.023269,8.028870,8.026355,8.036739,8.047929,8.111483", \ - "8.166868,8.173344,8.180908,8.174482,8.177100,8.166162,8.155095"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.747729,1.763961,1.772607,1.789308,1.832123,1.889570,1.960568", \ - "1.757869,1.761859,1.774973,1.787743,1.826124,1.897128,1.971107", \ - "1.732719,1.749667,1.763680,1.783199,1.821160,1.889330,1.975271", \ - "1.747969,1.745524,1.752442,1.755685,1.788454,1.870935,1.954528", \ - "1.854823,1.857413,1.859257,1.870841,1.883248,1.894992,1.946279", \ - "2.040645,2.042657,2.036899,2.034452,2.044724,2.046312,2.043318", \ - "2.331304,2.330381,2.321979,2.303122,2.292948,2.273981,2.245237"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.385711,9.391461,9.397184,9.422965,9.453073,9.489412,9.512966", \ - "9.326039,9.332735,9.344432,9.360471,9.367207,9.444342,9.480451", \ - "9.250355,9.257154,9.270235,9.278142,9.306846,9.392645,9.435859", \ - "9.201406,9.209678,9.212544,9.215800,9.244714,9.329609,9.377217", \ - "9.185328,9.199652,9.202015,9.199045,9.236550,9.277530,9.363949", \ - "9.226406,9.231402,9.236893,9.234837,9.268197,9.296386,9.380292", \ - "9.380769,9.376231,9.385460,9.396086,9.403521,9.408482,9.470855"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.390731,1.400992,1.437132,1.464769,1.557656,1.650967,1.766426", \ - "1.371531,1.382078,1.422535,1.471139,1.551127,1.644404,1.746136", \ - "1.322095,1.350217,1.368389,1.421993,1.484526,1.602064,1.709006", \ - "1.407217,1.419583,1.422841,1.435948,1.454848,1.553300,1.660300", \ - "1.557840,1.557957,1.563570,1.580266,1.601159,1.615884,1.659814", \ - "1.774182,1.772862,1.771989,1.761770,1.778651,1.783110,1.774890", \ - "2.094468,2.096883,2.085208,2.073732,2.059230,2.030596,1.998316"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.699876,7.700350,7.715914,7.720192,7.714077,7.744050,7.806227", \ - "7.628199,7.638964,7.649291,7.668627,7.663732,7.701092,7.744110", \ - "7.541704,7.552806,7.566119,7.575546,7.584641,7.678845,7.733547", \ - "7.505591,7.511679,7.514909,7.528920,7.559375,7.620022,7.663985", \ - "7.497744,7.507571,7.511926,7.509205,7.527922,7.556543,7.611045", \ - "7.555088,7.556878,7.564739,7.585659,7.599318,7.624348,7.667855", \ - "7.595801,7.620333,7.656912,7.738538,7.753727,7.712042,7.722488"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.409671,1.418181,1.451006,1.481037,1.561905,1.651852,1.761363", \ - "1.404025,1.407808,1.428757,1.480866,1.565219,1.657691,1.757619", \ - "1.376277,1.397438,1.418082,1.468300,1.526415,1.637778,1.747374", \ - "1.460201,1.469282,1.483839,1.487835,1.512978,1.607353,1.715033", \ - "1.600634,1.612789,1.616566,1.628305,1.652114,1.670709,1.715518", \ - "1.800187,1.799413,1.801866,1.793492,1.815804,1.828450,1.826802", \ - "2.095043,2.098228,2.095405,2.083303,2.073496,2.055912,2.036849"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.642605,7.645764,7.655797,7.671044,7.695866,7.717444,7.745215", \ - "7.570398,7.581995,7.594228,7.604099,7.649039,7.678970,7.712321", \ - "7.490546,7.495477,7.513771,7.525510,7.544413,7.581632,7.666542", \ - "7.450011,7.454271,7.460867,7.466054,7.511086,7.524865,7.612289", \ - "7.435680,7.446409,7.457413,7.448494,7.492821,7.529067,7.571430", \ - "7.479388,7.482365,7.493924,7.508897,7.523190,7.549370,7.581336", \ - "7.615625,7.622919,7.629404,7.636978,7.626609,7.628948,7.639328"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.489000,1.495793,1.506181,1.534276,1.585773,1.677920,1.772163", \ - "1.479345,1.500851,1.513337,1.529236,1.588478,1.681778,1.776093", \ - "1.465985,1.472635,1.497405,1.519063,1.568192,1.667746,1.765776", \ - "1.502948,1.506805,1.511335,1.505331,1.560264,1.637551,1.734210", \ - "1.636895,1.639808,1.634847,1.640309,1.668964,1.679376,1.725807", \ - "1.826862,1.828012,1.828465,1.827137,1.832501,1.837912,1.831990", \ - "2.133517,2.130916,2.122895,2.110713,2.096458,2.066475,2.041372"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("8.852478,8.857053,8.874932,8.890702,8.915791,8.952595,9.000810", \ - "8.793573,8.799135,8.812720,8.830982,8.873192,8.915512,8.968829", \ - "8.710596,8.723722,8.738992,8.769451,8.811128,8.863181,8.924416", \ - "8.667720,8.673250,8.687243,8.693174,8.753614,8.804882,8.870449", \ - "8.651704,8.663888,8.672224,8.693205,8.721364,8.769613,8.830657", \ - "8.685170,8.696318,8.707821,8.721173,8.748347,8.789580,8.840184", \ - "8.825846,8.829742,8.841555,8.853907,8.842306,8.862400,8.937696"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.481885,1.503918,1.523821,1.541050,1.586482,1.674697,1.781583", \ - "1.478905,1.486509,1.507818,1.537451,1.599164,1.658373,1.761654", \ - "1.425834,1.432810,1.461212,1.485909,1.550268,1.618355,1.724528", \ - "1.445609,1.453006,1.457044,1.456746,1.501489,1.574017,1.685806", \ - "1.591042,1.592508,1.596095,1.602141,1.611781,1.622279,1.674510", \ - "1.804225,1.804327,1.801885,1.785984,1.787379,1.788309,1.781676", \ - "2.134360,2.130635,2.123193,2.092989,2.082925,2.046121,2.003839"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.016651,9.019703,9.042680,9.043528,9.089320,9.137008,9.199107", \ - "8.952264,8.964315,8.974244,9.001739,9.043081,9.095848,9.162123", \ - "8.870211,8.882751,8.907583,8.931101,8.968795,9.028314,9.103062", \ - "8.830347,8.837691,8.855111,8.867823,8.896296,8.954813,9.085637", \ - "8.820658,8.832366,8.842805,8.862128,8.902930,8.956275,9.032687", \ - "8.870800,8.881451,8.890575,8.916705,8.952874,9.002200,9.036952", \ - "8.967700,8.998209,9.037666,9.072858,9.078366,9.102465,9.141214"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.493428,1.513701,1.530814,1.556755,1.615541,1.676895,1.780729", \ - "1.498151,1.505020,1.524653,1.551214,1.612452,1.669871,1.772956", \ - "1.470057,1.480354,1.496416,1.531819,1.589620,1.654209,1.762616", \ - "1.508342,1.506176,1.512829,1.512068,1.559393,1.642453,1.742980", \ - "1.635481,1.646094,1.647206,1.656305,1.663197,1.678989,1.729955", \ - "1.831517,1.832577,1.832970,1.818534,1.836908,1.832997,1.828250", \ - "2.135550,2.132917,2.123732,2.113529,2.098389,2.071497,2.038166"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("8.975965,8.981256,8.994115,9.012054,9.042099,9.080303,9.171467", \ - "8.917869,8.923613,8.942139,8.972916,8.999636,9.043458,9.139547", \ - "8.835553,8.848893,8.861062,8.893671,8.938215,8.991041,9.053839", \ - "8.792493,8.798319,8.812447,8.820516,8.881166,8.933239,9.000581", \ - "8.776472,8.788880,8.801984,8.806333,8.849540,8.897898,9.001854", \ - "8.809679,8.821025,8.834495,8.840397,8.875097,8.918216,9.012273", \ - "8.950696,8.954645,8.967603,8.981927,8.969683,8.990239,9.066908"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.556209,1.561264,1.569439,1.595483,1.639218,1.702478,1.786150", \ - "1.553391,1.554279,1.569048,1.577581,1.646086,1.699615,1.790019", \ - "1.519504,1.535997,1.550439,1.554582,1.618637,1.675733,1.779760", \ - "1.524941,1.526264,1.528011,1.545672,1.586033,1.654961,1.743496", \ - "1.660614,1.663219,1.662039,1.666096,1.673037,1.686344,1.740559", \ - "1.852724,1.854158,1.855518,1.848237,1.841800,1.839341,1.836629", \ - "2.161675,2.154330,2.146981,2.129715,2.104687,2.080735,2.040788"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.189420,10.195550,10.211840,10.248120,10.264760,10.359130,10.429620", \ - "10.135520,10.142340,10.166890,10.195310,10.224160,10.323710,10.398100", \ - "10.064680,10.072020,10.091190,10.131900,10.167550,10.233040,10.356200", \ - "10.013380,10.020340,10.034420,10.064310,10.108410,10.175650,10.302570", \ - "10.003610,10.010230,10.027230,10.039640,10.077390,10.181420,10.263490", \ - "10.025860,10.038790,10.054380,10.077390,10.118150,10.200930,10.273310", \ - "10.157680,10.162200,10.175560,10.194870,10.227700,10.268580,10.326050"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.379052,2.414947,2.443597,2.515437,2.627838,2.828854,3.037337", \ - "2.348854,2.363854,2.415160,2.476413,2.591502,2.791587,2.997346", \ - "2.293583,2.327266,2.353761,2.418817,2.567272,2.740321,2.939614", \ - "2.421312,2.431674,2.447874,2.479920,2.584536,2.712199,2.921405", \ - "2.757092,2.767746,2.772182,2.787901,2.816662,2.836254,2.960406", \ - "3.163832,3.170829,3.172065,3.155545,3.180377,3.181680,3.183116", \ - "3.701471,3.703540,3.693999,3.690434,3.677016,3.642217,3.575634"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.405324,9.419211,9.427280,9.433238,9.468568,9.470578,9.504001", \ - "9.307466,9.314606,9.333151,9.366273,9.404357,9.423043,9.471418", \ - "9.165032,9.170620,9.190846,9.205413,9.252831,9.338318,9.411595", \ - "9.107234,9.120353,9.114181,9.104903,9.123817,9.202410,9.273338", \ - "9.086641,9.099329,9.087989,9.099113,9.086221,9.167580,9.216328", \ - "9.106840,9.111134,9.106187,9.086210,9.121701,9.126383,9.174286", \ - "9.055765,9.079532,9.112427,9.183953,9.148999,9.153290,9.226221"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.171684,2.211504,2.259593,2.316902,2.480725,2.679500,2.902849", \ - "2.139556,2.169167,2.219197,2.280968,2.427633,2.628415,2.857139", \ - "2.088230,2.124112,2.168596,2.223547,2.362113,2.570799,2.796243", \ - "2.269242,2.268075,2.272713,2.312268,2.413716,2.555839,2.753831", \ - "2.601516,2.611396,2.622649,2.628074,2.654856,2.697225,2.817221", \ - "3.011872,3.017605,3.018016,3.007707,3.030074,3.036029,3.029616", \ - "3.535311,3.536166,3.535016,3.522809,3.516461,3.469252,3.414142"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("8.923196,8.929568,8.940756,8.945232,8.965898,8.974850,8.970081", \ - "8.821324,8.830457,8.839487,8.852656,8.905431,8.930780,8.990430", \ - "8.679355,8.686856,8.705049,8.703963,8.761374,8.799004,8.880726", \ - "8.626362,8.632110,8.632261,8.623879,8.658813,8.686123,8.766947", \ - "8.608988,8.613538,8.610850,8.589940,8.618671,8.627305,8.695671", \ - "8.612621,8.617372,8.611555,8.604697,8.598303,8.655568,8.668097", \ - "8.621953,8.644012,8.674163,8.667260,8.660718,8.663545,8.660692"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.323396,2.355888,2.393677,2.453247,2.565914,2.739117,2.939277", \ - "2.288207,2.301541,2.338281,2.416591,2.512842,2.686717,2.894682", \ - "2.230113,2.259424,2.298902,2.327780,2.452030,2.614203,2.819591", \ - "2.332107,2.346223,2.365434,2.405322,2.470642,2.594085,2.790182", \ - "2.672768,2.672450,2.676775,2.676570,2.702299,2.722913,2.849859", \ - "3.079091,3.076479,3.075283,3.070242,3.052964,3.048423,3.043313", \ - "3.606987,3.600504,3.587513,3.574938,3.543495,3.504055,3.430933"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.140330,10.146420,10.155840,10.160790,10.196550,10.217310,10.280100", \ - "10.057800,10.068150,10.086310,10.111950,10.144440,10.178190,10.253510", \ - "9.913965,9.929849,9.939074,9.968341,10.006050,10.052520,10.147750", \ - "9.851144,9.857460,9.853090,9.858083,9.901786,9.938469,10.034320", \ - "9.821355,9.826545,9.833705,9.827751,9.847137,9.897642,9.964288", \ - "9.825501,9.830632,9.838937,9.832332,9.831847,9.903338,9.935371", \ - "9.881509,9.888996,9.891360,9.880443,9.894514,9.910272,9.976953"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.765248,1.809812,1.862755,1.965943,2.120759,2.356708,2.671782", \ - "1.744069,1.764495,1.834082,1.935056,2.085812,2.326983,2.628032", \ - "1.734233,1.772324,1.814250,1.893537,2.040091,2.291155,2.556842", \ - "1.980280,1.987554,2.000711,2.039527,2.125500,2.295796,2.551872", \ - "2.357432,2.366012,2.362188,2.391528,2.407221,2.469782,2.610404", \ - "2.795462,2.799572,2.789544,2.794473,2.811904,2.820693,2.836788", \ - "3.351879,3.340944,3.335898,3.336766,3.313305,3.286591,3.230455"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("8.848891,8.861119,8.868916,8.893744,8.899217,8.923170,8.940212", \ - "8.743619,8.759039,8.781200,8.797714,8.837443,8.877938,8.961919", \ - "8.606017,8.619870,8.628210,8.647241,8.687818,8.790907,8.847817", \ - "8.551309,8.562830,8.561830,8.559699,8.618963,8.663293,8.718938", \ - "8.537842,8.539489,8.540298,8.538109,8.540652,8.623623,8.667854", \ - "8.539361,8.551428,8.558656,8.560562,8.543493,8.619758,8.655971", \ - "8.491218,8.518340,8.568292,8.627115,8.620802,8.643593,8.660533"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.633239,1.675038,1.733757,1.829537,1.993895,2.263581,2.563313", \ - "1.581549,1.627158,1.673845,1.793358,1.962571,2.229500,2.508533", \ - "1.596221,1.634253,1.683433,1.778808,1.933406,2.161244,2.455606", \ - "1.866786,1.875330,1.889977,1.916234,2.013498,2.168690,2.414635", \ - "2.247666,2.255117,2.262783,2.278568,2.303731,2.341767,2.489695", \ - "2.671719,2.674875,2.676842,2.676255,2.689609,2.695138,2.709711", \ - "3.212416,3.210011,3.206687,3.205194,3.189091,3.156928,3.098678"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("8.361960,8.370203,8.372073,8.395994,8.418676,8.437083,8.450117", \ - "8.254247,8.269155,8.284297,8.317124,8.359409,8.395530,8.424163", \ - "8.116658,8.122384,8.143825,8.157094,8.180044,8.267967,8.318598", \ - "8.064947,8.069527,8.064763,8.083312,8.121026,8.159100,8.208699", \ - "8.044890,8.047732,8.053579,8.035319,8.075865,8.105402,8.142623", \ - "8.043687,8.053766,8.057302,8.040618,8.038698,8.059938,8.129047", \ - "8.056230,8.080819,8.113689,8.111814,8.083552,8.093655,8.109639"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.805880,1.844583,1.886743,1.980024,2.089580,2.322493,2.595999", \ - "1.778414,1.796028,1.855322,1.911649,2.087950,2.301263,2.540147", \ - "1.774348,1.787736,1.825864,1.901157,2.030804,2.231839,2.480704", \ - "1.946012,1.955647,1.966726,1.997016,2.096994,2.239729,2.457159", \ - "2.320896,2.327467,2.327556,2.333777,2.355734,2.387826,2.524704", \ - "2.748564,2.753330,2.751540,2.739879,2.734760,2.725675,2.725805", \ - "3.290130,3.289386,3.273310,3.266127,3.229956,3.183959,3.116823"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.575442,9.580346,9.590537,9.610685,9.642241,9.669833,9.702213", \ - "9.494226,9.508403,9.522650,9.537088,9.594132,9.633039,9.677533", \ - "9.354271,9.364588,9.381302,9.409369,9.457989,9.527431,9.618375", \ - "9.285497,9.297785,9.300787,9.325021,9.354201,9.401830,9.509203", \ - "9.260482,9.265095,9.269332,9.280024,9.304370,9.346240,9.402159", \ - "9.260005,9.263947,9.267290,9.278025,9.301897,9.339855,9.386943", \ - "9.314399,9.320735,9.327332,9.337776,9.347397,9.371916,9.410039"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.970003,1.986921,2.034683,2.106517,2.240442,2.445039,2.703232", \ - "1.924971,1.961141,1.990178,2.090132,2.200283,2.426767,2.668791", \ - "1.922158,1.932799,1.989769,2.044084,2.182718,2.373531,2.594290", \ - "2.077585,2.074168,2.100357,2.123415,2.222518,2.355158,2.588651", \ - "2.443663,2.452983,2.442801,2.452942,2.477468,2.495098,2.632558", \ - "2.880497,2.876750,2.865796,2.871516,2.861483,2.860545,2.855660", \ - "3.433546,3.425999,3.418225,3.405673,3.360171,3.321734,3.244954"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.182300,10.194580,10.199980,10.211730,10.277450,10.315840,10.353900", \ - "10.107090,10.112580,10.130790,10.164600,10.224130,10.276970,10.326530", \ - "9.958836,9.973100,9.998429,10.034080,10.081830,10.147580,10.267860", \ - "9.893076,9.905811,9.904771,9.935967,9.960780,10.065500,10.137700", \ - "9.866247,9.878140,9.879694,9.896438,9.925192,9.975409,10.089000", \ - "9.872937,9.875942,9.887592,9.891117,9.926794,9.969954,10.074390", \ - "9.891090,9.911437,9.952446,9.959384,9.959831,9.991461,10.081190"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.840953,1.855843,1.908223,1.995979,2.131598,2.348770,2.609155", \ - "1.789817,1.826956,1.858829,1.962090,2.087951,2.301657,2.538376", \ - "1.784017,1.816401,1.856366,1.927595,2.023970,2.237457,2.494216", \ - "1.962003,1.962609,1.979241,1.994743,2.104640,2.240809,2.459564", \ - "2.333508,2.337875,2.335055,2.348941,2.348303,2.382579,2.522768", \ - "2.762816,2.758453,2.748830,2.753538,2.744635,2.735458,2.732927", \ - "3.299046,3.298499,3.282283,3.271936,3.240269,3.190302,3.122311"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.699184,9.704295,9.717620,9.739135,9.768940,9.820296,9.831831", \ - "9.619954,9.633832,9.649929,9.667417,9.721329,9.761488,9.849187", \ - "9.482825,9.487173,9.510944,9.541321,9.585980,9.640326,9.748381", \ - "9.410651,9.423183,9.420492,9.433174,9.481489,9.531018,9.641955", \ - "9.385316,9.389926,9.404214,9.392813,9.432288,9.475452,9.574484", \ - "9.384938,9.389087,9.394892,9.396256,9.429146,9.469591,9.517557", \ - "9.440991,9.447215,9.451846,9.463253,9.473703,9.501037,9.540823"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.965497,1.997813,2.028469,2.070128,2.220721,2.411398,2.635504", \ - "1.934361,1.949097,1.985527,2.052736,2.182161,2.368551,2.578144", \ - "1.899995,1.927564,1.963991,2.010807,2.110275,2.292499,2.525752", \ - "2.023675,2.040792,2.048474,2.066688,2.157249,2.296166,2.493616", \ - "2.387832,2.386636,2.390044,2.386957,2.395109,2.416413,2.555904", \ - "2.810775,2.807217,2.797038,2.788699,2.768193,2.761765,2.747101", \ - "3.353564,3.344990,3.335908,3.307370,3.266986,3.203045,3.129767"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.912770,10.926140,10.944380,10.970710,10.988420,11.032910,11.128240", \ - "10.854970,10.868560,10.878980,10.911240,10.946480,11.040160,11.105320", \ - "10.716850,10.724750,10.745100,10.776520,10.818730,10.925360,11.007880", \ - "10.640480,10.647140,10.653100,10.683390,10.714140,10.816000,10.900690", \ - "10.604440,10.617480,10.625560,10.644960,10.663770,10.758650,10.835190", \ - "10.603140,10.612140,10.617290,10.633930,10.658480,10.744800,10.819570", \ - "10.652390,10.658200,10.667470,10.677820,10.700090,10.741260,10.842530"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.389505,2.404547,2.456255,2.512926,2.640977,2.825804,3.048337", \ - "2.347190,2.362427,2.408161,2.477182,2.587660,2.795278,3.001112", \ - "2.293517,2.328482,2.367530,2.417666,2.559865,2.733851,2.947071", \ - "2.367502,2.367995,2.373580,2.440341,2.542183,2.701984,2.905428", \ - "2.576623,2.585278,2.600347,2.610141,2.687553,2.757359,2.911193", \ - "2.836561,2.846266,2.857074,2.850761,2.898608,2.962364,3.026705", \ - "3.187710,3.195155,3.197934,3.204499,3.215397,3.244138,3.265336"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.500920,10.503070,10.518690,10.513750,10.518080,10.585590,10.639760", \ - "10.429360,10.443120,10.452170,10.460040,10.460690,10.531880,10.595580", \ - "10.341650,10.355820,10.365980,10.365410,10.411730,10.493980,10.494870", \ - "10.304970,10.318660,10.314280,10.320050,10.367750,10.391450,10.496140", \ - "10.286850,10.303010,10.306500,10.302830,10.341020,10.360660,10.424840", \ - "10.302190,10.306010,10.308540,10.312820,10.323870,10.353160,10.395960", \ - "10.285250,10.301200,10.346940,10.382020,10.352950,10.424580,10.471340"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.196083,2.224055,2.251777,2.344403,2.467887,2.677388,2.904708", \ - "2.151108,2.177880,2.205612,2.297555,2.447816,2.628462,2.853033", \ - "2.081266,2.118790,2.169025,2.223753,2.378709,2.565137,2.781954", \ - "2.186864,2.188186,2.210895,2.256449,2.343103,2.528598,2.748959", \ - "2.426050,2.432453,2.439637,2.474442,2.526887,2.591265,2.753394", \ - "2.694850,2.702444,2.713651,2.718900,2.748354,2.810362,2.876809", \ - "3.038417,3.044368,3.049314,3.057648,3.074030,3.098056,3.126858"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.020820,10.025310,10.030800,10.044710,10.056830,10.084760,10.129190", \ - "9.952590,9.958436,9.974448,9.970782,10.000090,10.018870,10.083590", \ - "9.871101,9.877334,9.890845,9.906776,9.906998,9.981044,10.006730", \ - "9.830403,9.836092,9.846980,9.847906,9.875251,9.904200,9.980123", \ - "9.814818,9.820105,9.831296,9.811644,9.852430,9.860626,9.935119", \ - "9.820620,9.825878,9.833814,9.818691,9.825043,9.847066,9.918730", \ - "9.858534,9.866139,9.876561,9.887328,9.887065,9.902858,9.966610"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.334478,2.348435,2.398560,2.456748,2.571353,2.714851,2.941015", \ - "2.288012,2.301854,2.336398,2.416777,2.514039,2.688426,2.891071", \ - "2.238916,2.258051,2.288293,2.351960,2.446485,2.614208,2.819029", \ - "2.267361,2.269443,2.302302,2.350543,2.425241,2.574955,2.784479", \ - "2.493963,2.504904,2.517599,2.534161,2.560580,2.623536,2.780238", \ - "2.764390,2.762597,2.766838,2.779380,2.795482,2.838859,2.892646", \ - "3.105480,3.111980,3.109106,3.101569,3.102582,3.126785,3.140810"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("11.233240,11.239100,11.249170,11.256950,11.284530,11.342420,11.392860", \ - "11.171120,11.177840,11.190280,11.212100,11.232580,11.299930,11.348120", \ - "11.093840,11.101060,11.119820,11.120760,11.143040,11.228690,11.322050", \ - "11.051530,11.058360,11.058590,11.065450,11.112750,11.161800,11.247860", \ - "11.034920,11.041410,11.047720,11.044540,11.078790,11.108980,11.203500", \ - "11.033760,11.039950,11.046420,11.057850,11.062170,11.144630,11.187360", \ - "11.082890,11.082490,11.099120,11.113520,11.125630,11.150920,11.236170"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.779346,1.799906,1.869206,1.949018,2.131057,2.385706,2.664430", \ - "1.741296,1.763398,1.832764,1.931734,2.094863,2.347036,2.631545", \ - "1.715310,1.728281,1.786021,1.867629,2.055647,2.286612,2.573829", \ - "1.865181,1.882127,1.908925,1.924077,2.062832,2.255873,2.509589", \ - "2.138714,2.158933,2.173644,2.201884,2.253673,2.355198,2.522245", \ - "2.452927,2.459183,2.468854,2.469388,2.524607,2.597040,2.671745", \ - "2.823896,2.827781,2.839150,2.841546,2.864567,2.886628,2.924593"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.947312,9.949144,9.966922,9.966958,9.997821,10.030010,10.062330", \ - "9.877703,9.880705,9.898820,9.914427,9.957292,9.978474,10.067050", \ - "9.792566,9.795921,9.821177,9.827147,9.890914,9.937154,9.986618", \ - "9.748791,9.762715,9.763223,9.783460,9.799150,9.845993,9.947214", \ - "9.737155,9.739613,9.755793,9.754114,9.779796,9.822052,9.921793", \ - "9.735452,9.747421,9.759736,9.767387,9.786253,9.824708,9.870646", \ - "9.735706,9.755980,9.807215,9.839459,9.816548,9.885706,9.933063"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.645404,1.666654,1.742901,1.825098,1.997769,2.274437,2.562933", \ - "1.604872,1.632342,1.702237,1.793236,1.970949,2.204981,2.507537", \ - "1.571071,1.588485,1.646900,1.740580,1.902124,2.154235,2.438479", \ - "1.745421,1.762415,1.782069,1.811113,1.937442,2.134591,2.406939", \ - "2.037181,2.042537,2.057003,2.101587,2.150246,2.245027,2.412448", \ - "2.340058,2.345987,2.359240,2.380378,2.415459,2.475452,2.561226", \ - "2.714851,2.714552,2.716524,2.720148,2.752883,2.770003,2.815623"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.460313,9.470737,9.475524,9.489905,9.503950,9.528798,9.556977", \ - "9.393290,9.397958,9.410066,9.418255,9.449911,9.480492,9.513644", \ - "9.306532,9.318511,9.334976,9.354772,9.363138,9.438620,9.483352", \ - "9.266039,9.277444,9.277694,9.300352,9.327353,9.367078,9.412674", \ - "9.254547,9.258556,9.268296,9.271420,9.293598,9.328653,9.372771", \ - "9.255786,9.259775,9.264216,9.272547,9.295668,9.329523,9.370130", \ - "9.293198,9.300530,9.313495,9.327329,9.340062,9.364676,9.400125"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.827239,1.837609,1.900429,1.949494,2.102068,2.332958,2.606290", \ - "1.777700,1.815397,1.847783,1.915212,2.071223,2.302254,2.551430", \ - "1.736221,1.770628,1.811680,1.877465,1.999651,2.231103,2.481869", \ - "1.852537,1.853699,1.875335,1.915105,2.025924,2.200666,2.429185", \ - "2.122313,2.128112,2.135804,2.150147,2.216884,2.282422,2.451831", \ - "2.422965,2.429786,2.424685,2.429629,2.465287,2.519844,2.582216", \ - "2.787216,2.791051,2.793620,2.787737,2.799015,2.811141,2.831587"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.670270,10.680780,10.689230,10.702890,10.724320,10.763380,10.853550", \ - "10.608540,10.614020,10.632390,10.658480,10.673820,10.757010,10.811310", \ - "10.532810,10.538870,10.554080,10.571700,10.626410,10.678980,10.741030", \ - "10.490590,10.496240,10.507610,10.519930,10.556520,10.605730,10.713300", \ - "10.471190,10.476500,10.493440,10.485310,10.522040,10.568970,10.673930", \ - "10.466120,10.478260,10.486450,10.507280,10.522710,10.571360,10.671840", \ - "10.515520,10.522010,10.536060,10.554000,10.567270,10.605170,10.659440"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.981489,1.998145,2.039077,2.085450,2.248734,2.452633,2.717741", \ - "1.942838,1.959267,2.011655,2.057047,2.206561,2.405036,2.671209", \ - "1.905182,1.919136,1.967404,2.030824,2.146230,2.364068,2.612224", \ - "1.978354,1.995574,2.009246,2.058068,2.152959,2.342148,2.573904", \ - "2.242853,2.249241,2.254955,2.293292,2.317343,2.388928,2.563378", \ - "2.540382,2.545251,2.542225,2.559710,2.578313,2.636305,2.702187", \ - "2.911352,2.914415,2.915782,2.910624,2.906473,2.928626,2.948870"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("11.267600,11.279500,11.289870,11.310050,11.327180,11.423130,11.478680", \ - "11.204640,11.217530,11.231650,11.267020,11.322680,11.373430,11.433130", \ - "11.123930,11.137260,11.163240,11.175530,11.229960,11.286850,11.405030", \ - "11.087180,11.100140,11.109430,11.114630,11.183670,11.243510,11.367160", \ - "11.065580,11.083350,11.101750,11.109160,11.118080,11.223240,11.292170", \ - "11.067350,11.079860,11.098650,11.110950,11.139530,11.225400,11.291660", \ - "11.120190,11.127280,11.150440,11.180490,11.205150,11.247840,11.305510"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.851357,1.868817,1.897720,1.995867,2.125731,2.347055,2.602846", \ - "1.809306,1.826324,1.865936,1.961897,2.097430,2.301704,2.547934", \ - "1.766712,1.780997,1.813349,1.902037,2.040181,2.234178,2.490046", \ - "1.857479,1.872260,1.887371,1.923989,2.033260,2.209120,2.445005", \ - "2.137391,2.143008,2.144125,2.178012,2.210103,2.277297,2.448435", \ - "2.433342,2.430105,2.437334,2.444599,2.478883,2.524959,2.578793", \ - "2.793835,2.797525,2.797751,2.798437,2.791835,2.813526,2.838704"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.793020,10.804830,10.821000,10.826430,10.849990,10.931610,10.982620", \ - "10.733190,10.746140,10.761000,10.782720,10.798680,10.884920,10.940210", \ - "10.657650,10.663940,10.678440,10.698070,10.753270,10.807060,10.912040", \ - "10.615340,10.621910,10.634590,10.649410,10.684060,10.734170,10.842580", \ - "10.595980,10.601490,10.614450,10.619380,10.649940,10.697450,10.803370", \ - "10.591070,10.603420,10.613330,10.632220,10.654120,10.699960,10.801550", \ - "10.641780,10.648320,10.661150,10.680620,10.694570,10.733890,10.789210"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("1.977074,1.992232,2.035328,2.101628,2.232110,2.386344,2.645272", \ - "1.934060,1.948670,1.985428,2.055235,2.136387,2.369032,2.598900", \ - "1.886403,1.898422,1.930485,1.969584,2.081092,2.287229,2.518263", \ - "1.938292,1.947307,1.958262,2.021480,2.107297,2.263669,2.483429", \ - "2.204535,2.199174,2.207257,2.213636,2.251190,2.311409,2.484846", \ - "2.491558,2.488798,2.487917,2.489809,2.504502,2.539893,2.598864", \ - "2.856628,2.853574,2.847238,2.840333,2.836851,2.839573,2.853353"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("12.005430,12.018800,12.037240,12.071460,12.113490,12.168870,12.239980", \ - "11.949910,11.963980,11.977760,12.013630,12.064530,12.123440,12.240100", \ - "11.878700,11.893300,11.906840,11.951890,11.984090,12.088820,12.171660", \ - "11.835740,11.842820,11.853090,11.875330,11.912480,12.017280,12.102010", \ - "11.816380,11.822910,11.843890,11.856690,11.915550,11.980880,12.064760", \ - "11.811630,11.825330,11.837740,11.854350,11.883860,11.984160,12.062580", \ - "11.853990,11.867790,11.884640,11.895450,11.921630,12.018120,12.093110"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI222_X4 - Cell Description : Combinational cell (AOI222_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI222_X4) { - - drive_strength : 4; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 87.508529; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 76.093100; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 90.341532; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 80.640989; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 73.394843; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 90.341532; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 104.583980; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 94.889518; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 78.360864; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 80.640989; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 94.889518; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 85.191729; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 78.364607; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 73.691899; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 78.760538; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 78.764357; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 80.544781; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 90.341532; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 104.584075; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 94.889517; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 78.400032; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 104.584056; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 118.817953; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 109.131092; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 83.399863; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 94.889612; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 109.131102; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 99.440259; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 83.403701; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 78.592160; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 83.660895; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 83.664724; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 85.644352; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 80.641083; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 94.889516; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 85.191729; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 78.403766; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 94.889611; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 109.131101; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 99.440258; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 83.403711; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 85.191729; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 99.440258; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 89.745606; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 83.406552; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 78.595894; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 83.664628; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 83.667535; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 85.646034; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 76.424926; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 81.490905; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 81.494277; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 80.629065; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 81.491000; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 86.556979; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 86.560352; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 85.721654; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 81.494277; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 86.560352; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 86.562746; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 85.723241; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 80.652520; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 85.722490; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 85.724171; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 90.318200; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.551971; - fall_capacitance : 1.342290; - rise_capacitance : 1.551971; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.638572; - fall_capacitance : 1.391842; - rise_capacitance : 1.638572; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.561625; - fall_capacitance : 1.451734; - rise_capacitance : 1.561625; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.633399; - fall_capacitance : 1.466147; - rise_capacitance : 1.633399; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.549506; - fall_capacitance : 1.505351; - rise_capacitance : 1.549506; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.615440; - fall_capacitance : 1.508247; - rise_capacitance : 1.615440; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.253700; - function : "!(!(!(((A1 & A2) | (B1 & B2)) | (C1 & C2))))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.113559,0.123880,0.131738,0.144408,0.165521,0.202999,0.274189", \ - "0.118189,0.128510,0.136366,0.149039,0.170150,0.207631,0.278824", \ - "0.134879,0.145198,0.153047,0.165715,0.186833,0.224315,0.295506", \ - "0.163622,0.173975,0.181809,0.194310,0.215437,0.252897,0.324087", \ - "0.193233,0.203645,0.211512,0.223844,0.244967,0.282494,0.353745", \ - "0.219661,0.230266,0.238280,0.250915,0.272192,0.309759,0.380962", \ - "0.240828,0.251980,0.260216,0.273201,0.294713,0.332418,0.403630"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.215774,0.233696,0.250094,0.282231,0.346448,0.474642,0.730491", \ - "0.218375,0.236310,0.252685,0.284823,0.349050,0.477236,0.733092", \ - "0.231318,0.249252,0.265630,0.297809,0.362022,0.490177,0.746035", \ - "0.263109,0.281037,0.297388,0.329431,0.393646,0.521800,0.777661", \ - "0.316726,0.334643,0.351009,0.382929,0.447109,0.575309,0.831170", \ - "0.387017,0.405325,0.421682,0.453568,0.517663,0.645786,0.901667", \ - "0.463016,0.482197,0.498670,0.530421,0.594368,0.722416,0.978274"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012502,0.016533,0.020322,0.027544,0.041844,0.071300,0.132797", \ - "0.012505,0.016533,0.020322,0.027545,0.041844,0.071301,0.132805", \ - "0.012507,0.016535,0.020326,0.027546,0.041844,0.071298,0.132799", \ - "0.012610,0.016617,0.020390,0.027590,0.041870,0.071312,0.132807", \ - "0.013014,0.016954,0.020682,0.027822,0.042036,0.071410,0.132827", \ - "0.013724,0.017526,0.021165,0.028196,0.042276,0.071517,0.132867", \ - "0.015020,0.018597,0.022091,0.028928,0.042774,0.071777,0.132936"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017124,0.028073,0.041888,0.071725,0.132708,0.255056,0.500000", \ - "0.017124,0.028070,0.041888,0.071724,0.132707,0.255064,0.499989", \ - "0.017120,0.028071,0.041889,0.071728,0.132708,0.255060,0.499999", \ - "0.017112,0.028064,0.041885,0.071723,0.132715,0.255047,0.499999", \ - "0.017100,0.028058,0.041882,0.071729,0.132702,0.255061,0.499992", \ - "0.018157,0.028629,0.042141,0.071790,0.132735,0.255067,0.499992", \ - "0.019979,0.029874,0.042762,0.071987,0.132794,0.255083,0.499998"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.113544,0.123868,0.131723,0.144391,0.165506,0.202983,0.274173", \ - "0.118175,0.128500,0.136354,0.149025,0.170140,0.207618,0.278811", \ - "0.134964,0.145284,0.153132,0.165801,0.186919,0.224401,0.295591", \ - "0.163757,0.174113,0.181932,0.194460,0.215584,0.253041,0.324234", \ - "0.193424,0.203838,0.211714,0.224007,0.245132,0.282671,0.353931", \ - "0.219642,0.230253,0.238274,0.250898,0.272178,0.309744,0.380948", \ - "0.240190,0.251349,0.259587,0.272574,0.294088,0.331794,0.403006"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.250379,0.269373,0.285905,0.317894,0.381941,0.510035,0.765880", \ - "0.252387,0.271368,0.287883,0.319867,0.383976,0.512025,0.767894", \ - "0.263968,0.282949,0.299483,0.331487,0.395530,0.523635,0.779484", \ - "0.294461,0.313429,0.329881,0.361806,0.425849,0.553942,0.809784", \ - "0.347065,0.365972,0.382476,0.414336,0.478364,0.606453,0.862291", \ - "0.421178,0.440220,0.456704,0.488545,0.552547,0.680615,0.936472", \ - "0.504154,0.524106,0.540766,0.572469,0.636289,0.764297,1.020123"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012503,0.016533,0.020323,0.027543,0.041844,0.071300,0.132797", \ - "0.012504,0.016533,0.020322,0.027543,0.041844,0.071300,0.132805", \ - "0.012509,0.016535,0.020326,0.027545,0.041845,0.071298,0.132797", \ - "0.012610,0.016616,0.020390,0.027590,0.041870,0.071310,0.132800", \ - "0.013010,0.016952,0.020680,0.027822,0.042036,0.071410,0.132827", \ - "0.013723,0.017526,0.021163,0.028195,0.042274,0.071517,0.132866", \ - "0.015031,0.018606,0.022100,0.028933,0.042778,0.071780,0.132936"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019326,0.029564,0.042660,0.071994,0.132833,0.255154,0.500045", \ - "0.019323,0.029562,0.042661,0.071999,0.132823,0.255153,0.500045", \ - "0.019320,0.029559,0.042657,0.071998,0.132831,0.255154,0.500041", \ - "0.019276,0.029537,0.042647,0.071993,0.132840,0.255157,0.500040", \ - "0.019133,0.029444,0.042601,0.071984,0.132840,0.255151,0.500042", \ - "0.019557,0.029653,0.042684,0.071991,0.132823,0.255139,0.500040", \ - "0.021315,0.031000,0.043405,0.072211,0.132889,0.255168,0.500044"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.118462,0.128879,0.136784,0.149501,0.170644,0.208135,0.279331", \ - "0.123098,0.133512,0.141417,0.154134,0.175276,0.212765,0.283965", \ - "0.139858,0.150273,0.158178,0.170893,0.192036,0.229525,0.300728", \ - "0.169348,0.179789,0.187647,0.200277,0.221437,0.258928,0.330121", \ - "0.201076,0.211643,0.219600,0.232003,0.253203,0.290767,0.361989", \ - "0.229855,0.240723,0.248842,0.261561,0.282925,0.320562,0.391791", \ - "0.253133,0.264596,0.273006,0.286139,0.307778,0.345569,0.416821"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.279822,0.299066,0.315586,0.347519,0.411527,0.539588,0.795452", \ - "0.282254,0.301468,0.318019,0.349976,0.413970,0.542033,0.797879", \ - "0.293889,0.313098,0.329650,0.361593,0.425585,0.553665,0.809549", \ - "0.323512,0.342728,0.359232,0.391067,0.455027,0.583089,0.838921", \ - "0.374883,0.394056,0.410628,0.442419,0.506386,0.634438,0.890279", \ - "0.449856,0.468956,0.485476,0.517266,0.581295,0.709361,0.965217", \ - "0.537617,0.557537,0.574193,0.605903,0.669699,0.797727,1.053553"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012742,0.016729,0.020488,0.027673,0.041932,0.071348,0.132814", \ - "0.012744,0.016730,0.020488,0.027672,0.041932,0.071348,0.132817", \ - "0.012746,0.016733,0.020492,0.027676,0.041933,0.071346,0.132814", \ - "0.012857,0.016823,0.020566,0.027729,0.041965,0.071361,0.132817", \ - "0.013364,0.017240,0.020926,0.028016,0.042169,0.071477,0.132851", \ - "0.014257,0.017968,0.021547,0.028498,0.042487,0.071631,0.132901", \ - "0.015801,0.019268,0.022674,0.029395,0.043107,0.071965,0.132993"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019799,0.029904,0.042838,0.072057,0.132856,0.255159,0.500037", \ - "0.019795,0.029902,0.042840,0.072054,0.132850,0.255160,0.500041", \ - "0.019797,0.029901,0.042837,0.072059,0.132868,0.255160,0.500043", \ - "0.019790,0.029894,0.042835,0.072052,0.132856,0.255158,0.500037", \ - "0.019697,0.029842,0.042809,0.072042,0.132852,0.255161,0.500041", \ - "0.019648,0.029787,0.042778,0.072033,0.132852,0.255163,0.500050", \ - "0.021291,0.030976,0.043393,0.072213,0.132909,0.255178,0.500056"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.113552,0.123872,0.131731,0.144398,0.165514,0.202989,0.274180", \ - "0.118208,0.128532,0.136385,0.149055,0.170172,0.207649,0.278842", \ - "0.135019,0.145337,0.153185,0.165854,0.186971,0.224453,0.295644", \ - "0.163805,0.174171,0.181987,0.194512,0.215635,0.253103,0.324296", \ - "0.193421,0.203824,0.211697,0.224012,0.245174,0.282677,0.353930", \ - "0.219582,0.230179,0.238191,0.250827,0.272104,0.309671,0.380874", \ - "0.240085,0.251243,0.259482,0.272466,0.293982,0.331688,0.402901"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.259749,0.278662,0.295156,0.327154,0.391190,0.519287,0.775138", \ - "0.261538,0.280420,0.296921,0.328933,0.392963,0.521084,0.776948", \ - "0.272556,0.291435,0.307943,0.339956,0.403991,0.532119,0.787967", \ - "0.302333,0.321221,0.337684,0.369596,0.433633,0.561720,0.817576", \ - "0.354071,0.372944,0.389429,0.421288,0.485311,0.613390,0.869224", \ - "0.427064,0.446119,0.462601,0.494440,0.558406,0.686474,0.942321", \ - "0.509169,0.529146,0.545804,0.577583,0.641321,0.769332,1.025146"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012502,0.016533,0.020322,0.027544,0.041844,0.071299,0.132797", \ - "0.012503,0.016533,0.020322,0.027543,0.041844,0.071300,0.132803", \ - "0.012505,0.016536,0.020326,0.027545,0.041845,0.071298,0.132797", \ - "0.012609,0.016616,0.020389,0.027590,0.041869,0.071311,0.132807", \ - "0.013010,0.016953,0.020680,0.027822,0.042035,0.071410,0.132827", \ - "0.013727,0.017527,0.021166,0.028196,0.042277,0.071517,0.132867", \ - "0.015037,0.018610,0.022104,0.028935,0.042780,0.071782,0.132937"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019133,0.029414,0.042577,0.071968,0.132819,0.255139,0.500032", \ - "0.019125,0.029414,0.042576,0.071966,0.132812,0.255134,0.500020", \ - "0.019128,0.029413,0.042577,0.071963,0.132823,0.255135,0.500024", \ - "0.019125,0.029411,0.042575,0.071964,0.132807,0.255134,0.500032", \ - "0.019088,0.029387,0.042563,0.071967,0.132807,0.255136,0.500031", \ - "0.019599,0.029661,0.042685,0.071992,0.132822,0.255134,0.500022", \ - "0.021367,0.031030,0.043419,0.072213,0.132892,0.255158,0.500026"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.113536,0.123853,0.131708,0.144380,0.165500,0.202973,0.274165", \ - "0.118187,0.128511,0.136364,0.149035,0.170151,0.207628,0.278821", \ - "0.135033,0.145350,0.153200,0.165868,0.186986,0.224467,0.295658", \ - "0.163893,0.174257,0.182050,0.194610,0.215737,0.253191,0.324383", \ - "0.193568,0.203984,0.211849,0.224144,0.245285,0.282825,0.354083", \ - "0.219569,0.230188,0.238210,0.250823,0.272103,0.309674,0.380875", \ - "0.239575,0.250734,0.258978,0.271967,0.293481,0.331187,0.402406"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.295311,0.315354,0.332114,0.364026,0.427920,0.555887,0.811716", \ - "0.296492,0.316536,0.333295,0.365202,0.429104,0.557084,0.812899", \ - "0.306210,0.326244,0.343033,0.375002,0.438836,0.566807,0.822628", \ - "0.334797,0.354835,0.371551,0.403401,0.467260,0.595240,0.851064", \ - "0.385287,0.405293,0.422037,0.453832,0.517707,0.645696,0.901492", \ - "0.459412,0.479332,0.496055,0.527887,0.591704,0.719709,0.975530", \ - "0.547538,0.568292,0.585197,0.616959,0.680639,0.808539,1.064317"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012504,0.016533,0.020322,0.027544,0.041843,0.071300,0.132798", \ - "0.012503,0.016533,0.020323,0.027544,0.041844,0.071300,0.132804", \ - "0.012507,0.016536,0.020326,0.027545,0.041844,0.071298,0.132798", \ - "0.012608,0.016615,0.020388,0.027589,0.041869,0.071311,0.132800", \ - "0.013010,0.016951,0.020677,0.027820,0.042034,0.071410,0.132826", \ - "0.013724,0.017526,0.021163,0.028195,0.042277,0.071519,0.132866", \ - "0.015040,0.018617,0.022111,0.028939,0.042782,0.071784,0.132937"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021240,0.031124,0.043543,0.072306,0.132952,0.255218,0.500066", \ - "0.021240,0.031122,0.043544,0.072310,0.132963,0.255216,0.500078", \ - "0.021236,0.031119,0.043543,0.072304,0.132965,0.255217,0.500084", \ - "0.021224,0.031113,0.043537,0.072308,0.132948,0.255220,0.500067", \ - "0.021150,0.031057,0.043509,0.072294,0.132960,0.255219,0.500080", \ - "0.021049,0.030977,0.043466,0.072284,0.132948,0.255211,0.500082", \ - "0.022664,0.032253,0.044175,0.072499,0.132999,0.255232,0.500078"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.118456,0.128870,0.136774,0.149493,0.170635,0.208123,0.279324", \ - "0.123117,0.133532,0.141436,0.154154,0.175296,0.212783,0.283984", \ - "0.139945,0.150360,0.158263,0.170978,0.192120,0.229611,0.300813", \ - "0.169473,0.179921,0.187770,0.200362,0.221522,0.259016,0.330205", \ - "0.201213,0.211774,0.219731,0.232117,0.253347,0.290912,0.362125", \ - "0.229781,0.240646,0.248768,0.261486,0.282867,0.320499,0.391730", \ - "0.252545,0.264010,0.272418,0.285542,0.307195,0.344982,0.416236"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.331243,0.351592,0.368421,0.400237,0.464122,0.592046,0.847882", \ - "0.332970,0.353320,0.370153,0.402025,0.465795,0.593835,0.849605", \ - "0.342787,0.363129,0.379963,0.411776,0.475603,0.603594,0.859413", \ - "0.370516,0.390860,0.407639,0.439376,0.503177,0.631125,0.886930", \ - "0.419764,0.440088,0.456905,0.488636,0.552435,0.680366,0.936179", \ - "0.492953,0.513213,0.530034,0.561849,0.625713,0.753666,1.009467", \ - "0.585466,0.606234,0.623157,0.654928,0.718625,0.846471,1.102261"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012744,0.016729,0.020488,0.027673,0.041933,0.071347,0.132815", \ - "0.012743,0.016729,0.020490,0.027673,0.041931,0.071346,0.132815", \ - "0.012748,0.016733,0.020491,0.027676,0.041934,0.071346,0.132815", \ - "0.012857,0.016823,0.020566,0.027729,0.041964,0.071360,0.132817", \ - "0.013364,0.017240,0.020925,0.028016,0.042168,0.071477,0.132846", \ - "0.014259,0.017969,0.021547,0.028499,0.042487,0.071631,0.132901", \ - "0.015818,0.019280,0.022686,0.029404,0.043113,0.071967,0.132996"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021833,0.031609,0.043827,0.072401,0.132982,0.255236,0.500076", \ - "0.021821,0.031610,0.043826,0.072402,0.132997,0.255240,0.500078", \ - "0.021824,0.031608,0.043826,0.072402,0.132989,0.255238,0.500094", \ - "0.021823,0.031606,0.043825,0.072400,0.132979,0.255235,0.500083", \ - "0.021795,0.031585,0.043814,0.072398,0.132981,0.255239,0.500077", \ - "0.021641,0.031471,0.043752,0.072379,0.132991,0.255233,0.500083", \ - "0.022728,0.032316,0.044220,0.072512,0.133017,0.255245,0.500095"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.118474,0.128888,0.136793,0.149512,0.170652,0.208142,0.279346", \ - "0.123135,0.133548,0.141453,0.154171,0.175310,0.212801,0.284001", \ - "0.139937,0.150352,0.158255,0.170970,0.192112,0.229604,0.300806", \ - "0.169386,0.179832,0.187705,0.200297,0.221456,0.258949,0.330139", \ - "0.201057,0.211624,0.219585,0.231985,0.253192,0.290753,0.361967", \ - "0.229766,0.240637,0.248760,0.261484,0.282861,0.320491,0.391724", \ - "0.253022,0.264486,0.272896,0.286034,0.307675,0.345472,0.416715"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.288930,0.308041,0.324603,0.356490,0.420529,0.548611,0.804455", \ - "0.291169,0.310306,0.326803,0.358782,0.422778,0.550842,0.806690", \ - "0.302258,0.321358,0.337888,0.369804,0.433876,0.561938,0.817786", \ - "0.331325,0.350425,0.366896,0.398671,0.462677,0.590751,0.846599", \ - "0.382063,0.401156,0.417663,0.449502,0.513461,0.641533,0.897375", \ - "0.455976,0.475073,0.491579,0.523419,0.587348,0.715429,0.971292", \ - "0.542879,0.562813,0.579463,0.611195,0.674977,0.802967,1.058803"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012743,0.016729,0.020488,0.027673,0.041932,0.071347,0.132820", \ - "0.012744,0.016729,0.020489,0.027673,0.041932,0.071348,0.132813", \ - "0.012747,0.016733,0.020491,0.027676,0.041934,0.071346,0.132815", \ - "0.012857,0.016824,0.020566,0.027729,0.041964,0.071360,0.132817", \ - "0.013365,0.017241,0.020927,0.028017,0.042169,0.071477,0.132844", \ - "0.014259,0.017971,0.021548,0.028500,0.042487,0.071632,0.132901", \ - "0.015812,0.019274,0.022676,0.029399,0.043109,0.071966,0.132994"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019601,0.029744,0.042750,0.072020,0.132839,0.255147,0.500031", \ - "0.019598,0.029744,0.042749,0.072021,0.132844,0.255142,0.500029", \ - "0.019600,0.029744,0.042751,0.072021,0.132843,0.255142,0.500038", \ - "0.019592,0.029743,0.042748,0.072020,0.132850,0.255144,0.500026", \ - "0.019586,0.029733,0.042743,0.072018,0.132851,0.255152,0.500029", \ - "0.019658,0.029785,0.042769,0.072026,0.132841,0.255142,0.500038", \ - "0.021306,0.030986,0.043396,0.072211,0.132894,0.255167,0.500044"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.118456,0.128870,0.136774,0.149493,0.170635,0.208123,0.279324", \ - "0.123117,0.133532,0.141436,0.154154,0.175296,0.212783,0.283984", \ - "0.139945,0.150360,0.158263,0.170978,0.192120,0.229611,0.300813", \ - "0.169473,0.179921,0.187770,0.200362,0.221522,0.259016,0.330205", \ - "0.201213,0.211774,0.219731,0.232117,0.253347,0.290912,0.362125", \ - "0.229781,0.240646,0.248768,0.261486,0.282867,0.320499,0.391730", \ - "0.252545,0.264010,0.272418,0.285542,0.307195,0.344982,0.416236"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.331243,0.351592,0.368421,0.400237,0.464122,0.592046,0.847882", \ - "0.332970,0.353320,0.370153,0.402025,0.465795,0.593835,0.849605", \ - "0.342787,0.363129,0.379963,0.411776,0.475603,0.603594,0.859413", \ - "0.370516,0.390860,0.407639,0.439376,0.503177,0.631125,0.886930", \ - "0.419764,0.440088,0.456905,0.488636,0.552435,0.680366,0.936179", \ - "0.492953,0.513213,0.530034,0.561849,0.625713,0.753666,1.009467", \ - "0.585466,0.606234,0.623157,0.654928,0.718625,0.846471,1.102261"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012744,0.016729,0.020488,0.027673,0.041933,0.071347,0.132815", \ - "0.012743,0.016729,0.020490,0.027673,0.041931,0.071346,0.132815", \ - "0.012748,0.016733,0.020491,0.027676,0.041934,0.071346,0.132815", \ - "0.012857,0.016823,0.020566,0.027729,0.041964,0.071360,0.132817", \ - "0.013364,0.017240,0.020925,0.028016,0.042168,0.071477,0.132846", \ - "0.014259,0.017969,0.021547,0.028499,0.042487,0.071631,0.132901", \ - "0.015818,0.019280,0.022686,0.029404,0.043113,0.071967,0.132996"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021833,0.031609,0.043827,0.072401,0.132982,0.255236,0.500076", \ - "0.021821,0.031610,0.043826,0.072402,0.132997,0.255240,0.500078", \ - "0.021824,0.031608,0.043826,0.072402,0.132989,0.255238,0.500094", \ - "0.021823,0.031606,0.043825,0.072400,0.132979,0.255235,0.500083", \ - "0.021795,0.031585,0.043814,0.072398,0.132981,0.255239,0.500077", \ - "0.021641,0.031471,0.043752,0.072379,0.132991,0.255233,0.500083", \ - "0.022728,0.032316,0.044220,0.072512,0.133017,0.255245,0.500095"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.123337,0.133831,0.141785,0.154559,0.175752,0.213270,0.284485", \ - "0.128000,0.138491,0.146446,0.159218,0.180409,0.217930,0.289142", \ - "0.144829,0.155323,0.163276,0.176047,0.197240,0.234760,0.305969", \ - "0.174906,0.185444,0.193342,0.205991,0.227200,0.264727,0.335924", \ - "0.208546,0.219230,0.227252,0.239738,0.261007,0.298610,0.369832", \ - "0.239380,0.250432,0.258649,0.271482,0.292944,0.330642,0.401889", \ - "0.264622,0.276347,0.284896,0.298179,0.319942,0.357828,0.429105"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.367171,0.387835,0.404771,0.436549,0.500270,0.628246,0.884032", \ - "0.369318,0.389983,0.406920,0.438709,0.502381,0.630374,0.886175", \ - "0.379307,0.399970,0.416883,0.448692,0.512458,0.640417,0.896202", \ - "0.406388,0.427051,0.443918,0.475576,0.539295,0.667273,0.923064", \ - "0.454666,0.475324,0.492224,0.523879,0.587616,0.715529,0.971332", \ - "0.526685,0.547304,0.564219,0.596021,0.659776,0.787689,1.043461", \ - "0.621868,0.642716,0.659660,0.691421,0.755077,0.882937,1.138709"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013007,0.016952,0.020682,0.027827,0.042041,0.071409,0.132840", \ - "0.013005,0.016953,0.020683,0.027827,0.042039,0.071408,0.132839", \ - "0.013012,0.016957,0.020687,0.027831,0.042041,0.071407,0.132833", \ - "0.013132,0.017052,0.020766,0.027889,0.042077,0.071424,0.132837", \ - "0.013727,0.017545,0.021190,0.028228,0.042315,0.071553,0.132869", \ - "0.014782,0.018409,0.021932,0.028809,0.042705,0.071755,0.132945", \ - "0.016531,0.019897,0.023226,0.029847,0.043435,0.072159,0.133064"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022425,0.032120,0.044134,0.072512,0.133026,0.255260,0.500094", \ - "0.022429,0.032118,0.044134,0.072508,0.133010,0.255258,0.500100", \ - "0.022412,0.032118,0.044132,0.072510,0.133022,0.255254,0.500093", \ - "0.022423,0.032120,0.044132,0.072513,0.133026,0.255253,0.500104", \ - "0.022399,0.032110,0.044128,0.072510,0.133016,0.255261,0.500099", \ - "0.022314,0.032039,0.044085,0.072497,0.133026,0.255261,0.500094", \ - "0.022840,0.032441,0.044296,0.072545,0.133028,0.255257,0.500092"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.116257,0.126576,0.134432,0.147103,0.168217,0.205694,0.276885", \ - "0.121492,0.131810,0.139667,0.152336,0.173450,0.210925,0.282116", \ - "0.136807,0.147125,0.154977,0.167645,0.188763,0.226243,0.297435", \ - "0.162305,0.172647,0.180516,0.193174,0.214299,0.251781,0.322976", \ - "0.191891,0.202287,0.210120,0.222608,0.243763,0.281289,0.352506", \ - "0.221196,0.231691,0.239595,0.251766,0.272956,0.310532,0.381791", \ - "0.247023,0.257785,0.265863,0.278329,0.299685,0.337330,0.408558"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.236023,0.254088,0.270486,0.302587,0.366771,0.494926,0.750782", \ - "0.238836,0.256905,0.273283,0.305363,0.369550,0.497725,0.753593", \ - "0.252849,0.270913,0.287320,0.319402,0.383593,0.511742,0.767644", \ - "0.284761,0.302829,0.319188,0.351128,0.415303,0.543440,0.799341", \ - "0.338152,0.356212,0.372566,0.404461,0.468628,0.596774,0.852629", \ - "0.411332,0.429616,0.445972,0.477865,0.541951,0.670074,0.925960", \ - "0.492131,0.511218,0.527661,0.559471,0.623371,0.751432,1.007294"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012504,0.016534,0.020324,0.027544,0.041843,0.071297,0.132797", \ - "0.012503,0.016534,0.020322,0.027543,0.041843,0.071300,0.132797", \ - "0.012504,0.016534,0.020324,0.027545,0.041843,0.071300,0.132797", \ - "0.012573,0.016589,0.020365,0.027573,0.041861,0.071305,0.132799", \ - "0.012865,0.016833,0.020579,0.027744,0.041980,0.071374,0.132817", \ - "0.013332,0.017223,0.020915,0.028012,0.042164,0.071466,0.132846", \ - "0.014113,0.017872,0.021482,0.028469,0.042483,0.071640,0.132902"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017477,0.028277,0.041984,0.071759,0.132722,0.255072,0.499991", \ - "0.017479,0.028276,0.041984,0.071763,0.132720,0.255068,0.499994", \ - "0.017477,0.028274,0.041983,0.071758,0.132725,0.255066,0.499993", \ - "0.017475,0.028272,0.041981,0.071757,0.132733,0.255074,0.499994", \ - "0.017451,0.028261,0.041978,0.071753,0.132727,0.255064,0.499992", \ - "0.018097,0.028602,0.042124,0.071791,0.132734,0.255066,0.499994", \ - "0.019816,0.029752,0.042700,0.071974,0.132787,0.255093,0.499998"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.116240,0.126560,0.134417,0.147087,0.168201,0.205677,0.276867", \ - "0.121478,0.131796,0.139653,0.152322,0.173435,0.210911,0.282102", \ - "0.136833,0.147150,0.154998,0.167667,0.188786,0.226266,0.297458", \ - "0.162447,0.172786,0.180635,0.193309,0.214432,0.251914,0.323108", \ - "0.192131,0.202533,0.210353,0.222815,0.243999,0.281532,0.352755", \ - "0.221428,0.231920,0.239830,0.251982,0.273210,0.310758,0.382027", \ - "0.246995,0.257757,0.265817,0.278286,0.299625,0.337261,0.408490"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.276539,0.295769,0.312306,0.344219,0.408243,0.536309,0.792157", \ - "0.278814,0.298018,0.314563,0.346543,0.410524,0.538572,0.794421", \ - "0.291818,0.311025,0.327576,0.359586,0.423561,0.551626,0.807454", \ - "0.322468,0.341679,0.358189,0.390004,0.453984,0.582038,0.837896", \ - "0.374358,0.393528,0.410074,0.441875,0.505862,0.633928,0.889757", \ - "0.449493,0.468596,0.485117,0.516965,0.580924,0.709003,0.964868", \ - "0.537548,0.557459,0.574105,0.605817,0.669615,0.797621,1.053468"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012504,0.016534,0.020324,0.027543,0.041844,0.071297,0.132797", \ - "0.012503,0.016534,0.020322,0.027543,0.041843,0.071300,0.132797", \ - "0.012506,0.016534,0.020324,0.027545,0.041843,0.071300,0.132797", \ - "0.012572,0.016588,0.020367,0.027572,0.041860,0.071305,0.132798", \ - "0.012860,0.016832,0.020578,0.027744,0.041979,0.071375,0.132820", \ - "0.013326,0.017221,0.020912,0.028010,0.042161,0.071464,0.132846", \ - "0.014108,0.017869,0.021481,0.028466,0.042483,0.071640,0.132901"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019793,0.029900,0.042838,0.072056,0.132848,0.255154,0.500033", \ - "0.019793,0.029902,0.042839,0.072056,0.132866,0.255157,0.500037", \ - "0.019796,0.029899,0.042836,0.072054,0.132867,0.255155,0.500043", \ - "0.019778,0.029893,0.042834,0.072054,0.132865,0.255155,0.500042", \ - "0.019694,0.029839,0.042806,0.072046,0.132866,0.255163,0.500048", \ - "0.019648,0.029796,0.042782,0.072035,0.132854,0.255154,0.500040", \ - "0.021261,0.030949,0.043381,0.072209,0.132906,0.255171,0.500056"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.121161,0.131576,0.139481,0.152198,0.173341,0.210828,0.282026", \ - "0.126396,0.136812,0.144717,0.157434,0.178576,0.216064,0.287263", \ - "0.141754,0.152168,0.160072,0.172788,0.193933,0.231419,0.302621", \ - "0.167641,0.178083,0.185991,0.198696,0.219848,0.257343,0.328541", \ - "0.198317,0.208841,0.216732,0.229267,0.250495,0.288035,0.359230", \ - "0.229134,0.239842,0.247839,0.260125,0.281382,0.318985,0.390201", \ - "0.256793,0.267829,0.276010,0.288617,0.310049,0.347758,0.419007"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.305898,0.325345,0.341932,0.373793,0.437776,0.565816,0.821658", \ - "0.308374,0.327815,0.344419,0.376301,0.440242,0.568294,0.824152", \ - "0.321457,0.340902,0.357493,0.389354,0.453316,0.581368,0.837215", \ - "0.351618,0.371056,0.387595,0.419321,0.483261,0.611301,0.867143", \ - "0.402576,0.422005,0.438564,0.470339,0.534252,0.662281,0.918128", \ - "0.477269,0.496629,0.513201,0.545009,0.608987,0.737064,0.992898", \ - "0.569522,0.589449,0.606100,0.637819,0.701628,0.829612,1.085439"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012744,0.016730,0.020488,0.027672,0.041933,0.071347,0.132811", \ - "0.012742,0.016729,0.020488,0.027672,0.041932,0.071348,0.132815", \ - "0.012746,0.016732,0.020490,0.027675,0.041932,0.071348,0.132819", \ - "0.012816,0.016789,0.020537,0.027708,0.041953,0.071357,0.132817", \ - "0.013167,0.017083,0.020793,0.027912,0.042096,0.071435,0.132835", \ - "0.013728,0.017552,0.021202,0.028243,0.042323,0.071557,0.132876", \ - "0.014638,0.018322,0.021875,0.028787,0.042711,0.071772,0.132946"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020272,0.030258,0.043030,0.072119,0.132881,0.255180,0.500057", \ - "0.020274,0.030256,0.043029,0.072120,0.132871,0.255179,0.500052", \ - "0.020269,0.030257,0.043029,0.072120,0.132874,0.255178,0.500042", \ - "0.020268,0.030252,0.043027,0.072116,0.132875,0.255174,0.500054", \ - "0.020230,0.030229,0.043015,0.072116,0.132876,0.255185,0.500048", \ - "0.020082,0.030127,0.042965,0.072103,0.132872,0.255185,0.500046", \ - "0.021305,0.030989,0.043400,0.072219,0.132903,0.255181,0.500051"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.116250,0.126571,0.134425,0.147095,0.168209,0.205686,0.276876", \ - "0.121523,0.131843,0.139700,0.152368,0.173479,0.210958,0.282151", \ - "0.136922,0.147239,0.155090,0.167760,0.188877,0.226356,0.297550", \ - "0.162526,0.172855,0.180720,0.193368,0.214488,0.251970,0.323161", \ - "0.192163,0.202548,0.210375,0.222851,0.244031,0.281565,0.352787", \ - "0.221400,0.231884,0.239801,0.251970,0.273161,0.310744,0.381993", \ - "0.246894,0.257655,0.265717,0.278186,0.299526,0.337163,0.408391"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.285739,0.304845,0.321396,0.353282,0.417351,0.545400,0.801253", \ - "0.287791,0.306891,0.323425,0.355406,0.419374,0.547460,0.803309", \ - "0.300267,0.319367,0.335884,0.367824,0.431830,0.559930,0.815781", \ - "0.330313,0.349416,0.365908,0.397720,0.461712,0.589785,0.845627", \ - "0.381576,0.400669,0.417170,0.449035,0.512978,0.641041,0.896880", \ - "0.455629,0.474746,0.491265,0.523105,0.587035,0.715117,0.970971", \ - "0.542842,0.562761,0.579418,0.611147,0.674941,0.802947,1.058757"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012504,0.016534,0.020324,0.027544,0.041843,0.071298,0.132798", \ - "0.012502,0.016534,0.020322,0.027543,0.041844,0.071298,0.132798", \ - "0.012505,0.016534,0.020324,0.027545,0.041843,0.071299,0.132802", \ - "0.012571,0.016588,0.020367,0.027573,0.041860,0.071307,0.132798", \ - "0.012861,0.016832,0.020578,0.027744,0.041979,0.071375,0.132820", \ - "0.013327,0.017220,0.020912,0.028011,0.042160,0.071464,0.132848", \ - "0.014112,0.017872,0.021482,0.028468,0.042483,0.071640,0.132902"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019603,0.029743,0.042751,0.072022,0.132849,0.255142,0.500037", \ - "0.019605,0.029746,0.042751,0.072026,0.132852,0.255147,0.500031", \ - "0.019604,0.029744,0.042750,0.072019,0.132845,0.255143,0.500039", \ - "0.019597,0.029744,0.042747,0.072025,0.132841,0.255142,0.500029", \ - "0.019591,0.029735,0.042743,0.072024,0.132839,0.255146,0.500026", \ - "0.019675,0.029799,0.042774,0.072033,0.132855,0.255137,0.500022", \ - "0.021280,0.030962,0.043384,0.072207,0.132889,0.255174,0.500030"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.116232,0.126550,0.134407,0.147078,0.168192,0.205670,0.276861", \ - "0.121507,0.131825,0.139683,0.152351,0.173463,0.210941,0.282134", \ - "0.136938,0.147253,0.155101,0.167770,0.188889,0.226369,0.297561", \ - "0.162621,0.172955,0.180798,0.193478,0.214599,0.252081,0.323276", \ - "0.192336,0.202731,0.210567,0.223015,0.244203,0.281737,0.352947", \ - "0.221589,0.232101,0.240004,0.252173,0.273365,0.310930,0.382184", \ - "0.246892,0.257657,0.265716,0.278203,0.299537,0.337174,0.408438"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.327235,0.347585,0.364424,0.396277,0.460116,0.588081,0.843875", \ - "0.328766,0.349109,0.365964,0.397798,0.461583,0.589559,0.845375", \ - "0.340277,0.360626,0.377462,0.409339,0.473145,0.601101,0.856900", \ - "0.369253,0.389607,0.406395,0.438108,0.501924,0.629859,0.885674", \ - "0.419132,0.439466,0.456282,0.488023,0.551824,0.679760,0.935569", \ - "0.492672,0.512935,0.529747,0.561622,0.625437,0.753392,1.009190", \ - "0.585403,0.606169,0.623089,0.654863,0.718518,0.846396,1.102192"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012504,0.016534,0.020322,0.027544,0.041844,0.071300,0.132797", \ - "0.012503,0.016533,0.020322,0.027543,0.041843,0.071298,0.132798", \ - "0.012505,0.016534,0.020324,0.027544,0.041843,0.071300,0.132796", \ - "0.012571,0.016587,0.020366,0.027573,0.041860,0.071307,0.132801", \ - "0.012858,0.016831,0.020578,0.027743,0.041979,0.071375,0.132818", \ - "0.013325,0.017218,0.020910,0.028010,0.042160,0.071463,0.132849", \ - "0.014108,0.017870,0.021479,0.028466,0.042481,0.071640,0.132901"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021822,0.031610,0.043826,0.072400,0.132996,0.255237,0.500078", \ - "0.021824,0.031609,0.043828,0.072405,0.132993,0.255228,0.500080", \ - "0.021819,0.031610,0.043828,0.072402,0.132985,0.255228,0.500076", \ - "0.021826,0.031605,0.043824,0.072404,0.132995,0.255228,0.500094", \ - "0.021789,0.031584,0.043814,0.072396,0.132979,0.255241,0.500076", \ - "0.021656,0.031483,0.043759,0.072386,0.132994,0.255239,0.500084", \ - "0.022705,0.032289,0.044205,0.072509,0.133014,0.255243,0.500097"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.121149,0.131565,0.139470,0.152187,0.173329,0.210818,0.282015", \ - "0.126424,0.136843,0.144748,0.157464,0.178606,0.216093,0.287293", \ - "0.141863,0.152278,0.160182,0.172897,0.194041,0.231530,0.302730", \ - "0.167810,0.178248,0.186156,0.198874,0.220027,0.257521,0.328718", \ - "0.198521,0.209046,0.216943,0.229469,0.250696,0.288233,0.359431", \ - "0.229293,0.240004,0.248000,0.260290,0.281545,0.319145,0.390360", \ - "0.256700,0.267735,0.275914,0.288522,0.309960,0.347669,0.418920"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.363069,0.383732,0.400653,0.432459,0.496205,0.624176,0.879964", \ - "0.364837,0.385500,0.402420,0.434218,0.497913,0.625896,0.881708", \ - "0.376478,0.397142,0.414064,0.445855,0.509633,0.637608,0.893394", \ - "0.404928,0.425590,0.442458,0.474079,0.537838,0.665777,0.921567", \ - "0.453920,0.474576,0.491479,0.523128,0.586877,0.714795,0.970580", \ - "0.526375,0.546999,0.563914,0.595723,0.659476,0.787387,1.043161", \ - "0.621758,0.642593,0.659534,0.691274,0.754942,0.882814,1.138590"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012742,0.016729,0.020489,0.027673,0.041932,0.071347,0.132811", \ - "0.012743,0.016729,0.020489,0.027672,0.041932,0.071348,0.132815", \ - "0.012743,0.016731,0.020490,0.027674,0.041932,0.071346,0.132814", \ - "0.012816,0.016787,0.020538,0.027708,0.041953,0.071355,0.132817", \ - "0.013165,0.017082,0.020792,0.027912,0.042095,0.071434,0.132834", \ - "0.013726,0.017550,0.021200,0.028241,0.042321,0.071556,0.132875", \ - "0.014638,0.018321,0.021874,0.028788,0.042709,0.071772,0.132946"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022415,0.032119,0.044131,0.072512,0.133018,0.255256,0.500093", \ - "0.022412,0.032119,0.044130,0.072514,0.133012,0.255253,0.500091", \ - "0.022423,0.032119,0.044133,0.072510,0.133032,0.255255,0.500096", \ - "0.022410,0.032116,0.044133,0.072514,0.133010,0.255251,0.500101", \ - "0.022400,0.032109,0.044129,0.072507,0.133027,0.255257,0.500100", \ - "0.022321,0.032042,0.044089,0.072496,0.133029,0.255260,0.500105", \ - "0.022815,0.032421,0.044289,0.072544,0.133031,0.255257,0.500093"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.121172,0.131585,0.139489,0.152208,0.173348,0.210840,0.282038", \ - "0.126446,0.136861,0.144767,0.157484,0.178624,0.216114,0.287314", \ - "0.141851,0.152264,0.160167,0.172883,0.194026,0.231516,0.302715", \ - "0.167713,0.178151,0.186074,0.198760,0.219911,0.257406,0.328606", \ - "0.198327,0.208852,0.216771,0.229312,0.250493,0.288033,0.359234", \ - "0.229097,0.239799,0.247805,0.260059,0.281371,0.318977,0.390183", \ - "0.256693,0.267727,0.275912,0.288523,0.309965,0.347672,0.418922"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.314852,0.334180,0.350769,0.382664,0.446611,0.574692,0.830526", \ - "0.317104,0.336440,0.353033,0.384887,0.448873,0.576918,0.832764", \ - "0.329652,0.348986,0.365550,0.397409,0.461406,0.589479,0.845314", \ - "0.359265,0.378600,0.395104,0.426852,0.490799,0.618851,0.874691", \ - "0.409751,0.429084,0.445627,0.477452,0.541348,0.669392,0.925219", \ - "0.483636,0.502980,0.519534,0.551361,0.615295,0.743363,0.999204", \ - "0.575015,0.594939,0.611585,0.643324,0.707101,0.835082,1.090907"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012744,0.016729,0.020489,0.027673,0.041933,0.071347,0.132812", \ - "0.012742,0.016729,0.020489,0.027673,0.041932,0.071348,0.132814", \ - "0.012744,0.016730,0.020490,0.027675,0.041932,0.071348,0.132812", \ - "0.012817,0.016788,0.020537,0.027708,0.041953,0.071356,0.132819", \ - "0.013168,0.017084,0.020793,0.027913,0.042095,0.071435,0.132835", \ - "0.013731,0.017554,0.021203,0.028243,0.042323,0.071554,0.132876", \ - "0.014645,0.018326,0.021877,0.028790,0.042712,0.071773,0.132946"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020073,0.030093,0.042935,0.072087,0.132853,0.255167,0.500035", \ - "0.020074,0.030093,0.042934,0.072082,0.132863,0.255154,0.500036", \ - "0.020073,0.030091,0.042932,0.072088,0.132863,0.255165,0.500030", \ - "0.020074,0.030091,0.042934,0.072080,0.132866,0.255157,0.500035", \ - "0.020066,0.030087,0.042932,0.072084,0.132852,0.255158,0.500032", \ - "0.020074,0.030092,0.042933,0.072081,0.132873,0.255159,0.500035", \ - "0.021323,0.030988,0.043400,0.072215,0.132906,0.255178,0.500034"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.121149,0.131565,0.139470,0.152187,0.173329,0.210818,0.282015", \ - "0.126424,0.136843,0.144748,0.157464,0.178606,0.216093,0.287293", \ - "0.141863,0.152278,0.160182,0.172897,0.194041,0.231530,0.302730", \ - "0.167810,0.178248,0.186156,0.198874,0.220027,0.257521,0.328718", \ - "0.198521,0.209046,0.216943,0.229469,0.250696,0.288233,0.359431", \ - "0.229293,0.240004,0.248000,0.260290,0.281545,0.319145,0.390360", \ - "0.256700,0.267735,0.275914,0.288522,0.309960,0.347669,0.418920"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.363069,0.383732,0.400653,0.432459,0.496205,0.624176,0.879964", \ - "0.364837,0.385500,0.402420,0.434218,0.497913,0.625896,0.881708", \ - "0.376478,0.397142,0.414064,0.445855,0.509633,0.637608,0.893394", \ - "0.404928,0.425590,0.442458,0.474079,0.537838,0.665777,0.921567", \ - "0.453920,0.474576,0.491479,0.523128,0.586877,0.714795,0.970580", \ - "0.526375,0.546999,0.563914,0.595723,0.659476,0.787387,1.043161", \ - "0.621758,0.642593,0.659534,0.691274,0.754942,0.882814,1.138590"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012742,0.016729,0.020489,0.027673,0.041932,0.071347,0.132811", \ - "0.012743,0.016729,0.020489,0.027672,0.041932,0.071348,0.132815", \ - "0.012743,0.016731,0.020490,0.027674,0.041932,0.071346,0.132814", \ - "0.012816,0.016787,0.020538,0.027708,0.041953,0.071355,0.132817", \ - "0.013165,0.017082,0.020792,0.027912,0.042095,0.071434,0.132834", \ - "0.013726,0.017550,0.021200,0.028241,0.042321,0.071556,0.132875", \ - "0.014638,0.018321,0.021874,0.028788,0.042709,0.071772,0.132946"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022415,0.032119,0.044131,0.072512,0.133018,0.255256,0.500093", \ - "0.022412,0.032119,0.044130,0.072514,0.133012,0.255253,0.500091", \ - "0.022423,0.032119,0.044133,0.072510,0.133032,0.255255,0.500096", \ - "0.022410,0.032116,0.044133,0.072514,0.133010,0.255251,0.500101", \ - "0.022400,0.032109,0.044129,0.072507,0.133027,0.255257,0.500100", \ - "0.022321,0.032042,0.044089,0.072496,0.133029,0.255260,0.500105", \ - "0.022815,0.032421,0.044289,0.072544,0.133031,0.255257,0.500093"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.126031,0.136528,0.144483,0.157255,0.178447,0.215966,0.287174", \ - "0.131310,0.141807,0.149762,0.162535,0.183728,0.221245,0.292457", \ - "0.146756,0.157251,0.165201,0.177974,0.199166,0.236686,0.307897", \ - "0.172927,0.183461,0.191413,0.204192,0.225393,0.262919,0.334126", \ - "0.204585,0.215211,0.223189,0.235801,0.257078,0.294651,0.365869", \ - "0.236789,0.247631,0.255711,0.268095,0.289428,0.327080,0.398317", \ - "0.266086,0.277302,0.285581,0.298310,0.319843,0.357619,0.428891"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.398869,0.419843,0.436859,0.468681,0.532254,0.660179,0.915988", \ - "0.400832,0.421802,0.438813,0.470587,0.534230,0.662150,0.917959", \ - "0.412574,0.433561,0.450580,0.482389,0.545944,0.673885,0.929705", \ - "0.440636,0.461617,0.478578,0.510151,0.573788,0.701726,0.957525", \ - "0.488914,0.509883,0.526882,0.558489,0.622159,0.750033,1.005802", \ - "0.560354,0.581313,0.598329,0.630195,0.693849,0.821691,1.077435", \ - "0.656750,0.677707,0.694705,0.726484,0.790120,0.917948,1.173726"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013007,0.016953,0.020683,0.027828,0.042039,0.071407,0.132833", \ - "0.013008,0.016951,0.020682,0.027827,0.042041,0.071408,0.132839", \ - "0.013009,0.016955,0.020685,0.027829,0.042042,0.071407,0.132837", \ - "0.013087,0.017014,0.020735,0.027866,0.042064,0.071419,0.132842", \ - "0.013485,0.017353,0.021026,0.028099,0.042228,0.071507,0.132861", \ - "0.014129,0.017894,0.021502,0.028487,0.042498,0.071654,0.132911", \ - "0.015161,0.018768,0.022267,0.029111,0.042946,0.071912,0.132998"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.023010,0.032648,0.044458,0.072624,0.133060,0.255269,0.500110", \ - "0.023009,0.032649,0.044457,0.072622,0.133068,0.255268,0.500115", \ - "0.023012,0.032649,0.044461,0.072620,0.133056,0.255266,0.500115", \ - "0.023020,0.032651,0.044458,0.072621,0.133070,0.255265,0.500110", \ - "0.023007,0.032646,0.044456,0.072620,0.133051,0.255277,0.500113", \ - "0.022969,0.032610,0.044433,0.072610,0.133051,0.255276,0.500115", \ - "0.023047,0.032647,0.044448,0.072619,0.133062,0.255262,0.500114"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.129334,0.139750,0.147662,0.160396,0.181573,0.219097,0.290314", \ - "0.133932,0.144354,0.152264,0.165001,0.186174,0.223695,0.294913", \ - "0.150519,0.160937,0.168846,0.181582,0.202753,0.240275,0.311489", \ - "0.182120,0.192571,0.200417,0.212966,0.234144,0.271632,0.342838", \ - "0.218968,0.229427,0.237338,0.249680,0.270868,0.308455,0.379693", \ - "0.253517,0.264077,0.272065,0.284686,0.305945,0.343535,0.414750", \ - "0.283342,0.294308,0.302484,0.315381,0.336825,0.374499,0.445731"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.284927,0.303146,0.319560,0.351632,0.415807,0.543983,0.799843", \ - "0.288399,0.306618,0.323021,0.355101,0.419268,0.547466,0.803329", \ - "0.303266,0.321483,0.337876,0.369956,0.434121,0.562288,0.818177", \ - "0.334913,0.353134,0.369466,0.401251,0.465397,0.593569,0.849420", \ - "0.383281,0.401499,0.417901,0.449802,0.513953,0.642113,0.897976", \ - "0.448829,0.467183,0.483613,0.515463,0.579526,0.707652,0.963512", \ - "0.523111,0.542151,0.558617,0.590446,0.654374,0.782444,1.038289"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012787,0.016783,0.020547,0.027731,0.041985,0.071387,0.132843", \ - "0.012785,0.016783,0.020547,0.027732,0.041985,0.071387,0.132841", \ - "0.012784,0.016782,0.020545,0.027732,0.041985,0.071386,0.132836", \ - "0.012800,0.016794,0.020556,0.027737,0.041987,0.071389,0.132844", \ - "0.013089,0.017032,0.020759,0.027901,0.042102,0.071445,0.132849", \ - "0.013618,0.017456,0.021117,0.028173,0.042278,0.071536,0.132889", \ - "0.014568,0.018239,0.021792,0.028702,0.042634,0.071720,0.132936"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017772,0.028483,0.042098,0.071808,0.132763,0.255101,0.500021", \ - "0.017773,0.028483,0.042098,0.071809,0.132762,0.255100,0.500010", \ - "0.017775,0.028484,0.042097,0.071813,0.132763,0.255105,0.500020", \ - "0.017775,0.028484,0.042099,0.071810,0.132760,0.255104,0.500005", \ - "0.017786,0.028489,0.042100,0.071813,0.132773,0.255102,0.500012", \ - "0.018194,0.028721,0.042206,0.071833,0.132772,0.255108,0.500022", \ - "0.019595,0.029679,0.042696,0.071993,0.132835,0.255129,0.500013"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.129313,0.139733,0.147642,0.160378,0.181552,0.219075,0.290289", \ - "0.133971,0.144388,0.152303,0.165036,0.186218,0.223729,0.294947", \ - "0.150675,0.161094,0.169002,0.181737,0.202908,0.240431,0.311644", \ - "0.182369,0.192814,0.200664,0.213201,0.234368,0.271858,0.343077", \ - "0.219234,0.229693,0.237602,0.249953,0.271132,0.308702,0.379926", \ - "0.253617,0.264178,0.272162,0.284772,0.306053,0.343636,0.414853", \ - "0.282981,0.293954,0.302131,0.315041,0.336475,0.374151,0.445376"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.346196,0.365542,0.382121,0.414065,0.478040,0.606156,0.861978", \ - "0.348969,0.368307,0.384906,0.416868,0.480830,0.608964,0.864773", \ - "0.361704,0.381043,0.397641,0.429589,0.493583,0.621647,0.877508", \ - "0.391422,0.410769,0.427250,0.458835,0.522837,0.650919,0.906785", \ - "0.437803,0.457139,0.473711,0.505433,0.569442,0.697502,0.953354", \ - "0.502546,0.521933,0.538513,0.570348,0.634290,0.762347,1.018184", \ - "0.580823,0.600857,0.617582,0.649344,0.713178,0.841181,1.096988"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012787,0.016783,0.020547,0.027732,0.041986,0.071389,0.132841", \ - "0.012785,0.016783,0.020547,0.027731,0.041984,0.071387,0.132842", \ - "0.012785,0.016782,0.020545,0.027731,0.041985,0.071387,0.132837", \ - "0.012799,0.016794,0.020555,0.027737,0.041986,0.071389,0.132844", \ - "0.013086,0.017032,0.020758,0.027900,0.042101,0.071444,0.132849", \ - "0.013615,0.017454,0.021117,0.028173,0.042277,0.071536,0.132888", \ - "0.014576,0.018244,0.021794,0.028703,0.042634,0.071722,0.132935"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019993,0.030090,0.042958,0.072108,0.132891,0.255188,0.500057", \ - "0.019991,0.030093,0.042958,0.072108,0.132892,0.255203,0.500059", \ - "0.019991,0.030093,0.042958,0.072108,0.132905,0.255190,0.500068", \ - "0.019996,0.030092,0.042959,0.072110,0.132894,0.255188,0.500056", \ - "0.019997,0.030093,0.042959,0.072109,0.132890,0.255190,0.500067", \ - "0.020118,0.030176,0.042999,0.072122,0.132893,0.255203,0.500059", \ - "0.021356,0.031135,0.043524,0.072288,0.132942,0.255224,0.500067"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.134329,0.144843,0.152807,0.165595,0.186800,0.224332,0.295554", \ - "0.138986,0.149501,0.157464,0.170250,0.191461,0.228992,0.300205", \ - "0.155691,0.166203,0.174164,0.186951,0.208157,0.245691,0.316908", \ - "0.187629,0.198138,0.206031,0.218636,0.239848,0.277380,0.348597", \ - "0.226027,0.236624,0.244595,0.257023,0.278238,0.315810,0.387026", \ - "0.262553,0.273359,0.281456,0.294159,0.315522,0.353158,0.424398", \ - "0.294370,0.305617,0.313936,0.326964,0.348505,0.386261,0.457518"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.377192,0.396778,0.413410,0.445313,0.509221,0.637343,0.893166", \ - "0.380310,0.399894,0.416527,0.448430,0.512334,0.640449,0.896275", \ - "0.393250,0.412836,0.429479,0.461421,0.525283,0.653362,0.909201", \ - "0.422750,0.442332,0.458882,0.490441,0.554383,0.682438,0.938284", \ - "0.468966,0.488545,0.505145,0.536830,0.600652,0.728673,0.984513", \ - "0.534010,0.553605,0.570244,0.602091,0.666025,0.794022,1.049831", \ - "0.615822,0.635907,0.652639,0.684433,0.748227,0.876204,1.132010"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013033,0.016986,0.020721,0.027868,0.042080,0.071439,0.132860", \ - "0.013031,0.016987,0.020721,0.027869,0.042079,0.071439,0.132855", \ - "0.013029,0.016985,0.020719,0.027867,0.042078,0.071439,0.132857", \ - "0.013049,0.017000,0.020731,0.027876,0.042081,0.071441,0.132860", \ - "0.013400,0.017286,0.020980,0.028072,0.042215,0.071505,0.132874", \ - "0.014072,0.017833,0.021443,0.028432,0.042455,0.071632,0.132917", \ - "0.015241,0.018806,0.022282,0.029095,0.042911,0.071877,0.132983"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020479,0.030456,0.043158,0.072178,0.132920,0.255203,0.500081", \ - "0.020478,0.030457,0.043158,0.072179,0.132916,0.255206,0.500077", \ - "0.020477,0.030458,0.043157,0.072182,0.132912,0.255214,0.500081", \ - "0.020473,0.030455,0.043158,0.072179,0.132914,0.255213,0.500082", \ - "0.020475,0.030458,0.043157,0.072181,0.132928,0.255211,0.500080", \ - "0.020514,0.030484,0.043168,0.072181,0.132910,0.255220,0.500066", \ - "0.021449,0.031212,0.043570,0.072302,0.132954,0.255227,0.500076"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.124894,0.135329,0.143244,0.155989,0.177167,0.214690,0.285914", \ - "0.129478,0.139906,0.147822,0.160563,0.181742,0.219271,0.290488", \ - "0.146120,0.156541,0.164454,0.177191,0.198367,0.235901,0.307117", \ - "0.177302,0.187752,0.195601,0.208166,0.229345,0.266826,0.338030", \ - "0.212590,0.223052,0.230965,0.243311,0.264473,0.302062,0.373311", \ - "0.245275,0.255851,0.263858,0.276468,0.297758,0.335344,0.406595", \ - "0.272941,0.283969,0.292150,0.305063,0.326533,0.364225,0.435458"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.317868,0.336760,0.353271,0.385253,0.449302,0.577421,0.833274", \ - "0.321134,0.340025,0.356529,0.388513,0.452574,0.580681,0.836528", \ - "0.335468,0.354359,0.370878,0.402850,0.466901,0.595021,0.850870", \ - "0.366163,0.385052,0.401500,0.433134,0.497184,0.625292,0.881137", \ - "0.411539,0.430418,0.446921,0.478832,0.542744,0.670839,0.926685", \ - "0.472397,0.491403,0.507921,0.539848,0.603866,0.731923,0.987771", \ - "0.542978,0.562603,0.579233,0.611119,0.675054,0.803044,1.058844"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012808,0.016805,0.020568,0.027750,0.042001,0.071396,0.132846", \ - "0.012807,0.016803,0.020565,0.027748,0.042000,0.071395,0.132842", \ - "0.012796,0.016795,0.020557,0.027742,0.041995,0.071394,0.132840", \ - "0.012811,0.016805,0.020564,0.027744,0.041994,0.071391,0.132846", \ - "0.013114,0.017055,0.020777,0.027914,0.042114,0.071453,0.132857", \ - "0.013678,0.017502,0.021159,0.028204,0.042298,0.071547,0.132893", \ - "0.014699,0.018346,0.021881,0.028773,0.042680,0.071745,0.132941"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019132,0.029415,0.042576,0.071970,0.132822,0.255134,0.500019", \ - "0.019135,0.029414,0.042576,0.071970,0.132820,0.255134,0.500031", \ - "0.019131,0.029414,0.042576,0.071966,0.132814,0.255137,0.500019", \ - "0.019131,0.029413,0.042577,0.071967,0.132821,0.255137,0.500031", \ - "0.019141,0.029419,0.042578,0.071967,0.132821,0.255138,0.500021", \ - "0.019409,0.029583,0.042657,0.071992,0.132822,0.255139,0.500028", \ - "0.020626,0.030503,0.043149,0.072144,0.132876,0.255162,0.500026"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.124877,0.135309,0.143225,0.155966,0.177145,0.214672,0.285892", \ - "0.129515,0.139939,0.147856,0.160599,0.181776,0.219314,0.290530", \ - "0.146281,0.156700,0.164610,0.177351,0.198526,0.236067,0.307282", \ - "0.177533,0.187976,0.195845,0.208387,0.229564,0.267047,0.338253", \ - "0.212848,0.223323,0.231216,0.243577,0.264787,0.302328,0.373563", \ - "0.245383,0.255958,0.263958,0.276570,0.297852,0.335447,0.406679", \ - "0.272590,0.283618,0.291805,0.304714,0.326186,0.363878,0.435111"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.378738,0.398770,0.415535,0.447393,0.511270,0.639320,0.895145", \ - "0.381282,0.401324,0.418086,0.449960,0.513879,0.641882,0.897699", \ - "0.393559,0.413611,0.430357,0.462236,0.526148,0.654137,0.909956", \ - "0.422580,0.442621,0.459268,0.490853,0.554684,0.682686,0.938548", \ - "0.466595,0.486638,0.503387,0.535020,0.598962,0.726928,0.982754", \ - "0.526868,0.546948,0.563728,0.595665,0.659509,0.787462,1.043260", \ - "0.600719,0.621359,0.638275,0.670215,0.733901,0.861803,1.117560"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012807,0.016806,0.020567,0.027750,0.042000,0.071397,0.132847", \ - "0.012806,0.016804,0.020565,0.027749,0.041999,0.071395,0.132842", \ - "0.012794,0.016794,0.020558,0.027743,0.041995,0.071393,0.132841", \ - "0.012812,0.016804,0.020564,0.027745,0.041993,0.071391,0.132847", \ - "0.013110,0.017051,0.020777,0.027913,0.042112,0.071452,0.132856", \ - "0.013676,0.017503,0.021158,0.028203,0.042298,0.071546,0.132894", \ - "0.014706,0.018350,0.021887,0.028776,0.042682,0.071747,0.132940"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021247,0.031123,0.043544,0.072313,0.132952,0.255214,0.500074", \ - "0.021239,0.031121,0.043544,0.072308,0.132963,0.255216,0.500077", \ - "0.021245,0.031125,0.043543,0.072312,0.132947,0.255218,0.500078", \ - "0.021239,0.031125,0.043545,0.072311,0.132967,0.255219,0.500070", \ - "0.021243,0.031128,0.043545,0.072312,0.132948,0.255221,0.500085", \ - "0.021327,0.031187,0.043579,0.072317,0.132966,0.255227,0.500081", \ - "0.022388,0.032068,0.044091,0.072481,0.132998,0.255242,0.500088"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.129938,0.140459,0.148428,0.161222,0.182434,0.219970,0.291193", \ - "0.134568,0.145092,0.153061,0.165853,0.187064,0.224601,0.295820", \ - "0.151292,0.161814,0.169779,0.182567,0.203779,0.241316,0.312536", \ - "0.182911,0.193430,0.201320,0.213906,0.235119,0.272649,0.343860", \ - "0.219909,0.230513,0.238489,0.250924,0.272153,0.309727,0.380956", \ - "0.254698,0.265528,0.273631,0.286330,0.307709,0.345354,0.416591", \ - "0.284444,0.295749,0.304092,0.317144,0.338702,0.376474,0.447740"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.414540,0.434889,0.451732,0.483551,0.547347,0.675375,0.931174", \ - "0.417462,0.437817,0.454660,0.486531,0.550250,0.678288,0.934127", \ - "0.429959,0.450315,0.467152,0.499013,0.562765,0.690774,0.946598", \ - "0.458739,0.479096,0.495828,0.527351,0.591103,0.719184,0.974946", \ - "0.502549,0.522898,0.539723,0.571323,0.635155,0.763145,1.018953", \ - "0.562940,0.583299,0.600147,0.632092,0.695864,0.823799,1.079568", \ - "0.639783,0.660556,0.677501,0.709395,0.773085,0.900971,1.156731"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013048,0.017004,0.020736,0.027882,0.042091,0.071446,0.132862", \ - "0.013049,0.017003,0.020736,0.027881,0.042089,0.071446,0.132857", \ - "0.013037,0.016993,0.020729,0.027876,0.042086,0.071446,0.132860", \ - "0.013060,0.017006,0.020738,0.027882,0.042086,0.071445,0.132862", \ - "0.013429,0.017313,0.021000,0.028090,0.042231,0.071512,0.132878", \ - "0.014145,0.017894,0.021496,0.028471,0.042480,0.071647,0.132923", \ - "0.015395,0.018932,0.022390,0.029181,0.042969,0.071904,0.132993"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021821,0.031609,0.043829,0.072406,0.132992,0.255228,0.500078", \ - "0.021831,0.031608,0.043826,0.072403,0.132996,0.255241,0.500078", \ - "0.021831,0.031608,0.043827,0.072408,0.132997,0.255238,0.500075", \ - "0.021830,0.031609,0.043826,0.072408,0.132977,0.255240,0.500079", \ - "0.021826,0.031610,0.043828,0.072404,0.132984,0.255238,0.500092", \ - "0.021848,0.031631,0.043837,0.072408,0.132978,0.255240,0.500078", \ - "0.022641,0.032263,0.044193,0.072510,0.133010,0.255250,0.500098"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.129957,0.140479,0.148448,0.161241,0.182456,0.219992,0.291209", \ - "0.134532,0.145054,0.153023,0.165817,0.187028,0.224565,0.295785", \ - "0.151129,0.161651,0.169616,0.182404,0.203616,0.241152,0.312372", \ - "0.182677,0.193193,0.201079,0.213654,0.234869,0.272396,0.343616", \ - "0.219653,0.230256,0.238232,0.250665,0.271905,0.309479,0.380707", \ - "0.254589,0.265419,0.273529,0.286243,0.307604,0.345249,0.416485", \ - "0.284770,0.296072,0.304424,0.317451,0.339040,0.376808,0.448069"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.347003,0.366116,0.382627,0.414588,0.478570,0.606660,0.862546", \ - "0.350565,0.369677,0.386182,0.418129,0.482129,0.610228,0.866072", \ - "0.365104,0.384217,0.400720,0.432697,0.496677,0.624761,0.880613", \ - "0.395572,0.414671,0.431161,0.462801,0.526745,0.654848,0.910700", \ - "0.440753,0.459861,0.476376,0.508142,0.572149,0.700229,0.956072", \ - "0.502310,0.521462,0.537996,0.569922,0.633893,0.761961,1.017799", \ - "0.576399,0.596091,0.612722,0.644581,0.708495,0.836493,1.092301"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013049,0.017005,0.020737,0.027883,0.042091,0.071446,0.132859", \ - "0.013049,0.017002,0.020736,0.027881,0.042089,0.071446,0.132858", \ - "0.013039,0.016993,0.020729,0.027876,0.042087,0.071445,0.132858", \ - "0.013056,0.017008,0.020738,0.027883,0.042086,0.071445,0.132860", \ - "0.013429,0.017316,0.021002,0.028091,0.042232,0.071512,0.132878", \ - "0.014146,0.017894,0.021496,0.028471,0.042482,0.071645,0.132920", \ - "0.015388,0.018928,0.022386,0.029178,0.042966,0.071903,0.132993"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019606,0.029746,0.042750,0.072026,0.132853,0.255148,0.500027", \ - "0.019602,0.029745,0.042751,0.072025,0.132852,0.255138,0.500021", \ - "0.019602,0.029745,0.042749,0.072020,0.132849,0.255146,0.500029", \ - "0.019596,0.029747,0.042751,0.072026,0.132853,0.255145,0.500028", \ - "0.019606,0.029749,0.042751,0.072020,0.132844,0.255143,0.500028", \ - "0.019717,0.029814,0.042783,0.072030,0.132839,0.255143,0.500030", \ - "0.020776,0.030616,0.043209,0.072165,0.132891,0.255168,0.500029"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.129938,0.140459,0.148428,0.161222,0.182434,0.219970,0.291193", \ - "0.134568,0.145092,0.153061,0.165853,0.187064,0.224601,0.295820", \ - "0.151292,0.161814,0.169779,0.182567,0.203779,0.241316,0.312536", \ - "0.182911,0.193430,0.201320,0.213906,0.235119,0.272649,0.343860", \ - "0.219909,0.230513,0.238489,0.250924,0.272153,0.309727,0.380956", \ - "0.254698,0.265528,0.273631,0.286330,0.307709,0.345354,0.416591", \ - "0.284444,0.295749,0.304092,0.317144,0.338702,0.376474,0.447740"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.414540,0.434889,0.451732,0.483551,0.547347,0.675375,0.931174", \ - "0.417462,0.437817,0.454660,0.486531,0.550250,0.678288,0.934127", \ - "0.429959,0.450315,0.467152,0.499013,0.562765,0.690774,0.946598", \ - "0.458739,0.479096,0.495828,0.527351,0.591103,0.719184,0.974946", \ - "0.502549,0.522898,0.539723,0.571323,0.635155,0.763145,1.018953", \ - "0.562940,0.583299,0.600147,0.632092,0.695864,0.823799,1.079568", \ - "0.639783,0.660556,0.677501,0.709395,0.773085,0.900971,1.156731"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013048,0.017004,0.020736,0.027882,0.042091,0.071446,0.132862", \ - "0.013049,0.017003,0.020736,0.027881,0.042089,0.071446,0.132857", \ - "0.013037,0.016993,0.020729,0.027876,0.042086,0.071446,0.132860", \ - "0.013060,0.017006,0.020738,0.027882,0.042086,0.071445,0.132862", \ - "0.013429,0.017313,0.021000,0.028090,0.042231,0.071512,0.132878", \ - "0.014145,0.017894,0.021496,0.028471,0.042480,0.071647,0.132923", \ - "0.015395,0.018932,0.022390,0.029181,0.042969,0.071904,0.132993"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021821,0.031609,0.043829,0.072406,0.132992,0.255228,0.500078", \ - "0.021831,0.031608,0.043826,0.072403,0.132996,0.255241,0.500078", \ - "0.021831,0.031608,0.043827,0.072408,0.132997,0.255238,0.500075", \ - "0.021830,0.031609,0.043826,0.072408,0.132977,0.255240,0.500079", \ - "0.021826,0.031610,0.043828,0.072404,0.132984,0.255238,0.500092", \ - "0.021848,0.031631,0.043837,0.072408,0.132978,0.255240,0.500078", \ - "0.022641,0.032263,0.044193,0.072510,0.133010,0.255250,0.500098"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.134962,0.145563,0.153580,0.166427,0.187690,0.225262,0.296489", \ - "0.139594,0.150193,0.158212,0.171059,0.192323,0.229891,0.301119", \ - "0.156314,0.166913,0.174929,0.187772,0.209032,0.246601,0.317833", \ - "0.188156,0.198754,0.206696,0.219328,0.240593,0.278153,0.349384", \ - "0.226732,0.237442,0.245477,0.257988,0.279271,0.316886,0.388118", \ - "0.263540,0.274538,0.282738,0.295545,0.317000,0.354695,0.425939", \ - "0.295534,0.307070,0.315541,0.328704,0.350417,0.388256,0.459525"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.450380,0.471041,0.487965,0.519768,0.583421,0.711397,0.967240", \ - "0.453596,0.474253,0.491177,0.522980,0.586635,0.714614,0.970453", \ - "0.466326,0.486988,0.503903,0.535708,0.599381,0.727351,0.983205", \ - "0.494942,0.515605,0.532419,0.563902,0.627567,0.755553,1.011369", \ - "0.538544,0.559205,0.576105,0.607651,0.671442,0.799399,1.055187", \ - "0.598864,0.619533,0.636459,0.668323,0.732052,0.859941,1.115739", \ - "0.677682,0.698533,0.715509,0.747386,0.811035,0.938908,1.194688"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013317,0.017228,0.020932,0.028039,0.042201,0.071509,0.132882", \ - "0.013317,0.017226,0.020930,0.028037,0.042199,0.071511,0.132881", \ - "0.013306,0.017217,0.020923,0.028033,0.042195,0.071508,0.132884", \ - "0.013328,0.017236,0.020938,0.028042,0.042198,0.071508,0.132884", \ - "0.013764,0.017593,0.021243,0.028283,0.042360,0.071583,0.132900", \ - "0.014616,0.018290,0.021841,0.028749,0.042677,0.071759,0.132961", \ - "0.016050,0.019494,0.022883,0.029582,0.043258,0.072075,0.133051"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022414,0.032119,0.044134,0.072509,0.133016,0.255259,0.500099", \ - "0.022416,0.032120,0.044134,0.072508,0.133013,0.255261,0.500099", \ - "0.022411,0.032119,0.044132,0.072514,0.133019,0.255259,0.500103", \ - "0.022412,0.032119,0.044132,0.072513,0.133020,0.255260,0.500091", \ - "0.022419,0.032121,0.044133,0.072510,0.133018,0.255257,0.500093", \ - "0.022433,0.032126,0.044137,0.072508,0.133020,0.255258,0.500086", \ - "0.022852,0.032462,0.044326,0.072561,0.133031,0.255248,0.500094"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.131995,0.142411,0.150319,0.163055,0.184234,0.221752,0.292971", \ - "0.137186,0.147605,0.155519,0.168251,0.189433,0.226941,0.298162", \ - "0.152556,0.162974,0.170884,0.183620,0.204793,0.242312,0.313533", \ - "0.179901,0.190330,0.198247,0.210955,0.232130,0.269636,0.340844", \ - "0.214453,0.224899,0.232765,0.245207,0.266423,0.303972,0.375207", \ - "0.250792,0.261301,0.269234,0.281446,0.302646,0.340244,0.411492", \ - "0.285295,0.295955,0.303992,0.316476,0.337804,0.375435,0.446721"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.306293,0.324658,0.341084,0.373097,0.437224,0.565383,0.821265", \ - "0.309928,0.328255,0.344674,0.376665,0.440873,0.569004,0.824866", \ - "0.326270,0.344630,0.361038,0.393075,0.457204,0.585351,0.841261", \ - "0.358691,0.377055,0.393397,0.425138,0.489260,0.617411,0.873313", \ - "0.407290,0.425666,0.442076,0.473877,0.537952,0.666082,0.921944", \ - "0.474011,0.492454,0.508862,0.540746,0.604847,0.732955,0.988824", \ - "0.552244,0.571275,0.587751,0.619571,0.683524,0.811593,1.067429"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012785,0.016784,0.020547,0.027732,0.041984,0.071388,0.132840", \ - "0.012785,0.016782,0.020546,0.027732,0.041986,0.071387,0.132844", \ - "0.012784,0.016783,0.020546,0.027731,0.041986,0.071388,0.132844", \ - "0.012806,0.016797,0.020558,0.027740,0.041989,0.071388,0.132840", \ - "0.013009,0.016972,0.020706,0.027855,0.042068,0.071430,0.132849", \ - "0.013365,0.017266,0.020962,0.028062,0.042209,0.071506,0.132872", \ - "0.013932,0.017735,0.021373,0.028393,0.042442,0.071633,0.132913"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018139,0.028711,0.042211,0.071848,0.132769,0.255115,0.500023", \ - "0.018143,0.028709,0.042209,0.071843,0.132770,0.255117,0.500021", \ - "0.018146,0.028708,0.042210,0.071842,0.132770,0.255106,0.500023", \ - "0.018140,0.028710,0.042211,0.071843,0.132766,0.255106,0.500026", \ - "0.018148,0.028711,0.042211,0.071843,0.132777,0.255115,0.500015", \ - "0.018350,0.028825,0.042262,0.071861,0.132787,0.255111,0.500017", \ - "0.019606,0.029676,0.042693,0.071990,0.132838,0.255139,0.500017"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.131975,0.142393,0.150303,0.163039,0.184211,0.221733,0.292949", \ - "0.137221,0.147644,0.155554,0.168290,0.189464,0.226981,0.298203", \ - "0.152733,0.163153,0.171064,0.183799,0.204972,0.242492,0.313712", \ - "0.180154,0.190596,0.198506,0.211211,0.232389,0.269891,0.341103", \ - "0.214761,0.225213,0.233073,0.245529,0.266707,0.304264,0.375499", \ - "0.251077,0.261590,0.269510,0.281705,0.302917,0.340528,0.411765", \ - "0.285380,0.296051,0.304089,0.316559,0.337862,0.375507,0.446740"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.373443,0.393016,0.409642,0.441542,0.505446,0.633541,0.889389", \ - "0.376399,0.395976,0.412600,0.444496,0.508446,0.636496,0.892347", \ - "0.391037,0.410618,0.427240,0.459155,0.523074,0.651130,0.906977", \ - "0.421765,0.441349,0.457934,0.489460,0.553403,0.681453,0.937305", \ - "0.468455,0.488034,0.504671,0.536293,0.600229,0.728286,0.984108", \ - "0.533665,0.553258,0.569901,0.601757,0.665681,0.793678,1.049489", \ - "0.615327,0.635404,0.652131,0.683923,0.747728,0.875698,1.131507"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012785,0.016784,0.020546,0.027731,0.041984,0.071389,0.132842", \ - "0.012784,0.016782,0.020546,0.027732,0.041986,0.071388,0.132845", \ - "0.012784,0.016783,0.020546,0.027731,0.041986,0.071387,0.132843", \ - "0.012804,0.016798,0.020557,0.027740,0.041989,0.071388,0.132838", \ - "0.013010,0.016969,0.020704,0.027855,0.042067,0.071430,0.132852", \ - "0.013364,0.017263,0.020961,0.028060,0.042209,0.071505,0.132873", \ - "0.013927,0.017735,0.021371,0.028391,0.042439,0.071631,0.132912"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020469,0.030454,0.043156,0.072174,0.132922,0.255214,0.500074", \ - "0.020473,0.030456,0.043157,0.072175,0.132921,0.255212,0.500076", \ - "0.020472,0.030456,0.043157,0.072180,0.132926,0.255210,0.500080", \ - "0.020477,0.030455,0.043158,0.072177,0.132921,0.255210,0.500076", \ - "0.020473,0.030457,0.043158,0.072180,0.132913,0.255213,0.500073", \ - "0.020509,0.030482,0.043169,0.072184,0.132915,0.255216,0.500066", \ - "0.021441,0.031197,0.043560,0.072298,0.132944,0.255217,0.500076"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.136989,0.147502,0.155465,0.168254,0.189459,0.226992,0.298212", \ - "0.142241,0.152754,0.160718,0.173505,0.194715,0.232246,0.303463", \ - "0.157742,0.168255,0.176219,0.189004,0.210212,0.247746,0.318965", \ - "0.185292,0.195807,0.203759,0.216537,0.237748,0.275282,0.346499", \ - "0.220561,0.231143,0.239075,0.251595,0.272752,0.310314,0.381540", \ - "0.257988,0.268704,0.276718,0.289010,0.310303,0.347919,0.419134", \ - "0.293907,0.304862,0.313009,0.325587,0.347001,0.384698,0.455952"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.404404,0.424231,0.440917,0.472728,0.536570,0.664628,0.920521", \ - "0.407478,0.427306,0.443990,0.475821,0.539642,0.667777,0.923595", \ - "0.422235,0.442062,0.458747,0.490585,0.554414,0.682499,0.938347", \ - "0.452938,0.472767,0.489353,0.520850,0.584672,0.712787,0.968622", \ - "0.499544,0.519367,0.536019,0.567553,0.631453,0.759469,1.015306", \ - "0.564805,0.584640,0.601330,0.633170,0.697032,0.825019,1.080845", \ - "0.649062,0.669218,0.685956,0.717724,0.781514,0.909489,1.165299"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013031,0.016987,0.020720,0.027867,0.042080,0.071440,0.132857", \ - "0.013033,0.016985,0.020721,0.027869,0.042078,0.071440,0.132856", \ - "0.013030,0.016985,0.020719,0.027868,0.042079,0.071440,0.132860", \ - "0.013048,0.017001,0.020733,0.027876,0.042083,0.071440,0.132854", \ - "0.013294,0.017203,0.020905,0.028013,0.042176,0.071489,0.132873", \ - "0.013725,0.017564,0.021222,0.028269,0.042356,0.071586,0.132901", \ - "0.014391,0.018129,0.021717,0.028670,0.042640,0.071746,0.132952"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020961,0.030838,0.043369,0.072251,0.132941,0.255222,0.500090", \ - "0.020960,0.030837,0.043369,0.072253,0.132939,0.255221,0.500088", \ - "0.020960,0.030836,0.043370,0.072251,0.132943,0.255221,0.500089", \ - "0.020965,0.030837,0.043369,0.072254,0.132937,0.255219,0.500080", \ - "0.020958,0.030837,0.043369,0.072253,0.132936,0.255217,0.500088", \ - "0.020974,0.030848,0.043372,0.072247,0.132953,0.255217,0.500073", \ - "0.021630,0.031317,0.043622,0.072323,0.132960,0.255234,0.500074"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.127561,0.137995,0.145911,0.158655,0.179832,0.217355,0.288574", \ - "0.132722,0.143147,0.151066,0.163807,0.184985,0.222522,0.293742", \ - "0.148017,0.158444,0.166358,0.179094,0.200277,0.237807,0.309025", \ - "0.175042,0.185485,0.193400,0.206140,0.227317,0.264841,0.336044", \ - "0.208602,0.219055,0.226919,0.239332,0.260516,0.298064,0.369310", \ - "0.243432,0.253946,0.261874,0.274084,0.295301,0.332924,0.404179", \ - "0.275895,0.286602,0.294649,0.307126,0.328448,0.366090,0.437379"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.343577,0.362682,0.379211,0.411202,0.475171,0.603257,0.859110", \ - "0.347004,0.366116,0.382626,0.414590,0.478568,0.606664,0.862552", \ - "0.363113,0.382224,0.398748,0.430726,0.494709,0.622790,0.878642", \ - "0.394710,0.413808,0.430290,0.461906,0.525926,0.653991,0.909849", \ - "0.440332,0.459445,0.475953,0.507758,0.571744,0.699816,0.955657", \ - "0.501975,0.521130,0.537664,0.569602,0.633567,0.761635,1.017470", \ - "0.575916,0.595601,0.612227,0.644087,0.708006,0.836010,1.091816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012807,0.016804,0.020567,0.027749,0.041999,0.071396,0.132844", \ - "0.012805,0.016802,0.020565,0.027749,0.041999,0.071396,0.132849", \ - "0.012802,0.016798,0.020561,0.027745,0.041998,0.071395,0.132847", \ - "0.012818,0.016810,0.020570,0.027750,0.041997,0.071394,0.132841", \ - "0.013032,0.016990,0.020723,0.027870,0.042079,0.071437,0.132852", \ - "0.013398,0.017294,0.020990,0.028082,0.042225,0.071515,0.132880", \ - "0.013999,0.017794,0.021424,0.028434,0.042471,0.071649,0.132919"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019599,0.029745,0.042749,0.072024,0.132846,0.255145,0.500031", \ - "0.019606,0.029747,0.042751,0.072025,0.132844,0.255149,0.500030", \ - "0.019597,0.029744,0.042752,0.072020,0.132849,0.255145,0.500029", \ - "0.019603,0.029748,0.042750,0.072027,0.132850,0.255144,0.500029", \ - "0.019609,0.029747,0.042751,0.072021,0.132846,0.255143,0.500029", \ - "0.019719,0.029818,0.042786,0.072030,0.132850,0.255145,0.500030", \ - "0.020762,0.030601,0.043201,0.072161,0.132887,0.255171,0.500029"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.127541,0.137976,0.145891,0.158635,0.179812,0.217335,0.288553", \ - "0.132763,0.143182,0.151101,0.163843,0.185026,0.222554,0.293771", \ - "0.148192,0.158619,0.166532,0.179268,0.200459,0.237979,0.309198", \ - "0.175304,0.185750,0.193659,0.206381,0.227561,0.265074,0.336285", \ - "0.208904,0.219358,0.227222,0.239654,0.260796,0.298343,0.369590", \ - "0.243693,0.254222,0.262163,0.274372,0.295564,0.333160,0.404424", \ - "0.275995,0.286683,0.294718,0.307188,0.328535,0.366193,0.437419"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.410305,0.430655,0.447493,0.479355,0.543087,0.671128,0.926951", \ - "0.413070,0.433419,0.450245,0.482073,0.545889,0.673885,0.929697", \ - "0.427492,0.447847,0.464683,0.496596,0.560240,0.688367,0.944132", \ - "0.457685,0.478035,0.494766,0.526262,0.590046,0.718065,0.973920", \ - "0.502040,0.522382,0.539215,0.570699,0.634558,0.762527,1.018321", \ - "0.562593,0.582951,0.599805,0.631770,0.695527,0.823465,1.079232", \ - "0.639267,0.660044,0.676990,0.708884,0.772585,0.900468,1.156232"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012807,0.016804,0.020567,0.027749,0.041999,0.071396,0.132844", \ - "0.012805,0.016803,0.020565,0.027748,0.041999,0.071398,0.132847", \ - "0.012800,0.016798,0.020561,0.027746,0.041996,0.071395,0.132847", \ - "0.012817,0.016812,0.020570,0.027750,0.041998,0.071394,0.132841", \ - "0.013032,0.016987,0.020723,0.027870,0.042079,0.071437,0.132851", \ - "0.013399,0.017293,0.020988,0.028081,0.042225,0.071514,0.132880", \ - "0.013997,0.017793,0.021423,0.028433,0.042469,0.071648,0.132920"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021822,0.031611,0.043826,0.072401,0.132984,0.255238,0.500077", \ - "0.021833,0.031610,0.043829,0.072406,0.132988,0.255240,0.500082", \ - "0.021827,0.031609,0.043826,0.072407,0.132985,0.255240,0.500079", \ - "0.021822,0.031611,0.043829,0.072406,0.132990,0.255240,0.500078", \ - "0.021825,0.031609,0.043827,0.072406,0.132996,0.255239,0.500077", \ - "0.021851,0.031632,0.043838,0.072404,0.132991,0.255245,0.500087", \ - "0.022627,0.032256,0.044194,0.072514,0.133011,0.255240,0.500093"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.132605,0.143126,0.151096,0.163887,0.185102,0.222637,0.293858", \ - "0.137814,0.148334,0.156305,0.169097,0.190311,0.227848,0.299066", \ - "0.153268,0.163788,0.171752,0.184542,0.205755,0.243294,0.314514", \ - "0.180505,0.191031,0.198993,0.211774,0.232984,0.270522,0.341741", \ - "0.214838,0.225430,0.233360,0.245856,0.267093,0.304655,0.375878", \ - "0.250855,0.261582,0.269599,0.281902,0.303187,0.340807,0.412024", \ - "0.284867,0.295852,0.304016,0.316587,0.338028,0.375734,0.446992"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.446042,0.466698,0.483621,0.515444,0.579115,0.707103,0.962922", \ - "0.448917,0.469577,0.486504,0.518331,0.581964,0.709946,0.965788", \ - "0.463491,0.484155,0.501077,0.532891,0.596563,0.724527,0.980381", \ - "0.493621,0.514285,0.531098,0.562606,0.626206,0.754205,1.010041", \ - "0.537870,0.558529,0.575434,0.606989,0.670629,0.798613,1.054437", \ - "0.598426,0.619092,0.636022,0.667909,0.731632,0.859512,1.115307", \ - "0.677185,0.698043,0.715028,0.746882,0.810549,0.938429,1.194213"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013050,0.017003,0.020737,0.027883,0.042090,0.071447,0.132864", \ - "0.013047,0.017003,0.020736,0.027881,0.042090,0.071449,0.132862", \ - "0.013043,0.016999,0.020732,0.027880,0.042088,0.071447,0.132865", \ - "0.013063,0.017012,0.020743,0.027885,0.042091,0.071445,0.132859", \ - "0.013320,0.017223,0.020925,0.028029,0.042188,0.071495,0.132877", \ - "0.013767,0.017599,0.021252,0.028295,0.042373,0.071595,0.132904", \ - "0.014475,0.018200,0.021777,0.028720,0.042672,0.071765,0.132957"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022414,0.032119,0.044130,0.072507,0.133014,0.255252,0.500092", \ - "0.022415,0.032119,0.044132,0.072506,0.133014,0.255259,0.500091", \ - "0.022415,0.032120,0.044132,0.072510,0.133025,0.255256,0.500086", \ - "0.022416,0.032119,0.044131,0.072512,0.133024,0.255262,0.500104", \ - "0.022412,0.032117,0.044131,0.072512,0.133011,0.255259,0.500085", \ - "0.022432,0.032127,0.044136,0.072507,0.133028,0.255259,0.500087", \ - "0.022857,0.032475,0.044334,0.072571,0.133029,0.255257,0.500092"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.132622,0.143146,0.151114,0.163906,0.185121,0.222658,0.293877", \ - "0.137780,0.148298,0.156267,0.169061,0.190272,0.227808,0.299033", \ - "0.153101,0.163622,0.171587,0.184376,0.205589,0.243128,0.314349", \ - "0.180232,0.190765,0.198743,0.211522,0.232733,0.270271,0.341487", \ - "0.214538,0.225129,0.233065,0.245560,0.266809,0.304373,0.375594", \ - "0.250581,0.261316,0.269335,0.281624,0.302911,0.340533,0.411757", \ - "0.284786,0.295770,0.303928,0.316520,0.337946,0.375651,0.446913"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.372669,0.392002,0.408567,0.440439,0.504407,0.632481,0.888329", \ - "0.376177,0.395505,0.412070,0.443940,0.507901,0.635992,0.891832", \ - "0.392436,0.411760,0.428346,0.460198,0.524144,0.652277,0.908097", \ - "0.423948,0.443276,0.459744,0.491290,0.555300,0.683360,0.939189", \ - "0.469481,0.488816,0.505367,0.537082,0.600945,0.728988,0.984832", \ - "0.531448,0.550797,0.567390,0.599313,0.663256,0.791282,1.047106", \ - "0.608244,0.628016,0.644671,0.676524,0.740409,0.868415,1.124215"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013051,0.017003,0.020737,0.027882,0.042090,0.071449,0.132863", \ - "0.013047,0.017002,0.020736,0.027882,0.042091,0.071447,0.132865", \ - "0.013043,0.016998,0.020733,0.027878,0.042088,0.071448,0.132866", \ - "0.013061,0.017013,0.020744,0.027886,0.042091,0.071448,0.132857", \ - "0.013319,0.017225,0.020924,0.028030,0.042187,0.071497,0.132875", \ - "0.013766,0.017603,0.021255,0.028296,0.042375,0.071597,0.132903", \ - "0.014477,0.018202,0.021778,0.028721,0.042674,0.071766,0.132957"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020074,0.030091,0.042935,0.072082,0.132860,0.255156,0.500032", \ - "0.020072,0.030091,0.042935,0.072084,0.132863,0.255162,0.500031", \ - "0.020081,0.030091,0.042935,0.072083,0.132859,0.255164,0.500040", \ - "0.020069,0.030090,0.042935,0.072080,0.132859,0.255164,0.500036", \ - "0.020074,0.030092,0.042936,0.072080,0.132864,0.255151,0.500029", \ - "0.020114,0.030119,0.042945,0.072090,0.132870,0.255156,0.500032", \ - "0.020977,0.030749,0.043284,0.072191,0.132888,0.255176,0.500038"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.132605,0.143126,0.151096,0.163887,0.185102,0.222637,0.293858", \ - "0.137814,0.148334,0.156305,0.169097,0.190311,0.227848,0.299066", \ - "0.153268,0.163788,0.171752,0.184542,0.205755,0.243294,0.314514", \ - "0.180505,0.191031,0.198993,0.211774,0.232984,0.270522,0.341741", \ - "0.214838,0.225430,0.233360,0.245856,0.267093,0.304655,0.375878", \ - "0.250855,0.261582,0.269599,0.281902,0.303187,0.340807,0.412024", \ - "0.284867,0.295852,0.304016,0.316587,0.338028,0.375734,0.446992"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.446042,0.466698,0.483621,0.515444,0.579115,0.707103,0.962922", \ - "0.448917,0.469577,0.486504,0.518331,0.581964,0.709946,0.965788", \ - "0.463491,0.484155,0.501077,0.532891,0.596563,0.724527,0.980381", \ - "0.493621,0.514285,0.531098,0.562606,0.626206,0.754205,1.010041", \ - "0.537870,0.558529,0.575434,0.606989,0.670629,0.798613,1.054437", \ - "0.598426,0.619092,0.636022,0.667909,0.731632,0.859512,1.115307", \ - "0.677185,0.698043,0.715028,0.746882,0.810549,0.938429,1.194213"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013050,0.017003,0.020737,0.027883,0.042090,0.071447,0.132864", \ - "0.013047,0.017003,0.020736,0.027881,0.042090,0.071449,0.132862", \ - "0.013043,0.016999,0.020732,0.027880,0.042088,0.071447,0.132865", \ - "0.013063,0.017012,0.020743,0.027885,0.042091,0.071445,0.132859", \ - "0.013320,0.017223,0.020925,0.028029,0.042188,0.071495,0.132877", \ - "0.013767,0.017599,0.021252,0.028295,0.042373,0.071595,0.132904", \ - "0.014475,0.018200,0.021777,0.028720,0.042672,0.071765,0.132957"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022414,0.032119,0.044130,0.072507,0.133014,0.255252,0.500092", \ - "0.022415,0.032119,0.044132,0.072506,0.133014,0.255259,0.500091", \ - "0.022415,0.032120,0.044132,0.072510,0.133025,0.255256,0.500086", \ - "0.022416,0.032119,0.044131,0.072512,0.133024,0.255262,0.500104", \ - "0.022412,0.032117,0.044131,0.072512,0.133011,0.255259,0.500085", \ - "0.022432,0.032127,0.044136,0.072507,0.133028,0.255259,0.500087", \ - "0.022857,0.032475,0.044334,0.072571,0.133029,0.255257,0.500092"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.137626,0.148229,0.156246,0.169093,0.190355,0.227925,0.299158", \ - "0.142835,0.153437,0.161456,0.174304,0.195566,0.233135,0.304364", \ - "0.158293,0.168891,0.176906,0.189749,0.211011,0.248586,0.319815", \ - "0.185637,0.196246,0.204255,0.217075,0.238336,0.275910,0.347141", \ - "0.220706,0.231387,0.239376,0.251954,0.273193,0.310789,0.382023", \ - "0.257872,0.268737,0.276825,0.289214,0.310557,0.348229,0.419471", \ - "0.293503,0.304650,0.312896,0.325586,0.347109,0.384879,0.456160"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.481807,0.502795,0.519828,0.551610,0.615161,0.743098,0.998909", \ - "0.484771,0.505752,0.522771,0.554551,0.618189,0.746110,1.001958", \ - "0.499466,0.520451,0.537472,0.569267,0.632818,0.760755,1.016592", \ - "0.529571,0.550558,0.567469,0.598914,0.662526,0.790442,1.046295", \ - "0.573727,0.594719,0.611718,0.643265,0.706830,0.834769,1.090572", \ - "0.634234,0.655213,0.672233,0.704029,0.767678,0.895571,1.151355", \ - "0.713991,0.735075,0.752121,0.783987,0.847632,0.975476,1.231238"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013317,0.017227,0.020930,0.028039,0.042201,0.071511,0.132887", \ - "0.013315,0.017228,0.020929,0.028037,0.042199,0.071511,0.132883", \ - "0.013312,0.017222,0.020927,0.028035,0.042198,0.071510,0.132886", \ - "0.013328,0.017238,0.020940,0.028044,0.042202,0.071510,0.132883", \ - "0.013620,0.017479,0.021146,0.028207,0.042314,0.071565,0.132895", \ - "0.014143,0.017919,0.021532,0.028523,0.042537,0.071689,0.132939", \ - "0.014949,0.018608,0.022137,0.029015,0.042888,0.071891,0.133007"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.023017,0.032650,0.044460,0.072622,0.133060,0.255266,0.500105", \ - "0.023021,0.032649,0.044460,0.072620,0.133057,0.255264,0.500098", \ - "0.023012,0.032648,0.044459,0.072622,0.133069,0.255266,0.500103", \ - "0.023008,0.032650,0.044460,0.072620,0.133056,0.255264,0.500112", \ - "0.023014,0.032650,0.044458,0.072623,0.133068,0.255263,0.500113", \ - "0.023013,0.032653,0.044462,0.072619,0.133059,0.255263,0.500105", \ - "0.023227,0.032815,0.044553,0.072651,0.133062,0.255267,0.500111"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.138303,0.148879,0.156886,0.169728,0.191000,0.228591,0.299852", \ - "0.142758,0.153330,0.161336,0.174177,0.195447,0.233055,0.304311", \ - "0.159326,0.169894,0.177889,0.190727,0.211993,0.249590,0.320846", \ - "0.192326,0.202881,0.210811,0.223415,0.244677,0.282228,0.353462", \ - "0.233906,0.244457,0.252427,0.264824,0.286035,0.323648,0.394875", \ - "0.274294,0.284913,0.292946,0.305593,0.326899,0.364512,0.435773", \ - "0.310742,0.321692,0.329865,0.342758,0.364239,0.401928,0.473171"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.324044,0.342389,0.358828,0.390904,0.455041,0.583188,0.839057", \ - "0.328338,0.346684,0.363117,0.395179,0.459325,0.587499,0.843366", \ - "0.344930,0.363274,0.379710,0.411735,0.475908,0.604099,0.859959", \ - "0.377536,0.395876,0.412224,0.444095,0.508234,0.636424,0.892282", \ - "0.425200,0.443548,0.459960,0.491587,0.555660,0.683823,0.939680", \ - "0.487182,0.505573,0.521988,0.553839,0.617963,0.746114,1.002016", \ - "0.557173,0.576052,0.592551,0.624438,0.688491,0.816573,1.072420"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013212,0.017168,0.020898,0.028034,0.042220,0.071540,0.132915", \ - "0.013205,0.017165,0.020896,0.028033,0.042219,0.071540,0.132917", \ - "0.013186,0.017147,0.020880,0.028020,0.042211,0.071533,0.132910", \ - "0.013115,0.017088,0.020828,0.027976,0.042176,0.071516,0.132901", \ - "0.013297,0.017228,0.020946,0.028065,0.042224,0.071528,0.132899", \ - "0.013731,0.017573,0.021235,0.028284,0.042372,0.071610,0.132933", \ - "0.014536,0.018229,0.021797,0.028723,0.042668,0.071759,0.132969"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018031,0.028657,0.042190,0.071842,0.132783,0.255126,0.500034", \ - "0.018029,0.028660,0.042192,0.071847,0.132774,0.255127,0.500035", \ - "0.018026,0.028660,0.042189,0.071846,0.132785,0.255129,0.500024", \ - "0.018030,0.028658,0.042191,0.071846,0.132773,0.255125,0.500020", \ - "0.018035,0.028660,0.042191,0.071846,0.132775,0.255125,0.500020", \ - "0.018196,0.028749,0.042230,0.071852,0.132778,0.255126,0.500026", \ - "0.019234,0.029448,0.042584,0.071964,0.132839,0.255144,0.500039"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.135548,0.146115,0.154107,0.166945,0.188222,0.225792,0.297048", \ - "0.139990,0.150546,0.158538,0.171373,0.192637,0.230224,0.301487", \ - "0.156595,0.167141,0.175133,0.187958,0.209218,0.246797,0.318062", \ - "0.189482,0.200024,0.207936,0.220510,0.241763,0.279314,0.350547", \ - "0.230132,0.240660,0.248601,0.261003,0.282238,0.319860,0.391116", \ - "0.269261,0.279868,0.287898,0.300532,0.321851,0.359477,0.430702", \ - "0.304162,0.315126,0.323303,0.336194,0.357671,0.395365,0.466615"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.376922,0.396258,0.412879,0.444784,0.508755,0.636858,0.892729", \ - "0.380894,0.400228,0.416836,0.448811,0.512753,0.640822,0.896669", \ - "0.396643,0.415978,0.432576,0.464540,0.528505,0.656584,0.912429", \ - "0.427608,0.446948,0.463456,0.495089,0.559095,0.687201,0.943029", \ - "0.471476,0.490819,0.507367,0.538872,0.602783,0.730839,0.986696", \ - "0.527875,0.547233,0.563829,0.595671,0.659592,0.787630,1.043455", \ - "0.593348,0.613137,0.629816,0.661703,0.725607,0.853623,1.109445"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013168,0.017131,0.020866,0.028009,0.042200,0.071528,0.132907", \ - "0.013162,0.017127,0.020862,0.028006,0.042198,0.071529,0.132907", \ - "0.013134,0.017104,0.020842,0.027988,0.042186,0.071522,0.132907", \ - "0.013071,0.017048,0.020790,0.027946,0.042154,0.071500,0.132898", \ - "0.013270,0.017203,0.020925,0.028046,0.042211,0.071518,0.132901", \ - "0.013729,0.017568,0.021228,0.028276,0.042365,0.071603,0.132930", \ - "0.014573,0.018257,0.021819,0.028738,0.042675,0.071759,0.132963"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019998,0.030093,0.042956,0.072109,0.132887,0.255186,0.500057", \ - "0.019994,0.030093,0.042958,0.072114,0.132891,0.255187,0.500057", \ - "0.019989,0.030093,0.042956,0.072116,0.132907,0.255188,0.500057", \ - "0.019990,0.030093,0.042956,0.072116,0.132894,0.255191,0.500059", \ - "0.019996,0.030093,0.042959,0.072111,0.132897,0.255200,0.500058", \ - "0.020036,0.030122,0.042974,0.072113,0.132905,0.255198,0.500059", \ - "0.020877,0.030758,0.043318,0.072224,0.132932,0.255201,0.500068"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.140780,0.151438,0.159488,0.172375,0.193677,0.231271,0.302521", \ - "0.145208,0.155863,0.163914,0.176799,0.198100,0.235695,0.306943", \ - "0.161790,0.172437,0.180480,0.193357,0.214656,0.252250,0.323498", \ - "0.194798,0.205419,0.213371,0.226013,0.247302,0.284878,0.356118", \ - "0.236786,0.247456,0.255470,0.267931,0.289222,0.326836,0.398059", \ - "0.277946,0.288785,0.296902,0.309625,0.331026,0.368693,0.439944", \ - "0.315150,0.326383,0.334686,0.347692,0.369272,0.407033,0.478303"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.407889,0.427473,0.444105,0.476008,0.539914,0.668032,0.923856", \ - "0.412202,0.431775,0.448401,0.480303,0.544220,0.672346,0.928163", \ - "0.428151,0.447724,0.464402,0.496244,0.560205,0.688247,0.944080", \ - "0.458924,0.478503,0.495036,0.526722,0.590642,0.718679,0.974521", \ - "0.502620,0.522191,0.538804,0.570139,0.634039,0.762086,1.017968", \ - "0.559039,0.578628,0.595249,0.627054,0.690951,0.818988,1.074800", \ - "0.626712,0.646640,0.663344,0.695216,0.759068,0.887084,1.142899"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013415,0.017333,0.021038,0.028144,0.042293,0.071583,0.132927", \ - "0.013408,0.017327,0.021033,0.028140,0.042291,0.071581,0.132925", \ - "0.013380,0.017305,0.021013,0.028122,0.042279,0.071574,0.132925", \ - "0.013321,0.017252,0.020966,0.028082,0.042247,0.071554,0.132913", \ - "0.013565,0.017449,0.021131,0.028205,0.042317,0.071576,0.132914", \ - "0.014156,0.017921,0.021533,0.028516,0.042529,0.071690,0.132953", \ - "0.015193,0.018778,0.022269,0.029098,0.042929,0.071898,0.133011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020476,0.030457,0.043155,0.072182,0.132927,0.255204,0.500081", \ - "0.020468,0.030454,0.043156,0.072175,0.132913,0.255210,0.500082", \ - "0.020473,0.030455,0.043157,0.072176,0.132913,0.255213,0.500081", \ - "0.020470,0.030456,0.043157,0.072175,0.132911,0.255215,0.500074", \ - "0.020469,0.030455,0.043156,0.072177,0.132923,0.255213,0.500067", \ - "0.020491,0.030467,0.043162,0.072176,0.132913,0.255212,0.500078", \ - "0.021106,0.030957,0.043423,0.072261,0.132932,0.255212,0.500076"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.130755,0.141384,0.149424,0.162304,0.183616,0.221236,0.292525", \ - "0.135272,0.145902,0.153944,0.166823,0.188132,0.225749,0.297036", \ - "0.152274,0.162884,0.170904,0.183772,0.205071,0.242691,0.313967", \ - "0.185315,0.195913,0.203858,0.216508,0.237782,0.275342,0.346596", \ - "0.225284,0.235847,0.243823,0.256270,0.277472,0.315097,0.386361", \ - "0.263722,0.274359,0.282412,0.295063,0.316405,0.354043,0.425302", \ - "0.297908,0.308948,0.317146,0.330066,0.351563,0.389288,0.460548"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.357255,0.376239,0.392763,0.424752,0.488792,0.616891,0.872750", \ - "0.361343,0.380333,0.396844,0.428833,0.492887,0.620960,0.876827", \ - "0.377378,0.396378,0.412911,0.444901,0.508933,0.637037,0.892888", \ - "0.409604,0.428593,0.445029,0.476840,0.540882,0.668956,0.924837", \ - "0.456490,0.475491,0.492001,0.523548,0.587511,0.715617,0.971493", \ - "0.516391,0.535425,0.551964,0.583870,0.647867,0.775920,1.031760", \ - "0.584544,0.604014,0.620645,0.652570,0.716549,0.844551,1.100376"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013337,0.017288,0.021012,0.028139,0.042305,0.071601,0.132943", \ - "0.013329,0.017279,0.021005,0.028133,0.042300,0.071598,0.132943", \ - "0.013277,0.017236,0.020967,0.028101,0.042277,0.071585,0.132938", \ - "0.013178,0.017148,0.020884,0.028028,0.042221,0.071550,0.132920", \ - "0.013381,0.017301,0.021013,0.028125,0.042276,0.071564,0.132919", \ - "0.013855,0.017677,0.021328,0.028360,0.042429,0.071644,0.132945", \ - "0.014744,0.018403,0.021950,0.028845,0.042754,0.071808,0.132987"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019333,0.029571,0.042664,0.072001,0.132835,0.255148,0.500041", \ - "0.019327,0.029571,0.042663,0.071993,0.132845,0.255150,0.500045", \ - "0.019333,0.029570,0.042661,0.071995,0.132829,0.255152,0.500044", \ - "0.019334,0.029571,0.042664,0.071998,0.132838,0.255155,0.500045", \ - "0.019337,0.029571,0.042661,0.071996,0.132834,0.255152,0.500045", \ - "0.019426,0.029627,0.042690,0.072006,0.132835,0.255155,0.500033", \ - "0.020312,0.030282,0.043037,0.072116,0.132869,0.255157,0.500043"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.128882,0.139484,0.147506,0.160368,0.181661,0.219270,0.290546", \ - "0.133382,0.143983,0.152007,0.164868,0.186158,0.223766,0.295040", \ - "0.150375,0.160953,0.168953,0.181801,0.203085,0.240689,0.311955", \ - "0.183132,0.193704,0.201626,0.214228,0.235494,0.273040,0.344274", \ - "0.222150,0.232696,0.240655,0.253077,0.274325,0.311948,0.383206", \ - "0.259354,0.270005,0.278050,0.290706,0.312028,0.349665,0.420900", \ - "0.292060,0.303107,0.311306,0.324226,0.345739,0.383454,0.454694"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.408843,0.428875,0.445641,0.477543,0.541390,0.669425,0.925249", \ - "0.412551,0.432596,0.449359,0.481279,0.545154,0.673149,0.928974", \ - "0.427768,0.447809,0.464621,0.496461,0.560337,0.688374,0.944184", \ - "0.458392,0.478431,0.495075,0.526734,0.590594,0.718622,0.974439", \ - "0.501672,0.521714,0.538496,0.569852,0.633703,0.761698,1.017517", \ - "0.556452,0.576507,0.593292,0.625094,0.689043,0.816992,1.072799", \ - "0.620350,0.640775,0.657644,0.689620,0.753441,0.881380,1.137152"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013258,0.017219,0.020950,0.028085,0.042265,0.071575,0.132933", \ - "0.013249,0.017207,0.020941,0.028077,0.042260,0.071569,0.132931", \ - "0.013194,0.017164,0.020900,0.028045,0.042233,0.071556,0.132924", \ - "0.013114,0.017089,0.020832,0.027982,0.042186,0.071526,0.132916", \ - "0.013337,0.017262,0.020975,0.028091,0.042252,0.071545,0.132911", \ - "0.013836,0.017658,0.021305,0.028341,0.042410,0.071630,0.132939", \ - "0.014764,0.018415,0.021958,0.028849,0.042750,0.071803,0.132979"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021247,0.031124,0.043544,0.072310,0.132966,0.255214,0.500077", \ - "0.021246,0.031124,0.043544,0.072311,0.132967,0.255226,0.500075", \ - "0.021241,0.031125,0.043545,0.072310,0.132950,0.255216,0.500080", \ - "0.021240,0.031126,0.043543,0.072304,0.132949,0.255227,0.500080", \ - "0.021249,0.031126,0.043546,0.072312,0.132953,0.255222,0.500079", \ - "0.021269,0.031149,0.043555,0.072313,0.132966,0.255221,0.500071", \ - "0.021923,0.031708,0.043879,0.072411,0.132980,0.255232,0.500089"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.134185,0.144880,0.152952,0.165865,0.187194,0.224812,0.296071", \ - "0.138681,0.149376,0.157447,0.170357,0.191684,0.229297,0.300558", \ - "0.155577,0.166253,0.174309,0.187205,0.208522,0.246138,0.317393", \ - "0.188596,0.199228,0.207201,0.219860,0.241159,0.278748,0.350004", \ - "0.229146,0.239840,0.247863,0.260323,0.281662,0.319285,0.390518", \ - "0.268510,0.279398,0.287534,0.300271,0.321666,0.359362,0.430622", \ - "0.303607,0.314916,0.323262,0.336282,0.357890,0.395685,0.466959"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.444636,0.464986,0.481828,0.513652,0.577473,0.705494,0.961272", \ - "0.448738,0.469088,0.485931,0.517756,0.581553,0.709585,0.965373", \ - "0.464186,0.484537,0.501383,0.533278,0.596955,0.725042,0.980812", \ - "0.494546,0.514899,0.531627,0.563232,0.626984,0.754998,1.010791", \ - "0.537639,0.557995,0.574813,0.606147,0.669888,0.797902,1.053756", \ - "0.592373,0.612717,0.629561,0.661352,0.725143,0.853081,1.108873", \ - "0.658115,0.678685,0.695599,0.727493,0.791228,0.919170,1.174958"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013495,0.017412,0.021115,0.028214,0.042353,0.071622,0.132947", \ - "0.013488,0.017404,0.021106,0.028207,0.042347,0.071621,0.132946", \ - "0.013437,0.017360,0.021067,0.028175,0.042325,0.071607,0.132944", \ - "0.013360,0.017291,0.021003,0.028117,0.042278,0.071576,0.132931", \ - "0.013642,0.017510,0.021188,0.028256,0.042358,0.071602,0.132930", \ - "0.014279,0.018024,0.021621,0.028588,0.042581,0.071722,0.132965", \ - "0.015408,0.018958,0.022425,0.029223,0.043015,0.071948,0.133024"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021820,0.031610,0.043827,0.072407,0.132992,0.255239,0.500077", \ - "0.021822,0.031611,0.043826,0.072408,0.132983,0.255238,0.500076", \ - "0.021829,0.031609,0.043828,0.072403,0.132993,0.255239,0.500077", \ - "0.021832,0.031610,0.043827,0.072402,0.132989,0.255228,0.500078", \ - "0.021830,0.031610,0.043827,0.072405,0.132994,0.255239,0.500076", \ - "0.021838,0.031618,0.043830,0.072402,0.132993,0.255240,0.500082", \ - "0.022287,0.031988,0.044036,0.072463,0.132993,0.255232,0.500077"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.136086,0.146813,0.154899,0.167831,0.189177,0.226809,0.298074", \ - "0.140604,0.151328,0.159416,0.172347,0.193689,0.231317,0.302584", \ - "0.157531,0.168238,0.176313,0.189230,0.210562,0.248195,0.319454", \ - "0.190787,0.201453,0.209432,0.222111,0.243425,0.281031,0.352267", \ - "0.232221,0.242929,0.250962,0.263439,0.284786,0.322419,0.393653", \ - "0.272756,0.283643,0.291784,0.304517,0.325943,0.363628,0.434893", \ - "0.309315,0.320615,0.328951,0.341992,0.363575,0.401359,0.472640"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.386557,0.405769,0.422324,0.454294,0.518292,0.646342,0.902190", \ - "0.390932,0.410144,0.426698,0.458667,0.522662,0.650715,0.906565", \ - "0.407187,0.426402,0.442955,0.474927,0.538888,0.666970,0.922820", \ - "0.439224,0.458434,0.474894,0.506642,0.570549,0.698684,0.954532", \ - "0.485945,0.505168,0.521655,0.553179,0.617120,0.745192,1.001038", \ - "0.545979,0.565205,0.581761,0.613681,0.677645,0.805693,1.061517", \ - "0.616488,0.636084,0.652714,0.684650,0.748562,0.876594,1.132412"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013575,0.017482,0.021178,0.028267,0.042392,0.071649,0.132960", \ - "0.013566,0.017474,0.021171,0.028260,0.042388,0.071645,0.132961", \ - "0.013515,0.017431,0.021132,0.028230,0.042365,0.071633,0.132959", \ - "0.013422,0.017346,0.021054,0.028161,0.042311,0.071599,0.132936", \ - "0.013674,0.017546,0.021221,0.028285,0.042379,0.071617,0.132935", \ - "0.014288,0.018037,0.021633,0.028603,0.042596,0.071730,0.132974", \ - "0.015374,0.018932,0.022404,0.029209,0.043008,0.071947,0.133031"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019795,0.029903,0.042841,0.072051,0.132868,0.255154,0.500033", \ - "0.019800,0.029906,0.042841,0.072053,0.132860,0.255154,0.500034", \ - "0.019797,0.029903,0.042839,0.072052,0.132865,0.255155,0.500036", \ - "0.019807,0.029905,0.042839,0.072053,0.132868,0.255159,0.500037", \ - "0.019804,0.029904,0.042841,0.072055,0.132865,0.255156,0.500036", \ - "0.019834,0.029926,0.042848,0.072060,0.132857,0.255160,0.500036", \ - "0.020542,0.030456,0.043132,0.072145,0.132890,0.255176,0.500055"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.134185,0.144880,0.152952,0.165865,0.187194,0.224812,0.296071", \ - "0.138681,0.149376,0.157447,0.170357,0.191684,0.229297,0.300558", \ - "0.155577,0.166253,0.174309,0.187205,0.208522,0.246138,0.317393", \ - "0.188596,0.199228,0.207201,0.219860,0.241159,0.278748,0.350004", \ - "0.229146,0.239840,0.247863,0.260323,0.281662,0.319285,0.390518", \ - "0.268510,0.279398,0.287534,0.300271,0.321666,0.359362,0.430622", \ - "0.303607,0.314916,0.323262,0.336282,0.357890,0.395685,0.466959"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.444636,0.464986,0.481828,0.513652,0.577473,0.705494,0.961272", \ - "0.448738,0.469088,0.485931,0.517756,0.581553,0.709585,0.965373", \ - "0.464186,0.484537,0.501383,0.533278,0.596955,0.725042,0.980812", \ - "0.494546,0.514899,0.531627,0.563232,0.626984,0.754998,1.010791", \ - "0.537639,0.557995,0.574813,0.606147,0.669888,0.797902,1.053756", \ - "0.592373,0.612717,0.629561,0.661352,0.725143,0.853081,1.108873", \ - "0.658115,0.678685,0.695599,0.727493,0.791228,0.919170,1.174958"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013495,0.017412,0.021115,0.028214,0.042353,0.071622,0.132947", \ - "0.013488,0.017404,0.021106,0.028207,0.042347,0.071621,0.132946", \ - "0.013437,0.017360,0.021067,0.028175,0.042325,0.071607,0.132944", \ - "0.013360,0.017291,0.021003,0.028117,0.042278,0.071576,0.132931", \ - "0.013642,0.017510,0.021188,0.028256,0.042358,0.071602,0.132930", \ - "0.014279,0.018024,0.021621,0.028588,0.042581,0.071722,0.132965", \ - "0.015408,0.018958,0.022425,0.029223,0.043015,0.071948,0.133024"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021820,0.031610,0.043827,0.072407,0.132992,0.255239,0.500077", \ - "0.021822,0.031611,0.043826,0.072408,0.132983,0.255238,0.500076", \ - "0.021829,0.031609,0.043828,0.072403,0.132993,0.255239,0.500077", \ - "0.021832,0.031610,0.043827,0.072402,0.132989,0.255228,0.500078", \ - "0.021830,0.031610,0.043827,0.072405,0.132994,0.255239,0.500076", \ - "0.021838,0.031618,0.043830,0.072402,0.132993,0.255240,0.500082", \ - "0.022287,0.031988,0.044036,0.072463,0.132993,0.255232,0.500077"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.139445,0.150217,0.158334,0.171299,0.192674,0.230330,0.301596", \ - "0.143939,0.154706,0.162824,0.175783,0.197156,0.234806,0.306072", \ - "0.160793,0.171551,0.179654,0.192605,0.213966,0.251613,0.322881", \ - "0.193932,0.204653,0.212664,0.225376,0.246721,0.284353,0.355604", \ - "0.235910,0.246705,0.254793,0.267352,0.288718,0.326373,0.397622", \ - "0.277200,0.288243,0.296463,0.309275,0.330761,0.368494,0.439773", \ - "0.314414,0.325942,0.334401,0.347563,0.369261,0.407121,0.478413"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.480431,0.501098,0.518037,0.549845,0.613489,0.741458,0.997301", \ - "0.484823,0.505486,0.522411,0.554231,0.617875,0.745833,1.001685", \ - "0.500506,0.521169,0.538093,0.569902,0.633623,0.761597,1.017418", \ - "0.530669,0.551331,0.568174,0.599791,0.663407,0.791401,1.047243", \ - "0.573615,0.594278,0.611194,0.642474,0.706157,0.834132,1.089924", \ - "0.628217,0.648880,0.665806,0.697511,0.761230,0.889134,1.144930", \ - "0.695006,0.715779,0.732734,0.764635,0.828378,0.956263,1.212036"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013761,0.017634,0.021307,0.028366,0.042461,0.071684,0.132970", \ - "0.013752,0.017626,0.021298,0.028359,0.042455,0.071682,0.132968", \ - "0.013700,0.017583,0.021261,0.028328,0.042433,0.071667,0.132966", \ - "0.013632,0.017519,0.021202,0.028276,0.042389,0.071636,0.132951", \ - "0.013956,0.017779,0.021420,0.028438,0.042483,0.071674,0.132949", \ - "0.014727,0.018400,0.021949,0.028851,0.042767,0.071822,0.133001", \ - "0.016024,0.019484,0.022885,0.029597,0.043285,0.072102,0.133081"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022421,0.032117,0.044133,0.072508,0.133024,0.255249,0.500085", \ - "0.022418,0.032120,0.044132,0.072512,0.133015,0.255257,0.500100", \ - "0.022420,0.032120,0.044132,0.072513,0.133018,0.255252,0.500094", \ - "0.022410,0.032117,0.044132,0.072509,0.133032,0.255257,0.500102", \ - "0.022412,0.032117,0.044132,0.072506,0.133016,0.255249,0.500097", \ - "0.022418,0.032122,0.044131,0.072511,0.133016,0.255260,0.500095", \ - "0.022664,0.032310,0.044240,0.072540,0.133039,0.255257,0.500103"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.140973,0.151545,0.159551,0.172392,0.193663,0.231262,0.302521", \ - "0.146002,0.156566,0.164573,0.177412,0.198685,0.236293,0.307548", \ - "0.161279,0.171853,0.179856,0.192699,0.213966,0.251556,0.322815", \ - "0.189530,0.200104,0.208128,0.220939,0.242194,0.279765,0.351015", \ - "0.227076,0.237634,0.245578,0.258094,0.279376,0.316985,0.388256", \ - "0.267854,0.278444,0.286423,0.298737,0.319985,0.357640,0.428900", \ - "0.308171,0.318899,0.326953,0.339489,0.360837,0.398511,0.469796"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.345763,0.364261,0.380680,0.412682,0.476800,0.604959,0.860840", \ - "0.350163,0.368669,0.385111,0.417145,0.481261,0.609377,0.865262", \ - "0.368279,0.386773,0.403219,0.435217,0.499339,0.627484,0.883373", \ - "0.401689,0.420188,0.436517,0.468344,0.532462,0.660598,0.916458", \ - "0.449610,0.468101,0.484504,0.516089,0.580097,0.708243,0.964109", \ - "0.512114,0.530632,0.547078,0.578961,0.643005,0.771113,1.026975", \ - "0.584725,0.603666,0.620147,0.652054,0.716058,0.844153,1.100010"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013205,0.017167,0.020898,0.028034,0.042220,0.071540,0.132913", \ - "0.013204,0.017164,0.020894,0.028032,0.042218,0.071540,0.132918", \ - "0.013196,0.017156,0.020888,0.028027,0.042213,0.071537,0.132910", \ - "0.013162,0.017126,0.020860,0.028002,0.042197,0.071526,0.132907", \ - "0.013291,0.017224,0.020943,0.028065,0.042232,0.071538,0.132909", \ - "0.013578,0.017467,0.021152,0.028231,0.042350,0.071604,0.132928", \ - "0.014040,0.017851,0.021488,0.028502,0.042537,0.071709,0.132959"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018402,0.028891,0.042306,0.071883,0.132795,0.255140,0.500029", \ - "0.018401,0.028893,0.042306,0.071884,0.132803,0.255127,0.500028", \ - "0.018399,0.028892,0.042306,0.071885,0.132794,0.255127,0.500032", \ - "0.018397,0.028894,0.042305,0.071885,0.132811,0.255127,0.500039", \ - "0.018399,0.028895,0.042305,0.071878,0.132803,0.255128,0.500028", \ - "0.018465,0.028930,0.042322,0.071888,0.132798,0.255137,0.500039", \ - "0.019365,0.029528,0.042625,0.071984,0.132822,0.255147,0.500038"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.138230,0.148794,0.156787,0.169624,0.190901,0.228470,0.299731", \ - "0.143241,0.153797,0.161786,0.174622,0.195894,0.233471,0.304737", \ - "0.158496,0.169043,0.177040,0.189867,0.211126,0.248718,0.319972", \ - "0.186629,0.197190,0.205222,0.218031,0.239276,0.276838,0.348088", \ - "0.223665,0.234214,0.242145,0.254693,0.275924,0.313523,0.384776", \ - "0.263586,0.274163,0.282141,0.294420,0.315680,0.353331,0.424573", \ - "0.302637,0.313358,0.321418,0.333929,0.355279,0.392966,0.464223"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.404237,0.423815,0.440443,0.472333,0.536243,0.664368,0.920188", \ - "0.408357,0.427932,0.444597,0.476490,0.540384,0.668450,0.924291", \ - "0.426014,0.445586,0.462223,0.494147,0.558049,0.686109,0.941950", \ - "0.458016,0.477596,0.494112,0.525708,0.589628,0.717702,0.973542", \ - "0.502192,0.521766,0.538372,0.569770,0.633666,0.761706,1.017553", \ - "0.558788,0.578378,0.595018,0.626870,0.690687,0.818711,1.074537", \ - "0.626343,0.646258,0.662966,0.694840,0.758748,0.886719,1.142552"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013165,0.017130,0.020865,0.028007,0.042200,0.071528,0.132914", \ - "0.013161,0.017125,0.020861,0.028004,0.042198,0.071528,0.132910", \ - "0.013146,0.017114,0.020850,0.027996,0.042193,0.071524,0.132907", \ - "0.013114,0.017084,0.020823,0.027973,0.042174,0.071514,0.132904", \ - "0.013252,0.017192,0.020913,0.028039,0.042213,0.071525,0.132899", \ - "0.013553,0.017445,0.021129,0.028211,0.042334,0.071591,0.132920", \ - "0.014036,0.017848,0.021480,0.028494,0.042529,0.071701,0.132955"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020471,0.030455,0.043155,0.072177,0.132926,0.255208,0.500082", \ - "0.020473,0.030457,0.043158,0.072176,0.132930,0.255216,0.500074", \ - "0.020477,0.030457,0.043157,0.072178,0.132926,0.255211,0.500065", \ - "0.020473,0.030457,0.043156,0.072182,0.132918,0.255213,0.500081", \ - "0.020472,0.030458,0.043154,0.072182,0.132912,0.255216,0.500068", \ - "0.020492,0.030468,0.043163,0.072179,0.132913,0.255213,0.500072", \ - "0.021087,0.030944,0.043422,0.072258,0.132940,0.255224,0.500079"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.143462,0.154114,0.162165,0.175051,0.196353,0.233949,0.305199", \ - "0.148459,0.159116,0.167165,0.180049,0.201350,0.238948,0.310195", \ - "0.163712,0.174362,0.182409,0.195289,0.216588,0.254185,0.325433", \ - "0.191950,0.202588,0.210640,0.223503,0.244792,0.282387,0.353639", \ - "0.229511,0.240188,0.248183,0.260774,0.282057,0.319658,0.390897", \ - "0.270411,0.281212,0.289263,0.301639,0.322954,0.360607,0.431853", \ - "0.310922,0.321912,0.330081,0.342694,0.364139,0.401866,0.473137"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.435165,0.454988,0.471668,0.503541,0.567350,0.695428,0.951296", \ - "0.439383,0.459212,0.475899,0.507716,0.571571,0.699640,0.955485", \ - "0.457215,0.477042,0.493729,0.525537,0.589393,0.717461,0.973306", \ - "0.489130,0.508953,0.525539,0.557114,0.620963,0.749046,1.004901", \ - "0.533243,0.553066,0.569726,0.601038,0.664794,0.792916,1.048726", \ - "0.589830,0.609655,0.626338,0.658122,0.721919,0.849898,1.105741", \ - "0.659058,0.679081,0.695806,0.727688,0.791473,0.919461,1.175288"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013414,0.017330,0.021036,0.028141,0.042294,0.071583,0.132930", \ - "0.013407,0.017326,0.021032,0.028139,0.042289,0.071579,0.132926", \ - "0.013391,0.017314,0.021021,0.028130,0.042283,0.071575,0.132926", \ - "0.013361,0.017286,0.020997,0.028108,0.042267,0.071567,0.132925", \ - "0.013530,0.017420,0.021107,0.028190,0.042315,0.071584,0.132922", \ - "0.013901,0.017732,0.021379,0.028411,0.042471,0.071668,0.132952", \ - "0.014478,0.018219,0.021806,0.028756,0.042715,0.071805,0.132992"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020957,0.030838,0.043370,0.072252,0.132936,0.255220,0.500078", \ - "0.020966,0.030836,0.043368,0.072249,0.132950,0.255223,0.500088", \ - "0.020962,0.030836,0.043370,0.072251,0.132944,0.255223,0.500089", \ - "0.020959,0.030836,0.043370,0.072249,0.132950,0.255222,0.500079", \ - "0.020960,0.030836,0.043368,0.072250,0.132955,0.255224,0.500077", \ - "0.020966,0.030841,0.043372,0.072251,0.132940,0.255217,0.500078", \ - "0.021389,0.031162,0.043541,0.072300,0.132956,0.255232,0.500083"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.133444,0.144074,0.152109,0.164990,0.186298,0.223923,0.295204", \ - "0.138518,0.149145,0.157180,0.170063,0.191367,0.229003,0.300264", \ - "0.153953,0.164569,0.172590,0.185463,0.206764,0.244388,0.315667", \ - "0.182117,0.192737,0.200775,0.213624,0.234912,0.272518,0.343761", \ - "0.218663,0.229263,0.237214,0.249794,0.271073,0.308702,0.379971", \ - "0.257904,0.268501,0.276502,0.288802,0.310120,0.347776,0.419061", \ - "0.296042,0.306807,0.314900,0.327419,0.348851,0.386564,0.457804"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.383180,0.402393,0.418948,0.450917,0.514916,0.642967,0.898816", \ - "0.387429,0.406640,0.423183,0.455101,0.519124,0.647191,0.903044", \ - "0.405269,0.424493,0.441027,0.472944,0.536960,0.665036,0.920886", \ - "0.438377,0.457598,0.474102,0.505803,0.569765,0.697867,0.953717", \ - "0.485587,0.504811,0.521290,0.552783,0.616742,0.744807,1.000655", \ - "0.545768,0.564994,0.581559,0.613473,0.677395,0.805427,1.061259", \ - "0.616136,0.635718,0.652373,0.684337,0.748191,0.876228,1.132049"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013333,0.017285,0.021010,0.028136,0.042303,0.071599,0.132947", \ - "0.013327,0.017279,0.021006,0.028133,0.042300,0.071595,0.132942", \ - "0.013299,0.017254,0.020984,0.028114,0.042288,0.071587,0.132937", \ - "0.013242,0.017202,0.020933,0.028071,0.042253,0.071569,0.132934", \ - "0.013376,0.017307,0.021018,0.028132,0.042288,0.071578,0.132925", \ - "0.013679,0.017555,0.021234,0.028302,0.042405,0.071638,0.132943", \ - "0.014182,0.017973,0.021595,0.028593,0.042604,0.071750,0.132978"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019802,0.029907,0.042841,0.072054,0.132870,0.255159,0.500036", \ - "0.019805,0.029905,0.042841,0.072055,0.132865,0.255158,0.500042", \ - "0.019806,0.029906,0.042840,0.072059,0.132856,0.255157,0.500040", \ - "0.019802,0.029908,0.042840,0.072060,0.132852,0.255160,0.500048", \ - "0.019808,0.029910,0.042843,0.072060,0.132855,0.255157,0.500036", \ - "0.019833,0.029928,0.042850,0.072056,0.132852,0.255161,0.500035", \ - "0.020523,0.030444,0.043124,0.072141,0.132880,0.255181,0.500040"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.131583,0.142185,0.150206,0.163068,0.184359,0.221966,0.293241", \ - "0.136643,0.147238,0.155257,0.168120,0.189407,0.227019,0.298287", \ - "0.152039,0.162619,0.170625,0.183476,0.204764,0.242373,0.313640", \ - "0.180028,0.190621,0.198634,0.211446,0.232718,0.270310,0.341548", \ - "0.216024,0.226590,0.234540,0.247076,0.268351,0.305971,0.377238", \ - "0.254373,0.264979,0.272958,0.285279,0.306556,0.344225,0.415510", \ - "0.291296,0.302071,0.310149,0.322686,0.344050,0.381733,0.453049"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.440488,0.460827,0.477686,0.509490,0.573272,0.701279,0.957100", \ - "0.444390,0.464740,0.481581,0.513414,0.577208,0.705232,0.961033", \ - "0.461774,0.482122,0.498966,0.530789,0.594586,0.722584,0.978406", \ - "0.493521,0.513861,0.530596,0.562131,0.625911,0.753942,1.009746", \ - "0.537170,0.557526,0.574328,0.605684,0.669412,0.797407,1.053224", \ - "0.592109,0.612455,0.629303,0.661069,0.724853,0.852796,1.108588", \ - "0.657715,0.678296,0.695197,0.727117,0.790853,0.918804,1.174583"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013255,0.017214,0.020946,0.028082,0.042264,0.071574,0.132931", \ - "0.013246,0.017208,0.020940,0.028076,0.042258,0.071572,0.132935", \ - "0.013217,0.017181,0.020916,0.028059,0.042244,0.071564,0.132932", \ - "0.013170,0.017137,0.020876,0.028021,0.042216,0.071545,0.132917", \ - "0.013320,0.017253,0.020970,0.028090,0.042254,0.071555,0.132920", \ - "0.013633,0.017516,0.021194,0.028268,0.042375,0.071623,0.132932", \ - "0.014156,0.017949,0.021572,0.028571,0.042586,0.071734,0.132970"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021828,0.031610,0.043829,0.072404,0.132994,0.255231,0.500077", \ - "0.021824,0.031610,0.043828,0.072408,0.132988,0.255229,0.500076", \ - "0.021821,0.031612,0.043828,0.072402,0.132997,0.255230,0.500081", \ - "0.021830,0.031612,0.043830,0.072406,0.132990,0.255229,0.500079", \ - "0.021832,0.031610,0.043827,0.072407,0.132989,0.255235,0.500094", \ - "0.021837,0.031619,0.043832,0.072401,0.132996,0.255237,0.500082", \ - "0.022294,0.031997,0.044045,0.072470,0.132996,0.255247,0.500079"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.136885,0.147576,0.155649,0.168561,0.189890,0.227507,0.298766", \ - "0.141935,0.152625,0.160696,0.173606,0.194930,0.232547,0.303805", \ - "0.157303,0.167982,0.176043,0.188944,0.210263,0.247882,0.319140", \ - "0.185402,0.196067,0.204126,0.217005,0.238312,0.275921,0.347175", \ - "0.222043,0.232747,0.240754,0.253362,0.274668,0.312287,0.383531", \ - "0.261493,0.272311,0.280385,0.292782,0.314116,0.351789,0.423038", \ - "0.300014,0.311051,0.319250,0.331864,0.353366,0.391107,0.462385"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.476196,0.496860,0.513778,0.545586,0.609260,0.737220,0.993075", \ - "0.480220,0.500886,0.517817,0.549624,0.613246,0.741246,0.997092", \ - "0.497790,0.518452,0.535370,0.567201,0.630882,0.758869,1.014686", \ - "0.529453,0.550118,0.566939,0.598549,0.662156,0.790136,1.045975", \ - "0.573044,0.593709,0.610603,0.641947,0.705486,0.833454,1.089268", \ - "0.627897,0.648561,0.665493,0.697259,0.760911,0.888830,1.144617", \ - "0.694672,0.715455,0.732419,0.764340,0.828086,0.955977,1.211756"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013495,0.017410,0.021113,0.028212,0.042350,0.071622,0.132949", \ - "0.013483,0.017402,0.021105,0.028207,0.042346,0.071619,0.132947", \ - "0.013456,0.017378,0.021084,0.028188,0.042333,0.071613,0.132942", \ - "0.013412,0.017335,0.021044,0.028152,0.042305,0.071594,0.132938", \ - "0.013600,0.017482,0.021166,0.028243,0.042357,0.071610,0.132936", \ - "0.013987,0.017810,0.021448,0.028469,0.042514,0.071698,0.132957", \ - "0.014611,0.018333,0.021907,0.028840,0.042776,0.071844,0.133006"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022421,0.032121,0.044134,0.072514,0.133020,0.255259,0.500098", \ - "0.022415,0.032119,0.044133,0.072507,0.133032,0.255260,0.500094", \ - "0.022413,0.032117,0.044132,0.072514,0.133022,0.255258,0.500093", \ - "0.022413,0.032120,0.044134,0.072513,0.133015,0.255259,0.500086", \ - "0.022413,0.032117,0.044133,0.072511,0.133017,0.255254,0.500100", \ - "0.022424,0.032121,0.044135,0.072511,0.133016,0.255252,0.500087", \ - "0.022681,0.032325,0.044247,0.072545,0.133025,0.255255,0.500094"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.138774,0.149498,0.157587,0.170520,0.191862,0.229493,0.300761", \ - "0.143842,0.154565,0.162654,0.175582,0.196926,0.234557,0.305818", \ - "0.159262,0.169980,0.178058,0.190980,0.212317,0.249949,0.321212", \ - "0.187531,0.198220,0.206285,0.219183,0.240506,0.278130,0.349390", \ - "0.224677,0.235400,0.243426,0.256050,0.277382,0.315008,0.386261", \ - "0.264949,0.275797,0.283872,0.296279,0.317651,0.355329,0.426581", \ - "0.304656,0.315707,0.323903,0.336542,0.358011,0.395759,0.467040"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.412442,0.431893,0.448486,0.480363,0.544300,0.672383,0.928222", \ - "0.416779,0.436220,0.452813,0.484684,0.548624,0.676689,0.932537", \ - "0.434732,0.454187,0.470778,0.502683,0.566581,0.694652,0.950498", \ - "0.467814,0.487262,0.503765,0.535440,0.599383,0.727425,0.983272", \ - "0.514934,0.534381,0.550919,0.582365,0.646250,0.774335,1.030176", \ - "0.575148,0.594609,0.611199,0.643046,0.706938,0.834979,1.090810", \ - "0.647364,0.667089,0.683734,0.715617,0.779499,0.907539,1.163357"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013572,0.017479,0.021175,0.028266,0.042392,0.071647,0.132963", \ - "0.013565,0.017475,0.021170,0.028261,0.042389,0.071647,0.132957", \ - "0.013542,0.017448,0.021148,0.028242,0.042376,0.071639,0.132954", \ - "0.013480,0.017401,0.021103,0.028202,0.042344,0.071620,0.132948", \ - "0.013652,0.017532,0.021210,0.028282,0.042388,0.071631,0.132948", \ - "0.014025,0.017843,0.021483,0.028500,0.042540,0.071713,0.132971", \ - "0.014623,0.018346,0.021922,0.028856,0.042790,0.071854,0.133013"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020272,0.030258,0.043030,0.072117,0.132872,0.255178,0.500048", \ - "0.020277,0.030258,0.043031,0.072118,0.132878,0.255174,0.500043", \ - "0.020279,0.030258,0.043030,0.072121,0.132877,0.255177,0.500043", \ - "0.020272,0.030258,0.043030,0.072120,0.132890,0.255173,0.500043", \ - "0.020272,0.030258,0.043029,0.072122,0.132888,0.255174,0.500050", \ - "0.020292,0.030268,0.043035,0.072119,0.132877,0.255175,0.500049", \ - "0.020823,0.030644,0.043228,0.072178,0.132893,0.255186,0.500045"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.136885,0.147576,0.155649,0.168561,0.189890,0.227507,0.298766", \ - "0.141935,0.152625,0.160696,0.173606,0.194930,0.232547,0.303805", \ - "0.157303,0.167982,0.176043,0.188944,0.210263,0.247882,0.319140", \ - "0.185402,0.196067,0.204126,0.217005,0.238312,0.275921,0.347175", \ - "0.222043,0.232747,0.240754,0.253362,0.274668,0.312287,0.383531", \ - "0.261493,0.272311,0.280385,0.292782,0.314116,0.351789,0.423038", \ - "0.300014,0.311051,0.319250,0.331864,0.353366,0.391107,0.462385"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.476196,0.496860,0.513778,0.545586,0.609260,0.737220,0.993075", \ - "0.480220,0.500886,0.517817,0.549624,0.613246,0.741246,0.997092", \ - "0.497790,0.518452,0.535370,0.567201,0.630882,0.758869,1.014686", \ - "0.529453,0.550118,0.566939,0.598549,0.662156,0.790136,1.045975", \ - "0.573044,0.593709,0.610603,0.641947,0.705486,0.833454,1.089268", \ - "0.627897,0.648561,0.665493,0.697259,0.760911,0.888830,1.144617", \ - "0.694672,0.715455,0.732419,0.764340,0.828086,0.955977,1.211756"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013495,0.017410,0.021113,0.028212,0.042350,0.071622,0.132949", \ - "0.013483,0.017402,0.021105,0.028207,0.042346,0.071619,0.132947", \ - "0.013456,0.017378,0.021084,0.028188,0.042333,0.071613,0.132942", \ - "0.013412,0.017335,0.021044,0.028152,0.042305,0.071594,0.132938", \ - "0.013600,0.017482,0.021166,0.028243,0.042357,0.071610,0.132936", \ - "0.013987,0.017810,0.021448,0.028469,0.042514,0.071698,0.132957", \ - "0.014611,0.018333,0.021907,0.028840,0.042776,0.071844,0.133006"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.022421,0.032121,0.044134,0.072514,0.133020,0.255259,0.500098", \ - "0.022415,0.032119,0.044133,0.072507,0.133032,0.255260,0.500094", \ - "0.022413,0.032117,0.044132,0.072514,0.133022,0.255258,0.500093", \ - "0.022413,0.032120,0.044134,0.072513,0.133015,0.255259,0.500086", \ - "0.022413,0.032117,0.044133,0.072511,0.133017,0.255254,0.500100", \ - "0.022424,0.032121,0.044135,0.072511,0.133016,0.255252,0.500087", \ - "0.022681,0.032325,0.044247,0.072545,0.133025,0.255255,0.500094"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.142143,0.152913,0.161029,0.173994,0.195368,0.233024,0.304288", \ - "0.147190,0.157958,0.166074,0.179033,0.200407,0.238056,0.309323", \ - "0.162560,0.173321,0.181429,0.194384,0.215752,0.253401,0.324667", \ - "0.190700,0.201440,0.209548,0.222453,0.243808,0.281452,0.352718", \ - "0.227993,0.238785,0.246840,0.259507,0.280889,0.318544,0.389797", \ - "0.268501,0.279444,0.287581,0.300057,0.321447,0.359168,0.430432", \ - "0.308483,0.319682,0.327966,0.340698,0.362254,0.400051,0.471352"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.511905,0.532892,0.549921,0.581699,0.645254,0.773203,1.029042", \ - "0.516047,0.537015,0.554032,0.585864,0.649427,0.777328,1.033188", \ - "0.533727,0.554702,0.571720,0.603500,0.667075,0.795011,1.050798", \ - "0.565342,0.586329,0.603248,0.634798,0.698344,0.826263,1.082133", \ - "0.608868,0.629854,0.646855,0.678166,0.741599,0.869521,1.125363", \ - "0.663662,0.684647,0.701674,0.733312,0.796929,0.924842,1.180633", \ - "0.730995,0.752036,0.769069,0.800963,0.864607,0.992472,1.248219"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013759,0.017631,0.021304,0.028363,0.042460,0.071685,0.132970", \ - "0.013751,0.017624,0.021297,0.028358,0.042453,0.071681,0.132970", \ - "0.013725,0.017602,0.021276,0.028341,0.042442,0.071674,0.132969", \ - "0.013679,0.017562,0.021240,0.028309,0.042416,0.071655,0.132960", \ - "0.013895,0.017730,0.021382,0.028414,0.042478,0.071676,0.132955", \ - "0.014353,0.018117,0.021719,0.028688,0.042670,0.071785,0.132989", \ - "0.015067,0.018724,0.022249,0.029120,0.042980,0.071961,0.133051"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.023016,0.032649,0.044456,0.072620,0.133057,0.255269,0.500110", \ - "0.023011,0.032650,0.044457,0.072621,0.133065,0.255267,0.500115", \ - "0.023006,0.032650,0.044460,0.072621,0.133054,0.255265,0.500114", \ - "0.023018,0.032649,0.044460,0.072621,0.133056,0.255261,0.500109", \ - "0.023019,0.032652,0.044458,0.072622,0.133055,0.255264,0.500096", \ - "0.023015,0.032652,0.044459,0.072620,0.133060,0.255266,0.500098", \ - "0.023133,0.032742,0.044513,0.072636,0.133061,0.255268,0.500098"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.929153,7.583931,7.981817,8.373957,8.677713,8.821733,8.892185", \ - "6.933350,7.591489,7.976328,8.367385,8.667291,8.825744,8.888921", \ - "6.904666,7.556588,7.945876,8.337543,8.637707,8.792187,8.860554", \ - "6.922561,7.558518,7.933909,8.323307,8.633011,8.790789,8.856022", \ - "7.044367,7.625499,8.014282,8.398952,8.709222,8.875654,8.946622", \ - "7.300749,7.882935,8.232782,8.655104,8.966442,9.109705,9.183612", \ - "7.629375,8.191451,8.580678,9.011443,9.369656,9.543934,9.582641"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.643564,10.225700,10.552670,10.922450,11.255290,11.309960,11.522890", \ - "9.609141,10.191410,10.543100,10.912480,11.245120,11.329890,11.542200", \ - "9.516774,10.099560,10.441050,10.876490,11.207800,11.399890,11.341880", \ - "9.543937,10.113230,10.470960,10.847050,11.179240,11.314690,11.254960", \ - "9.697406,10.222490,10.571470,11.015700,11.281670,11.421770,11.410090", \ - "9.979225,10.520400,10.872150,11.254990,11.590390,11.735480,11.683560", \ - "10.272650,10.897510,11.197130,11.719470,12.102510,12.047830,12.282680"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.982071,7.588633,7.985407,8.372607,8.672661,8.824849,8.890988", \ - "6.946516,7.600063,7.987972,8.371373,8.674036,8.826667,8.889596", \ - "6.974927,7.575617,7.959038,8.346646,8.648080,8.799956,8.867161", \ - "6.985469,7.551711,7.951138,8.341177,8.641252,8.804041,8.868394", \ - "7.046795,7.633069,8.030125,8.417458,8.732275,8.891860,8.971128", \ - "7.299692,7.886699,8.245870,8.660109,8.976741,9.115651,9.187165", \ - "7.585311,8.181435,8.541729,8.988198,9.349041,9.525559,9.566964"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.473975,10.007470,10.358870,10.857280,11.163600,11.357460,11.596690", \ - "9.416697,9.999712,10.311690,10.806690,11.152390,11.410390,11.647830", \ - "9.344817,9.921439,10.216440,10.712760,11.191410,11.281970,11.521590", \ - "9.344935,9.907067,10.249000,10.660220,11.039550,11.262850,11.501650", \ - "9.477770,10.018080,10.379450,10.826210,11.306000,11.410330,11.647110", \ - "9.791403,10.347180,10.643660,11.136980,11.473280,11.783320,11.751870", \ - "10.171130,10.723960,11.055110,11.496470,11.872160,12.249230,12.225210"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.981937,7.594501,7.948663,8.359927,8.664904,8.829485,8.889263", \ - "6.930321,7.564471,7.949769,8.357560,8.663577,8.824900,8.887437", \ - "6.956181,7.538584,7.930092,8.335257,8.635485,8.795615,8.859569", \ - "6.933340,7.545505,7.941375,8.328979,8.642557,8.801312,8.869860", \ - "7.050281,7.632455,8.013689,8.422062,8.737944,8.910607,8.975359", \ - "7.327455,7.863699,8.248719,8.666145,8.982284,9.142247,9.216256", \ - "7.645512,8.204599,8.548783,9.018603,9.380364,9.562260,9.610008"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.074880,10.650360,10.968040,11.424030,11.958000,12.067390,12.330930", \ - "10.000610,10.606000,10.957560,11.432970,11.824220,12.104350,12.367820", \ - "9.928304,10.533460,10.865920,11.343550,11.867220,11.977000,12.239820", \ - "9.938017,10.487570,10.833140,11.297190,11.737040,11.997540,12.261460", \ - "10.019660,10.623600,10.966370,11.438210,11.881210,12.190100,12.306530", \ - "10.316510,10.912420,11.248650,11.702980,12.218470,12.500180,12.492310", \ - "10.709620,11.334200,11.644750,12.105380,12.636770,12.699530,12.967520"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.956837,7.593985,7.985412,8.369446,8.669953,8.826645,8.891931", \ - "6.950323,7.590742,7.978867,8.370349,8.671824,8.830205,8.886989", \ - "6.947545,7.578538,7.962852,8.356809,8.655310,8.808259,8.875675", \ - "6.980141,7.551546,7.949990,8.343811,8.649620,8.809165,8.873786", \ - "7.043690,7.665081,8.029663,8.414437,8.735673,8.889507,8.959003", \ - "7.296839,7.880932,8.238329,8.655488,8.967076,9.111051,9.184126", \ - "7.584570,8.186765,8.554805,8.985956,9.346516,9.521411,9.562033"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.608207,10.128720,10.491700,10.891980,11.224370,11.396000,11.625540", \ - "9.562533,10.084900,10.394220,10.792760,11.218160,11.458800,11.416410", \ - "9.465207,10.043580,10.331890,10.730500,11.065810,11.362140,11.321240", \ - "9.477824,10.056040,10.337290,10.809830,11.101440,11.383920,11.341450", \ - "9.616078,10.176260,10.457550,10.933840,11.225800,11.577610,11.536780", \ - "9.897061,10.477690,10.758660,11.159330,11.492700,11.736810,11.697650", \ - "10.273200,10.827840,11.190310,11.631550,11.926860,12.246030,12.212640"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.978063,7.603477,7.993734,8.380480,8.672557,8.824014,8.890533", \ - "6.962370,7.594676,7.986690,8.380900,8.673839,8.831127,8.896932", \ - "6.990891,7.588275,7.972126,8.358973,8.659992,8.811994,8.880461", \ - "6.935357,7.570280,7.972076,8.355972,8.661716,8.819146,8.883107", \ - "7.063968,7.645392,8.048515,8.432881,8.740332,8.910581,8.982531", \ - "7.299802,7.860710,8.242026,8.664592,8.972150,9.117209,9.188242", \ - "7.610133,8.152534,8.543534,8.967995,9.333196,9.505116,9.551315"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.477903,10.068130,10.389320,10.742680,11.266870,11.669130,11.662290", \ - "9.467001,10.004980,10.324590,10.707310,11.065870,11.493720,11.757810", \ - "9.387657,9.926680,10.265680,10.686530,11.208090,11.466090,11.459050", \ - "9.405179,9.938498,10.266510,10.692210,11.082030,11.546660,11.540490", \ - "9.480426,10.037640,10.367270,10.733250,11.259190,11.521030,11.511280", \ - "9.792248,10.345620,10.612010,11.025050,11.441890,11.878000,11.870440", \ - "10.205350,10.762810,11.087880,11.516480,11.867380,12.326070,12.411010"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.937304,7.597192,7.954297,8.361973,8.671147,8.829898,8.889621", \ - "6.965544,7.563884,7.969171,8.363820,8.676544,8.826903,8.892712", \ - "6.922899,7.582823,7.944989,8.348074,8.655844,8.812502,8.881709", \ - "6.938767,7.562973,7.956430,8.355327,8.656516,8.816367,8.883218", \ - "7.075667,7.657634,8.025828,8.433587,8.749684,8.921831,8.989000", \ - "7.271892,7.869088,8.206213,8.665589,8.986853,9.139159,9.215466", \ - "7.630008,8.179534,8.526729,9.004102,9.362042,9.537617,9.591916"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.121570,10.727080,11.016210,11.464470,11.896730,12.315920,12.333060", \ - "10.087870,10.638240,10.997350,11.376340,11.947010,12.115780,12.408230", \ - "10.005070,10.613460,10.902040,11.253230,11.846370,12.088680,12.380040", \ - "10.007680,10.605860,10.889000,11.310990,11.746050,12.210040,12.229810", \ - "10.079610,10.684760,10.982240,11.416160,11.985300,12.229840,12.248630", \ - "10.306560,10.909900,11.226010,11.608480,12.173120,12.330120,12.628780", \ - "10.722880,11.371250,11.657150,12.036950,12.604130,12.814320,13.107030"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.923583,7.587068,7.943360,8.356749,8.670053,8.828869,8.889497", \ - "6.921168,7.554998,7.953812,8.359073,8.670977,8.825990,8.891677", \ - "6.915229,7.573544,7.931960,8.340686,8.652910,8.809497,8.878784", \ - "6.930794,7.556522,7.943468,8.328867,8.644795,8.806580,8.874112", \ - "7.047981,7.640407,8.010143,8.406274,8.733868,8.908543,8.979758", \ - "7.291182,7.877302,8.203375,8.651023,8.979941,9.130643,9.212728", \ - "7.642356,8.202284,8.566551,9.019293,9.376865,9.558576,9.603815"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.221790,10.760510,11.064910,11.561150,11.898290,12.120060,12.374470", \ - "10.159890,10.697600,11.019520,11.446530,11.917910,12.167360,12.219570", \ - "10.061210,10.661970,10.960620,11.387810,11.831000,12.069180,12.051600", \ - "10.106720,10.658080,10.940330,11.375880,11.895530,12.123420,12.106610", \ - "10.165930,10.760600,11.054580,11.550900,12.019200,12.240360,12.333000", \ - "10.443830,11.036020,11.369430,11.730380,12.198270,12.442500,12.424680", \ - "10.865250,11.433280,11.737930,12.174060,12.660130,12.957030,12.942460"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.937304,7.597192,7.954297,8.361973,8.671147,8.829898,8.889621", \ - "6.965544,7.563884,7.969171,8.363820,8.676544,8.826903,8.892712", \ - "6.922899,7.582823,7.944989,8.348074,8.655844,8.812502,8.881709", \ - "6.938767,7.562973,7.956430,8.355327,8.656516,8.816367,8.883218", \ - "7.075667,7.657634,8.025828,8.433587,8.749684,8.921831,8.989000", \ - "7.271892,7.869088,8.206213,8.665589,8.986853,9.139159,9.215466", \ - "7.630008,8.179534,8.526729,9.004102,9.362042,9.537617,9.591916"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.121570,10.727080,11.016210,11.464470,11.896730,12.315920,12.333060", \ - "10.087870,10.638240,10.997350,11.376340,11.947010,12.115780,12.408230", \ - "10.005070,10.613460,10.902040,11.253230,11.846370,12.088680,12.380040", \ - "10.007680,10.605860,10.889000,11.310990,11.746050,12.210040,12.229810", \ - "10.079610,10.684760,10.982240,11.416160,11.985300,12.229840,12.248630", \ - "10.306560,10.909900,11.226010,11.608480,12.173120,12.330120,12.628780", \ - "10.722880,11.371250,11.657150,12.036950,12.604130,12.814320,13.107030"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.906513,7.568611,7.929600,8.349843,8.662944,8.828621,8.894930", \ - "6.912543,7.578808,7.925016,8.358005,8.669170,8.830734,8.897258", \ - "6.898760,7.555160,7.940664,8.333974,8.658786,8.809299,8.881184", \ - "6.961192,7.546671,7.943117,8.341386,8.650541,8.823875,8.896536", \ - "7.076036,7.645326,8.022377,8.423057,8.759103,8.931618,9.010072", \ - "7.266058,7.860887,8.245351,8.651954,9.003282,9.163227,9.243238", \ - "7.662079,8.187306,8.568797,9.015755,9.384226,9.586238,9.630858"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.711740,11.354990,11.633420,12.040170,12.522880,12.965770,13.012180", \ - "10.718250,11.324070,11.599200,12.073190,12.557020,13.024740,13.071190", \ - "10.628350,11.251080,11.561210,11.994300,12.441770,12.987180,13.034730", \ - "10.604670,11.236960,11.496700,11.926320,12.467990,12.865510,12.911810", \ - "10.706850,11.312750,11.616460,12.072840,12.532120,12.926660,12.974620", \ - "10.860050,11.483740,11.815140,12.181450,12.662490,13.232350,13.381320", \ - "11.318770,11.945170,12.222730,12.664840,13.233980,13.661910,13.708340"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.990777,7.588683,7.979462,8.372386,8.670438,8.827739,8.891788", \ - "6.967842,7.610392,7.980388,8.369598,8.676576,8.832822,8.893329", \ - "6.917546,7.567632,7.953097,8.343685,8.644857,8.798042,8.867088", \ - "6.920230,7.520451,7.893755,8.292620,8.596675,8.753347,8.819975", \ - "6.895301,7.538191,7.902876,8.286050,8.594456,8.758093,8.825118", \ - "7.039862,7.661377,8.032113,8.417247,8.728100,8.864166,8.936784", \ - "7.293394,7.852655,8.242238,8.660624,8.996500,9.154247,9.194040"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.180070,10.721930,11.123530,11.508640,11.864870,12.106180,12.133600", \ - "10.138040,10.680170,11.056560,11.492050,11.849400,11.912730,12.147230", \ - "10.082040,10.668800,11.034760,11.420600,11.818140,11.954000,12.069450", \ - "10.088620,10.687110,10.992880,11.387300,11.867310,11.873510,12.131000", \ - "10.257680,10.799720,11.151290,11.548300,11.933190,11.988110,12.221190", \ - "10.510130,11.116070,11.411050,11.871260,12.122330,12.408230,12.376300", \ - "10.835520,11.464690,11.825810,12.319580,12.637260,12.732070,12.980830"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.968377,7.598273,7.986881,8.374554,8.673089,8.828277,8.891923", \ - "6.978079,7.619811,7.987240,8.374956,8.677314,8.834574,8.893575", \ - "6.929269,7.568860,7.970157,8.351662,8.651129,8.803743,8.871843", \ - "6.947144,7.541306,7.919025,8.307783,8.604242,8.765374,8.834004", \ - "6.961767,7.545508,7.901722,8.310883,8.608218,8.774346,8.838026", \ - "7.051198,7.674497,8.038435,8.431803,8.746994,8.883973,8.951218", \ - "7.292278,7.848419,8.239538,8.642620,8.994904,9.153239,9.195320"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.007870,10.576920,10.922470,11.296840,11.794110,12.184470,12.176190", \ - "9.961240,10.542940,10.879230,11.309300,11.843620,11.954930,12.218600", \ - "9.893403,10.498350,10.785440,11.292260,11.801460,12.049450,12.041710", \ - "9.921468,10.506060,10.807830,11.324960,11.820680,12.034790,12.026140", \ - "10.040260,10.610230,10.959770,11.414740,11.911810,12.253310,12.199300", \ - "10.330890,10.871260,11.202740,11.631630,12.164040,12.516780,12.509340", \ - "10.711760,11.334920,11.646480,12.099860,12.606420,12.706180,12.972970"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.936766,7.590565,7.954953,8.361123,8.666941,8.829777,8.894563", \ - "6.987367,7.588008,7.952947,8.361883,8.668455,8.830276,8.891691", \ - "6.911151,7.550460,7.929547,8.336174,8.639724,8.799581,8.870172", \ - "6.927373,7.503440,7.886879,8.305596,8.606954,8.764400,8.831473", \ - "6.917865,7.508403,7.886995,8.304155,8.613026,8.777172,8.838459", \ - "7.059524,7.644650,8.015771,8.429924,8.744699,8.892590,8.959889", \ - "7.272453,7.848826,8.205768,8.648530,9.003291,9.175134,9.219497"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.580830,11.202500,11.514550,11.981910,12.406850,12.903320,12.919830", \ - "10.562780,11.127660,11.452480,11.987140,12.390830,12.666130,12.954360", \ - "10.492200,11.113980,11.440810,11.919660,12.377210,12.760480,12.776870", \ - "10.487150,11.109590,11.424860,11.899320,12.437330,12.766880,12.783540", \ - "10.584330,11.207030,11.556120,12.047490,12.571810,12.703150,12.992020", \ - "10.861160,11.422320,11.800750,12.263390,12.734110,13.008460,13.296500", \ - "11.309410,11.915980,12.203610,12.667660,13.200300,13.515360,13.534200"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.963024,7.586118,7.981117,8.372297,8.671488,8.832970,8.892867", \ - "6.954854,7.614818,7.986214,8.386010,8.680450,8.831298,8.897463", \ - "6.949407,7.569934,7.969658,8.357202,8.658922,8.811898,8.880552", \ - "6.939290,7.527693,7.921264,8.310929,8.623428,8.768798,8.839420", \ - "6.971568,7.545786,7.899105,8.308122,8.621771,8.774750,8.838998", \ - "7.049927,7.672486,8.014824,8.434256,8.745976,8.880809,8.946189", \ - "7.217662,7.851279,8.207394,8.650420,8.978090,9.150015,9.190702"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.113870,10.709630,11.011600,11.426800,11.884610,11.960260,12.214270", \ - "10.116550,10.669150,10.970190,11.450330,11.891110,12.013990,12.268530", \ - "10.021880,10.619720,10.917850,11.367190,11.811200,11.866410,12.121240", \ - "10.087240,10.635220,10.976030,11.414410,11.826120,11.885110,12.140110", \ - "10.184260,10.746250,11.029320,11.522300,11.927400,12.096260,12.349810", \ - "10.455960,11.036280,11.306680,11.789400,12.228220,12.456650,12.440230", \ - "10.864930,11.447760,11.728580,12.162380,12.622090,12.959580,12.946890"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.985373,7.597347,7.989165,8.378173,8.675944,8.825348,8.889484", \ - "6.983428,7.624173,7.992953,8.389110,8.681346,8.831880,8.897885", \ - "6.958266,7.585473,7.976756,8.365531,8.663713,8.816216,8.885567", \ - "6.918768,7.546619,7.935892,8.324805,8.633020,8.780002,8.849210", \ - "6.955642,7.553838,7.941027,8.323391,8.636530,8.788963,8.854095", \ - "7.098408,7.684891,8.014114,8.450814,8.757755,8.892498,8.956765", \ - "7.229066,7.853273,8.186145,8.636437,8.988089,9.156172,9.197180"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.078590,10.665500,10.952390,11.351850,11.891360,12.198400,12.216770", \ - "10.035130,10.624090,10.906440,11.277760,11.864910,12.281590,12.301320", \ - "9.967284,10.544100,10.840010,11.338410,11.764880,12.185660,12.204940", \ - "10.002380,10.580360,10.888330,11.327180,11.866550,12.257850,12.278010", \ - "10.047210,10.677430,10.959800,11.374900,11.878140,12.317170,12.272550", \ - "10.318430,10.894250,11.209710,11.677040,12.211890,12.342380,12.633270", \ - "10.723830,11.369020,11.639340,12.014730,12.546310,12.818780,13.111540"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.985616,7.567072,7.943209,8.365074,8.668094,8.831320,8.895106", \ - "6.991256,7.592320,7.953369,8.367871,8.673964,8.835411,8.896704", \ - "6.975593,7.557198,7.945542,8.354906,8.651902,8.816847,8.882417", \ - "6.885899,7.548360,7.919729,8.319729,8.623883,8.789117,8.849937", \ - "6.966067,7.523056,7.886411,8.315962,8.630512,8.791704,8.864745", \ - "7.084775,7.650496,8.018518,8.443362,8.754410,8.895474,8.972967", \ - "7.278850,7.849977,8.204974,8.650159,9.001013,9.170098,9.218504"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.665060,11.294220,11.613190,11.988020,12.492890,12.852890,13.171190", \ - "10.619210,11.261110,11.564980,12.012740,12.460090,12.927030,12.973690", \ - "10.574440,11.210710,11.486240,11.974800,12.523100,12.825010,12.871180", \ - "10.608270,11.213510,11.498110,11.963320,12.409120,12.922910,12.969880", \ - "10.695520,11.262120,11.594870,12.059710,12.640530,12.955560,13.002800", \ - "10.873470,11.499050,11.776250,12.238160,12.839600,13.075290,13.393510", \ - "11.322650,11.945150,12.219100,12.604900,13.266790,13.668050,13.792840"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.928158,7.555255,7.954055,8.358416,8.665698,8.831615,8.895158", \ - "6.979694,7.583769,7.950284,8.364139,8.675724,8.836657,8.896878", \ - "6.940727,7.542261,7.937672,8.344846,8.649185,8.808840,8.879576", \ - "6.879847,7.527353,7.888703,8.308031,8.610308,8.769036,8.836320", \ - "6.904772,7.518431,7.886896,8.301587,8.613247,8.777485,8.839532", \ - "7.080295,7.646979,8.014912,8.426802,8.737022,8.884061,8.958261", \ - "7.280196,7.817270,8.205605,8.653560,9.000446,9.172009,9.215618"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.738500,11.335230,11.667170,12.131970,12.481720,12.692720,12.971420", \ - "10.674120,11.286050,11.636430,12.015830,12.499750,12.740550,12.745500", \ - "10.634900,11.246670,11.592710,12.031810,12.514090,12.796180,12.868680", \ - "10.662790,11.245690,11.573710,11.999820,12.374490,12.627230,12.905800", \ - "10.732560,11.344920,11.691530,12.151120,12.502680,12.863790,12.870110", \ - "10.994760,11.595240,11.910490,12.418860,12.900910,12.938410,13.216970", \ - "11.429870,12.008890,12.367250,12.731500,13.150000,13.489750,13.498730"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.985616,7.567072,7.943209,8.365074,8.668094,8.831320,8.895106", \ - "6.991256,7.592320,7.953369,8.367871,8.673964,8.835411,8.896704", \ - "6.975593,7.557198,7.945542,8.354906,8.651902,8.816847,8.882417", \ - "6.885899,7.548360,7.919729,8.319729,8.623883,8.789117,8.849937", \ - "6.966067,7.523056,7.886411,8.315962,8.630512,8.791704,8.864745", \ - "7.084775,7.650496,8.018518,8.443362,8.754410,8.895474,8.972967", \ - "7.278850,7.849977,8.204974,8.650159,9.001013,9.170098,9.218504"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.665060,11.294220,11.613190,11.988020,12.492890,12.852890,13.171190", \ - "10.619210,11.261110,11.564980,12.012740,12.460090,12.927030,12.973690", \ - "10.574440,11.210710,11.486240,11.974800,12.523100,12.825010,12.871180", \ - "10.608270,11.213510,11.498110,11.963320,12.409120,12.922910,12.969880", \ - "10.695520,11.262120,11.594870,12.059710,12.640530,12.955560,13.002800", \ - "10.873470,11.499050,11.776250,12.238160,12.839600,13.075290,13.393510", \ - "11.322650,11.945150,12.219100,12.604900,13.266790,13.668050,13.792840"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.946495,7.556559,7.955226,8.346336,8.671019,8.829877,8.896930", \ - "6.968189,7.564177,7.935654,8.360156,8.671086,8.834891,8.900471", \ - "6.899949,7.563599,7.943343,8.338122,8.654603,8.817534,8.886032", \ - "6.918133,7.526198,7.899221,8.306609,8.626760,8.789532,8.855127", \ - "6.951804,7.534919,7.900122,8.311449,8.629135,8.800519,8.871485", \ - "7.065585,7.640157,7.993814,8.432256,8.751384,8.913970,8.984829", \ - "7.235380,7.819774,8.225823,8.649756,9.017169,9.191136,9.240579"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.313630,11.896270,12.258860,12.731900,13.226750,13.516410,13.862760", \ - "11.270140,11.853170,12.224660,12.637360,13.264520,13.582740,13.927550", \ - "11.220660,11.859640,12.181950,12.565970,13.144810,13.480080,13.824050", \ - "11.227110,11.854900,12.181290,12.614960,13.239680,13.591840,13.665650", \ - "11.279830,11.919830,12.266350,12.618030,13.243120,13.669540,13.729060", \ - "11.489130,12.071990,12.426180,12.843380,13.468050,13.803770,14.149640", \ - "11.873680,12.509090,12.836540,13.297790,13.768340,14.327120,14.399420"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.653022,8.335850,8.699867,9.128552,9.455044,9.644102,9.743007", \ - "7.687558,8.295886,8.686453,9.125612,9.456712,9.640704,9.738574", \ - "7.643166,8.289529,8.689947,9.089657,9.430145,9.617302,9.719401", \ - "7.679061,8.275495,8.648298,9.070904,9.417136,9.594305,9.696047", \ - "7.737931,8.323312,8.710361,9.125697,9.471163,9.663151,9.774262", \ - "7.956520,8.524801,8.899332,9.329539,9.656863,9.834439,9.945150", \ - "8.251397,8.838775,9.209896,9.634422,9.995108,10.176940,10.249950"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.751660,11.332660,11.651230,12.071910,12.493910,12.641200,12.862660", \ - "10.721590,11.265720,11.622030,12.030950,12.452730,12.628300,12.850640", \ - "10.639200,11.183180,11.554690,12.025530,12.330970,12.694690,12.551460", \ - "10.613540,11.176780,11.522980,11.956650,12.385220,12.481440,12.703330", \ - "10.588640,11.162060,11.492850,11.992090,12.408840,12.450250,12.673030", \ - "10.662960,11.218070,11.614660,12.000600,12.340780,12.533340,12.760520", \ - "10.666690,11.318080,11.700110,12.159110,12.664550,12.677060,12.908060"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.685856,8.301605,8.700391,9.128458,9.460790,9.643169,9.736646", \ - "7.692479,8.300469,8.693107,9.128771,9.463525,9.645786,9.743724", \ - "7.655298,8.314693,8.710491,9.122147,9.449391,9.638220,9.738424", \ - "7.706403,8.288919,8.678647,9.104013,9.443760,9.620404,9.726902", \ - "7.738855,8.331570,8.747431,9.162048,9.494621,9.685287,9.794684", \ - "7.964902,8.532299,8.919716,9.343739,9.666664,9.838067,9.954910", \ - "8.249444,8.795825,9.189254,9.640358,9.985439,10.173430,10.240230"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.567910,11.164720,11.470310,11.918690,12.469660,12.868650,12.858210", \ - "10.533160,11.137120,11.460750,11.915750,12.465850,12.621260,12.883210", \ - "10.459000,11.063040,11.374070,11.896730,12.443320,12.712370,12.700870", \ - "10.428010,11.031880,11.334770,11.805260,12.240330,12.699830,12.687900", \ - "10.397420,11.001930,11.342740,11.808770,12.230540,12.497230,12.758700", \ - "10.460780,11.066930,11.393640,11.855370,12.403280,12.727630,12.874110", \ - "10.616920,11.218250,11.538870,11.946070,12.514410,12.802170,13.069420"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.656911,8.307879,8.706644,9.116363,9.452104,9.643087,9.746890", \ - "7.643974,8.318394,8.700364,9.124212,9.451630,9.641508,9.742254", \ - "7.639947,8.309342,8.697842,9.116025,9.452501,9.632789,9.739251", \ - "7.666931,8.306322,8.692118,9.091782,9.442192,9.623526,9.730225", \ - "7.736762,8.356054,8.719508,9.157230,9.499730,9.702824,9.803860", \ - "7.932935,8.532709,8.909287,9.348231,9.668971,9.863286,9.979825", \ - "8.283247,8.819687,9.172484,9.638716,10.014430,10.211190,10.280780"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.188540,11.752860,12.087060,12.583300,13.040530,13.496510,13.511700", \ - "11.167020,11.714190,12.072070,12.562160,13.038710,13.509470,13.525380", \ - "11.082480,11.686090,12.014340,12.528980,12.989710,13.321350,13.607770", \ - "11.044340,11.608350,11.965880,12.453260,13.043820,13.314870,13.329660", \ - "11.019520,11.584370,11.918790,12.477820,12.802410,13.397240,13.412880", \ - "11.055980,11.676880,12.028290,12.515460,12.971920,13.479160,13.494550", \ - "11.227250,11.832970,12.153630,12.604310,13.178700,13.574990,13.764690"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.583856,8.209516,8.626796,9.041188,9.371894,9.559403,9.664920", \ - "7.595208,8.215245,8.613404,9.030729,9.367060,9.554461,9.656635", \ - "7.568363,8.202112,8.573286,9.003108,9.331144,9.522264,9.625117", \ - "7.562721,8.189489,8.555900,8.980521,9.311928,9.496785,9.605293", \ - "7.648565,8.223721,8.618171,9.037113,9.368704,9.565326,9.679955", \ - "7.873376,8.435020,8.809375,9.229301,9.551185,9.732943,9.850689", \ - "8.160199,8.706317,9.096857,9.548972,9.897219,10.087710,10.154220"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.549420,11.127490,11.398450,11.857800,12.277710,12.446490,12.403410", \ - "10.549340,11.071940,11.363890,11.853040,12.246220,12.445570,12.403380", \ - "10.440170,11.018600,11.321200,11.717630,12.138210,12.445490,12.403940", \ - "10.413490,10.936190,11.295720,11.704840,12.125170,12.379780,12.338940", \ - "10.388060,10.966290,11.301460,11.635000,11.987280,12.240950,12.471010", \ - "10.505270,11.029700,11.375910,11.772920,12.133660,12.295690,12.528140", \ - "10.558660,11.159780,11.460130,11.886850,12.331290,12.627300,12.591270"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.584549,8.227455,8.626799,9.038307,9.374593,9.561491,9.663228", \ - "7.597869,8.230175,8.617303,9.038274,9.373305,9.562176,9.662529", \ - "7.573846,8.201140,8.588480,9.027275,9.349377,9.541725,9.644528", \ - "7.596428,8.187043,8.599094,9.000702,9.342947,9.522221,9.629806", \ - "7.671686,8.250511,8.631111,9.054450,9.393809,9.586286,9.698257", \ - "7.875342,8.439892,8.815699,9.244340,9.569893,9.743886,9.859810", \ - "8.169149,8.703579,9.103454,9.522895,9.888966,10.079560,10.146910"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.463290,11.002650,11.310020,11.778080,12.296120,12.433320,12.697620", \ - "10.447260,10.980150,11.301240,11.651900,12.162890,12.471920,12.464430", \ - "10.356370,10.940690,11.241170,11.659510,12.074360,12.314300,12.578740", \ - "10.341660,10.884420,11.175570,11.607590,12.128640,12.341740,12.604260", \ - "10.285490,10.874480,11.182720,11.612820,12.004220,12.266160,12.531470", \ - "10.372000,10.908240,11.215390,11.633320,12.151940,12.343200,12.608840", \ - "10.518590,11.091630,11.347510,11.841250,12.301120,12.492250,12.762640"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.556044,8.205160,8.589565,9.033475,9.362818,9.562588,9.665638", \ - "7.566377,8.218210,8.610941,9.027364,9.363144,9.560053,9.666722", \ - "7.545678,8.182437,8.592848,9.003010,9.352631,9.545438,9.644035", \ - "7.576801,8.197811,8.585928,9.002442,9.341620,9.534206,9.628691", \ - "7.636585,8.237471,8.633657,9.058336,9.398615,9.597376,9.708274", \ - "7.846589,8.450129,8.810677,9.239646,9.585730,9.767843,9.889342", \ - "8.155566,8.717846,9.102912,9.548416,9.920646,10.112500,10.191340"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.054590,11.661340,11.948460,12.324000,12.891450,13.086180,13.377920", \ - "11.023460,11.650050,11.943670,12.368000,12.885870,13.110410,13.399620", \ - "10.967070,11.581830,11.879350,12.322530,12.888390,13.217260,13.234900", \ - "10.930180,11.536350,11.831500,12.290050,12.720750,12.976820,13.269750", \ - "10.929230,11.504160,11.804940,12.179370,12.720260,13.183500,13.204000", \ - "10.938000,11.545220,11.821690,12.334610,12.763040,13.241660,13.261440", \ - "11.116210,11.728410,12.032260,12.410230,12.977550,13.224990,13.519280"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.552522,8.222679,8.586694,9.030733,9.373283,9.562021,9.662596", \ - "7.564128,8.197802,8.605446,9.026688,9.357276,9.555159,9.661375", \ - "7.539920,8.178476,8.572676,8.987854,9.327947,9.522473,9.624350", \ - "7.503731,8.177706,8.559867,8.974776,9.301489,9.499363,9.603385", \ - "7.635376,8.228930,8.602589,9.020426,9.377281,9.577879,9.690918", \ - "7.816378,8.441949,8.794303,9.229012,9.572080,9.762113,9.878551", \ - "8.136207,8.729295,9.110217,9.548071,9.929622,10.119640,10.199520"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.167190,11.706170,12.066090,12.489500,12.954210,13.177320,13.281630", \ - "11.099330,11.707740,12.027780,12.451660,12.914180,13.164450,13.146740", \ - "11.039390,11.639410,11.913560,12.337470,12.826130,12.885390,13.184010", \ - "11.023450,11.587880,11.904140,12.341590,12.804850,12.826400,13.148320", \ - "11.002630,11.576030,11.861670,12.292140,12.792150,12.962000,12.945030", \ - "11.076640,11.616600,11.978040,12.402490,12.733910,12.975920,13.230860", \ - "11.181050,11.758550,12.114600,12.487470,12.900700,13.115070,13.373670"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.556044,8.205160,8.589565,9.033475,9.362818,9.562588,9.665638", \ - "7.566377,8.218210,8.610941,9.027364,9.363144,9.560053,9.666722", \ - "7.545678,8.182437,8.592848,9.003010,9.352631,9.545438,9.644035", \ - "7.576801,8.197811,8.585928,9.002442,9.341620,9.534206,9.628691", \ - "7.636585,8.237471,8.633657,9.058336,9.398615,9.597376,9.708274", \ - "7.846589,8.450129,8.810677,9.239646,9.585730,9.767843,9.889342", \ - "8.155566,8.717846,9.102912,9.548416,9.920646,10.112500,10.191340"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.054590,11.661340,11.948460,12.324000,12.891450,13.086180,13.377920", \ - "11.023460,11.650050,11.943670,12.368000,12.885870,13.110410,13.399620", \ - "10.967070,11.581830,11.879350,12.322530,12.888390,13.217260,13.234900", \ - "10.930180,11.536350,11.831500,12.290050,12.720750,12.976820,13.269750", \ - "10.929230,11.504160,11.804940,12.179370,12.720260,13.183500,13.204000", \ - "10.938000,11.545220,11.821690,12.334610,12.763040,13.241660,13.261440", \ - "11.116210,11.728410,12.032260,12.410230,12.977550,13.224990,13.519280"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.561006,8.206540,8.594218,9.022697,9.370714,9.571359,9.674001", \ - "7.565259,8.187718,8.577214,9.021352,9.358468,9.557834,9.671011", \ - "7.563835,8.166480,8.568009,9.009854,9.352448,9.537827,9.649963", \ - "7.533512,8.176880,8.578508,8.994192,9.331916,9.539766,9.641391", \ - "7.628780,8.240719,8.583429,9.058578,9.411218,9.613561,9.719547", \ - "7.897652,8.448405,8.811968,9.258034,9.601710,9.791488,9.918171", \ - "8.216065,8.725284,9.124951,9.575531,9.954071,10.155240,10.235380"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.707130,12.273730,12.609220,13.009290,13.512470,14.018000,14.061980", \ - "11.664030,12.286630,12.594300,12.994520,13.508020,14.029740,14.074780", \ - "11.597900,12.220890,12.536920,12.995130,13.472960,13.854450,13.899200", \ - "11.572710,12.178790,12.492120,12.893520,13.365820,13.894850,13.941570", \ - "11.517560,12.154990,12.475960,12.889290,13.492740,13.833640,13.881340", \ - "11.577020,12.185600,12.446220,12.914780,13.386530,13.880800,13.927830", \ - "11.739400,12.346850,12.648990,13.046890,13.564780,14.030010,14.108770"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.674512,8.314816,8.709137,9.130369,9.463898,9.640469,9.743816", \ - "7.708951,8.334872,8.706837,9.135043,9.454873,9.647458,9.740712", \ - "7.695770,8.291097,8.685092,9.108285,9.438133,9.620589,9.720146", \ - "7.591941,8.279664,8.637032,9.067725,9.394846,9.593955,9.689027", \ - "7.609678,8.246741,8.616241,9.052927,9.383282,9.575496,9.682270", \ - "7.748465,8.351087,8.709633,9.144429,9.461681,9.633129,9.747963", \ - "7.959214,8.522199,8.885954,9.334675,9.670411,9.859802,9.921013"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.262270,11.831870,12.185050,12.691510,13.002310,13.171070,13.415430", \ - "11.245820,11.806480,12.137430,12.644810,13.034550,13.354940,13.399950", \ - "11.169820,11.786160,12.148240,12.586870,13.029690,13.351090,13.324330", \ - "11.175830,11.735560,12.102180,12.618980,12.928440,13.206040,13.178430", \ - "11.139910,11.756530,12.119730,12.500920,13.077320,13.169500,13.415070", \ - "11.209720,11.828060,12.185590,12.698890,13.138840,13.182420,13.430050", \ - "11.258830,11.932040,12.290860,12.767090,13.240360,13.545200,13.629690"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.675828,8.318062,8.703601,9.135582,9.461439,9.649059,9.746712", \ - "7.695488,8.338344,8.711955,9.140539,9.461711,9.652906,9.749764", \ - "7.692880,8.311081,8.705885,9.128075,9.458033,9.641207,9.743062", \ - "7.688811,8.305692,8.689538,9.091986,9.428857,9.618349,9.715217", \ - "7.652320,8.284689,8.668931,9.081566,9.401741,9.598463,9.705492", \ - "7.748904,8.378804,8.760735,9.164121,9.488599,9.662791,9.765986", \ - "7.939681,8.510190,8.890085,9.341680,9.685166,9.866486,9.927198"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.110700,11.676790,12.004740,12.474750,12.912390,13.526500,13.651240", \ - "11.074260,11.694880,11.994060,12.530590,12.899570,13.489380,13.398770", \ - "11.040290,11.616420,11.966940,12.505190,13.073540,13.404300,13.419210", \ - "11.028070,11.591640,11.946430,12.363370,12.996260,13.411780,13.367800", \ - "10.983750,11.605250,11.957070,12.347860,13.050290,13.412730,13.428710", \ - "11.071980,11.635930,12.011590,12.482800,13.061460,13.221310,13.509050", \ - "11.186480,11.827560,12.163830,12.646130,13.176350,13.496400,13.787570"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.646626,8.304540,8.704896,9.125673,9.455246,9.645733,9.743823", \ - "7.699841,8.321848,8.708546,9.126558,9.453681,9.651102,9.748025", \ - "7.641241,8.314860,8.705026,9.123630,9.455049,9.648658,9.739503", \ - "7.639442,8.270271,8.679440,9.091749,9.420517,9.619620,9.723355", \ - "7.632445,8.262278,8.657312,9.061482,9.400985,9.602163,9.708414", \ - "7.761569,8.364273,8.720431,9.157292,9.487055,9.667091,9.769905", \ - "7.945101,8.506837,8.876837,9.344537,9.693110,9.879808,9.946944"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.686140,12.323580,12.629960,13.192180,13.727860,14.003290,14.313520", \ - "11.704110,12.283830,12.620480,13.178180,13.658560,14.014880,14.327990", \ - "11.665860,12.245770,12.609050,13.080880,13.561950,14.033160,14.074070", \ - "11.594940,12.233180,12.576770,13.085120,13.628570,13.981700,14.295050", \ - "11.614310,12.194420,12.577150,13.082980,13.620890,14.045650,14.087880", \ - "11.627550,12.265360,12.616050,13.074260,13.684950,14.107770,14.149190", \ - "11.811010,12.445300,12.758520,13.257320,13.735300,14.239940,14.283720"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.614658,8.230844,8.628133,9.039529,9.371675,9.562701,9.664787", \ - "7.575417,8.206340,8.616074,9.032258,9.370402,9.558672,9.662369", \ - "7.585496,8.201394,8.579314,9.007391,9.339761,9.534704,9.631692", \ - "7.542883,8.156816,8.536882,8.972346,9.308415,9.487338,9.590976", \ - "7.510589,8.149205,8.532322,8.931266,9.290407,9.473570,9.587834", \ - "7.679425,8.257831,8.630555,9.040084,9.375014,9.541106,9.657306", \ - "7.847644,8.429227,8.800991,9.238948,9.580228,9.764750,9.832722"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.102630,11.663870,11.999920,12.343850,12.853850,13.022770,13.276820", \ - "11.063620,11.602820,11.963160,12.306720,12.751680,13.018300,13.121850", \ - "11.035370,11.596380,11.919400,12.398030,12.838120,12.955590,13.210170", \ - "10.998470,11.568460,11.853420,12.345920,12.785970,12.857090,13.112510", \ - "11.018240,11.557170,11.905630,12.365800,12.736550,12.976130,13.074360", \ - "11.033200,11.629850,11.974090,12.331110,12.640680,12.988990,13.243910", \ - "11.141900,11.776030,12.105730,12.514190,12.972150,13.135650,13.394950"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.616066,8.231604,8.627551,9.039010,9.371205,9.562447,9.664295", \ - "7.577714,8.215028,8.621079,9.038309,9.376375,9.563505,9.657725", \ - "7.590114,8.210513,8.598948,9.028250,9.355979,9.553197,9.651495", \ - "7.545460,8.197701,8.558953,8.998513,9.327889,9.516538,9.614564", \ - "7.580740,8.177661,8.536360,8.968447,9.311652,9.506551,9.614061", \ - "7.679375,8.260011,8.653732,9.067137,9.390942,9.558235,9.674511", \ - "7.842082,8.430820,8.805365,9.243644,9.588129,9.771106,9.837259"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.024840,11.608840,11.915800,12.347570,12.756100,13.251290,13.269270", \ - "10.978970,11.585970,11.864060,12.348550,12.755960,13.009540,13.301610", \ - "10.956470,11.548110,11.834050,12.263510,12.674980,13.039030,13.330720", \ - "10.932730,11.523180,11.780240,12.157960,12.701470,13.021220,13.310020", \ - "10.893900,11.500250,11.830070,12.277070,12.813820,12.937140,13.229430", \ - "10.953420,11.559440,11.818810,12.252530,12.658330,12.983250,13.276080", \ - "11.079280,11.712850,12.023410,12.468950,12.956180,13.248560,13.270660"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.584496,8.221941,8.611194,9.018945,9.366783,9.559249,9.663326", \ - "7.547157,8.221307,8.613134,9.030407,9.367738,9.561164,9.665311", \ - "7.557580,8.210438,8.602641,9.007650,9.361005,9.551389,9.657221", \ - "7.526323,8.143048,8.573179,8.984263,9.331323,9.521472,9.628119", \ - "7.558538,8.149516,8.501478,8.967320,9.310716,9.509617,9.620179", \ - "7.675004,8.271511,8.629096,9.061187,9.397676,9.569915,9.684024", \ - "7.847004,8.407698,8.778844,9.246095,9.602768,9.793330,9.861372"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.603270,12.226840,12.561550,12.958640,13.535940,13.914540,13.959540", \ - "11.577810,12.211400,12.490220,12.944600,13.532230,13.940080,14.040490", \ - "11.545630,12.172030,12.487360,12.878500,13.460750,13.692760,14.010400", \ - "11.509130,12.147900,12.468270,12.896710,13.484860,13.675990,13.993890", \ - "11.547960,12.114670,12.461370,12.891850,13.352240,13.865940,13.912590", \ - "11.539130,12.180410,12.482950,12.876960,13.450290,13.899050,14.088820", \ - "11.714270,12.315140,12.650330,13.091200,13.536800,14.051980,14.218160"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.583492,8.223071,8.610910,9.034204,9.374775,9.561388,9.663800", \ - "7.544384,8.200386,8.607526,9.013843,9.366089,9.556256,9.661177", \ - "7.552922,8.191239,8.584061,9.000195,9.342088,9.532956,9.636984", \ - "7.501169,8.154467,8.545901,8.960882,9.293116,9.490741,9.603052", \ - "7.508656,8.132379,8.511474,8.946654,9.291457,9.490548,9.592422", \ - "7.632028,8.243274,8.617795,9.027045,9.374397,9.559545,9.667532", \ - "7.846513,8.405179,8.776619,9.241746,9.592306,9.786894,9.854542"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.694010,12.282330,12.600940,13.036820,13.408880,13.758960,13.763840", \ - "11.628460,12.256730,12.570270,12.998110,13.385130,13.750930,13.756160", \ - "11.618220,12.174140,12.545120,12.952760,13.436270,13.683030,13.689320", \ - "11.618160,12.196870,12.526380,12.962110,13.393180,13.588650,13.867200", \ - "11.584670,12.140600,12.522190,12.966680,13.450720,13.714080,13.720880", \ - "11.630950,12.242630,12.521700,13.029900,13.511730,13.696310,13.702830", \ - "11.791080,12.365500,12.697950,13.213110,13.565500,13.957040,13.970980"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.584496,8.221941,8.611194,9.018945,9.366783,9.559249,9.663326", \ - "7.547157,8.221307,8.613134,9.030407,9.367738,9.561164,9.665311", \ - "7.557580,8.210438,8.602641,9.007650,9.361005,9.551389,9.657221", \ - "7.526323,8.143048,8.573179,8.984263,9.331323,9.521472,9.628119", \ - "7.558538,8.149516,8.501478,8.967320,9.310716,9.509617,9.620179", \ - "7.675004,8.271511,8.629096,9.061187,9.397676,9.569915,9.684024", \ - "7.847004,8.407698,8.778844,9.246095,9.602768,9.793330,9.861372"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.603270,12.226840,12.561550,12.958640,13.535940,13.914540,13.959540", \ - "11.577810,12.211400,12.490220,12.944600,13.532230,13.940080,14.040490", \ - "11.545630,12.172030,12.487360,12.878500,13.460750,13.692760,14.010400", \ - "11.509130,12.147900,12.468270,12.896710,13.484860,13.675990,13.993890", \ - "11.547960,12.114670,12.461370,12.891850,13.352240,13.865940,13.912590", \ - "11.539130,12.180410,12.482950,12.876960,13.450290,13.899050,14.088820", \ - "11.714270,12.315140,12.650330,13.091200,13.536800,14.051980,14.218160"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.577936,8.203606,8.579155,9.027759,9.367494,9.561388,9.674206", \ - "7.584294,8.206820,8.599920,9.023976,9.361192,9.562513,9.663785", \ - "7.555065,8.176533,8.571680,9.012771,9.357223,9.552956,9.662279", \ - "7.558151,8.152347,8.558619,8.983974,9.323711,9.529849,9.635413", \ - "7.541542,8.139729,8.506134,8.969022,9.314346,9.521262,9.627134", \ - "7.686351,8.239022,8.636678,9.059049,9.404574,9.583461,9.704567", \ - "7.855176,8.408576,8.787992,9.248013,9.617964,9.810303,9.888983"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.261190,12.844390,13.156700,13.567660,14.142930,14.580180,14.735230", \ - "12.229350,12.812500,13.151830,13.561950,14.134090,14.599900,14.671020", \ - "12.195890,12.786440,13.151870,13.611810,14.014840,14.623480,14.695510", \ - "12.160830,12.800320,13.095500,13.521070,14.015560,14.605770,14.677070", \ - "12.145650,12.785240,13.106930,13.528690,14.155670,14.525810,14.599250", \ - "12.191700,12.774620,13.107730,13.543530,14.004140,14.553950,14.628850", \ - "12.335590,12.919970,13.215960,13.691310,14.311550,14.640900,14.714950"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.195273,8.821474,9.241325,9.666300,10.051440,10.288890,10.438320", \ - "8.148137,8.816879,9.220932,9.666262,10.036600,10.263340,10.417720", \ - "8.112612,8.743821,9.188046,9.631426,9.994139,10.235870,10.374220", \ - "8.116219,8.779041,9.186089,9.604170,9.975277,10.209460,10.364250", \ - "8.214873,8.845431,9.231333,9.680606,10.046310,10.278410,10.433630", \ - "8.460010,9.033471,9.420219,9.865395,10.219330,10.436490,10.595540", \ - "8.770597,9.334724,9.729226,10.151620,10.542460,10.755000,10.873860"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.691670,12.305760,12.701710,13.113400,13.632340,13.848830,13.807590", \ - "11.690890,12.247940,12.631000,13.160540,13.521540,13.791430,14.022390", \ - "11.623680,12.180710,12.597900,13.058020,13.552980,13.668970,13.900880", \ - "11.542440,12.156700,12.530530,13.064590,13.425370,13.760950,13.720370", \ - "11.549060,12.162460,12.533160,13.015670,13.375940,13.775150,13.736210", \ - "11.557800,12.173860,12.497980,13.036560,13.394530,13.723300,13.685030", \ - "11.535980,12.170470,12.586600,13.092010,13.611640,13.733470,13.971420"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.103555,8.732711,9.171616,9.611985,9.980008,10.217350,10.377320", \ - "8.065579,8.742154,9.147214,9.576181,9.955185,10.197470,10.350390", \ - "8.034834,8.703967,9.109283,9.549166,9.910225,10.156850,10.306210", \ - "8.019979,8.710264,9.107297,9.521456,9.902839,10.136550,10.286210", \ - "8.120922,8.753935,9.160679,9.602160,9.974536,10.200980,10.353530", \ - "8.388928,8.960308,9.342186,9.784914,10.135250,10.352620,10.515020", \ - "8.653988,9.264414,9.627093,10.089890,10.455340,10.677510,10.780470"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.446930,11.994750,12.346590,12.863590,13.285260,13.699970,13.686920", \ - "11.407400,12.010990,12.335980,12.791050,13.244810,13.661750,13.650020", \ - "11.332150,11.935730,12.282970,12.739650,13.288230,13.584780,13.573190", \ - "11.288690,11.892730,12.224890,12.709210,13.257970,13.499450,13.489020", \ - "11.282560,11.886090,12.188390,12.591720,13.146290,13.447500,13.708120", \ - "11.327180,11.875340,12.196800,12.659430,13.209670,13.420350,13.682680", \ - "11.353930,11.928160,12.258610,12.713760,13.142950,13.670950,13.664880"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.079933,8.757696,9.138356,9.610225,9.989875,10.228550,10.380080", \ - "8.075672,8.723407,9.113108,9.583740,9.954459,10.193750,10.352450", \ - "8.048413,8.679331,9.084505,9.544067,9.910516,10.161280,10.310020", \ - "8.057565,8.671013,9.083859,9.537086,9.901318,10.139940,10.298340", \ - "8.136490,8.772765,9.153493,9.591430,9.982003,10.212260,10.369210", \ - "8.395578,8.965752,9.360942,9.778068,10.153170,10.380590,10.544730", \ - "8.669192,9.260717,9.615953,10.094140,10.478100,10.705300,10.826040"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.058260,12.640570,12.940170,13.489540,14.083460,14.330150,14.345110", \ - "12.024820,12.588650,12.926460,13.487870,14.003200,14.278580,14.565970", \ - "11.941370,12.561490,12.868060,13.351190,13.892390,14.198050,14.485190", \ - "11.905420,12.469780,12.843480,13.333940,13.793010,14.119140,14.406520", \ - "11.908100,12.471640,12.815880,13.332130,13.767390,14.074860,14.360670", \ - "11.885750,12.506500,12.823060,13.310160,13.795390,14.310520,14.326740", \ - "11.935420,12.571610,12.924430,13.409780,13.873360,14.169520,14.459990"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.951819,8.626615,9.015557,9.496929,9.885267,10.133420,10.311990", \ - "7.932566,8.585064,8.993415,9.476122,9.864015,10.122700,10.289030", \ - "7.911706,8.543507,8.979904,9.427794,9.819032,10.084700,10.247840", \ - "7.899704,8.588521,8.966725,9.434223,9.815238,10.057260,10.229130", \ - "8.040091,8.645454,9.063823,9.495589,9.877877,10.138820,10.292880", \ - "8.287127,8.856770,9.266865,9.701843,10.059060,10.288030,10.461360", \ - "8.579606,9.179653,9.561092,9.997481,10.391710,10.619090,10.739200"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.572660,12.136250,12.441290,12.932550,13.401570,13.617510,13.583700", \ - "11.526620,12.089640,12.422000,12.849030,13.343390,13.572720,13.538890", \ - "11.431710,12.021530,12.351710,12.776020,13.158460,13.478690,13.445820", \ - "11.430800,11.963920,12.308970,12.716910,13.166820,13.320630,13.559320", \ - "11.368260,11.958670,12.293190,12.667680,13.129300,13.378650,13.345290", \ - "11.442950,11.977210,12.330800,12.678250,13.159020,13.437930,13.405610", \ - "11.413040,12.039860,12.351770,12.862270,13.240210,13.546570,13.519080"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.883062,8.581510,8.944515,9.432127,9.831556,10.083670,10.255200", \ - "7.888100,8.558685,8.926774,9.424797,9.806754,10.055960,10.230140", \ - "7.831754,8.519390,8.935315,9.380164,9.761426,10.017900,10.186570", \ - "7.862113,8.530114,8.939594,9.382088,9.752418,9.998872,10.159850", \ - "7.977403,8.568252,8.977915,9.450380,9.822333,10.066500,10.229430", \ - "8.219732,8.794795,9.207719,9.640527,10.000870,10.218330,10.392060", \ - "8.539324,9.116605,9.490756,9.928239,10.322720,10.546040,10.664270"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.314210,11.902110,12.166940,12.637840,13.157770,13.285010,13.549200", \ - "11.288020,11.826190,12.161310,12.581820,13.101940,13.259220,13.523600", \ - "11.186210,11.775700,12.070140,12.422870,12.947670,13.212350,13.476760", \ - "11.163010,11.752290,12.053960,12.452730,12.937820,13.336580,13.411860", \ - "11.133750,11.723360,12.033010,12.472400,12.976940,13.125900,13.391520", \ - "11.152020,11.741930,12.066250,12.492750,13.010150,13.180240,13.445100", \ - "11.243150,11.792080,12.116240,12.515550,13.041320,13.244960,13.512430"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.920835,8.556713,8.973927,9.447439,9.830342,10.089440,10.261450", \ - "7.871397,8.538856,8.948573,9.421483,9.804144,10.061310,10.233250", \ - "7.873557,8.503438,8.910422,9.383644,9.765929,10.013660,10.190260", \ - "7.897728,8.515534,8.909708,9.376078,9.756644,10.009040,10.172220", \ - "7.958984,8.613833,8.985241,9.451677,9.823085,10.080570,10.242990", \ - "8.188876,8.826620,9.197331,9.637669,10.015590,10.254920,10.422010", \ - "8.529660,9.122876,9.483584,9.963072,10.357180,10.587940,10.704160"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.923610,12.512680,12.836590,13.306190,13.739350,14.210190,14.229490", \ - "11.921630,12.471750,12.799960,13.235930,13.669900,14.169800,14.188990", \ - "11.809710,12.441370,12.720610,13.206560,13.610380,14.113190,14.133490", \ - "11.799150,12.398280,12.697700,13.098730,13.518510,14.060470,14.080690", \ - "11.777310,12.376870,12.666710,13.026850,13.461450,14.045640,14.063640", \ - "11.792770,12.342690,12.676200,13.055040,13.621190,13.823130,14.115790", \ - "11.865990,12.422030,12.774090,13.149670,13.636250,14.065640,14.086930"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.974045,8.620342,9.025812,9.497746,9.887478,10.136590,10.312940", \ - "7.922781,8.603736,9.017107,9.466152,9.866793,10.122650,10.291530", \ - "7.929670,8.546294,8.974790,9.441741,9.829745,10.086010,10.253780", \ - "7.932901,8.552841,8.966940,9.428923,9.818348,10.065440,10.236110", \ - "8.055768,8.672425,9.060641,9.496124,9.889042,10.139450,10.301890", \ - "8.250853,8.887850,9.268764,9.697585,10.078260,10.324330,10.487940", \ - "8.618509,9.165785,9.574954,10.023420,10.421390,10.651690,10.775360"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.123700,12.739010,13.063690,13.515760,14.035310,14.336270,14.327920", \ - "12.123890,12.673070,13.047410,13.429500,13.950770,14.280810,14.272220", \ - "12.079410,12.628160,12.972300,13.356040,13.831300,14.180780,14.172200", \ - "12.028770,12.577660,12.945270,13.404190,13.924700,14.030560,14.295060", \ - "11.972020,12.578440,12.903790,13.280890,13.670080,14.094000,14.086530", \ - "12.035180,12.601670,12.906360,13.431700,13.913730,14.138970,14.131790", \ - "12.084450,12.651710,13.014580,13.424570,13.842710,14.121160,14.388220"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.920835,8.556713,8.973927,9.447439,9.830342,10.089440,10.261450", \ - "7.871397,8.538856,8.948573,9.421483,9.804144,10.061310,10.233250", \ - "7.873557,8.503438,8.910422,9.383644,9.765929,10.013660,10.190260", \ - "7.897728,8.515534,8.909708,9.376078,9.756644,10.009040,10.172220", \ - "7.958984,8.613833,8.985241,9.451677,9.823085,10.080570,10.242990", \ - "8.188876,8.826620,9.197331,9.637669,10.015590,10.254920,10.422010", \ - "8.529660,9.122876,9.483584,9.963072,10.357180,10.587940,10.704160"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.923610,12.512680,12.836590,13.306190,13.739350,14.210190,14.229490", \ - "11.921630,12.471750,12.799960,13.235930,13.669900,14.169800,14.188990", \ - "11.809710,12.441370,12.720610,13.206560,13.610380,14.113190,14.133490", \ - "11.799150,12.398280,12.697700,13.098730,13.518510,14.060470,14.080690", \ - "11.777310,12.376870,12.666710,13.026850,13.461450,14.045640,14.063640", \ - "11.792770,12.342690,12.676200,13.055040,13.621190,13.823130,14.115790", \ - "11.865990,12.422030,12.774090,13.149670,13.636250,14.065640,14.086930"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.912860,8.570584,8.951101,9.431194,9.835578,10.093780,10.267270", \ - "7.889959,8.517375,8.932136,9.413815,9.810949,10.067890,10.244620", \ - "7.858632,8.484048,8.921311,9.367770,9.771001,10.028810,10.194980", \ - "7.869917,8.491420,8.907375,9.380513,9.764843,10.010830,10.179990", \ - "7.968922,8.610879,9.003928,9.462320,9.847793,10.099700,10.261520", \ - "8.268712,8.824725,9.175547,9.653906,10.038890,10.278880,10.451210", \ - "8.568774,9.146196,9.534034,9.991588,10.393840,10.625310,10.755860"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.560450,13.162920,13.440930,13.841650,14.452810,14.872700,14.916840", \ - "12.506820,13.144800,13.428400,13.897840,14.357510,14.821650,14.864740", \ - "12.443260,13.081870,13.396870,13.866720,14.345600,14.754490,14.799900", \ - "12.427490,13.035280,13.345510,13.753900,14.386770,14.706010,14.750540", \ - "12.368110,13.016890,13.298140,13.734000,14.333530,14.698670,14.745780", \ - "12.385620,13.009820,13.332050,13.767890,14.264500,14.748520,14.796670", \ - "12.465580,13.090780,13.399160,13.826650,14.432500,14.658720,14.977630"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.162647,8.838129,9.242418,9.673106,10.053110,10.288750,10.443260", \ - "8.186366,8.818826,9.222733,9.655559,10.031260,10.270820,10.420990", \ - "8.121681,8.753593,9.195556,9.640567,9.994001,10.240050,10.391430", \ - "8.107243,8.767052,9.179196,9.615174,9.982350,10.211340,10.370370", \ - "8.127729,8.772227,9.170012,9.592225,9.983330,10.214210,10.358230", \ - "8.233527,8.840601,9.238867,9.689370,10.046320,10.265820,10.423730", \ - "8.451581,9.025947,9.394642,9.879686,10.244680,10.461640,10.575630"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.201000,12.831000,13.228210,13.639560,14.184800,14.359680,14.615160", \ - "12.196870,12.771190,13.202850,13.682190,14.169900,14.570990,14.555010", \ - "12.134330,12.772090,13.115060,13.663860,14.169970,14.401120,14.383480", \ - "12.140970,12.732210,13.084880,13.638920,14.156960,14.468090,14.453120", \ - "12.139180,12.726600,13.075960,13.568660,14.098010,14.475080,14.461420", \ - "12.132090,12.753850,13.139520,13.692820,14.078130,14.395920,14.380610", \ - "12.170050,12.785430,13.182640,13.737420,14.143670,14.534850,14.524900"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.133767,8.764039,9.172898,9.604627,9.983857,10.224720,10.379430", \ - "8.091720,8.730709,9.149477,9.592060,9.957558,10.201330,10.353170", \ - "8.040606,8.714649,9.116805,9.552372,9.925051,10.162100,10.315960", \ - "8.003227,8.694819,9.099282,9.529018,9.905643,10.142990,10.290790", \ - "8.080212,8.689037,9.074258,9.532935,9.891117,10.133860,10.292510", \ - "8.188053,8.810918,9.191780,9.611500,9.976957,10.190870,10.341510", \ - "8.370045,8.950137,9.344882,9.806017,10.171470,10.389930,10.491370"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.991240,12.555140,12.928630,13.332830,13.851010,14.190360,14.477960", \ - "11.946720,12.531270,12.850890,13.392120,13.968180,14.148430,14.435260", \ - "11.911730,12.474970,12.859250,13.262320,13.734980,14.325490,14.290210", \ - "11.884330,12.504020,12.842490,13.326700,13.900170,14.154070,14.441010", \ - "11.866590,12.486800,12.835110,13.326790,13.768450,14.214870,14.375890", \ - "11.895270,12.459650,12.817790,13.363830,13.805790,14.058070,14.337150", \ - "11.952820,12.529280,12.891250,13.436250,13.932260,14.184280,14.475190"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.113478,8.752112,9.165716,9.609325,9.986410,10.224760,10.378930", \ - "8.054793,8.722612,9.117051,9.585866,9.967053,10.197600,10.354550", \ - "8.062458,8.683960,9.088570,9.547735,9.917753,10.167810,10.319800", \ - "8.025329,8.667192,9.071107,9.535292,9.904739,10.152670,10.302480", \ - "8.071128,8.676150,9.044725,9.523819,9.909069,10.139400,10.295720", \ - "8.184853,8.796259,9.173400,9.621365,9.978967,10.205030,10.360010", \ - "8.375257,8.968494,9.324919,9.802814,10.184920,10.401460,10.523800"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.571000,13.207220,13.532360,14.027150,14.563020,14.830060,15.193850", \ - "12.520440,13.158920,13.520350,13.948050,14.499430,15.054750,15.095880", \ - "12.536140,13.116440,13.476930,13.952350,14.460040,14.903900,14.944940", \ - "12.513840,13.093380,13.446930,13.883460,14.382120,14.784290,15.123480", \ - "12.499140,13.078750,13.451580,13.898770,14.519500,14.995170,15.038400", \ - "12.473010,13.103330,13.441410,13.939720,14.553880,14.946690,15.015750", \ - "12.569590,13.178230,13.519620,14.072080,14.562900,15.000620,15.044690"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.956064,8.621998,9.019930,9.499186,9.890711,10.141430,10.309910", \ - "7.940332,8.609869,8.996670,9.482949,9.864837,10.122590,10.291150", \ - "7.910378,8.545946,8.956558,9.454043,9.838692,10.090190,10.256200", \ - "7.884521,8.531008,8.942665,9.434392,9.814459,10.069200,10.228130", \ - "7.956198,8.580133,8.977855,9.429998,9.815889,10.055500,10.229060", \ - "8.088437,8.675346,9.086089,9.514698,9.890626,10.119320,10.286360", \ - "8.284703,8.884421,9.237430,9.718937,10.095490,10.325290,10.440300"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.079780,12.628910,12.959430,13.469980,13.970590,14.181910,14.173410", \ - "12.027330,12.633510,12.978500,13.388920,13.892500,14.132290,14.396070", \ - "12.036610,12.585900,12.941550,13.385530,13.887990,14.247880,14.240830", \ - "12.002330,12.582980,12.926720,13.378230,13.747690,14.058920,14.323490", \ - "11.985100,12.583840,12.895840,13.353550,13.724180,14.107430,14.099590", \ - "11.985150,12.590780,12.901930,13.337060,13.840700,14.148680,14.140720", \ - "12.054200,12.663790,13.006440,13.388730,13.905700,14.136590,14.403830"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.922335,8.542610,8.959480,9.438086,9.832451,10.083660,10.256840", \ - "7.868166,8.524107,8.965580,9.426284,9.810564,10.068370,10.236210", \ - "7.851144,8.491268,8.940764,9.393936,9.766140,10.020340,10.193920", \ - "7.842067,8.475448,8.924155,9.365283,9.751501,9.994614,10.166950", \ - "7.873069,8.491250,8.916783,9.369897,9.739507,10.000170,10.162910", \ - "8.041572,8.631747,9.011130,9.454871,9.830426,10.058570,10.228420", \ - "8.207398,8.813780,9.212833,9.655456,10.034520,10.253280,10.369760"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.849690,12.467620,12.759130,13.126860,13.776900,14.099340,14.118100", \ - "11.816240,12.426650,12.730190,13.198090,13.612190,14.065610,14.084840", \ - "11.795790,12.401650,12.696640,13.085450,13.633670,13.935960,13.954510", \ - "11.785060,12.334940,12.650860,13.039220,13.577320,13.828920,14.120740", \ - "11.738570,12.368540,12.675450,13.062690,13.477110,13.792340,14.085130", \ - "11.745460,12.352530,12.683710,13.054050,13.600300,13.833940,14.126740", \ - "11.822500,12.436610,12.751270,13.115980,13.633860,14.081570,14.103530"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.921729,8.569033,8.975111,9.448211,9.841747,10.091650,10.259720", \ - "7.906083,8.541361,8.967324,9.424758,9.806719,10.067970,10.236500", \ - "7.851501,8.520435,8.914618,9.387819,9.767159,10.032500,10.196420", \ - "7.826556,8.494414,8.902051,9.368471,9.757184,10.002390,10.172040", \ - "7.880518,8.523078,8.914952,9.375330,9.758678,10.002280,10.173290", \ - "8.028920,8.607214,9.006200,9.473473,9.838863,10.073560,10.237960", \ - "8.209577,8.810447,9.195224,9.646980,10.038390,10.279750,10.400950"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.450350,13.099550,13.400150,13.859160,14.449700,14.764340,14.808740", \ - "12.470200,13.066570,13.331270,13.788900,14.383280,14.726800,14.864690", \ - "12.442390,13.036280,13.350870,13.808200,14.398750,14.588070,14.905810", \ - "12.376030,12.999730,13.290590,13.756390,14.348400,14.754470,14.799610", \ - "12.391750,12.958760,13.328040,13.756580,14.266720,14.725760,14.772730", \ - "12.398400,13.005170,13.281790,13.739620,14.236390,14.760110,14.808260", \ - "12.478340,13.055260,13.372860,13.827000,14.356440,14.670020,14.989910"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.970040,8.619539,9.037927,9.497705,9.886161,10.141570,10.313800", \ - "7.960424,8.577001,8.985813,9.479009,9.868505,10.117570,10.297160", \ - "7.916096,8.567808,8.934830,9.451248,9.836220,10.089590,10.263380", \ - "7.926364,8.564486,8.963043,9.422906,9.811380,10.069770,10.236100", \ - "7.935053,8.537219,8.947585,9.429311,9.821408,10.069170,10.233470", \ - "8.105843,8.677688,9.089592,9.531067,9.899004,10.135300,10.304130", \ - "8.294702,8.885918,9.265712,9.707148,10.110780,10.343620,10.461260"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.693970,13.259060,13.611560,14.127810,14.537610,14.924420,14.924350", \ - "12.638740,13.260470,13.601000,14.042730,14.595850,14.854560,14.871070", \ - "12.644010,13.209290,13.570350,14.038000,14.451180,14.694590,14.983670", \ - "12.611620,13.189060,13.525470,14.040040,14.581760,14.780420,14.797420", \ - "12.598250,13.188960,13.543970,14.027780,14.570250,14.829480,14.846830", \ - "12.589320,13.155570,13.545680,14.010610,14.424400,14.860160,14.877810", \ - "12.681950,13.258620,13.588530,14.126800,14.596740,14.992080,15.044860"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.921729,8.569033,8.975111,9.448211,9.841747,10.091650,10.259720", \ - "7.906083,8.541361,8.967324,9.424758,9.806719,10.067970,10.236500", \ - "7.851501,8.520435,8.914618,9.387819,9.767159,10.032500,10.196420", \ - "7.826556,8.494414,8.902051,9.368471,9.757184,10.002390,10.172040", \ - "7.880518,8.523078,8.914952,9.375330,9.758678,10.002280,10.173290", \ - "8.028920,8.607214,9.006200,9.473473,9.838863,10.073560,10.237960", \ - "8.209577,8.810447,9.195224,9.646980,10.038390,10.279750,10.400950"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.450350,13.099550,13.400150,13.859160,14.449700,14.764340,14.808740", \ - "12.470200,13.066570,13.331270,13.788900,14.383280,14.726800,14.864690", \ - "12.442390,13.036280,13.350870,13.808200,14.398750,14.588070,14.905810", \ - "12.376030,12.999730,13.290590,13.756390,14.348400,14.754470,14.799610", \ - "12.391750,12.958760,13.328040,13.756580,14.266720,14.725760,14.772730", \ - "12.398400,13.005170,13.281790,13.739620,14.236390,14.760110,14.808260", \ - "12.478340,13.055260,13.372860,13.827000,14.356440,14.670020,14.989910"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.914268,8.558665,8.961551,9.442726,9.844369,10.104710,10.268340", \ - "7.912715,8.519421,8.933631,9.409336,9.818830,10.071050,10.235590", \ - "7.892633,8.484985,8.917967,9.373935,9.781465,10.036270,10.209370", \ - "7.862459,8.469570,8.872666,9.356130,9.754379,10.013650,10.180370", \ - "7.896991,8.510127,8.886437,9.376673,9.764256,10.015320,10.186840", \ - "8.008881,8.641516,9.029704,9.477419,9.853568,10.086390,10.262320", \ - "8.240935,8.820750,9.206872,9.679671,10.066800,10.301900,10.435810"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.112160,13.695540,14.040230,14.453220,14.955640,15.431370,15.503970", \ - "13.070290,13.709720,14.037100,14.516290,15.071360,15.389970,15.733120", \ - "13.037850,13.677210,14.005880,14.399840,14.934270,15.521960,15.596160", \ - "13.031140,13.614500,13.980410,14.357170,14.924140,15.419360,15.489150", \ - "12.992230,13.632510,13.916130,14.416480,14.885720,15.391500,15.462200", \ - "13.000340,13.640340,13.951360,14.436230,15.029250,15.424450,15.498960", \ - "13.046230,13.688140,14.022870,14.431500,15.055760,15.298940,15.645980"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X1 - Cell Description : Combinational cell (BUF_X1) with drive strength X1 - *******************************************************************************************/ - - cell (BUF_X1) { - - drive_strength : 1; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 15.635893; - - leakage_power () { - when : "!A"; - value : 14.694980; - } - leakage_power () { - when : "A"; - value : 16.576806; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.934558; - fall_capacitance : 0.835243; - rise_capacitance : 0.934558; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.630530; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0708781,0.0799760,0.0883476,0.101680,0.123550,0.161707,0.233215", \ - "0.0751556,0.0842548,0.0926326,0.105966,0.127837,0.165999,0.237514", \ - "0.0934562,0.102515,0.110900,0.124239,0.146129,0.184299,0.255817", \ - "0.130117,0.139395,0.147802,0.161212,0.183189,0.221414,0.292945", \ - "0.174481,0.185609,0.195347,0.209921,0.233174,0.272172,0.343749", \ - "0.221801,0.235103,0.246515,0.263146,0.288364,0.329009,0.401715", \ - "0.272256,0.287761,0.301109,0.320207,0.347993,0.390808,0.464664"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0391454,0.0533610,0.0700411,0.102613,0.166950,0.295100,0.550855", \ - "0.0444286,0.0585809,0.0752406,0.107834,0.172220,0.300375,0.556140", \ - "0.0620858,0.0759784,0.0924744,0.125025,0.189554,0.317866,0.573763", \ - "0.0841539,0.0983343,0.114672,0.146983,0.211311,0.339660,0.595664", \ - "0.104734,0.120144,0.136504,0.168217,0.232335,0.360412,0.616412", \ - "0.120616,0.138453,0.155672,0.187252,0.250842,0.378643,0.634426", \ - "0.130215,0.150923,0.170111,0.202488,0.265630,0.392980,0.648459"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0134747,0.0170755,0.0210456,0.0284455,0.0428003,0.0719847,0.132913", \ - "0.0134746,0.0170778,0.0210463,0.0284470,0.0428020,0.0719895,0.132913", \ - "0.0135014,0.0171178,0.0210811,0.0284677,0.0428108,0.0719877,0.132917", \ - "0.0149214,0.0180242,0.0217111,0.0288672,0.0430251,0.0720846,0.132940", \ - "0.0201962,0.0231199,0.0263674,0.0328044,0.0458941,0.0735089,0.133253", \ - "0.0264179,0.0294164,0.0324698,0.0383715,0.0505470,0.0770491,0.135283", \ - "0.0332650,0.0365889,0.0396941,0.0453048,0.0565980,0.0815219,0.137894"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0133490,0.0251525,0.0402899,0.0708871,0.132190,0.254933,0.500088", \ - "0.0133556,0.0251578,0.0402907,0.0708762,0.132177,0.254905,0.500091", \ - "0.0136594,0.0252342,0.0403039,0.0708861,0.132213,0.254905,0.500100", \ - "0.0157336,0.0262590,0.0408149,0.0710094,0.132203,0.254892,0.500087", \ - "0.0197537,0.0286684,0.0419538,0.0715144,0.132431,0.254856,0.500095", \ - "0.0253267,0.0333509,0.0447605,0.0724866,0.132867,0.255058,0.500098", \ - "0.0316354,0.0399852,0.0499835,0.0747983,0.133583,0.255530,0.500187"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("1.880540,2.032062,2.138057,2.249127,2.344183,2.392575,2.412218", \ - "1.843872,1.991635,2.105520,2.216259,2.312652,2.360486,2.379517", \ - "1.822718,1.961230,2.067722,2.185775,2.284412,2.334999,2.353782", \ - "1.850108,1.982610,2.083650,2.203896,2.300669,2.357955,2.381824", \ - "1.886146,2.012373,2.122655,2.267632,2.379322,2.438109,2.462601", \ - "2.006130,2.089434,2.182237,2.306945,2.461012,2.579171,2.608568", \ - "2.216015,2.275619,2.347070,2.464386,2.601657,2.731039,2.821186"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("1.322217,1.389253,1.415455,1.455393,1.414024,1.443255,1.481323", \ - "1.314222,1.366202,1.401289,1.434262,1.447437,1.476336,1.448551", \ - "1.279991,1.319262,1.347220,1.382652,1.392942,1.414007,1.391914", \ - "1.296108,1.318836,1.329090,1.340881,1.342077,1.370137,1.423229", \ - "1.394067,1.420223,1.412340,1.408745,1.395740,1.439381,1.431396", \ - "1.517623,1.583862,1.570065,1.542303,1.550755,1.520263,1.586050", \ - "1.707151,1.802463,1.807290,1.787183,1.767571,1.721458,1.776608"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X2 - Cell Description : Combinational cell (BUF_X2) with drive strength X2 - *******************************************************************************************/ - - cell (BUF_X2) { - - drive_strength : 2; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 31.419426; - - leakage_power () { - when : "!A"; - value : 29.556875; - } - leakage_power () { - when : "A"; - value : 33.281977; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.703888; - fall_capacitance : 1.501189; - rise_capacitance : 1.703888; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.101300; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0654251,0.0751458,0.0830572,0.0958179,0.117058,0.154643,0.225800", \ - "0.0696790,0.0794017,0.0873153,0.100081,0.121325,0.158912,0.230073", \ - "0.0881053,0.0977757,0.105686,0.118459,0.139719,0.177323,0.248491", \ - "0.124145,0.134270,0.142280,0.155169,0.176536,0.214207,0.285387", \ - "0.166585,0.178724,0.188024,0.202111,0.224779,0.263279,0.334460", \ - "0.211971,0.226467,0.237373,0.253336,0.277832,0.317759,0.389987", \ - "0.260517,0.277428,0.290179,0.308569,0.335490,0.377420,0.450633"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0365563,0.0524679,0.0691049,0.101604,0.165843,0.293786,0.549284", \ - "0.0418187,0.0576525,0.0742718,0.106805,0.171088,0.299075,0.554576", \ - "0.0590730,0.0745870,0.0910524,0.123549,0.187981,0.316136,0.571791", \ - "0.0798987,0.0956583,0.111982,0.144220,0.208487,0.336620,0.592420", \ - "0.0988209,0.115915,0.132158,0.163843,0.227887,0.355805,0.611533", \ - "0.112883,0.132647,0.149593,0.181060,0.244580,0.372274,0.627751", \ - "0.120613,0.143581,0.162352,0.194425,0.257483,0.384689,0.639921"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0124758,0.0164076,0.0202979,0.0276322,0.0419995,0.0713822,0.132651", \ - "0.0124742,0.0164089,0.0202993,0.0276329,0.0419987,0.0713809,0.132650", \ - "0.0125049,0.0164570,0.0203376,0.0276574,0.0420086,0.0713862,0.132654", \ - "0.0144100,0.0177086,0.0211985,0.0281819,0.0422875,0.0714962,0.132671", \ - "0.0196628,0.0227560,0.0259146,0.0322406,0.0453228,0.0731000,0.132999", \ - "0.0258529,0.0290215,0.0319455,0.0377088,0.0497974,0.0764133,0.135059", \ - "0.0325895,0.0361455,0.0391256,0.0445509,0.0557046,0.0806917,0.137464"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0123826,0.0256574,0.0408165,0.0713701,0.132587,0.255090,0.500027", \ - "0.0123851,0.0256598,0.0408116,0.0713744,0.132591,0.255120,0.500020", \ - "0.0127791,0.0257343,0.0408297,0.0713756,0.132575,0.255093,0.500022", \ - "0.0148846,0.0266863,0.0413374,0.0715346,0.132586,0.255084,0.500023", \ - "0.0190388,0.0289178,0.0423713,0.0720143,0.132920,0.255087,0.500039", \ - "0.0246157,0.0334562,0.0449909,0.0729310,0.133314,0.255423,0.500031", \ - "0.0309206,0.0400852,0.0500541,0.0751218,0.134041,0.255853,0.500217"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("3.650182,3.963202,4.155831,4.343659,4.499586,4.582138,4.616892", \ - "3.580890,3.889359,4.086158,4.275357,4.432313,4.512995,4.543207", \ - "3.530996,3.825934,4.025297,4.221139,4.387027,4.469919,4.502668", \ - "3.610596,3.867002,4.056753,4.252131,4.425916,4.519110,4.564426", \ - "3.673099,3.901563,4.109608,4.358179,4.578550,4.691518,4.733159", \ - "3.902252,4.084293,4.251359,4.489230,4.751948,4.977789,5.036892", \ - "4.369032,4.461168,4.591811,4.808201,5.065183,5.289731,5.470716"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("2.616087,2.776211,2.838975,2.855639,2.898404,2.877069,2.830208", \ - "2.595985,2.721079,2.803534,2.858289,2.881916,2.808062,2.897510", \ - "2.533271,2.606005,2.661105,2.698390,2.747756,2.827216,2.795387", \ - "2.580497,2.613070,2.646775,2.695842,2.689963,2.780857,2.763743", \ - "2.768909,2.817368,2.813639,2.793967,2.747501,2.840085,2.834317", \ - "3.033440,3.166767,3.164411,3.121696,3.116587,3.143834,3.207700", \ - "3.389122,3.614789,3.619506,3.587322,3.555929,3.538143,3.529544"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X4 - Cell Description : Combinational cell (BUF_X4) with drive strength X4 - *******************************************************************************************/ - - cell (BUF_X4) { - - drive_strength : 4; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 62.826825; - - leakage_power () { - when : "!A"; - value : 59.104155; - } - leakage_power () { - when : "A"; - value : 66.549495; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.251947; - fall_capacitance : 2.826141; - rise_capacitance : 3.251947; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.237000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0613894,0.0714740,0.0791523,0.0915984,0.112477,0.149756,0.220813", \ - "0.0656464,0.0757259,0.0834074,0.0958576,0.116741,0.154020,0.225081", \ - "0.0841773,0.0942128,0.101895,0.114371,0.135268,0.172532,0.243603", \ - "0.119649,0.130304,0.138182,0.150853,0.171904,0.209246,0.280266", \ - "0.160703,0.173474,0.182566,0.196436,0.218842,0.257106,0.328171", \ - "0.204695,0.219957,0.230629,0.246207,0.270288,0.309843,0.381869", \ - "0.251925,0.269710,0.282204,0.300174,0.326573,0.367954,0.440831"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0342832,0.0511635,0.0678158,0.100319,0.164557,0.292517,0.548130", \ - "0.0395404,0.0563211,0.0729595,0.105509,0.169808,0.297808,0.553413", \ - "0.0564149,0.0728487,0.0893278,0.121863,0.186311,0.314506,0.570264", \ - "0.0762072,0.0929304,0.109233,0.141544,0.205842,0.334090,0.589954", \ - "0.0938267,0.111969,0.128169,0.159886,0.224014,0.352113,0.607970", \ - "0.106428,0.127443,0.144301,0.175726,0.239267,0.366995,0.622636", \ - "0.112637,0.137087,0.155735,0.187697,0.250756,0.378000,0.633342"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0117488,0.0158434,0.0196938,0.0270029,0.0414139,0.0709939,0.132578", \ - "0.0117505,0.0158476,0.0196954,0.0270036,0.0414144,0.0709924,0.132579", \ - "0.0117824,0.0158962,0.0197341,0.0270242,0.0414231,0.0709982,0.132579", \ - "0.0140346,0.0174457,0.0208328,0.0276695,0.0417523,0.0711204,0.132602", \ - "0.0193212,0.0224631,0.0255428,0.0317889,0.0448594,0.0728251,0.132947", \ - "0.0255048,0.0287315,0.0315616,0.0372203,0.0492468,0.0759760,0.135020", \ - "0.0322634,0.0358683,0.0387414,0.0440362,0.0550766,0.0801373,0.137290"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0113834,0.0254006,0.0405800,0.0711536,0.132353,0.254870,0.499864", \ - "0.0113910,0.0254053,0.0405801,0.0711547,0.132378,0.254903,0.499870", \ - "0.0118574,0.0254867,0.0405966,0.0711515,0.132384,0.254869,0.499858", \ - "0.0140535,0.0264206,0.0411076,0.0713457,0.132383,0.254878,0.499860", \ - "0.0183380,0.0286132,0.0421116,0.0718071,0.132741,0.254910,0.499873", \ - "0.0239252,0.0331485,0.0446859,0.0727164,0.133131,0.255264,0.499873", \ - "0.0302333,0.0398306,0.0497219,0.0748756,0.133895,0.255691,0.500147"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.942631,7.598131,7.962043,8.325723,8.587283,8.722252,8.778503", \ - "6.763994,7.440912,7.821546,8.185527,8.455514,8.590026,8.646906", \ - "6.710262,7.328070,7.682943,8.061157,8.357428,8.496698,8.566335", \ - "6.867097,7.417182,7.770536,8.146896,8.454097,8.619744,8.698548", \ - "6.959613,7.482482,7.838202,8.338393,8.783454,8.969474,9.055951", \ - "7.536323,7.869465,8.172527,8.613543,9.108422,9.539705,9.663524", \ - "8.458546,8.615795,8.857769,9.246877,9.733852,10.169690,10.546100"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("4.973504,5.288824,5.449772,5.534191,5.464265,5.567306,5.456311", \ - "4.889543,5.203480,5.343692,5.403046,5.355161,5.426767,5.587394", \ - "4.778904,4.977057,5.085166,5.202219,5.335845,5.420916,5.403493", \ - "4.894561,4.967405,5.030994,5.113921,5.283325,5.333128,5.406466", \ - "5.269357,5.402402,5.413073,5.376531,5.478485,5.385101,5.627996", \ - "5.809384,6.087143,6.084669,6.065648,6.037415,5.948135,6.196587", \ - "6.553760,6.994624,7.035482,7.068185,7.012665,6.969789,6.948435"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X8 - Cell Description : Combinational cell (BUF_X8) with drive strength X8 - *******************************************************************************************/ - - cell (BUF_X8) { - - drive_strength : 8; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 125.653982; - - leakage_power () { - when : "!A"; - value : 118.208500; - } - leakage_power () { - when : "A"; - value : 133.099465; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.359827; - fall_capacitance : 5.528340; - rise_capacitance : 6.359827; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 483.910400; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("0.0612447,0.0716705,0.0793576,0.0918205,0.112730,0.150044,0.221133", \ - "0.0655552,0.0759843,0.0836700,0.0961400,0.117052,0.154369,0.225457", \ - "0.0841120,0.0945053,0.102207,0.114691,0.135609,0.172907,0.244009", \ - "0.119489,0.130511,0.138476,0.151166,0.172212,0.209570,0.280612", \ - "0.160382,0.173564,0.182651,0.196704,0.219072,0.257328,0.328385", \ - "0.204233,0.219967,0.230619,0.246326,0.270437,0.309967,0.381954", \ - "0.251298,0.269653,0.282122,0.300053,0.326453,0.367886,0.440766"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("0.0344242,0.0518664,0.0685400,0.101049,0.165282,0.293202,0.548745", \ - "0.0396642,0.0570072,0.0736677,0.106220,0.170508,0.298465,0.554015", \ - "0.0564548,0.0734507,0.0899748,0.122520,0.186962,0.315114,0.570803", \ - "0.0761169,0.0933963,0.109766,0.142167,0.206465,0.334660,0.590458", \ - "0.0935440,0.112307,0.128571,0.160441,0.224626,0.352673,0.608436", \ - "0.105956,0.127661,0.144566,0.176044,0.239677,0.367507,0.623063", \ - "0.112004,0.137219,0.155872,0.187892,0.250992,0.378216,0.633530"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("0.0116942,0.0159199,0.0197805,0.0271082,0.0415390,0.0711286,0.132717", \ - "0.0116942,0.0159216,0.0197830,0.0271092,0.0415386,0.0711290,0.132713", \ - "0.0117239,0.0159709,0.0198209,0.0271297,0.0415479,0.0711330,0.132721", \ - "0.0139948,0.0175204,0.0209144,0.0277736,0.0418772,0.0712563,0.132738", \ - "0.0192632,0.0225058,0.0256000,0.0318544,0.0449675,0.0729625,0.133082", \ - "0.0254461,0.0287584,0.0315920,0.0372566,0.0493157,0.0760957,0.135160", \ - "0.0321963,0.0358931,0.0387627,0.0440669,0.0551341,0.0802438,0.137431"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("0.0112202,0.0255522,0.0407008,0.0712446,0.132445,0.254876,0.499796", \ - "0.0112273,0.0255523,0.0407025,0.0712464,0.132444,0.254880,0.499792", \ - "0.0117003,0.0256437,0.0407204,0.0712434,0.132453,0.254894,0.499783", \ - "0.0138826,0.0265855,0.0412502,0.0714487,0.132444,0.254879,0.499788", \ - "0.0181490,0.0287477,0.0422641,0.0719164,0.132815,0.254932,0.499807", \ - "0.0237036,0.0332193,0.0448197,0.0728467,0.133203,0.255292,0.499807", \ - "0.0299902,0.0398642,0.0498034,0.0750029,0.133978,0.255715,0.500100"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("13.686530,15.067410,15.789170,16.503120,17.031780,17.316030,17.422170", \ - "13.433460,14.815730,15.527970,16.261820,16.785550,17.068450,17.177180", \ - "13.299260,14.551640,15.267490,16.027580,16.595700,16.903400,17.024310", \ - "13.664710,14.741670,15.442050,16.212370,16.807040,17.137460,17.287840", \ - "13.869610,14.879780,15.574530,16.597570,17.452460,17.845020,18.016630", \ - "15.034340,15.671930,16.233840,17.125910,18.129410,18.993980,19.232110", \ - "16.935950,17.184650,17.634580,18.377500,19.385200,20.222750,20.997640"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("9.884620,10.569560,10.869920,10.927580,10.820230,11.032870,10.824740", \ - "9.722313,10.387450,10.609570,10.927330,11.067960,10.754320,11.094140", \ - "9.444010,9.955423,10.188560,10.268790,10.586080,10.889470,10.740920", \ - "9.761815,9.957729,10.102370,10.145290,10.496000,10.307420,10.758970", \ - "10.471590,10.797070,10.765330,10.654290,10.893550,10.904960,11.209150", \ - "11.547840,12.157020,12.172280,12.083280,12.028450,11.850620,12.361710", \ - "13.070250,13.960130,14.067470,14.107150,13.998290,13.915700,13.896320"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X16 - Cell Description : Combinational cell (BUF_X16) with drive strength X16 - *******************************************************************************************/ - - cell (BUF_X16) { - - drive_strength : 16; - - area : 6.650000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 251.307680; - - leakage_power () { - when : "!A"; - value : 236.417000; - } - leakage_power () { - when : "A"; - value : 266.198360; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 12.270698; - fall_capacitance : 10.754012; - rise_capacitance : 12.270698; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 965.357700; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("0.0617812,0.0725025,0.0802301,0.0927225,0.113639,0.150930,0.221947", \ - "0.0659950,0.0767154,0.0844433,0.0969409,0.117861,0.155153,0.226172", \ - "0.0845027,0.0951992,0.102935,0.115437,0.136358,0.173634,0.244662", \ - "0.119945,0.131319,0.139311,0.151992,0.173032,0.210359,0.281332", \ - "0.160921,0.174497,0.183678,0.197812,0.220150,0.258362,0.329345", \ - "0.204834,0.221057,0.231794,0.247686,0.271795,0.311277,0.383189", \ - "0.252013,0.270884,0.283454,0.301553,0.328060,0.369434,0.442262"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("0.0345365,0.0521865,0.0688632,0.101390,0.165668,0.293681,0.549397", \ - "0.0396915,0.0572496,0.0739121,0.106482,0.170812,0.298856,0.554580", \ - "0.0566289,0.0738492,0.0903606,0.122911,0.187393,0.315627,0.571493", \ - "0.0765225,0.0940672,0.110441,0.142858,0.207183,0.335440,0.591411", \ - "0.0940512,0.113211,0.129463,0.161471,0.225639,0.353735,0.609644", \ - "0.106494,0.128768,0.145695,0.177282,0.240960,0.368818,0.624538", \ - "0.112617,0.138471,0.157207,0.189240,0.252343,0.379701,0.635227"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("0.0115594,0.0158500,0.0197061,0.0270151,0.0414171,0.0709650,0.132491", \ - "0.0115588,0.0158528,0.0197079,0.0270165,0.0414174,0.0709633,0.132490", \ - "0.0115876,0.0159014,0.0197455,0.0270385,0.0414255,0.0709663,0.132494", \ - "0.0138551,0.0174226,0.0208119,0.0276660,0.0417496,0.0710886,0.132515", \ - "0.0191455,0.0224530,0.0255358,0.0317628,0.0448401,0.0727837,0.132854", \ - "0.0253184,0.0287215,0.0315635,0.0371909,0.0492205,0.0759418,0.134928", \ - "0.0320205,0.0358510,0.0387409,0.0440356,0.0550674,0.0801107,0.137208"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("0.0107327,0.0252342,0.0404043,0.0709666,0.132208,0.254721,0.499782", \ - "0.0107423,0.0252362,0.0404025,0.0709743,0.132221,0.254723,0.499778", \ - "0.0112236,0.0253195,0.0404176,0.0709690,0.132206,0.254732,0.499789", \ - "0.0135236,0.0262656,0.0409373,0.0711639,0.132222,0.254731,0.499777", \ - "0.0178714,0.0284781,0.0419567,0.0716335,0.132562,0.254774,0.499788", \ - "0.0233965,0.0330322,0.0445487,0.0725542,0.132958,0.255129,0.499823", \ - "0.0296173,0.0397161,0.0495983,0.0747166,0.133725,0.255542,0.500111"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("27.464430,30.306840,31.751470,33.240870,34.312850,34.861250,35.071090", \ - "26.801550,29.738080,31.200140,32.686060,33.788070,34.350520,34.591980", \ - "26.674720,29.245290,30.758790,32.274940,33.430120,34.027670,34.260580", \ - "27.272810,29.649170,31.054520,32.619570,33.839820,34.527160,34.784430", \ - "27.673880,29.877470,31.416110,33.446660,35.106040,35.920020,36.215590", \ - "30.187370,31.476240,32.698270,34.525310,36.490370,38.162400,38.670580", \ - "33.974980,34.555530,35.429390,37.076060,39.020850,40.693130,42.221410"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("19.288020,20.708440,21.321450,21.427060,21.288530,22.185920,22.153500", \ - "19.008110,20.293930,20.785560,21.405310,21.732290,21.055640,21.628660", \ - "18.606150,19.496400,19.931250,20.041400,20.743170,21.295930,20.896720", \ - "19.015550,19.482120,19.725850,19.758920,20.549540,21.152400,20.872470", \ - "20.457120,21.199400,21.218800,21.243260,21.239940,21.911520,21.710090", \ - "22.573860,23.980160,23.906070,23.884750,23.689370,23.042140,23.964600", \ - "25.616390,27.611190,27.845120,27.844810,27.356200,27.122520,27.258670"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X32 - Cell Description : Combinational cell (BUF_X32) with drive strength X32 - *******************************************************************************************/ - - cell (BUF_X32) { - - drive_strength : 32; - - area : 13.034000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 502.614932; - - leakage_power () { - when : "!A"; - value : 472.833050; - } - leakage_power () { - when : "A"; - value : 532.396815; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 25.711127; - fall_capacitance : 22.376615; - rise_capacitance : 25.711127; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 1904.300000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("0.0610600,0.0718310,0.0795535,0.0920486,0.112969,0.150240,0.221162", \ - "0.0653800,0.0761562,0.0838801,0.0963808,0.117305,0.154579,0.225500", \ - "0.0839292,0.0946873,0.102414,0.114920,0.135847,0.173106,0.244038", \ - "0.119263,0.130736,0.138697,0.151384,0.172436,0.209745,0.280626", \ - "0.160073,0.173668,0.182867,0.196953,0.219288,0.257500,0.328404", \ - "0.203840,0.220041,0.230742,0.246610,0.270671,0.310131,0.381967", \ - "0.250867,0.269712,0.282205,0.300309,0.326699,0.368036,0.440773"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("0.0342948,0.0521867,0.0688713,0.101364,0.165558,0.293389,0.548758", \ - "0.0395291,0.0573249,0.0739985,0.106539,0.170779,0.298645,0.554019", \ - "0.0563111,0.0737841,0.0903127,0.122840,0.187243,0.315301,0.570813", \ - "0.0759229,0.0937198,0.110163,0.142560,0.206805,0.334889,0.590504", \ - "0.0932507,0.112551,0.128920,0.160970,0.225045,0.352966,0.608514", \ - "0.105523,0.127856,0.144797,0.176537,0.240133,0.367815,0.623170", \ - "0.111466,0.137354,0.156037,0.188123,0.251240,0.378435,0.633602"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("0.0116078,0.0159312,0.0197941,0.0271177,0.0415353,0.0710857,0.132594", \ - "0.0116111,0.0159325,0.0197955,0.0271180,0.0415357,0.0710848,0.132591", \ - "0.0116388,0.0159811,0.0198339,0.0271396,0.0415451,0.0710887,0.132591", \ - "0.0139271,0.0175190,0.0209200,0.0277795,0.0418721,0.0712153,0.132619", \ - "0.0192116,0.0225192,0.0256048,0.0318551,0.0449626,0.0729277,0.132969", \ - "0.0253811,0.0287789,0.0316123,0.0372528,0.0493062,0.0760597,0.135050", \ - "0.0321096,0.0359172,0.0387898,0.0440669,0.0551213,0.0802077,0.137317"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("0.0109399,0.0254855,0.0406096,0.0711116,0.132246,0.254569,0.499248", \ - "0.0109504,0.0254904,0.0406071,0.0711194,0.132256,0.254574,0.499243", \ - "0.0114363,0.0255817,0.0406261,0.0711178,0.132237,0.254569,0.499246", \ - "0.0136443,0.0265334,0.0411642,0.0713147,0.132265,0.254576,0.499252", \ - "0.0179300,0.0287006,0.0421920,0.0717914,0.132621,0.254624,0.499253", \ - "0.0234464,0.0331658,0.0447542,0.0727218,0.133008,0.254990,0.499282", \ - "0.0296991,0.0397979,0.0497303,0.0748915,0.133777,0.255397,0.499584"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("54.645030,60.063020,62.919960,65.801060,67.973850,69.013510,69.499100", \ - "53.586300,59.001280,61.847200,64.776170,66.990500,68.078910,68.511680", \ - "53.025360,57.987610,61.019090,64.018560,66.278650,67.445970,67.916140", \ - "54.214080,58.895050,61.688410,64.719130,67.145980,68.463800,69.042200", \ - "55.474060,59.422330,62.341560,66.374050,69.719090,71.213640,71.924900", \ - "59.851280,62.545670,64.877800,68.497800,72.355710,75.857990,76.777190", \ - "67.530870,68.690540,70.477490,73.686910,77.556440,80.865410,83.766270"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("38.391870,41.330590,42.247500,43.520950,44.086250,43.209600,42.461110", \ - "38.010930,40.744210,41.617050,42.519970,43.320470,42.461510,43.521400", \ - "37.013210,38.733810,39.593410,40.429370,41.450950,42.671830,42.158250", \ - "38.005340,38.938230,39.462000,39.788490,41.198880,41.490670,42.238480", \ - "41.039910,42.461170,42.414410,42.076180,42.679490,42.021290,44.022730", \ - "45.049760,47.626410,47.722820,47.282840,47.191800,47.084220,48.622000", \ - "51.215780,55.167090,55.402860,55.757730,55.079340,54.751040,54.744060"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKBUF_X1 - Cell Description : Combinational cell (CLKBUF_X1) with drive strength X1 - *******************************************************************************************/ - - cell (CLKBUF_X1) { - - drive_strength : 1; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 8.438935; - - leakage_power () { - when : "!A"; - value : 8.430670; - } - leakage_power () { - when : "A"; - value : 8.447200; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.757742; - fall_capacitance : 0.658443; - rise_capacitance : 0.757742; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.695900; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0695673,0.0827002,0.0954798,0.117467,0.157422,0.234419,0.387435", \ - "0.0744651,0.0875948,0.100380,0.122371,0.162334,0.239328,0.392347", \ - "0.0929574,0.106033,0.118814,0.140836,0.180831,0.257849,0.410886", \ - "0.128160,0.141448,0.154325,0.176454,0.216516,0.293569,0.446629", \ - "0.168773,0.184043,0.197861,0.221131,0.261982,0.339024,0.491898", \ - "0.210156,0.227902,0.243457,0.268352,0.310346,0.388196,0.541016", \ - "0.251523,0.272108,0.289895,0.317029,0.360771,0.439261,0.592466"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0531082,0.0687391,0.0858145,0.118409,0.182714,0.310924,0.566966", \ - "0.0581571,0.0737856,0.0908611,0.123480,0.187786,0.315979,0.572020", \ - "0.0768094,0.0922935,0.109327,0.141951,0.206328,0.334600,0.590676", \ - "0.106998,0.123066,0.140103,0.172616,0.236893,0.365184,0.621293", \ - "0.138521,0.156555,0.173897,0.206611,0.270735,0.398768,0.654798", \ - "0.168328,0.189402,0.208424,0.241753,0.305657,0.433478,0.689277", \ - "0.195222,0.219770,0.241332,0.276270,0.340230,0.467618,0.723194"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0137003,0.0204209,0.0283071,0.0440673,0.0765174,0.143900,0.280809", \ - "0.0137025,0.0204226,0.0283086,0.0440676,0.0765288,0.143893,0.280807", \ - "0.0137386,0.0204746,0.0283480,0.0440852,0.0765269,0.143895,0.280817", \ - "0.0152363,0.0213835,0.0289232,0.0443875,0.0766281,0.143915,0.280811", \ - "0.0198951,0.0257135,0.0326850,0.0471624,0.0780732,0.144141,0.280800", \ - "0.0258530,0.0314200,0.0378267,0.0512257,0.0808562,0.145841,0.281030", \ - "0.0326507,0.0384659,0.0445513,0.0568580,0.0846168,0.147700,0.282342"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0149765,0.0260882,0.0405300,0.0705613,0.131799,0.254615,0.500108", \ - "0.0149697,0.0260901,0.0405297,0.0705674,0.131796,0.254596,0.500123", \ - "0.0150570,0.0261490,0.0405604,0.0705863,0.131790,0.254626,0.500109", \ - "0.0175402,0.0275422,0.0412353,0.0707081,0.131805,0.254622,0.500108", \ - "0.0222977,0.0310656,0.0435514,0.0717519,0.132023,0.254566,0.500117", \ - "0.0285141,0.0367094,0.0476419,0.0736666,0.132738,0.254789,0.500112", \ - "0.0354543,0.0441063,0.0540307,0.0773160,0.133931,0.255423,0.500275"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("1.620720,1.758807,1.835648,1.897518,1.927637,1.943194,1.947728", \ - "1.607073,1.749325,1.830267,1.881554,1.914308,1.930039,1.935520", \ - "1.587693,1.719222,1.796564,1.861298,1.893691,1.910415,1.917416", \ - "1.592580,1.716218,1.794868,1.854346,1.892548,1.914444,1.925723", \ - "1.565961,1.714587,1.801317,1.889065,1.929148,1.957790,1.971421", \ - "1.616684,1.731697,1.823127,1.921574,2.013206,2.042587,2.059214", \ - "1.731379,1.822379,1.906157,2.001043,2.088119,2.176395,2.195231"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("1.088711,1.159005,1.187719,1.182618,1.171939,1.187615,1.216294", \ - "1.072932,1.147184,1.160080,1.150695,1.202998,1.155352,1.184859", \ - "1.041164,1.103118,1.129926,1.161988,1.129410,1.153000,1.183373", \ - "1.041465,1.093880,1.094818,1.109144,1.120427,1.128711,1.163209", \ - "1.083728,1.145449,1.147227,1.119634,1.179946,1.163076,1.184885", \ - "1.161191,1.240620,1.265453,1.247121,1.247318,1.230774,1.278897", \ - "1.273446,1.380382,1.402051,1.412340,1.393427,1.353435,1.400703"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKBUF_X2 - Cell Description : Combinational cell (CLKBUF_X2) with drive strength X2 - *******************************************************************************************/ - - cell (CLKBUF_X2) { - - drive_strength : 2; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 17.237911; - - leakage_power () { - when : "!A"; - value : 17.332370; - } - leakage_power () { - when : "A"; - value : 17.143453; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.361247; - fall_capacitance : 1.149877; - rise_capacitance : 1.361247; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.306600; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.0637662,0.0779622,0.0902513,0.111730,0.151301,0.228167,0.381178", \ - "0.0686030,0.0827999,0.0950941,0.116577,0.156150,0.233020,0.386029", \ - "0.0871606,0.101274,0.113574,0.135091,0.174702,0.251596,0.404633", \ - "0.121509,0.136119,0.148566,0.170197,0.209876,0.286786,0.439846", \ - "0.160172,0.176847,0.190207,0.212927,0.253406,0.330299,0.483160", \ - "0.199656,0.219079,0.233953,0.258140,0.299514,0.377109,0.529961", \ - "0.239320,0.261871,0.278847,0.305110,0.348022,0.426043,0.579234"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.0467022,0.0638213,0.0806476,0.113126,0.177382,0.305563,0.561631", \ - "0.0517390,0.0688423,0.0856773,0.118177,0.182436,0.310616,0.566687", \ - "0.0702540,0.0871993,0.103993,0.136512,0.200859,0.329104,0.585217", \ - "0.0980736,0.115753,0.132549,0.164941,0.229236,0.357495,0.613619", \ - "0.126192,0.146026,0.162977,0.195463,0.259535,0.387547,0.643631", \ - "0.152111,0.175348,0.193779,0.226649,0.290437,0.418319,0.674108", \ - "0.174720,0.201837,0.222703,0.256906,0.320589,0.448020,0.703605"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.0123980,0.0198585,0.0277443,0.0436018,0.0763404,0.144026,0.280988", \ - "0.0124015,0.0198609,0.0277485,0.0436026,0.0763394,0.144020,0.280986", \ - "0.0124430,0.0199165,0.0277834,0.0436190,0.0763426,0.144018,0.280982", \ - "0.0143422,0.0210981,0.0285084,0.0439742,0.0764640,0.144037,0.280990", \ - "0.0189462,0.0252712,0.0321960,0.0467505,0.0779877,0.144284,0.280986", \ - "0.0248014,0.0308610,0.0371575,0.0505625,0.0804890,0.146003,0.281310", \ - "0.0315114,0.0377798,0.0436825,0.0559578,0.0839780,0.147649,0.282676"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.0133306,0.0259157,0.0405887,0.0708588,0.132143,0.254910,0.500399", \ - "0.0133330,0.0259181,0.0405933,0.0708675,0.132134,0.254904,0.500409", \ - "0.0134934,0.0259974,0.0406164,0.0708581,0.132130,0.254911,0.500406", \ - "0.0162850,0.0274256,0.0413280,0.0710073,0.132131,0.254897,0.500437", \ - "0.0211248,0.0307145,0.0433639,0.0719363,0.132430,0.254881,0.500409", \ - "0.0272739,0.0362088,0.0471632,0.0736078,0.133080,0.255230,0.500409", \ - "0.0342151,0.0435602,0.0533537,0.0769282,0.134164,0.255804,0.500618"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("3.123672,3.421742,3.539576,3.643693,3.692275,3.718004,3.725619", \ - "3.108922,3.385183,3.521965,3.609795,3.659005,3.687364,3.694360", \ - "3.051739,3.331215,3.458575,3.557007,3.619406,3.648292,3.660900", \ - "3.082941,3.320404,3.452832,3.554096,3.625898,3.665096,3.686717", \ - "3.030016,3.298970,3.467326,3.638371,3.714079,3.761130,3.788397", \ - "3.136544,3.358189,3.528584,3.702344,3.887241,3.952604,3.981739", \ - "3.393279,3.563510,3.719088,3.889412,4.049134,4.235136,4.274666"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("2.145056,2.302683,2.322846,2.323491,2.417234,2.317210,2.367346", \ - "2.108863,2.244176,2.327637,2.252726,2.351352,2.386045,2.301122", \ - "2.044452,2.179449,2.198319,2.231094,2.203964,2.244961,2.300238", \ - "2.048704,2.169979,2.187649,2.233859,2.285251,2.266932,2.327979", \ - "2.146730,2.283687,2.285391,2.301105,2.343781,2.270028,2.343353", \ - "2.283961,2.493578,2.506485,2.477900,2.510646,2.502434,2.525222", \ - "2.531672,2.791157,2.851969,2.814017,2.782628,2.695602,2.780164"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKBUF_X3 - Cell Description : Combinational cell (CLKBUF_X3) with drive strength X3 - *******************************************************************************************/ - - cell (CLKBUF_X3) { - - drive_strength : 3; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 22.979517; - - leakage_power () { - when : "!A"; - value : 23.168600; - } - leakage_power () { - when : "A"; - value : 22.790433; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.379920; - fall_capacitance : 1.171624; - rise_capacitance : 1.379920; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 181.866500; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("0.0761643,0.0928606,0.106213,0.128856,0.169375,0.246584,0.399463", \ - "0.0810770,0.0977745,0.111131,0.133776,0.174296,0.251509,0.404386", \ - "0.0994972,0.116131,0.129490,0.152163,0.192713,0.269934,0.422818", \ - "0.135759,0.152287,0.165603,0.188318,0.228925,0.306257,0.459141", \ - "0.180079,0.198840,0.212869,0.236497,0.277575,0.354826,0.507616", \ - "0.225670,0.247375,0.263067,0.288247,0.330559,0.408495,0.560940", \ - "0.271704,0.296739,0.314568,0.341894,0.385896,0.464447,0.617326"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("0.0526558,0.0718685,0.0892260,0.121990,0.186296,0.314361,0.570180", \ - "0.0577444,0.0769507,0.0943103,0.127091,0.191401,0.319470,0.575277", \ - "0.0764594,0.0954959,0.112808,0.145602,0.209978,0.338117,0.593968", \ - "0.107417,0.127082,0.144370,0.177027,0.241299,0.369515,0.625403", \ - "0.139727,0.162024,0.179654,0.212558,0.276591,0.404394,0.660270", \ - "0.170434,0.196448,0.215796,0.249356,0.313146,0.440668,0.696069", \ - "0.198256,0.228355,0.250309,0.285542,0.349393,0.476396,0.731407"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("0.0148249,0.0227590,0.0306036,0.0462047,0.0783001,0.145129,0.281564", \ - "0.0148273,0.0227579,0.0306060,0.0462046,0.0782973,0.145136,0.281562", \ - "0.0148363,0.0227933,0.0306362,0.0462225,0.0783037,0.145125,0.281559", \ - "0.0157873,0.0233009,0.0309820,0.0464128,0.0783752,0.145139,0.281564", \ - "0.0210407,0.0277373,0.0346751,0.0489993,0.0795376,0.145346,0.281569", \ - "0.0276538,0.0339945,0.0402959,0.0535333,0.0828097,0.147024,0.281719", \ - "0.0352146,0.0417588,0.0475756,0.0596758,0.0870359,0.149353,0.283104"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("0.0142585,0.0270791,0.0413787,0.0711561,0.132133,0.254677,0.499858", \ - "0.0142584,0.0270772,0.0413749,0.0711525,0.132118,0.254672,0.499851", \ - "0.0143198,0.0271366,0.0414111,0.0711628,0.132124,0.254676,0.499850", \ - "0.0171150,0.0285337,0.0420844,0.0713233,0.132141,0.254670,0.499853", \ - "0.0224955,0.0324386,0.0447520,0.0725921,0.132412,0.254655,0.499863", \ - "0.0292130,0.0386405,0.0493644,0.0749035,0.133308,0.254972,0.499848", \ - "0.0368343,0.0467783,0.0564765,0.0791387,0.134810,0.255647,0.500050"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("3.819636,4.226060,4.415689,4.580644,4.686753,4.732504,4.752384", \ - "3.794589,4.184449,4.392317,4.564034,4.658208,4.708280,4.726595", \ - "3.757470,4.126298,4.336295,4.507706,4.614626,4.663518,4.690543", \ - "3.772913,4.110314,4.300660,4.467442,4.593448,4.662726,4.696983", \ - "3.798944,4.140041,4.345992,4.535180,4.656821,4.738251,4.781604", \ - "3.977033,4.207021,4.368520,4.604779,4.823518,4.902235,4.951432", \ - "4.293647,4.411286,4.573201,4.784482,4.985151,5.168235,5.226057"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("2.935705,3.259876,3.369566,3.378625,3.356005,3.413690,3.318221", \ - "2.899200,3.226972,3.317936,3.300477,3.464246,3.334810,3.441978", \ - "2.865753,3.150672,3.248016,3.323559,3.272449,3.353111,3.262630", \ - "2.870792,3.094668,3.183689,3.179435,3.190819,3.253297,3.376222", \ - "2.926392,3.225006,3.273148,3.314323,3.309347,3.416218,3.350473", \ - "3.083774,3.411584,3.505816,3.434668,3.423978,3.545607,3.591451", \ - "3.378507,3.714131,3.761165,3.814074,3.801897,3.725553,3.690523"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATETST_X1 - Cell Description : Pos.edge clock gating cell with pre scan, drive strength X1 - *******************************************************************************************/ - - cell (CLKGATETST_X1) { - - drive_strength : 1; - - statetable ("CK E SE","IQ") { - table : "L L L : - : L ,\ - L L H : - : H ,\ - L H L : - : H ,\ - L H H : - : H ,\ - H - - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge_precontrol; - - area : 3.990000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 39.657409; - - leakage_power () { - when : "!CK & !E & !SE & !GCK"; - value : 45.174400; - } - leakage_power () { - when : "!CK & !E & SE & !GCK"; - value : 38.963651; - } - leakage_power () { - when : "!CK & E & !SE & !GCK"; - value : 38.292020; - } - leakage_power () { - when : "!CK & E & SE & !GCK"; - value : 40.013934; - } - leakage_power () { - when : "CK & !E & !SE & !GCK"; - value : 44.231249; - } - leakage_power () { - when : "CK & !E & !SE & GCK"; - value : 53.807116; - } - leakage_power () { - when : "CK & !E & SE & !GCK"; - value : 34.968626; - } - leakage_power () { - when : "CK & !E & SE & GCK"; - value : 36.580833; - } - leakage_power () { - when : "CK & E & !SE & !GCK"; - value : 34.297090; - } - leakage_power () { - when : "CK & E & !SE & GCK"; - value : 35.909392; - } - leakage_power () { - when : "CK & E & SE & !GCK"; - value : 36.019193; - } - leakage_power () { - when : "CK & E & SE & GCK"; - value : 37.631400; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 1.743830; - fall_capacitance : 1.575015; - rise_capacitance : 1.743830; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.296909,0.299900,0.520512"); - } - } - - internal_power () { - - when : "!E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.789279,2.761574,2.738438,2.768477,2.860662,3.024827,3.259690"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.505517,1.487896,1.450517,1.453704,1.519447,1.658827,1.892185"); - } - - } - - internal_power () { - - when : "!E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.310477,5.277401,5.254082,5.291083,5.387173,5.550050,5.804950"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.313054,5.278523,5.256285,5.292923,5.388816,5.550570,5.803076"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.310459,5.277613,5.254267,5.290408,5.387234,5.549199,5.801726"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.853210; - fall_capacitance : 0.811359; - rise_capacitance : 0.853210; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.111449,-0.083061,-0.111229", \ - "-0.159437,-0.126035,-0.160958", \ - "0.129655,0.190382,0.124101"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.007611,0.019713,0.043079", \ - "0.016277,0.028226,0.018455", \ - "0.178765,0.193727,0.160126"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.199231,0.183309,0.209883", \ - "0.255653,0.240199,0.266602", \ - "0.407904,0.390349,0.415680"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.274034,0.212714,0.279609", \ - "0.322762,0.262042,0.329027", \ - "0.371166,0.310441,0.376738"); - } - } - - internal_power () { - - when : "!CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.495223,4.474285,4.449702,4.437764,4.441991,4.484019,4.575189"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.533784,3.514280,3.485699,3.476911,3.505558,3.601298,3.745206"); - } - - } - - internal_power () { - - when : "!CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356683,0.359060,0.360031,0.360429,0.360293,0.361481,0.360846"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.246491,-0.270495,-0.298905,-0.313636,-0.321065,-0.326108,-0.329180"); - } - - } - - internal_power () { - - when : "CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.847503,1.826525,1.803642,1.791968,1.800664,1.852437,1.942273"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.803301,0.784610,0.764566,0.764950,0.802205,0.892921,1.045147"); - } - - } - - internal_power () { - - when : "CK & !SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.794160,1.773725,1.750830,1.739960,1.748927,1.799087,1.894219"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.792636,0.774190,0.752675,0.749348,0.784522,0.869510,1.021115"); - } - - } - - internal_power () { - - when : "CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356329,0.358921,0.359565,0.360222,0.360157,0.361291,0.360732"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.247322,-0.270815,-0.299579,-0.314063,-0.321692,-0.326424,-0.329821"); - } - - } - - internal_power () { - - when : "CK & SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356085,0.358796,0.359689,0.360143,0.360084,0.361193,0.360646"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.247360,-0.270861,-0.299616,-0.314094,-0.321735,-0.326484,-0.329868"); - } - - } - } - - pin (SE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_test_pin : true; - capacitance : 0.759421; - fall_capacitance : 0.708611; - rise_capacitance : 0.759421; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.101546,-0.073686,-0.101355", \ - "-0.148593,-0.118549,-0.150455", \ - "0.147390,0.208353,0.142229"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.007830,0.020144,0.044800", \ - "0.034169,0.046698,0.028839", \ - "0.207568,0.218915,0.189556"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.184882,0.169071,0.195613", \ - "0.237798,0.222412,0.248953", \ - "0.414871,0.396447,0.420715"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.270981,0.209619,0.277015", \ - "0.315990,0.255177,0.321837", \ - "0.353430,0.292469,0.358610"); - } - } - - internal_power () { - - when : "!CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.219019,4.184686,4.162806,4.183045,4.246316,4.363649,4.540053"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.299246,3.298213,3.274977,3.265898,3.303789,3.416144,3.586806"); - } - - } - - internal_power () { - - when : "!CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.104742,0.106007,0.105956,0.105840,0.105521,0.105744,0.105400"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.101324,-0.101095,-0.100736,-0.100577,-0.100711,-0.100889,-0.101165"); - } - - } - - internal_power () { - - when : "CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.572122,1.539946,1.517464,1.540543,1.610186,1.735366,1.907040"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.566991,0.567514,0.557267,0.558042,0.604082,0.711618,0.886915"); - } - - } - - internal_power () { - - when : "CK & !E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.519551,1.486783,1.463605,1.488997,1.559661,1.685684,1.860745"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.559472,0.559796,0.544279,0.540760,0.584226,0.688489,0.863995"); - } - - } - - internal_power () { - - when : "CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.104588,0.105682,0.105659,0.105353,0.105283,0.105533,0.105252"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.101804,-0.101449,-0.101083,-0.101059,-0.101187,-0.101377,-0.101613"); - } - - } - - internal_power () { - - when : "CK & E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.101435,0.102523,0.102488,0.102402,0.102146,0.102327,0.102046"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.101023,-0.100675,-0.100299,-0.100243,-0.100318,-0.100422,-0.100580"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.730000; - state_function : "(IQ & CK)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "!E & SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.075353,0.089319,0.102681,0.125288,0.165731,0.242912,0.395933", \ - "0.079722,0.093687,0.107057,0.129673,0.170115,0.247307,0.400336", \ - "0.097740,0.111635,0.124998,0.147638,0.188120,0.265328,0.418367", \ - "0.134086,0.148077,0.161482,0.184211,0.224777,0.302016,0.455084", \ - "0.178335,0.194290,0.208917,0.232757,0.274009,0.351315,0.504238", \ - "0.225787,0.244106,0.260359,0.285766,0.328458,0.406767,0.559657", \ - "0.276245,0.297423,0.315759,0.343297,0.387906,0.466926,0.620654"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.052544,0.068414,0.085598,0.118247,0.182505,0.310667,0.566778", \ - "0.056915,0.072781,0.089963,0.122628,0.186900,0.315042,0.571216", \ - "0.073305,0.089012,0.106153,0.138829,0.203156,0.331388,0.587524", \ - "0.096777,0.113214,0.130479,0.163162,0.227442,0.355645,0.611905", \ - "0.117624,0.135864,0.153526,0.186236,0.250655,0.378885,0.634983", \ - "0.132908,0.154187,0.173317,0.206403,0.270375,0.398777,0.654681", \ - "0.141870,0.166767,0.188393,0.222891,0.286727,0.414357,0.670562"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.014025,0.020992,0.028970,0.044635,0.076784,0.143801,0.280609", \ - "0.014025,0.020993,0.028970,0.044631,0.076784,0.143793,0.280618", \ - "0.014053,0.021038,0.029005,0.044650,0.076785,0.143806,0.280594", \ - "0.015137,0.021680,0.029434,0.044897,0.076888,0.143826,0.280602", \ - "0.019596,0.025786,0.032943,0.047486,0.078163,0.144052,0.280642", \ - "0.025072,0.031113,0.037826,0.051455,0.081117,0.145713,0.280786", \ - "0.031279,0.037580,0.043992,0.056739,0.084834,0.147846,0.282203"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.014363,0.025503,0.039898,0.069862,0.130992,0.253767,0.499516", \ - "0.014372,0.025509,0.039912,0.069866,0.131004,0.253773,0.499559", \ - "0.014478,0.025585,0.039935,0.069880,0.130992,0.253795,0.499471", \ - "0.016846,0.026979,0.040810,0.070126,0.130985,0.253790,0.499547", \ - "0.021444,0.029975,0.042510,0.071039,0.131533,0.253823,0.499445", \ - "0.027342,0.035402,0.046101,0.072398,0.132037,0.254360,0.499473", \ - "0.033945,0.042557,0.052157,0.075459,0.132844,0.254884,0.499973"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & !SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.075353,0.089319,0.102681,0.125288,0.165733,0.242912,0.395934", \ - "0.079722,0.093687,0.107057,0.129673,0.170115,0.247307,0.400336", \ - "0.097740,0.111635,0.124998,0.147638,0.188120,0.265328,0.418367", \ - "0.134086,0.148077,0.161482,0.184211,0.224777,0.302016,0.455084", \ - "0.178335,0.194290,0.208917,0.232757,0.274009,0.351315,0.504238", \ - "0.225787,0.244106,0.260359,0.285766,0.328441,0.406767,0.559657", \ - "0.276245,0.297423,0.315759,0.343297,0.387906,0.466926,0.620654"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.052546,0.068414,0.085598,0.118247,0.182506,0.310652,0.566777", \ - "0.056915,0.072781,0.089963,0.122628,0.186900,0.315042,0.571216", \ - "0.073305,0.089012,0.106153,0.138829,0.203156,0.331388,0.587524", \ - "0.096777,0.113214,0.130479,0.163162,0.227442,0.355645,0.611905", \ - "0.117624,0.135864,0.153526,0.186236,0.250655,0.378885,0.634983", \ - "0.132908,0.154187,0.173317,0.206403,0.270375,0.398777,0.654681", \ - "0.141870,0.166767,0.188393,0.222891,0.286727,0.414357,0.670627"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.014025,0.020992,0.028970,0.044635,0.076801,0.143801,0.280610", \ - "0.014025,0.020993,0.028970,0.044631,0.076784,0.143793,0.280618", \ - "0.014053,0.021038,0.029005,0.044650,0.076785,0.143806,0.280594", \ - "0.015137,0.021680,0.029434,0.044897,0.076888,0.143826,0.280602", \ - "0.019596,0.025786,0.032943,0.047486,0.078163,0.144052,0.280642", \ - "0.025072,0.031113,0.037826,0.051455,0.081119,0.145713,0.280786", \ - "0.031279,0.037580,0.043992,0.056740,0.084834,0.147846,0.282203"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.014366,0.025503,0.039898,0.069862,0.130991,0.253785,0.499507", \ - "0.014372,0.025509,0.039912,0.069866,0.131004,0.253773,0.499559", \ - "0.014478,0.025585,0.039935,0.069880,0.130992,0.253795,0.499472", \ - "0.016846,0.026979,0.040810,0.070126,0.130985,0.253790,0.499547", \ - "0.021444,0.029975,0.042510,0.071039,0.131533,0.253823,0.499445", \ - "0.027342,0.035402,0.046101,0.072398,0.132037,0.254360,0.499473", \ - "0.033945,0.042557,0.052157,0.075459,0.132844,0.254884,0.499981"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.075353,0.089319,0.102681,0.125288,0.165730,0.242912,0.395934", \ - "0.079722,0.093687,0.107056,0.129673,0.170114,0.247306,0.400336", \ - "0.097740,0.111635,0.124998,0.147638,0.188123,0.265329,0.418375", \ - "0.134086,0.148077,0.161481,0.184211,0.224777,0.302016,0.455084", \ - "0.178335,0.194290,0.208916,0.232757,0.274009,0.351315,0.504238", \ - "0.225787,0.244106,0.260359,0.285766,0.328457,0.406767,0.559657", \ - "0.276245,0.297423,0.315759,0.343297,0.387906,0.466926,0.620654"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.052544,0.068413,0.085598,0.118245,0.182503,0.310687,0.566776", \ - "0.056917,0.072780,0.089962,0.122625,0.186899,0.315050,0.571163", \ - "0.073305,0.089012,0.106153,0.138828,0.203156,0.331388,0.587523", \ - "0.096775,0.113214,0.130478,0.163162,0.227442,0.355645,0.611903", \ - "0.117618,0.135864,0.153536,0.186236,0.250655,0.378885,0.634983", \ - "0.132908,0.154187,0.173317,0.206403,0.270375,0.398775,0.654681", \ - "0.141870,0.166767,0.188393,0.222891,0.286727,0.414357,0.670562"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.014025,0.020992,0.028971,0.044635,0.076785,0.143801,0.280609", \ - "0.014025,0.020993,0.028971,0.044631,0.076784,0.143793,0.280617", \ - "0.014053,0.021038,0.029005,0.044651,0.076796,0.143805,0.280601", \ - "0.015137,0.021680,0.029434,0.044896,0.076889,0.143826,0.280602", \ - "0.019596,0.025786,0.032943,0.047486,0.078149,0.144052,0.280642", \ - "0.025072,0.031113,0.037826,0.051455,0.081118,0.145713,0.280786", \ - "0.031280,0.037580,0.043992,0.056740,0.084834,0.147846,0.282202"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.014358,0.025503,0.039899,0.069862,0.131018,0.253804,0.499516", \ - "0.014372,0.025509,0.039912,0.069869,0.130992,0.253747,0.499507", \ - "0.014478,0.025585,0.039935,0.069880,0.130992,0.253795,0.499472", \ - "0.016844,0.026979,0.040810,0.070126,0.130985,0.253790,0.499490", \ - "0.021451,0.029975,0.042495,0.071039,0.131533,0.253823,0.499445", \ - "0.027343,0.035402,0.046101,0.072398,0.132038,0.254359,0.499473", \ - "0.033946,0.042557,0.052157,0.075459,0.132844,0.254884,0.499973"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E & !SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.076001,0.090492,0.104271,0.126780,0.166328,0.242802,0.395612", \ - "0.080385,0.094883,0.108663,0.131171,0.170722,0.247203,0.400011", \ - "0.098386,0.112793,0.126583,0.149163,0.188759,0.265253,0.418083", \ - "0.134830,0.149303,0.163159,0.185713,0.225332,0.301870,0.454696", \ - "0.179534,0.196142,0.211112,0.234299,0.273901,0.350267,0.503022", \ - "0.227334,0.246489,0.262943,0.287067,0.327029,0.403752,0.556220", \ - "0.278628,0.300637,0.318743,0.343931,0.384150,0.460527,0.613362"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.014568,0.021860,0.029512,0.043913,0.075006,0.142254,0.279322", \ - "0.014574,0.021860,0.029503,0.043901,0.075007,0.142247,0.279323", \ - "0.014584,0.021913,0.029585,0.043975,0.075047,0.142255,0.279317", \ - "0.015664,0.022526,0.029945,0.044108,0.075065,0.142246,0.279322", \ - "0.020395,0.026743,0.033089,0.045714,0.075512,0.142298,0.279328", \ - "0.026264,0.032167,0.037325,0.048083,0.076648,0.142871,0.279313", \ - "0.032825,0.038411,0.042269,0.050880,0.077712,0.143278,0.279829"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("4.187333,5.057128,5.775987,6.423957,6.584040,6.626162,6.651207", \ - "4.105828,5.014140,5.727738,6.354838,6.519592,6.564979,6.589807", \ - "4.044930,4.939653,5.639456,6.299343,6.456843,6.504692,6.530980", \ - "4.100593,4.976713,5.674510,6.332085,6.498209,6.547722,6.578529", \ - "4.165319,5.079573,5.861352,6.490685,6.661602,6.715729,6.750656", \ - "4.405003,5.289440,6.017702,6.697827,6.965084,7.028872,7.063283", \ - "4.784362,5.643979,6.354984,7.026640,7.302207,7.481083,7.519579"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("3.734929,4.110861,4.295684,4.420236,4.473311,4.490480,4.494736", \ - "3.675032,4.038646,4.229760,4.357140,4.411188,4.428597,4.433225", \ - "3.601301,3.979101,4.165209,4.292530,4.350410,4.370395,4.377802", \ - "3.642505,4.012645,4.197249,4.329426,4.391173,4.415488,4.426262", \ - "3.678609,4.088686,4.333856,4.488698,4.554310,4.585090,4.597282", \ - "3.852697,4.219859,4.458007,4.682258,4.846905,4.878101,4.894909", \ - "4.177216,4.527255,4.758879,5.004880,5.180117,5.325376,5.340034"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("2.485409,2.920468,3.072983,3.107196,3.076983,3.121126,3.112519", \ - "2.438118,2.877573,3.039298,3.044597,3.081722,3.022554,3.051090", \ - "2.367389,2.793651,2.960721,2.984868,2.996822,3.006756,2.968097", \ - "2.442882,2.835164,2.947136,2.970143,3.013356,2.960817,2.992989", \ - "2.679053,3.017155,3.089250,3.112226,3.064592,3.132666,3.117863", \ - "2.989005,3.338675,3.430705,3.425588,3.342361,3.354550,3.404142", \ - "3.375018,3.770353,3.878845,3.870307,3.856589,3.775155,3.804423"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("3.734942,4.110864,4.295683,4.420229,4.474858,4.490485,4.494843", \ - "3.675006,4.038650,4.229709,4.357136,4.411183,4.428591,4.433226", \ - "3.601307,3.979104,4.165209,4.292520,4.350410,4.370391,4.377798", \ - "3.642511,4.012649,4.197247,4.329423,4.391168,4.415488,4.426660", \ - "3.678615,4.088690,4.333855,4.488691,4.554311,4.585084,4.597276", \ - "3.852702,4.219863,4.458008,4.682252,4.845539,4.878098,4.894907", \ - "4.177221,4.527259,4.758879,5.004880,5.180103,5.325368,5.340027"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("2.485516,2.920555,3.073177,3.107187,3.076964,3.085013,3.112584", \ - "2.438078,2.877578,3.039339,3.044831,3.082139,3.022556,3.051082", \ - "2.367392,2.793556,2.960486,2.984563,2.996388,3.007016,2.967640", \ - "2.442938,2.835165,2.947134,2.970146,3.013352,2.960820,2.992989", \ - "2.679056,3.017162,3.089243,3.112227,3.064592,3.132674,3.117862", \ - "2.989008,3.338646,3.430689,3.425580,3.342173,3.354570,3.404140", \ - "3.375020,3.770354,3.878846,3.870304,3.856581,3.774803,3.806890"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("3.734836,4.111155,4.295775,4.420246,4.473188,4.490462,4.494852", \ - "3.674243,4.038910,4.232076,4.357178,4.411140,4.428604,4.433196", \ - "3.601169,3.979363,4.165330,4.292628,4.350110,4.370362,4.379041", \ - "3.642368,4.012909,4.197346,4.329474,4.391166,4.415503,4.426286", \ - "3.678494,4.088915,4.333984,4.488731,4.554592,4.585082,4.597290", \ - "3.852598,4.220075,4.458139,4.682364,4.845604,4.877909,4.894784", \ - "4.177140,4.527436,4.759008,5.004932,5.180007,5.325356,5.339719"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("2.485491,2.917721,3.069303,3.106596,3.076879,3.085469,3.112491", \ - "2.438203,2.877407,3.038368,3.044818,3.082684,3.022412,3.049527", \ - "2.367475,2.793561,2.960731,2.984407,2.996824,3.006778,2.968108", \ - "2.442858,2.834992,2.946825,2.970099,3.013318,2.960820,2.994015", \ - "2.679023,3.017005,3.086824,3.112214,3.064583,3.133508,3.117856", \ - "2.988862,3.338537,3.431314,3.425322,3.342362,3.354434,3.404146", \ - "3.374872,3.770224,3.878809,3.870292,3.856566,3.775137,3.804424"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATETST_X2 - Cell Description : Pos.edge clock gating cell with pre scan, drive strength X2 - *******************************************************************************************/ - - cell (CLKGATETST_X2) { - - drive_strength : 2; - - statetable ("CK E SE","IQ") { - table : "L L L : - : L ,\ - L L H : - : H ,\ - L H L : - : H ,\ - L H H : - : H ,\ - H - - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge_precontrol; - - area : 4.256000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 51.987893; - - leakage_power () { - when : "!CK & !E & !SE & !GCK"; - value : 52.624205; - } - leakage_power () { - when : "!CK & !E & SE & !GCK"; - value : 53.613886; - } - leakage_power () { - when : "!CK & E & !SE & !GCK"; - value : 52.942255; - } - leakage_power () { - when : "!CK & E & SE & !GCK"; - value : 54.664168; - } - leakage_power () { - when : "CK & !E & !SE & !GCK"; - value : 53.981204; - } - leakage_power () { - when : "CK & !E & !SE & GCK"; - value : 68.213211; - } - leakage_power () { - when : "CK & !E & SE & !GCK"; - value : 44.712975; - } - leakage_power () { - when : "CK & !E & SE & GCK"; - value : 50.972962; - } - leakage_power () { - when : "CK & E & !SE & !GCK"; - value : 44.041439; - } - leakage_power () { - when : "CK & E & !SE & GCK"; - value : 50.301426; - } - leakage_power () { - when : "CK & E & SE & !GCK"; - value : 45.763542; - } - leakage_power () { - when : "CK & E & SE & GCK"; - value : 52.023434; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 2.729200; - fall_capacitance : 2.489427; - rise_capacitance : 2.729200; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.328659,0.328451,0.551350"); - } - } - - internal_power () { - - when : "!E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.486756,3.458488,3.432691,3.460599,3.554215,3.715580,3.947361"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.911242,0.895838,0.861547,0.864614,0.927385,1.064334,1.296708"); - } - - } - - internal_power () { - - when : "!E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.987410,5.954209,5.929074,5.961753,6.058990,6.222000,6.468924"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.989462,5.956372,5.930966,5.963088,6.061481,6.223378,6.475278"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.987541,5.954388,5.928980,5.961355,6.059723,6.221953,6.468889"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.847270; - fall_capacitance : 0.806613; - rise_capacitance : 0.847270; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.108368,-0.079059,-0.102510", \ - "-0.158562,-0.127095,-0.153831", \ - "0.108119,0.172411,0.105303"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.007508,0.022263,0.048566", \ - "0.013899,0.029562,0.024399", \ - "0.173124,0.185078,0.161198"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.193736,0.175571,0.198856", \ - "0.250419,0.232397,0.255489", \ - "0.399986,0.380401,0.401580"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.300291,0.236238,0.303608", \ - "0.348621,0.283886,0.351906", \ - "0.392702,0.328412,0.395538"); - } - } - - internal_power () { - - when : "!CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.597998,4.576942,4.550947,4.539841,4.543785,4.587336,4.686771"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.419373,3.399569,3.371218,3.361638,3.393194,3.485479,3.636850"); - } - - } - - internal_power () { - - when : "!CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356762,0.359506,0.360393,0.360658,0.360552,0.361740,0.360905"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.246488,-0.270419,-0.298691,-0.313494,-0.320867,-0.326046,-0.328998"); - } - - } - - internal_power () { - - when : "CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.776054,1.755301,1.732462,1.721386,1.733523,1.783023,1.870758"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.726311,0.707851,0.688063,0.687844,0.727660,0.822364,0.978794"); - } - - } - - internal_power () { - - when : "CK & !SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.722644,1.701816,1.677933,1.669502,1.679211,1.730385,1.823858"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.717574,0.698811,0.676838,0.673227,0.710712,0.801617,0.954028"); - } - - } - - internal_power () { - - when : "CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356589,0.359215,0.359725,0.360409,0.360403,0.361506,0.360783"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.247272,-0.270635,-0.299419,-0.313877,-0.321548,-0.326311,-0.329698"); - } - - } - - internal_power () { - - when : "CK & SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356485,0.359100,0.359926,0.360345,0.360333,0.361406,0.360697"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.247310,-0.270658,-0.299437,-0.313882,-0.321568,-0.326334,-0.329725"); - } - - } - } - - pin (SE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_test_pin : true; - capacitance : 0.791949; - fall_capacitance : 0.739681; - rise_capacitance : 0.791949; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.098425,-0.069361,-0.092627", \ - "-0.146480,-0.116433,-0.142668", \ - "0.127121,0.191024,0.123430"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.007411,0.022397,0.050953", \ - "0.031491,0.045845,0.033801", \ - "0.199609,0.211180,0.187840"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.179080,0.161332,0.184262", \ - "0.232565,0.215234,0.237840", \ - "0.405687,0.385536,0.405944"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.297849,0.233143,0.301014", \ - "0.341233,0.277021,0.344715", \ - "0.373699,0.309799,0.377410"); - } - } - - internal_power () { - - when : "!CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.319405,4.286034,4.263092,4.285219,4.350573,4.470224,4.652628"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.181156,3.180580,3.156361,3.149223,3.190572,3.303402,3.481782"); - } - - } - - internal_power () { - - when : "!CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.104874,0.106122,0.106052,0.105934,0.105542,0.105873,0.105416"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.101175,-0.100887,-0.100524,-0.100501,-0.100581,-0.100763,-0.101044"); - } - - } - - internal_power () { - - when : "CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.500380,1.466874,1.446055,1.471849,1.543604,1.668358,1.841111"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.489014,0.488616,0.477559,0.480930,0.530794,0.643339,0.824429"); - } - - } - - internal_power () { - - when : "CK & !E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.447142,1.413074,1.392023,1.419344,1.491244,1.619168,1.796200"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.484280,0.483658,0.466767,0.463868,0.510378,0.620285,0.801675"); - } - - } - - internal_power () { - - when : "CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.104649,0.105639,0.105625,0.105277,0.105195,0.105522,0.105175"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.101672,-0.101282,-0.101000,-0.100969,-0.101086,-0.101276,-0.101511"); - } - - } - - internal_power () { - - when : "CK & E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.101563,0.102574,0.102558,0.102458,0.102134,0.102414,0.102044"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.100890,-0.100506,-0.100209,-0.100151,-0.100217,-0.100329,-0.100477"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.361300; - state_function : "(IQ & CK)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "!E & SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.070210,0.085546,0.098509,0.120716,0.160846,0.237926,0.390971", \ - "0.074545,0.089874,0.102844,0.125057,0.165199,0.242279,0.395315", \ - "0.092640,0.107881,0.120845,0.143101,0.183279,0.260381,0.413431", \ - "0.128517,0.144038,0.157081,0.179433,0.219669,0.296803,0.449885", \ - "0.171033,0.188771,0.203052,0.226567,0.267604,0.344742,0.497618", \ - "0.216872,0.237247,0.253024,0.278094,0.320379,0.398430,0.551285", \ - "0.265576,0.289153,0.306717,0.333892,0.377979,0.456818,0.610361"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.049083,0.066863,0.083941,0.116534,0.180797,0.308972,0.565068", \ - "0.053451,0.071220,0.088307,0.120914,0.185194,0.313348,0.569463", \ - "0.069785,0.087383,0.104422,0.137045,0.201394,0.329630,0.585907", \ - "0.092222,0.110572,0.127788,0.160480,0.224747,0.352997,0.609274", \ - "0.111602,0.132091,0.149603,0.182313,0.246751,0.374887,0.630892", \ - "0.125549,0.149341,0.168213,0.201083,0.265100,0.393473,0.649385", \ - "0.132813,0.160809,0.182072,0.216854,0.280025,0.407766,0.663940"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.012779,0.020556,0.028553,0.044333,0.076664,0.143925,0.280886", \ - "0.012781,0.020561,0.028559,0.044332,0.076668,0.143948,0.280844", \ - "0.012808,0.020609,0.028595,0.044350,0.076667,0.143937,0.280861", \ - "0.014239,0.021410,0.029111,0.044622,0.076783,0.143971,0.280889", \ - "0.018643,0.025519,0.032687,0.047312,0.078143,0.144181,0.280863", \ - "0.024039,0.030765,0.037474,0.051155,0.080949,0.145900,0.281064", \ - "0.030135,0.037174,0.043619,0.056385,0.084543,0.147909,0.282469"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.013220,0.025741,0.040220,0.070255,0.131457,0.254245,0.499911", \ - "0.013227,0.025748,0.040225,0.070280,0.131480,0.254300,0.499907", \ - "0.013380,0.025832,0.040260,0.070284,0.131477,0.254254,0.500097", \ - "0.015919,0.027210,0.041147,0.070576,0.131476,0.254301,0.500108", \ - "0.020635,0.030104,0.042722,0.071420,0.132008,0.254352,0.499943", \ - "0.026483,0.035448,0.046184,0.072695,0.132503,0.254840,0.500001", \ - "0.033040,0.042599,0.052104,0.075556,0.133298,0.255382,0.500398"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & !SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.070210,0.085546,0.098509,0.120716,0.160846,0.237931,0.390971", \ - "0.074545,0.089874,0.102844,0.125057,0.165199,0.242279,0.395315", \ - "0.092640,0.107881,0.120845,0.143101,0.183279,0.260381,0.413431", \ - "0.128517,0.144038,0.157080,0.179433,0.219669,0.296803,0.449885", \ - "0.171033,0.188771,0.203052,0.226567,0.267604,0.344742,0.497618", \ - "0.216668,0.237247,0.253024,0.278094,0.320379,0.398430,0.551285", \ - "0.265576,0.289152,0.306717,0.333892,0.377979,0.456818,0.610371"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.049083,0.066863,0.083941,0.116534,0.180798,0.308957,0.565069", \ - "0.053451,0.071220,0.088307,0.120914,0.185194,0.313348,0.569463", \ - "0.069785,0.087383,0.104422,0.137045,0.201394,0.329630,0.585907", \ - "0.092222,0.110572,0.127787,0.160480,0.224747,0.352997,0.609274", \ - "0.111602,0.132091,0.149603,0.182313,0.246751,0.374887,0.630892", \ - "0.125549,0.149341,0.168213,0.201083,0.265100,0.393473,0.649385", \ - "0.132813,0.160809,0.182072,0.216854,0.280025,0.407766,0.663940"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.012779,0.020556,0.028553,0.044333,0.076664,0.143940,0.280886", \ - "0.012781,0.020561,0.028559,0.044332,0.076668,0.143948,0.280844", \ - "0.012808,0.020609,0.028595,0.044350,0.076667,0.143937,0.280861", \ - "0.014239,0.021410,0.029110,0.044622,0.076783,0.143971,0.280889", \ - "0.018643,0.025519,0.032687,0.047312,0.078143,0.144181,0.280863", \ - "0.024065,0.030765,0.037474,0.051155,0.080949,0.145900,0.281064", \ - "0.030135,0.037175,0.043619,0.056385,0.084543,0.147909,0.282463"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.013220,0.025741,0.040220,0.070255,0.131457,0.254306,0.499910", \ - "0.013227,0.025748,0.040225,0.070280,0.131480,0.254300,0.499907", \ - "0.013380,0.025832,0.040260,0.070284,0.131477,0.254254,0.500097", \ - "0.015919,0.027210,0.041145,0.070576,0.131476,0.254301,0.500108", \ - "0.020635,0.030104,0.042722,0.071420,0.132008,0.254352,0.499943", \ - "0.026483,0.035448,0.046184,0.072695,0.132511,0.254866,0.500001", \ - "0.033040,0.042599,0.052104,0.075556,0.133298,0.255382,0.500398"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.070210,0.085546,0.098508,0.120716,0.160852,0.237932,0.390971", \ - "0.074545,0.089874,0.102847,0.125057,0.165199,0.242279,0.395315", \ - "0.092640,0.107876,0.120845,0.143101,0.183279,0.260381,0.413431", \ - "0.128517,0.144038,0.157081,0.179433,0.219669,0.296803,0.449885", \ - "0.171033,0.188771,0.203052,0.226567,0.267604,0.344742,0.497621", \ - "0.216668,0.237247,0.253023,0.278094,0.320378,0.398430,0.551258", \ - "0.265576,0.289153,0.306718,0.333892,0.377979,0.456818,0.610354"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.049082,0.066860,0.083941,0.116531,0.180805,0.308991,0.565077", \ - "0.053456,0.071220,0.088306,0.120913,0.185195,0.313349,0.569507", \ - "0.069785,0.087384,0.104424,0.137044,0.201394,0.329629,0.585835", \ - "0.092222,0.110572,0.127787,0.160480,0.224747,0.352997,0.609274", \ - "0.111602,0.132091,0.149603,0.182307,0.246751,0.374887,0.630892", \ - "0.125549,0.149341,0.168213,0.201083,0.265100,0.393473,0.649385", \ - "0.132814,0.160809,0.182072,0.216854,0.280025,0.407766,0.663940"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.012779,0.020556,0.028552,0.044334,0.076662,0.143942,0.280887", \ - "0.012781,0.020561,0.028555,0.044332,0.076667,0.143948,0.280844", \ - "0.012808,0.020612,0.028595,0.044350,0.076667,0.143937,0.280861", \ - "0.014239,0.021409,0.029111,0.044622,0.076786,0.143971,0.280889", \ - "0.018643,0.025519,0.032687,0.047311,0.078142,0.144181,0.280852", \ - "0.024065,0.030765,0.037475,0.051156,0.080950,0.145900,0.281049", \ - "0.030135,0.037174,0.043619,0.056385,0.084544,0.147909,0.282463"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.013218,0.025741,0.040222,0.070260,0.131474,0.254289,0.499906", \ - "0.013222,0.025745,0.040225,0.070283,0.131478,0.254304,0.499893", \ - "0.013380,0.025832,0.040260,0.070283,0.131488,0.254239,0.500095", \ - "0.015919,0.027210,0.041147,0.070576,0.131476,0.254302,0.500109", \ - "0.020635,0.030104,0.042723,0.071421,0.132013,0.254351,0.499943", \ - "0.026483,0.035448,0.046184,0.072695,0.132503,0.254864,0.500001", \ - "0.033040,0.042599,0.052104,0.075556,0.133298,0.255382,0.500398"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E & !SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.070534,0.086257,0.099640,0.122466,0.162689,0.239245,0.391973", \ - "0.074877,0.090599,0.103990,0.126820,0.167044,0.243603,0.396354", \ - "0.092954,0.108583,0.121963,0.144837,0.185129,0.261719,0.414475", \ - "0.128882,0.144781,0.158259,0.181210,0.221518,0.298122,0.450908", \ - "0.171726,0.189992,0.204752,0.228751,0.269408,0.345802,0.498466", \ - "0.217528,0.238614,0.255009,0.280636,0.322217,0.398886,0.551194", \ - "0.266782,0.291039,0.309490,0.337280,0.379543,0.456019,0.608601"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.013076,0.021236,0.029515,0.045079,0.076139,0.142640,0.279563", \ - "0.013078,0.021242,0.029515,0.045075,0.076136,0.142637,0.279565", \ - "0.013102,0.021286,0.029553,0.045114,0.076172,0.142653,0.279570", \ - "0.014546,0.022075,0.030062,0.045369,0.076235,0.142651,0.279565", \ - "0.019097,0.026366,0.033757,0.047831,0.077080,0.142730,0.279575", \ - "0.024785,0.031958,0.038818,0.051456,0.078870,0.143455,0.279665", \ - "0.031195,0.038786,0.045200,0.056088,0.080878,0.144091,0.280136"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("5.684193,6.863548,7.784204,8.726084,8.954029,9.019218,9.056746", \ - "5.578411,6.792118,7.710085,8.637290,8.864546,8.920464,8.960464", \ - "5.475859,6.653625,7.573276,8.507680,8.762359,8.828941,8.871203", \ - "5.511998,6.694339,7.607949,8.545896,8.814374,8.889945,8.939112", \ - "5.544034,6.765809,7.744000,8.770351,9.058972,9.144211,9.200768", \ - "5.868462,6.953826,7.889867,9.039991,9.499116,9.606070,9.661216", \ - "6.436119,7.437777,8.350518,9.515899,10.004240,10.283490,10.344840"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("5.348914,6.070256,6.361171,6.549651,6.632730,6.650525,6.664798", \ - "5.233162,5.990247,6.258045,6.454850,6.539271,6.558581,6.573861", \ - "5.165037,5.857783,6.151140,6.352011,6.436756,6.468959,6.483276", \ - "5.173609,5.896725,6.189767,6.390514,6.495335,6.535248,6.555526", \ - "5.218505,5.958325,6.350600,6.613603,6.738217,6.780187,6.810473", \ - "5.473305,6.151900,6.520120,6.886851,7.166149,7.225680,7.249957", \ - "6.016277,6.605829,6.965711,7.342859,7.637901,7.881084,7.912573"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("3.411087,3.904878,4.041203,4.053337,4.119914,4.019658,4.079526", \ - "3.328552,3.849568,3.955775,4.049324,4.023409,4.049881,3.974966", \ - "3.228974,3.715039,3.821561,3.914779,3.887396,3.929214,3.995848", \ - "3.318936,3.750063,3.879356,3.839101,3.950013,3.860868,3.936324", \ - "3.575110,4.001634,4.060765,4.050543,4.024100,4.087455,4.155057", \ - "3.903034,4.488690,4.573988,4.559666,4.476481,4.518543,4.492110", \ - "4.441119,5.097827,5.250989,5.211106,5.230028,5.168637,5.114334"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("5.348893,6.070253,6.361166,6.549555,6.632724,6.650619,6.664795", \ - "5.233140,5.990245,6.258042,6.454849,6.539270,6.558576,6.573852", \ - "5.165014,5.857781,6.151121,6.351988,6.436751,6.468959,6.483277", \ - "5.173593,5.896694,6.189704,6.391047,6.495286,6.535242,6.555914", \ - "5.218487,5.958325,6.350592,6.613597,6.738211,6.780187,6.810468", \ - "5.474168,6.151999,6.520114,6.886845,7.166141,7.225678,7.249906", \ - "6.016265,6.611443,6.965708,7.342799,7.637893,7.881080,7.912375"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("3.411314,3.904749,4.041209,4.054859,4.119902,4.017771,4.079479", \ - "3.328576,3.849586,3.955783,4.048841,4.023602,4.049872,3.974961", \ - "3.228999,3.715058,3.821787,3.914772,3.887388,3.929208,3.995844", \ - "3.318957,3.750222,3.879125,3.839102,3.949566,3.860868,3.936319", \ - "3.575207,4.001587,4.060767,4.050543,4.024097,4.087292,4.155051", \ - "3.903053,4.488706,4.574294,4.559665,4.477050,4.517855,4.492106", \ - "4.441193,5.097844,5.250988,5.211100,5.230026,5.168005,5.114333"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("5.348730,6.070564,6.361376,6.548310,6.632049,6.653037,6.664675", \ - "5.232983,5.990567,6.263225,6.454863,6.539288,6.560854,6.573855", \ - "5.164864,5.857940,6.151286,6.351743,6.436763,6.469016,6.483627", \ - "5.173455,5.897047,6.189933,6.390726,6.496669,6.535262,6.555948", \ - "5.218405,5.958601,6.350736,6.613558,6.738227,6.780192,6.809608", \ - "5.474116,6.153888,6.520255,6.886898,7.166029,7.225727,7.250515", \ - "6.016224,6.611986,6.965846,7.342567,7.637894,7.881079,7.912530"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("3.397284,3.905050,4.046460,4.100887,4.119621,4.020088,4.080301", \ - "3.355475,3.849247,3.955498,4.048168,4.016207,4.049633,3.974886", \ - "3.229120,3.714860,3.821543,3.937404,3.887291,3.929117,3.987779", \ - "3.319007,3.750087,3.879348,3.839107,3.949965,3.860778,3.936223", \ - "3.578014,4.001533,4.060684,4.050389,4.023560,4.087253,4.155000", \ - "3.903015,4.488078,4.574220,4.559624,4.476436,4.515450,4.491653", \ - "4.441123,5.097778,5.250921,5.211067,5.229997,5.168003,5.114277"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATETST_X4 - Cell Description : Pos.edge clock gating cell with pre scan, drive strength X4 - *******************************************************************************************/ - - cell (CLKGATETST_X4) { - - drive_strength : 4; - - statetable ("CK E SE","IQ") { - table : "L L L : - : L ,\ - L L H : - : H ,\ - L H L : - : H ,\ - L H H : - : H ,\ - H - - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge_precontrol; - - area : 5.320000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 83.305221; - - leakage_power () { - when : "!CK & !E & !SE & !GCK"; - value : 73.732730; - } - leakage_power () { - when : "!CK & !E & SE & !GCK"; - value : 67.692601; - } - leakage_power () { - when : "!CK & E & !SE & !GCK"; - value : 67.021065; - } - leakage_power () { - when : "!CK & E & SE & !GCK"; - value : 68.742978; - } - leakage_power () { - when : "CK & !E & !SE & !GCK"; - value : 99.073885; - } - leakage_power () { - when : "CK & !E & !SE & GCK"; - value : 101.234185; - } - leakage_power () { - when : "CK & !E & SE & !GCK"; - value : 89.822376; - } - leakage_power () { - when : "CK & !E & SE & GCK"; - value : 83.979971; - } - leakage_power () { - when : "CK & E & !SE & !GCK"; - value : 89.150840; - } - leakage_power () { - when : "CK & E & !SE & GCK"; - value : 83.308435; - } - leakage_power () { - when : "CK & E & SE & !GCK"; - value : 90.873038; - } - leakage_power () { - when : "CK & E & SE & GCK"; - value : 85.030538; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 4.244863; - fall_capacitance : 3.791795; - rise_capacitance : 4.244863; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.514880,0.481135,0.617947"); - } - } - - internal_power () { - - when : "!E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.302827,4.194656,4.134069,4.143865,4.227523,4.379983,4.616419"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.122156,0.105938,0.070632,0.074359,0.139940,0.278359,0.506821"); - } - - } - - internal_power () { - - when : "!E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("8.592704,8.477815,8.411137,8.429649,8.517431,8.683988,8.942084"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("8.595440,8.478321,8.414822,8.427924,8.520556,8.686714,8.941965"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("8.593137,8.471359,8.413747,8.425681,8.516629,8.685007,8.940236"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.902640; - fall_capacitance : 0.864614; - rise_capacitance : 0.902640; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.126307,-0.089670,-0.093551", \ - "-0.178900,-0.140529,-0.146384", \ - "-0.100902,-0.000238,-0.003461"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.007976,0.021134,0.046097", \ - "0.006688,0.021560,0.016249", \ - "0.185162,0.191930,0.164406"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.228236,0.210857,0.239719", \ - "0.284898,0.267659,0.296344", \ - "0.442108,0.423725,0.450257"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.498137,0.397193,0.398307", \ - "0.549334,0.448026,0.450610", \ - "0.601729,0.501067,0.504305"); - } - } - - internal_power () { - - when : "!CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.525643,6.506436,6.472656,6.469113,6.474553,6.512319,6.604763"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.997709,4.979248,4.955489,4.945950,4.974378,5.055332,5.212651"); - } - - } - - internal_power () { - - when : "!CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356556,0.359303,0.360184,0.360649,0.360341,0.361723,0.360795"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.246109,-0.270073,-0.298304,-0.313251,-0.320518,-0.325801,-0.328543"); - } - - } - - internal_power () { - - when : "CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.045064,2.026947,2.002274,1.990004,1.998398,2.050130,2.131447"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.802836,0.784638,0.765112,0.764894,0.800587,0.887685,1.036248"); - } - - } - - internal_power () { - - when : "CK & !SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.993576,1.974927,1.950172,1.938485,1.945947,1.995003,2.085695"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.793994,0.776093,0.755112,0.750654,0.783877,0.868371,1.013460"); - } - - } - - internal_power () { - - when : "CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356724,0.359248,0.359556,0.360550,0.360206,0.361652,0.360689"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.247081,-0.270361,-0.299119,-0.313622,-0.321262,-0.326001,-0.329369"); - } - - } - - internal_power () { - - when : "CK & SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356619,0.359157,0.359950,0.360441,0.360131,0.361515,0.360596"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.247182,-0.270456,-0.299188,-0.313702,-0.321352,-0.326091,-0.329377"); - } - - } - } - - pin (SE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_test_pin : true; - capacitance : 0.791061; - fall_capacitance : 0.746503; - rise_capacitance : 0.791061; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.115671,-0.078669,-0.082998", \ - "-0.165471,-0.126955,-0.132545", \ - "-0.084433,0.016449,0.013995"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.007963,0.021490,0.048389", \ - "0.022022,0.036809,0.023889", \ - "0.216099,0.228056,0.198813"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.213887,0.196619,0.225125", \ - "0.266735,0.249560,0.278368", \ - "0.450659,0.431427,0.457307"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.495083,0.393478,0.395064", \ - "0.543178,0.441785,0.443420", \ - "0.585260,0.484379,0.486848"); - } - } - - internal_power () { - - when : "!CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.250934,6.211302,6.185655,6.205006,6.274066,6.388985,6.560849"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.764659,4.760257,4.749568,4.742487,4.770829,4.866856,5.053043"); - } - - } - - internal_power () { - - when : "!CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.105253,0.106258,0.106172,0.106040,0.105544,0.106011,0.105426"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.100716,-0.100403,-0.100064,-0.099976,-0.100134,-0.100340,-0.100625"); - } - - } - - internal_power () { - - when : "CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.770527,1.740706,1.714811,1.737758,1.802655,1.925550,2.093857"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.566679,0.568638,0.559337,0.559803,0.602104,0.703478,0.874881"); - } - - } - - internal_power () { - - when : "CK & !E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.719630,1.688967,1.663542,1.685736,1.751243,1.876454,2.049697"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.560818,0.562676,0.549194,0.543932,0.583012,0.682426,0.851655"); - } - - } - - internal_power () { - - when : "CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.104880,0.106056,0.105976,0.105363,0.105305,0.105916,0.105270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.101634,-0.101042,-0.100634,-0.100616,-0.100742,-0.100926,-0.101162"); - } - - } - - internal_power () { - - when : "CK & E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.101693,0.102852,0.102779,0.102665,0.102190,0.102674,0.102066"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.100853,-0.100309,-0.099890,-0.099838,-0.099917,-0.100032,-0.100171"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.583500; - state_function : "(IQ & CK)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "!E & SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.077073,0.094062,0.107382,0.130000,0.170602,0.248147,0.401601", \ - "0.081587,0.098574,0.111898,0.134518,0.175123,0.252672,0.406109", \ - "0.099933,0.116853,0.130169,0.152807,0.193431,0.271000,0.424457", \ - "0.136782,0.153717,0.167025,0.189717,0.230403,0.308011,0.461499", \ - "0.182846,0.202049,0.216342,0.239900,0.281063,0.358640,0.512111", \ - "0.232175,0.254270,0.270061,0.295064,0.337373,0.415786,0.569151", \ - "0.284774,0.310098,0.327611,0.354697,0.398306,0.477578,0.631316"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.056215,0.075484,0.092701,0.125405,0.189780,0.318106,0.574470", \ - "0.061117,0.080386,0.097611,0.130313,0.194710,0.323025,0.579488", \ - "0.074800,0.093969,0.111172,0.143902,0.208314,0.336667,0.593140", \ - "0.094711,0.114439,0.131791,0.164577,0.228974,0.357339,0.613805", \ - "0.115722,0.136621,0.154335,0.187268,0.251814,0.380211,0.636595", \ - "0.134050,0.157177,0.175606,0.208943,0.273312,0.401810,0.658134", \ - "0.146675,0.173234,0.193124,0.227097,0.291669,0.419754,0.676456"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.013116,0.021396,0.029332,0.045043,0.077390,0.144599,0.281409", \ - "0.013119,0.021399,0.029335,0.045040,0.077403,0.144599,0.281352", \ - "0.013132,0.021433,0.029363,0.045050,0.077391,0.144589,0.281363", \ - "0.013859,0.021835,0.029637,0.045200,0.077451,0.144614,0.281408", \ - "0.018171,0.025478,0.032624,0.047260,0.078379,0.144790,0.281465", \ - "0.023455,0.030507,0.037094,0.050842,0.080990,0.146160,0.281636", \ - "0.029351,0.036587,0.042732,0.055467,0.084123,0.147979,0.282834"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.013398,0.026266,0.040594,0.070535,0.131771,0.254639,0.500467", \ - "0.013404,0.026269,0.040593,0.070558,0.131769,0.254695,0.500562", \ - "0.013445,0.026290,0.040606,0.070531,0.131764,0.254661,0.500560", \ - "0.014669,0.027110,0.041109,0.070672,0.131752,0.254616,0.500469", \ - "0.016925,0.028760,0.042255,0.071322,0.132012,0.254688,0.500546", \ - "0.020824,0.031806,0.044376,0.072328,0.132475,0.254895,0.500436", \ - "0.025950,0.036697,0.048104,0.074344,0.133219,0.255424,0.500679"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & !SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.077074,0.094062,0.107382,0.130000,0.170602,0.248152,0.401601", \ - "0.081587,0.098574,0.111898,0.134518,0.175123,0.252672,0.406108", \ - "0.099933,0.116853,0.130169,0.152807,0.193431,0.271000,0.424457", \ - "0.136782,0.153717,0.167025,0.189711,0.230403,0.308011,0.461499", \ - "0.182846,0.202049,0.216342,0.239900,0.281063,0.358641,0.512111", \ - "0.232175,0.254270,0.270061,0.295064,0.337373,0.415786,0.569151", \ - "0.284774,0.310098,0.327611,0.354697,0.398306,0.477578,0.631316"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.056215,0.075484,0.092701,0.125405,0.189780,0.318106,0.574524", \ - "0.061117,0.080386,0.097611,0.130313,0.194710,0.323025,0.579488", \ - "0.074800,0.093969,0.111172,0.143899,0.208314,0.336667,0.593140", \ - "0.094711,0.114439,0.131791,0.164577,0.228974,0.357339,0.613805", \ - "0.115722,0.136621,0.154335,0.187268,0.251814,0.380211,0.636595", \ - "0.134050,0.157177,0.175606,0.208943,0.273313,0.401810,0.658134", \ - "0.146675,0.173234,0.193124,0.227097,0.291669,0.419754,0.676456"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.013116,0.021396,0.029332,0.045045,0.077390,0.144593,0.281409", \ - "0.013120,0.021399,0.029336,0.045040,0.077403,0.144599,0.281352", \ - "0.013132,0.021433,0.029363,0.045050,0.077391,0.144590,0.281373", \ - "0.013859,0.021835,0.029637,0.045201,0.077451,0.144614,0.281408", \ - "0.018171,0.025478,0.032624,0.047260,0.078379,0.144790,0.281465", \ - "0.023455,0.030507,0.037094,0.050842,0.080990,0.146160,0.281636", \ - "0.029351,0.036587,0.042732,0.055467,0.084123,0.147979,0.282834"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.013400,0.026266,0.040594,0.070535,0.131770,0.254640,0.500584", \ - "0.013404,0.026269,0.040593,0.070558,0.131769,0.254696,0.500562", \ - "0.013445,0.026290,0.040606,0.070535,0.131764,0.254661,0.500560", \ - "0.014669,0.027110,0.041109,0.070672,0.131752,0.254618,0.500469", \ - "0.016925,0.028760,0.042255,0.071322,0.132012,0.254688,0.500546", \ - "0.020824,0.031806,0.044376,0.072328,0.132479,0.254894,0.500436", \ - "0.025950,0.036697,0.048104,0.074344,0.133219,0.255424,0.500679"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.077075,0.094062,0.107382,0.130001,0.170603,0.248148,0.401588", \ - "0.081586,0.098574,0.111900,0.134519,0.175123,0.252672,0.406108", \ - "0.099935,0.116860,0.130169,0.152806,0.193433,0.271000,0.424475", \ - "0.136766,0.153717,0.167025,0.189718,0.230403,0.308010,0.461499", \ - "0.182846,0.202048,0.216342,0.239900,0.281063,0.358667,0.512111", \ - "0.232183,0.254270,0.270061,0.295065,0.337373,0.415787,0.569102", \ - "0.284774,0.310098,0.327612,0.354697,0.398307,0.477579,0.631315"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.056215,0.075483,0.092701,0.125404,0.189780,0.318106,0.574524", \ - "0.061116,0.080385,0.097607,0.130312,0.194703,0.323024,0.579391", \ - "0.074800,0.093969,0.111172,0.143891,0.208312,0.336666,0.593094", \ - "0.094711,0.114439,0.131791,0.164577,0.228974,0.357341,0.613805", \ - "0.115723,0.136621,0.154335,0.187268,0.251814,0.380211,0.636595", \ - "0.134049,0.157177,0.175606,0.208943,0.273318,0.401785,0.658134", \ - "0.146675,0.173234,0.193124,0.227097,0.291668,0.419754,0.676456"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.013115,0.021396,0.029332,0.045043,0.077392,0.144595,0.281355", \ - "0.013121,0.021399,0.029336,0.045035,0.077402,0.144597,0.281352", \ - "0.013132,0.021431,0.029363,0.045049,0.077389,0.144592,0.281420", \ - "0.013862,0.021835,0.029637,0.045200,0.077451,0.144619,0.281408", \ - "0.018168,0.025477,0.032625,0.047258,0.078370,0.144782,0.281465", \ - "0.023454,0.030508,0.037098,0.050842,0.080990,0.146160,0.281637", \ - "0.029352,0.036587,0.042734,0.055467,0.084120,0.147978,0.282834"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.013403,0.026267,0.040594,0.070536,0.131755,0.254665,0.500515", \ - "0.013405,0.026269,0.040602,0.070558,0.131768,0.254688,0.500457", \ - "0.013445,0.026291,0.040606,0.070537,0.131765,0.254668,0.500490", \ - "0.014669,0.027110,0.041109,0.070672,0.131752,0.254612,0.500469", \ - "0.016925,0.028760,0.042254,0.071323,0.132012,0.254688,0.500547", \ - "0.020824,0.031806,0.044376,0.072328,0.132454,0.254912,0.500436", \ - "0.025950,0.036697,0.048104,0.074344,0.133219,0.255423,0.500679"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E & !SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.077566,0.094821,0.108431,0.131702,0.173286,0.250769,0.403469", \ - "0.082088,0.099337,0.112955,0.136233,0.177805,0.255286,0.407990", \ - "0.100413,0.117606,0.131204,0.154506,0.196113,0.273613,0.426332", \ - "0.137295,0.154484,0.168099,0.191393,0.233028,0.310534,0.463276", \ - "0.183917,0.203250,0.217860,0.241968,0.283819,0.361154,0.513724", \ - "0.233498,0.255686,0.271969,0.297558,0.340377,0.417803,0.570288", \ - "0.286483,0.312005,0.329707,0.357295,0.401027,0.478277,0.630843"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.013356,0.021871,0.030137,0.046448,0.078297,0.143655,0.279702", \ - "0.013352,0.021878,0.030140,0.046441,0.078279,0.143665,0.279703", \ - "0.013369,0.021908,0.030171,0.046457,0.078278,0.143644,0.279703", \ - "0.014039,0.022273,0.030406,0.046569,0.078285,0.143641,0.279718", \ - "0.018368,0.025934,0.033416,0.048515,0.078833,0.143665,0.279669", \ - "0.023731,0.031048,0.038044,0.052183,0.080787,0.144224,0.279686", \ - "0.029577,0.037056,0.043701,0.056683,0.082946,0.144810,0.280062"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("9.879391,11.429080,12.502860,14.431740,15.503370,15.632040,15.670270", \ - "9.678609,11.299580,12.357370,14.334700,15.374740,15.500940,15.539140", \ - "9.604576,11.163740,12.193810,14.178730,15.243640,15.376700,15.426580", \ - "9.796831,11.229230,12.269000,14.244350,15.293730,15.456690,15.515430", \ - "9.937342,11.468030,12.607610,14.602300,15.631660,15.786670,15.865430", \ - "10.511790,11.827880,13.013400,15.107510,16.263880,16.429980,16.509580", \ - "11.433010,12.663130,13.768860,15.852730,16.975020,17.380040,17.469410"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("9.365712,10.293980,10.612040,10.815810,10.874680,10.876570,10.916280", \ - "9.184576,10.154590,10.472210,10.684230,10.740850,10.745500,10.785170", \ - "9.101812,10.001960,10.334980,10.542400,10.608050,10.636260,10.672580", \ - "9.268410,10.087120,10.387310,10.603540,10.695990,10.736610,10.789070", \ - "9.353381,10.232710,10.686830,10.949540,11.049810,11.112750,11.183750", \ - "9.833131,10.575040,10.987240,11.396670,11.703940,11.801650,11.878070", \ - "10.701170,11.310770,11.695900,12.143280,12.482750,12.842130,12.905290"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("6.114787,6.888060,7.111014,7.233211,7.172468,7.355452,7.547536", \ - "6.024443,6.812954,6.989382,7.206235,7.233684,7.407605,7.612436", \ - "5.864924,6.643376,6.871084,6.937007,7.160723,7.342358,7.268956", \ - "5.787732,6.571192,6.662160,6.788914,7.090968,7.280443,7.191525", \ - "6.023105,6.786057,6.892930,6.940591,6.962908,7.173037,7.371401", \ - "6.468445,7.317987,7.503918,7.519100,7.607694,7.515542,7.752659", \ - "7.044537,8.073697,8.207352,8.427233,8.375204,8.362449,8.566489"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("9.365736,10.293980,10.612190,10.813520,10.874550,10.882960,10.916280", \ - "9.184583,10.154640,10.472220,10.684200,10.740850,10.745500,10.785160", \ - "9.101816,10.001970,10.334990,10.542400,10.608040,10.636270,10.672590", \ - "9.268458,10.087350,10.387590,10.604240,10.695860,10.736610,10.789060", \ - "9.353385,10.232710,10.686800,10.949520,11.049730,11.112750,11.183750", \ - "9.833122,10.575160,10.987360,11.396690,11.703930,11.801640,11.878080", \ - "10.701160,11.310780,11.695770,12.143280,12.482740,12.842130,12.905700"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("6.076880,6.888065,7.111029,7.243823,7.171865,7.355343,7.548984", \ - "6.024610,6.813575,6.989266,7.205947,7.233688,7.407550,7.612396", \ - "5.865101,6.643385,6.871089,6.864407,7.160724,7.342377,7.268945", \ - "5.787565,6.571200,6.662168,6.788923,7.090971,7.280311,7.191529", \ - "6.023118,6.786067,6.892939,6.940591,6.962914,7.173036,7.371406", \ - "6.464439,7.317988,7.503921,7.519098,7.607531,7.515559,7.752662", \ - "7.044574,8.073713,8.207361,8.427234,8.375205,8.362455,8.566488"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("9.366590,10.294120,10.610270,10.816200,10.874340,10.886350,10.915920", \ - "9.185695,10.129690,10.472550,10.682240,10.740860,10.754930,10.785130", \ - "9.102443,10.017130,10.334880,10.542540,10.611810,10.636310,10.673320", \ - "9.225076,10.087290,10.383510,10.603940,10.695670,10.736220,10.789090", \ - "9.353933,10.232750,10.681010,10.936100,11.061530,11.119000,11.183610", \ - "9.833200,10.585020,10.956220,11.404740,11.703860,11.801640,11.875010", \ - "10.701320,11.310670,11.702160,12.143240,12.482740,12.842220,12.905450"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("6.077539,6.887857,7.110814,7.149756,7.310854,7.355158,7.538361", \ - "6.024895,6.783993,6.943075,7.206403,7.294990,7.409122,7.603636", \ - "5.858063,6.642946,6.870810,6.864582,7.160386,7.353897,7.255211", \ - "5.788156,6.570861,6.661858,6.788937,7.090966,7.280904,7.191629", \ - "6.023414,6.785687,6.893459,6.940635,6.962678,7.173068,7.371434", \ - "6.459324,7.317418,7.503472,7.519111,7.611950,7.504612,7.752777", \ - "7.045616,8.073299,8.207814,8.427240,8.374514,8.362453,8.566594"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATETST_X8 - Cell Description : Pos.edge clock gating cell with pre scan, drive strength X8 - *******************************************************************************************/ - - cell (CLKGATETST_X8) { - - drive_strength : 8; - - statetable ("CK E SE","IQ") { - table : "L L L : - : L ,\ - L L H : - : H ,\ - L H L : - : H ,\ - L H H : - : H ,\ - H - - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge_precontrol; - - area : 7.714000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 144.250578; - - leakage_power () { - when : "!CK & !E & !SE & !GCK"; - value : 115.791700; - } - leakage_power () { - when : "!CK & !E & SE & !GCK"; - value : 115.115556; - } - leakage_power () { - when : "!CK & E & !SE & !GCK"; - value : 114.443450; - } - leakage_power () { - when : "!CK & E & SE & !GCK"; - value : 116.166123; - } - leakage_power () { - when : "CK & !E & !SE & !GCK"; - value : 169.700020; - } - leakage_power () { - when : "CK & !E & !SE & GCK"; - value : 167.386105; - } - leakage_power () { - when : "CK & !E & SE & !GCK"; - value : 160.463426; - } - leakage_power () { - when : "CK & !E & SE & GCK"; - value : 150.084961; - } - leakage_power () { - when : "CK & E & !SE & !GCK"; - value : 159.792271; - } - leakage_power () { - when : "CK & E & !SE & GCK"; - value : 149.413805; - } - leakage_power () { - when : "CK & E & SE & !GCK"; - value : 161.513993; - } - leakage_power () { - when : "CK & E & SE & GCK"; - value : 151.135528; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 7.641196; - fall_capacitance : 6.839882; - rise_capacitance : 7.641196; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.832984,0.764158,0.884007"); - } - } - - internal_power () { - - when : "!E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.113805,5.929796,5.833829,5.827616,5.900808,6.052180,6.280488"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-1.413170,-1.428000,-1.467660,-1.468080,-1.400550,-1.264140,-1.037670"); - } - - } - - internal_power () { - - when : "!E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("14.266520,14.062800,13.956560,13.950660,14.039460,14.195700,14.453730"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("14.265740,14.082570,13.958300,13.952880,14.036630,14.218040,14.451930"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("14.265920,14.076970,13.956490,13.957630,14.034690,14.196280,14.469740"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.871774; - fall_capacitance : 0.833751; - rise_capacitance : 0.871774; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.134494,-0.101946,-0.101069", \ - "-0.188873,-0.155345,-0.154337", \ - "-0.319269,-0.280854,-0.256572"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.008585,0.021711,0.047086", \ - "-0.007306,0.010491,0.011614", \ - "0.169927,0.182944,0.146605"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.255410,0.237476,0.271826", \ - "0.311988,0.294184,0.328374", \ - "0.470295,0.451324,0.483827"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.818109,0.681958,0.654511", \ - "0.869491,0.733243,0.704888", \ - "0.921604,0.785401,0.757423"); - } - } - - internal_power () { - - when : "!CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("9.709291,9.689080,9.663475,9.652810,9.656859,9.702048,9.801908"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("8.548825,8.533889,8.511228,8.504870,8.530724,8.621876,8.771658"); - } - - } - - internal_power () { - - when : "!CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357084,0.359704,0.360535,0.360959,0.360393,0.362158,0.360831"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.245412,-0.269521,-0.297335,-0.312665,-0.319653,-0.325348,-0.327795"); - } - - } - - internal_power () { - - when : "CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.010837,1.991883,1.966546,1.956003,1.964269,2.015965,2.096183"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.802786,0.784857,0.765915,0.765061,0.801261,0.888991,1.038356"); - } - - } - - internal_power () { - - when : "CK & !SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.957170,1.938247,1.913744,1.901512,1.910390,1.959840,2.047912"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.795773,0.777883,0.756694,0.751905,0.785605,0.870440,1.017232"); - } - - } - - internal_power () { - - when : "CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356935,0.359727,0.359557,0.360951,0.360255,0.362200,0.360717"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.246810,-0.269759,-0.298516,-0.313013,-0.320654,-0.325394,-0.328764"); - } - - } - - internal_power () { - - when : "CK & SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356802,0.359618,0.360332,0.360808,0.360179,0.362019,0.360628"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.246921,-0.269887,-0.298618,-0.313128,-0.320781,-0.325520,-0.328894"); - } - - } - } - - pin (SE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_test_pin : true; - capacitance : 0.778610; - fall_capacitance : 0.732910; - rise_capacitance : 0.778610; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.123049,-0.090431,-0.090197", \ - "-0.173810,-0.140793,-0.139045", \ - "-0.310786,-0.267234,-0.240459"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.008333,0.022312,0.048985", \ - "0.002642,0.019027,0.014846", \ - "0.203556,0.213339,0.179100"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.241060,0.223238,0.257556", \ - "0.293825,0.276397,0.310725", \ - "0.478530,0.459989,0.491212"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.815055,0.678863,0.653214", \ - "0.862718,0.726378,0.698351", \ - "0.903869,0.768071,0.741309"); - } - } - - internal_power () { - - when : "!CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("9.433449,9.401638,9.376642,9.398096,9.457402,9.579480,9.754773"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("8.314012,8.302379,8.300606,8.295888,8.333604,8.429254,8.607119"); - } - - } - - internal_power () { - - when : "!CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.105401,0.106563,0.106405,0.106379,0.105585,0.106461,0.105482"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.100058,-0.099444,-0.099111,-0.099113,-0.099270,-0.099494,-0.099838"); - } - - } - - internal_power () { - - when : "CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.735881,1.705340,1.680028,1.703687,1.768568,1.893044,2.059727"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.566206,0.568343,0.558982,0.559781,0.602972,0.705153,0.877716"); - } - - } - - internal_power () { - - when : "CK & !E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.682666,1.651981,1.627494,1.649728,1.714563,1.838773,2.011841"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.564183,0.566149,0.551221,0.546814,0.585733,0.685961,0.856086"); - } - - } - - internal_power () { - - when : "CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.105154,0.106532,0.106347,0.105316,0.105253,0.106405,0.105254"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.101367,-0.100425,-0.100021,-0.100002,-0.100130,-0.100312,-0.100544"); - } - - } - - internal_power () { - - when : "CK & E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.101986,0.103336,0.103158,0.103047,0.102221,0.103169,0.102095"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.100607,-0.099732,-0.099319,-0.099268,-0.099349,-0.099460,-0.099599"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 483.983600; - state_function : "(IQ & CK)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "!E & SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.077424,0.094831,0.108134,0.130805,0.171522,0.249188,0.402627", \ - "0.081943,0.099350,0.112658,0.135332,0.176053,0.253705,0.407157", \ - "0.100325,0.117675,0.130976,0.153658,0.194396,0.272066,0.425508", \ - "0.137183,0.154572,0.167873,0.190607,0.231381,0.309074,0.462525", \ - "0.183309,0.203023,0.217297,0.240922,0.282150,0.359830,0.513205", \ - "0.232685,0.255455,0.271163,0.296202,0.338626,0.417123,0.570478", \ - "0.285349,0.311671,0.329080,0.356247,0.399794,0.479162,0.632934"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.058336,0.078466,0.095783,0.128529,0.192865,0.321074,0.577207", \ - "0.063222,0.083357,0.100684,0.133438,0.197779,0.325993,0.582170", \ - "0.076880,0.096898,0.114196,0.146959,0.211344,0.339578,0.595707", \ - "0.096682,0.117224,0.134666,0.167472,0.231847,0.360103,0.616315", \ - "0.117494,0.139139,0.156929,0.189939,0.254488,0.382740,0.638908", \ - "0.135913,0.159595,0.178098,0.211470,0.275958,0.404385,0.660459", \ - "0.148907,0.175921,0.195661,0.229768,0.294450,0.422857,0.679119"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.013144,0.021616,0.029577,0.045336,0.077698,0.144890,0.281538", \ - "0.013145,0.021626,0.029578,0.045329,0.077723,0.144876,0.281541", \ - "0.013176,0.021666,0.029614,0.045350,0.077710,0.144874,0.281540", \ - "0.013915,0.022084,0.029899,0.045506,0.077767,0.144885,0.281500", \ - "0.018218,0.025728,0.032893,0.047571,0.078678,0.145077,0.281560", \ - "0.023512,0.030771,0.037408,0.051206,0.081352,0.146428,0.281768", \ - "0.029419,0.036897,0.043104,0.055887,0.084531,0.148285,0.282938"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.013996,0.027068,0.041275,0.071057,0.132182,0.254941,0.500484", \ - "0.013996,0.027064,0.041275,0.071076,0.132161,0.254932,0.500468", \ - "0.014014,0.027079,0.041275,0.071048,0.132147,0.254872,0.500464", \ - "0.015217,0.027864,0.041769,0.071177,0.132126,0.254865,0.500544", \ - "0.017318,0.029438,0.042884,0.071842,0.132388,0.254951,0.500452", \ - "0.020949,0.032272,0.044915,0.072840,0.132870,0.255123,0.500436", \ - "0.025943,0.036883,0.048449,0.074798,0.133624,0.255618,0.500642"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & !SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.077425,0.094831,0.108133,0.130805,0.171526,0.249188,0.402627", \ - "0.081943,0.099350,0.112658,0.135333,0.176053,0.253705,0.407157", \ - "0.100325,0.117675,0.130976,0.153658,0.194396,0.272066,0.425535", \ - "0.137183,0.154572,0.167873,0.190607,0.231381,0.309074,0.462525", \ - "0.183309,0.203023,0.217297,0.240922,0.282150,0.359830,0.513214", \ - "0.232685,0.255455,0.271163,0.296202,0.338626,0.417123,0.570478", \ - "0.285349,0.311671,0.329080,0.356247,0.399794,0.479162,0.632934"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.058330,0.078466,0.095783,0.128519,0.192865,0.321077,0.577206", \ - "0.063222,0.083357,0.100683,0.133438,0.197779,0.325993,0.582170", \ - "0.076880,0.096898,0.114196,0.146959,0.211344,0.339578,0.595707", \ - "0.096682,0.117224,0.134666,0.167472,0.231847,0.360103,0.616319", \ - "0.117494,0.139139,0.156929,0.189939,0.254488,0.382740,0.638908", \ - "0.135913,0.159595,0.178098,0.211470,0.275958,0.404387,0.660462", \ - "0.148907,0.175921,0.195661,0.229768,0.294450,0.422856,0.679119"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.013144,0.021616,0.029577,0.045336,0.077723,0.144890,0.281538", \ - "0.013145,0.021626,0.029578,0.045328,0.077723,0.144877,0.281540", \ - "0.013176,0.021666,0.029614,0.045350,0.077710,0.144875,0.281518", \ - "0.013915,0.022084,0.029899,0.045507,0.077761,0.144885,0.281500", \ - "0.018218,0.025728,0.032893,0.047571,0.078678,0.145077,0.281596", \ - "0.023512,0.030771,0.037408,0.051206,0.081352,0.146428,0.281768", \ - "0.029419,0.036897,0.043104,0.055887,0.084531,0.148285,0.282938"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.013996,0.027067,0.041276,0.071057,0.132181,0.254900,0.500488", \ - "0.013996,0.027064,0.041275,0.071076,0.132161,0.254931,0.500469", \ - "0.014014,0.027079,0.041275,0.071048,0.132147,0.254872,0.500463", \ - "0.015217,0.027864,0.041769,0.071177,0.132126,0.254865,0.500486", \ - "0.017318,0.029438,0.042884,0.071842,0.132388,0.254951,0.500452", \ - "0.020949,0.032272,0.044915,0.072840,0.132870,0.255152,0.500386", \ - "0.025943,0.036883,0.048449,0.074798,0.133624,0.255618,0.500642"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.077425,0.094831,0.108134,0.130805,0.171520,0.249188,0.402645", \ - "0.081943,0.099347,0.112659,0.135333,0.176053,0.253705,0.407156", \ - "0.100325,0.117675,0.130976,0.153658,0.194396,0.272066,0.425542", \ - "0.137183,0.154572,0.167872,0.190607,0.231382,0.309074,0.462526", \ - "0.183309,0.203023,0.217296,0.240922,0.282150,0.359832,0.513209", \ - "0.232685,0.255407,0.271163,0.296202,0.338625,0.417129,0.570422", \ - "0.285349,0.311671,0.329080,0.356248,0.399794,0.479160,0.632935"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.058333,0.078465,0.095782,0.128518,0.192864,0.321088,0.577205", \ - "0.063221,0.083356,0.100682,0.133438,0.197778,0.325992,0.582167", \ - "0.076880,0.096897,0.114196,0.146956,0.211336,0.339582,0.595710", \ - "0.096682,0.117224,0.134666,0.167472,0.231847,0.360105,0.616319", \ - "0.117504,0.139139,0.156929,0.189940,0.254488,0.382740,0.638891", \ - "0.135885,0.159595,0.178098,0.211470,0.275958,0.404385,0.660457", \ - "0.148886,0.175921,0.195660,0.229768,0.294450,0.422856,0.679119"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.013144,0.021616,0.029576,0.045336,0.077702,0.144876,0.281529", \ - "0.013145,0.021625,0.029580,0.045323,0.077723,0.144887,0.281543", \ - "0.013176,0.021666,0.029614,0.045350,0.077712,0.144874,0.281534", \ - "0.013915,0.022084,0.029900,0.045505,0.077766,0.144885,0.281505", \ - "0.018218,0.025727,0.032891,0.047569,0.078678,0.145065,0.281568", \ - "0.023512,0.030776,0.037407,0.051206,0.081349,0.146413,0.281739", \ - "0.029419,0.036897,0.043104,0.055888,0.084531,0.148287,0.282943"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.013993,0.027068,0.041276,0.071056,0.132182,0.254899,0.500486", \ - "0.013995,0.027064,0.041277,0.071076,0.132161,0.254931,0.500462", \ - "0.014015,0.027079,0.041275,0.071050,0.132123,0.254865,0.500462", \ - "0.015218,0.027864,0.041769,0.071177,0.132125,0.254852,0.500481", \ - "0.017318,0.029438,0.042884,0.071843,0.132388,0.254951,0.500457", \ - "0.020950,0.032271,0.044915,0.072840,0.132869,0.255123,0.500436", \ - "0.025939,0.036883,0.048448,0.074798,0.133625,0.255618,0.500642"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E & !SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.077916,0.095488,0.108925,0.131909,0.173429,0.252284,0.405625", \ - "0.082435,0.100002,0.113448,0.136431,0.177958,0.256805,0.410144", \ - "0.100778,0.118284,0.131718,0.154730,0.196283,0.275147,0.428512", \ - "0.137633,0.155158,0.168619,0.191623,0.233238,0.312124,0.465490", \ - "0.184161,0.203896,0.218315,0.242113,0.284134,0.362866,0.516115", \ - "0.233629,0.256252,0.272331,0.297650,0.340931,0.420298,0.573238", \ - "0.286872,0.312475,0.330277,0.357352,0.402169,0.481972,0.635201"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.013333,0.021915,0.030033,0.046205,0.079378,0.145900,0.280506", \ - "0.013336,0.021918,0.030035,0.046214,0.079379,0.145890,0.280499", \ - "0.013345,0.021957,0.030061,0.046218,0.079381,0.145896,0.280512", \ - "0.014039,0.022332,0.030316,0.046362,0.079417,0.145877,0.280526", \ - "0.018356,0.025945,0.033289,0.048379,0.080212,0.145939,0.280502", \ - "0.023721,0.031015,0.037842,0.052140,0.082947,0.146954,0.280537", \ - "0.029591,0.037064,0.043483,0.056910,0.086162,0.148296,0.281042"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("17.159210,19.536790,20.873300,22.899570,26.934160,28.148820,28.240270", \ - "16.986850,19.311660,20.628370,22.650840,26.718180,27.922110,28.011170", \ - "16.861590,19.108550,20.358080,22.433610,26.499680,27.701460,27.815270", \ - "17.035930,19.199290,20.466170,22.481540,26.606730,27.868720,27.992490", \ - "17.443440,19.657650,21.062160,23.087790,27.177190,28.468370,28.601960", \ - "18.317770,20.272980,21.628460,23.948790,28.299700,29.596370,29.758860", \ - "20.047970,21.692440,22.960550,25.189300,29.613630,31.258410,31.463670"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("16.704620,18.391320,18.953240,19.391030,19.469070,19.391990,19.370250", \ - "16.384550,18.151090,18.717360,19.126490,19.230860,19.164270,19.143780", \ - "16.254120,17.898040,18.481400,18.905370,19.007690,18.960280,18.949850", \ - "16.569240,17.971360,18.561790,18.976030,19.129450,19.144620,19.153710", \ - "16.704100,18.386950,19.115900,19.564370,19.772180,19.805770,19.854230", \ - "17.573700,18.954350,19.636850,20.391710,20.899910,21.005670,21.083800", \ - "19.253290,20.290360,20.982230,21.706660,22.266070,22.843260,22.896160"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("10.862700,12.130170,12.498760,12.524260,13.098890,13.484340,13.436700", \ - "10.772690,11.947250,12.184960,12.696310,12.965250,13.213930,13.578950", \ - "10.461010,11.730990,12.098940,12.182210,12.613120,13.000650,13.482950", \ - "10.393060,11.625880,11.733610,12.141370,12.489360,12.888820,13.371930", \ - "10.801410,12.013080,12.067760,12.276790,12.756800,13.199850,13.095930", \ - "11.431460,12.865300,13.155020,13.171820,13.343830,13.724920,13.733570", \ - "12.497010,14.103250,14.600940,14.734170,14.901110,14.603010,15.090660"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("16.704590,18.391480,18.960110,19.391030,19.450720,19.391980,19.368760", \ - "16.384580,18.151120,18.717270,19.125140,19.230830,19.164260,19.143780", \ - "16.254130,17.898030,18.481290,18.905320,19.007650,18.958790,18.949560", \ - "16.569030,17.971400,18.562320,18.975940,19.139460,19.144610,19.153810", \ - "16.704120,18.387100,19.115910,19.564190,19.772170,19.805770,19.854170", \ - "17.573760,18.954340,19.636990,20.391700,20.899910,21.005680,21.083840", \ - "19.253340,20.290340,20.982240,21.706570,22.266130,22.843270,22.896160"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("10.862030,12.130790,12.498790,12.523800,13.099620,13.489780,13.437850", \ - "10.773000,11.947300,12.185010,12.697270,12.963820,13.213670,13.577290", \ - "10.461030,11.731050,12.098990,12.181780,12.613120,13.000640,13.482800", \ - "10.393080,11.625940,11.733630,12.140830,12.488650,12.888830,12.798270", \ - "10.801420,12.013140,12.067510,12.276800,12.756820,13.199690,13.095900", \ - "11.431400,12.865360,13.155030,13.171830,13.343830,13.717280,13.744200", \ - "12.497030,14.103320,14.600600,14.734170,14.901060,14.601830,15.090650"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("16.705410,18.391130,18.955170,19.390880,19.468650,19.392410,19.372740", \ - "16.385430,18.152340,18.722660,19.148200,19.230630,19.164200,19.144330", \ - "16.286500,17.898630,18.489380,18.906650,19.009510,18.961540,18.948780", \ - "16.570240,18.031420,18.543690,18.981480,19.129410,19.144610,19.153860", \ - "16.704870,18.374790,19.100310,19.558550,19.771890,19.805910,19.854070", \ - "17.574320,18.876580,19.637290,20.392480,20.910880,21.006100,21.065870", \ - "19.253650,20.286770,20.982240,21.690230,22.266050,22.842440,22.903730"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("10.862880,12.132200,12.500970,12.523750,13.098510,13.494030,13.436720", \ - "10.772970,11.949420,12.186890,12.703880,12.925400,13.193320,13.580410", \ - "10.461690,11.733000,12.101090,12.226430,12.611940,13.003440,13.479980", \ - "10.393640,11.627930,11.731490,12.165260,12.488910,12.991540,12.800940", \ - "10.801540,12.015260,12.067470,12.276840,12.738620,13.199150,13.124680", \ - "11.432720,12.867800,13.154790,13.171460,13.343330,13.724120,13.732480", \ - "12.497960,14.106560,14.600470,14.733830,14.900640,14.602360,15.089530"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATE_X1 - Cell Description : Pos.edge clock gating cell with drive strength X1 - *******************************************************************************************/ - - cell (CLKGATE_X1) { - - drive_strength : 1; - - statetable ("CK E","IQ") { - table : "L L : - : L ,\ - L H : - : H ,\ - H - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 32.734234; - - leakage_power () { - when : "!CK & !E & !GCK"; - value : 26.429855; - } - leakage_power () { - when : "!CK & E & !GCK"; - value : 38.041401; - } - leakage_power () { - when : "CK & !E & !GCK"; - value : 27.320584; - } - leakage_power () { - when : "CK & !E & GCK"; - value : 34.607056; - } - leakage_power () { - when : "CK & E & !GCK"; - value : 34.353263; - } - leakage_power () { - when : "CK & E & GCK"; - value : 35.653244; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 1.775640; - fall_capacitance : 1.606243; - rise_capacitance : 1.775640; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.177544,0.223558,0.500500"); - } - } - - internal_power () { - - when : "!E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.688614,2.659069,2.637077,2.670866,2.770347,2.940501,3.179663"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.999828,0.980395,0.943967,0.948123,1.017509,1.169637,1.414259"); - } - - } - - internal_power () { - - when : "E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.699480,4.664476,4.640359,4.670833,4.771801,4.949131,5.219257"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.892164; - fall_capacitance : 0.836248; - rise_capacitance : 0.892164; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.061754,-0.028264,-0.011332", \ - "-0.041360,-0.023386,-0.061090", \ - "0.256334,0.282162,0.205338"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.001182,0.031105,0.047605", \ - "0.053877,0.095239,0.113273", \ - "0.199058,0.240700,0.256505"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.139695,0.103141,0.079834", \ - "0.196855,0.159689,0.138809", \ - "0.342662,0.307231,0.286770"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.129314,0.104998,0.186208", \ - "0.174998,0.150327,0.230323", \ - "0.244483,0.218658,0.295499"); - } - } - - internal_power () { - - when : "!CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.743149,2.730026,2.711780,2.701114,2.711880,2.765958,2.863712"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.050448,2.032233,2.009639,1.991001,1.996319,2.040690,2.142206"); - } - - } - - internal_power () { - - when : "CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.414243,0.398652,0.390789,0.387260,0.384839,0.384295,0.383635"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.346002,-0.347516,-0.350252,-0.352124,-0.353035,-0.353582,-0.354047"); - } - - } - - internal_power () { - - when : "CK & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357047,0.360071,0.360864,0.360684,0.360612,0.360785,0.360972"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.252251,-0.275325,-0.303478,-0.318217,-0.325676,-0.330190,-0.333518"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.699540; - state_function : "(CK & IQ)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "E"; - sdf_cond : "(E == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.076476,0.090319,0.103632,0.126257,0.166779,0.244022,0.397068", \ - "0.080851,0.094691,0.108009,0.130638,0.171166,0.248421,0.401451", \ - "0.098861,0.112626,0.125947,0.148596,0.189151,0.266427,0.419497", \ - "0.135265,0.149101,0.162444,0.185201,0.225837,0.303152,0.456237", \ - "0.179712,0.195568,0.210055,0.233938,0.275282,0.352612,0.505569", \ - "0.227519,0.245575,0.261699,0.287217,0.329964,0.408303,0.561272", \ - "0.278157,0.299185,0.317209,0.344854,0.389300,0.469001,0.622502"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.053170,0.068954,0.086124,0.118780,0.183088,0.311257,0.567431", \ - "0.057567,0.073337,0.090514,0.123184,0.187487,0.315668,0.571834", \ - "0.074028,0.089662,0.106774,0.139456,0.203840,0.332068,0.588287", \ - "0.097750,0.114069,0.131335,0.164105,0.228386,0.356591,0.612889", \ - "0.118978,0.136803,0.154547,0.187441,0.251892,0.380178,0.636109", \ - "0.134551,0.155610,0.174724,0.207955,0.271995,0.400364,0.656282", \ - "0.143857,0.168480,0.190066,0.224591,0.288479,0.416233,0.672379"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.014285,0.021190,0.029160,0.044911,0.077105,0.144062,0.280813", \ - "0.014288,0.021188,0.029162,0.044914,0.077096,0.144054,0.280811", \ - "0.014312,0.021233,0.029199,0.044922,0.077106,0.144045,0.280794", \ - "0.015329,0.021848,0.029604,0.045148,0.077197,0.144065,0.280835", \ - "0.019762,0.025880,0.033084,0.047718,0.078445,0.144311,0.280815", \ - "0.025191,0.031160,0.037914,0.051699,0.081470,0.146002,0.280979", \ - "0.031395,0.037557,0.044051,0.057016,0.085261,0.148155,0.282358"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.014688,0.025859,0.040271,0.070260,0.131436,0.254230,0.499855", \ - "0.014680,0.025861,0.040275,0.070240,0.131433,0.254161,0.499910", \ - "0.014791,0.025927,0.040313,0.070247,0.131412,0.254167,0.499913", \ - "0.017117,0.027318,0.041189,0.070507,0.131466,0.254173,0.499995", \ - "0.021649,0.030309,0.042880,0.071457,0.131942,0.254305,0.499847", \ - "0.027573,0.035669,0.046461,0.072834,0.132494,0.254821,0.499891", \ - "0.034168,0.042823,0.052504,0.075914,0.133288,0.255392,0.500246"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E"; - sdf_cond : "(E == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.076470,0.090305,0.103607,0.126208,0.166753,0.246426,0.399360", \ - "0.080859,0.094696,0.108002,0.130601,0.171154,0.250836,0.403779", \ - "0.098864,0.112633,0.125929,0.148557,0.189135,0.268821,0.421788", \ - "0.135234,0.149089,0.162472,0.185165,0.225816,0.305570,0.458536", \ - "0.179824,0.195562,0.210084,0.233846,0.275273,0.355167,0.507774", \ - "0.227396,0.245577,0.261708,0.287257,0.330033,0.410933,0.563071", \ - "0.278258,0.298983,0.317090,0.344595,0.389714,0.471145,0.623277"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.014279,0.021172,0.029129,0.044867,0.077771,0.146430,0.279673", \ - "0.014282,0.021172,0.029129,0.044870,0.077784,0.146428,0.279735", \ - "0.014308,0.021220,0.029162,0.044886,0.077762,0.146436,0.279670", \ - "0.015328,0.021827,0.029570,0.045117,0.077877,0.146435,0.279733", \ - "0.019749,0.025851,0.033029,0.047687,0.079366,0.146534,0.279663", \ - "0.025194,0.031112,0.037836,0.051670,0.082923,0.147676,0.279607", \ - "0.031340,0.037512,0.043948,0.057063,0.087461,0.149041,0.280150"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("3.788482,4.208614,4.502323,5.005516,6.103150,6.294630,6.321197", \ - "3.710922,4.140877,4.437694,4.946033,6.041275,6.232361,6.258656", \ - "3.639653,4.067356,4.370422,4.881325,5.969206,6.173163,6.202482", \ - "3.714541,4.112472,4.407584,4.927319,6.026493,6.226167,6.257244", \ - "3.775290,4.231124,4.601836,5.165565,6.210308,6.402013,6.435228", \ - "3.978702,4.429940,4.791101,5.500203,6.543305,6.704603,6.745484", \ - "4.370211,4.802913,5.199437,6.000059,6.919013,7.169625,7.211014"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("3.624209,3.880598,4.023792,4.118085,4.155177,4.166912,4.169239", \ - "3.564441,3.809565,3.956560,4.054983,4.092595,4.104764,4.107720", \ - "3.484234,3.755503,3.887654,3.991644,4.032794,4.047334,4.052640", \ - "3.551057,3.783230,3.927777,4.033037,4.078214,4.097422,4.106645", \ - "3.575519,3.868373,4.067855,4.193507,4.249839,4.271824,4.285856", \ - "3.734263,3.993002,4.202767,4.398195,4.547563,4.575215,4.588501", \ - "4.071546,4.316131,4.507637,4.723695,4.884272,5.032048,5.042438"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("2.402314,2.581497,2.632278,2.670006,2.653782,2.664779,2.679095", \ - "2.363955,2.547998,2.604816,2.611309,2.594001,2.606397,2.614428", \ - "2.294372,2.468969,2.526410,2.529740,2.584470,2.599121,2.540900", \ - "2.322724,2.477298,2.534668,2.545943,2.572711,2.554345,2.571790", \ - "2.511147,2.649532,2.669435,2.664832,2.688020,2.679755,2.716007", \ - "2.785580,2.959799,2.989026,2.972166,2.927844,2.947956,3.011902", \ - "3.154854,3.390109,3.456362,3.444297,3.442119,3.437359,3.384962"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATE_X2 - Cell Description : Pos.edge clock gating cell with drive strength X2 - *******************************************************************************************/ - - cell (CLKGATE_X2) { - - drive_strength : 2; - - statetable ("CK E","IQ") { - table : "L L : - : L ,\ - L H : - : H ,\ - H - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 44.466908; - - leakage_power () { - when : "!CK & !E & !GCK"; - value : 33.888685; - } - leakage_power () { - when : "!CK & E & !GCK"; - value : 52.708736; - } - leakage_power () { - when : "CK & !E & !GCK"; - value : 37.080694; - } - leakage_power () { - when : "CK & !E & GCK"; - value : 48.981886; - } - leakage_power () { - when : "CK & E & !GCK"; - value : 44.113373; - } - leakage_power () { - when : "CK & E & GCK"; - value : 50.028073; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 2.477448; - fall_capacitance : 2.241796; - rise_capacitance : 2.477448; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.231579,0.258936,0.500500"); - } - } - - internal_power () { - - when : "!E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.165292,3.135549,3.113734,3.144689,3.243831,3.409110,3.647820"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.830389,0.811719,0.772236,0.775134,0.843131,0.996129,1.228717"); - } - - } - - internal_power () { - - when : "E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.054940,6.020976,5.996978,6.022921,6.121595,6.296885,6.560089"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.868526; - fall_capacitance : 0.812672; - rise_capacitance : 0.868526; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.078496,-0.034980,-0.010022", \ - "-0.087650,-0.054441,-0.062866", \ - "0.205662,0.244295,0.168412"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.001535,0.029815,0.043330", \ - "0.051023,0.090470,0.106978", \ - "0.200497,0.239236,0.256297"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.149160,0.114594,0.094753", \ - "0.206398,0.170923,0.152536", \ - "0.354063,0.320710,0.301877"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.181828,0.143380,0.221882", \ - "0.226716,0.189022,0.266275", \ - "0.295156,0.256526,0.332426"); - } - } - - internal_power () { - - when : "!CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.180770,3.167216,3.148265,3.137344,3.145559,3.188915,3.291903"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.906072,2.889302,2.865003,2.842708,2.845897,2.886264,2.984841"); - } - - } - - internal_power () { - - when : "CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412399,0.398376,0.390720,0.387777,0.385203,0.384353,0.383293"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.345681,-0.347580,-0.350250,-0.351863,-0.352800,-0.353428,-0.353760"); - } - - } - - internal_power () { - - when : "CK & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357485,0.360282,0.361292,0.360859,0.360838,0.360945,0.361024"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.251940,-0.274264,-0.303819,-0.318003,-0.325531,-0.330010,-0.333321"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.242400; - state_function : "(CK & IQ)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "E"; - sdf_cond : "(E == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.073433,0.088841,0.101875,0.124214,0.164491,0.241573,0.394455", \ - "0.077800,0.093200,0.106245,0.128590,0.168870,0.245952,0.398823", \ - "0.095832,0.111152,0.124190,0.146566,0.186877,0.263985,0.416860", \ - "0.132021,0.147479,0.160582,0.183041,0.223433,0.300580,0.453485", \ - "0.175565,0.193256,0.207508,0.231093,0.272198,0.349348,0.502140", \ - "0.222358,0.242620,0.258437,0.283615,0.326003,0.404130,0.556874", \ - "0.272052,0.295386,0.313103,0.340368,0.384675,0.463663,0.617123"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.050918,0.068671,0.085780,0.118391,0.182609,0.310627,0.566506", \ - "0.055306,0.073047,0.090159,0.122774,0.187008,0.315030,0.570898", \ - "0.071777,0.089345,0.106412,0.139045,0.203343,0.331441,0.587342", \ - "0.095002,0.113334,0.130584,0.163269,0.227472,0.355556,0.611474", \ - "0.115284,0.135734,0.153309,0.186070,0.250472,0.378413,0.634314", \ - "0.130147,0.153849,0.172769,0.205803,0.269989,0.397964,0.653663", \ - "0.138422,0.166262,0.187567,0.221881,0.285666,0.413362,0.669224"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.013349,0.021065,0.029013,0.044781,0.077066,0.144080,0.280762", \ - "0.013343,0.021064,0.029017,0.044785,0.077072,0.144081,0.280779", \ - "0.013373,0.021113,0.029049,0.044801,0.077060,0.144080,0.280746", \ - "0.014574,0.021780,0.029500,0.045041,0.077159,0.144100,0.280752", \ - "0.018966,0.025813,0.032975,0.047645,0.078441,0.144349,0.280782", \ - "0.024337,0.031035,0.037726,0.051510,0.081356,0.146037,0.280981", \ - "0.030414,0.037406,0.043801,0.056737,0.085051,0.148116,0.282353"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.013738,0.026255,0.040710,0.070681,0.131802,0.254420,0.499835", \ - "0.013739,0.026258,0.040704,0.070690,0.131801,0.254458,0.499802", \ - "0.013857,0.026333,0.040738,0.070697,0.131789,0.254471,0.499809", \ - "0.016332,0.027709,0.041631,0.070969,0.131823,0.254473,0.499860", \ - "0.020989,0.030586,0.043245,0.071887,0.132321,0.254502,0.499773", \ - "0.026821,0.035912,0.046754,0.073212,0.132855,0.255052,0.499880", \ - "0.033356,0.043028,0.052714,0.076210,0.133660,0.255654,0.500261"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E"; - sdf_cond : "(E == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.073430,0.088827,0.101859,0.124180,0.164449,0.243214,0.396964", \ - "0.077804,0.093197,0.106237,0.128561,0.168834,0.247609,0.401350", \ - "0.095831,0.111140,0.124173,0.146524,0.186833,0.265621,0.419395", \ - "0.131995,0.147490,0.160603,0.183018,0.223394,0.302228,0.456004", \ - "0.175608,0.193276,0.207483,0.231044,0.272164,0.351232,0.504591", \ - "0.222251,0.242620,0.258395,0.283514,0.325938,0.406445,0.559116", \ - "0.272063,0.295314,0.313036,0.340442,0.384756,0.466282,0.618768"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.013341,0.021058,0.028995,0.044741,0.077395,0.146732,0.280224", \ - "0.013343,0.021060,0.028995,0.044744,0.077396,0.146734,0.280225", \ - "0.013367,0.021104,0.029030,0.044758,0.077392,0.146728,0.280238", \ - "0.014569,0.021771,0.029474,0.045007,0.077487,0.146750,0.280205", \ - "0.018954,0.025790,0.032937,0.047600,0.078890,0.146912,0.280058", \ - "0.024334,0.030998,0.037673,0.051463,0.082077,0.148381,0.279885", \ - "0.030398,0.037356,0.043726,0.056669,0.086200,0.150227,0.280427"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("5.431824,6.137278,6.523659,7.051132,8.519992,8.835932,8.877360", \ - "5.360368,6.056780,6.427826,6.965970,8.431124,8.744142,8.785365", \ - "5.247195,5.936297,6.319080,6.855196,8.331153,8.647094,8.697130", \ - "5.307453,5.984056,6.349874,6.916526,8.383794,8.719733,8.772181", \ - "5.402219,6.119962,6.573793,7.215836,8.677914,8.969730,9.025503", \ - "5.672313,6.356179,6.827724,7.563964,9.160020,9.419195,9.482222", \ - "6.218694,6.869895,7.346204,8.209349,9.711251,10.103360,10.166260"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("5.363056,5.828083,6.052368,6.196549,6.258693,6.279491,6.287861", \ - "5.246017,5.745739,5.950612,6.105721,6.167777,6.187299,6.197170", \ - "5.175798,5.623307,5.847160,5.994720,6.066283,6.095296,6.106794", \ - "5.239793,5.672259,5.889399,6.047845,6.122890,6.163574,6.184115", \ - "5.263360,5.779525,6.062069,6.268556,6.367339,6.409971,6.437378", \ - "5.506978,5.950266,6.229221,6.546994,6.790310,6.852957,6.881875", \ - "6.031517,6.420749,6.712159,7.022374,7.284960,7.514198,7.544824"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("3.602810,3.955475,4.051996,4.076207,4.153286,4.060708,4.124723", \ - "3.540589,3.904258,3.968539,4.073812,4.120134,4.133080,4.027064", \ - "3.454528,3.799503,3.904061,3.940649,3.925564,3.971710,4.046612", \ - "3.499471,3.812609,3.878418,3.978015,4.013988,4.024359,3.972349", \ - "3.754527,4.068172,4.100262,4.028437,4.150541,4.226622,4.172847", \ - "4.121875,4.537074,4.583569,4.608095,4.569567,4.507210,4.610088", \ - "4.679555,5.153722,5.235005,5.280664,5.235544,5.260294,5.200329"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATE_X4 - Cell Description : Pos.edge clock gating cell with drive strength X4 - *******************************************************************************************/ - - cell (CLKGATE_X4) { - - drive_strength : 4; - - statetable ("CK E","IQ") { - table : "L L : - : L ,\ - L H : - : H ,\ - H - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 73.077841; - - leakage_power () { - when : "!CK & !E & !GCK"; - value : 53.110985; - } - leakage_power () { - when : "!CK & E & !GCK"; - value : 88.054331; - } - leakage_power () { - when : "CK & !E & !GCK"; - value : 60.861142; - } - leakage_power () { - when : "CK & !E & GCK"; - value : 83.748770; - } - leakage_power () { - when : "CK & E & !GCK"; - value : 67.898856; - } - leakage_power () { - when : "CK & E & GCK"; - value : 84.792962; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 4.115104; - fall_capacitance : 3.739809; - rise_capacitance : 4.115104; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.221199,0.257695,0.504109"); - } - } - - internal_power () { - - when : "!E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.033816,4.006819,3.984751,4.017826,4.114512,4.282265,4.518279"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.217587,0.198024,0.160019,0.161964,0.226004,0.380093,0.604002"); - } - - } - - internal_power () { - - when : "E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("8.233803,8.199959,8.176656,8.202137,8.299370,8.478338,8.749664"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.862270; - fall_capacitance : 0.806528; - rise_capacitance : 0.862270; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.075144,-0.047347,-0.040857", \ - "-0.059398,-0.036159,-0.082510", \ - "0.200595,0.231458,0.152971"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.001453,0.028888,0.040331", \ - "0.052356,0.088931,0.103996", \ - "0.226704,0.264640,0.280370"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.180607,0.148332,0.132373", \ - "0.238106,0.205249,0.190449", \ - "0.397136,0.365639,0.350554"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.167784,0.137808,0.220585", \ - "0.215633,0.185901,0.266929", \ - "0.300223,0.269363,0.347868"); - } - } - - internal_power () { - - when : "!CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.798791,4.788678,4.771468,4.757971,4.770680,4.827046,4.941683"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.165021,4.148722,4.126817,4.103461,4.108328,4.152021,4.254494"); - } - - } - - internal_power () { - - when : "CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.413412,0.399439,0.391676,0.387741,0.385603,0.384703,0.383800"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.345896,-0.347767,-0.350088,-0.351657,-0.352553,-0.353224,-0.353648"); - } - - } - - internal_power () { - - when : "CK & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357457,0.360477,0.361404,0.360826,0.360808,0.361192,0.361059"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.252275,-0.274106,-0.303438,-0.317681,-0.325193,-0.329695,-0.333004"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - state_function : "(CK & IQ)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "E"; - sdf_cond : "(E == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.070581,0.086829,0.099722,0.121882,0.161990,0.238958,0.391692", \ - "0.074934,0.091181,0.104075,0.126244,0.166360,0.243330,0.396067", \ - "0.093014,0.109168,0.122068,0.144267,0.184421,0.261408,0.414159", \ - "0.128961,0.145381,0.158336,0.180640,0.220861,0.297884,0.450657", \ - "0.171704,0.190469,0.204584,0.228010,0.268978,0.346027,0.498637", \ - "0.217391,0.239129,0.254777,0.279751,0.321949,0.400054,0.552563", \ - "0.266143,0.291061,0.308766,0.335759,0.379713,0.458646,0.611968"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.048690,0.067490,0.084551,0.117115,0.181299,0.309221,0.564909", \ - "0.053090,0.071867,0.088933,0.121513,0.185695,0.313631,0.569308", \ - "0.069519,0.088115,0.105132,0.137733,0.202007,0.330003,0.585810", \ - "0.092091,0.111517,0.128724,0.161388,0.225587,0.353608,0.609300", \ - "0.111561,0.133313,0.150836,0.183504,0.247833,0.375783,0.631441", \ - "0.125397,0.151000,0.169596,0.202621,0.266636,0.394569,0.650375", \ - "0.132836,0.162867,0.183631,0.217904,0.281610,0.409206,0.665125"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012630,0.020770,0.028710,0.044486,0.076834,0.143911,0.280520", \ - "0.012630,0.020773,0.028715,0.044490,0.076827,0.143916,0.280525", \ - "0.012652,0.020822,0.028749,0.044510,0.076839,0.143910,0.280524", \ - "0.014041,0.021569,0.029233,0.044771,0.076950,0.143934,0.280522", \ - "0.018422,0.025618,0.032735,0.047403,0.078269,0.144190,0.280524", \ - "0.023805,0.030824,0.037472,0.051223,0.081114,0.145878,0.280772", \ - "0.029856,0.037199,0.043535,0.056410,0.084731,0.147914,0.282113"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012897,0.026106,0.040576,0.070587,0.131684,0.254210,0.499471", \ - "0.012894,0.026107,0.040584,0.070559,0.131661,0.254218,0.499386", \ - "0.013034,0.026184,0.040606,0.070582,0.131695,0.254193,0.499490", \ - "0.015632,0.027548,0.041506,0.070868,0.131715,0.254205,0.499502", \ - "0.020354,0.030406,0.043082,0.071753,0.132193,0.254323,0.499376", \ - "0.026163,0.035665,0.046534,0.073056,0.132728,0.254814,0.499520", \ - "0.032630,0.042760,0.052470,0.076001,0.133551,0.255445,0.499941"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E"; - sdf_cond : "(E == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.070581,0.086822,0.099709,0.121846,0.161949,0.239484,0.394520", \ - "0.074943,0.091176,0.104070,0.126222,0.166322,0.243871,0.398899", \ - "0.093010,0.109162,0.122045,0.144232,0.184375,0.261932,0.416989", \ - "0.128933,0.145383,0.158371,0.180617,0.220818,0.298411,0.453489", \ - "0.171714,0.190448,0.204607,0.227971,0.268925,0.346606,0.501442", \ - "0.217479,0.239111,0.254783,0.279704,0.321854,0.400896,0.555287", \ - "0.266453,0.291151,0.308755,0.335755,0.379567,0.459778,0.614311"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012631,0.020762,0.028691,0.044454,0.076878,0.146547,0.281635", \ - "0.012626,0.020766,0.028693,0.044455,0.076867,0.146548,0.281620", \ - "0.012651,0.020810,0.028725,0.044473,0.076869,0.146543,0.281613", \ - "0.014044,0.021557,0.029211,0.044731,0.076978,0.146562,0.281610", \ - "0.018413,0.025599,0.032701,0.047373,0.078327,0.146852,0.281479", \ - "0.023787,0.030796,0.037413,0.051169,0.081229,0.148604,0.281313", \ - "0.029794,0.037153,0.043451,0.056322,0.084975,0.150791,0.281897"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.525641,9.592118,10.093080,10.662260,12.402770,14.129300,14.250990", \ - "8.395920,9.432953,9.950788,10.525160,12.239270,13.975850,14.099280", \ - "8.221520,9.230944,9.743132,10.328250,12.081030,13.812280,13.951260", \ - "8.343689,9.310722,9.801831,10.396240,12.173760,13.930980,14.074910", \ - "8.417321,9.493515,10.108420,10.804360,12.685280,14.345570,14.502110", \ - "8.902251,9.865704,10.484730,11.345500,13.661700,15.081110,15.240550", \ - "9.811529,10.678380,11.312850,12.240330,14.750740,16.214860,16.371180"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.482909,9.342381,9.664168,9.921173,10.045210,10.083200,10.107640", \ - "8.295097,9.183854,9.518856,9.766824,9.888284,9.932425,9.957428", \ - "8.182158,8.987325,9.336374,9.585929,9.713393,9.774048,9.803500", \ - "8.233186,9.058716,9.393759,9.664896,9.811276,9.888726,9.925128", \ - "8.309365,9.167214,9.680592,10.020610,10.200560,10.289420,10.345700", \ - "8.763240,9.504916,9.997523,10.487110,10.894280,11.020290,11.072350", \ - "9.682259,10.312280,10.743260,11.242480,11.690350,12.095320,12.160640"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("5.871166,6.501867,6.662120,6.775430,6.732048,6.860197,6.809375", \ - "5.780283,6.408701,6.509604,6.575455,6.628896,6.671713,6.637381", \ - "5.682415,6.262545,6.403333,6.343208,6.595451,6.470951,6.444242", \ - "5.734861,6.266085,6.358322,6.443787,6.442374,6.604059,6.619175", \ - "6.137806,6.696940,6.762215,6.782195,6.779148,6.969082,6.956295", \ - "6.688118,7.444886,7.488595,7.480559,7.518494,7.426740,7.438889", \ - "7.526285,8.392342,8.603222,8.700630,8.639441,8.474750,8.440075"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATE_X8 - Cell Description : Pos.edge clock gating cell with drive strength X8 - *******************************************************************************************/ - - cell (CLKGATE_X8) { - - drive_strength : 8; - - statetable ("CK E","IQ") { - table : "L L : - : L ,\ - L H : - : H ,\ - H - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge; - - area : 6.916000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 131.516008; - - leakage_power () { - when : "!CK & !E & !GCK"; - value : 92.682760; - } - leakage_power () { - when : "!CK & E & !GCK"; - value : 160.600644; - } - leakage_power () { - when : "CK & !E & !GCK"; - value : 108.833757; - } - leakage_power () { - when : "CK & !E & GCK"; - value : 153.730045; - } - leakage_power () { - when : "CK & E & !GCK"; - value : 118.169103; - } - leakage_power () { - when : "CK & E & GCK"; - value : 155.079738; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 7.464502; - fall_capacitance : 6.819962; - rise_capacitance : 7.464502; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.244401,0.273522,0.533635"); - } - } - - internal_power () { - - when : "!E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.232406,6.214478,6.194076,6.218680,6.304886,6.470372,6.694571"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.753815,-0.766672,-0.818560,-0.819692,-0.772100,-0.628440,-0.403121"); - } - - } - - internal_power () { - - when : "E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("12.734460,12.703850,12.679620,12.697890,12.784590,12.968690,13.240230"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 1.138843; - fall_capacitance : 1.064968; - rise_capacitance : 1.138843; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.067215,-0.041728,-0.044144", \ - "-0.040415,-0.023203,-0.074458", \ - "0.192994,0.219264,0.140214"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.000592,0.031572,0.045031", \ - "0.053212,0.089111,0.102790", \ - "0.253919,0.286555,0.306899"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.203506,0.171856,0.157020", \ - "0.260886,0.228965,0.215289", \ - "0.425323,0.395163,0.380767"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.162288,0.136570,0.221233", \ - "0.211323,0.185901,0.268890", \ - "0.307824,0.281558,0.360625"); - } - } - - internal_power () { - - when : "!CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("8.330901,8.316577,8.295117,8.282955,8.301581,8.406076,8.622679"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.392332,6.371212,6.347100,6.321037,6.335441,6.407466,6.567164"); - } - - } - - internal_power () { - - when : "CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.558972,0.537828,0.526887,0.521974,0.517975,0.517272,0.515884"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.465977,-0.467327,-0.469650,-0.472265,-0.473556,-0.474438,-0.475054"); - } - - } - - internal_power () { - - when : "CK & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.481871,0.485047,0.485752,0.484981,0.484929,0.486457,0.484522"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.337747,-0.368213,-0.406094,-0.426082,-0.436425,-0.442532,-0.446921"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 484.125200; - state_function : "(CK & IQ)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "E"; - sdf_cond : "(E == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.070245,0.087184,0.100147,0.122361,0.162554,0.239660,0.392639", \ - "0.074627,0.091564,0.104536,0.126753,0.166957,0.244071,0.397040", \ - "0.092727,0.109571,0.122544,0.144803,0.185044,0.262175,0.415161", \ - "0.128633,0.145744,0.158794,0.181147,0.221455,0.298629,0.451647", \ - "0.171212,0.190820,0.205075,0.228571,0.269629,0.346762,0.499601", \ - "0.216954,0.239486,0.255263,0.280319,0.322628,0.400825,0.553638", \ - "0.265500,0.291728,0.309279,0.336413,0.380382,0.459422,0.612788"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.048277,0.067841,0.084993,0.117648,0.181928,0.310045,0.566042", \ - "0.052680,0.072232,0.089394,0.122065,0.186364,0.314483,0.570533", \ - "0.069097,0.088458,0.105582,0.138270,0.202640,0.330831,0.586863", \ - "0.091567,0.111800,0.129083,0.161879,0.226178,0.354387,0.610508", \ - "0.110889,0.133524,0.151113,0.183980,0.248517,0.376683,0.632784", \ - "0.124429,0.151228,0.169884,0.202993,0.267358,0.395736,0.651725", \ - "0.131757,0.162718,0.184024,0.218305,0.282435,0.410440,0.666691"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.012398,0.020836,0.028779,0.044577,0.076985,0.144152,0.280957", \ - "0.012406,0.020838,0.028788,0.044578,0.076992,0.144154,0.280951", \ - "0.012418,0.020887,0.028817,0.044593,0.076976,0.144146,0.280935", \ - "0.013821,0.021623,0.029306,0.044849,0.077074,0.144177,0.280973", \ - "0.018187,0.025666,0.032814,0.047490,0.078416,0.144419,0.280927", \ - "0.023529,0.030856,0.037564,0.051297,0.081258,0.146107,0.281193", \ - "0.029565,0.037198,0.043602,0.056466,0.084853,0.148177,0.282553"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.012565,0.026159,0.040647,0.070639,0.131816,0.254498,0.500099", \ - "0.012571,0.026161,0.040646,0.070665,0.131818,0.254570,0.500081", \ - "0.012714,0.026238,0.040674,0.070663,0.131825,0.254563,0.500094", \ - "0.015334,0.027591,0.041562,0.070957,0.131825,0.254531,0.500076", \ - "0.020043,0.030422,0.043140,0.071833,0.132362,0.254633,0.500138", \ - "0.025831,0.035648,0.046581,0.073149,0.132873,0.255136,0.500146", \ - "0.032229,0.042802,0.052471,0.076097,0.133670,0.255696,0.500499"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E"; - sdf_cond : "(E == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.070242,0.087180,0.100135,0.122336,0.162512,0.239755,0.395693", \ - "0.074631,0.091567,0.104530,0.126738,0.166924,0.244163,0.400100", \ - "0.092727,0.109562,0.122527,0.144771,0.185005,0.262273,0.418223", \ - "0.128618,0.145752,0.158806,0.181133,0.221421,0.298714,0.454695", \ - "0.171277,0.190796,0.205097,0.228522,0.269559,0.346837,0.502656", \ - "0.217042,0.239502,0.255282,0.280245,0.322488,0.400843,0.556611", \ - "0.265775,0.291571,0.309270,0.336342,0.380197,0.459547,0.615666"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.012399,0.020833,0.028765,0.044552,0.076965,0.145741,0.283058", \ - "0.012396,0.020834,0.028767,0.044546,0.076958,0.145746,0.283057", \ - "0.012423,0.020881,0.028801,0.044559,0.076955,0.145735,0.283052", \ - "0.013819,0.021619,0.029292,0.044821,0.077072,0.145735,0.283075", \ - "0.018170,0.025665,0.032781,0.047449,0.078388,0.145993,0.283070", \ - "0.023519,0.030847,0.037499,0.051247,0.081226,0.147835,0.283212", \ - "0.029531,0.037200,0.043526,0.056398,0.084814,0.150176,0.284368"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("15.002410,17.039160,17.934270,18.807400,20.772980,25.353160,25.789730", \ - "14.787950,16.778350,17.690540,18.517150,20.516540,25.100980,25.540180", \ - "14.527530,16.446840,17.331410,18.190780,20.211140,24.836060,25.268910", \ - "14.746010,16.562450,17.404410,18.298460,20.375740,25.005570,25.481040", \ - "14.804040,16.763460,17.884800,18.972300,21.081230,25.707090,26.215590", \ - "15.710570,17.395490,18.479950,19.741170,22.370550,27.032350,27.508610", \ - "17.352820,18.851510,19.824410,21.194380,23.955480,29.019900,29.488550"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("14.973250,16.860360,17.522230,18.050860,18.306620,18.387980,18.434150", \ - "14.797490,16.589130,17.283320,17.791780,18.055450,18.145560,18.181870", \ - "14.556380,16.241980,16.900960,17.439890,17.732460,17.865200,17.913610", \ - "14.694680,16.348670,16.995830,17.526560,17.864560,18.044180,18.126300", \ - "14.718870,16.586770,17.492600,18.165320,18.559970,18.737460,18.845130", \ - "15.611240,17.134970,18.026910,18.960060,19.783000,20.031420,20.141940", \ - "17.338930,18.526760,19.342790,20.310960,21.145740,21.930090,22.050090"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("10.488400,11.681680,12.000150,11.979500,12.374050,12.403380,12.251640", \ - "10.292880,11.528360,11.721890,12.146540,12.065180,12.121620,12.295940", \ - "10.029790,11.253280,11.569960,11.727640,11.627770,11.764440,11.952610", \ - "10.195180,11.238440,11.546780,11.388520,11.850100,12.023230,11.697000", \ - "10.807420,12.023070,12.149590,12.121160,12.379630,12.410460,12.390230", \ - "11.670440,13.299010,13.510130,13.487460,13.226150,13.403650,13.714710", \ - "13.224680,14.994700,15.477290,15.651610,15.449490,15.232450,15.439660"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFRS_X1 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active low set, and drive strength X1 - *******************************************************************************************/ - - cell (DFFRS_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - preset : "!SN"; - clear : "!RN"; - clear_preset_var1 : L; - clear_preset_var2 : L; - } - - area : 6.384000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 62.612403; - - leakage_power () { - when : "!CK & !D & !RN & !SN & !Q & !QN"; - value : 64.366300; - } - leakage_power () { - when : "!CK & !D & !RN & SN & !Q & QN"; - value : 61.655199; - } - leakage_power () { - when : "!CK & !D & RN & !SN & Q & !QN"; - value : 68.516736; - } - leakage_power () { - when : "!CK & !D & RN & SN & !Q & QN"; - value : 65.107765; - } - leakage_power () { - when : "!CK & !D & RN & SN & Q & !QN"; - value : 74.693398; - } - leakage_power () { - when : "!CK & D & !RN & !SN & !Q & !QN"; - value : 53.856839; - } - leakage_power () { - when : "!CK & D & !RN & SN & !Q & QN"; - value : 59.766172; - } - leakage_power () { - when : "!CK & D & RN & !SN & Q & !QN"; - value : 55.186364; - } - leakage_power () { - when : "!CK & D & RN & SN & !Q & QN"; - value : 62.927857; - } - leakage_power () { - when : "!CK & D & RN & SN & Q & !QN"; - value : 66.526505; - } - leakage_power () { - when : "CK & !D & !RN & !SN & !Q & !QN"; - value : 52.358081; - } - leakage_power () { - when : "CK & !D & !RN & SN & !Q & QN"; - value : 60.609183; - } - leakage_power () { - when : "CK & !D & RN & !SN & Q & !QN"; - value : 53.065090; - } - leakage_power () { - when : "CK & !D & RN & SN & !Q & QN"; - value : 64.914174; - } - leakage_power () { - when : "CK & !D & RN & SN & Q & !QN"; - value : 63.555845; - } - leakage_power () { - when : "CK & D & !RN & !SN & !Q & !QN"; - value : 61.653622; - } - leakage_power () { - when : "CK & D & !RN & SN & !Q & QN"; - value : 69.926289; - } - leakage_power () { - when : "CK & D & RN & !SN & Q & !QN"; - value : 54.422678; - } - leakage_power () { - when : "CK & D & RN & SN & !Q & QN"; - value : 74.228240; - } - leakage_power () { - when : "CK & D & RN & SN & Q & !QN"; - value : 64.911723; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.114706; - fall_capacitance : 1.035804; - rise_capacitance : 1.114706; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.004620,0.044927,0.085571", \ - "0.026814,0.052438,0.067645", \ - "0.293106,0.330246,0.325806"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.012120,0.061787,0.115430", \ - "0.083385,0.132396,0.184672", \ - "0.235779,0.281721,0.324664"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.143431,0.088842,0.027775", \ - "0.200154,0.145724,0.086953", \ - "0.366723,0.311184,0.250132"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.068964,0.045262,0.068000", \ - "0.118421,0.091285,0.108438", \ - "0.207885,0.170506,0.174976"); - } - } - - internal_power () { - - when : "!CK & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.744015,2.727386,2.703939,2.692209,2.700608,2.760490,2.869396"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.485802,1.462567,1.437588,1.418332,1.419233,1.462236,1.565760"); - } - - } - - internal_power () { - - when : "!CK & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.448849,3.430665,3.407833,3.395054,3.400285,3.447369,3.563942"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.850128,2.827581,2.803354,2.778742,2.783916,2.830726,2.956150"); - } - - } - - internal_power () { - - when : "!CK & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.743824,2.727202,2.704958,2.691942,2.700270,2.759384,2.872247"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.431815,1.409209,1.385901,1.368763,1.367537,1.407095,1.512232"); - } - - } - - internal_power () { - - when : "!CK & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.458056,3.441247,3.418320,3.403669,3.411868,3.458974,3.574261"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.917387,2.894864,2.864625,2.846424,2.850058,2.895688,3.018274"); - } - - } - - internal_power () { - - when : "!CK & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.422518,3.407464,3.383308,3.369722,3.376445,3.425841,3.540523"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.899134,2.876399,2.851614,2.828457,2.832504,2.877684,2.994150"); - } - - } - - internal_power () { - - when : "CK & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.556544,0.535303,0.525462,0.520880,0.517312,0.516393,0.515372"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.459319,-0.465825,-0.467598,-0.472476,-0.473414,-0.474313,-0.475215"); - } - - } - - internal_power () { - - when : "CK & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.556911,0.534837,0.525267,0.520194,0.516848,0.515963,0.515041"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.461301,-0.466385,-0.467564,-0.472452,-0.473405,-0.474275,-0.475163"); - } - - } - - internal_power () { - - when : "CK & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480757,0.484218,0.485012,0.485626,0.485239,0.485773,0.484711"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.334250,-0.366624,-0.404744,-0.427325,-0.436820,-0.443359,-0.447843"); - } - - } - - internal_power () { - - when : "CK & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.555996,0.535435,0.525592,0.521045,0.517427,0.516552,0.515497"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.462931,-0.466659,-0.468095,-0.472984,-0.473797,-0.474774,-0.475317"); - } - - } - - internal_power () { - - when : "CK & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480496,0.483970,0.484724,0.485360,0.484861,0.485428,0.484351"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.334338,-0.364796,-0.404390,-0.427022,-0.437236,-0.442948,-0.447050"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.387377; - fall_capacitance : 1.373499; - rise_capacitance : 1.387377; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.172524,-0.228712,-0.293283", \ - "-0.110569,-0.167869,-0.234067", \ - "0.175870,0.098702,0.012017"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.573451,0.609475,0.664983", \ - "0.582963,0.619077,0.674989", \ - "0.757595,0.794314,0.849418"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.649815,0.720712,1.081173"); - } - } - - internal_power () { - - when : "!CK & !D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.500758,0.503521,0.506000,0.507444,0.507910,0.508814,0.507863"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.352685,-0.352341,-0.358608,-0.359824,-0.357493,-0.358375,-0.357171"); - } - - } - - internal_power () { - - when : "!CK & D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.498802,0.501113,0.502497,0.505106,0.506395,0.507280,0.507589"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.323683,-0.327581,-0.334258,-0.339665,-0.342192,-0.342294,-0.341842"); - } - - } - - internal_power () { - - when : "CK & !D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.520316,0.516000,0.513693,0.514388,0.514685,0.515132,0.515074"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.353484,-0.355184,-0.361015,-0.362569,-0.362085,-0.360469,-0.360354"); - } - - } - - internal_power () { - - when : "CK & D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.520246,0.515763,0.512649,0.514320,0.514675,0.515023,0.514797"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.355367,-0.357019,-0.362862,-0.364414,-0.363990,-0.362287,-0.362405"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.201119; - fall_capacitance : 2.043973; - rise_capacitance : 2.201119; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.206723,-0.255644,-0.314042", \ - "-0.193223,-0.243489,-0.301421", \ - "-0.105012,-0.171371,-0.249607"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.576810,0.627120,0.686714", \ - "0.714837,0.764982,0.825139", \ - "1.199677,1.249693,1.309491"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.507248,0.584786,0.983738"); - } - } - - internal_power () { - - when : "!CK & !D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.028200,1.971361,1.929182,1.961683,2.051469,2.196940,2.410401"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.033739,0.017686,-0.006566,0.000025,0.059396,0.187621,0.394169"); - } - - } - - internal_power () { - - when : "!CK & D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.944227,0.922719,0.913227,0.910087,0.907112,0.905029,0.903046"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.665528,-0.666762,-0.670775,-0.678584,-0.690605,-0.707496,-0.720371"); - } - - } - - internal_power () { - - when : "CK & !D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.922456,0.908746,0.903152,0.901253,0.898512,0.897584,0.895037"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.643825,-0.645029,-0.648965,-0.656400,-0.668243,-0.684742,-0.706304"); - } - - } - - internal_power () { - - when : "CK & D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.921771,0.908381,0.902993,0.901117,0.898465,0.897483,0.894942"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.619305,-0.620369,-0.624070,-0.631190,-0.642348,-0.658188,-0.678718"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.920926; - fall_capacitance : 0.827341; - rise_capacitance : 0.920926; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.218757,0.241247,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.132973,0.148768,0.500500"); - } - } - - internal_power () { - - when : "!D & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.220748,4.195152,4.168487,4.185078,4.275523,4.430484,4.652160"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.596314,3.583567,3.547380,3.546719,3.606448,3.750806,3.971470"); - } - - } - - internal_power () { - - when : "!D & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.959696,2.933998,2.907653,2.929238,3.012093,3.169745,3.387460"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.792990,2.777280,2.742831,2.734862,2.786596,2.911775,3.125736"); - } - - } - - internal_power () { - - when : "!D & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.717776,4.692603,4.668394,4.695351,4.793943,4.959234,5.203770"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("11.628520,11.612890,11.579980,11.583840,11.645330,11.781690,12.010400"); - } - - } - - internal_power () { - - when : "!D & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.959441,2.933675,2.907263,2.928268,3.012634,3.169548,3.391099"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.799085,2.790656,2.753109,2.745801,2.798058,2.925566,3.137926"); - } - - } - - internal_power () { - - when : "!D & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.651989,5.626618,5.601093,5.626085,5.716891,5.872749,6.092344"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.363816,5.340168,5.310716,5.330256,5.417369,5.574318,5.802279"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.838843,5.824933,5.764775,5.740239,5.781740,5.907870,6.118120"); - } - - } - - internal_power () { - - when : "D & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.447259,5.422845,5.393895,5.412683,5.490699,5.647286,5.877094"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("7.938112,7.922617,7.856372,7.817751,7.858340,7.977039,8.183612"); - } - - } - - internal_power () { - - when : "D & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.787095,2.762836,2.736839,2.756134,2.838328,2.994598,3.215102"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.915121,2.901545,2.865812,2.856907,2.922462,3.038195,3.242617"); - } - - } - - internal_power () { - - when : "D & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.553530,5.523949,5.496433,5.517725,5.599951,5.755140,5.987927"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.791142,2.766887,2.741475,2.760008,2.844014,2.997234,3.220783"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.932860,2.919368,2.886149,2.877175,2.944312,3.058652,3.264571"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.603090; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.262037,0.274930,0.286277,0.303688,0.330719,0.374653,0.450191", \ - "0.267223,0.280119,0.291517,0.308870,0.335901,0.379847,0.455355", \ - "0.284871,0.297766,0.309125,0.326507,0.353560,0.397499,0.472995", \ - "0.308875,0.321771,0.333160,0.350528,0.377535,0.421563,0.497023", \ - "0.332568,0.345432,0.356734,0.374072,0.401057,0.445142,0.520638", \ - "0.353565,0.366589,0.377994,0.395653,0.422148,0.466153,0.542293", \ - "0.371643,0.384598,0.395933,0.413201,0.440211,0.484215,0.559869"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.243911,0.262054,0.280886,0.315215,0.380531,0.508810,0.764386", \ - "0.249184,0.267321,0.286177,0.320516,0.385806,0.514090,0.769670", \ - "0.266944,0.285085,0.303931,0.338266,0.403603,0.531901,0.787496", \ - "0.290331,0.308481,0.327309,0.361625,0.426982,0.555331,0.810953", \ - "0.313050,0.331224,0.349834,0.384354,0.449601,0.578013,0.833684", \ - "0.332913,0.351079,0.369873,0.404316,0.469576,0.597974,0.854381", \ - "0.348372,0.366554,0.385685,0.420409,0.485306,0.613749,0.870063"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0183566,0.0229650,0.0276467,0.0359733,0.0513370,0.0799221,0.137319", \ - "0.0183570,0.0229666,0.0276416,0.0359787,0.0513376,0.0799310,0.137314", \ - "0.0183574,0.0229720,0.0276406,0.0359704,0.0513380,0.0799311,0.137294", \ - "0.0183573,0.0229628,0.0276408,0.0359795,0.0513351,0.0799274,0.137291", \ - "0.0183584,0.0229726,0.0276418,0.0359721,0.0513389,0.0799317,0.137316", \ - "0.0183634,0.0229743,0.0276449,0.0359671,0.0513390,0.0799191,0.137299", \ - "0.0183624,0.0229731,0.0276456,0.0359733,0.0513377,0.0799159,0.137263"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0199529,0.0311841,0.0450884,0.0738181,0.133340,0.254819,0.499631", \ - "0.0199478,0.0311843,0.0450856,0.0738185,0.133342,0.254820,0.499631", \ - "0.0199552,0.0311889,0.0450854,0.0738211,0.133342,0.254820,0.499627", \ - "0.0199656,0.0311978,0.0450878,0.0738240,0.133341,0.254819,0.499626", \ - "0.0199716,0.0312067,0.0450923,0.0738275,0.133341,0.254819,0.499627", \ - "0.0199897,0.0312148,0.0451020,0.0738308,0.133343,0.254819,0.499624", \ - "0.0199938,0.0312244,0.0451100,0.0738366,0.133347,0.254820,0.499629"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.095215,0.106939,0.117326,0.133234,0.158131,0.199322,0.272843", \ - "0.099887,0.111613,0.122005,0.137917,0.162812,0.204000,0.277518", \ - "0.117619,0.129316,0.139693,0.155602,0.180506,0.221715,0.295287", \ - "0.154361,0.166044,0.176338,0.192263,0.217202,0.258469,0.332094", \ - "0.205928,0.219158,0.230414,0.247258,0.272874,0.314472,0.388197", \ - "0.260981,0.276611,0.289806,0.308827,0.336933,0.380861,0.455825", \ - "0.319013,0.336991,0.352388,0.374253,0.405304,0.451877,0.528955"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.074603,0.091958,0.110279,0.143988,0.208834,0.337223,0.593206", \ - "0.079084,0.096443,0.114758,0.148462,0.213314,0.341705,0.597679", \ - "0.095807,0.113128,0.131369,0.164989,0.229793,0.358220,0.614348", \ - "0.126114,0.143638,0.161815,0.195249,0.259858,0.388230,0.644347", \ - "0.157489,0.176544,0.195394,0.229182,0.293802,0.421774,0.677745", \ - "0.184694,0.206332,0.226373,0.260987,0.325489,0.453490,0.709246", \ - "0.206064,0.230744,0.253996,0.289901,0.354599,0.482067,0.737736"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.016420,0.020596,0.024935,0.032726,0.047226,0.075731,0.134808", \ - "0.016419,0.020598,0.024934,0.032723,0.047218,0.075738,0.134813", \ - "0.016423,0.020616,0.024954,0.032740,0.047225,0.075740,0.134797", \ - "0.016609,0.020800,0.025117,0.032864,0.047290,0.075760,0.134805", \ - "0.020990,0.024585,0.028339,0.035234,0.048715,0.076503,0.135065", \ - "0.027163,0.030908,0.034531,0.041132,0.053913,0.080264,0.136741", \ - "0.033908,0.038152,0.041892,0.048233,0.060359,0.085520,0.140399"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.018248,0.029404,0.043298,0.072208,0.132459,0.254927,0.500085", \ - "0.018245,0.029406,0.043297,0.072207,0.132458,0.254868,0.500069", \ - "0.018246,0.029413,0.043309,0.072216,0.132460,0.254856,0.500140", \ - "0.019525,0.030189,0.043755,0.072378,0.132470,0.254900,0.500087", \ - "0.023536,0.033302,0.046018,0.073656,0.132866,0.254935,0.500092", \ - "0.029071,0.038453,0.049908,0.075673,0.133751,0.255201,0.500106", \ - "0.035369,0.045333,0.055905,0.079357,0.134914,0.255886,0.500248"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.096742,0.109135,0.120208,0.137305,0.164187,0.208494,0.284155", \ - "0.101433,0.113834,0.124907,0.142005,0.168884,0.213213,0.288867", \ - "0.119157,0.131535,0.142598,0.159700,0.186594,0.230937,0.306611", \ - "0.155925,0.168215,0.179273,0.196356,0.223311,0.267725,0.343387", \ - "0.207976,0.221828,0.233929,0.251968,0.279469,0.324091,0.399795", \ - "0.263702,0.280302,0.294396,0.315137,0.345586,0.392632,0.468862", \ - "0.322211,0.341498,0.358081,0.382174,0.416216,0.466678,0.544513"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.017271,0.021894,0.026720,0.035314,0.051229,0.080356,0.136486", \ - "0.017269,0.021893,0.026716,0.035317,0.051228,0.080350,0.136486", \ - "0.017277,0.021914,0.026741,0.035335,0.051228,0.080347,0.136483", \ - "0.017452,0.022088,0.026890,0.035448,0.051283,0.080350,0.136458", \ - "0.021974,0.026016,0.030203,0.037797,0.052592,0.080883,0.136527", \ - "0.028514,0.032887,0.037104,0.044511,0.058542,0.084643,0.137386", \ - "0.035832,0.040856,0.045357,0.052723,0.066224,0.090495,0.139787"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.095215,0.106939,0.117326,0.133234,0.158131,0.199321,0.272842", \ - "0.099888,0.111613,0.122005,0.137917,0.162812,0.204000,0.277517", \ - "0.117623,0.129317,0.139693,0.155603,0.180505,0.221715,0.295286", \ - "0.154402,0.166079,0.176395,0.192248,0.217202,0.258469,0.332094", \ - "0.206005,0.219193,0.230502,0.247312,0.272926,0.314521,0.388225", \ - "0.261105,0.276586,0.289863,0.309020,0.337118,0.380936,0.455929", \ - "0.318636,0.336729,0.351661,0.373938,0.404964,0.451547,0.528676"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.074587,0.091938,0.110257,0.143967,0.208809,0.337191,0.593178", \ - "0.079066,0.096424,0.114738,0.148441,0.213292,0.341682,0.597707", \ - "0.095795,0.113114,0.131351,0.164970,0.229776,0.358195,0.614256", \ - "0.126076,0.143605,0.161838,0.195214,0.259837,0.388201,0.644321", \ - "0.157423,0.176492,0.195377,0.229163,0.293752,0.421791,0.677781", \ - "0.184677,0.206313,0.226541,0.260995,0.325465,0.453489,0.709226", \ - "0.205763,0.230439,0.253525,0.289708,0.354413,0.481874,0.737543"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.016420,0.020597,0.024935,0.032726,0.047226,0.075731,0.134808", \ - "0.016418,0.020598,0.024934,0.032723,0.047218,0.075738,0.134813", \ - "0.016424,0.020616,0.024954,0.032745,0.047225,0.075740,0.134797", \ - "0.016609,0.020796,0.025119,0.032864,0.047290,0.075760,0.134805", \ - "0.020982,0.024579,0.028327,0.035230,0.048705,0.076502,0.135066", \ - "0.027136,0.030919,0.034527,0.041106,0.053893,0.080258,0.136787", \ - "0.033934,0.038188,0.041973,0.048283,0.060389,0.085545,0.140414"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.018251,0.029401,0.043296,0.072206,0.132456,0.254844,0.500078", \ - "0.018242,0.029403,0.043294,0.072205,0.132452,0.254847,0.500030", \ - "0.018243,0.029407,0.043305,0.072215,0.132459,0.254850,0.500072", \ - "0.019523,0.030186,0.043753,0.072375,0.132485,0.254870,0.500085", \ - "0.023541,0.033318,0.046015,0.073654,0.132853,0.254912,0.500081", \ - "0.029069,0.038451,0.049888,0.075675,0.133747,0.255208,0.500103", \ - "0.035400,0.045370,0.055963,0.079355,0.134922,0.255851,0.500248"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.096742,0.109139,0.120213,0.137307,0.164188,0.208498,0.284151", \ - "0.101431,0.113835,0.124919,0.142009,0.168891,0.213222,0.288870", \ - "0.119171,0.131536,0.142613,0.159704,0.186600,0.230946,0.306615", \ - "0.156013,0.168313,0.179272,0.196411,0.223366,0.267754,0.343418", \ - "0.208024,0.222037,0.234078,0.252081,0.279738,0.324348,0.399995", \ - "0.264042,0.280339,0.294482,0.315330,0.345956,0.392788,0.469028", \ - "0.322228,0.341532,0.358112,0.382110,0.416168,0.466614,0.544432"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.017271,0.021892,0.026716,0.035314,0.051229,0.080355,0.136478", \ - "0.017272,0.021893,0.026719,0.035312,0.051222,0.080354,0.136514", \ - "0.017275,0.021914,0.026739,0.035339,0.051223,0.080354,0.136512", \ - "0.017449,0.022090,0.026892,0.035452,0.051283,0.080352,0.136462", \ - "0.021968,0.025991,0.030187,0.037792,0.052576,0.080880,0.136530", \ - "0.028477,0.032884,0.037095,0.044493,0.058499,0.084648,0.137389", \ - "0.035797,0.040851,0.045363,0.052743,0.066232,0.090507,0.139802"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.095444,0.107197,0.117610,0.133549,0.158483,0.199748,0.273325", \ - "0.100119,0.111873,0.122284,0.138233,0.163185,0.204427,0.278007", \ - "0.117853,0.129576,0.139975,0.155917,0.180867,0.222125,0.295717", \ - "0.154577,0.166232,0.176674,0.192589,0.217595,0.258900,0.332557", \ - "0.206085,0.219239,0.230701,0.247536,0.273172,0.314815,0.388561", \ - "0.261046,0.276718,0.290253,0.309312,0.337430,0.381297,0.456302", \ - "0.318615,0.336756,0.352366,0.374152,0.405262,0.451925,0.529109"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.451438,0.469307,0.487799,0.521521,0.586316,0.714728,0.970784", \ - "0.456359,0.474246,0.492802,0.526501,0.591246,0.719667,0.975752", \ - "0.469515,0.487395,0.505946,0.539649,0.604432,0.732841,0.988968", \ - "0.491986,0.509885,0.528318,0.562024,0.626879,0.755306,1.011379", \ - "0.525341,0.543165,0.561705,0.595711,0.660406,0.788785,1.044857", \ - "0.567323,0.585197,0.603537,0.637459,0.702025,0.830496,1.086607", \ - "0.616325,0.634205,0.653068,0.686319,0.751113,0.879515,1.135668"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.016461,0.020647,0.024990,0.032791,0.047296,0.075806,0.134831", \ - "0.016464,0.020647,0.024991,0.032790,0.047288,0.075811,0.134845", \ - "0.016463,0.020668,0.025009,0.032802,0.047301,0.075807,0.134835", \ - "0.016646,0.020849,0.025166,0.032918,0.047372,0.075835,0.134844", \ - "0.021027,0.024635,0.028377,0.035276,0.048775,0.076567,0.135099", \ - "0.027230,0.030974,0.034571,0.041161,0.053970,0.080333,0.136826", \ - "0.034064,0.038285,0.042005,0.048363,0.060490,0.085623,0.140469"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.019639,0.030570,0.044187,0.072686,0.132609,0.254909,0.500095", \ - "0.019636,0.030569,0.044185,0.072686,0.132607,0.254906,0.500106", \ - "0.019636,0.030571,0.044188,0.072681,0.132597,0.254910,0.500067", \ - "0.019646,0.030571,0.044188,0.072693,0.132605,0.254952,0.500153", \ - "0.019650,0.030575,0.044190,0.072688,0.132593,0.254954,0.500168", \ - "0.019656,0.030581,0.044194,0.072698,0.132581,0.254942,0.500071", \ - "0.019789,0.030661,0.044235,0.072693,0.132573,0.254949,0.500073"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.096744,0.109063,0.120071,0.137005,0.163537,0.207076,0.282253", \ - "0.101432,0.113759,0.124774,0.141710,0.168240,0.211787,0.286963", \ - "0.119172,0.131463,0.142474,0.159410,0.185965,0.229510,0.304694", \ - "0.155930,0.168167,0.179113,0.196086,0.222690,0.266281,0.341470", \ - "0.208086,0.221891,0.233775,0.251778,0.278912,0.322732,0.397934", \ - "0.263658,0.280107,0.294107,0.314798,0.344821,0.390960,0.467194", \ - "0.322465,0.341618,0.358048,0.381740,0.415212,0.464215,0.542798"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.017163,0.021754,0.026506,0.034941,0.050420,0.079137,0.136664", \ - "0.017167,0.021752,0.026511,0.034957,0.050421,0.079140,0.136663", \ - "0.017173,0.021770,0.026534,0.034959,0.050422,0.079130,0.136655", \ - "0.017339,0.021949,0.026680,0.035068,0.050472,0.079155,0.136668", \ - "0.021811,0.025818,0.029956,0.037379,0.051768,0.079710,0.136858", \ - "0.028321,0.032625,0.036756,0.043934,0.057519,0.083541,0.138363", \ - "0.035496,0.040414,0.044807,0.051942,0.064898,0.089473,0.142283"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.095444,0.107198,0.117610,0.133549,0.158483,0.199746,0.273321", \ - "0.100119,0.111874,0.122285,0.138231,0.163180,0.204425,0.278006", \ - "0.117853,0.129576,0.139975,0.155917,0.180867,0.222125,0.295717", \ - "0.154571,0.166232,0.176674,0.192589,0.217595,0.258900,0.332557", \ - "0.206085,0.219240,0.230732,0.247536,0.273172,0.314815,0.388561", \ - "0.261046,0.276717,0.290252,0.309306,0.337431,0.381297,0.456304", \ - "0.318613,0.336755,0.352366,0.374151,0.405262,0.451925,0.529110"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.451221,0.469093,0.487595,0.521321,0.586105,0.714517,0.970562", \ - "0.456147,0.474016,0.492583,0.526284,0.591029,0.719454,0.975533", \ - "0.469298,0.487220,0.505696,0.539427,0.604215,0.732621,0.988745", \ - "0.491739,0.509634,0.528109,0.561808,0.626584,0.755005,1.011076", \ - "0.524981,0.542852,0.561163,0.595243,0.660088,0.788536,1.044570", \ - "0.567021,0.585023,0.603393,0.637126,0.701931,0.830370,1.086492", \ - "0.615936,0.633821,0.652680,0.685943,0.750740,0.879159,1.135284"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.016461,0.020648,0.024991,0.032791,0.047295,0.075808,0.134842", \ - "0.016464,0.020647,0.024993,0.032791,0.047294,0.075812,0.134845", \ - "0.016463,0.020668,0.025009,0.032802,0.047301,0.075807,0.134835", \ - "0.016640,0.020849,0.025166,0.032918,0.047372,0.075835,0.134844", \ - "0.021027,0.024635,0.028374,0.035276,0.048775,0.076567,0.135099", \ - "0.027230,0.030974,0.034571,0.041163,0.053970,0.080333,0.136823", \ - "0.034064,0.038285,0.042005,0.048364,0.060491,0.085624,0.140468"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.019636,0.030569,0.044188,0.072684,0.132608,0.254910,0.500160", \ - "0.019643,0.030570,0.044187,0.072686,0.132608,0.254907,0.500156", \ - "0.019644,0.030569,0.044187,0.072683,0.132594,0.254929,0.500067", \ - "0.019647,0.030572,0.044189,0.072685,0.132604,0.254953,0.500154", \ - "0.019649,0.030575,0.044192,0.072694,0.132612,0.254951,0.500093", \ - "0.019658,0.030581,0.044195,0.072699,0.132580,0.254875,0.500068", \ - "0.019786,0.030659,0.044236,0.072694,0.132578,0.254933,0.500049"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.096744,0.109063,0.120071,0.137005,0.163537,0.207076,0.282253", \ - "0.101432,0.113759,0.124773,0.141710,0.168240,0.211787,0.286964", \ - "0.119172,0.131462,0.142474,0.159410,0.185965,0.229510,0.304694", \ - "0.155930,0.168167,0.179113,0.196087,0.222690,0.266281,0.341470", \ - "0.208086,0.221891,0.233775,0.251707,0.278912,0.322732,0.397934", \ - "0.263657,0.280107,0.294108,0.314644,0.344821,0.390960,0.467195", \ - "0.322563,0.341618,0.358048,0.381741,0.414814,0.464215,0.542797"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.017163,0.021754,0.026506,0.034941,0.050419,0.079137,0.136665", \ - "0.017167,0.021752,0.026511,0.034957,0.050421,0.079140,0.136663", \ - "0.017173,0.021771,0.026534,0.034959,0.050422,0.079130,0.136655", \ - "0.017339,0.021949,0.026680,0.035068,0.050472,0.079155,0.136668", \ - "0.021811,0.025818,0.029956,0.037387,0.051768,0.079710,0.136858", \ - "0.028322,0.032625,0.036757,0.043953,0.057520,0.083541,0.138363", \ - "0.035458,0.040413,0.044808,0.051942,0.064945,0.089473,0.142283"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.611733,0.632514,0.652616,0.687413,0.752355,0.880726,1.136607", \ - "0.615619,0.636486,0.656613,0.691415,0.756292,0.884663,1.140518", \ - "0.634119,0.654782,0.674920,0.709838,0.774629,0.903089,1.158938", \ - "0.666965,0.687703,0.707828,0.742602,0.807566,0.935924,1.191745", \ - "0.713946,0.734661,0.754752,0.789506,0.854385,0.982826,1.238658", \ - "0.777776,0.798531,0.818637,0.853339,0.918178,1.046638,1.302510", \ - "0.861965,0.882767,0.902690,0.937530,1.002329,1.130627,1.386585"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.026089,0.036398,0.048863,0.075497,0.133603,0.255257,0.500290", \ - "0.026090,0.036396,0.048871,0.075501,0.133601,0.255253,0.500271", \ - "0.026079,0.036401,0.048875,0.075488,0.133616,0.255292,0.500271", \ - "0.026087,0.036400,0.048873,0.075482,0.133597,0.255271,0.500384", \ - "0.026074,0.036391,0.048860,0.075495,0.133595,0.255264,0.500386", \ - "0.026086,0.036396,0.048871,0.075490,0.133608,0.255280,0.500401", \ - "0.026086,0.036404,0.048869,0.075488,0.133605,0.255246,0.500409"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.612270,0.633058,0.653126,0.687906,0.752738,0.880888,1.136654", \ - "0.616268,0.636989,0.657087,0.691811,0.756640,0.884848,1.140524", \ - "0.634573,0.655334,0.675431,0.710266,0.775041,0.903271,1.159005", \ - "0.667561,0.688310,0.708373,0.743032,0.807931,0.936198,1.191874", \ - "0.714270,0.734965,0.754938,0.789670,0.854477,0.982828,1.238563", \ - "0.777535,0.798303,0.818449,0.853402,0.918135,1.046468,1.302232", \ - "0.861540,0.882752,0.902295,0.937112,1.001871,1.130056,1.385793"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.026108,0.036418,0.048886,0.075512,0.133603,0.255288,0.500265", \ - "0.026098,0.036415,0.048882,0.075499,0.133607,0.255290,0.500262", \ - "0.026107,0.036415,0.048892,0.075489,0.133602,0.255290,0.500254", \ - "0.026097,0.036411,0.048877,0.075494,0.133608,0.255288,0.500349", \ - "0.026089,0.036412,0.048874,0.075503,0.133610,0.255279,0.500396", \ - "0.026089,0.036407,0.048881,0.075507,0.133608,0.255298,0.500346", \ - "0.026094,0.036413,0.048873,0.075497,0.133602,0.255292,0.500318"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.511235,0.529223,0.547931,0.581915,0.646765,0.775402,1.031824", \ - "0.515374,0.533360,0.552028,0.586025,0.650863,0.779486,1.035935", \ - "0.533608,0.551596,0.570233,0.604233,0.669084,0.797667,1.054113", \ - "0.568623,0.586571,0.605145,0.639082,0.703882,0.832480,1.088854", \ - "0.611307,0.629231,0.647675,0.681562,0.746362,0.875041,1.131490", \ - "0.658590,0.676484,0.694688,0.728655,0.793435,0.922177,1.178627", \ - "0.710407,0.728307,0.746478,0.780480,0.845252,0.973915,1.230288"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.019695,0.030638,0.044261,0.072744,0.132632,0.254893,0.500136", \ - "0.019678,0.030619,0.044241,0.072743,0.132621,0.254889,0.500212", \ - "0.019667,0.030605,0.044228,0.072734,0.132621,0.254886,0.500213", \ - "0.019662,0.030600,0.044224,0.072734,0.132618,0.254907,0.500062", \ - "0.019658,0.030599,0.044217,0.072729,0.132615,0.254948,0.500190", \ - "0.019656,0.030598,0.044220,0.072722,0.132610,0.254950,0.500100", \ - "0.019663,0.030598,0.044222,0.072710,0.132622,0.254963,0.500095"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.511603,0.529581,0.548257,0.582191,0.646973,0.775509,1.031818", \ - "0.515733,0.533700,0.552340,0.586308,0.651064,0.779590,1.035928", \ - "0.533934,0.551894,0.570542,0.604481,0.669241,0.797762,1.054081", \ - "0.568895,0.586831,0.605381,0.639286,0.704026,0.832548,1.088874", \ - "0.611556,0.629429,0.647853,0.681716,0.746469,0.875078,1.131441", \ - "0.658727,0.676590,0.694806,0.728750,0.793492,0.922177,1.178550", \ - "0.710474,0.728363,0.746529,0.780503,0.845248,0.973874,1.230193"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.019695,0.030637,0.044260,0.072748,0.132633,0.254894,0.500136", \ - "0.019678,0.030618,0.044244,0.072745,0.132623,0.254900,0.500212", \ - "0.019666,0.030606,0.044229,0.072732,0.132622,0.254899,0.500165", \ - "0.019662,0.030600,0.044226,0.072735,0.132641,0.254908,0.500173", \ - "0.019660,0.030599,0.044221,0.072730,0.132617,0.254950,0.500207", \ - "0.019657,0.030596,0.044219,0.072724,0.132611,0.254951,0.500126", \ - "0.019664,0.030599,0.044222,0.072710,0.132599,0.254964,0.500090"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("4.293519,4.353674,4.400636,4.432001,4.461095,4.484341,4.483402", \ - "4.290283,4.350444,4.381838,4.412980,4.464467,4.487824,4.488215", \ - "4.270367,4.332674,4.368238,4.411943,4.444603,4.467830,4.468145", \ - "4.266197,4.326253,4.364058,4.407689,4.420584,4.443460,4.477629", \ - "4.296062,4.358229,4.389323,4.426748,4.470364,4.493773,4.510343", \ - "4.361279,4.420547,4.465366,4.493630,4.529479,4.551558,4.550161", \ - "4.477199,4.535713,4.577172,4.606701,4.624257,4.666735,4.681680"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("4.170318,4.237232,4.276938,4.460543,4.521014,4.537618,4.533984", \ - "4.159523,4.230759,4.275922,4.439555,4.518650,4.535481,4.531361", \ - "4.138610,4.208900,4.251471,4.422220,4.497874,4.514329,4.510152", \ - "4.124526,4.199775,4.229922,4.411955,4.465158,4.515854,4.511683", \ - "4.149353,4.222296,4.260675,4.439123,4.508784,4.525641,4.520335", \ - "4.207423,4.285883,4.317344,4.482006,4.560487,4.576809,4.602376", \ - "4.326493,4.397446,4.443332,4.612921,4.655802,4.706598,4.698606"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("2.285403,2.468188,2.598790,2.769283,2.912820,3.002920,3.039389", \ - "2.266567,2.445284,2.578597,2.748365,2.892691,2.979481,3.016096", \ - "2.229818,2.409490,2.544374,2.699908,2.850423,2.946830,2.978490", \ - "2.243015,2.406875,2.534301,2.687769,2.846152,2.942309,2.981367", \ - "2.296960,2.457530,2.579584,2.745695,2.897038,3.002836,3.041953", \ - "2.364518,2.496023,2.607305,2.770059,2.984365,3.117266,3.166226", \ - "2.560127,2.640731,2.730233,2.879387,3.078474,3.253305,3.350117"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("1.677428,1.831129,1.887237,1.957258,1.995717,2.048116,2.036223", \ - "1.671139,1.817096,1.879576,1.913987,1.974950,2.029103,2.012930", \ - "1.651832,1.789779,1.852334,1.881985,1.930446,1.986215,1.977430", \ - "1.669356,1.794045,1.853975,1.895737,1.900673,1.956169,1.950744", \ - "1.735125,1.857121,1.899408,1.917331,1.916357,1.987767,1.963708", \ - "1.845904,1.996811,2.043522,2.048440,2.063884,2.041171,2.108527", \ - "2.013413,2.191263,2.263394,2.279010,2.249989,2.210788,2.197185"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("2.687996,2.828017,2.942095,3.039112,3.085671,3.133709,3.147315", \ - "2.673307,2.813389,2.933539,3.044144,3.091010,3.127732,3.139926", \ - "2.660892,2.797840,2.906626,3.017909,3.056330,3.105051,3.117100", \ - "2.665340,2.789063,2.912053,3.003493,3.072117,3.089095,3.104279", \ - "2.722417,2.836225,2.949342,3.042589,3.078711,3.130079,3.146691", \ - "2.803806,2.919401,3.024378,3.121660,3.145789,3.162525,3.180915", \ - "2.903206,3.004730,3.119208,3.230810,3.259392,3.275930,3.295065"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("2.297954,2.480590,2.610941,2.781321,2.924496,3.014012,3.048521", \ - "2.279281,2.458034,2.591037,2.760761,2.904918,2.991376,3.027247", \ - "2.255964,2.422522,2.557284,2.710678,2.863096,2.959239,2.990548", \ - "2.260627,2.411410,2.543602,2.700454,2.858893,2.954872,2.993607", \ - "2.308660,2.470497,2.592090,2.758651,2.909661,3.015834,3.054525", \ - "2.382314,2.507354,2.620192,2.799142,2.996457,3.126667,3.178351", \ - "2.567236,2.650969,2.729328,2.891140,3.080467,3.262961,3.359535"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("1.668854,1.822485,1.878559,1.948781,1.987480,2.040842,2.029203", \ - "1.662513,1.802631,1.870929,1.905504,1.966964,2.020897,2.009161", \ - "1.642970,1.780905,1.843541,1.873663,1.922246,1.977683,1.966623", \ - "1.661841,1.785468,1.844915,1.866662,1.891701,1.947543,1.942159", \ - "1.712562,1.850039,1.890539,1.908607,1.941400,1.962547,1.955304", \ - "1.837124,1.988053,2.033188,2.028335,2.055004,2.032945,2.099939", \ - "1.993979,2.170247,2.257439,2.258497,2.241432,2.202710,2.189877"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("2.753450,2.893588,3.007876,3.105621,3.153072,3.201294,3.213445", \ - "2.737462,2.877745,2.997985,3.109422,3.146084,3.194561,3.205074", \ - "2.722757,2.861472,2.978507,3.082080,3.121985,3.170511,3.182955", \ - "2.729416,2.853287,2.978444,3.068980,3.138831,3.155787,3.171262", \ - "2.784616,2.905688,3.015924,3.107234,3.145237,3.196751,3.212757", \ - "2.866141,2.982152,3.091595,3.184631,3.220926,3.227297,3.245925", \ - "2.966454,3.066915,3.181478,3.295016,3.324301,3.340986,3.359936"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("2.548846,2.741248,2.905889,3.090770,3.326170,3.558222,3.881704", \ - "2.521156,2.720305,2.868032,3.069581,3.302915,3.532117,3.856876", \ - "2.476027,2.676526,2.841374,3.041469,3.265500,3.498844,3.810069", \ - "2.489828,2.683856,2.840691,3.042364,3.264560,3.494632,3.824738", \ - "2.554472,2.738764,2.903623,3.100362,3.325633,3.569210,3.897621", \ - "2.630708,2.788972,2.928646,3.146904,3.420118,3.685596,4.030467", \ - "2.834689,2.946834,3.059066,3.248231,3.508986,3.819759,4.200279"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("4.574157,4.780402,4.874304,4.933183,4.972880,5.042841,5.050433", \ - "4.568422,4.774794,4.867742,4.926545,4.953193,5.023292,5.098609", \ - "4.570477,4.761562,4.861260,4.929086,4.981012,5.050497,5.056802", \ - "4.555747,4.762465,4.861992,4.935790,4.940810,5.009199,5.083584", \ - "4.555980,4.747512,4.851140,4.902031,4.993209,4.992559,5.066550", \ - "4.543015,4.734500,4.833942,4.909785,4.970778,5.039030,5.046415", \ - "4.555882,4.754662,4.849736,4.929703,4.977207,4.976012,5.052377"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("2.670323,2.759331,2.832801,2.915742,3.119632,4.328481,4.713809", \ - "2.663052,2.751936,2.822233,2.926248,3.112927,4.322626,4.670986", \ - "2.640357,2.727455,2.794971,2.891595,3.084747,4.293541,4.681727", \ - "2.650137,2.731446,2.799551,2.879687,3.113007,4.280877,4.667012", \ - "2.716295,2.786819,2.836997,2.926807,3.129528,4.347354,4.677338", \ - "2.805488,2.871401,2.920569,3.010081,3.198184,4.409212,4.747793", \ - "2.915209,2.961129,3.007867,3.109022,3.286143,4.463732,4.865209"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("2.548626,2.741673,2.905538,3.090366,3.324922,3.557576,3.879681", \ - "2.520891,2.720653,2.874518,3.069281,3.306570,3.535923,3.855116", \ - "2.475696,2.676137,2.840968,3.040972,3.264817,3.497834,3.808313", \ - "2.491630,2.683329,2.840243,3.041695,3.263714,3.493437,3.822647", \ - "2.553652,2.737971,2.903572,3.099413,3.324503,3.567695,3.895138", \ - "2.629647,2.787823,2.927377,3.140154,3.418587,3.683644,4.027392", \ - "2.833162,2.945240,3.057518,3.246529,3.507892,3.817280,4.196766"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("4.577016,4.768426,4.877554,4.935797,4.975317,5.045417,5.050703", \ - "4.571674,4.777633,4.870295,4.929106,4.955765,5.025916,5.099276", \ - "4.573711,4.764058,4.854469,4.931832,4.983477,5.052626,5.059864", \ - "4.559323,4.765338,4.860943,4.938360,4.944180,5.012718,5.087559", \ - "4.544641,4.751231,4.832913,4.907296,4.996365,4.996168,5.073261", \ - "4.530444,4.742504,4.835676,4.912972,4.972061,5.040712,5.047851", \ - "4.554117,4.749538,4.835788,4.897969,4.981113,5.035778,5.057312"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("2.670013,2.759515,2.832946,2.915665,3.141222,4.327480,4.709699", \ - "2.663324,2.752137,2.822555,2.919623,3.112676,4.321490,4.666864", \ - "2.640505,2.728184,2.794991,2.891200,3.084584,4.292388,4.677638", \ - "2.650167,2.731753,2.799371,2.879202,3.112492,4.279458,4.662837", \ - "2.716097,2.786509,2.836534,2.926449,3.128869,4.345400,4.673073", \ - "2.804986,2.870829,2.919929,3.009575,3.197036,4.407476,4.743374", \ - "2.909625,2.960204,3.006855,3.107763,3.285948,4.460280,4.860644"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.773766,3.890816,3.962818,4.022190,4.094707,4.131204,4.136189", \ - "3.743830,3.860858,3.924348,3.984319,4.037375,4.073775,4.112593", \ - "3.714625,3.830549,3.899258,3.972857,4.034927,4.071548,4.077461", \ - "3.739902,3.857793,3.913110,3.986557,4.032590,4.071618,4.081403", \ - "3.840916,3.940737,4.002361,4.057474,4.110425,4.155483,4.164354", \ - "4.021595,4.110032,4.150560,4.198350,4.250856,4.288950,4.302215", \ - "4.272824,4.347504,4.387074,4.410589,4.464449,4.496777,4.540136"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.230484,3.347026,3.412025,3.472458,3.546334,3.584912,3.592820", \ - "3.217927,3.335164,3.405165,3.452521,3.531629,3.545572,3.591438", \ - "3.203618,3.318778,3.381108,3.455248,3.518843,3.557817,3.566384", \ - "3.213284,3.323554,3.393521,3.453710,3.524194,3.541956,3.589568", \ - "3.264002,3.370757,3.426152,3.482515,3.536069,3.577494,3.594060", \ - "3.367121,3.452799,3.500249,3.546761,3.603413,3.662307,3.657952", \ - "3.515348,3.583104,3.629421,3.658041,3.724931,3.742655,3.756989"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("4.698039,4.804782,4.869150,4.922852,4.940525,4.999959,5.010041", \ - "4.666865,4.773241,4.839842,4.883548,4.915306,4.940843,4.988334", \ - "4.640245,4.744330,4.806248,4.846926,4.879198,4.939798,4.948465", \ - "4.666484,4.772466,4.817141,4.872918,4.918183,4.960704,4.973448", \ - "4.761345,4.856109,4.909922,4.948709,4.971847,5.034340,5.049119", \ - "4.941570,5.023234,5.060094,5.098995,5.125188,5.151192,5.198747", \ - "5.188597,5.256462,5.290342,5.324955,5.347938,5.370692,5.383648"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("4.696999,4.811747,4.870138,4.924004,4.942927,5.004189,5.016040", \ - "4.665879,4.780335,4.841653,4.884691,4.917696,4.944971,4.994240", \ - "4.639394,4.751471,4.812132,4.848050,4.881675,4.943918,4.954269", \ - "4.665948,4.772708,4.830934,4.874255,4.900282,4.964901,4.979732", \ - "4.769294,4.856452,4.910755,4.950223,4.974439,5.038532,5.054199", \ - "4.941958,5.024103,5.064622,5.100820,5.128018,5.155486,5.204413", \ - "5.189595,5.257692,5.291969,5.327133,5.351374,5.375104,5.389893"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.254030; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.315897,0.323588,0.331088,0.343840,0.365484,0.403495,0.474372", \ - "0.321169,0.328860,0.336379,0.349134,0.370760,0.408778,0.479666", \ - "0.338935,0.346627,0.354129,0.366885,0.388560,0.426589,0.497495", \ - "0.362327,0.370025,0.377509,0.390244,0.411938,0.450023,0.520951", \ - "0.385057,0.392774,0.400010,0.412976,0.434552,0.472701,0.543679", \ - "0.404917,0.412621,0.420069,0.432923,0.454515,0.492628,0.564321", \ - "0.420393,0.428114,0.435896,0.449034,0.470258,0.508436,0.580051"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.354964,0.368817,0.384047,0.413981,0.475727,0.601658,0.855198", \ - "0.360143,0.374020,0.389286,0.419166,0.480906,0.606855,0.860366", \ - "0.377799,0.391656,0.406899,0.436803,0.498554,0.624501,0.878011", \ - "0.401857,0.415674,0.430931,0.460827,0.522545,0.648568,0.902024", \ - "0.425498,0.439324,0.454511,0.484369,0.546061,0.672144,0.925651", \ - "0.446506,0.460489,0.475768,0.505946,0.567154,0.693155,0.947298", \ - "0.464579,0.478496,0.493704,0.523494,0.585216,0.711217,0.964877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0158870,0.0196005,0.0235019,0.0307137,0.0447803,0.0733852,0.133276", \ - "0.0158866,0.0196025,0.0235042,0.0307211,0.0447838,0.0733894,0.133276", \ - "0.0158874,0.0196028,0.0235017,0.0307152,0.0447891,0.0733877,0.133270", \ - "0.0158892,0.0196022,0.0235055,0.0307167,0.0447797,0.0733774,0.133267", \ - "0.0158887,0.0196047,0.0235064,0.0307215,0.0447813,0.0733785,0.133271", \ - "0.0158703,0.0195948,0.0234969,0.0307387,0.0447867,0.0733935,0.133261", \ - "0.0158922,0.0196112,0.0235089,0.0307205,0.0447763,0.0733795,0.133231"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0206598,0.0312788,0.0451428,0.0742790,0.134428,0.255954,0.499428", \ - "0.0206594,0.0312796,0.0451438,0.0742614,0.134420,0.255952,0.499427", \ - "0.0206588,0.0312771,0.0451421,0.0742639,0.134420,0.255955,0.499426", \ - "0.0206599,0.0312788,0.0451440,0.0742775,0.134427,0.255950,0.499429", \ - "0.0206604,0.0312783,0.0451506,0.0742723,0.134417,0.255955,0.499426", \ - "0.0206479,0.0312762,0.0451434,0.0742755,0.134418,0.255967,0.499430", \ - "0.0206617,0.0312800,0.0451444,0.0742648,0.134437,0.255961,0.499423"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.188552,0.202481,0.217817,0.247817,0.309661,0.435687,0.689294", \ - "0.193251,0.207173,0.222515,0.252522,0.314365,0.440403,0.694004", \ - "0.210925,0.224837,0.240183,0.270186,0.332022,0.458069,0.711685", \ - "0.247464,0.261380,0.276722,0.306677,0.368511,0.494602,0.748211", \ - "0.303538,0.317117,0.332221,0.361903,0.423426,0.549296,0.802916", \ - "0.370339,0.383425,0.397677,0.426405,0.487089,0.612416,0.865690", \ - "0.441534,0.454130,0.467673,0.495358,0.554951,0.679545,0.932389"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020621,0.031258,0.045138,0.074283,0.134440,0.255943,0.499429", \ - "0.020622,0.031258,0.045137,0.074278,0.134439,0.255933,0.499403", \ - "0.020626,0.031262,0.045141,0.074278,0.134456,0.255929,0.499406", \ - "0.020644,0.031268,0.045142,0.074281,0.134446,0.255944,0.499408", \ - "0.020797,0.031390,0.045235,0.074327,0.134460,0.255922,0.499428", \ - "0.021643,0.032017,0.045642,0.074547,0.134548,0.255949,0.499421", \ - "0.022858,0.032973,0.046331,0.074839,0.134665,0.255982,0.499419"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.188562,0.202465,0.217819,0.247818,0.309666,0.435685,0.689291", \ - "0.193250,0.207180,0.222528,0.252526,0.314363,0.440406,0.694002", \ - "0.210934,0.224845,0.240201,0.270195,0.332022,0.458078,0.711694", \ - "0.247552,0.261463,0.276720,0.306732,0.368566,0.494632,0.748241", \ - "0.303607,0.317304,0.332362,0.362010,0.423714,0.549581,0.803132", \ - "0.370627,0.383455,0.397753,0.426590,0.487288,0.612585,0.865863", \ - "0.441544,0.454145,0.467700,0.495294,0.554901,0.679479,0.932282"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020623,0.031258,0.045136,0.074283,0.134444,0.255929,0.499421", \ - "0.020624,0.031255,0.045136,0.074279,0.134446,0.255927,0.499409", \ - "0.020624,0.031262,0.045138,0.074289,0.134453,0.255943,0.499424", \ - "0.020642,0.031272,0.045142,0.074276,0.134434,0.255941,0.499408", \ - "0.020793,0.031391,0.045233,0.074329,0.134456,0.255928,0.499417", \ - "0.021640,0.032018,0.045640,0.074550,0.134549,0.255949,0.499423", \ - "0.022857,0.032973,0.046336,0.074849,0.134662,0.255986,0.499416"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.188074,0.201932,0.217275,0.247281,0.309138,0.435222,0.688852", \ - "0.192769,0.206631,0.221977,0.251989,0.313831,0.439938,0.693566", \ - "0.210455,0.224312,0.239655,0.269661,0.331516,0.457604,0.711243", \ - "0.246989,0.260865,0.276158,0.306168,0.368018,0.494120,0.747745", \ - "0.303150,0.316705,0.331671,0.361479,0.423012,0.548903,0.802410", \ - "0.369684,0.382633,0.396887,0.425777,0.486513,0.611865,0.865135", \ - "0.440903,0.453409,0.466947,0.494563,0.554206,0.678438,0.931283"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020538,0.031191,0.045085,0.074242,0.134416,0.255947,0.499366", \ - "0.020532,0.031192,0.045083,0.074244,0.134418,0.255947,0.499393", \ - "0.020539,0.031193,0.045089,0.074250,0.134419,0.255943,0.499366", \ - "0.020555,0.031205,0.045093,0.074246,0.134408,0.255951,0.499363", \ - "0.020711,0.031324,0.045180,0.074292,0.134442,0.255952,0.499425", \ - "0.021526,0.031922,0.045568,0.074482,0.134509,0.255968,0.499391", \ - "0.022679,0.032829,0.046229,0.074761,0.134614,0.256024,0.499418"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.188076,0.201932,0.217274,0.247281,0.309139,0.435222,0.688853", \ - "0.192771,0.206640,0.221977,0.251989,0.313831,0.439938,0.693566", \ - "0.210455,0.224311,0.239655,0.269661,0.331516,0.457604,0.711243", \ - "0.246989,0.260865,0.276159,0.306169,0.368019,0.494120,0.747745", \ - "0.303150,0.316705,0.331671,0.361407,0.423012,0.548903,0.802410", \ - "0.369683,0.382633,0.396886,0.425624,0.486514,0.611865,0.865135", \ - "0.440969,0.453409,0.466946,0.494564,0.553778,0.678438,0.931282"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020536,0.031191,0.045083,0.074242,0.134416,0.255947,0.499392", \ - "0.020533,0.031191,0.045083,0.074244,0.134418,0.255947,0.499393", \ - "0.020539,0.031192,0.045089,0.074250,0.134419,0.255943,0.499366", \ - "0.020555,0.031205,0.045093,0.074246,0.134408,0.255951,0.499364", \ - "0.020711,0.031324,0.045180,0.074291,0.134442,0.255952,0.499425", \ - "0.021526,0.031921,0.045585,0.074476,0.134509,0.255968,0.499393", \ - "0.022682,0.032829,0.046227,0.074761,0.134616,0.256024,0.499400"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.086782,0.096098,0.104888,0.118902,0.141687,0.180752,0.252732", \ - "0.091358,0.100675,0.109466,0.123490,0.146275,0.185336,0.257319", \ - "0.109574,0.118870,0.127638,0.141665,0.164470,0.203556,0.275543", \ - "0.146554,0.155894,0.164680,0.178722,0.201584,0.240722,0.312729", \ - "0.196190,0.206887,0.216715,0.231773,0.255476,0.294994,0.367017", \ - "0.248980,0.261643,0.273033,0.289931,0.315625,0.357004,0.430179", \ - "0.304644,0.319483,0.332531,0.351786,0.379897,0.423515,0.498049"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.071474,0.086744,0.103934,0.136728,0.200962,0.328441,0.582780", \ - "0.076458,0.091726,0.108918,0.141718,0.205961,0.333454,0.587788", \ - "0.090984,0.106206,0.123337,0.156078,0.220339,0.347873,0.602257", \ - "0.114791,0.130209,0.147343,0.179939,0.244100,0.371653,0.626136", \ - "0.141506,0.157587,0.175025,0.207764,0.271846,0.399297,0.653770", \ - "0.167059,0.184292,0.202363,0.235205,0.299160,0.426632,0.680990", \ - "0.188255,0.207322,0.226887,0.260188,0.324129,0.451254,0.705453"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015571,0.019192,0.023209,0.030666,0.044966,0.073772,0.133700", \ - "0.015574,0.019196,0.023207,0.030662,0.044977,0.073770,0.133699", \ - "0.015588,0.019219,0.023228,0.030682,0.044971,0.073756,0.133694", \ - "0.015955,0.019498,0.023456,0.030841,0.045076,0.073823,0.133717", \ - "0.020608,0.023618,0.027048,0.033691,0.046893,0.074683,0.134014", \ - "0.026690,0.029663,0.032848,0.039042,0.051575,0.078168,0.135642", \ - "0.033393,0.036617,0.039792,0.045610,0.057343,0.082584,0.138500"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019079,0.030083,0.044272,0.073816,0.134368,0.256130,0.499906", \ - "0.019072,0.030084,0.044274,0.073821,0.134363,0.256122,0.499899", \ - "0.019065,0.030084,0.044277,0.073817,0.134396,0.256133,0.499919", \ - "0.020011,0.030726,0.044647,0.073909,0.134394,0.256159,0.499695", \ - "0.022064,0.032390,0.045900,0.074645,0.134612,0.256084,0.499858", \ - "0.025471,0.035202,0.047977,0.075742,0.135112,0.256280,0.499863", \ - "0.030364,0.039626,0.051435,0.077756,0.135869,0.256755,0.500019"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.086752,0.096077,0.104866,0.118900,0.141702,0.180808,0.252801", \ - "0.091352,0.100671,0.109470,0.123500,0.146304,0.185415,0.257411", \ - "0.109561,0.118861,0.127655,0.141691,0.164506,0.203641,0.275627", \ - "0.146558,0.155864,0.164635,0.178730,0.201598,0.240751,0.312767", \ - "0.196181,0.206856,0.216642,0.231757,0.255472,0.295032,0.367094", \ - "0.249200,0.261888,0.273171,0.289914,0.315592,0.356996,0.430199", \ - "0.305008,0.319794,0.332756,0.351801,0.379918,0.423467,0.498077"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015590,0.019207,0.023223,0.030684,0.044979,0.073754,0.133721", \ - "0.015590,0.019209,0.023224,0.030673,0.044978,0.073758,0.133715", \ - "0.015603,0.019230,0.023245,0.030693,0.044991,0.073757,0.133723", \ - "0.015962,0.019510,0.023464,0.030843,0.045056,0.073799,0.133724", \ - "0.020634,0.023637,0.027084,0.033701,0.046903,0.074686,0.134012", \ - "0.026672,0.029666,0.032866,0.039050,0.051573,0.078170,0.135639", \ - "0.033368,0.036596,0.039789,0.045634,0.057354,0.082583,0.138497"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.086766,0.096081,0.104871,0.118885,0.141664,0.180734,0.252700", \ - "0.091345,0.100661,0.109451,0.123475,0.146257,0.185335,0.257290", \ - "0.109550,0.118846,0.127631,0.141658,0.164457,0.203552,0.275509", \ - "0.146556,0.155857,0.164680,0.178703,0.201564,0.240705,0.312710", \ - "0.196020,0.206727,0.216591,0.231673,0.255385,0.294908,0.366948", \ - "0.248871,0.261583,0.272905,0.289767,0.315459,0.356872,0.430055", \ - "0.304671,0.319497,0.332350,0.351549,0.379643,0.423211,0.497852"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.071451,0.086722,0.103915,0.136705,0.200943,0.328422,0.582828", \ - "0.076429,0.091708,0.108894,0.141692,0.205934,0.333435,0.587849", \ - "0.090960,0.106172,0.123310,0.156049,0.220302,0.347851,0.602242", \ - "0.114732,0.130156,0.147310,0.179909,0.244055,0.371609,0.626146", \ - "0.141473,0.157545,0.174972,0.207705,0.271808,0.399257,0.653717", \ - "0.166920,0.184166,0.202276,0.235136,0.299087,0.426513,0.680852", \ - "0.188135,0.207213,0.226322,0.260101,0.324042,0.451163,0.705401"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015570,0.019192,0.023207,0.030666,0.044978,0.073771,0.133699", \ - "0.015575,0.019195,0.023209,0.030663,0.044975,0.073764,0.133708", \ - "0.015585,0.019215,0.023229,0.030676,0.044966,0.073744,0.133705", \ - "0.015952,0.019497,0.023453,0.030831,0.045078,0.073823,0.133717", \ - "0.020623,0.023628,0.027067,0.033705,0.046926,0.074679,0.134011", \ - "0.026695,0.029673,0.032858,0.039050,0.051582,0.078177,0.135647", \ - "0.033402,0.036608,0.039816,0.045636,0.057368,0.082604,0.138502"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019076,0.030085,0.044274,0.073825,0.134369,0.256131,0.499791", \ - "0.019085,0.030084,0.044271,0.073814,0.134386,0.256158,0.499828", \ - "0.019061,0.030084,0.044276,0.073819,0.134369,0.256167,0.499812", \ - "0.020005,0.030721,0.044650,0.073918,0.134385,0.256102,0.499818", \ - "0.022052,0.032389,0.045898,0.074637,0.134613,0.256100,0.499924", \ - "0.025477,0.035204,0.047983,0.075743,0.135112,0.256310,0.499864", \ - "0.030379,0.039629,0.051481,0.077759,0.135865,0.256757,0.500030"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.086735,0.096059,0.104847,0.118865,0.141652,0.180722,0.252707", \ - "0.091331,0.100650,0.109443,0.123465,0.146259,0.185333,0.257313", \ - "0.109534,0.118838,0.127620,0.141657,0.164457,0.203565,0.275536", \ - "0.146546,0.155845,0.164627,0.178699,0.201569,0.240715,0.312728", \ - "0.196191,0.206907,0.216519,0.231667,0.255381,0.294911,0.366956", \ - "0.248756,0.261452,0.272723,0.289942,0.315594,0.356972,0.430164", \ - "0.304697,0.319656,0.332412,0.351614,0.379707,0.423293,0.497996"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015590,0.019208,0.023226,0.030691,0.044997,0.073786,0.133716", \ - "0.015593,0.019208,0.023223,0.030687,0.044988,0.073791,0.133725", \ - "0.015602,0.019231,0.023247,0.030704,0.044998,0.073768,0.133729", \ - "0.015970,0.019513,0.023466,0.030844,0.045074,0.073827,0.133721", \ - "0.020632,0.023632,0.027092,0.033720,0.046922,0.074688,0.134018", \ - "0.026758,0.029717,0.032919,0.039056,0.051591,0.078178,0.135655", \ - "0.033442,0.036633,0.039839,0.045644,0.057380,0.082602,0.138518"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.086786,0.096099,0.104884,0.118897,0.141681,0.180743,0.252722", \ - "0.091356,0.100676,0.109465,0.123479,0.146266,0.185326,0.257303", \ - "0.109580,0.118869,0.127642,0.141672,0.164476,0.203555,0.275541", \ - "0.146662,0.155931,0.164746,0.178729,0.201587,0.240734,0.312721", \ - "0.196431,0.207115,0.216763,0.231748,0.255478,0.295000,0.367024", \ - "0.249416,0.261972,0.273119,0.289913,0.315584,0.357004,0.430184", \ - "0.304871,0.319475,0.332441,0.351665,0.379807,0.423351,0.497878"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.070078,0.085311,0.102483,0.135252,0.199452,0.326938,0.581290", \ - "0.075091,0.090317,0.107486,0.140261,0.204479,0.331985,0.586318", \ - "0.089842,0.105007,0.122132,0.154852,0.219070,0.346619,0.600950", \ - "0.113959,0.129342,0.146497,0.179067,0.243169,0.370746,0.625157", \ - "0.140850,0.156913,0.174344,0.207020,0.271102,0.398559,0.653042", \ - "0.166234,0.183484,0.201485,0.234541,0.298454,0.425902,0.680257", \ - "0.187943,0.207036,0.226221,0.259857,0.323788,0.450708,0.705171"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015570,0.019190,0.023207,0.030665,0.044970,0.073772,0.133698", \ - "0.015571,0.019191,0.023208,0.030668,0.044974,0.073770,0.133714", \ - "0.015581,0.019216,0.023231,0.030675,0.044963,0.073757,0.133696", \ - "0.015948,0.019501,0.023455,0.030836,0.045071,0.073805,0.133716", \ - "0.020573,0.023588,0.027051,0.033695,0.046919,0.074693,0.134007", \ - "0.026630,0.029619,0.032839,0.039044,0.051570,0.078168,0.135651", \ - "0.033352,0.036607,0.039787,0.045626,0.057362,0.082592,0.138510"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.018899,0.029943,0.044169,0.073719,0.134306,0.256147,0.499910", \ - "0.018885,0.029944,0.044169,0.073727,0.134342,0.256109,0.499902", \ - "0.018888,0.029953,0.044177,0.073724,0.134329,0.256161,0.499898", \ - "0.019879,0.030630,0.044567,0.073828,0.134324,0.256143,0.499900", \ - "0.021991,0.032327,0.045840,0.074587,0.134548,0.256072,0.499874", \ - "0.025512,0.035211,0.047976,0.075700,0.135057,0.256329,0.499994", \ - "0.030389,0.039633,0.051461,0.077727,0.135820,0.256828,0.500147"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.086762,0.096086,0.104880,0.118909,0.141708,0.180816,0.252784", \ - "0.091369,0.100687,0.109487,0.123524,0.146322,0.185433,0.257401", \ - "0.109577,0.118876,0.127671,0.141713,0.164542,0.203651,0.275615", \ - "0.146611,0.155922,0.164668,0.178746,0.201614,0.240770,0.312754", \ - "0.196178,0.206885,0.216583,0.231697,0.255402,0.294952,0.366985", \ - "0.249156,0.261852,0.272882,0.289949,0.315646,0.357011,0.430152", \ - "0.304785,0.319593,0.332274,0.351643,0.379768,0.423302,0.497795"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015590,0.019208,0.023221,0.030685,0.044986,0.073764,0.133611", \ - "0.015592,0.019208,0.023222,0.030674,0.044981,0.073767,0.133611", \ - "0.015600,0.019231,0.023247,0.030697,0.044990,0.073760,0.133612", \ - "0.015963,0.019514,0.023467,0.030843,0.045062,0.073821,0.133629", \ - "0.020634,0.023632,0.027092,0.033716,0.046932,0.074689,0.133927", \ - "0.026703,0.029669,0.032898,0.039058,0.051587,0.078167,0.135606", \ - "0.033396,0.036627,0.039849,0.045657,0.057387,0.082587,0.138394"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.086783,0.096099,0.104884,0.118903,0.141682,0.180743,0.252722", \ - "0.091356,0.100676,0.109465,0.123479,0.146266,0.185326,0.257303", \ - "0.109580,0.118869,0.127642,0.141672,0.164476,0.203555,0.275541", \ - "0.146676,0.155931,0.164746,0.178729,0.201587,0.240734,0.312721", \ - "0.196431,0.207115,0.216763,0.231748,0.255478,0.295000,0.367024", \ - "0.249416,0.261972,0.273119,0.289913,0.315584,0.357004,0.430184", \ - "0.304871,0.319475,0.332441,0.351665,0.379807,0.423351,0.497878"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.070078,0.085311,0.102483,0.135252,0.199452,0.326938,0.581290", \ - "0.075091,0.090317,0.107486,0.140261,0.204479,0.331985,0.586318", \ - "0.089842,0.105007,0.122132,0.154852,0.219070,0.346619,0.600950", \ - "0.113958,0.129342,0.146497,0.179067,0.243169,0.370746,0.625157", \ - "0.140850,0.156913,0.174344,0.207020,0.271102,0.398559,0.653042", \ - "0.166234,0.183484,0.201485,0.234541,0.298454,0.425902,0.680257", \ - "0.187948,0.207036,0.226221,0.259857,0.323788,0.450708,0.705171"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015570,0.019190,0.023207,0.030662,0.044971,0.073771,0.133697", \ - "0.015571,0.019191,0.023208,0.030668,0.044974,0.073770,0.133714", \ - "0.015581,0.019216,0.023231,0.030675,0.044963,0.073757,0.133696", \ - "0.015947,0.019501,0.023455,0.030836,0.045071,0.073805,0.133716", \ - "0.020573,0.023588,0.027051,0.033695,0.046919,0.074693,0.134007", \ - "0.026630,0.029619,0.032839,0.039044,0.051570,0.078168,0.135651", \ - "0.033352,0.036607,0.039787,0.045626,0.057362,0.082592,0.138510"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.018899,0.029943,0.044169,0.073719,0.134306,0.256148,0.499909", \ - "0.018885,0.029944,0.044169,0.073727,0.134342,0.256109,0.499902", \ - "0.018888,0.029953,0.044177,0.073724,0.134329,0.256160,0.499898", \ - "0.019879,0.030630,0.044567,0.073828,0.134324,0.256143,0.499899", \ - "0.021991,0.032327,0.045840,0.074587,0.134548,0.256072,0.499874", \ - "0.025512,0.035211,0.047976,0.075700,0.135057,0.256329,0.499994", \ - "0.030403,0.039633,0.051461,0.077727,0.135820,0.256828,0.500147"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.086762,0.096086,0.104880,0.118914,0.141708,0.180816,0.252784", \ - "0.091369,0.100687,0.109487,0.123524,0.146322,0.185433,0.257400", \ - "0.109577,0.118876,0.127671,0.141713,0.164542,0.203651,0.275615", \ - "0.146624,0.155927,0.164668,0.178746,0.201614,0.240770,0.312754", \ - "0.196178,0.206885,0.216583,0.231693,0.255402,0.294952,0.366985", \ - "0.249156,0.261852,0.272882,0.289949,0.315646,0.357011,0.430152", \ - "0.304785,0.319593,0.332273,0.351643,0.379768,0.423302,0.497794"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015589,0.019208,0.023221,0.030675,0.044986,0.073764,0.133610", \ - "0.015592,0.019207,0.023222,0.030674,0.044981,0.073767,0.133612", \ - "0.015600,0.019231,0.023247,0.030697,0.044990,0.073760,0.133612", \ - "0.015963,0.019510,0.023467,0.030843,0.045062,0.073821,0.133629", \ - "0.020634,0.023632,0.027092,0.033714,0.046932,0.074689,0.133927", \ - "0.026703,0.029669,0.032898,0.039058,0.051586,0.078167,0.135594", \ - "0.033396,0.036627,0.039849,0.045657,0.057387,0.082587,0.138393"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.168961,4.237615,4.278241,4.456712,4.499943,4.530227,4.553641", \ - "4.158176,4.231166,4.269010,4.446018,4.497676,4.528107,4.551566", \ - "4.137273,4.209254,4.250912,4.428615,4.476914,4.506979,4.529815", \ - "4.134142,4.200101,4.230186,4.418367,4.478438,4.508542,4.497159", \ - "4.147996,4.222110,4.261271,4.429028,4.487823,4.518255,4.540135", \ - "4.217818,4.277811,4.318616,4.488535,4.539258,4.569414,4.587898", \ - "4.325147,4.398690,4.444543,4.605018,4.669077,4.699275,4.683909"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.291678,4.354825,4.390756,4.437654,4.474078,4.477005,4.503004", \ - "4.287688,4.351729,4.384624,4.419068,4.444017,4.480647,4.473965", \ - "4.267757,4.331615,4.370983,4.392680,4.423811,4.460585,4.453566", \ - "4.270625,4.327585,4.366807,4.413527,4.445106,4.469845,4.463413", \ - "4.294525,4.357356,4.392154,4.426527,4.450032,4.486537,4.478332", \ - "4.358673,4.421764,4.455295,4.499744,4.508604,4.544121,4.569818", \ - "4.476269,4.536950,4.573781,4.615063,4.637238,4.675139,4.679258"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.684717,2.820220,2.944719,3.045113,3.098682,3.126634,3.132160", \ - "2.679237,2.814698,2.936139,3.050094,3.099324,3.120199,3.140180", \ - "2.660893,2.790066,2.909267,3.024027,3.069407,3.097540,3.102588", \ - "2.662186,2.790329,2.911121,3.009835,3.051559,3.081420,3.094072", \ - "2.719255,2.837562,2.939760,3.048805,3.091529,3.122721,3.131915", \ - "2.810302,2.920756,3.015691,3.127847,3.158850,3.188845,3.199700", \ - "2.899772,3.006153,3.104595,3.237359,3.272563,3.268252,3.280528"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.753012,2.889375,3.010482,3.111625,3.166349,3.194010,3.199237", \ - "2.743393,2.878960,3.000568,3.115392,3.158839,3.187001,3.191267", \ - "2.719569,2.853625,2.976816,3.088353,3.148598,3.163627,3.168394", \ - "2.726283,2.854553,2.975423,3.075120,3.131179,3.148152,3.160997", \ - "2.781553,2.900311,3.012946,3.113241,3.158157,3.189257,3.198414", \ - "2.872689,2.983512,3.089275,3.190817,3.222559,3.253683,3.265313", \ - "2.963018,3.068127,3.166865,3.301157,3.337300,3.333436,3.345067"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.667593,2.760492,2.821478,2.922212,3.132422,4.311693,4.696946", \ - "2.660417,2.745609,2.810483,2.916965,3.125664,4.301071,4.688630", \ - "2.645406,2.729353,2.797636,2.897330,3.097793,4.289636,4.666508", \ - "2.647454,2.732945,2.788130,2.885755,3.082506,4.259128,4.651564", \ - "2.721364,2.780706,2.839649,2.932778,3.149640,4.327773,4.696217", \ - "2.811114,2.872785,2.924508,2.987867,3.211041,4.395935,4.765526", \ - "2.915220,2.962594,3.010724,3.096077,3.298954,4.430608,4.849887"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.667237,2.760711,2.821595,2.922050,3.132251,4.305777,4.692821", \ - "2.660691,2.745877,2.811273,2.917986,3.125666,4.300133,4.684598", \ - "2.645552,2.728733,2.797717,2.896931,3.097641,4.284773,4.662462", \ - "2.647485,2.732921,2.788029,2.885454,3.082094,4.257804,4.647411", \ - "2.721177,2.780344,2.839220,2.932371,3.141964,4.325957,4.691957", \ - "2.810604,2.872227,2.922695,2.987470,3.209877,4.393660,4.761100", \ - "2.906641,2.961675,3.011018,3.094822,3.256175,4.427434,4.843887"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.957439,4.120391,4.262457,4.422016,4.593685,4.776352,5.068168", \ - "3.884168,4.063176,4.197575,4.357467,4.529489,4.711475,5.004578", \ - "3.841487,3.996460,4.134978,4.298926,4.471568,4.661523,4.945619", \ - "3.889043,4.052224,4.173737,4.340897,4.521745,4.713192,5.010137", \ - "3.981600,4.156531,4.319821,4.501003,4.682311,4.889715,5.180583", \ - "4.172366,4.306749,4.442371,4.619017,4.886161,5.157665,5.462201", \ - "4.539753,4.644964,4.751129,4.937033,5.169831,5.461513,5.861901"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.349232,2.592946,2.802847,2.996675,3.074506,3.092292,3.134050", \ - "2.317633,2.573271,2.785550,2.959843,3.030489,3.051493,3.090335", \ - "2.279836,2.519782,2.728141,2.915137,2.989692,3.023323,3.053926", \ - "2.279671,2.512749,2.710214,2.886632,2.972016,2.986717,3.036075", \ - "2.402560,2.613623,2.771987,2.950401,3.026337,3.045251,3.108426", \ - "2.624400,2.817939,2.993115,3.130317,3.183937,3.194823,3.273497", \ - "2.929835,3.134771,3.312845,3.500270,3.552031,3.504529,3.565856"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.771022,3.892196,3.965781,4.028455,4.073288,4.123462,4.123800", \ - "3.741131,3.862251,3.927737,3.990614,4.050668,4.106018,4.098597", \ - "3.719722,3.831929,3.902395,3.966372,4.019816,4.064264,4.064088", \ - "3.744998,3.851672,3.915827,3.965871,4.045804,4.063910,4.101696", \ - "3.846004,3.942007,3.991258,4.063954,4.123426,4.164155,4.182128", \ - "4.021610,4.104058,4.153224,4.202645,4.264020,4.281373,4.321233", \ - "4.270172,4.346335,4.390030,4.424854,4.485518,4.523586,4.526576"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.941021,3.087224,3.213204,3.376204,3.548845,3.734347,4.031731", \ - "2.902040,3.064852,3.177858,3.350618,3.522517,3.707090,4.003831", \ - "2.887445,3.027813,3.162052,3.318246,3.484542,3.685776,3.972112", \ - "2.905572,3.051252,3.168324,3.323005,3.503352,3.698479,3.998965", \ - "2.916722,3.068332,3.209048,3.393763,3.579240,3.785716,4.076063", \ - "2.960191,3.082281,3.194653,3.374268,3.635411,3.906350,4.211430", \ - "3.130061,3.220858,3.312392,3.477368,3.698718,3.985431,4.385503"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.742610,1.989059,2.195031,2.389776,2.469642,2.494323,2.536166", \ - "1.724408,1.964116,2.171613,2.368482,2.440323,2.453200,2.505112", \ - "1.704379,1.940776,2.154156,2.343537,2.419984,2.482097,2.491037", \ - "1.683262,1.916571,2.121990,2.303359,2.392829,2.413746,2.471424", \ - "1.750597,1.953803,2.105852,2.261225,2.381852,2.409172,2.475437", \ - "1.855921,2.048310,2.194553,2.341984,2.399582,2.443097,2.507994", \ - "1.982392,2.173456,2.330116,2.517558,2.563799,2.528658,2.596366"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.227797,3.348233,3.414742,3.478819,3.524787,3.577588,3.578819", \ - "3.215254,3.336454,3.394589,3.458773,3.520277,3.572385,3.573179", \ - "3.200973,3.319972,3.384225,3.435240,3.497269,3.550483,3.552282", \ - "3.210614,3.325021,3.382660,3.459959,3.514022,3.568777,3.574629", \ - "3.269134,3.364625,3.428803,3.494013,3.549205,3.569912,3.611684", \ - "3.364431,3.454310,3.503192,3.554028,3.616627,3.635847,3.678380", \ - "3.512758,3.586328,3.618393,3.671264,3.718551,3.735348,3.776507"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.761585,3.899192,4.012094,4.132846,4.221933,4.274274,4.290303", \ - "3.700928,3.843465,3.950453,4.073712,4.163526,4.213878,4.230437", \ - "3.648085,3.780759,3.891380,4.019447,4.109975,4.163815,4.178313", \ - "3.694195,3.836829,3.930802,4.047708,4.154210,4.213751,4.235188", \ - "3.775495,3.922132,4.056859,4.202407,4.310014,4.375452,4.398516", \ - "3.961133,4.066782,4.176440,4.316925,4.507288,4.639134,4.672743", \ - "4.311605,4.386304,4.479029,4.614034,4.780680,4.943942,5.079198"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.899859,1.993921,2.035064,2.067634,2.150468,2.158737,2.199022", \ - "1.870228,1.964020,2.016121,2.066378,2.106682,2.116349,2.155679", \ - "1.821860,1.912547,1.953554,1.983743,2.063470,2.074900,2.117464", \ - "1.813986,1.889273,1.940887,1.982432,1.973655,2.055530,2.034414", \ - "1.948398,1.998842,1.997463,2.014612,2.025472,2.117349,2.091536", \ - "2.166781,2.247628,2.254256,2.251010,2.246622,2.256166,2.311421", \ - "2.486411,2.586436,2.630192,2.637620,2.613073,2.561941,2.609960"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.695179,4.806095,4.869128,4.903222,4.953848,4.992585,4.995997", \ - "4.664091,4.774731,4.829478,4.889861,4.928493,4.967155,4.971763", \ - "4.637442,4.745699,4.806092,4.853347,4.892580,4.932307,4.934630", \ - "4.663654,4.766530,4.820098,4.879123,4.923463,4.952936,4.956631", \ - "4.766731,4.857517,4.906496,4.955098,4.985011,5.031485,5.033846", \ - "4.938740,5.017050,5.057721,5.105316,5.138468,5.178118,5.184785", \ - "5.193993,5.257892,5.279909,5.305583,5.327213,5.363033,5.401812"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.754903,3.899125,4.012015,4.133781,4.222572,4.273932,4.290148", \ - "3.700846,3.843391,3.950370,4.073719,4.163325,4.213762,4.230289", \ - "3.648008,3.780670,3.891288,4.019348,4.109826,4.163676,4.178143", \ - "3.693955,3.836738,3.930694,4.047588,4.154106,4.213669,4.235077", \ - "3.775372,3.922001,4.056735,4.202288,4.309879,4.375303,4.398335", \ - "3.961040,4.066662,4.176297,4.316789,4.507152,4.638982,4.672585", \ - "4.311501,4.386195,4.478887,4.613894,4.780536,4.943781,5.079025"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.899925,1.994035,2.035202,2.067792,2.150670,2.158930,2.199267", \ - "1.870296,1.964137,2.016263,2.066544,2.106883,2.116563,2.155909", \ - "1.821928,1.912658,1.953695,1.983908,2.063687,2.075136,2.117704", \ - "1.814056,1.889381,1.941028,1.982599,1.973838,2.055756,2.034614", \ - "1.948472,1.998949,1.997837,2.014764,2.025645,2.117757,2.091735", \ - "2.166857,2.247737,2.254380,2.251164,2.246805,2.256363,2.311632", \ - "2.486439,2.586538,2.630315,2.637769,2.613253,2.562121,2.610153"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.694092,4.805714,4.873813,4.904072,4.956281,4.996782,5.001963", \ - "4.663059,4.774388,4.829695,4.891047,4.930912,4.971296,4.977777", \ - "4.636546,4.745447,4.806452,4.854498,4.895034,4.936469,4.940609", \ - "4.663080,4.774052,4.820632,4.880470,4.913745,4.957113,4.962587", \ - "4.766484,4.857869,4.913405,4.956623,4.987625,5.041220,5.044085", \ - "4.939110,5.018059,5.058874,5.107151,5.141296,5.182431,5.190224", \ - "5.195015,5.259258,5.281506,5.307713,5.329873,5.367413,5.408745"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFRS_X2 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active low set, and drive strength X2 - *******************************************************************************************/ - - cell (DFFRS_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - preset : "!SN"; - clear : "!RN"; - clear_preset_var1 : L; - clear_preset_var2 : L; - } - - area : 6.916000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 89.539097; - - leakage_power () { - when : "!CK & !D & !RN & !SN & !Q & !QN"; - value : 80.622035; - } - leakage_power () { - when : "!CK & !D & !RN & SN & !Q & QN"; - value : 87.831956; - } - leakage_power () { - when : "!CK & !D & RN & !SN & Q & !QN"; - value : 94.698223; - } - leakage_power () { - when : "!CK & !D & RN & SN & !Q & QN"; - value : 99.603633; - } - leakage_power () { - when : "!CK & !D & RN & SN & Q & !QN"; - value : 104.400620; - } - leakage_power () { - when : "!CK & D & !RN & !SN & !Q & !QN"; - value : 70.106304; - } - leakage_power () { - when : "!CK & D & !RN & SN & !Q & QN"; - value : 85.942548; - } - leakage_power () { - when : "!CK & D & RN & !SN & Q & !QN"; - value : 81.365666; - } - leakage_power () { - when : "!CK & D & RN & SN & !Q & QN"; - value : 97.426205; - } - leakage_power () { - when : "!CK & D & RN & SN & Q & !QN"; - value : 96.230592; - } - leakage_power () { - when : "CK & !D & !RN & !SN & !Q & !QN"; - value : 72.268077; - } - leakage_power () { - when : "CK & !D & !RN & SN & !Q & QN"; - value : 86.786404; - } - leakage_power () { - when : "CK & !D & RN & !SN & Q & !QN"; - value : 79.241543; - } - leakage_power () { - when : "CK & !D & RN & SN & !Q & QN"; - value : 99.406537; - } - leakage_power () { - when : "CK & !D & RN & SN & Q & !QN"; - value : 93.254518; - } - leakage_power () { - when : "CK & D & !RN & !SN & !Q & !QN"; - value : 81.563618; - } - leakage_power () { - when : "CK & D & !RN & SN & !Q & QN"; - value : 96.103511; - } - leakage_power () { - when : "CK & D & RN & !SN & Q & !QN"; - value : 80.599130; - } - leakage_power () { - when : "CK & D & RN & SN & !Q & QN"; - value : 108.720508; - } - leakage_power () { - when : "CK & D & RN & SN & Q & !QN"; - value : 94.610300; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.135372; - fall_capacitance : 1.048320; - rise_capacitance : 1.135372; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.003705,0.043315,0.084075", \ - "0.027143,0.051718,0.066594", \ - "0.293381,0.329042,0.324527"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.010978,0.060867,0.113576", \ - "0.080716,0.131212,0.182200", \ - "0.233516,0.280198,0.321898"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.147606,0.092746,0.032246", \ - "0.204777,0.149514,0.091096", \ - "0.371855,0.316184,0.255350"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.069123,0.045574,0.068370", \ - "0.118198,0.091482,0.108511", \ - "0.207615,0.171712,0.176257"); - } - } - - internal_power () { - - when : "!CK & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.783776,2.768518,2.745174,2.730723,2.741636,2.800102,2.911170"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.483880,1.462731,1.438039,1.420425,1.419439,1.461959,1.564927"); - } - - } - - internal_power () { - - when : "!CK & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.487177,3.469244,3.446074,3.431989,3.439453,3.486751,3.600983"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.764685,2.743214,2.718322,2.696793,2.698633,2.747277,2.872160"); - } - - } - - internal_power () { - - when : "!CK & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.783564,2.767632,2.744928,2.730431,2.739603,2.799543,2.913788"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.429548,1.406909,1.386268,1.368121,1.368017,1.409348,1.512330"); - } - - } - - internal_power () { - - when : "!CK & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.496418,3.480296,3.456611,3.442434,3.449831,3.497056,3.611110"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.826527,2.804631,2.779088,2.757315,2.760555,2.811054,2.933635"); - } - - } - - internal_power () { - - when : "!CK & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.461784,3.445418,3.422564,3.409475,3.415808,3.464112,3.577632"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.811855,2.790706,2.765136,2.742421,2.748879,2.792970,2.909598"); - } - - } - - internal_power () { - - when : "CK & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.555816,0.535443,0.524208,0.520195,0.516688,0.515560,0.514378"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.463148,-0.462217,-0.467726,-0.472645,-0.473619,-0.474801,-0.475061"); - } - - } - - internal_power () { - - when : "CK & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.553911,0.532375,0.522985,0.517716,0.514357,0.513303,0.512128"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.463198,-0.463485,-0.471449,-0.473923,-0.474956,-0.476159,-0.476487"); - } - - } - - internal_power () { - - when : "CK & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.481109,0.484543,0.484965,0.485426,0.485145,0.485993,0.484691"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.333309,-0.364417,-0.406276,-0.427023,-0.436658,-0.443135,-0.447744"); - } - - } - - internal_power () { - - when : "CK & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.556852,0.536603,0.525103,0.521251,0.517655,0.516739,0.515518"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.462022,-0.466095,-0.469618,-0.472831,-0.473723,-0.474600,-0.475067"); - } - - } - - internal_power () { - - when : "CK & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480845,0.484341,0.484732,0.485188,0.484834,0.485731,0.484311"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.334352,-0.365420,-0.404226,-0.426773,-0.436422,-0.442767,-0.446859"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.823636; - fall_capacitance : 1.717447; - rise_capacitance : 1.823636; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.164585,-0.222521,-0.288743", \ - "-0.124809,-0.182303,-0.248523", \ - "0.064945,-0.008408,-0.088238"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.570092,0.606379,0.662064", \ - "0.584820,0.621273,0.677289", \ - "0.762784,0.799242,0.853882"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.645541,0.722263,1.086422"); - } - } - - internal_power () { - - when : "!CK & !D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.741526,0.716929,0.705817,0.702315,0.699894,0.699856,0.698364"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.645633,-0.645959,-0.650824,-0.656328,-0.657838,-0.657177,-0.654501"); - } - - } - - internal_power () { - - when : "!CK & D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.741143,0.714405,0.703618,0.700450,0.698762,0.697325,0.697885"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.620683,-0.622831,-0.628752,-0.638339,-0.644524,-0.645960,-0.644800"); - } - - } - - internal_power () { - - when : "CK & !D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.767028,0.729828,0.713910,0.709766,0.707107,0.705658,0.705072"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.646747,-0.645448,-0.652765,-0.656003,-0.659715,-0.660566,-0.658570"); - } - - } - - internal_power () { - - when : "CK & D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.766844,0.729761,0.713692,0.709719,0.707037,0.705944,0.704728"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.648630,-0.647270,-0.654042,-0.657785,-0.661526,-0.662318,-0.660610"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.587461; - fall_capacitance : 2.391602; - rise_capacitance : 2.587461; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.207334,-0.255644,-0.314366", \ - "-0.194151,-0.244116,-0.303064", \ - "-0.092687,-0.160201,-0.237933"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.592994,0.643217,0.702931", \ - "0.730934,0.781298,0.840581", \ - "1.215570,1.265792,1.325628"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.545103,0.627612,1.027043"); - } - } - - internal_power () { - - when : "!CK & !D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.178991,2.107970,2.065394,2.095687,2.183320,2.331902,2.546312"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.168533,-0.185187,-0.209842,-0.201808,-0.139327,-0.009201,0.200371"); - } - - } - - internal_power () { - - when : "!CK & D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.179783,1.142837,1.132412,1.126370,1.123508,1.120716,1.118022"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.909550,-0.912069,-0.918489,-0.921059,-0.923455,-0.922457,-0.920671"); - } - - } - - internal_power () { - - when : "CK & !D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.155652,1.131677,1.121115,1.117533,1.114424,1.112515,1.109335"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.911699,-0.917316,-0.919111,-0.922340,-0.924670,-0.923094,-0.920763"); - } - - } - - internal_power () { - - when : "CK & D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.155018,1.131143,1.120935,1.117404,1.114331,1.112413,1.109239"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.906833,-0.907794,-0.915082,-0.917024,-0.919229,-0.917523,-0.916956"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.897235; - fall_capacitance : 0.805117; - rise_capacitance : 0.897235; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.222726,0.242799,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.156174,0.165837,0.500500"); - } - } - - internal_power () { - - when : "!D & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.016396,3.989906,3.968754,3.985958,4.080230,4.230882,4.459789"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.764840,3.751922,3.715668,3.715135,3.776204,3.919300,4.139702"); - } - - } - - internal_power () { - - when : "!D & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.952223,2.927471,2.901893,2.920613,3.005455,3.164432,3.384040"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.721409,2.706055,2.665479,2.663504,2.725079,2.842346,3.057352"); - } - - } - - internal_power () { - - when : "!D & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.747209,4.722511,4.696277,4.727554,4.821252,4.990015,5.237248"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("15.012090,14.996890,14.965100,14.970900,15.033610,15.174370,15.398060"); - } - - } - - internal_power () { - - when : "!D & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.951906,2.927138,2.901417,2.922094,3.006024,3.164637,3.391405"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.731625,2.717369,2.676732,2.670448,2.736136,2.861473,3.070926"); - } - - } - - internal_power () { - - when : "!D & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.681597,5.655664,5.630928,5.656387,5.746925,5.902244,6.130448"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.164731,5.140243,5.114254,5.138234,5.216547,5.382413,5.608969"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.052765,6.038265,5.983349,5.958219,5.997665,6.124923,6.342836"); - } - - } - - internal_power () { - - when : "D & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.352800,5.327707,5.301325,5.318965,5.398708,5.554018,5.787575"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("7.993925,7.977581,7.912543,7.871938,7.914190,8.031532,8.254205"); - } - - } - - internal_power () { - - when : "D & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.782000,2.758512,2.732894,2.750097,2.834302,2.984818,3.211154"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.842813,2.825928,2.794440,2.787941,2.851793,2.967249,3.174096"); - } - - } - - internal_power () { - - when : "D & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.461389,5.434487,5.404351,5.426219,5.508573,5.667162,5.899096"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.788355,2.764957,2.738978,2.753736,2.838575,2.991710,3.215600"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.859160,2.846822,2.814324,2.808413,2.872635,2.988231,3.194980"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.693400; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.256316,0.270049,0.280882,0.297621,0.323859,0.367185,0.442171", \ - "0.261496,0.275272,0.286060,0.302791,0.329062,0.372366,0.447355", \ - "0.279137,0.292894,0.303692,0.320415,0.346703,0.389999,0.465010", \ - "0.303089,0.316875,0.327679,0.344417,0.370652,0.413966,0.488962", \ - "0.326805,0.340545,0.351136,0.367972,0.394260,0.437513,0.512584", \ - "0.347872,0.361662,0.372456,0.389638,0.415768,0.459065,0.534192", \ - "0.365899,0.379640,0.390306,0.407187,0.433200,0.475510,0.551738"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.263407,0.284266,0.303156,0.337344,0.402433,0.530333,0.785085", \ - "0.268640,0.289501,0.308429,0.342649,0.407769,0.535620,0.790400", \ - "0.286519,0.307393,0.326224,0.360474,0.425600,0.553497,0.808260", \ - "0.309925,0.330804,0.349638,0.383936,0.449071,0.576957,0.831745", \ - "0.332646,0.353538,0.372292,0.406219,0.471482,0.599465,0.854431", \ - "0.352335,0.373253,0.392066,0.426338,0.491537,0.619692,0.874601", \ - "0.367883,0.388838,0.407880,0.442632,0.507206,0.635240,0.891359"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0185832,0.0235646,0.0281314,0.0363379,0.0515651,0.0803672,0.137790", \ - "0.0185791,0.0235664,0.0281341,0.0363325,0.0515644,0.0803792,0.137786", \ - "0.0185757,0.0235653,0.0281326,0.0363238,0.0515652,0.0803573,0.137805", \ - "0.0185817,0.0235678,0.0281320,0.0363298,0.0515693,0.0803562,0.137785", \ - "0.0185850,0.0235659,0.0281343,0.0363314,0.0515664,0.0803755,0.137787", \ - "0.0185820,0.0235694,0.0281396,0.0363263,0.0515690,0.0803575,0.137799", \ - "0.0185804,0.0235707,0.0281411,0.0363327,0.0515675,0.0803604,0.137779"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0223494,0.0342277,0.0476678,0.0759286,0.134987,0.255858,0.499706", \ - "0.0223531,0.0342302,0.0476729,0.0759343,0.134985,0.255860,0.499706", \ - "0.0223610,0.0342383,0.0476774,0.0759338,0.134987,0.255862,0.499709", \ - "0.0223751,0.0342462,0.0476858,0.0759427,0.134988,0.255863,0.499704", \ - "0.0223980,0.0342672,0.0476926,0.0759401,0.134989,0.255860,0.499706", \ - "0.0224128,0.0342725,0.0476987,0.0759482,0.134994,0.255859,0.499703", \ - "0.0224408,0.0342937,0.0477212,0.0759510,0.134994,0.255865,0.499710"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.102945,0.116340,0.126770,0.142799,0.167895,0.209351,0.283171", \ - "0.107650,0.121047,0.131473,0.147510,0.172610,0.214071,0.287895", \ - "0.125815,0.139193,0.149607,0.165649,0.190760,0.232227,0.306056", \ - "0.162790,0.176082,0.186498,0.202415,0.227559,0.269128,0.343007", \ - "0.216921,0.231424,0.242253,0.258762,0.284251,0.325919,0.399880", \ - "0.275334,0.292414,0.305192,0.323713,0.351398,0.394952,0.469732", \ - "0.336732,0.356371,0.371278,0.392471,0.422852,0.468928,0.545596"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.089024,0.109382,0.128035,0.161969,0.226797,0.354863,0.610099", \ - "0.094030,0.114392,0.133037,0.166976,0.231820,0.359885,0.615115", \ - "0.108289,0.128623,0.147248,0.181152,0.245968,0.374083,0.629317", \ - "0.132845,0.153171,0.171753,0.205518,0.270224,0.398294,0.653538", \ - "0.162170,0.183354,0.202332,0.236279,0.300933,0.428875,0.684069", \ - "0.192334,0.214909,0.234582,0.269104,0.333884,0.461683,0.716771", \ - "0.220676,0.244926,0.265762,0.301293,0.366164,0.493920,0.748903"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018257,0.022811,0.027021,0.034688,0.049085,0.077540,0.136473", \ - "0.018255,0.022809,0.027027,0.034690,0.049087,0.077534,0.136462", \ - "0.018253,0.022821,0.027034,0.034689,0.049074,0.077525,0.136461", \ - "0.018329,0.022919,0.027137,0.034768,0.049112,0.077525,0.136463", \ - "0.022181,0.025991,0.029589,0.036412,0.050084,0.078029,0.136642", \ - "0.028767,0.032627,0.036010,0.042374,0.055045,0.081330,0.137997", \ - "0.035993,0.040308,0.043664,0.049638,0.061502,0.086609,0.141512"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020598,0.032743,0.046271,0.074606,0.134232,0.256002,0.500238", \ - "0.020599,0.032742,0.046273,0.074607,0.134220,0.256010,0.500238", \ - "0.020561,0.032716,0.046254,0.074608,0.134205,0.255996,0.500236", \ - "0.020994,0.032932,0.046369,0.074643,0.134223,0.255995,0.500242", \ - "0.022879,0.034673,0.047780,0.075454,0.134400,0.256050,0.500235", \ - "0.025780,0.037336,0.050032,0.076956,0.135147,0.256143,0.500293", \ - "0.030114,0.041421,0.053524,0.079343,0.136205,0.256692,0.500527"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.104166,0.118252,0.129310,0.146428,0.173343,0.217878,0.294507", \ - "0.108882,0.122968,0.134032,0.151142,0.178072,0.222602,0.299240", \ - "0.127059,0.141124,0.152177,0.169289,0.196224,0.240769,0.317418", \ - "0.163981,0.177970,0.188990,0.206121,0.233087,0.277672,0.354299", \ - "0.218131,0.233358,0.245293,0.262703,0.289980,0.334704,0.411291", \ - "0.277136,0.295126,0.308769,0.328815,0.358600,0.405180,0.482038", \ - "0.338874,0.359912,0.375804,0.398835,0.431873,0.481672,0.560222"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019015,0.024061,0.028744,0.037160,0.052904,0.082560,0.139010", \ - "0.019014,0.024062,0.028745,0.037172,0.052898,0.082566,0.139009", \ - "0.019018,0.024080,0.028757,0.037169,0.052901,0.082571,0.139005", \ - "0.019083,0.024179,0.028859,0.037241,0.052938,0.082550,0.138969", \ - "0.023075,0.027356,0.031296,0.038846,0.053813,0.082903,0.138922", \ - "0.030041,0.034525,0.038407,0.045483,0.059307,0.086195,0.139570", \ - "0.037815,0.042859,0.046880,0.053738,0.066904,0.092241,0.142131"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.102948,0.116344,0.126768,0.142803,0.167898,0.209353,0.283176", \ - "0.107655,0.121052,0.131477,0.147514,0.172615,0.214077,0.287902", \ - "0.125821,0.139199,0.149611,0.165654,0.190765,0.232231,0.306060", \ - "0.162829,0.176126,0.186519,0.202426,0.227572,0.269099,0.343001", \ - "0.216900,0.231412,0.242328,0.258902,0.284388,0.326056,0.400017", \ - "0.275605,0.292613,0.305072,0.323747,0.351422,0.394906,0.469673", \ - "0.336736,0.356379,0.370945,0.392177,0.422615,0.468702,0.545347"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.088957,0.109312,0.127959,0.161896,0.226728,0.354791,0.609977", \ - "0.093964,0.114321,0.132964,0.166901,0.231745,0.359839,0.615062", \ - "0.108228,0.128557,0.147180,0.181083,0.245899,0.374014,0.629271", \ - "0.132816,0.153133,0.171693,0.205456,0.270174,0.398236,0.653487", \ - "0.162032,0.183206,0.202197,0.236227,0.300874,0.428829,0.684031", \ - "0.192227,0.214550,0.234454,0.268813,0.333535,0.461537,0.716713", \ - "0.219801,0.244247,0.265272,0.300910,0.366094,0.493766,0.747893"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018254,0.022811,0.027028,0.034689,0.049086,0.077533,0.136473", \ - "0.018259,0.022809,0.027028,0.034688,0.049085,0.077536,0.136460", \ - "0.018256,0.022821,0.027035,0.034689,0.049075,0.077525,0.136462", \ - "0.018330,0.022921,0.027131,0.034766,0.049111,0.077534,0.136457", \ - "0.022183,0.025994,0.029579,0.036402,0.050077,0.078026,0.136642", \ - "0.028719,0.032603,0.036028,0.042374,0.055042,0.081327,0.137988", \ - "0.035994,0.040309,0.043712,0.049679,0.061531,0.086630,0.141525"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020596,0.032733,0.046262,0.074610,0.134225,0.256009,0.500266", \ - "0.020594,0.032733,0.046266,0.074602,0.134217,0.255992,0.500317", \ - "0.020550,0.032708,0.046247,0.074603,0.134204,0.255996,0.500335", \ - "0.020984,0.032923,0.046361,0.074640,0.134222,0.255992,0.500233", \ - "0.022878,0.034669,0.047779,0.075455,0.134405,0.256036,0.500257", \ - "0.025796,0.037351,0.050033,0.076966,0.135149,0.256138,0.500347", \ - "0.030197,0.041479,0.053559,0.079351,0.136213,0.256696,0.500520"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.104170,0.118253,0.129316,0.146426,0.173348,0.217868,0.294507", \ - "0.108887,0.122977,0.134039,0.151156,0.178081,0.222599,0.299257", \ - "0.127070,0.141133,0.152187,0.169304,0.196240,0.240780,0.317429", \ - "0.164040,0.178015,0.188986,0.206136,0.233104,0.277669,0.354312", \ - "0.218398,0.233591,0.245201,0.262935,0.290218,0.334931,0.411527", \ - "0.277185,0.295286,0.308848,0.329377,0.359138,0.405747,0.482422", \ - "0.338888,0.359955,0.375830,0.398861,0.431895,0.482458,0.560984"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019017,0.024065,0.028744,0.037162,0.052904,0.082571,0.139018", \ - "0.019015,0.024060,0.028742,0.037157,0.052891,0.082580,0.139015", \ - "0.019014,0.024082,0.028758,0.037170,0.052902,0.082559,0.139009", \ - "0.019084,0.024179,0.028862,0.037249,0.052935,0.082547,0.138947", \ - "0.023045,0.027329,0.031309,0.038831,0.053807,0.082903,0.138930", \ - "0.030028,0.034506,0.038401,0.045415,0.059252,0.086165,0.139575", \ - "0.037818,0.042858,0.046881,0.053742,0.066902,0.092186,0.142128"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.103381,0.116729,0.127135,0.143164,0.168270,0.209708,0.283505", \ - "0.108088,0.121437,0.131847,0.147874,0.172984,0.214434,0.288224", \ - "0.126245,0.139577,0.149964,0.165996,0.191113,0.232564,0.306371", \ - "0.163105,0.176343,0.186762,0.202760,0.227905,0.269426,0.343275", \ - "0.217068,0.231518,0.242671,0.258942,0.284427,0.326092,0.399994", \ - "0.275422,0.292474,0.305581,0.323792,0.351488,0.394983,0.469696", \ - "0.336948,0.356701,0.371579,0.392757,0.423133,0.469173,0.545877"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.473933,0.494452,0.513086,0.546754,0.611362,0.739253,0.994450", \ - "0.478958,0.499548,0.518084,0.551752,0.616357,0.744271,0.999472", \ - "0.492137,0.512764,0.531305,0.565019,0.629533,0.757493,1.012691", \ - "0.514816,0.535393,0.553963,0.587622,0.652224,0.780150,1.035384", \ - "0.548866,0.569063,0.588090,0.621637,0.686239,0.814082,1.069289", \ - "0.590908,0.611695,0.630476,0.664095,0.728682,0.856631,1.111762", \ - "0.638195,0.658752,0.677383,0.710854,0.775412,0.903376,1.158830"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018221,0.022767,0.026991,0.034668,0.049064,0.077509,0.136369", \ - "0.018226,0.022769,0.026987,0.034669,0.049073,0.077499,0.136365", \ - "0.018221,0.022775,0.027007,0.034676,0.049078,0.077505,0.136364", \ - "0.018283,0.022868,0.027087,0.034747,0.049102,0.077504,0.136370", \ - "0.022102,0.025903,0.029485,0.036358,0.050066,0.078009,0.136564", \ - "0.028682,0.032510,0.035862,0.042306,0.055002,0.081315,0.137924", \ - "0.035871,0.040114,0.043494,0.049497,0.061419,0.086532,0.141453"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022033,0.033583,0.046773,0.074788,0.134172,0.255844,0.500149", \ - "0.022028,0.033582,0.046772,0.074788,0.134151,0.255842,0.500177", \ - "0.022029,0.033583,0.046768,0.074784,0.134172,0.255826,0.500186", \ - "0.022025,0.033583,0.046770,0.074784,0.134166,0.255822,0.500095", \ - "0.022030,0.033583,0.046771,0.074792,0.134155,0.255834,0.500157", \ - "0.022027,0.033586,0.046773,0.074781,0.134158,0.255917,0.500135", \ - "0.022001,0.033557,0.046750,0.074778,0.134152,0.255837,0.500159"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.104540,0.118462,0.129405,0.146525,0.172837,0.216478,0.291912", \ - "0.109260,0.123185,0.134124,0.151249,0.177561,0.221203,0.296651", \ - "0.127433,0.141504,0.152261,0.169382,0.195712,0.239368,0.314798", \ - "0.164328,0.178325,0.189020,0.206158,0.232533,0.276214,0.351651", \ - "0.218844,0.233910,0.245447,0.262613,0.289591,0.333412,0.408669", \ - "0.278469,0.295763,0.309137,0.329236,0.358238,0.403863,0.480045", \ - "0.340214,0.361499,0.376511,0.398871,0.431264,0.479877,0.558137"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018862,0.023817,0.028420,0.036732,0.051970,0.080831,0.138282", \ - "0.018865,0.023818,0.028422,0.036734,0.051972,0.080828,0.138277", \ - "0.018862,0.023968,0.028431,0.036733,0.051975,0.080830,0.138279", \ - "0.018918,0.024060,0.028522,0.036811,0.051997,0.080847,0.138271", \ - "0.022932,0.027111,0.030970,0.038299,0.052865,0.081228,0.138394", \ - "0.029749,0.033930,0.037773,0.044725,0.058151,0.084489,0.139566", \ - "0.037094,0.042167,0.045999,0.052714,0.065425,0.090344,0.143314"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.103381,0.116729,0.127135,0.143162,0.168270,0.209709,0.283505", \ - "0.108088,0.121437,0.131847,0.147874,0.172984,0.214434,0.288224", \ - "0.126245,0.139577,0.149964,0.165996,0.191113,0.232564,0.306371", \ - "0.163100,0.176339,0.186762,0.202760,0.227905,0.269426,0.343275", \ - "0.217068,0.231518,0.242671,0.258942,0.284427,0.326092,0.399994", \ - "0.275422,0.292475,0.305581,0.323792,0.351488,0.394983,0.469697", \ - "0.336917,0.356682,0.371575,0.392767,0.423119,0.469099,0.545790"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.473730,0.494237,0.512875,0.546536,0.611151,0.739039,0.994236", \ - "0.478741,0.499217,0.517880,0.551536,0.616141,0.744054,0.999256", \ - "0.491971,0.512391,0.531074,0.564749,0.629313,0.757252,1.012439", \ - "0.514590,0.535130,0.553712,0.587365,0.651947,0.779862,1.035157", \ - "0.548207,0.568710,0.587547,0.621265,0.685875,0.813843,1.069020", \ - "0.590916,0.611715,0.630092,0.663758,0.728212,0.856219,1.111239", \ - "0.637804,0.658367,0.676976,0.710469,0.775017,0.902975,1.158417"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018221,0.022767,0.026991,0.034669,0.049065,0.077509,0.136369", \ - "0.018226,0.022769,0.026986,0.034669,0.049073,0.077499,0.136365", \ - "0.018221,0.022775,0.027007,0.034676,0.049078,0.077505,0.136364", \ - "0.018283,0.022868,0.027086,0.034747,0.049102,0.077505,0.136370", \ - "0.022102,0.025903,0.029485,0.036358,0.050066,0.078009,0.136564", \ - "0.028683,0.032510,0.035862,0.042305,0.055002,0.081315,0.137924", \ - "0.035896,0.040116,0.043477,0.049489,0.061413,0.086532,0.141456"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022031,0.033583,0.046772,0.074787,0.134166,0.255845,0.500149", \ - "0.022029,0.033584,0.046771,0.074787,0.134151,0.255844,0.500192", \ - "0.022024,0.033584,0.046769,0.074786,0.134164,0.255827,0.500148", \ - "0.022030,0.033583,0.046768,0.074785,0.134166,0.255823,0.500097", \ - "0.022032,0.033583,0.046772,0.074787,0.134141,0.255819,0.500150", \ - "0.022027,0.033583,0.046771,0.074786,0.134148,0.255880,0.500080", \ - "0.021996,0.033557,0.046749,0.074779,0.134144,0.255890,0.500141"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.104540,0.118462,0.129405,0.146526,0.172837,0.216478,0.291907", \ - "0.109260,0.123185,0.134125,0.151247,0.177564,0.221203,0.296636", \ - "0.127433,0.141504,0.152261,0.169382,0.195712,0.239368,0.314798", \ - "0.164302,0.178327,0.189020,0.206158,0.232533,0.276214,0.351651", \ - "0.218843,0.233910,0.245447,0.262613,0.289591,0.333412,0.408669", \ - "0.278543,0.295763,0.309137,0.329236,0.358238,0.403863,0.480045", \ - "0.340215,0.361509,0.376511,0.398871,0.431264,0.479877,0.558137"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018862,0.023817,0.028420,0.036732,0.051970,0.080831,0.138283", \ - "0.018865,0.023817,0.028422,0.036731,0.051973,0.080828,0.138290", \ - "0.018862,0.023968,0.028431,0.036733,0.051975,0.080830,0.138279", \ - "0.018918,0.024060,0.028522,0.036811,0.051997,0.080847,0.138271", \ - "0.022930,0.027111,0.030970,0.038299,0.052865,0.081228,0.138394", \ - "0.029756,0.033931,0.037773,0.044725,0.058151,0.084489,0.139566", \ - "0.037096,0.042169,0.045998,0.052714,0.065425,0.090344,0.143314"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.662825,0.689508,0.711215,0.746839,0.811379,0.938486,1.193178", \ - "0.666732,0.693365,0.715129,0.750772,0.815291,0.942423,1.197101", \ - "0.685194,0.711820,0.733585,0.769214,0.833754,0.960895,1.215583", \ - "0.718305,0.745106,0.766595,0.802347,0.866795,0.993929,1.248613", \ - "0.765271,0.791960,0.813578,0.849243,0.913664,1.040839,1.295512", \ - "0.829166,0.855856,0.877592,0.912983,0.977413,1.104635,1.359334", \ - "0.913376,0.940045,0.961563,0.997140,1.061632,1.188794,1.443515"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.034986,0.045793,0.056511,0.080306,0.136057,0.256589,0.500624", \ - "0.034985,0.045794,0.056532,0.080307,0.136078,0.256582,0.500628", \ - "0.034985,0.045800,0.056512,0.080290,0.136057,0.256593,0.500557", \ - "0.034981,0.045789,0.056535,0.080293,0.136057,0.256527,0.500515", \ - "0.034977,0.045786,0.056505,0.080300,0.136057,0.256528,0.500625", \ - "0.034958,0.045789,0.056521,0.080301,0.136062,0.256541,0.500480", \ - "0.034967,0.045775,0.056496,0.080293,0.136086,0.256565,0.500633"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.663345,0.689976,0.711760,0.747423,0.811766,0.938730,1.193236", \ - "0.667278,0.693897,0.715640,0.751269,0.815645,0.942614,1.197146", \ - "0.685749,0.712406,0.734109,0.769787,0.834102,0.961095,1.215617", \ - "0.718735,0.745436,0.767066,0.802769,0.867166,0.994190,1.248701", \ - "0.765395,0.792345,0.813788,0.849419,0.913784,1.040859,1.295425", \ - "0.829086,0.855751,0.877402,0.913190,0.977554,1.104549,1.359224", \ - "0.912921,0.939626,0.961376,0.996759,1.061116,1.188132,1.442689"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.035028,0.045829,0.056565,0.080320,0.136088,0.256588,0.500621", \ - "0.035017,0.045829,0.056562,0.080316,0.136087,0.256591,0.500645", \ - "0.035014,0.045825,0.056536,0.080311,0.136060,0.256594,0.500655", \ - "0.035018,0.045821,0.056527,0.080313,0.136068,0.256583,0.500541", \ - "0.034998,0.045813,0.056554,0.080309,0.136083,0.256583,0.500621", \ - "0.034993,0.045806,0.056548,0.080307,0.136067,0.256583,0.500544", \ - "0.034980,0.045794,0.056511,0.080311,0.136068,0.256593,0.500491"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.529872,0.550592,0.569389,0.603257,0.667763,0.795963,1.051541", \ - "0.533944,0.554627,0.573399,0.607322,0.671821,0.799996,1.055554", \ - "0.552195,0.572876,0.591660,0.625548,0.690073,0.818206,1.073788", \ - "0.586763,0.607383,0.626108,0.659987,0.724477,0.852589,1.108109", \ - "0.628445,0.649015,0.667792,0.701448,0.765996,0.894373,1.149970", \ - "0.674706,0.695293,0.713606,0.747393,0.811967,0.940137,1.195706", \ - "0.725201,0.745822,0.764712,0.798124,0.862798,0.991054,1.246586"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022137,0.033690,0.046867,0.074858,0.134183,0.255868,0.500105", \ - "0.022110,0.033664,0.046841,0.074847,0.134184,0.255868,0.500123", \ - "0.022091,0.033646,0.046832,0.074845,0.134169,0.255866,0.500118", \ - "0.022085,0.033640,0.046825,0.074825,0.134172,0.255916,0.500187", \ - "0.022077,0.033638,0.046824,0.074837,0.134174,0.255862,0.500105", \ - "0.022080,0.033633,0.046817,0.074827,0.134172,0.255912,0.500113", \ - "0.022076,0.033633,0.046820,0.074836,0.134184,0.255865,0.499995"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.530248,0.550925,0.569707,0.603585,0.667976,0.796070,1.051538", \ - "0.534309,0.554957,0.573717,0.607598,0.672058,0.800105,1.055591", \ - "0.552592,0.573200,0.591985,0.625796,0.690258,0.818298,1.073777", \ - "0.587043,0.607656,0.626329,0.660186,0.724632,0.852662,1.108080", \ - "0.628668,0.649228,0.667984,0.701608,0.766107,0.894414,1.149923", \ - "0.674767,0.695424,0.713685,0.747511,0.812029,0.940140,1.195635", \ - "0.725224,0.745829,0.764739,0.798163,0.862807,0.991015,1.246452"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022139,0.033694,0.046867,0.074854,0.134180,0.255924,0.500148", \ - "0.022112,0.033668,0.046847,0.074852,0.134184,0.255870,0.500134", \ - "0.022089,0.033649,0.046833,0.074845,0.134169,0.255844,0.500119", \ - "0.022086,0.033641,0.046827,0.074823,0.134170,0.255916,0.500161", \ - "0.022081,0.033639,0.046823,0.074836,0.134174,0.255862,0.500097", \ - "0.022079,0.033635,0.046814,0.074828,0.134173,0.255912,0.500120", \ - "0.022080,0.033634,0.046820,0.074837,0.134185,0.255864,0.499995"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.705093,5.836566,5.892892,5.971202,5.980243,6.027537,6.025324", \ - "5.691709,5.836455,5.887064,5.940522,6.018975,6.041045,6.039196", \ - "5.670448,5.815141,5.877219,5.954870,5.972280,6.018695,6.018920", \ - "5.678578,5.809623,5.871085,5.946528,5.993717,6.040077,6.039114", \ - "5.696694,5.841255,5.916062,5.943496,5.998805,6.046198,6.043910", \ - "5.762658,5.906990,5.971898,6.019883,6.046369,6.093036,6.090209", \ - "5.877015,6.019909,6.087353,6.126929,6.200534,6.195550,6.246200"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.667811,5.769312,5.839340,6.106253,6.197031,6.269287,6.267776", \ - "5.652655,5.766739,5.817434,6.116484,6.198480,6.244668,6.269603", \ - "5.633899,5.749733,5.805431,6.081718,6.178120,6.223561,6.248449", \ - "5.628314,5.733819,5.792232,6.089363,6.188489,6.235786,6.259057", \ - "5.656959,5.762624,5.822625,6.078990,6.191310,6.253925,6.258721", \ - "5.719943,5.818765,5.885391,6.157547,6.299758,6.342701,6.365550", \ - "5.835825,5.937624,5.996389,6.284531,6.382916,6.427583,6.435390"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.939188,4.196574,4.396857,4.650895,4.870106,5.007992,5.064844", \ - "3.912023,4.180845,4.365731,4.610352,4.840715,4.984595,5.031055", \ - "3.863078,4.135398,4.324153,4.577302,4.798940,4.937724,4.998605", \ - "3.901441,4.140598,4.336758,4.556634,4.795282,4.953471,5.010092", \ - "4.038868,4.261214,4.417871,4.625657,4.877632,5.018924,5.096312", \ - "4.190533,4.347859,4.508414,4.734846,5.022660,5.205704,5.278159", \ - "4.541142,4.616014,4.724024,4.912852,5.173206,5.417096,5.548471"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.280579,3.592704,3.729722,3.869893,3.991155,4.090178,4.045833", \ - "3.261729,3.593504,3.710334,3.851115,3.946634,4.096495,4.133570", \ - "3.242952,3.548121,3.672672,3.808817,3.945393,3.965019,4.004726", \ - "3.213316,3.535087,3.653233,3.743895,3.904875,3.996881,3.971472", \ - "3.269383,3.551334,3.675731,3.776556,3.810922,3.961740,4.012527", \ - "3.381054,3.693723,3.800593,3.829720,3.941480,3.949922,4.012420", \ - "3.573342,3.900308,4.008960,4.074440,4.083301,4.134007,4.183875"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.453707,4.698826,4.870349,5.083405,5.129320,5.186699,5.192534", \ - "4.429761,4.686742,4.856882,5.043781,5.120915,5.179456,5.184372", \ - "4.413381,4.670063,4.845186,5.057146,5.154845,5.146171,5.155418", \ - "4.425663,4.666957,4.848697,5.029003,5.138724,5.182857,5.193091", \ - "4.525737,4.731640,4.895471,5.087535,5.164055,5.158288,5.241879", \ - "4.699123,4.872729,5.020109,5.186480,5.212253,5.273822,5.292595", \ - "4.890860,5.039100,5.183778,5.365934,5.393650,5.387534,5.408496"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.951785,4.223732,4.406875,4.663359,4.881407,5.024638,5.074337", \ - "3.925041,4.181290,4.379134,4.597129,4.852038,4.994594,5.041751", \ - "3.883488,4.132941,4.337936,4.589941,4.811346,4.949802,5.010269", \ - "3.910084,4.166441,4.339947,4.569496,4.792384,4.964701,5.019695", \ - "4.051332,4.274473,4.431042,4.639679,4.891926,5.033452,5.110360", \ - "4.197206,4.369466,4.513836,4.749962,5.034552,5.202553,5.287723", \ - "4.553460,4.629733,4.729423,4.917574,5.181299,5.425588,5.556995"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.273461,3.579752,3.724095,3.864688,3.985544,4.000223,4.041870", \ - "3.254516,3.586616,3.704897,3.845926,3.940578,4.093818,4.123907", \ - "3.209822,3.541094,3.667064,3.803530,3.938935,3.958569,3.985621", \ - "3.205568,3.527852,3.647616,3.738365,3.897735,3.918356,3.964548", \ - "3.252327,3.544690,3.669213,3.771334,3.803243,3.955236,4.005570", \ - "3.373453,3.664922,3.777520,3.825531,3.803192,3.943521,4.005487", \ - "3.552085,3.875206,4.009812,4.077426,4.078721,4.128564,4.055627"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.518502,4.761302,4.935330,5.149493,5.196257,5.254437,5.259496", \ - "4.493308,4.752547,4.937565,5.139795,5.201448,5.245640,5.250739", \ - "4.476600,4.733011,4.908409,5.121088,5.219771,5.210659,5.218274", \ - "4.489662,4.720401,4.912564,5.105178,5.205727,5.247999,5.261763", \ - "4.588544,4.793964,4.959621,5.129580,5.229584,5.223674,5.308444", \ - "4.756280,4.933118,5.081341,5.244901,5.272717,5.335693,5.355194", \ - "4.949213,5.100412,5.246013,5.427519,5.472676,5.513728,5.466097"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.148516,4.447328,4.663880,4.946829,5.256484,5.551075,5.912542", \ - "4.127740,4.426122,4.634730,4.916679,5.226384,5.519941,5.882539", \ - "4.107927,4.381874,4.606595,4.871097,5.185241,5.468313,5.846117", \ - "4.140046,4.398587,4.598700,4.871148,5.185668,5.494579,5.857317", \ - "4.269803,4.526789,4.712900,4.955615,5.283882,5.566440,5.958749", \ - "4.443293,4.630298,4.809261,5.081403,5.447025,5.774848,6.156443", \ - "4.803689,4.916289,5.030440,5.279963,5.602651,5.989045,6.428052"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.028378,6.369669,6.515762,6.608446,6.711495,6.725638,6.789165", \ - "6.019660,6.358505,6.507329,6.599300,6.677399,6.689062,6.745635", \ - "6.000804,6.365936,6.488594,6.613379,6.603360,6.750781,6.805876", \ - "6.006475,6.346254,6.512120,6.568392,6.663416,6.674900,6.734863", \ - "6.005740,6.357593,6.502317,6.597458,6.640128,6.788656,6.845250", \ - "6.007433,6.368464,6.511013,6.570651,6.615286,6.754417,6.878520", \ - "6.024958,6.391740,6.529855,6.625534,6.687263,6.834055,6.882558"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.338148,4.500662,4.606214,4.741151,4.932676,6.263413,6.667738", \ - "4.328457,4.491230,4.588677,4.700220,4.924311,6.256698,6.656618", \ - "4.312966,4.475065,4.576437,4.695966,4.880126,6.216953,6.628428", \ - "4.331975,4.483585,4.559081,4.691620,4.931803,6.278101,6.666360", \ - "4.447305,4.570979,4.649025,4.741968,5.004008,6.357960,6.658413", \ - "4.640477,4.723536,4.785762,4.877381,5.064065,6.418129,6.789878", \ - "4.824527,4.910666,4.940709,5.046411,5.240885,6.504869,6.924807"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.148270,4.446990,4.664113,4.946580,5.255138,5.550131,5.910734", \ - "4.127451,4.425782,4.635149,4.916184,5.225659,5.518972,5.880660", \ - "4.107556,4.381445,4.606118,4.870525,5.187995,5.467259,5.843897", \ - "4.139519,4.397744,4.598715,4.870886,5.184765,5.493610,5.855203", \ - "4.269146,4.525944,4.711995,4.955112,5.282683,5.564796,5.956184", \ - "4.442273,4.629311,4.808079,5.079998,5.445411,5.772714,6.153419", \ - "4.804734,4.915782,5.029057,5.271776,5.599949,5.982320,6.411753"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.006147,6.374632,6.486754,6.614597,6.716983,6.731183,6.795295", \ - "6.025057,6.366300,6.515264,6.605487,6.682706,6.694758,6.749498", \ - "6.004882,6.347829,6.494617,6.621162,6.609199,6.756332,6.820255", \ - "6.011897,6.352676,6.483861,6.575680,6.670404,6.682607,6.741161", \ - "5.995060,6.365166,6.482184,6.607470,6.648926,6.795076,6.856204", \ - "6.007564,6.369167,6.486103,6.580201,6.616986,6.761130,6.824378", \ - "6.035144,6.374898,6.505491,6.636980,6.697610,6.705865,6.760471"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.338678,4.501156,4.609415,4.741091,4.932641,6.262699,6.664049", \ - "4.328336,4.491441,4.589572,4.699971,4.926164,6.255924,6.653138", \ - "4.313155,4.475182,4.576388,4.695728,4.879965,6.216214,6.624334", \ - "4.332542,4.482971,4.558750,4.691240,4.931386,6.276720,6.664300", \ - "4.449499,4.570672,4.648744,4.741420,5.003338,6.356912,6.654140", \ - "4.639878,4.722836,4.785001,4.876440,5.062935,6.415847,6.785442", \ - "4.823714,4.909715,4.939637,5.045131,5.239206,6.502062,6.920223"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.606760,5.815603,5.896971,5.957173,6.050303,6.109843,6.126153", \ - "5.560564,5.774172,5.874473,5.959698,6.030358,6.022260,6.107834", \ - "5.538439,5.744340,5.846634,5.907875,5.977454,6.037427,6.052617", \ - "5.572060,5.761215,5.856954,5.914297,6.018803,6.015939,6.080870", \ - "5.727611,5.885511,5.953969,6.002031,6.046417,6.112077,6.135792", \ - "5.989315,6.109062,6.178177,6.216157,6.264643,6.285316,6.309918", \ - "6.368837,6.449355,6.481338,6.516025,6.557745,6.611131,6.632492"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.096954,5.305297,5.413087,5.498632,5.543227,5.605974,5.626674", \ - "5.080602,5.290263,5.382318,5.469105,5.541648,5.535383,5.627878", \ - "5.059795,5.267747,5.368413,5.429601,5.502633,5.565788,5.593341", \ - "5.078168,5.267497,5.363148,5.421936,5.528208,5.527776,5.552961", \ - "5.191754,5.347430,5.418209,5.467176,5.512402,5.627156,5.607479", \ - "5.382188,5.501553,5.569022,5.623336,5.684266,5.680093,5.709305", \ - "5.643264,5.721072,5.764233,5.802343,5.846168,5.833803,5.863643"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.401660,6.591151,6.682911,6.750321,6.798574,6.844434,6.853726", \ - "6.366211,6.541839,6.632576,6.699445,6.775601,6.821216,6.829652", \ - "6.334373,6.519806,6.607460,6.664888,6.725416,6.772322,6.783682", \ - "6.373599,6.535230,6.628702,6.689327,6.748458,6.797640,6.812177", \ - "6.521768,6.662513,6.718893,6.798796,6.848796,6.909332,6.917836", \ - "6.778818,6.882896,6.926734,6.964119,7.046882,7.040155,7.125989", \ - "7.158599,7.207395,7.238137,7.233804,7.300895,7.337592,7.348615"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.398536,6.589241,6.681741,6.749191,6.799631,6.845581,6.859610", \ - "6.363227,6.553349,6.630972,6.706872,6.776315,6.824685,6.837986", \ - "6.331132,6.517844,6.605845,6.658105,6.756748,6.775794,6.789710", \ - "6.374651,6.547098,6.624647,6.689220,6.750039,6.801363,6.819402", \ - "6.520277,6.661762,6.718680,6.799451,6.850780,6.913309,6.956933", \ - "6.793876,6.883048,6.927544,6.965185,6.998706,7.044484,7.132370", \ - "7.159645,7.222334,7.240364,7.235785,7.303997,7.342350,7.355816"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.834400; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.341789,0.350990,0.358573,0.371402,0.393357,0.431884,0.503303", \ - "0.347026,0.356221,0.363848,0.376706,0.398694,0.437177,0.508625", \ - "0.364908,0.374121,0.381646,0.394531,0.416524,0.455049,0.526471", \ - "0.388325,0.397535,0.405060,0.417988,0.440008,0.478510,0.549957", \ - "0.411069,0.420272,0.427717,0.440274,0.462405,0.501020,0.572655", \ - "0.430766,0.439994,0.447487,0.460408,0.482467,0.521255,0.592830", \ - "0.446340,0.455588,0.463300,0.476699,0.498133,0.536800,0.609570"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.352318,0.368999,0.384566,0.414225,0.475307,0.601075,0.854977", \ - "0.357498,0.374222,0.389742,0.419391,0.480512,0.606256,0.860162", \ - "0.375141,0.391844,0.407380,0.437019,0.498152,0.623893,0.877820", \ - "0.399093,0.415827,0.431364,0.461025,0.522100,0.647857,0.901768", \ - "0.422810,0.439497,0.454820,0.484574,0.545708,0.671403,0.925388", \ - "0.443887,0.460623,0.476144,0.506243,0.567223,0.692953,0.946993", \ - "0.461909,0.478593,0.493993,0.523791,0.584648,0.709395,0.964546"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0167731,0.0212409,0.0251849,0.0323848,0.0464097,0.0749548,0.134709", \ - "0.0167744,0.0212362,0.0251900,0.0323859,0.0464097,0.0749524,0.134706", \ - "0.0167768,0.0212402,0.0251878,0.0323860,0.0464116,0.0749456,0.134715", \ - "0.0167803,0.0212420,0.0251921,0.0323915,0.0464263,0.0749445,0.134690", \ - "0.0167803,0.0212372,0.0251821,0.0323919,0.0464173,0.0749557,0.134707", \ - "0.0167820,0.0212382,0.0251861,0.0323909,0.0464134,0.0749378,0.134690", \ - "0.0167816,0.0212415,0.0251883,0.0323922,0.0464188,0.0749487,0.134652"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0189634,0.0308870,0.0446304,0.0734821,0.133527,0.255316,0.499422", \ - "0.0189554,0.0308837,0.0446375,0.0734928,0.133523,0.255316,0.499424", \ - "0.0189577,0.0308856,0.0446332,0.0734965,0.133526,0.255311,0.499432", \ - "0.0189579,0.0308868,0.0446318,0.0734916,0.133536,0.255307,0.499422", \ - "0.0189591,0.0308882,0.0446352,0.0734941,0.133522,0.255305,0.499424", \ - "0.0189576,0.0308862,0.0446348,0.0734900,0.133528,0.255315,0.499422", \ - "0.0189592,0.0308864,0.0446369,0.0734807,0.133517,0.255313,0.499428"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.201376,0.218251,0.233864,0.263527,0.324633,0.450398,0.704354", \ - "0.206089,0.222967,0.238586,0.268244,0.329361,0.455121,0.709079", \ - "0.224218,0.241106,0.256711,0.286358,0.347479,0.473240,0.727222", \ - "0.260918,0.277803,0.293402,0.323067,0.384181,0.509954,0.763932", \ - "0.317784,0.334349,0.350005,0.379242,0.440160,0.565797,0.819738", \ - "0.388291,0.404126,0.418737,0.447051,0.506958,0.631850,0.885366", \ - "0.463610,0.479095,0.492831,0.519761,0.578285,0.702297,0.955266"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019139,0.031024,0.044739,0.073567,0.133589,0.255313,0.499404", \ - "0.019143,0.031025,0.044736,0.073557,0.133588,0.255315,0.499415", \ - "0.019145,0.031026,0.044737,0.073572,0.133587,0.255309,0.499402", \ - "0.019156,0.031036,0.044746,0.073564,0.133586,0.255309,0.499406", \ - "0.019267,0.031119,0.044803,0.073599,0.133603,0.255301,0.499410", \ - "0.020064,0.031692,0.045234,0.073807,0.133663,0.255315,0.499411", \ - "0.021319,0.032713,0.045987,0.074181,0.133798,0.255354,0.499410"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.201379,0.218259,0.233872,0.263523,0.324642,0.450386,0.704340", \ - "0.206094,0.222978,0.238593,0.268252,0.329378,0.455112,0.709099", \ - "0.224230,0.241112,0.256722,0.286372,0.347497,0.473253,0.727232", \ - "0.260973,0.277851,0.293398,0.323082,0.384201,0.509952,0.763943", \ - "0.318008,0.334558,0.349916,0.379473,0.440409,0.566025,0.819987", \ - "0.388361,0.404269,0.418809,0.447598,0.507509,0.632474,0.885784", \ - "0.463641,0.479136,0.492855,0.519788,0.578306,0.703148,0.956090"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019140,0.031025,0.044739,0.073566,0.133589,0.255311,0.499413", \ - "0.019142,0.031025,0.044735,0.073572,0.133589,0.255316,0.499414", \ - "0.019145,0.031026,0.044735,0.073570,0.133583,0.255312,0.499405", \ - "0.019157,0.031037,0.044747,0.073565,0.133586,0.255306,0.499402", \ - "0.019268,0.031120,0.044805,0.073600,0.133601,0.255309,0.499411", \ - "0.020062,0.031694,0.045234,0.073805,0.133666,0.255323,0.499405", \ - "0.021317,0.032712,0.045986,0.074184,0.133803,0.255354,0.499413"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.201022,0.217799,0.233376,0.263245,0.324186,0.449991,0.703992", \ - "0.205753,0.222517,0.238094,0.267969,0.328913,0.454717,0.708725", \ - "0.223875,0.240879,0.256209,0.286073,0.347028,0.472844,0.726838", \ - "0.260543,0.277563,0.292851,0.322732,0.383700,0.509510,0.763508", \ - "0.317789,0.334242,0.349598,0.378805,0.439856,0.565516,0.819233", \ - "0.388626,0.403841,0.418372,0.447042,0.506740,0.631712,0.885398", \ - "0.463523,0.479443,0.492568,0.519285,0.577853,0.701949,0.955062"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019016,0.030930,0.044662,0.073517,0.133532,0.255316,0.499401", \ - "0.019008,0.030928,0.044664,0.073502,0.133541,0.255310,0.499401", \ - "0.019017,0.030934,0.044661,0.073521,0.133541,0.255313,0.499415", \ - "0.019028,0.030940,0.044674,0.073512,0.133540,0.255311,0.499410", \ - "0.019143,0.031020,0.044735,0.073537,0.133538,0.255306,0.499425", \ - "0.019901,0.031562,0.045142,0.073755,0.133614,0.255332,0.499427", \ - "0.021093,0.032523,0.045842,0.074091,0.133741,0.255388,0.499433"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.201025,0.217799,0.233376,0.263246,0.324186,0.449991,0.703987", \ - "0.205753,0.222517,0.238093,0.267967,0.328917,0.454716,0.708714", \ - "0.223875,0.240879,0.256209,0.286073,0.347028,0.472844,0.726838", \ - "0.260510,0.277566,0.292851,0.322732,0.383700,0.509510,0.763508", \ - "0.317794,0.334241,0.349598,0.378805,0.439856,0.565516,0.819233", \ - "0.388691,0.403841,0.418372,0.447042,0.506740,0.631712,0.885398", \ - "0.463524,0.479453,0.492568,0.519286,0.577853,0.701949,0.955062"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019015,0.030930,0.044662,0.073517,0.133532,0.255315,0.499416", \ - "0.019015,0.030928,0.044659,0.073505,0.133542,0.255310,0.499426", \ - "0.019017,0.030934,0.044661,0.073521,0.133541,0.255313,0.499416", \ - "0.019029,0.030940,0.044674,0.073512,0.133540,0.255311,0.499397", \ - "0.019144,0.031020,0.044735,0.073537,0.133538,0.255306,0.499425", \ - "0.019895,0.031562,0.045142,0.073755,0.133614,0.255332,0.499427", \ - "0.021092,0.032523,0.045843,0.074091,0.133741,0.255388,0.499433"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.088592,0.100473,0.109845,0.124509,0.147987,0.187784,0.260398", \ - "0.093231,0.105111,0.114485,0.129153,0.152632,0.192431,0.265045", \ - "0.111433,0.123295,0.132660,0.147333,0.170820,0.210640,0.283249", \ - "0.148452,0.160266,0.169671,0.184312,0.207860,0.247708,0.320366", \ - "0.199043,0.212489,0.222901,0.238340,0.262543,0.302673,0.375279", \ - "0.253091,0.269002,0.280702,0.298091,0.324487,0.366472,0.440088", \ - "0.309555,0.327941,0.341849,0.361726,0.390479,0.434675,0.509798"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.071641,0.090110,0.107789,0.140967,0.205504,0.333386,0.588464", \ - "0.076639,0.095108,0.112789,0.145966,0.210512,0.338399,0.593540", \ - "0.091241,0.109667,0.127302,0.160430,0.224963,0.352897,0.608078", \ - "0.115726,0.134363,0.151999,0.184999,0.249436,0.377362,0.632592", \ - "0.143701,0.163236,0.181233,0.214437,0.278770,0.406582,0.661754", \ - "0.171087,0.192074,0.210707,0.244216,0.308466,0.436177,0.691134", \ - "0.195048,0.218290,0.237669,0.271862,0.336648,0.463746,0.718863"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016215,0.020487,0.024563,0.032085,0.046450,0.075201,0.135039", \ - "0.016222,0.020488,0.024563,0.032085,0.046446,0.075190,0.135034", \ - "0.016224,0.020506,0.024582,0.032092,0.046441,0.075197,0.135050", \ - "0.016488,0.020727,0.024763,0.032233,0.046528,0.075234,0.135058", \ - "0.021434,0.024908,0.028312,0.034941,0.048165,0.076034,0.135322", \ - "0.028026,0.031493,0.034669,0.040791,0.053228,0.079685,0.136990", \ - "0.035335,0.039127,0.042240,0.047940,0.059502,0.084539,0.140165"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017295,0.029625,0.043664,0.072973,0.133479,0.255443,0.499799", \ - "0.017297,0.029625,0.043665,0.072971,0.133487,0.255444,0.499796", \ - "0.017265,0.029622,0.043669,0.072966,0.133468,0.255503,0.499868", \ - "0.018196,0.030225,0.044004,0.073065,0.133481,0.255472,0.499754", \ - "0.020416,0.032061,0.045450,0.073902,0.133717,0.255486,0.499982", \ - "0.024009,0.035066,0.047759,0.075214,0.134307,0.255662,0.499831", \ - "0.029037,0.039677,0.051517,0.077511,0.135219,0.256295,0.500063"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.088559,0.100452,0.109835,0.124510,0.148013,0.187833,0.260484", \ - "0.093213,0.105111,0.114489,0.129174,0.152677,0.192513,0.265146", \ - "0.111412,0.123287,0.132659,0.147351,0.170862,0.210692,0.283351", \ - "0.148404,0.160234,0.169575,0.184307,0.207862,0.247727,0.320393", \ - "0.199107,0.212576,0.222812,0.238432,0.262645,0.302806,0.375511", \ - "0.252948,0.268894,0.280919,0.298261,0.324554,0.366505,0.440172", \ - "0.309987,0.328427,0.342058,0.361841,0.390635,0.434767,0.509939"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016232,0.020504,0.024572,0.032097,0.046450,0.075213,0.135080", \ - "0.016232,0.020505,0.024575,0.032098,0.046447,0.075211,0.135090", \ - "0.016241,0.020520,0.024595,0.032117,0.046448,0.075211,0.135089", \ - "0.016503,0.020738,0.024775,0.032239,0.046510,0.075232,0.135094", \ - "0.021456,0.024918,0.028342,0.034932,0.048150,0.076031,0.135354", \ - "0.028066,0.031529,0.034664,0.040780,0.053211,0.079688,0.136988", \ - "0.035289,0.039086,0.042230,0.047949,0.059510,0.084543,0.140185"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.088577,0.100454,0.109836,0.124491,0.147968,0.187763,0.260382", \ - "0.093215,0.105098,0.114479,0.129144,0.152611,0.192406,0.265027", \ - "0.111427,0.123282,0.132638,0.147325,0.170813,0.210618,0.283222", \ - "0.148402,0.160227,0.169661,0.184291,0.207841,0.247693,0.320348", \ - "0.198891,0.212312,0.222736,0.238366,0.262575,0.302720,0.375334", \ - "0.252780,0.268689,0.280681,0.298283,0.324472,0.366455,0.440078", \ - "0.309517,0.327907,0.341760,0.361646,0.390432,0.434625,0.509816"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.071629,0.090098,0.107776,0.140953,0.205491,0.333382,0.588455", \ - "0.076625,0.095094,0.112776,0.145953,0.210502,0.338395,0.593467", \ - "0.091214,0.109645,0.127283,0.160412,0.224949,0.352886,0.608076", \ - "0.115670,0.134334,0.151967,0.184963,0.249393,0.377310,0.632572", \ - "0.143657,0.163186,0.181191,0.214395,0.278756,0.406545,0.661726", \ - "0.170946,0.192061,0.210741,0.244167,0.308427,0.436132,0.691116", \ - "0.194593,0.217845,0.237556,0.272302,0.336592,0.463971,0.718833"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016219,0.020487,0.024561,0.032089,0.046449,0.075202,0.135036", \ - "0.016217,0.020486,0.024562,0.032086,0.046436,0.075196,0.135043", \ - "0.016218,0.020504,0.024581,0.032102,0.046441,0.075208,0.135058", \ - "0.016484,0.020725,0.024763,0.032226,0.046529,0.075233,0.135055", \ - "0.021452,0.024926,0.028326,0.034924,0.048159,0.076034,0.135317", \ - "0.028062,0.031515,0.034664,0.040766,0.053225,0.079682,0.136957", \ - "0.035347,0.039127,0.042237,0.047943,0.059502,0.084546,0.140154"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017283,0.029625,0.043666,0.072957,0.133480,0.255467,0.499760", \ - "0.017284,0.029625,0.043667,0.072963,0.133486,0.255443,0.499799", \ - "0.017264,0.029620,0.043670,0.072967,0.133467,0.255476,0.499832", \ - "0.018202,0.030224,0.044009,0.073066,0.133464,0.255462,0.499812", \ - "0.020403,0.032062,0.045448,0.073900,0.133717,0.255519,0.499786", \ - "0.024020,0.035063,0.047757,0.075225,0.134295,0.255658,0.499825", \ - "0.029088,0.039726,0.051526,0.077491,0.135214,0.256224,0.499855"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.088541,0.100436,0.109807,0.124477,0.147969,0.187764,0.260399", \ - "0.093187,0.105080,0.114467,0.129135,0.152623,0.192423,0.265045", \ - "0.111395,0.123260,0.132636,0.147326,0.170819,0.210627,0.283253", \ - "0.148388,0.160213,0.169565,0.184296,0.207846,0.247702,0.320365", \ - "0.198859,0.212518,0.222667,0.238312,0.262504,0.302661,0.375306", \ - "0.252791,0.268709,0.280572,0.298261,0.324534,0.366527,0.440282", \ - "0.309702,0.328085,0.341839,0.361604,0.390399,0.434690,0.509887"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016232,0.020502,0.024578,0.032102,0.046462,0.075229,0.135086", \ - "0.016237,0.020504,0.024578,0.032104,0.046460,0.075233,0.135097", \ - "0.016237,0.020523,0.024599,0.032129,0.046462,0.075227,0.135107", \ - "0.016505,0.020740,0.024783,0.032248,0.046527,0.075242,0.135103", \ - "0.021478,0.024925,0.028359,0.034943,0.048177,0.076049,0.135364", \ - "0.028079,0.031554,0.034711,0.040790,0.053225,0.079686,0.136990", \ - "0.035357,0.039145,0.042266,0.047979,0.059533,0.084569,0.140209"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.088595,0.100474,0.109853,0.124507,0.147987,0.187774,0.260400", \ - "0.093233,0.105115,0.114485,0.129148,0.152632,0.192433,0.265039", \ - "0.111444,0.123300,0.132660,0.147330,0.170825,0.210631,0.283249", \ - "0.148510,0.160315,0.169696,0.184314,0.207859,0.247706,0.320363", \ - "0.199229,0.212711,0.222898,0.238443,0.262661,0.302801,0.375414", \ - "0.253311,0.269101,0.280734,0.298332,0.324624,0.366640,0.440248", \ - "0.309763,0.328166,0.341766,0.361658,0.390442,0.434649,0.509778"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.070243,0.088630,0.106276,0.139423,0.203926,0.331830,0.586821", \ - "0.075251,0.093639,0.111286,0.144440,0.208941,0.336851,0.591917", \ - "0.090015,0.108363,0.125963,0.159061,0.223568,0.351504,0.606549", \ - "0.114801,0.133395,0.151004,0.183968,0.248361,0.376315,0.631434", \ - "0.142991,0.162493,0.180479,0.213658,0.277944,0.405783,0.660903", \ - "0.170391,0.191374,0.210169,0.243527,0.307763,0.435484,0.690412", \ - "0.194182,0.217491,0.237195,0.271415,0.335853,0.463042,0.717978"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016215,0.020488,0.024562,0.032085,0.046447,0.075197,0.135054", \ - "0.016219,0.020489,0.024562,0.032088,0.046432,0.075187,0.135033", \ - "0.016216,0.020506,0.024583,0.032093,0.046437,0.075204,0.135049", \ - "0.016482,0.020723,0.024762,0.032230,0.046527,0.075230,0.135055", \ - "0.021414,0.024877,0.028316,0.034924,0.048160,0.076041,0.135319", \ - "0.027988,0.031459,0.034664,0.040759,0.053212,0.079677,0.136955", \ - "0.035301,0.039081,0.042239,0.047946,0.059503,0.084540,0.140151"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017034,0.029439,0.043522,0.072852,0.133374,0.255458,0.499881", \ - "0.017033,0.029440,0.043522,0.072851,0.133371,0.255498,0.499956", \ - "0.017026,0.029444,0.043525,0.072853,0.133385,0.255451,0.499895", \ - "0.018019,0.030090,0.043893,0.072971,0.133368,0.255511,0.499865", \ - "0.020293,0.031963,0.045358,0.073828,0.133644,0.255460,0.499869", \ - "0.024005,0.035034,0.047701,0.075151,0.134240,0.255698,0.499893", \ - "0.029089,0.039726,0.051505,0.077459,0.135146,0.256296,0.499976"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.088574,0.100463,0.109853,0.124523,0.148023,0.187852,0.260450", \ - "0.093227,0.105121,0.114499,0.129187,0.152684,0.192513,0.265117", \ - "0.111429,0.123297,0.132670,0.147363,0.170874,0.210715,0.283314", \ - "0.148439,0.160269,0.169635,0.184318,0.207871,0.247741,0.320372", \ - "0.199004,0.212460,0.222852,0.238360,0.262562,0.302789,0.375430", \ - "0.253072,0.269011,0.280633,0.298262,0.324545,0.366509,0.440105", \ - "0.309302,0.327794,0.341835,0.361669,0.390413,0.434644,0.509552"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016228,0.020501,0.024572,0.032092,0.046452,0.075215,0.134971", \ - "0.016233,0.020504,0.024576,0.032103,0.046458,0.075214,0.134973", \ - "0.016243,0.020524,0.024602,0.032119,0.046445,0.075214,0.134968", \ - "0.016499,0.020740,0.024775,0.032239,0.046528,0.075237,0.134968", \ - "0.021461,0.024932,0.028341,0.034942,0.048167,0.076046,0.135242", \ - "0.028069,0.031508,0.034703,0.040783,0.053221,0.079674,0.136901", \ - "0.035378,0.039170,0.042258,0.047962,0.059522,0.084536,0.140097"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.088594,0.100474,0.109853,0.124507,0.147986,0.187774,0.260400", \ - "0.093231,0.105116,0.114485,0.129148,0.152632,0.192433,0.265039", \ - "0.111444,0.123300,0.132660,0.147330,0.170825,0.210631,0.283249", \ - "0.148510,0.160315,0.169696,0.184314,0.207859,0.247706,0.320363", \ - "0.199229,0.212711,0.222898,0.238443,0.262661,0.302801,0.375414", \ - "0.253311,0.269101,0.280734,0.298332,0.324624,0.366640,0.440248", \ - "0.309763,0.328166,0.341766,0.361658,0.390442,0.434649,0.509778"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.070243,0.088630,0.106276,0.139423,0.203926,0.331829,0.586820", \ - "0.075251,0.093639,0.111286,0.144440,0.208941,0.336850,0.591917", \ - "0.090015,0.108363,0.125963,0.159061,0.223568,0.351504,0.606549", \ - "0.114801,0.133395,0.151004,0.183968,0.248361,0.376315,0.631434", \ - "0.142992,0.162493,0.180479,0.213658,0.277944,0.405783,0.660903", \ - "0.170392,0.191374,0.210169,0.243527,0.307763,0.435484,0.690412", \ - "0.194182,0.217491,0.237195,0.271415,0.335853,0.463042,0.717978"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016217,0.020489,0.024562,0.032085,0.046449,0.075197,0.135054", \ - "0.016217,0.020487,0.024562,0.032088,0.046433,0.075187,0.135033", \ - "0.016216,0.020506,0.024583,0.032093,0.046437,0.075204,0.135049", \ - "0.016482,0.020723,0.024762,0.032230,0.046527,0.075231,0.135055", \ - "0.021414,0.024877,0.028316,0.034924,0.048160,0.076041,0.135319", \ - "0.027988,0.031459,0.034664,0.040759,0.053212,0.079677,0.136955", \ - "0.035301,0.039081,0.042239,0.047946,0.059503,0.084540,0.140151"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017034,0.029439,0.043522,0.072852,0.133375,0.255459,0.499920", \ - "0.017033,0.029440,0.043522,0.072851,0.133371,0.255502,0.499956", \ - "0.017026,0.029444,0.043525,0.072853,0.133385,0.255451,0.499895", \ - "0.018019,0.030090,0.043893,0.072971,0.133368,0.255511,0.499865", \ - "0.020292,0.031963,0.045358,0.073828,0.133644,0.255460,0.499869", \ - "0.024005,0.035034,0.047701,0.075151,0.134240,0.255698,0.499893", \ - "0.029089,0.039726,0.051505,0.077459,0.135146,0.256296,0.499976"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.088573,0.100463,0.109853,0.124523,0.148023,0.187852,0.260452", \ - "0.093227,0.105121,0.114499,0.129187,0.152684,0.192513,0.265117", \ - "0.111429,0.123297,0.132670,0.147363,0.170874,0.210714,0.283314", \ - "0.148440,0.160269,0.169635,0.184318,0.207871,0.247741,0.320372", \ - "0.199004,0.212460,0.222852,0.238360,0.262562,0.302789,0.375430", \ - "0.253074,0.269011,0.280633,0.298262,0.324545,0.366509,0.440105", \ - "0.309302,0.327794,0.341835,0.361669,0.390413,0.434644,0.509552"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016231,0.020502,0.024572,0.032092,0.046452,0.075213,0.134972", \ - "0.016233,0.020504,0.024576,0.032103,0.046456,0.075214,0.134973", \ - "0.016243,0.020524,0.024602,0.032119,0.046446,0.075213,0.134968", \ - "0.016499,0.020740,0.024775,0.032239,0.046528,0.075237,0.134969", \ - "0.021461,0.024932,0.028341,0.034942,0.048166,0.076046,0.135242", \ - "0.028058,0.031507,0.034702,0.040783,0.053221,0.079674,0.136901", \ - "0.035378,0.039170,0.042258,0.047962,0.059522,0.084536,0.140097"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.667811,5.769312,5.839340,6.106253,6.197031,6.269287,6.267776", \ - "5.652655,5.766739,5.817434,6.116484,6.198480,6.244668,6.269603", \ - "5.633899,5.749733,5.805431,6.081718,6.178120,6.223561,6.248449", \ - "5.628314,5.733819,5.792232,6.089363,6.188489,6.235786,6.259057", \ - "5.656959,5.762624,5.822625,6.078990,6.191310,6.253925,6.258721", \ - "5.719943,5.818765,5.885391,6.157547,6.299758,6.342701,6.365550", \ - "5.835825,5.937624,5.996389,6.284531,6.382916,6.427583,6.435390"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.705093,5.836566,5.892892,5.971202,5.980243,6.027537,6.025324", \ - "5.691709,5.836455,5.887064,5.940522,6.018975,6.041045,6.039196", \ - "5.670448,5.815141,5.877219,5.954870,5.972280,6.018695,6.018920", \ - "5.678578,5.809623,5.871085,5.946528,5.993717,6.040077,6.039114", \ - "5.696694,5.841255,5.916062,5.943496,5.998805,6.046198,6.043910", \ - "5.762658,5.906990,5.971898,6.019883,6.046369,6.093036,6.090209", \ - "5.877015,6.019909,6.087353,6.126929,6.200534,6.195550,6.246200"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.453707,4.698826,4.870349,5.083405,5.129320,5.186699,5.192534", \ - "4.429761,4.686742,4.856882,5.043781,5.120915,5.179456,5.184372", \ - "4.413381,4.670063,4.845186,5.057146,5.154845,5.146171,5.155418", \ - "4.425663,4.666957,4.848697,5.029003,5.138724,5.182857,5.193091", \ - "4.525737,4.731640,4.895471,5.087535,5.164055,5.158288,5.241879", \ - "4.699123,4.872729,5.020109,5.186480,5.212253,5.273822,5.292595", \ - "4.890860,5.039100,5.183778,5.365934,5.393650,5.387534,5.408496"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.518502,4.761302,4.935330,5.149493,5.196257,5.254437,5.259496", \ - "4.493308,4.752547,4.937565,5.139795,5.201448,5.245640,5.250739", \ - "4.476600,4.733011,4.908409,5.121088,5.219771,5.210659,5.218274", \ - "4.489662,4.720401,4.912564,5.105178,5.205727,5.247999,5.261763", \ - "4.588544,4.793964,4.959621,5.129580,5.229584,5.223674,5.308444", \ - "4.756280,4.933118,5.081341,5.244901,5.272717,5.335693,5.355194", \ - "4.949213,5.100412,5.246013,5.427519,5.472676,5.513728,5.466097"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.338148,4.500662,4.606214,4.741151,4.932676,6.263413,6.667738", \ - "4.328457,4.491230,4.588677,4.700220,4.924311,6.256698,6.656618", \ - "4.312966,4.475065,4.576437,4.695966,4.880126,6.216953,6.628428", \ - "4.331975,4.483585,4.559081,4.691620,4.931803,6.278101,6.666360", \ - "4.447305,4.570979,4.649025,4.741968,5.004008,6.357960,6.658413", \ - "4.640477,4.723536,4.785762,4.877381,5.064065,6.418129,6.789878", \ - "4.824527,4.910666,4.940709,5.046411,5.240885,6.504869,6.924807"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.338678,4.501156,4.609415,4.741091,4.932641,6.262699,6.664049", \ - "4.328336,4.491441,4.589572,4.699971,4.926164,6.255924,6.653138", \ - "4.313155,4.475182,4.576388,4.695728,4.879965,6.216214,6.624334", \ - "4.332542,4.482971,4.558750,4.691240,4.931386,6.276720,6.664300", \ - "4.449499,4.570672,4.648744,4.741420,5.003338,6.356912,6.654140", \ - "4.639878,4.722836,4.785001,4.876440,5.062935,6.415847,6.785442", \ - "4.823714,4.909715,4.939637,5.045131,5.239206,6.502062,6.920223"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.188809,5.477303,5.687900,5.899299,6.173268,6.392706,6.669996", \ - "5.124154,5.400127,5.612985,5.826707,6.101979,6.316824,6.605258", \ - "5.042212,5.337856,5.530024,5.788612,6.032580,6.262679,6.536521", \ - "5.136004,5.385707,5.584769,5.816018,6.083080,6.316763,6.592219", \ - "5.315678,5.558312,5.771921,6.012669,6.261073,6.508625,6.804061", \ - "5.608015,5.775242,5.938706,6.202195,6.533679,6.833633,7.151639", \ - "6.152476,6.237667,6.369881,6.579846,6.892720,7.242773,7.649052"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.600212,4.066099,4.379845,4.682744,4.709175,4.830216,4.861248", \ - "3.560845,4.026270,4.333897,4.637890,4.779104,4.766038,4.794041", \ - "3.515413,3.976078,4.273786,4.531792,4.600148,4.725496,4.752920", \ - "3.527429,3.981894,4.255740,4.512832,4.553789,4.685328,4.730804", \ - "3.690380,4.079986,4.329031,4.563210,4.692444,4.692589,4.740893", \ - "3.940944,4.348743,4.594578,4.786625,4.783521,4.909091,4.975885", \ - "4.329382,4.740290,5.001404,5.237466,5.283265,5.319377,5.229140"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.606760,5.815603,5.896971,5.957173,6.050303,6.109843,6.126153", \ - "5.560564,5.774172,5.874473,5.959698,6.030358,6.022260,6.107834", \ - "5.538439,5.744340,5.846634,5.907875,5.977454,6.037427,6.052617", \ - "5.572060,5.761215,5.856954,5.914297,6.018803,6.015939,6.080870", \ - "5.727611,5.885511,5.953969,6.002031,6.046417,6.112077,6.135792", \ - "5.989315,6.109062,6.178177,6.216157,6.264643,6.285316,6.309918", \ - "6.368837,6.449355,6.481338,6.516025,6.557745,6.611131,6.632492"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.244234,4.514439,4.719556,4.969177,5.214846,5.440321,5.719150", \ - "4.215008,4.486236,4.685959,4.938849,5.184329,5.410084,5.692672", \ - "4.161478,4.445687,4.641145,4.894050,5.133602,5.374675,5.647813", \ - "4.197935,4.458779,4.638072,4.872035,5.137854,5.386481,5.662705", \ - "4.299622,4.548512,4.754813,4.991945,5.236008,5.482330,5.786064", \ - "4.452149,4.596707,4.774333,5.023605,5.364957,5.672040,5.983013", \ - "4.804610,4.873097,4.984512,5.184162,5.498289,5.848802,6.263828"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.994182,3.454926,3.748786,4.054917,4.104271,4.231149,4.286202", \ - "2.968838,3.430128,3.756850,4.025559,4.190307,4.181931,4.216357", \ - "2.939217,3.398184,3.715671,3.939020,4.046123,4.163588,4.240478", \ - "2.929875,3.378002,3.642551,3.887279,4.034844,4.111273,4.173508", \ - "3.035252,3.417682,3.660948,3.885065,4.045967,4.052909,4.109227", \ - "3.168025,3.565098,3.786373,3.992620,3.998310,4.135835,4.210512", \ - "3.385049,3.775429,4.026588,4.238559,4.290138,4.331823,4.254257"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.096954,5.305297,5.413087,5.498632,5.543227,5.605974,5.626674", \ - "5.080602,5.290263,5.382318,5.469105,5.541648,5.535383,5.627878", \ - "5.059795,5.267747,5.368413,5.429601,5.502633,5.565788,5.593341", \ - "5.078168,5.267497,5.363148,5.421936,5.528208,5.527776,5.552961", \ - "5.191754,5.347430,5.418209,5.467176,5.512402,5.627156,5.607479", \ - "5.382188,5.501553,5.569022,5.623336,5.684266,5.680093,5.709305", \ - "5.643264,5.721072,5.764233,5.802343,5.846168,5.833803,5.863643"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.003083,5.263684,5.454228,5.635525,5.842580,5.943137,5.982061", \ - "4.939761,5.194538,5.383275,5.599244,5.776279,5.870366,5.916777", \ - "4.861260,5.132681,5.294607,5.500118,5.709919,5.815580,5.851008", \ - "4.934537,5.178933,5.355089,5.550089,5.751845,5.865288,5.906784", \ - "5.124529,5.346573,5.538347,5.738773,5.923334,6.050516,6.114141", \ - "5.415916,5.546877,5.675403,5.902157,6.193197,6.393830,6.456699", \ - "5.943086,5.997062,6.086040,6.269472,6.528471,6.774181,6.957661"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.070213,3.293011,3.408248,3.483681,3.589640,3.659483,3.688883", \ - "3.032845,3.255453,3.365243,3.440565,3.471650,3.602074,3.620807", \ - "2.973269,3.216050,3.294669,3.398836,3.423029,3.551854,3.584663", \ - "2.973330,3.183854,3.253007,3.345581,3.366651,3.496823,3.541214", \ - "3.118064,3.290876,3.327607,3.344627,3.362627,3.498184,3.546144", \ - "3.365389,3.581651,3.627404,3.655193,3.584233,3.708638,3.770473", \ - "3.755767,4.027570,4.100390,4.128767,4.088409,3.984543,4.028909"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.401660,6.591151,6.682911,6.750321,6.798574,6.844434,6.853726", \ - "6.366211,6.541839,6.632576,6.699445,6.775601,6.821216,6.829652", \ - "6.334373,6.519806,6.607460,6.664888,6.725416,6.772322,6.783682", \ - "6.373599,6.535230,6.628702,6.689327,6.748458,6.797640,6.812177", \ - "6.521768,6.662513,6.718893,6.798796,6.848796,6.909332,6.917836", \ - "6.778818,6.882896,6.926734,6.964119,7.046882,7.040155,7.125989", \ - "7.158599,7.207395,7.238137,7.233804,7.300895,7.337592,7.348615"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.003020,5.263877,5.454164,5.635397,5.843215,5.943008,5.981752", \ - "4.940030,5.185613,5.383195,5.599135,5.776292,5.870235,5.916633", \ - "4.861179,5.132585,5.294514,5.500004,5.709810,5.815447,5.850831", \ - "4.934400,5.178828,5.354987,5.550004,5.751738,5.865171,5.906599", \ - "5.124414,5.346474,5.538221,5.738647,5.923188,6.050344,6.113956", \ - "5.415829,5.546777,5.675290,5.902005,6.193043,6.393671,6.456517", \ - "5.942950,5.996912,6.085896,6.269322,6.528317,6.774011,6.957477"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.070281,3.293129,3.408398,3.483859,3.592977,3.659676,3.687393", \ - "3.032920,3.255571,3.365391,3.440770,3.471896,3.628056,3.621044", \ - "2.973343,3.216176,3.294814,3.399013,3.423224,3.552091,3.584904", \ - "2.973394,3.183966,3.253139,3.345751,3.366845,3.497051,3.541445", \ - "3.118151,3.290990,3.327743,3.344782,3.362806,3.498402,3.546372", \ - "3.365469,3.581763,3.627533,3.655356,3.584407,3.708851,3.770690", \ - "3.755848,4.027684,4.100519,4.128922,4.088593,3.984721,4.029091"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.398536,6.589241,6.681741,6.749191,6.799631,6.845581,6.859610", \ - "6.363227,6.553349,6.630972,6.706872,6.776315,6.824685,6.837986", \ - "6.331132,6.517844,6.605845,6.658105,6.756748,6.775794,6.789710", \ - "6.374651,6.547098,6.624647,6.689220,6.750039,6.801363,6.819402", \ - "6.520277,6.661762,6.718680,6.799451,6.850780,6.913309,6.956933", \ - "6.793876,6.883048,6.927544,6.965185,6.998706,7.044484,7.132370", \ - "7.159645,7.222334,7.240364,7.235785,7.303997,7.342350,7.355816"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFR_X1 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and drive strength X1 - *******************************************************************************************/ - - cell (DFFR_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - clear : "!RN"; - } - - area : 5.320000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 56.175314; - - leakage_power () { - when : "!CK & !D & !RN & !Q & QN"; - value : 47.663590; - } - leakage_power () { - when : "!CK & !D & RN & !Q & QN"; - value : 55.906882; - } - leakage_power () { - when : "!CK & !D & RN & Q & !QN"; - value : 60.879971; - } - leakage_power () { - when : "!CK & D & !RN & !Q & QN"; - value : 52.297794; - } - leakage_power () { - when : "!CK & D & RN & !Q & QN"; - value : 60.249437; - } - leakage_power () { - when : "!CK & D & RN & Q & !QN"; - value : 59.221756; - } - leakage_power () { - when : "CK & !D & !RN & !Q & QN"; - value : 46.815211; - } - leakage_power () { - when : "CK & !D & RN & !Q & QN"; - value : 55.910559; - } - leakage_power () { - when : "CK & !D & RN & Q & !QN"; - value : 56.223631; - } - leakage_power () { - when : "CK & D & !RN & !Q & QN"; - value : 56.132317; - } - leakage_power () { - when : "CK & D & RN & !Q & QN"; - value : 65.224530; - } - leakage_power () { - when : "CK & D & RN & Q & !QN"; - value : 57.578084; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.094436; - fall_capacitance : 1.019859; - rise_capacitance : 1.094436; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.003460,0.044232,0.082880", \ - "0.024014,0.051991,0.067490", \ - "0.296215,0.335170,0.334610"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.007921,0.056855,0.110521", \ - "0.074400,0.125725,0.177320", \ - "0.222825,0.268793,0.311266"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.147849,0.091150,0.027564", \ - "0.204866,0.147459,0.086719", \ - "0.369608,0.312106,0.248896"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.068537,0.042206,0.061455", \ - "0.117721,0.088117,0.101739", \ - "0.204536,0.165587,0.166176"); - } - } - - internal_power () { - - when : "!CK & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.249052,3.231844,3.207998,3.195015,3.210325,3.281631,3.413821"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.344022,2.321315,2.298024,2.277021,2.283706,2.339573,2.470373"); - } - - } - - internal_power () { - - when : "!CK & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.259229,3.241730,3.218275,3.204667,3.220052,3.291514,3.411549"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.408871,2.386865,2.360876,2.339609,2.348219,2.403851,2.532724"); - } - - } - - internal_power () { - - when : "!CK & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.224122,3.207584,3.183273,3.170229,3.185929,3.258041,3.379888"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.389741,2.367589,2.341625,2.321478,2.328549,2.380892,2.507521"); - } - - } - - internal_power () { - - when : "CK & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.555999,0.534444,0.523917,0.519165,0.516432,0.515034,0.514285"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.464692,-0.467773,-0.471174,-0.473586,-0.475323,-0.476289,-0.476583"); - } - - } - - internal_power () { - - when : "CK & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.556252,0.536836,0.525908,0.520929,0.517716,0.516517,0.515557"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.464134,-0.466725,-0.470164,-0.473182,-0.474130,-0.475146,-0.475445"); - } - - } - - internal_power () { - - when : "CK & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.481713,0.484568,0.485865,0.485385,0.485255,0.485740,0.484674"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.334895,-0.366945,-0.406454,-0.426896,-0.436758,-0.443131,-0.447423"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.784266; - fall_capacitance : 1.732652; - rise_capacitance : 1.784266; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.192677,-0.250381,-0.323772", \ - "-0.178054,-0.235644,-0.307006", \ - "-0.054414,-0.122745,-0.204286"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.727959,0.762708,0.822290", \ - "0.866832,0.901160,0.959190", \ - "1.352119,1.385386,1.444766"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.626003,0.690920,1.106434"); - } - } - - internal_power () { - - when : "!CK & !D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.511227,0.496345,0.489147,0.486315,0.484782,0.484374,0.483159"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448198,-0.449248,-0.452696,-0.455026,-0.455931,-0.456001,-0.455460"); - } - - } - - internal_power () { - - when : "!CK & D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.512163,0.495834,0.487949,0.485646,0.484158,0.484244,0.484216"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.381536,-0.384794,-0.391217,-0.397494,-0.401580,-0.401700,-0.404628"); - } - - } - - internal_power () { - - when : "CK & !D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.533741,0.507132,0.496345,0.492884,0.491102,0.490749,0.490054"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.450167,-0.451058,-0.454820,-0.457549,-0.458804,-0.458757,-0.459828"); - } - - } - - internal_power () { - - when : "CK & D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.533441,0.507042,0.499116,0.492868,0.491188,0.490709,0.489999"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.452079,-0.452859,-0.456693,-0.459344,-0.460805,-0.460517,-0.461593"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.936277; - fall_capacitance : 0.844066; - rise_capacitance : 0.936277; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.220589,0.239696,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.214483,0.220765,0.500500"); - } - } - - internal_power () { - - when : "!D & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.998706,2.971946,2.945098,2.964952,3.048996,3.206519,3.429027"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.389618,2.376067,2.337382,2.332638,2.384663,2.519624,2.719869"); - } - - } - - internal_power () { - - when : "!D & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.999568,2.972946,2.944418,2.964580,3.048221,3.207091,3.434428"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.402430,2.387806,2.348608,2.344448,2.399073,2.529384,2.732599"); - } - - } - - internal_power () { - - when : "!D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.507124,5.479875,5.452893,5.474144,5.557253,5.712201,5.936916"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.984459,4.958174,4.929180,4.945451,5.028457,5.182376,5.425188"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("10.180620,10.160800,10.095410,10.060500,10.101260,10.220750,10.458760"); - } - - } - - internal_power () { - - when : "D & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.091164,5.062026,5.034660,5.050444,5.135064,5.293504,5.532922"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.830222,2.804432,2.778402,2.791868,2.876428,3.031478,3.253104"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.522471,2.507053,2.478236,2.470793,2.530640,2.651742,2.857997"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.253822,0.263257,0.271872,0.285771,0.308710,0.348108,0.420336", \ - "0.259022,0.268449,0.277085,0.290981,0.313920,0.353313,0.425550", \ - "0.276873,0.286311,0.294923,0.308843,0.331792,0.371183,0.443408", \ - "0.301692,0.311051,0.319662,0.333614,0.356503,0.395860,0.468110", \ - "0.326219,0.335695,0.344199,0.358198,0.381037,0.420497,0.492539", \ - "0.348443,0.357902,0.366932,0.380364,0.403655,0.442608,0.515101", \ - "0.367301,0.376720,0.385321,0.399211,0.422138,0.461675,0.533989"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.332959,0.347366,0.361638,0.388983,0.447806,0.572003,0.825277", \ - "0.338253,0.352666,0.366932,0.394285,0.453121,0.577330,0.830592", \ - "0.356354,0.370747,0.385021,0.412377,0.471201,0.595464,0.848693", \ - "0.380494,0.394930,0.409168,0.436496,0.495347,0.619620,0.872941", \ - "0.404095,0.418459,0.432820,0.459948,0.518825,0.643201,0.896516", \ - "0.424894,0.439272,0.454049,0.480691,0.539822,0.664023,0.917580", \ - "0.441314,0.455733,0.470111,0.497453,0.556390,0.681116,0.934636"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0162796,0.0201987,0.0242310,0.0316133,0.0458004,0.0743467,0.133971", \ - "0.0162814,0.0201934,0.0242367,0.0316308,0.0458022,0.0743475,0.133979", \ - "0.0162812,0.0201930,0.0242226,0.0316285,0.0457864,0.0743487,0.133980", \ - "0.0162801,0.0201931,0.0242322,0.0316127,0.0457837,0.0743503,0.133985", \ - "0.0162862,0.0201993,0.0242231,0.0316119,0.0457895,0.0743275,0.133975", \ - "0.0162897,0.0202053,0.0242269,0.0316211,0.0457805,0.0743376,0.134029", \ - "0.0162901,0.0202043,0.0242216,0.0316126,0.0457822,0.0743352,0.133973"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0229751,0.0330080,0.0459462,0.0737534,0.133381,0.255328,0.499933", \ - "0.0229767,0.0330108,0.0459476,0.0737608,0.133362,0.255331,0.499930", \ - "0.0229880,0.0330165,0.0459500,0.0737555,0.133378,0.255331,0.499929", \ - "0.0229910,0.0330412,0.0459547,0.0737688,0.133378,0.255335,0.499929", \ - "0.0229975,0.0330227,0.0459596,0.0737663,0.133363,0.255328,0.499918", \ - "0.0230064,0.0330318,0.0459653,0.0737761,0.133372,0.255327,0.499933", \ - "0.0230221,0.0330466,0.0459728,0.0737696,0.133366,0.255333,0.499924"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.090926,0.101791,0.111622,0.126934,0.151384,0.192611,0.266525", \ - "0.095550,0.106410,0.116241,0.131562,0.156015,0.197247,0.271151", \ - "0.113733,0.124569,0.134392,0.149718,0.174182,0.215434,0.289370", \ - "0.150766,0.161562,0.171371,0.186748,0.211269,0.252575,0.326518", \ - "0.201789,0.214110,0.224948,0.241246,0.266288,0.308107,0.381970", \ - "0.255942,0.270488,0.283063,0.301624,0.329233,0.373031,0.447542", \ - "0.312928,0.330021,0.344624,0.365683,0.396151,0.442548,0.518121"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016106,0.020090,0.024377,0.032261,0.047162,0.076412,0.134905", \ - "0.016107,0.020089,0.024374,0.032249,0.047166,0.076416,0.134902", \ - "0.016116,0.020109,0.024395,0.032264,0.047172,0.076412,0.134905", \ - "0.016358,0.020322,0.024583,0.032393,0.047260,0.076446,0.134899", \ - "0.020915,0.024270,0.027952,0.034932,0.048776,0.077114,0.134981", \ - "0.027154,0.030614,0.034145,0.040769,0.053979,0.080654,0.135852", \ - "0.034019,0.037838,0.041413,0.047814,0.060394,0.085423,0.137671"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.090928,0.101794,0.111619,0.126933,0.151381,0.192614,0.266524", \ - "0.095553,0.106414,0.116244,0.131566,0.156016,0.197264,0.271188", \ - "0.113730,0.124573,0.134404,0.149722,0.174191,0.215445,0.289386", \ - "0.150787,0.161573,0.171387,0.186757,0.211261,0.252575,0.326531", \ - "0.201660,0.214038,0.224953,0.241202,0.266520,0.308047,0.382031", \ - "0.256086,0.270629,0.283519,0.301878,0.329485,0.373263,0.447793", \ - "0.313653,0.330741,0.345229,0.365682,0.396126,0.442503,0.518078"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016107,0.020091,0.024374,0.032264,0.047168,0.076419,0.134908", \ - "0.016107,0.020091,0.024375,0.032251,0.047174,0.076417,0.134901", \ - "0.016113,0.020113,0.024399,0.032267,0.047186,0.076419,0.134907", \ - "0.016356,0.020326,0.024578,0.032392,0.047249,0.076449,0.134898", \ - "0.020926,0.024279,0.027953,0.034936,0.048775,0.077120,0.134982", \ - "0.027137,0.030607,0.034086,0.040737,0.053958,0.080642,0.135852", \ - "0.033946,0.037750,0.041350,0.047815,0.060399,0.085437,0.137678"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.090918,0.101629,0.111293,0.126324,0.150212,0.190419,0.263385", \ - "0.095541,0.106252,0.115929,0.130961,0.154859,0.195073,0.268036", \ - "0.113715,0.124401,0.134065,0.149107,0.173018,0.213231,0.286202", \ - "0.150732,0.161380,0.171032,0.186102,0.210063,0.250353,0.323355", \ - "0.201616,0.213854,0.224556,0.240532,0.265171,0.305792,0.378807", \ - "0.256397,0.270778,0.282954,0.301144,0.328026,0.370478,0.444656", \ - "0.313602,0.330393,0.344626,0.365199,0.394711,0.439652,0.515535"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015891,0.019788,0.023958,0.031588,0.045998,0.074743,0.134452", \ - "0.015896,0.019788,0.023955,0.031576,0.045998,0.074752,0.134471", \ - "0.015904,0.019808,0.023977,0.031592,0.045995,0.074741,0.134453", \ - "0.016136,0.020016,0.024154,0.031712,0.046093,0.074771,0.134460", \ - "0.020612,0.023882,0.027457,0.034217,0.047602,0.075508,0.134725", \ - "0.026660,0.030001,0.033415,0.039755,0.052433,0.079037,0.136312", \ - "0.033355,0.037030,0.040468,0.046506,0.058449,0.083734,0.139432"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.090918,0.101629,0.111293,0.126322,0.150212,0.190417,0.263385", \ - "0.095545,0.106252,0.115931,0.130957,0.154861,0.195073,0.268031", \ - "0.113715,0.124401,0.134065,0.149107,0.173018,0.213231,0.286202", \ - "0.150732,0.161380,0.171032,0.186102,0.210063,0.250353,0.323355", \ - "0.201616,0.213854,0.224556,0.240532,0.265171,0.305792,0.378807", \ - "0.256397,0.270778,0.282954,0.301144,0.328026,0.370478,0.444656", \ - "0.313602,0.330393,0.344626,0.365199,0.394711,0.439652,0.515535"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.015891,0.019788,0.023958,0.031593,0.045998,0.074746,0.134450", \ - "0.015894,0.019788,0.023958,0.031584,0.045996,0.074752,0.134473", \ - "0.015904,0.019808,0.023977,0.031592,0.045995,0.074741,0.134453", \ - "0.016136,0.020016,0.024154,0.031712,0.046093,0.074771,0.134460", \ - "0.020613,0.023882,0.027457,0.034217,0.047602,0.075508,0.134725", \ - "0.026660,0.030001,0.033415,0.039755,0.052433,0.079037,0.136312", \ - "0.033355,0.037030,0.040468,0.046506,0.058449,0.083734,0.139432"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.243278,3.319121,3.358479,3.532130,3.581348,3.607232,3.632142", \ - "3.237063,3.305660,3.354027,3.522337,3.584993,3.610568,3.602038", \ - "3.219425,3.293000,3.332525,3.503255,3.564239,3.589715,3.580315", \ - "3.222452,3.290709,3.338826,3.508224,3.568705,3.594341,3.584991", \ - "3.247088,3.320754,3.364047,3.533930,3.578454,3.603671,3.629537", \ - "3.313265,3.387002,3.432410,3.594584,3.661561,3.689730,3.679375", \ - "3.428064,3.505762,3.550745,3.716849,3.774792,3.777208,3.801942"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.536714,3.599337,3.634808,3.680077,3.731320,3.755249,3.734104", \ - "3.534894,3.590002,3.629263,3.670602,3.694377,3.719761,3.732221", \ - "3.514688,3.571085,3.609882,3.654582,3.708302,3.698319,3.709851", \ - "3.505451,3.559739,3.598214,3.652210,3.670314,3.696378,3.708034", \ - "3.533151,3.586974,3.612345,3.671845,3.710657,3.736907,3.750469", \ - "3.592455,3.646078,3.684466,3.717963,3.752417,3.779315,3.790115", \ - "3.712902,3.764695,3.798795,3.839039,3.877580,3.900897,3.913547"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.569795,2.639006,2.683711,2.704298,2.742492,2.769000,2.785279", \ - "2.562127,2.625372,2.675704,2.709312,2.735347,2.727613,2.741672", \ - "2.551267,2.612648,2.659770,2.693029,2.715555,2.743044,2.757764", \ - "2.560828,2.616373,2.662641,2.694742,2.703294,2.732761,2.749236", \ - "2.614237,2.659545,2.694996,2.724261,2.744826,2.775959,2.762299", \ - "2.710423,2.739929,2.766694,2.779814,2.804737,2.805044,2.825858", \ - "2.827589,2.853624,2.877328,2.875240,2.894843,2.903210,2.922436"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.627988,2.697115,2.742149,2.763168,2.801462,2.829543,2.807692", \ - "2.618566,2.682221,2.721072,2.766910,2.792980,2.785550,2.801180", \ - "2.606936,2.670241,2.716347,2.750111,2.773205,2.800406,2.815967", \ - "2.617477,2.672780,2.719279,2.752066,2.760865,2.790292,2.807304", \ - "2.664778,2.715143,2.751275,2.781014,2.802408,2.833344,2.820261", \ - "2.765570,2.794588,2.821014,2.848218,2.860520,2.861546,2.882700", \ - "2.885670,2.912604,2.929768,2.940191,2.949867,2.963770,2.977706"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.865625,3.966239,4.031691,4.102111,4.176879,4.239449,4.288756", \ - "3.851642,3.955798,4.011840,4.083366,4.175687,4.235590,4.284800", \ - "3.836529,3.935812,4.006536,4.058781,4.146276,4.210472,4.258825", \ - "3.848588,3.943468,4.009210,4.063438,4.163944,4.225631,4.278447", \ - "3.907343,3.988366,4.040169,4.103290,4.197689,4.259267,4.276153", \ - "4.012180,4.077575,4.122013,4.183641,4.261687,4.324051,4.341055", \ - "4.166515,4.217675,4.243796,4.289667,4.374225,4.422411,4.475331"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.862401,3.962641,4.027891,4.100795,4.177387,4.238684,4.285731", \ - "3.850892,3.952005,4.019414,4.082206,4.172654,4.233479,4.242300", \ - "3.836684,3.931699,3.995389,4.060729,4.146431,4.208764,4.253586", \ - "3.843848,3.945883,3.998236,4.064650,4.163484,4.222704,4.272926", \ - "3.901490,3.982412,4.041170,4.103514,4.196522,4.254316,4.270279", \ - "4.011499,4.080245,4.121285,4.181235,4.258746,4.318305,4.333608", \ - "4.165412,4.216435,4.242269,4.287600,4.370060,4.418554,4.468173"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 59.973020; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.211087,0.227991,0.243593,0.267259,0.302694,0.356843,0.442163", \ - "0.216355,0.233284,0.248880,0.272561,0.308005,0.362162,0.447481", \ - "0.234429,0.251340,0.266953,0.290648,0.326103,0.380330,0.465626", \ - "0.258526,0.275469,0.291074,0.314763,0.350253,0.404509,0.489901", \ - "0.282083,0.299005,0.314725,0.338221,0.373751,0.428102,0.513505", \ - "0.302806,0.319780,0.335900,0.358944,0.394795,0.449025,0.534707", \ - "0.319186,0.336174,0.351926,0.375695,0.411353,0.466166,0.551827"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.183528,0.199931,0.217946,0.251598,0.316592,0.444527,0.699125", \ - "0.188727,0.205126,0.223154,0.256805,0.321806,0.449731,0.704333", \ - "0.206580,0.222979,0.241005,0.274664,0.339666,0.467586,0.722183", \ - "0.231400,0.247731,0.265734,0.299412,0.364377,0.492277,0.746888", \ - "0.255896,0.272369,0.290267,0.324000,0.388926,0.516849,0.771321", \ - "0.278134,0.294567,0.312994,0.346175,0.411538,0.539052,0.793909", \ - "0.296988,0.313380,0.331384,0.365002,0.430022,0.558095,0.812776"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0349471,0.0405088,0.0461409,0.0557149,0.0724311,0.101314,0.155328", \ - "0.0349676,0.0405121,0.0461499,0.0557195,0.0724353,0.101310,0.155313", \ - "0.0350000,0.0405597,0.0461962,0.0557534,0.0724596,0.101323,0.155328", \ - "0.0350501,0.0406075,0.0462433,0.0557818,0.0724935,0.101334,0.155328", \ - "0.0350980,0.0406431,0.0462685,0.0558226,0.0725119,0.101334,0.155322", \ - "0.0351739,0.0407291,0.0463695,0.0559134,0.0726148,0.101427,0.155397", \ - "0.0352373,0.0408135,0.0464577,0.0559920,0.0726735,0.101468,0.155394"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0217542,0.0327411,0.0467574,0.0758644,0.135642,0.256588,0.499871", \ - "0.0217515,0.0327399,0.0467638,0.0758575,0.135639,0.256589,0.499874", \ - "0.0217516,0.0327359,0.0467615,0.0758563,0.135642,0.256589,0.499874", \ - "0.0217590,0.0327362,0.0467524,0.0758547,0.135640,0.256586,0.499873", \ - "0.0217374,0.0327388,0.0467572,0.0758664,0.135642,0.256585,0.499874", \ - "0.0217675,0.0327467,0.0467626,0.0758594,0.135638,0.256586,0.499873", \ - "0.0218055,0.0327678,0.0467780,0.0758640,0.135643,0.256586,0.499874"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.266765,0.285882,0.305765,0.341092,0.406188,0.532856,0.786348", \ - "0.271405,0.290505,0.310391,0.345722,0.410814,0.537496,0.790972", \ - "0.289540,0.308638,0.328531,0.363856,0.428941,0.555623,0.809128", \ - "0.326407,0.345533,0.365421,0.400750,0.465841,0.592525,0.846028", \ - "0.382390,0.401258,0.420895,0.455787,0.520289,0.646873,0.900144", \ - "0.448480,0.466922,0.485942,0.519954,0.583535,0.709143,0.962018", \ - "0.519882,0.538109,0.556700,0.589647,0.651795,0.776172,1.028412"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.031715,0.043232,0.056861,0.084091,0.140751,0.259720,0.502414", \ - "0.031698,0.043231,0.056862,0.084095,0.140745,0.259701,0.502440", \ - "0.031699,0.043229,0.056861,0.084093,0.140743,0.259719,0.502436", \ - "0.031704,0.043232,0.056865,0.084094,0.140759,0.259709,0.502396", \ - "0.031726,0.043247,0.056875,0.084105,0.140743,0.259683,0.502446", \ - "0.031883,0.043357,0.056977,0.084183,0.140786,0.259651,0.502404", \ - "0.032085,0.043551,0.057155,0.084260,0.140850,0.259663,0.502410"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.266782,0.285885,0.305754,0.341076,0.406142,0.532809,0.786273", \ - "0.271409,0.290506,0.310385,0.345704,0.410783,0.537452,0.790928", \ - "0.289515,0.308646,0.328519,0.363842,0.428920,0.555581,0.809069", \ - "0.326434,0.345547,0.365425,0.400751,0.465813,0.592473,0.845977", \ - "0.382285,0.401226,0.420924,0.455749,0.520538,0.646794,0.900196", \ - "0.448657,0.467110,0.486413,0.520249,0.583837,0.709399,0.962288", \ - "0.520535,0.538791,0.557302,0.589705,0.651828,0.776164,1.028387"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.031694,0.043222,0.056846,0.084070,0.140705,0.259596,0.502406", \ - "0.031693,0.043221,0.056849,0.084074,0.140724,0.259648,0.502429", \ - "0.031705,0.043221,0.056846,0.084066,0.140719,0.259614,0.502399", \ - "0.031700,0.043224,0.056850,0.084074,0.140729,0.259616,0.502341", \ - "0.031724,0.043237,0.056862,0.084073,0.140730,0.259637,0.502334", \ - "0.031874,0.043345,0.056961,0.084165,0.140760,0.259607,0.502333", \ - "0.032064,0.043540,0.057137,0.084244,0.140825,0.259629,0.502361"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.794193,0.811979,0.831226,0.865776,0.930064,1.057869,1.314183", \ - "0.797016,0.815840,0.834980,0.869723,0.933568,1.061828,1.318137", \ - "0.815686,0.835809,0.853649,0.887863,0.952955,1.080502,1.336913", \ - "0.848501,0.868715,0.887907,0.921394,0.986062,1.113713,1.370169", \ - "0.895413,0.914472,0.934818,0.968235,1.032638,1.160716,1.417081", \ - "0.959481,0.978438,0.997534,1.031823,1.096840,1.224625,1.481009", \ - "1.043513,1.062328,1.081727,1.115920,1.180261,1.308397,1.564760"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.028991,0.038744,0.051149,0.077887,0.136043,0.257080,0.500715", \ - "0.029023,0.038768,0.051163,0.077890,0.136057,0.257087,0.500716", \ - "0.029022,0.038726,0.051163,0.077907,0.136041,0.257076,0.500649", \ - "0.029039,0.038706,0.051116,0.077889,0.136034,0.257111,0.500659", \ - "0.029024,0.038730,0.051114,0.077883,0.136039,0.257079,0.500732", \ - "0.029020,0.038733,0.051144,0.077903,0.136027,0.257106,0.500652", \ - "0.029020,0.038763,0.051144,0.077909,0.136059,0.257008,0.500613"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.793331,0.811165,0.830449,0.865110,0.929694,1.057556,1.314132", \ - "0.796174,0.815004,0.835587,0.869086,0.933052,1.061526,1.318103", \ - "0.814895,0.835039,0.852937,0.887238,0.952415,1.080224,1.336882", \ - "0.847814,0.868044,0.887264,0.920840,0.985575,1.113465,1.370175", \ - "0.894853,0.913929,0.934310,0.967794,1.032311,1.160559,1.417137", \ - "0.959136,0.979247,0.997022,1.031547,1.096631,1.224535,1.481126", \ - "1.043278,1.062111,1.081366,1.115764,1.180178,1.308428,1.564952"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.028974,0.038732,0.051135,0.077884,0.136063,0.257089,0.500724", \ - "0.028993,0.038748,0.051116,0.077881,0.136059,0.257091,0.500711", \ - "0.028999,0.038714,0.051151,0.077905,0.136057,0.257089,0.500648", \ - "0.029024,0.038695,0.051110,0.077881,0.136049,0.257063,0.500658", \ - "0.029007,0.038721,0.051102,0.077878,0.136037,0.257091,0.500730", \ - "0.028986,0.038706,0.051149,0.077892,0.136023,0.257060,0.500650", \ - "0.029013,0.038757,0.051154,0.077907,0.136057,0.257008,0.500613"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.535908,3.592804,3.627290,3.684977,3.728936,3.742415,3.742837", \ - "3.534145,3.591146,3.629363,3.675569,3.705045,3.740726,3.741063", \ - "3.513929,3.569799,3.608599,3.659504,3.684307,3.719555,3.718695", \ - "3.504685,3.560894,3.600630,3.648856,3.680954,3.717414,3.716868", \ - "3.532464,3.588126,3.614682,3.649461,3.721053,3.740438,3.724511", \ - "3.591691,3.647256,3.686742,3.722952,3.762964,3.800640,3.798647", \ - "3.712155,3.765882,3.801171,3.843916,3.887899,3.887477,3.921857"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.245571,3.318348,3.362220,3.537268,3.591951,3.594531,3.606973", \ - "3.236826,3.306001,3.354952,3.528915,3.595391,3.597952,3.611035", \ - "3.221999,3.293360,3.333505,3.510168,3.574683,3.577084,3.589314", \ - "3.219425,3.291028,3.339661,3.511737,3.579057,3.581737,3.593898", \ - "3.247124,3.321082,3.365125,3.529832,3.588878,3.625281,3.604200", \ - "3.317659,3.387328,3.429680,3.599627,3.671894,3.677168,3.687919", \ - "3.435678,3.506092,3.550735,3.722028,3.762161,3.798534,3.811101"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.568244,2.633941,2.685780,2.709259,2.752677,2.755929,2.759938", \ - "2.560643,2.626341,2.665984,2.714125,2.711924,2.749213,2.751129", \ - "2.549767,2.613617,2.661858,2.697761,2.725783,2.729979,2.733422", \ - "2.559317,2.617456,2.652962,2.699529,2.713669,2.719451,2.757935", \ - "2.612739,2.660614,2.697129,2.729021,2.754978,2.763658,2.770564", \ - "2.708884,2.741049,2.757002,2.784050,2.781740,2.826308,2.835190", \ - "2.825972,2.854760,2.869497,2.880092,2.871613,2.886308,2.897115"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.627983,2.692750,2.732371,2.768146,2.778158,2.815504,2.815898", \ - "2.617892,2.683189,2.723237,2.771733,2.769989,2.807266,2.808195", \ - "2.605425,2.671764,2.706465,2.754937,2.783407,2.788085,2.790401", \ - "2.615967,2.673774,2.709591,2.756852,2.771221,2.777845,2.815993", \ - "2.668775,2.716331,2.753407,2.785789,2.812539,2.821050,2.829012", \ - "2.764035,2.795679,2.823163,2.828487,2.837577,2.882737,2.892255", \ - "2.884070,2.907511,2.931961,2.934990,2.926640,2.941355,2.952438"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.863544,3.959932,4.031819,4.103442,4.192056,4.260847,4.262002", \ - "3.849591,3.947851,4.014718,4.085461,4.149537,4.221361,4.259460", \ - "3.835500,3.941696,4.007170,4.067053,4.156791,4.231887,4.269562", \ - "3.846524,3.943805,4.006062,4.068523,4.136095,4.214177,4.254829", \ - "3.905275,3.989665,4.050927,4.108279,4.199740,4.245780,4.285358", \ - "4.017011,4.078761,4.128353,4.164371,4.236208,4.310299,4.352582", \ - "4.164439,4.218869,4.245278,4.294872,4.341824,4.409698,4.449956"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.860311,3.962617,4.025155,4.105826,4.187405,4.259967,4.256682", \ - "3.846022,3.943904,4.010694,4.087248,4.149997,4.220473,4.254450", \ - "3.838117,3.935487,3.996370,4.068864,4.157407,4.230094,4.264340", \ - "3.849295,3.938933,4.008094,4.069716,4.136384,4.209741,4.249340", \ - "3.906933,3.983538,4.038361,4.108461,4.196613,4.243364,4.279524", \ - "4.009425,4.081370,4.120839,4.163517,4.234181,4.304770,4.346033", \ - "4.163353,4.210245,4.244772,4.292791,4.338708,4.404888,4.442824"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFR_X2 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and drive strength X2 - *******************************************************************************************/ - - cell (DFFR_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - clear : "!RN"; - } - - area : 5.852000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 83.537177; - - leakage_power () { - when : "!CK & !D & !RN & !Q & QN"; - value : 70.224950; - } - leakage_power () { - when : "!CK & !D & RN & !Q & QN"; - value : 85.688556; - } - leakage_power () { - when : "!CK & !D & RN & Q & !QN"; - value : 90.682858; - } - leakage_power () { - when : "!CK & D & !RN & !Q & QN"; - value : 74.862859; - } - leakage_power () { - when : "!CK & D & RN & !Q & QN"; - value : 90.036811; - } - leakage_power () { - when : "!CK & D & RN & Q & !QN"; - value : 89.008682; - } - leakage_power () { - when : "CK & !D & !RN & !Q & QN"; - value : 69.370491; - } - leakage_power () { - when : "CK & !D & RN & !Q & QN"; - value : 85.683293; - } - leakage_power () { - when : "CK & !D & RN & Q & !QN"; - value : 85.924108; - } - leakage_power () { - when : "CK & D & !RN & !Q & QN"; - value : 78.687597; - } - leakage_power () { - when : "CK & D & RN & !Q & QN"; - value : 94.997359; - } - leakage_power () { - when : "CK & D & RN & Q & !QN"; - value : 87.278561; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.102967; - fall_capacitance : 1.017086; - rise_capacitance : 1.102967; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.001507,0.039588,0.077759", \ - "0.024729,0.050282,0.063656", \ - "0.303511,0.340698,0.337845"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.007044,0.054843,0.107182", \ - "0.069869,0.121348,0.173022", \ - "0.219054,0.265338,0.304036"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.152492,0.095767,0.032431", \ - "0.209950,0.152561,0.091662", \ - "0.374503,0.317600,0.255677"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.064051,0.039939,0.059667", \ - "0.112621,0.085448,0.099887", \ - "0.197252,0.160072,0.162954"); - } - } - - internal_power () { - - when : "!CK & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.222186,3.205239,3.181085,3.168481,3.182426,3.256079,3.386752"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.288235,2.265649,2.240574,2.220592,2.228948,2.285850,2.418453"); - } - - } - - internal_power () { - - when : "!CK & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.232929,3.215130,3.190917,3.178341,3.193738,3.266086,3.394849"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.353067,2.330927,2.306295,2.287400,2.292841,2.348536,2.479559"); - } - - } - - internal_power () { - - when : "!CK & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.197637,3.180593,3.156162,3.143668,3.158714,3.232592,3.359688"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.334512,2.310066,2.285239,2.264439,2.274092,2.327826,2.457371"); - } - - } - - internal_power () { - - when : "CK & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.556557,0.534994,0.523686,0.519797,0.516913,0.515705,0.514818"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.463636,-0.466541,-0.470334,-0.472722,-0.474383,-0.475260,-0.475674"); - } - - } - - internal_power () { - - when : "CK & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.557361,0.535861,0.524707,0.521078,0.517424,0.516713,0.515519"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.464481,-0.467010,-0.470508,-0.472200,-0.473839,-0.474946,-0.475206"); - } - - } - - internal_power () { - - when : "CK & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.481474,0.484821,0.486013,0.485380,0.485223,0.485944,0.485770"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.333872,-0.366255,-0.406628,-0.426624,-0.436517,-0.442766,-0.447164"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.483708; - fall_capacitance : 2.377765; - rise_capacitance : 2.483708; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.197563,-0.255954,-0.334800", \ - "-0.211487,-0.267649,-0.342490", \ - "-0.188045,-0.249568,-0.321708"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.674217,0.698319,0.757421", \ - "0.812349,0.838405,0.893479", \ - "1.295034,1.319675,1.378845"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.659889,0.714505,1.102497"); - } - } - - internal_power () { - - when : "!CK & !D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.954420,0.914059,0.898325,0.892415,0.887779,0.886172,0.883923"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.817740,-0.819838,-0.823958,-0.828302,-0.829584,-0.828867,-0.827404"); - } - - } - - internal_power () { - - when : "!CK & D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.953627,0.913208,0.897779,0.892307,0.887549,0.886131,0.884035"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.751070,-0.755060,-0.761614,-0.769661,-0.774728,-0.774166,-0.775183"); - } - - } - - internal_power () { - - when : "CK & !D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.971101,0.926805,0.908588,0.900460,0.894494,0.892970,0.890647"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.820261,-0.821278,-0.826588,-0.829961,-0.831415,-0.830144,-0.829715"); - } - - } - - internal_power () { - - when : "CK & D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.970916,0.926667,0.908021,0.900402,0.894374,0.892913,0.890581"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.822058,-0.823041,-0.828357,-0.831718,-0.833074,-0.831937,-0.831486"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.923320; - fall_capacitance : 0.830910; - rise_capacitance : 0.923320; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.224863,0.241558,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.327437,0.330934,0.500500"); - } - } - - internal_power () { - - when : "!D & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.977998,2.952239,2.923332,2.942772,3.027779,3.186682,3.409322"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.310927,2.297124,2.262463,2.256870,2.307909,2.439326,2.642858"); - } - - } - - internal_power () { - - when : "!D & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.977998,2.951312,2.923604,2.942323,3.027920,3.186891,3.410747"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.319926,2.299773,2.269909,2.265210,2.321140,2.451246,2.654524"); - } - - } - - internal_power () { - - when : "!D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.454297,5.426320,5.399257,5.421125,5.505951,5.660839,5.884386"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.906025,4.879871,4.851089,4.865561,4.951324,5.107468,5.349663"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("12.340670,12.301780,12.008980,11.778650,11.741940,11.860330,12.150670"); - } - - } - - internal_power () { - - when : "D & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.015485,4.986628,4.958138,4.975980,5.060882,5.219444,5.459737"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.810488,2.785435,2.756874,2.771328,2.856092,3.010707,3.231026"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.446554,2.433077,2.401908,2.397697,2.454172,2.576077,2.785352"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.277849,0.285850,0.292810,0.305021,0.326358,0.364312,0.435497", \ - "0.283051,0.291068,0.298019,0.310242,0.331552,0.369507,0.440692", \ - "0.300862,0.308882,0.315847,0.328063,0.349393,0.387357,0.458544", \ - "0.325521,0.333578,0.340479,0.352726,0.374005,0.411946,0.483171", \ - "0.350109,0.358098,0.364952,0.377207,0.398476,0.436539,0.507569", \ - "0.372210,0.380242,0.387067,0.399691,0.420495,0.458569,0.529515", \ - "0.391070,0.399075,0.406006,0.418178,0.439531,0.477574,0.548799"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.451355,0.464808,0.474649,0.495623,0.548703,0.669208,0.920127", \ - "0.456695,0.470118,0.479963,0.500940,0.554023,0.674533,0.925460", \ - "0.474995,0.488415,0.498242,0.519236,0.572343,0.692853,0.943834", \ - "0.499181,0.512605,0.522451,0.543458,0.596585,0.717110,0.968049", \ - "0.522572,0.535995,0.545954,0.566636,0.619770,0.740428,0.991340", \ - "0.543130,0.556563,0.566405,0.587054,0.640393,0.761058,1.012190", \ - "0.559002,0.572436,0.581986,0.603383,0.656612,0.777693,1.028823"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0157530,0.0200237,0.0237019,0.0306525,0.0445028,0.0730696,0.133172", \ - "0.0157513,0.0200274,0.0237032,0.0306509,0.0445137,0.0730739,0.133178", \ - "0.0157543,0.0200231,0.0237051,0.0306554,0.0445072,0.0730648,0.133167", \ - "0.0157543,0.0200257,0.0236984,0.0306633,0.0445180,0.0730772,0.133177", \ - "0.0157520,0.0200246,0.0237032,0.0306530,0.0445011,0.0730643,0.133171", \ - "0.0157493,0.0200277,0.0237004,0.0306466,0.0444901,0.0730929,0.133196", \ - "0.0157575,0.0200303,0.0237091,0.0306612,0.0444996,0.0730615,0.133163"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0264935,0.0380410,0.0500466,0.0755187,0.133890,0.255422,0.499308", \ - "0.0264919,0.0380391,0.0500480,0.0755161,0.133891,0.255421,0.499309", \ - "0.0264963,0.0380445,0.0500501,0.0755173,0.133895,0.255421,0.499310", \ - "0.0264956,0.0380439,0.0500535,0.0755148,0.133895,0.255422,0.499308", \ - "0.0264975,0.0380455,0.0500533,0.0755237,0.133891,0.255425,0.499311", \ - "0.0265021,0.0380565,0.0500582,0.0755170,0.133887,0.255424,0.499306", \ - "0.0265161,0.0380700,0.0500666,0.0755302,0.133901,0.255423,0.499310"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.080531,0.091767,0.100706,0.114833,0.137783,0.177332,0.250516", \ - "0.085108,0.096345,0.105288,0.119417,0.142363,0.181923,0.255103", \ - "0.103355,0.114558,0.123497,0.137637,0.160605,0.200168,0.273363", \ - "0.140328,0.151538,0.160497,0.174698,0.197735,0.237347,0.310549", \ - "0.188318,0.201491,0.211551,0.226831,0.250857,0.290864,0.364111", \ - "0.239004,0.254703,0.266473,0.283759,0.309840,0.351884,0.426252", \ - "0.292874,0.310984,0.324666,0.344387,0.373053,0.417357,0.493008"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014258,0.018480,0.022570,0.030167,0.044791,0.074329,0.135129", \ - "0.014258,0.018482,0.022572,0.030177,0.044790,0.074333,0.135131", \ - "0.014264,0.018511,0.022592,0.030185,0.044799,0.074346,0.135122", \ - "0.014817,0.018879,0.022876,0.030378,0.044892,0.074378,0.135149", \ - "0.019856,0.023344,0.026801,0.033513,0.046962,0.075296,0.135315", \ - "0.026018,0.029582,0.032806,0.039037,0.051809,0.078966,0.136825", \ - "0.032692,0.036681,0.039928,0.045808,0.057798,0.083571,0.139297"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.080536,0.091770,0.100710,0.114837,0.137787,0.177336,0.250521", \ - "0.085111,0.096349,0.105290,0.119423,0.142377,0.181936,0.255125", \ - "0.103354,0.114565,0.123501,0.137648,0.160614,0.200182,0.273374", \ - "0.140370,0.151568,0.160520,0.174708,0.197738,0.237362,0.310567", \ - "0.188203,0.201473,0.211511,0.226951,0.250985,0.291051,0.364241", \ - "0.239139,0.254774,0.266507,0.283878,0.309952,0.352025,0.426386", \ - "0.292886,0.310999,0.324687,0.344403,0.373070,0.417377,0.492968"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014257,0.018480,0.022572,0.030170,0.044806,0.074339,0.135129", \ - "0.014259,0.018479,0.022573,0.030165,0.044791,0.074327,0.135132", \ - "0.014267,0.018511,0.022596,0.030176,0.044812,0.074334,0.135129", \ - "0.014815,0.018881,0.022876,0.030381,0.044896,0.074375,0.135143", \ - "0.019876,0.023347,0.026807,0.033505,0.046952,0.075295,0.135317", \ - "0.025989,0.029564,0.032802,0.039032,0.051794,0.078959,0.136826", \ - "0.032694,0.036683,0.039926,0.045809,0.057799,0.083572,0.139300"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.080594,0.091726,0.100580,0.114551,0.137208,0.176172,0.248218", \ - "0.085171,0.096304,0.105166,0.119137,0.141794,0.180768,0.252816", \ - "0.103409,0.114515,0.123367,0.137345,0.160017,0.198995,0.271049", \ - "0.140383,0.151498,0.160358,0.174393,0.197133,0.236160,0.308254", \ - "0.188335,0.201359,0.211307,0.226569,0.250246,0.289710,0.361779", \ - "0.239417,0.254928,0.266466,0.283566,0.309242,0.350525,0.423826", \ - "0.293676,0.311509,0.324937,0.344178,0.372263,0.415731,0.490367"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014147,0.018314,0.022333,0.029803,0.044159,0.073136,0.133502", \ - "0.014151,0.018316,0.022336,0.029811,0.044159,0.073140,0.133498", \ - "0.014159,0.018339,0.022359,0.029824,0.044170,0.073124,0.133502", \ - "0.014684,0.018694,0.022629,0.030005,0.044255,0.073185,0.133500", \ - "0.019662,0.023090,0.026492,0.033072,0.046288,0.074134,0.133793", \ - "0.025692,0.029148,0.032317,0.038412,0.050886,0.077580,0.135450", \ - "0.032193,0.036069,0.039229,0.044986,0.056617,0.081901,0.138193"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.080594,0.091726,0.100579,0.114551,0.137208,0.176172,0.248218", \ - "0.085171,0.096304,0.105166,0.119133,0.141798,0.180768,0.252812", \ - "0.103409,0.114515,0.123367,0.137345,0.160017,0.198995,0.271049", \ - "0.140383,0.151498,0.160358,0.174393,0.197133,0.236160,0.308254", \ - "0.188335,0.201359,0.211307,0.226569,0.250246,0.289710,0.361779", \ - "0.239417,0.254928,0.266466,0.283566,0.309242,0.350525,0.423826", \ - "0.293676,0.311509,0.324937,0.344178,0.372263,0.415731,0.490367"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014147,0.018314,0.022333,0.029803,0.044158,0.073137,0.133502", \ - "0.014151,0.018316,0.022336,0.029816,0.044153,0.073140,0.133500", \ - "0.014159,0.018339,0.022359,0.029824,0.044170,0.073124,0.133502", \ - "0.014684,0.018694,0.022629,0.030005,0.044255,0.073185,0.133500", \ - "0.019662,0.023090,0.026492,0.033072,0.046288,0.074134,0.133793", \ - "0.025692,0.029148,0.032317,0.038412,0.050886,0.077580,0.135450", \ - "0.032193,0.036069,0.039229,0.044986,0.056617,0.081901,0.138193"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.627771,4.736831,4.811406,5.142752,5.223778,5.277754,5.324372", \ - "4.631614,4.737764,4.799061,5.117886,5.237395,5.265855,5.270662", \ - "4.605636,4.711697,4.757978,5.132255,5.215010,5.242278,5.246215", \ - "4.606196,4.709425,4.784100,5.123089,5.225384,5.254203,5.257866", \ - "4.639493,4.745519,4.805701,5.136892,5.219702,5.246954,5.320146", \ - "4.705926,4.814534,4.879571,5.204836,5.326054,5.353945,5.361760", \ - "4.825453,4.930644,4.998045,5.317005,5.392163,5.419973,5.491466"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.523338,5.501438,5.442809,5.422704,5.535245,5.578833,5.556495", \ - "5.509813,5.489357,5.456881,5.408938,5.466935,5.580169,5.558439", \ - "5.501041,5.479505,5.440076,5.392132,5.512682,5.555873,5.532907", \ - "5.490884,5.468628,5.421781,5.428108,5.462129,5.557870,5.535036", \ - "5.511092,5.488635,5.449996,5.404543,5.508451,5.551962,5.528036", \ - "5.573021,5.550177,5.502881,5.484792,5.538542,5.581321,5.624813", \ - "5.683392,5.658348,5.603900,5.576586,5.684121,5.720121,5.694818"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.485645,4.605437,4.688791,4.787081,4.850373,4.828547,4.832171", \ - "4.459020,4.577367,4.671417,4.746097,4.792177,4.812152,4.817029", \ - "4.445179,4.549757,4.639419,4.714670,4.791132,4.774414,4.845363", \ - "4.471853,4.560283,4.652676,4.727046,4.795698,4.824668,4.835268", \ - "4.587230,4.649530,4.707254,4.778173,4.851938,4.887725,4.901280", \ - "4.790879,4.821589,4.861198,4.929122,4.963475,5.007764,5.028044", \ - "5.072106,5.063971,5.096015,5.109694,5.134062,5.184349,5.211272"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.543098,4.662631,4.746470,4.845149,4.862959,4.887498,4.890623", \ - "4.523098,4.633513,4.727802,4.803143,4.871627,4.870400,4.872809", \ - "4.500212,4.605102,4.695240,4.770980,4.805143,4.830949,4.901209", \ - "4.527401,4.615647,4.708219,4.783159,4.852092,4.881999,4.918145", \ - "4.641942,4.703926,4.781058,4.832935,4.907596,4.944989,4.957829", \ - "4.843292,4.872861,4.913578,4.981520,5.031045,5.061525,5.081825", \ - "5.125527,5.116295,5.148578,5.162443,5.187188,5.237695,5.265212"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.671003,5.867635,5.983974,6.042511,6.111699,6.230389,6.277753", \ - "5.642161,5.844397,5.945494,6.058103,6.102538,6.219321,6.211244", \ - "5.615337,5.818444,5.907076,6.007131,6.054632,6.173619,6.230646", \ - "5.645396,5.831589,5.937525,6.036767,6.089855,6.142201,6.245848", \ - "5.774559,5.916074,6.019490,6.073883,6.160619,6.213281,6.282305", \ - "5.996262,6.101889,6.176098,6.245968,6.296731,6.347550,6.412739", \ - "6.297510,6.361844,6.418572,6.450996,6.501662,6.596821,6.609135"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.668486,5.859364,5.966753,6.049351,6.116808,6.230042,6.214228", \ - "5.639596,5.840405,5.941717,6.054758,6.104978,6.178808,6.197707", \ - "5.622360,5.812623,5.914915,6.013021,6.058098,6.173204,6.225655", \ - "5.650223,5.825475,5.934057,5.989692,6.092235,6.141070,6.234620", \ - "5.766851,5.920451,6.016730,6.077038,6.161752,6.212341,6.275389", \ - "5.988555,6.102119,6.178024,6.246986,6.296075,6.344061,6.405649", \ - "6.297406,6.361939,6.418178,6.449931,6.499270,6.599892,6.600453"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 119.245100; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.297414,0.324198,0.345767,0.378157,0.425150,0.492164,0.589333", \ - "0.302676,0.329510,0.351081,0.383473,0.430470,0.497488,0.594676", \ - "0.320975,0.347807,0.369359,0.401767,0.448784,0.515817,0.613060", \ - "0.345190,0.371971,0.393560,0.425990,0.473020,0.540069,0.637295", \ - "0.368532,0.395361,0.417050,0.449169,0.496224,0.563405,0.660584", \ - "0.389030,0.415876,0.437461,0.469575,0.516877,0.584075,0.681485", \ - "0.404880,0.431706,0.453014,0.485868,0.533067,0.600746,0.698115"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.203209,0.225567,0.245917,0.282003,0.348694,0.477137,0.731835", \ - "0.208415,0.230781,0.251126,0.287222,0.353889,0.482335,0.737033", \ - "0.226227,0.248602,0.268956,0.305054,0.371727,0.500171,0.754882", \ - "0.250893,0.273262,0.293588,0.329711,0.396337,0.524772,0.779505", \ - "0.275471,0.297818,0.318065,0.354185,0.420814,0.549354,0.803900", \ - "0.297554,0.319954,0.340172,0.376675,0.442838,0.571417,0.825876", \ - "0.316403,0.338787,0.359104,0.395151,0.461863,0.590400,0.845146"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0544652,0.0632652,0.0708070,0.0827584,0.101533,0.128696,0.179753", \ - "0.0545172,0.0632660,0.0708059,0.0827573,0.101534,0.128698,0.179751", \ - "0.0545551,0.0632779,0.0708322,0.0827698,0.101546,0.128704,0.179750", \ - "0.0545152,0.0633170,0.0708407,0.0828031,0.101558,0.128717,0.179765", \ - "0.0544924,0.0633145,0.0708543,0.0827836,0.101559,0.128722,0.179775", \ - "0.0545695,0.0633985,0.0709219,0.0828902,0.101607,0.128737,0.179774", \ - "0.0546803,0.0634440,0.0709831,0.0829105,0.101679,0.128771,0.179795"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0236580,0.0367522,0.0507977,0.0791677,0.137217,0.256700,0.499655", \ - "0.0236595,0.0367484,0.0507991,0.0791654,0.137217,0.256694,0.499654", \ - "0.0236505,0.0367481,0.0507929,0.0791649,0.137218,0.256697,0.499656", \ - "0.0236460,0.0367436,0.0507875,0.0791628,0.137217,0.256693,0.499656", \ - "0.0236491,0.0367439,0.0507963,0.0791611,0.137213,0.256695,0.499659", \ - "0.0236626,0.0367539,0.0508026,0.0791656,0.137216,0.256699,0.499658", \ - "0.0236931,0.0367778,0.0508160,0.0791832,0.137225,0.256697,0.499658"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.316462,0.344007,0.368104,0.408925,0.479825,0.609924,0.864000", \ - "0.321044,0.348591,0.372691,0.413515,0.484408,0.614525,0.868584", \ - "0.339222,0.366763,0.390857,0.431686,0.502577,0.632681,0.886745", \ - "0.376066,0.403653,0.427731,0.468556,0.539430,0.669515,0.923572", \ - "0.430447,0.457581,0.481216,0.521360,0.591721,0.721364,0.975225", \ - "0.493278,0.519842,0.542882,0.582039,0.651193,0.780029,1.033432", \ - "0.561245,0.587503,0.610030,0.648181,0.716028,0.843566,1.096073"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.039028,0.053616,0.068013,0.095311,0.150113,0.265191,0.505320", \ - "0.039031,0.053617,0.068011,0.095312,0.150107,0.265200,0.505324", \ - "0.039035,0.053616,0.068013,0.095316,0.150118,0.265218,0.505247", \ - "0.039029,0.053615,0.068013,0.095311,0.150118,0.265218,0.505227", \ - "0.039028,0.053626,0.068023,0.095325,0.150114,0.265221,0.505180", \ - "0.039085,0.053670,0.068066,0.095354,0.150152,0.265229,0.505282", \ - "0.039194,0.053760,0.068094,0.095415,0.150228,0.265223,0.505171"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.316507,0.344038,0.368127,0.408939,0.479823,0.609909,0.863959", \ - "0.321074,0.348624,0.372708,0.413523,0.484414,0.614498,0.868559", \ - "0.339261,0.366795,0.390886,0.431703,0.502581,0.632660,0.886722", \ - "0.376148,0.403714,0.427787,0.468586,0.539441,0.669513,0.923552", \ - "0.430434,0.457628,0.481229,0.521533,0.591886,0.721584,0.975365", \ - "0.493481,0.519998,0.543007,0.582245,0.651390,0.780238,1.033625", \ - "0.561370,0.587621,0.610156,0.648300,0.716141,0.843668,1.096071"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.039020,0.053608,0.068002,0.095292,0.150086,0.265157,0.505234", \ - "0.039029,0.053608,0.067999,0.095294,0.150077,0.265140,0.505244", \ - "0.039032,0.053609,0.068001,0.095298,0.150090,0.265131,0.505211", \ - "0.039025,0.053610,0.068002,0.095291,0.150094,0.265144,0.505180", \ - "0.039029,0.053619,0.068013,0.095310,0.150100,0.265179,0.505121", \ - "0.039086,0.053663,0.068054,0.095338,0.150123,0.265189,0.505224", \ - "0.039190,0.053750,0.068085,0.095400,0.150205,0.265186,0.505118"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.825402,0.850121,0.871376,0.907781,0.974121,1.102333,1.358441", \ - "0.829573,0.855289,0.875588,0.911751,0.978151,1.106334,1.362489", \ - "0.848163,0.872829,0.894065,0.931042,0.996731,1.124959,1.381189", \ - "0.881062,0.905672,0.927018,0.963259,1.029755,1.158061,1.414237", \ - "0.927788,0.952463,0.973786,1.010165,1.076724,1.205151,1.461216", \ - "0.992880,1.017776,1.038880,1.074046,1.140411,1.268712,1.524985", \ - "1.077089,1.100699,1.121913,1.158023,1.224311,1.352461,1.608546"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.029947,0.041951,0.054672,0.080939,0.137374,0.256983,0.500459", \ - "0.029947,0.041911,0.054683,0.080928,0.137385,0.256996,0.500412", \ - "0.029947,0.041951,0.054668,0.080927,0.137383,0.256982,0.500390", \ - "0.029940,0.041948,0.054683,0.080926,0.137386,0.256989,0.500374", \ - "0.029960,0.041947,0.054671,0.080926,0.137370,0.257057,0.500454", \ - "0.029925,0.041938,0.054656,0.080929,0.137370,0.256983,0.500424", \ - "0.029943,0.041963,0.054678,0.080949,0.137379,0.257063,0.500463"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.824535,0.850445,0.870594,0.907095,0.973594,1.102017,1.358376", \ - "0.828623,0.853365,0.874726,0.911076,0.977624,1.106022,1.362450", \ - "0.847364,0.872070,0.894491,0.929800,0.996239,1.124675,1.381150", \ - "0.880347,0.904971,0.926383,0.962706,1.029332,1.157827,1.414238", \ - "0.927195,0.951954,0.973248,1.009724,1.076396,1.204987,1.461311", \ - "0.992652,1.017390,1.038520,1.073738,1.140325,1.268636,1.525095", \ - "1.076849,1.101601,1.121713,1.157862,1.224221,1.352491,1.608730"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.029930,0.041896,0.054660,0.080925,0.137376,0.256983,0.500446", \ - "0.029946,0.041927,0.054674,0.080920,0.137384,0.256995,0.500458", \ - "0.029933,0.041936,0.054638,0.080921,0.137377,0.256982,0.500389", \ - "0.029932,0.041924,0.054667,0.080920,0.137385,0.256988,0.500375", \ - "0.029945,0.041925,0.054658,0.080925,0.137369,0.257056,0.500382", \ - "0.029922,0.041929,0.054649,0.080923,0.137384,0.256982,0.500427", \ - "0.029937,0.041923,0.054672,0.080945,0.137378,0.257063,0.500462"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.522990,5.502690,5.445201,5.427585,5.528421,5.531756,5.531315", \ - "5.509461,5.490614,5.431448,5.413851,5.524400,5.533206,5.564821", \ - "5.500694,5.480697,5.414705,5.399086,5.462309,5.508810,5.538091", \ - "5.490534,5.469883,5.424143,5.432793,5.466375,5.510939,5.509240", \ - "5.510738,5.489903,5.452344,5.409442,5.518643,5.573160,5.571222", \ - "5.572667,5.551451,5.505263,5.489574,5.549002,5.602720,5.637244", \ - "5.683039,5.659626,5.606396,5.581388,5.694351,5.741292,5.738060"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.627754,4.736179,4.812028,5.147540,5.234349,5.292935,5.299671", \ - "4.629967,4.738129,4.800765,5.141288,5.247787,5.287109,5.313620", \ - "4.605634,4.712748,4.790296,5.136944,5.224216,5.263580,5.289222", \ - "4.606219,4.710311,4.785082,5.127793,5.235718,5.275420,5.232769", \ - "4.637877,4.745894,4.806692,5.137831,5.230260,5.268397,5.294966", \ - "4.705644,4.810389,4.868346,5.209589,5.269202,5.307404,5.336713", \ - "4.823954,4.931006,4.999002,5.322252,5.402733,5.441477,5.466683"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.485084,4.606420,4.690945,4.742873,4.841329,4.850033,4.873185", \ - "4.466540,4.578365,4.673540,4.750570,4.799308,4.833685,4.858337", \ - "4.444632,4.550763,4.641550,4.719220,4.795697,4.795680,4.821503", \ - "4.471289,4.561376,4.643303,4.731457,4.805893,4.790074,4.808389", \ - "4.587039,4.650497,4.709468,4.785280,4.862230,4.840947,4.877493", \ - "4.790321,4.822689,4.863415,4.933471,4.973355,4.962302,5.021255", \ - "5.071522,5.064928,5.098209,5.114071,5.144416,5.139017,5.186443"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.542559,4.663591,4.748688,4.800939,4.873288,4.908961,4.932638", \ - "4.522553,4.634129,4.729915,4.807599,4.881687,4.891806,4.913239", \ - "4.499662,4.606073,4.697387,4.775421,4.815527,4.852402,4.879900", \ - "4.526856,4.616658,4.702910,4.787565,4.862024,4.835978,4.865632", \ - "4.641378,4.704947,4.774034,4.837456,4.917826,4.898593,4.934466", \ - "4.842731,4.873962,4.915800,4.985861,5.026677,5.014985,5.054858", \ - "5.124940,5.117481,5.150788,5.166827,5.197546,5.192320,5.240433"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.671012,5.865471,5.960803,6.081617,6.123391,6.183911,6.256362", \ - "5.641360,5.841834,5.947728,6.061721,6.112824,6.202619,6.251691", \ - "5.614523,5.813541,5.909868,6.014928,6.065220,6.126999,6.210680", \ - "5.645409,5.820476,5.928966,5.989448,6.100342,6.163808,6.246141", \ - "5.773838,5.922609,6.001478,6.078634,6.171571,6.235698,6.321168", \ - "5.985669,6.108439,6.178417,6.250414,6.307394,6.369561,6.457097", \ - "6.296693,6.372901,6.420954,6.467646,6.513091,6.570545,6.649464"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.668507,5.861903,5.968982,6.086626,6.127201,6.183910,6.252370", \ - "5.638689,5.835373,5.957734,6.016588,6.116714,6.201489,6.249384", \ - "5.621564,5.813709,5.930457,6.027795,6.068981,6.126645,6.205694", \ - "5.649429,5.827123,5.934760,5.994224,6.102683,6.162644,6.240570", \ - "5.777716,5.922481,6.005806,6.081740,6.172515,6.233530,6.318997", \ - "5.986101,6.097709,6.180322,6.251406,6.305122,6.366053,6.449783", \ - "6.296594,6.364432,6.420533,6.466498,6.510475,6.565459,6.641417"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFS_X1 - Cell Description : Pos.edge D-Flip-Flop with active low set, and drive strength X1 - *******************************************************************************************/ - - cell (DFFS_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - preset : "!SN"; - } - - area : 5.320000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 55.811923; - - leakage_power () { - when : "!CK & !D & !SN & Q & !QN"; - value : 56.938155; - } - leakage_power () { - when : "!CK & !D & SN & !Q & QN"; - value : 57.899830; - } - leakage_power () { - when : "!CK & !D & SN & Q & !QN"; - value : 60.873872; - } - leakage_power () { - when : "!CK & D & !SN & Q & !QN"; - value : 48.332209; - } - leakage_power () { - when : "!CK & D & SN & !Q & QN"; - value : 60.464906; - } - leakage_power () { - when : "!CK & D & SN & Q & !QN"; - value : 57.435613; - } - leakage_power () { - when : "CK & !D & !SN & Q & !QN"; - value : 45.979116; - } - leakage_power () { - when : "CK & !D & SN & !Q & QN"; - value : 57.684123; - } - leakage_power () { - when : "CK & !D & SN & Q & !QN"; - value : 54.221630; - } - leakage_power () { - when : "CK & D & !SN & Q & !QN"; - value : 47.337740; - } - leakage_power () { - when : "CK & D & SN & !Q & QN"; - value : 66.998094; - } - leakage_power () { - when : "CK & D & SN & Q & !QN"; - value : 55.577783; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.143907; - fall_capacitance : 1.057943; - rise_capacitance : 1.143907; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.002058,0.043697,0.089983", \ - "0.026247,0.056093,0.078358", \ - "0.304537,0.346864,0.357056"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.009884,0.061680,0.124322", \ - "0.073874,0.128901,0.189968", \ - "0.225086,0.270860,0.323902"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.148571,0.087939,0.014070", \ - "0.205749,0.144645,0.073370", \ - "0.369739,0.309109,0.235098"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.062845,0.033465,0.040725", \ - "0.111292,0.079116,0.081014", \ - "0.196459,0.153890,0.143727"); - } - } - - internal_power () { - - when : "!CK & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.501261,2.484497,2.460708,2.448543,2.458018,2.522820,2.629852"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.365918,1.339353,1.319087,1.296994,1.301313,1.350462,1.460453"); - } - - } - - internal_power () { - - when : "!CK & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.384781,3.367918,3.341387,3.331289,3.344064,3.407640,3.540233"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.145606,2.122672,2.095646,2.074716,2.078797,2.132960,2.261606"); - } - - } - - internal_power () { - - when : "!CK & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.350220,3.332658,3.308179,3.294793,3.309673,3.373221,3.501270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.129647,2.107584,2.081201,2.058065,2.062807,2.115567,2.240176"); - } - - } - - internal_power () { - - when : "CK & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.481287,0.484624,0.484802,0.485384,0.484992,0.485477,0.484502"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.336302,-0.367781,-0.407524,-0.427540,-0.437540,-0.443675,-0.448042"); - } - - } - - internal_power () { - - when : "CK & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.558421,0.537416,0.526324,0.521373,0.518228,0.517093,0.516043"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.463416,-0.465680,-0.467609,-0.471404,-0.472384,-0.473282,-0.473855"); - } - - } - - internal_power () { - - when : "CK & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.481682,0.484643,0.484794,0.485402,0.484985,0.485501,0.484500"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.336320,-0.368176,-0.407271,-0.427317,-0.437103,-0.443336,-0.447561"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.365264; - fall_capacitance : 1.315611; - rise_capacitance : 1.365264; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.111454,-0.169895,-0.245929", \ - "-0.085185,-0.144650,-0.220925", \ - "-0.099823,-0.159543,-0.235873"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.344132,0.398972,0.469727", \ - "0.482045,0.537495,0.607964", \ - "0.966473,1.021675,1.092158"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.727356,0.817535,1.221257"); - } - } - - internal_power () { - - when : "!CK & !D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.387443,1.353616,1.336988,1.373169,1.458798,1.603710,1.817325"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.423161,0.405442,0.376558,0.359261,0.383474,0.464639,0.615476"); - } - - } - - internal_power () { - - when : "!CK & D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.531465,0.510276,0.500016,0.493971,0.492224,0.491098,0.490845"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449623,-0.450771,-0.454391,-0.455565,-0.456432,-0.456401,-0.456302"); - } - - } - - internal_power () { - - when : "CK & !D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.510890,0.497475,0.490477,0.487657,0.485228,0.484287,0.483452"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.451601,-0.452797,-0.455397,-0.456292,-0.456202,-0.456560,-0.455466"); - } - - } - - internal_power () { - - when : "CK & D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.510888,0.497471,0.490492,0.487653,0.485239,0.484280,0.483463"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.451618,-0.452797,-0.455401,-0.456291,-0.456204,-0.456556,-0.455462"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.928100; - fall_capacitance : 0.841377; - rise_capacitance : 0.928100; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.229747,0.249626,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.192503,0.203076,0.500500"); - } - } - - internal_power () { - - when : "!D & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.175457,5.152111,5.123201,5.141593,5.235624,5.401987,5.644099"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("8.210079,8.198115,8.179679,8.192065,8.247217,8.359304,8.557462"); - } - - } - - internal_power () { - - when : "!D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.673427,3.648331,3.619186,3.633450,3.711460,3.870769,4.092123"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.851629,1.844433,1.805200,1.801178,1.850212,1.975909,2.176396"); - } - - } - - internal_power () { - - when : "!D & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.116003,6.090854,6.060135,6.077482,6.160639,6.315271,6.543835"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.495549,3.470250,3.440436,3.452882,3.531658,3.683102,3.900987"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.971814,1.962163,1.934957,1.933387,1.984246,2.100922,2.305358"); - } - - } - - internal_power () { - - when : "D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.725122,5.699299,5.669136,5.681902,5.760598,5.916925,6.145756"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.497910,3.473695,3.443287,3.456683,3.535593,3.688233,3.912056"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.995412,1.982351,1.956843,1.955243,2.004818,2.121944,2.326242"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.653700; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.238311,0.247151,0.255339,0.268671,0.290871,0.329488,0.401236", \ - "0.243548,0.252393,0.260563,0.273920,0.296091,0.334721,0.406479", \ - "0.261968,0.270807,0.278993,0.292347,0.314547,0.353144,0.424888", \ - "0.289499,0.298358,0.306423,0.319716,0.341948,0.380554,0.452346", \ - "0.317512,0.326385,0.334605,0.347830,0.369968,0.408552,0.480444", \ - "0.343305,0.352158,0.360990,0.373555,0.395733,0.434344,0.506941", \ - "0.366526,0.374973,0.381970,0.395428,0.418613,0.456090,0.527819"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.298450,0.308820,0.320389,0.347067,0.406976,0.532421,0.786657", \ - "0.303737,0.314123,0.325706,0.352362,0.412264,0.537712,0.791965", \ - "0.322193,0.332565,0.344132,0.370830,0.430733,0.556196,0.810445", \ - "0.348819,0.359178,0.370667,0.397409,0.457330,0.582814,0.837072", \ - "0.375931,0.386095,0.397743,0.424112,0.484067,0.609597,0.864014", \ - "0.400495,0.410824,0.422338,0.448758,0.508783,0.634324,0.888332", \ - "0.420873,0.431259,0.442735,0.469736,0.530096,0.655264,0.910060"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0154765,0.0192980,0.0231905,0.0304140,0.0445157,0.0732640,0.133585", \ - "0.0154775,0.0192972,0.0231869,0.0304157,0.0445140,0.0732518,0.133589", \ - "0.0154775,0.0192974,0.0231901,0.0304197,0.0445146,0.0732594,0.133592", \ - "0.0154741,0.0193013,0.0231813,0.0304246,0.0445002,0.0732615,0.133596", \ - "0.0154811,0.0193067,0.0231932,0.0304174,0.0445151,0.0732553,0.133599", \ - "0.0154819,0.0193125,0.0231958,0.0304152,0.0444876,0.0732574,0.133624", \ - "0.0154873,0.0193154,0.0232015,0.0304214,0.0445141,0.0732545,0.133595"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0198869,0.0296595,0.0425551,0.0714429,0.132187,0.254533,0.499536", \ - "0.0198853,0.0296643,0.0425512,0.0714544,0.132185,0.254537,0.499537", \ - "0.0198916,0.0296665,0.0425554,0.0714522,0.132197,0.254534,0.499536", \ - "0.0198920,0.0296627,0.0425627,0.0714443,0.132193,0.254539,0.499531", \ - "0.0198963,0.0296742,0.0425604,0.0714396,0.132183,0.254543,0.499538", \ - "0.0199035,0.0296661,0.0425686,0.0714486,0.132187,0.254541,0.499538", \ - "0.0199263,0.0296973,0.0425754,0.0714517,0.132188,0.254539,0.499529"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.842336,0.849122,0.856130,0.873292,0.919922,1.035767,1.284921", \ - "0.846233,0.853242,0.860195,0.877376,0.923995,1.039818,1.288983", \ - "0.864873,0.871760,0.878801,0.895996,0.942638,1.058426,1.307619", \ - "0.896989,0.903899,0.910887,0.928097,0.974764,1.090694,1.339855", \ - "0.943465,0.950406,0.957568,0.974324,1.021008,1.136850,1.386303", \ - "1.007675,1.014551,1.021450,1.038444,1.085175,1.200944,1.449993", \ - "1.092994,1.099788,1.106644,1.123662,1.170292,1.286045,1.534898"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.041633,0.053262,0.062130,0.080743,0.134351,0.255271,0.499881", \ - "0.041722,0.053263,0.062130,0.080737,0.134361,0.255281,0.499879", \ - "0.041691,0.053262,0.062124,0.080743,0.134355,0.255271,0.499881", \ - "0.041692,0.053268,0.062140,0.080748,0.134354,0.255273,0.499880", \ - "0.041712,0.053266,0.062136,0.080745,0.134362,0.255272,0.499880", \ - "0.041698,0.053263,0.062136,0.080745,0.134353,0.255271,0.499881", \ - "0.041645,0.053264,0.062136,0.080737,0.134361,0.255279,0.499877"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.842967,0.849660,0.856640,0.873688,0.920259,1.035842,1.284826", \ - "0.846906,0.853787,0.860816,0.877896,0.924447,1.040081,1.289028", \ - "0.865463,0.872336,0.879366,0.896448,0.943024,1.058678,1.307686", \ - "0.897821,0.904625,0.911536,0.928632,0.975322,1.091014,1.340137", \ - "0.944888,0.951753,0.958073,0.975657,1.022288,1.137455,1.386594", \ - "1.009195,1.015819,1.023526,1.039859,1.086577,1.202250,1.451311", \ - "1.094262,1.101173,1.107888,1.125058,1.171047,1.286575,1.535431"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.041713,0.053303,0.062171,0.080769,0.134359,0.255283,0.499881", \ - "0.041730,0.053302,0.062177,0.080753,0.134358,0.255276,0.499878", \ - "0.041723,0.053294,0.062162,0.080764,0.134367,0.255277,0.499881", \ - "0.041725,0.053288,0.062172,0.080766,0.134372,0.255276,0.499879", \ - "0.041731,0.053295,0.062148,0.080752,0.134366,0.255278,0.499880", \ - "0.041719,0.053281,0.062152,0.080760,0.134365,0.255277,0.499878", \ - "0.041670,0.053282,0.062153,0.080751,0.134353,0.255276,0.499878"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.485429,0.495943,0.507617,0.534480,0.594728,0.720717,0.975734", \ - "0.489629,0.500140,0.511791,0.538657,0.598941,0.724927,0.979901", \ - "0.508066,0.518533,0.530191,0.557055,0.617300,0.743313,0.998288", \ - "0.543343,0.553800,0.565265,0.592181,0.652431,0.778366,1.033302", \ - "0.586148,0.596599,0.608124,0.634983,0.695213,0.821138,1.076057", \ - "0.632924,0.643367,0.654992,0.681535,0.742065,0.868000,1.122883", \ - "0.683968,0.694400,0.706295,0.732875,0.793074,0.918792,1.173823"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.020022,0.029759,0.042590,0.071442,0.132212,0.254568,0.499551", \ - "0.019986,0.029720,0.042568,0.071436,0.132216,0.254566,0.499549", \ - "0.019964,0.029687,0.042553,0.071427,0.132202,0.254565,0.499550", \ - "0.019957,0.029679,0.042538,0.071424,0.132199,0.254564,0.499550", \ - "0.019952,0.029683,0.042535,0.071420,0.132202,0.254561,0.499550", \ - "0.019951,0.029679,0.042532,0.071421,0.132209,0.254562,0.499549", \ - "0.019944,0.029670,0.042533,0.071418,0.132205,0.254557,0.499547"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.485664,0.496175,0.507842,0.534661,0.594859,0.720802,0.975741", \ - "0.489879,0.500382,0.512002,0.538841,0.599083,0.725009,0.979905", \ - "0.508274,0.518748,0.530388,0.557196,0.617432,0.743384,0.998254", \ - "0.543516,0.553983,0.565430,0.592313,0.652543,0.778394,1.033292", \ - "0.586282,0.596739,0.608244,0.635091,0.695290,0.821177,1.076029", \ - "0.633024,0.643460,0.655075,0.681604,0.742108,0.868006,1.122842", \ - "0.684018,0.694435,0.706326,0.732908,0.793080,0.918670,1.173758"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.020020,0.029760,0.042593,0.071444,0.132210,0.254568,0.499551", \ - "0.019990,0.029716,0.042569,0.071438,0.132216,0.254566,0.499549", \ - "0.019965,0.029701,0.042549,0.071427,0.132201,0.254564,0.499549", \ - "0.019955,0.029690,0.042538,0.071426,0.132200,0.254564,0.499550", \ - "0.019951,0.029685,0.042536,0.071420,0.132203,0.254561,0.499547", \ - "0.019954,0.029681,0.042533,0.071421,0.132208,0.254562,0.499549", \ - "0.019946,0.029672,0.042538,0.071419,0.132205,0.254557,0.499550"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("2.933243,2.999219,3.040288,3.214300,3.260948,3.302272,3.293661", \ - "2.927550,2.998669,3.029608,3.223903,3.269054,3.272934,3.297944", \ - "2.912811,2.985340,3.017398,3.197295,3.243484,3.268449,3.276209", \ - "2.912073,2.984620,3.023591,3.200549,3.230131,3.271582,3.262350", \ - "2.933270,3.007534,3.049100,3.218893,3.281836,3.289887,3.314737", \ - "2.999421,3.067202,3.108056,3.288519,3.338149,3.346280,3.368685", \ - "3.112068,3.177514,3.217949,3.396422,3.428347,3.443501,3.469752"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.124236,3.170234,3.215437,3.278081,3.323679,3.380698,3.386493", \ - "3.115927,3.166178,3.206780,3.269632,3.323146,3.362322,3.351751", \ - "3.104122,3.150820,3.191143,3.253377,3.302561,3.360137,3.365790", \ - "3.092546,3.146886,3.189445,3.251204,3.319159,3.341687,3.348093", \ - "3.117299,3.169749,3.200721,3.279212,3.336486,3.358965,3.364314", \ - "3.171731,3.226471,3.267005,3.316743,3.384541,3.407427,3.414801", \ - "3.288857,3.333247,3.366974,3.446330,3.503956,3.530900,3.533584"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.653882,3.573156,3.486199,3.384642,3.355468,3.342681,3.324324", \ - "3.641362,3.557723,3.475541,3.369042,3.324846,3.334709,3.313079", \ - "3.632064,3.556191,3.458553,3.347203,3.303304,3.313215,3.296663", \ - "3.650190,3.573068,3.485729,3.364136,3.347177,3.347598,3.328696", \ - "3.702276,3.616534,3.520252,3.427632,3.388601,3.394336,3.377455", \ - "3.774603,3.695585,3.591088,3.485411,3.461058,3.467201,3.448509", \ - "3.888916,3.811561,3.710600,3.603108,3.562416,3.565575,3.552502"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.227084,3.146720,3.048465,2.960086,2.900027,2.913501,2.897347", \ - "3.215050,3.136618,3.044394,2.939122,2.896952,2.907677,2.893590", \ - "3.215170,3.129982,3.043622,2.926488,2.880851,2.890555,2.875387", \ - "3.215667,3.136434,3.041459,2.924695,2.898507,2.906622,2.892111", \ - "3.219928,3.138478,3.046329,2.934833,2.897412,2.908729,2.893999", \ - "3.223160,3.138308,3.036085,2.927084,2.896192,2.903627,2.891386", \ - "3.235376,3.150342,3.056126,2.948699,2.896486,2.903424,2.890006"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.688553,3.725820,3.759731,3.841646,3.907454,3.934913,3.942611", \ - "3.670041,3.706649,3.746258,3.813754,3.894776,3.922332,3.930915", \ - "3.655884,3.692955,3.737781,3.799325,3.873569,3.900543,3.909529", \ - "3.674131,3.710812,3.739403,3.828490,3.883416,3.910461,3.921959", \ - "3.705757,3.748583,3.782183,3.863544,3.916688,3.943381,3.954492", \ - "3.771718,3.815860,3.848091,3.930040,3.995190,4.022437,4.033186", \ - "3.867855,3.902229,3.941928,3.995210,4.066399,4.124043,4.139737"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.690734,3.729051,3.761445,3.844095,3.910954,3.940017,3.948835", \ - "3.671084,3.707886,3.748068,3.816213,3.898279,3.926918,3.937139", \ - "3.661507,3.702599,3.739519,3.793137,3.877087,3.905220,3.916189", \ - "3.675943,3.712366,3.756145,3.809196,3.886779,3.915095,3.928097", \ - "3.713917,3.752462,3.784158,3.865982,3.920047,3.948018,3.995036", \ - "3.773218,3.818397,3.850054,3.932556,3.998539,4.027050,4.039240", \ - "3.870131,3.907381,3.944357,4.014606,4.069829,4.099699,4.145491"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.210527,0.227741,0.243719,0.268020,0.303856,0.356266,0.439831", \ - "0.215815,0.233033,0.249032,0.273310,0.309149,0.361565,0.445138", \ - "0.234257,0.251471,0.267455,0.291779,0.327625,0.380056,0.463634", \ - "0.260867,0.278094,0.293989,0.318353,0.354227,0.406679,0.490280", \ - "0.287968,0.304995,0.321055,0.345045,0.380955,0.433462,0.517213", \ - "0.312490,0.329688,0.345609,0.369669,0.405702,0.458267,0.541636", \ - "0.332836,0.350085,0.365975,0.390619,0.427016,0.479240,0.563412"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.174682,0.190994,0.208968,0.242618,0.307596,0.435451,0.689992", \ - "0.179917,0.196236,0.214205,0.247868,0.312818,0.440683,0.695232", \ - "0.198338,0.214653,0.232638,0.266299,0.331256,0.459105,0.713648", \ - "0.225871,0.242203,0.260050,0.293670,0.358670,0.486517,0.741105", \ - "0.253852,0.270147,0.288233,0.321771,0.386687,0.514520,0.769199", \ - "0.279659,0.295962,0.313858,0.347491,0.412437,0.540350,0.795663", \ - "0.302858,0.318790,0.335583,0.369359,0.435332,0.562065,0.816578"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0350668,0.0410347,0.0469651,0.0567622,0.0717892,0.0981655,0.152997", \ - "0.0350671,0.0410342,0.0469666,0.0567577,0.0717951,0.0981642,0.153000", \ - "0.0350877,0.0410501,0.0469819,0.0567677,0.0718044,0.0981732,0.153002", \ - "0.0351093,0.0410565,0.0469960,0.0567851,0.0718143,0.0981753,0.153017", \ - "0.0351331,0.0410789,0.0470085,0.0567922,0.0718121,0.0981773,0.153000", \ - "0.0351730,0.0411569,0.0470978,0.0568850,0.0718964,0.0982368,0.153042", \ - "0.0352500,0.0412250,0.0471695,0.0569561,0.0719539,0.0982642,0.153016"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0213869,0.0324467,0.0465444,0.0757031,0.135462,0.256416,0.499736", \ - "0.0213809,0.0324464,0.0465461,0.0757006,0.135463,0.256418,0.499739", \ - "0.0213785,0.0324441,0.0465387,0.0757000,0.135460,0.256418,0.499737", \ - "0.0213773,0.0324429,0.0465371,0.0756986,0.135463,0.256420,0.499737", \ - "0.0213439,0.0324510,0.0465440,0.0757036,0.135463,0.256418,0.499739", \ - "0.0214101,0.0324600,0.0465478,0.0757064,0.135460,0.256424,0.499742", \ - "0.0214426,0.0324904,0.0465746,0.0757157,0.135467,0.256424,0.499740"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.658442,0.693298,0.728084,0.781236,0.852389,0.939522,1.057810", \ - "0.662640,0.697419,0.732148,0.785317,0.856464,0.943566,1.061883", \ - "0.681278,0.715943,0.750753,0.803943,0.875100,0.962173,1.080517", \ - "0.713349,0.748074,0.782845,0.836065,0.907242,0.994448,1.112763", \ - "0.759818,0.794564,0.829524,0.882269,0.953437,1.040594,1.159179", \ - "0.823999,0.858732,0.893407,0.946420,1.017605,1.104653,1.222885", \ - "0.909093,0.943981,0.978598,1.031658,1.102652,1.189719,1.307741"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.118204,0.130068,0.140737,0.152785,0.161335,0.175342,0.222273", \ - "0.118344,0.130078,0.140739,0.152785,0.161339,0.175387,0.222292", \ - "0.118315,0.130072,0.140739,0.152783,0.161347,0.175363,0.222292", \ - "0.118307,0.130006,0.140720,0.152803,0.161322,0.175371,0.222304", \ - "0.118316,0.130068,0.140729,0.152786,0.161353,0.175346,0.222274", \ - "0.118230,0.130012,0.140722,0.152815,0.161340,0.175371,0.222306", \ - "0.118073,0.130027,0.140736,0.152806,0.161395,0.175377,0.222298"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.659133,0.693771,0.728520,0.781640,0.852750,0.939653,1.057783", \ - "0.663050,0.697880,0.732697,0.785814,0.856931,0.943876,1.061955", \ - "0.681609,0.716452,0.751257,0.804389,0.875512,0.962486,1.080628", \ - "0.714011,0.748827,0.783472,0.836589,0.907754,0.994779,1.113061", \ - "0.761318,0.795904,0.829977,0.883607,0.954757,1.041250,1.159507", \ - "0.825534,0.859971,0.895440,0.947820,1.018990,1.106011,1.224176", \ - "0.910487,0.945319,0.979818,1.032960,1.103452,1.190274,1.308284"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.118265,0.130188,0.140883,0.152930,0.161452,0.175449,0.222372", \ - "0.118319,0.130131,0.140886,0.152912,0.161450,0.175439,0.222326", \ - "0.118294,0.130191,0.140865,0.152909,0.161447,0.175454,0.222305", \ - "0.118275,0.130185,0.140864,0.152901,0.161441,0.175435,0.222313", \ - "0.118407,0.130153,0.140838,0.152890,0.161406,0.175444,0.222308", \ - "0.118383,0.130139,0.140833,0.152867,0.161421,0.175452,0.222346", \ - "0.118137,0.130048,0.140816,0.152859,0.161455,0.175453,0.222329"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.397511,0.414821,0.430911,0.455569,0.492315,0.546022,0.631056", \ - "0.401820,0.419134,0.435196,0.459803,0.496450,0.550048,0.634969", \ - "0.420337,0.437620,0.453669,0.478230,0.514764,0.568289,0.653153", \ - "0.455638,0.472918,0.488776,0.513379,0.549885,0.603288,0.688080", \ - "0.498458,0.515729,0.531650,0.556198,0.592651,0.646032,0.730790", \ - "0.545231,0.562500,0.578530,0.602754,0.639502,0.692875,0.777590", \ - "0.596318,0.613572,0.629868,0.654108,0.690511,0.743663,0.828511"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035235,0.041222,0.047253,0.057381,0.072925,0.099383,0.154048", \ - "0.035220,0.041192,0.047188,0.057240,0.072721,0.099219,0.153957", \ - "0.035211,0.041174,0.047153,0.057149,0.072575,0.099091,0.153870", \ - "0.035208,0.041167,0.047139,0.057114,0.072494,0.099033,0.153833", \ - "0.035207,0.041166,0.047137,0.057093,0.072462,0.099003,0.153814", \ - "0.035212,0.041166,0.047130,0.057080,0.072445,0.098965,0.153791", \ - "0.035202,0.041154,0.047115,0.057071,0.072439,0.098955,0.153781"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.397740,0.415032,0.431129,0.455749,0.492455,0.546112,0.631075", \ - "0.402075,0.419376,0.435400,0.459985,0.496598,0.550141,0.634983", \ - "0.420523,0.437823,0.453859,0.478383,0.514905,0.568368,0.653170", \ - "0.455792,0.473091,0.488934,0.513516,0.549999,0.603322,0.688079", \ - "0.498571,0.515862,0.531769,0.556305,0.592731,0.646079,0.730776", \ - "0.545314,0.562585,0.578610,0.602823,0.639550,0.692887,0.777557", \ - "0.596347,0.613598,0.629887,0.654140,0.690521,0.743645,0.828450"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.035228,0.041238,0.047260,0.057393,0.072935,0.099395,0.154061", \ - "0.035219,0.041201,0.047200,0.057253,0.072729,0.099228,0.153963", \ - "0.035219,0.041182,0.047170,0.057162,0.072579,0.099100,0.153876", \ - "0.035215,0.041173,0.047150,0.057124,0.072512,0.099048,0.153838", \ - "0.035213,0.041172,0.047140,0.057102,0.072477,0.099011,0.153818", \ - "0.035218,0.041174,0.047139,0.057088,0.072453,0.098972,0.153795", \ - "0.035192,0.041158,0.047121,0.057077,0.072445,0.098961,0.153780"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.123203,3.171671,3.203442,3.284283,3.336987,3.373295,3.371991", \ - "3.114875,3.164582,3.209622,3.275879,3.336388,3.372665,3.371426", \ - "3.103093,3.152250,3.194026,3.259577,3.322931,3.352735,3.351283", \ - "3.092550,3.145154,3.177542,3.257406,3.297392,3.334193,3.332963", \ - "3.121961,3.162898,3.203634,3.257327,3.314595,3.363715,3.383907", \ - "3.179857,3.227844,3.269804,3.323115,3.397667,3.434076,3.434425", \ - "3.287798,3.334529,3.369838,3.434197,3.482308,3.523627,3.518922"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.933264,2.999704,3.040190,3.220681,3.274173,3.295105,3.293283", \ - "2.929236,2.999613,3.031339,3.208007,3.278544,3.274703,3.283480", \ - "2.914055,2.984069,3.018769,3.203627,3.256751,3.277956,3.261445", \ - "2.912728,2.984339,3.024830,3.206796,3.243484,3.264368,3.281956", \ - "2.933280,3.008224,3.050449,3.222089,3.262255,3.282689,3.300313", \ - "2.998453,3.069816,3.107134,3.294693,3.317267,3.339058,3.353743", \ - "3.115629,3.178000,3.208420,3.402590,3.441411,3.470136,3.460148"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.651347,3.574984,3.489489,3.381679,3.347601,3.369845,3.344726", \ - "3.638806,3.559538,3.466829,3.375831,3.338482,3.325492,3.299236", \ - "3.637064,3.549481,3.461921,3.359591,3.316971,3.339795,3.316808", \ - "3.655113,3.574682,3.473455,3.377203,3.316738,3.338689,3.314483", \ - "3.699583,3.616719,3.523531,3.414207,3.364944,3.386237,3.362984", \ - "3.779942,3.697450,3.594353,3.494336,3.437330,3.459112,3.435850", \ - "3.893893,3.804088,3.713868,3.610495,3.576109,3.557437,3.538098"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.224559,3.148543,3.053086,2.961234,2.913611,2.940562,2.918270", \ - "3.215112,3.136781,3.047845,2.946508,2.910467,2.899555,2.876922", \ - "3.212600,3.132674,3.046795,2.939068,2.894569,2.918062,2.895545", \ - "3.220663,3.137678,3.044271,2.936757,2.874433,2.898685,2.879897", \ - "3.222672,3.140302,3.034063,2.941697,2.873502,2.900607,2.879663", \ - "3.220552,3.140183,3.039453,2.939034,2.909808,2.895483,2.876830", \ - "3.232732,3.152160,3.059326,2.939321,2.910221,2.895264,2.909782"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.685571,3.727242,3.762569,3.819515,3.885477,3.927635,3.962493", \ - "3.667045,3.707915,3.749037,3.820001,3.873027,3.915847,3.916563", \ - "3.652869,3.696642,3.740528,3.797164,3.886604,3.906654,3.929337", \ - "3.671208,3.712292,3.742236,3.813208,3.896394,3.902889,3.941685", \ - "3.711429,3.744236,3.784956,3.841568,3.929798,3.969992,3.974356", \ - "3.777377,3.813074,3.850863,3.908123,3.973354,4.014943,4.052550", \ - "3.867857,3.903636,3.944727,4.001593,4.079622,4.121885,4.125190"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.690750,3.728622,3.771910,3.821866,3.916508,3.944028,3.968850", \ - "3.668053,3.709450,3.750866,3.822485,3.876427,3.930198,3.922761", \ - "3.663106,3.695922,3.742296,3.799558,3.890139,3.897697,3.935440", \ - "3.675956,3.713806,3.743998,3.815563,3.899843,3.907556,3.947813", \ - "3.713944,3.745725,3.786956,3.843938,3.933207,3.974641,3.980425", \ - "3.778985,3.816505,3.852851,3.910588,3.976666,4.019536,4.058593", \ - "3.870136,3.905901,3.947076,4.004296,4.083055,4.126492,4.131310"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFS_X2 - Cell Description : Pos.edge D-Flip-Flop with active low set, and drive strength X2 - *******************************************************************************************/ - - cell (DFFS_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - preset : "!SN"; - } - - area : 5.586000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 81.793789; - - leakage_power () { - when : "!CK & !D & !SN & Q & !QN"; - value : 82.331370; - } - leakage_power () { - when : "!CK & !D & SN & !Q & QN"; - value : 85.119990; - } - leakage_power () { - when : "!CK & !D & SN & Q & !QN"; - value : 86.305752; - } - leakage_power () { - when : "!CK & D & !SN & Q & !QN"; - value : 73.713929; - } - leakage_power () { - when : "!CK & D & SN & !Q & QN"; - value : 87.693607; - } - leakage_power () { - when : "!CK & D & SN & Q & !QN"; - value : 82.851533; - } - leakage_power () { - when : "CK & !D & !SN & Q & !QN"; - value : 71.308397; - } - leakage_power () { - when : "CK & !D & SN & !Q & QN"; - value : 84.880629; - } - leakage_power () { - when : "CK & !D & SN & Q & !QN"; - value : 79.551195; - } - leakage_power () { - when : "CK & D & !SN & Q & !QN"; - value : 72.667020; - } - leakage_power () { - when : "CK & D & SN & !Q & QN"; - value : 94.194694; - } - leakage_power () { - when : "CK & D & SN & Q & !QN"; - value : 80.907348; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.142194; - fall_capacitance : 1.058243; - rise_capacitance : 1.142194; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.001087,0.041248,0.089725", \ - "0.027672,0.055540,0.079093", \ - "0.310083,0.350596,0.361072"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.009202,0.060890,0.124255", \ - "0.069784,0.126456,0.188083", \ - "0.219945,0.268604,0.323708"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.156577,0.095359,0.020004", \ - "0.214189,0.151759,0.079723", \ - "0.379741,0.318402,0.242951"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.059112,0.031434,0.037214", \ - "0.107071,0.076877,0.077915", \ - "0.190679,0.150172,0.139725"); - } - } - - internal_power () { - - when : "!CK & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.567557,2.549436,2.526967,2.514389,2.523734,2.587549,2.692868"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.367015,1.338006,1.315726,1.297327,1.301854,1.350433,1.459140"); - } - - } - - internal_power () { - - when : "!CK & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.451656,3.434947,3.409802,3.398227,3.411299,3.475550,3.603564"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.118452,2.096271,2.070156,2.048904,2.052770,2.106162,2.235660"); - } - - } - - internal_power () { - - when : "!CK & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.416514,3.399365,3.375398,3.362852,3.376816,3.440569,3.568819"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.102903,2.081258,2.055115,2.032943,2.037188,2.089895,2.213655"); - } - - } - - internal_power () { - - when : "CK & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.481250,0.484826,0.484805,0.485561,0.485008,0.485694,0.484515"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.336213,-0.367517,-0.407277,-0.427289,-0.437282,-0.443421,-0.447787"); - } - - } - - internal_power () { - - when : "CK & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.558654,0.537620,0.526501,0.521579,0.518270,0.517351,0.516078"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.463362,-0.465467,-0.468521,-0.471156,-0.472127,-0.473037,-0.473613"); - } - - } - - internal_power () { - - when : "CK & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.481225,0.484847,0.484797,0.485580,0.485002,0.485727,0.484514"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.336175,-0.367908,-0.407021,-0.427065,-0.436843,-0.443082,-0.447307"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.334611; - fall_capacitance : 1.299606; - rise_capacitance : 1.334611; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.101682,-0.161846,-0.240091", \ - "-0.074660,-0.134295,-0.213039", \ - "-0.081011,-0.141144,-0.219393"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.133439,0.188778,0.263444", \ - "0.110569,0.165987,0.239981", \ - "0.151718,0.207513,0.275014"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("1.120559,1.211658,1.591969"); - } - } - - internal_power () { - - when : "!CK & !D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.361721,1.329045,1.310823,1.347357,1.433970,1.578340,1.794054"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.423879,0.403803,0.374782,0.357860,0.385667,0.465150,0.616782"); - } - - } - - internal_power () { - - when : "!CK & D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.533533,0.511076,0.500459,0.496512,0.493467,0.492293,0.491473"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.451626,-0.451653,-0.454094,-0.455879,-0.456686,-0.456679,-0.457444"); - } - - } - - internal_power () { - - when : "CK & !D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.510552,0.498707,0.490874,0.487801,0.485234,0.484659,0.483474"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.451512,-0.452633,-0.454818,-0.455994,-0.456238,-0.456291,-0.455443"); - } - - } - - internal_power () { - - when : "CK & D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.510550,0.498703,0.490887,0.487798,0.485246,0.484653,0.483486"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.451527,-0.452640,-0.454840,-0.455996,-0.456242,-0.456291,-0.455701"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.929361; - fall_capacitance : 0.843067; - rise_capacitance : 0.929361; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.240127,0.255523,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.304847,0.310452,0.500500"); - } - } - - internal_power () { - - when : "!D & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.309931,5.286740,5.256578,5.274396,5.368711,5.534023,5.773335"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("7.656205,7.664028,7.647287,7.660921,7.724593,7.825935,8.041753"); - } - - } - - internal_power () { - - when : "!D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.740797,3.717215,3.686731,3.699192,3.776219,3.938249,4.157456"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.853444,1.843271,1.800943,1.794649,1.848627,1.973518,2.170991"); - } - - } - - internal_power () { - - when : "!D & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.249009,6.224468,6.193452,6.210253,6.291829,6.447160,6.674796"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.562617,3.540262,3.509295,3.521359,3.598224,3.748763,3.974444"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.968729,1.958431,1.932377,1.929227,1.982652,2.097712,2.300076"); - } - - } - - internal_power () { - - when : "D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.764264,5.737901,5.711544,5.718139,5.798571,5.953243,6.192271"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.567332,3.545008,3.512484,3.520448,3.603654,3.756612,3.987128"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.988577,1.979354,1.954894,1.954944,2.002484,2.118297,2.323368"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.996200; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.266010,0.273220,0.279789,0.291504,0.312174,0.349468,0.420335", \ - "0.271260,0.278480,0.285030,0.296744,0.317408,0.354716,0.425591", \ - "0.289699,0.296940,0.303505,0.315222,0.335874,0.373173,0.444057", \ - "0.317539,0.324766,0.331216,0.342915,0.363624,0.400886,0.471820", \ - "0.345970,0.353244,0.359718,0.371442,0.392070,0.429333,0.500360", \ - "0.372326,0.379581,0.386113,0.397688,0.418980,0.455658,0.527414", \ - "0.395735,0.402957,0.408393,0.420302,0.441963,0.478029,0.548878"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.420011,0.427544,0.434779,0.455875,0.511146,0.633724,0.886408", \ - "0.425323,0.432826,0.440077,0.461174,0.516446,0.639030,0.891718", \ - "0.443948,0.451455,0.458668,0.479761,0.535062,0.657693,0.910365", \ - "0.470976,0.478508,0.485645,0.506771,0.562084,0.684674,0.937379", \ - "0.498429,0.505679,0.512976,0.533787,0.589026,0.711764,0.964610", \ - "0.522973,0.530763,0.537735,0.559233,0.614582,0.737409,0.989211", \ - "0.543458,0.550993,0.557878,0.579253,0.635508,0.757877,1.011131"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0151661,0.0191938,0.0226882,0.0294775,0.0433022,0.0721407,0.132966", \ - "0.0151709,0.0191944,0.0226900,0.0294736,0.0432979,0.0721500,0.132963", \ - "0.0151641,0.0191944,0.0226812,0.0294723,0.0433030,0.0721417,0.132974", \ - "0.0151643,0.0191950,0.0226865,0.0294779,0.0433062,0.0721395,0.132977", \ - "0.0151689,0.0191946,0.0226893,0.0294778,0.0433125,0.0721507,0.132970", \ - "0.0151745,0.0191992,0.0226864,0.0294716,0.0432903,0.0721582,0.132981", \ - "0.0151749,0.0192047,0.0226963,0.0294809,0.0433009,0.0721363,0.132985"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0250516,0.0367984,0.0470705,0.0730932,0.132891,0.254947,0.499631", \ - "0.0250543,0.0367968,0.0470704,0.0730930,0.132894,0.254946,0.499631", \ - "0.0250509,0.0367966,0.0470751,0.0730886,0.132890,0.254946,0.499630", \ - "0.0250479,0.0367971,0.0470698,0.0730912,0.132895,0.254946,0.499628", \ - "0.0250522,0.0367990,0.0470706,0.0730885,0.132887,0.254946,0.499629", \ - "0.0250626,0.0368037,0.0470753,0.0730907,0.132889,0.254946,0.499630", \ - "0.0250694,0.0368285,0.0470882,0.0730925,0.132894,0.254954,0.499627"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("1.265271,1.265272,1.265273,1.273851,1.306817,1.408077,1.646925", \ - "1.269464,1.269465,1.269466,1.277860,1.310836,1.412077,1.650958", \ - "1.288397,1.288398,1.288399,1.296726,1.329738,1.430906,1.669866", \ - "1.321766,1.321767,1.321768,1.330238,1.363235,1.464473,1.703279", \ - "1.369099,1.369100,1.369101,1.377602,1.410577,1.511816,1.750606", \ - "1.432959,1.432960,1.432961,1.440962,1.473893,1.575069,1.813902", \ - "1.516401,1.516402,1.516403,1.524830,1.557799,1.659024,1.897921"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.062727,0.076527,0.086172,0.100947,0.142173,0.257726,0.500628", \ - "0.062719,0.076523,0.086174,0.100927,0.142171,0.257711,0.500640", \ - "0.062662,0.076525,0.086164,0.100936,0.142170,0.257706,0.500637", \ - "0.062705,0.076521,0.086163,0.100941,0.142181,0.257710,0.500629", \ - "0.062729,0.076522,0.086161,0.100937,0.142179,0.257710,0.500629", \ - "0.062780,0.076519,0.086164,0.100931,0.142180,0.257712,0.500641", \ - "0.062659,0.076524,0.086164,0.100936,0.142176,0.257710,0.500628"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("1.265896,1.265897,1.266064,1.274549,1.307245,1.408293,1.646906", \ - "1.269918,1.269919,1.270056,1.278593,1.311292,1.412335,1.650924", \ - "1.289294,1.289295,1.289296,1.297302,1.330152,1.431163,1.669772", \ - "1.322576,1.322577,1.322578,1.330980,1.363795,1.464809,1.703425", \ - "1.369227,1.369249,1.369880,1.378065,1.410912,1.512184,1.750796", \ - "1.433926,1.433927,1.434107,1.442101,1.474988,1.576083,1.814774", \ - "1.516796,1.516797,1.516798,1.526133,1.559032,1.660141,1.898832"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.062748,0.076557,0.086196,0.100970,0.142184,0.257730,0.500646", \ - "0.062770,0.076558,0.086200,0.100965,0.142192,0.257714,0.500631", \ - "0.062714,0.076555,0.086194,0.100966,0.142180,0.257707,0.500628", \ - "0.062729,0.076551,0.086191,0.100968,0.142182,0.257711,0.500630", \ - "0.062740,0.076548,0.086185,0.100952,0.142180,0.257711,0.500629", \ - "0.062690,0.076542,0.086181,0.100955,0.142176,0.257710,0.500629", \ - "0.062685,0.076527,0.086183,0.100944,0.142173,0.257705,0.500637"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.608579,0.616183,0.623520,0.644861,0.700410,0.823521,1.076930", \ - "0.612663,0.620307,0.627648,0.648963,0.704591,0.827720,1.081114", \ - "0.631053,0.638690,0.646016,0.667331,0.722957,0.846084,1.099473", \ - "0.666233,0.673848,0.681051,0.702351,0.757968,0.881082,1.134465", \ - "0.708877,0.716483,0.723546,0.744947,0.800565,0.923629,1.176998", \ - "0.754916,0.762534,0.770275,0.791379,0.846934,0.969990,1.223341", \ - "0.806152,0.813756,0.820833,0.841992,0.896574,1.019682,1.273966"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025200,0.036973,0.047197,0.073127,0.132915,0.254970,0.499642", \ - "0.025165,0.036921,0.047152,0.073109,0.132911,0.254972,0.499643", \ - "0.025145,0.036882,0.047120,0.073099,0.132911,0.254966,0.499643", \ - "0.025129,0.036866,0.047105,0.073095,0.132906,0.254966,0.499640", \ - "0.025127,0.036861,0.047103,0.073092,0.132907,0.254969,0.499638", \ - "0.025124,0.036853,0.047090,0.073092,0.132904,0.254967,0.499644", \ - "0.025129,0.036851,0.047091,0.073085,0.132904,0.254964,0.499638"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.608765,0.616422,0.623780,0.645039,0.700583,0.823614,1.076944", \ - "0.612940,0.620562,0.627892,0.649215,0.704764,0.827819,1.081130", \ - "0.631305,0.638924,0.646238,0.667523,0.723107,0.846172,1.099486", \ - "0.666448,0.674050,0.681242,0.702519,0.758094,0.881153,1.134466", \ - "0.709047,0.716643,0.723714,0.745077,0.800661,0.923676,1.176987", \ - "0.755049,0.762648,0.770375,0.791466,0.846994,0.970009,1.223307", \ - "0.806225,0.813819,0.820892,0.842041,0.896586,1.019659,1.273909"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025209,0.036978,0.047203,0.073129,0.132915,0.254971,0.499644", \ - "0.025171,0.036926,0.047160,0.073114,0.132907,0.254974,0.499642", \ - "0.025144,0.036887,0.047123,0.073099,0.132908,0.254972,0.499643", \ - "0.025134,0.036873,0.047111,0.073091,0.132910,0.254966,0.499640", \ - "0.025130,0.036864,0.047105,0.073096,0.132910,0.254969,0.499638", \ - "0.025127,0.036858,0.047097,0.073089,0.132903,0.254967,0.499644", \ - "0.025124,0.036854,0.047090,0.073086,0.132904,0.254966,0.499639"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("4.336124,4.430732,4.565974,4.842260,4.937424,4.939738,4.961026", \ - "4.330349,4.427247,4.565745,4.853904,4.907383,4.954460,4.975629", \ - "4.314770,4.411527,4.549332,4.819875,4.922688,4.925304,4.946309", \ - "4.309760,4.411652,4.561363,4.830151,4.893327,4.963371,4.983232", \ - "4.331816,4.440947,4.572000,4.835493,4.897757,4.968310,4.987260", \ - "4.397111,4.495998,4.644652,4.931446,5.001357,5.011454,5.022707", \ - "4.500437,4.603378,4.759398,5.041968,5.074760,5.092650,5.114000"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.116305,5.059601,4.995150,5.036594,5.139679,5.171991,5.187127", \ - "5.105081,5.046177,5.012174,5.042353,5.143675,5.175552,5.190520", \ - "5.094121,5.035413,4.996045,5.026295,5.117607,5.218556,5.165050", \ - "5.087065,5.034466,4.966542,5.024917,5.081764,5.184725,5.198423", \ - "5.106938,5.047544,4.995034,5.008244,5.093915,5.191883,5.204227", \ - "5.159859,5.112502,5.070196,5.072715,5.187695,5.286846,5.244621", \ - "5.270200,5.209184,5.160719,5.154601,5.272247,5.366555,5.372905"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("7.741814,7.375457,7.115417,6.745769,6.483713,6.316075,6.140717", \ - "7.758030,7.393084,7.101155,6.723222,6.480429,6.324876,6.158627", \ - "7.867639,7.473856,7.163351,6.796676,6.488108,6.336741,6.147933", \ - "8.040324,7.629488,7.300202,6.878453,6.534661,6.345328,6.156559", \ - "8.244630,7.804222,7.450957,7.004651,6.602143,6.387874,6.224444", \ - "8.439280,7.961635,7.572402,7.104924,6.680526,6.459299,6.289161", \ - "8.595244,8.105625,7.729592,7.207449,6.785909,6.574122,6.399210"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("7.330410,6.969951,6.683164,6.339785,6.071426,5.949186,5.725551", \ - "7.352294,6.985708,6.709373,6.366709,6.064785,5.909572,5.728950", \ - "7.468735,7.072413,6.780588,6.402992,6.089431,5.925653,5.709605", \ - "7.607311,7.192502,6.859580,6.448054,6.113923,5.917918,5.729052", \ - "7.780192,7.322390,6.963861,6.526914,6.136893,5.918026,5.738573", \ - "7.924456,7.410863,7.039886,6.559056,6.152257,5.918363,5.738360", \ - "7.942770,7.452711,7.069731,6.548709,6.151957,5.933052,5.728528"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.724444,5.658378,5.588058,5.622663,5.727087,5.800609,5.847156", \ - "5.706198,5.639243,5.579281,5.585323,5.704917,5.792572,5.772521", \ - "5.690597,5.623350,5.576395,5.611795,5.674925,5.763720,5.809961", \ - "5.715247,5.632386,5.575421,5.614601,5.684192,5.772771,5.823177", \ - "5.744346,5.677234,5.617679,5.610559,5.714085,5.803753,5.855535", \ - "5.819035,5.735790,5.687872,5.698919,5.814286,5.901791,5.885236", \ - "5.914590,5.831745,5.778631,5.815804,5.888003,5.968190,6.010669"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.725595,5.659463,5.616827,5.624058,5.714012,5.804843,5.854092", \ - "5.721954,5.639788,5.580009,5.586210,5.711417,5.796965,5.778707", \ - "5.706962,5.623963,5.577275,5.618536,5.677700,5.767888,5.816112", \ - "5.715724,5.633207,5.576644,5.583638,5.734638,5.777251,5.829545", \ - "5.761332,5.678453,5.621647,5.620109,5.740346,5.808282,5.861803", \ - "5.820790,5.737542,5.689894,5.698264,5.817628,5.906537,5.891705", \ - "5.916714,5.833994,5.781054,5.816805,5.897680,5.973678,6.017253"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.300842,0.328767,0.351473,0.385500,0.433179,0.497126,0.592534", \ - "0.306165,0.334057,0.356771,0.390799,0.438480,0.502433,0.597843", \ - "0.324732,0.352685,0.375361,0.409385,0.457094,0.521108,0.616498", \ - "0.351769,0.379733,0.402334,0.436395,0.484122,0.548083,0.643507", \ - "0.379207,0.406907,0.429668,0.463418,0.511054,0.575178,0.670751", \ - "0.403711,0.431941,0.454397,0.488840,0.536616,0.600830,0.695360", \ - "0.424162,0.452154,0.474520,0.508848,0.557566,0.621322,0.717274"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.198213,0.220579,0.240946,0.277096,0.343692,0.472008,0.726641", \ - "0.203459,0.225841,0.246188,0.282336,0.348930,0.477258,0.731899", \ - "0.221909,0.244303,0.264639,0.300810,0.367394,0.495712,0.750364", \ - "0.249754,0.272128,0.292376,0.328506,0.395143,0.523428,0.778116", \ - "0.278174,0.300602,0.320876,0.357026,0.423580,0.551875,0.806659", \ - "0.304525,0.326933,0.347246,0.383275,0.450520,0.578235,0.833707", \ - "0.327899,0.350298,0.369535,0.405798,0.473463,0.600572,0.855180"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0560616,0.0657164,0.0737697,0.0857009,0.100033,0.124240,0.177276", \ - "0.0560487,0.0657177,0.0737711,0.0856993,0.100034,0.124236,0.177275", \ - "0.0560832,0.0657130,0.0737697,0.0856992,0.100028,0.124260,0.177272", \ - "0.0560877,0.0657234,0.0737724,0.0857033,0.100052,0.124245,0.177292", \ - "0.0561117,0.0657220,0.0737739,0.0856894,0.100032,0.124241,0.177270", \ - "0.0560730,0.0657887,0.0738531,0.0857490,0.100064,0.124280,0.177289", \ - "0.0561978,0.0658333,0.0738918,0.0858147,0.100128,0.124263,0.177304"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0235343,0.0367052,0.0508233,0.0791261,0.137017,0.256533,0.499583", \ - "0.0235355,0.0367051,0.0508169,0.0791296,0.137017,0.256537,0.499583", \ - "0.0235277,0.0367028,0.0508169,0.0791256,0.137015,0.256533,0.499584", \ - "0.0235247,0.0366977,0.0508158,0.0791247,0.137014,0.256541,0.499582", \ - "0.0235279,0.0367024,0.0508203,0.0791274,0.137017,0.256538,0.499582", \ - "0.0235539,0.0367186,0.0508306,0.0791316,0.137018,0.256541,0.499586", \ - "0.0235839,0.0367470,0.0508561,0.0791498,0.137028,0.256537,0.499588"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("1.021164,1.069703,1.114530,1.184500,1.279110,1.391242,1.536476", \ - "1.025351,1.073673,1.118519,1.188512,1.283149,1.395263,1.540561", \ - "1.043872,1.092383,1.137433,1.207375,1.302052,1.414117,1.559485", \ - "1.077571,1.125927,1.171043,1.240890,1.335524,1.447646,1.592909", \ - "1.124892,1.173248,1.218334,1.288258,1.382863,1.494985,1.640240", \ - "1.189879,1.237057,1.281970,1.351607,1.446172,1.558239,1.703558", \ - "1.271867,1.320413,1.365409,1.435484,1.530094,1.642222,1.787482"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.212706,0.225041,0.234557,0.245128,0.248464,0.253306,0.296109", \ - "0.212643,0.225028,0.234556,0.245134,0.248451,0.253300,0.296168", \ - "0.212392,0.224966,0.234553,0.245120,0.248456,0.253277,0.296167", \ - "0.212557,0.225040,0.234553,0.245121,0.248451,0.253307,0.296072", \ - "0.212517,0.225013,0.234536,0.245120,0.248469,0.253308,0.296066", \ - "0.211419,0.225023,0.234537,0.245131,0.248473,0.253310,0.296142", \ - "0.212402,0.224972,0.234554,0.245115,0.248464,0.253294,0.296086"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("1.022139,1.070144,1.115192,1.185168,1.279571,1.391527,1.536588", \ - "1.025711,1.074184,1.119327,1.189175,1.283610,1.395573,1.540590", \ - "1.044717,1.093021,1.137972,1.207928,1.302455,1.414388,1.559438", \ - "1.078136,1.126698,1.171557,1.241611,1.336061,1.448004,1.593067", \ - "1.125574,1.173785,1.219173,1.288709,1.383175,1.495343,1.640427", \ - "1.189350,1.237659,1.283412,1.352739,1.447310,1.559289,1.704420", \ - "1.272357,1.320611,1.365997,1.436770,1.531314,1.643317,1.788477"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.212175,0.225144,0.234677,0.245250,0.248559,0.253386,0.296207", \ - "0.212673,0.225164,0.234677,0.245280,0.248561,0.253366,0.296093", \ - "0.212600,0.225194,0.234713,0.245250,0.248564,0.253356,0.296086", \ - "0.212077,0.225180,0.234661,0.245231,0.248544,0.253369,0.296093", \ - "0.211856,0.225152,0.234676,0.245213,0.248529,0.253378,0.296089", \ - "0.212347,0.225125,0.234616,0.245193,0.248504,0.253334,0.296069", \ - "0.212346,0.225085,0.234609,0.245161,0.248504,0.253327,0.296161"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.489292,0.517240,0.540066,0.574603,0.623289,0.688517,0.785308", \ - "0.493485,0.521485,0.544311,0.578746,0.627370,0.692532,0.789232", \ - "0.511948,0.539957,0.562759,0.597133,0.645649,0.710732,0.807377", \ - "0.547151,0.575147,0.597828,0.632165,0.680631,0.745659,0.842261", \ - "0.589810,0.617799,0.640338,0.674781,0.723211,0.788164,0.884742", \ - "0.635863,0.663870,0.687076,0.721215,0.769569,0.834495,0.931060", \ - "0.687046,0.715109,0.737654,0.771844,0.819223,0.884165,0.981629"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.056069,0.065894,0.074150,0.086623,0.101426,0.125567,0.178345", \ - "0.056068,0.065844,0.074049,0.086433,0.101229,0.125398,0.178260", \ - "0.056028,0.065806,0.073993,0.086289,0.101048,0.125286,0.178165", \ - "0.056030,0.065793,0.073956,0.086239,0.100976,0.125242,0.178139", \ - "0.056027,0.065787,0.073957,0.086210,0.100935,0.125203,0.178135", \ - "0.056018,0.065783,0.073934,0.086198,0.100902,0.125155,0.178101", \ - "0.056039,0.065772,0.073926,0.086153,0.100842,0.125096,0.178082"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.489468,0.517454,0.540302,0.574791,0.623460,0.688627,0.785341", \ - "0.493716,0.521724,0.544547,0.578996,0.627540,0.692645,0.789263", \ - "0.512176,0.540177,0.562972,0.597325,0.645804,0.710834,0.807406", \ - "0.547347,0.575335,0.598010,0.632333,0.680764,0.745744,0.842291", \ - "0.589965,0.617947,0.640498,0.674910,0.723313,0.788221,0.884746", \ - "0.635987,0.663982,0.687169,0.721302,0.769636,0.834524,0.931039", \ - "0.687103,0.715147,0.737705,0.771893,0.819245,0.884147,0.981583"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.056104,0.065918,0.074181,0.086638,0.101450,0.125558,0.178333", \ - "0.056121,0.065862,0.074065,0.086454,0.101229,0.125402,0.178268", \ - "0.056050,0.065824,0.074011,0.086306,0.101066,0.125299,0.178173", \ - "0.056044,0.065810,0.073972,0.086253,0.100989,0.125254,0.178155", \ - "0.056039,0.065802,0.073972,0.086226,0.100948,0.125201,0.178137", \ - "0.056025,0.065781,0.073949,0.086211,0.100915,0.125164,0.178109", \ - "0.056050,0.065784,0.073937,0.086166,0.100847,0.125130,0.178088"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.115533,5.059888,4.999935,5.007341,5.160444,5.214785,5.204717", \ - "5.104293,5.048508,4.987898,4.995418,5.093015,5.218245,5.208082", \ - "5.093339,5.037740,4.971599,4.999877,5.138407,5.192882,5.182947", \ - "5.086280,5.030234,4.971305,4.979778,5.102944,5.158822,5.216116", \ - "5.106940,5.049887,4.999737,5.010829,5.111276,5.234356,5.222028", \ - "5.159059,5.114875,5.045877,5.090873,5.208498,5.261148,5.262491", \ - "5.269415,5.211372,5.165379,5.164797,5.280051,5.382042,5.390689"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.320844,4.428135,4.572133,4.872139,4.932308,4.982273,4.978838", \ - "4.326957,4.428000,4.575781,4.863745,4.932010,4.929224,4.993442", \ - "4.311345,4.411859,4.550208,4.829916,4.904345,4.967767,4.964131", \ - "4.306304,4.411923,4.551852,4.840044,4.914028,4.938355,4.933457", \ - "4.331885,4.441672,4.577813,4.844713,4.918893,4.943116,5.004434", \ - "4.397739,4.496840,4.635467,4.925081,4.989405,5.017958,5.040665", \ - "4.496950,4.605703,4.745617,5.026438,5.095448,5.135352,5.131924"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("7.741596,7.381832,7.119114,6.757068,6.497049,6.341813,6.171762", \ - "7.756037,7.396473,7.107202,6.735280,6.503433,6.342182,6.162607", \ - "7.867475,7.478134,7.169216,6.810635,6.506534,6.309398,6.138222", \ - "8.037157,7.616964,7.306024,6.886850,6.548682,6.343387,6.176224", \ - "8.244976,7.806639,7.455906,6.990216,6.615585,6.387350,6.224467", \ - "8.437472,7.965398,7.574264,7.115630,6.703521,6.466236,6.307377", \ - "8.595273,8.108935,7.735335,7.218766,6.809420,6.565806,6.405105"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("7.328422,6.971252,6.691434,6.346255,6.089597,5.951478,5.746509", \ - "7.350306,6.989120,6.714829,6.312166,6.084371,5.926389,5.679903", \ - "7.466780,7.075793,6.786130,6.393631,6.101843,5.898208,5.728067", \ - "7.618310,7.195948,6.870300,6.455053,6.127588,5.912268,5.748390", \ - "7.778344,7.325948,6.974103,6.541298,6.150356,5.913894,5.756666", \ - "7.924410,7.427979,7.043661,6.567872,6.166625,5.911086,5.756429", \ - "7.943984,7.461195,7.075198,6.554452,6.163913,5.904665,5.748849"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.722183,5.644709,5.592784,5.636202,5.751163,5.843116,5.797214", \ - "5.703931,5.626278,5.583951,5.595333,5.725965,5.766559,5.793319", \ - "5.704580,5.625679,5.561014,5.564505,5.696160,5.806102,5.760020", \ - "5.713015,5.634734,5.580259,5.591876,5.705449,5.815410,5.772929", \ - "5.758271,5.664238,5.628211,5.642912,5.735418,5.846496,5.873134", \ - "5.816827,5.738006,5.692456,5.711309,5.835242,5.875840,5.903228", \ - "5.912390,5.834074,5.783239,5.806361,5.901422,6.010743,6.028385"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.739609,5.661795,5.592652,5.633885,5.735249,5.847430,5.801393", \ - "5.719746,5.642123,5.587783,5.596228,5.729247,5.771698,5.799504", \ - "5.704735,5.626306,5.581883,5.565925,5.707820,5.810532,5.766158", \ - "5.713477,5.635570,5.586761,5.593671,5.746458,5.819910,5.779259", \ - "5.759122,5.680782,5.632177,5.652758,5.755077,5.851084,5.879551", \ - "5.818569,5.739891,5.694519,5.717935,5.838599,5.880564,5.909676", \ - "5.914506,5.836431,5.785673,5.807690,5.905343,6.016226,6.034955"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFF_X1 - Cell Description : Pos.edge D-Flip-Flop with drive strength X1 - *******************************************************************************************/ - - cell (DFF_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - } - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 54.439799; - - leakage_power () { - when : "!CK & !D & !Q & QN"; - value : 52.390980; - } - leakage_power () { - when : "!CK & !D & Q & !QN"; - value : 55.625065; - } - leakage_power () { - when : "!CK & D & !Q & QN"; - value : 56.690319; - } - leakage_power () { - when : "!CK & D & Q & !QN"; - value : 53.923539; - } - leakage_power () { - when : "CK & !D & !Q & QN"; - value : 52.392652; - } - leakage_power () { - when : "CK & !D & Q & !QN"; - value : 50.716481; - } - leakage_power () { - when : "CK & D & !Q & QN"; - value : 61.706718; - } - leakage_power () { - when : "CK & D & Q & !QN"; - value : 52.072635; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.109132; - fall_capacitance : 1.030132; - rise_capacitance : 1.109132; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.000981,0.039970,0.081649", \ - "0.025345,0.053392,0.071179", \ - "0.302156,0.343813,0.350507"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.008396,0.058333,0.115557", \ - "0.072794,0.125745,0.181478", \ - "0.221042,0.269810,0.315769"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.148905,0.089857,0.021657", \ - "0.205952,0.146511,0.080977", \ - "0.370521,0.310827,0.242646"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.064026,0.036534,0.047865", \ - "0.112636,0.082038,0.088181", \ - "0.198840,0.156941,0.150275"); - } - } - - internal_power () { - - when : "!CK & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.139013,3.122137,3.097262,3.084367,3.102419,3.171667,3.309128"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.314148,2.291129,2.268712,2.246477,2.253468,2.311950,2.442091"); - } - - } - - internal_power () { - - when : "!CK & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.103379,3.087772,3.061569,3.050377,3.067899,3.137409,3.278667"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.296274,2.271995,2.247231,2.228424,2.237231,2.289066,2.416544"); - } - - } - - internal_power () { - - when : "CK & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.558419,0.537732,0.526026,0.521630,0.518303,0.517180,0.516059"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.462223,-0.464899,-0.468179,-0.470164,-0.471582,-0.472499,-0.473049"); - } - - } - - internal_power () { - - when : "CK & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.481437,0.484626,0.485060,0.484855,0.485108,0.485742,0.484475"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.335961,-0.367384,-0.407554,-0.426866,-0.437096,-0.443331,-0.447639"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.909577; - fall_capacitance : 0.821035; - rise_capacitance : 0.909577; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.223031,0.243109,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.190061,0.199663,0.500500"); - } - } - - internal_power () { - - when : "!D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.376260,3.350778,3.322030,3.338851,3.419802,3.579041,3.805479"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.859719,1.834284,1.803043,1.799141,1.851625,1.986192,2.189332"); - } - - } - - internal_power () { - - when : "!D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.621398,5.592695,5.563918,5.583058,5.663307,5.810122,6.039364"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.655830,5.629992,5.597861,5.613670,5.696579,5.854272,6.092176"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.191655,3.167005,3.138886,3.153935,3.235482,3.393927,3.619154"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.989167,1.977031,1.951520,1.948882,2.002245,2.123821,2.331776"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.730000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.231861,0.240605,0.248663,0.261848,0.283859,0.322321,0.394025", \ - "0.237107,0.245833,0.253905,0.267091,0.289104,0.327566,0.399269", \ - "0.255360,0.264089,0.272139,0.285355,0.307371,0.345812,0.417520", \ - "0.281774,0.290504,0.298507,0.311687,0.333759,0.372155,0.443881", \ - "0.308660,0.317195,0.325116,0.338412,0.360341,0.398808,0.470412", \ - "0.332848,0.341605,0.349625,0.363334,0.385248,0.423114,0.494674", \ - "0.354092,0.362887,0.370478,0.383858,0.405788,0.444355,0.514736"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.292372,0.302661,0.314151,0.340863,0.400918,0.526579,0.781154", \ - "0.297660,0.307949,0.319440,0.346130,0.406179,0.531847,0.786462", \ - "0.315834,0.326111,0.337611,0.364326,0.424388,0.550057,0.804665", \ - "0.341388,0.351646,0.363106,0.389819,0.449900,0.575596,0.830264", \ - "0.367224,0.377506,0.388874,0.415275,0.475409,0.601257,0.856005", \ - "0.390103,0.400404,0.411657,0.438497,0.498603,0.624453,0.879808", \ - "0.409314,0.419613,0.431154,0.457805,0.517926,0.644100,0.899106"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0152192,0.0189122,0.0227601,0.0299979,0.0441125,0.0729778,0.133520", \ - "0.0152213,0.0189065,0.0227670,0.0299988,0.0441169,0.0729660,0.133513", \ - "0.0152208,0.0189127,0.0227620,0.0299833,0.0441077,0.0729677,0.133520", \ - "0.0152194,0.0189113,0.0227753,0.0300015,0.0441118,0.0729686,0.133536", \ - "0.0152246,0.0189144,0.0227767,0.0299967,0.0441126,0.0729679,0.133520", \ - "0.0152241,0.0189250,0.0227619,0.0299939,0.0440995,0.0729426,0.133554", \ - "0.0152277,0.0189267,0.0227675,0.0299999,0.0441098,0.0729665,0.133516"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0196400,0.0293680,0.0422330,0.0711819,0.132051,0.254581,0.499897", \ - "0.0196371,0.0293684,0.0422312,0.0711834,0.132050,0.254579,0.499894", \ - "0.0196401,0.0293697,0.0422350,0.0711844,0.132051,0.254581,0.499895", \ - "0.0196461,0.0293772,0.0422367,0.0711955,0.132052,0.254581,0.499897", \ - "0.0196513,0.0293868,0.0422389,0.0711846,0.132052,0.254581,0.499896", \ - "0.0196775,0.0293812,0.0422394,0.0711821,0.132055,0.254581,0.499898", \ - "0.0196812,0.0294044,0.0422482,0.0711860,0.132054,0.254582,0.499895"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("2.895655,2.960809,3.003986,3.183330,3.223735,3.228134,3.247304", \ - "2.889928,2.956154,2.984671,3.176981,3.227914,3.232477,3.250863", \ - "2.872807,2.941017,2.980307,3.152778,3.206620,3.210986,3.229335", \ - "2.870156,2.942477,2.979326,3.160046,3.200849,3.205135,3.223221", \ - "2.896908,2.961914,3.004765,3.168472,3.229727,3.234317,3.253016", \ - "2.962635,3.035349,3.072562,3.251050,3.295886,3.303550,3.323252", \ - "3.081527,3.148547,3.184588,3.353410,3.403407,3.406713,3.433888"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("3.063743,3.094435,3.138681,3.188055,3.260228,3.284094,3.293848", \ - "3.058455,3.094342,3.129793,3.179576,3.259422,3.283424,3.293496", \ - "3.038419,3.074403,3.114908,3.164280,3.239664,3.264341,3.273812", \ - "3.035319,3.071693,3.107540,3.171193,3.228698,3.253031,3.262393", \ - "3.052381,3.096823,3.131789,3.182420,3.254660,3.278644,3.288070", \ - "3.118556,3.153896,3.185595,3.261533,3.315590,3.340580,3.345823", \ - "3.231178,3.264594,3.298298,3.374264,3.418053,3.438886,3.447762"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.205967,0.223236,0.239212,0.263474,0.299161,0.351285,0.434529", \ - "0.211259,0.228534,0.244504,0.268746,0.304420,0.356546,0.439831", \ - "0.229408,0.246687,0.262662,0.286940,0.322636,0.374763,0.458042", \ - "0.254955,0.272201,0.288149,0.312417,0.348147,0.400315,0.483659", \ - "0.280742,0.298046,0.313911,0.337863,0.373651,0.425982,0.509412", \ - "0.303590,0.320895,0.336646,0.361073,0.396876,0.449243,0.533319", \ - "0.322782,0.340075,0.356106,0.380352,0.416202,0.468926,0.552646"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.169723,0.186077,0.204057,0.237704,0.302664,0.430531,0.685098", \ - "0.174964,0.191308,0.209298,0.242947,0.307906,0.435762,0.690351", \ - "0.193222,0.209558,0.227540,0.261207,0.326170,0.454024,0.708591", \ - "0.219634,0.235974,0.253901,0.287550,0.352565,0.480362,0.734944", \ - "0.246510,0.262656,0.280706,0.314282,0.379150,0.507024,0.761484", \ - "0.270696,0.287062,0.305008,0.339186,0.404047,0.531360,0.785749", \ - "0.291922,0.308334,0.325863,0.359698,0.424579,0.552556,0.805799"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0347198,0.0407263,0.0466583,0.0563982,0.0712933,0.0976181,0.152489", \ - "0.0347186,0.0407121,0.0466512,0.0563988,0.0712919,0.0976208,0.152486", \ - "0.0347450,0.0407316,0.0466783,0.0564089,0.0713030,0.0976170,0.152485", \ - "0.0347666,0.0407598,0.0466958,0.0564285,0.0713130,0.0976239,0.152491", \ - "0.0348219,0.0407848,0.0467032,0.0564301,0.0713155,0.0976249,0.152495", \ - "0.0348518,0.0408408,0.0468024,0.0565232,0.0713863,0.0976820,0.152524", \ - "0.0349206,0.0409287,0.0468716,0.0565957,0.0714561,0.0977136,0.152504"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0210189,0.0321013,0.0462022,0.0753849,0.135155,0.256140,0.499494", \ - "0.0210199,0.0321030,0.0462034,0.0753846,0.135155,0.256144,0.499497", \ - "0.0210150,0.0320987,0.0462050,0.0753848,0.135153,0.256138,0.499493", \ - "0.0210139,0.0320980,0.0462014,0.0753811,0.135153,0.256137,0.499494", \ - "0.0209792,0.0320980,0.0462076,0.0753874,0.135154,0.256145,0.499498", \ - "0.0210406,0.0321158,0.0462137,0.0753872,0.135154,0.256143,0.499494", \ - "0.0210763,0.0321431,0.0462369,0.0753965,0.135157,0.256144,0.499494"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.063710,3.096280,3.127261,3.195737,3.275845,3.281917,3.290038", \ - "3.057296,3.088075,3.133261,3.188293,3.240144,3.281259,3.289715", \ - "3.037262,3.076202,3.118442,3.171935,3.220403,3.270434,3.270037", \ - "3.034461,3.065376,3.111051,3.178630,3.244354,3.250927,3.258426", \ - "3.059813,3.092447,3.120385,3.190037,3.235370,3.276481,3.284277", \ - "3.117369,3.155594,3.189128,3.240975,3.296350,3.338470,3.341740", \ - "3.229982,3.266375,3.301876,3.363518,3.433673,3.436641,3.444441"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.895623,2.957091,3.003669,3.174921,3.205706,3.226151,3.243079", \ - "2.889942,2.957421,2.997347,3.181701,3.210097,3.230453,3.247174", \ - "2.872841,2.941570,2.981497,3.160286,3.188671,3.208970,3.225611", \ - "2.873875,2.933386,2.980487,3.147315,3.182827,3.203156,3.219491", \ - "2.896863,2.962489,3.008412,3.175970,3.211764,3.232388,3.249135", \ - "2.961903,3.034883,3.073088,3.242115,3.301253,3.301577,3.319534", \ - "3.082622,3.148175,3.187436,3.360939,3.403957,3.404820,3.430214"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFF_X2 - Cell Description : Pos.edge D-Flip-Flop with drive strength X2 - *******************************************************************************************/ - - cell (DFF_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - } - - area : 5.054000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 80.729317; - - leakage_power () { - when : "!CK & !D & !Q & QN"; - value : 79.596415; - } - leakage_power () { - when : "!CK & !D & Q & !QN"; - value : 81.056850; - } - leakage_power () { - when : "!CK & D & !Q & QN"; - value : 83.901454; - } - leakage_power () { - when : "!CK & D & Q & !QN"; - value : 79.339459; - } - leakage_power () { - when : "CK & !D & !Q & QN"; - value : 79.589071; - } - leakage_power () { - when : "CK & !D & Q & !QN"; - value : 76.046046; - } - leakage_power () { - when : "CK & D & !Q & QN"; - value : 88.903137; - } - leakage_power () { - when : "CK & D & Q & !QN"; - value : 77.402105; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.092061; - fall_capacitance : 1.014682; - rise_capacitance : 1.092061; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.000150,0.037360,0.079224", \ - "0.026907,0.053153,0.070078", \ - "0.308913,0.347145,0.352417"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.007178,0.056748,0.113853", \ - "0.068307,0.122301,0.178711", \ - "0.217369,0.265302,0.312406"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.157663,0.098129,0.029791", \ - "0.214294,0.154496,0.088745", \ - "0.380604,0.321043,0.252674"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.060614,0.034685,0.046565", \ - "0.108361,0.079837,0.086494", \ - "0.191848,0.153623,0.148379"); - } - } - - internal_power () { - - when : "!CK & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.192203,3.175970,3.152487,3.138732,3.156425,3.221782,3.354554"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.379302,2.357849,2.330800,2.313731,2.321118,2.376388,2.505521"); - } - - } - - internal_power () { - - when : "!CK & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.157860,3.140960,3.116437,3.103269,3.121730,3.189142,3.327057"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.359862,2.339323,2.315068,2.294047,2.299764,2.356433,2.480026"); - } - - } - - internal_power () { - - when : "CK & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.558667,0.537943,0.526209,0.521834,0.518314,0.517447,0.516111"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.461951,-0.464866,-0.467967,-0.469887,-0.471313,-0.472247,-0.472800"); - } - - } - - internal_power () { - - when : "CK & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.481622,0.484820,0.485231,0.484853,0.485131,0.485975,0.484500"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.335753,-0.366880,-0.407296,-0.426597,-0.436825,-0.442971,-0.447381"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.890272; - fall_capacitance : 0.800803; - rise_capacitance : 0.890272; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.232800,0.248075,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.303320,0.308900,0.500500"); - } - } - - internal_power () { - - when : "!D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.374026,3.348381,3.319141,3.336230,3.417691,3.577098,3.801811"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.872054,1.856449,1.829351,1.810526,1.862371,1.997005,2.200985"); - } - - } - - internal_power () { - - when : "!D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.670320,5.642909,5.613793,5.631406,5.713226,5.859928,6.089733"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.719521,5.692641,5.662868,5.677090,5.759119,5.918540,6.155727"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.191610,3.165336,3.137805,3.152920,3.232860,3.390641,3.610409"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.002075,1.990851,1.964663,1.962331,2.015602,2.137310,2.346373"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.261211,0.268345,0.274824,0.286519,0.307137,0.344421,0.415331", \ - "0.266441,0.273581,0.280076,0.291741,0.312401,0.349670,0.420584", \ - "0.284701,0.291829,0.298328,0.310021,0.330660,0.367909,0.438828", \ - "0.311077,0.318233,0.324657,0.336348,0.356989,0.394251,0.465183", \ - "0.337821,0.344927,0.351480,0.363054,0.383621,0.420998,0.491717", \ - "0.362219,0.369366,0.375671,0.387926,0.408616,0.445240,0.516004", \ - "0.383680,0.390385,0.396860,0.408545,0.429307,0.466695,0.536214"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.415137,0.422535,0.429616,0.450697,0.505990,0.628569,0.881170", \ - "0.420434,0.427798,0.434924,0.455961,0.511285,0.633873,0.886489", \ - "0.438779,0.446154,0.453286,0.474302,0.529640,0.652238,0.904861", \ - "0.464430,0.471782,0.478909,0.499939,0.555250,0.677876,0.930547", \ - "0.490127,0.497528,0.504461,0.525461,0.580607,0.703368,0.956140", \ - "0.512809,0.520212,0.527145,0.548233,0.603574,0.726301,0.979744", \ - "0.531382,0.538782,0.545811,0.567024,0.622385,0.745574,0.998552"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0151929,0.0191404,0.0226178,0.0294356,0.0432781,0.0721551,0.133045", \ - "0.0151958,0.0191431,0.0226319,0.0294450,0.0432665,0.0721540,0.133038", \ - "0.0151947,0.0191460,0.0226236,0.0294341,0.0432682,0.0721578,0.133026", \ - "0.0151949,0.0191401,0.0226288,0.0294303,0.0432778,0.0721545,0.133035", \ - "0.0151943,0.0191462,0.0226215,0.0294262,0.0432829,0.0721470,0.133033", \ - "0.0152008,0.0191450,0.0226236,0.0294347,0.0432506,0.0721423,0.133055", \ - "0.0152025,0.0191533,0.0226235,0.0294350,0.0432729,0.0721519,0.133031"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0251142,0.0368107,0.0470603,0.0731112,0.132953,0.255004,0.499649", \ - "0.0251134,0.0368150,0.0470553,0.0731135,0.132954,0.255003,0.499648", \ - "0.0251167,0.0368086,0.0470582,0.0731140,0.132953,0.255003,0.499648", \ - "0.0251113,0.0368133,0.0470583,0.0731095,0.132953,0.255005,0.499649", \ - "0.0251227,0.0368190,0.0470549,0.0731112,0.132955,0.255004,0.499649", \ - "0.0251226,0.0368240,0.0470636,0.0731147,0.132960,0.255003,0.499649", \ - "0.0251403,0.0368404,0.0470788,0.0731187,0.132958,0.255011,0.499649"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("4.322018,4.435653,4.573018,4.841390,4.929677,4.921184,4.989229", \ - "4.324102,4.419487,4.573299,4.816273,4.912757,4.935771,4.935338", \ - "4.312154,4.406608,4.549888,4.838553,4.916537,4.908438,4.975803", \ - "4.297642,4.410771,4.550625,4.818409,4.906199,4.897538,4.964068", \ - "4.325509,4.430670,4.582681,4.858320,4.937029,4.927538,4.996305", \ - "4.383963,4.499758,4.640150,4.885976,5.002871,5.001922,5.003684", \ - "4.510372,4.616407,4.759896,5.039943,5.108541,5.100045,5.116371"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.076064,4.998908,4.951132,4.979761,5.064569,5.164567,5.112139", \ - "5.080575,4.981680,4.938957,4.968298,5.067924,5.099314,5.114836", \ - "5.061797,4.972366,4.925335,4.955027,5.044575,5.144465,5.091228", \ - "5.055845,4.972975,4.918976,4.920426,5.029496,5.129179,5.142713", \ - "5.068569,4.996562,4.920453,4.921951,5.060768,5.158830,5.104349", \ - "5.143017,5.058083,5.004834,5.031477,5.127286,5.157225,5.161203", \ - "5.238691,5.152978,5.096427,5.094667,5.232650,5.323120,5.265826"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.296070,0.324021,0.346634,0.380629,0.428183,0.491935,0.587124", \ - "0.301395,0.329280,0.351942,0.385893,0.433479,0.497248,0.592428", \ - "0.319746,0.347635,0.370299,0.404230,0.451833,0.515615,0.610800", \ - "0.345387,0.373257,0.395922,0.429862,0.477443,0.541253,0.636507", \ - "0.371035,0.399004,0.421481,0.455388,0.502799,0.566749,0.662094", \ - "0.393685,0.421640,0.444134,0.478139,0.525766,0.589680,0.685712", \ - "0.412203,0.440191,0.462768,0.496906,0.544579,0.608997,0.704521"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.193503,0.215882,0.236208,0.272360,0.338932,0.467268,0.721961", \ - "0.198731,0.221118,0.241454,0.277586,0.344185,0.472517,0.727213", \ - "0.216999,0.239373,0.259714,0.295855,0.362440,0.490760,0.745452", \ - "0.243376,0.265773,0.286033,0.322190,0.388768,0.517094,0.771799", \ - "0.270101,0.292466,0.312874,0.348887,0.415418,0.543834,0.798339", \ - "0.294506,0.316903,0.337054,0.373754,0.439628,0.568107,0.822668", \ - "0.315935,0.337961,0.358237,0.394437,0.461093,0.589539,0.842844"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0558398,0.0654908,0.0735358,0.0854074,0.0996730,0.123855,0.176963", \ - "0.0558321,0.0654885,0.0735276,0.0854043,0.0996777,0.123865,0.176967", \ - "0.0558038,0.0654991,0.0735419,0.0854083,0.0996766,0.123869,0.176971", \ - "0.0558369,0.0655034,0.0735404,0.0854095,0.0996806,0.123873,0.176968", \ - "0.0559040,0.0655028,0.0735118,0.0854057,0.0996753,0.123885,0.176968", \ - "0.0558951,0.0655739,0.0735866,0.0854545,0.0997059,0.123887,0.176977", \ - "0.0559913,0.0656124,0.0736486,0.0855253,0.0997877,0.123893,0.176963"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0233787,0.0365629,0.0506814,0.0790128,0.136933,0.256492,0.499581", \ - "0.0233803,0.0365618,0.0506824,0.0790115,0.136933,0.256494,0.499581", \ - "0.0233775,0.0365591,0.0506739,0.0790115,0.136930,0.256493,0.499579", \ - "0.0233748,0.0365564,0.0506768,0.0790080,0.136930,0.256493,0.499580", \ - "0.0233864,0.0365592,0.0506762,0.0790137,0.136933,0.256494,0.499577", \ - "0.0233991,0.0365740,0.0506872,0.0790147,0.136932,0.256497,0.499584", \ - "0.0234301,0.0366032,0.0507133,0.0790353,0.136942,0.256496,0.499585"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.075316,4.999979,4.926941,4.936310,5.088989,5.138904,5.130021", \ - "5.079845,4.986006,4.943598,4.921198,5.082812,5.142051,5.132417", \ - "5.061798,4.974714,4.929952,4.908080,5.066656,5.118804,5.109024", \ - "5.055106,4.973978,4.923501,4.930513,5.050419,5.103447,5.092471", \ - "5.067812,4.988911,4.925163,4.932149,5.081591,5.133135,5.122204", \ - "5.142283,5.045217,4.980637,4.984522,5.089267,5.199983,5.179205", \ - "5.237938,5.155351,5.101138,5.112535,5.181932,5.297469,5.283565"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.322037,4.436400,4.574514,4.851391,4.883292,4.972959,4.938962", \ - "4.320713,4.420280,4.556939,4.826650,4.933480,4.910445,4.953313", \ - "4.308758,4.407373,4.542421,4.793760,4.919028,4.950994,4.925674", \ - "4.297668,4.411559,4.535117,4.828473,4.859535,4.940205,4.914035", \ - "4.321548,4.430528,4.580035,4.868130,4.890341,4.970088,4.974401", \ - "4.383431,4.500309,4.639111,4.896044,4.965068,5.044478,5.021617", \ - "4.506953,4.614258,4.735155,5.013989,5.062320,5.142721,5.134505"); - } - } - } - - } - - - /****************************************************************************************** - Module : DLH_X1 - Cell Description : High enable Latch with drive strength X1 - *******************************************************************************************/ - - cell (DLH_X1) { - - drive_strength : 1; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "G"; - } - - area : 2.660000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 28.420624; - - leakage_power () { - when : "!D & !G & !Q"; - value : 23.508700; - } - leakage_power () { - when : "!D & !G & Q"; - value : 29.147235; - } - leakage_power () { - when : "!D & G & !Q"; - value : 24.851876; - } - leakage_power () { - when : "D & !G & !Q"; - value : 30.546129; - } - leakage_power () { - when : "D & !G & Q"; - value : 30.189718; - } - leakage_power () { - when : "D & G & Q"; - value : 32.280088; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.889706; - fall_capacitance : 0.841577; - rise_capacitance : 0.889706; - - timing () { - - related_pin : "G"; - timing_type : hold_falling; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.058032,0.123244,0.248378", \ - "0.110996,0.174546,0.302995", \ - "0.364683,0.432707,0.576651"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.055765,0.115751,0.226441", \ - "0.071083,0.118010,0.209982", \ - "0.271933,0.312005,0.407237"); - } - } - - timing () { - - related_pin : "G"; - timing_type : setup_falling; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.178165,0.133475,0.112265", \ - "0.233180,0.190582,0.153190", \ - "0.394919,0.349272,0.272671"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.002583,-0.066790,-0.189343", \ - "0.045396,-0.019118,-0.154035", \ - "0.136168,0.068147,-0.075787"); - } - } - - internal_power () { - - when : "!G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.414743,0.399240,0.391644,0.387854,0.385671,0.384734,0.383717"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.331141,-0.331929,-0.334609,-0.339061,-0.345428,-0.353977,-0.354402"); - } - - } - - internal_power () { - - when : "!G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357400,0.360111,0.361461,0.361179,0.360964,0.360871,0.361118"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249874,-0.273227,-0.303081,-0.317678,-0.325373,-0.330109,-0.333348"); - } - - } - } - - pin (G) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.947488; - fall_capacitance : 0.850993; - rise_capacitance : 0.947488; - - timing () { - - related_pin : "G"; - timing_type : min_pulse_width; - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.147932,0.153734,0.500500"); - } - } - - internal_power () { - - when : "!D & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.278535,2.249613,2.223852,2.245960,2.341095,2.503826,2.732265"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.751895,1.738108,1.703724,1.709821,1.774858,1.915897,2.150942"); - } - - } - - internal_power () { - - when : "D & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.308745,2.278509,2.252493,2.280208,2.375005,2.534300,2.769825"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.625534,1.610939,1.577677,1.580727,1.647751,1.789018,2.019116"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.542870; - function : "IQ"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.198730,0.215973,0.231210,0.253815,0.286666,0.336659,0.418737", \ - "0.203302,0.220560,0.235795,0.258405,0.291256,0.341252,0.423347", \ - "0.220948,0.238188,0.253441,0.276041,0.308888,0.358885,0.440992", \ - "0.250911,0.268151,0.283374,0.305886,0.338708,0.388730,0.470869", \ - "0.296092,0.313266,0.328442,0.350975,0.383823,0.433864,0.516046", \ - "0.353501,0.371625,0.387906,0.410909,0.444422,0.494890,0.577320", \ - "0.419190,0.438405,0.454577,0.480300,0.515664,0.568047,0.651958"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0777765,0.0945023,0.112494,0.146091,0.211162,0.339617,0.595634", \ - "0.0825540,0.0992883,0.117279,0.150880,0.215956,0.344422,0.600433", \ - "0.0970460,0.113764,0.131731,0.165301,0.230360,0.358850,0.614889", \ - "0.122627,0.139466,0.157432,0.190897,0.255868,0.384342,0.640388", \ - "0.152918,0.170540,0.188902,0.222421,0.287326,0.415733,0.671816", \ - "0.183225,0.202074,0.221180,0.255037,0.319893,0.448164,0.704214", \ - "0.209839,0.230562,0.250496,0.285361,0.350296,0.478302,0.734131"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0309722,0.0364801,0.0418151,0.0503753,0.0649503,0.0930717,0.149644", \ - "0.0309708,0.0364793,0.0418145,0.0503727,0.0649516,0.0930718,0.149649", \ - "0.0309751,0.0364882,0.0418151,0.0503735,0.0649555,0.0930748,0.149640", \ - "0.0309722,0.0364896,0.0418273,0.0503844,0.0649571,0.0930665,0.149640", \ - "0.0310639,0.0366033,0.0419456,0.0504922,0.0650321,0.0931051,0.149644", \ - "0.0344380,0.0397156,0.0447322,0.0527499,0.0665498,0.0939547,0.150087", \ - "0.0388330,0.0442832,0.0494496,0.0574043,0.0709794,0.0977361,0.152524"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0178812,0.0290280,0.0431503,0.0725145,0.133003,0.255036,0.500100", \ - "0.0178878,0.0290279,0.0431454,0.0725125,0.133007,0.255067,0.500103", \ - "0.0178753,0.0290254,0.0431525,0.0725057,0.132995,0.255068,0.500104", \ - "0.0184882,0.0294287,0.0433906,0.0726061,0.133005,0.255042,0.500043", \ - "0.0203888,0.0310588,0.0446270,0.0732783,0.133189,0.255148,0.500206", \ - "0.0233900,0.0336531,0.0466404,0.0744411,0.133680,0.255313,0.500332", \ - "0.0276969,0.0376313,0.0498684,0.0763832,0.134444,0.255645,0.500279"); - } - } - - timing () { - - related_pin : "G"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.183920,0.201132,0.216341,0.238771,0.271413,0.321066,0.402766", \ - "0.189113,0.206337,0.221533,0.243981,0.276599,0.326269,0.407954", \ - "0.205311,0.222539,0.237739,0.260237,0.292908,0.342614,0.424367", \ - "0.225604,0.242817,0.258038,0.280579,0.313271,0.363081,0.444895", \ - "0.245640,0.262591,0.277848,0.300377,0.333009,0.382801,0.464814", \ - "0.262041,0.279422,0.294847,0.317312,0.349743,0.399698,0.481835", \ - "0.275491,0.292683,0.307801,0.330537,0.363372,0.412981,0.494918"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.139904,0.156607,0.174525,0.207964,0.272917,0.401299,0.657303", \ - "0.145096,0.161790,0.179717,0.213162,0.278115,0.406489,0.662499", \ - "0.162539,0.179244,0.197176,0.230616,0.295558,0.423925,0.679963", \ - "0.185163,0.201852,0.219780,0.253229,0.318140,0.446488,0.702567", \ - "0.206864,0.223697,0.241619,0.274899,0.339896,0.468253,0.724199", \ - "0.225563,0.242266,0.260197,0.294066,0.358832,0.486835,0.742550", \ - "0.239737,0.256438,0.274367,0.307911,0.372759,0.501201,0.757173"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0308893,0.0363902,0.0416914,0.0502739,0.0649443,0.0930580,0.149625", \ - "0.0308888,0.0364045,0.0417089,0.0502752,0.0649389,0.0930774,0.149630", \ - "0.0308833,0.0363882,0.0417006,0.0502756,0.0649421,0.0930662,0.149612", \ - "0.0308655,0.0363877,0.0417016,0.0502783,0.0649388,0.0930641,0.149626", \ - "0.0309003,0.0364421,0.0417476,0.0503151,0.0649547,0.0930602,0.149623", \ - "0.0309398,0.0364641,0.0417886,0.0503703,0.0650232,0.0930619,0.149633", \ - "0.0310319,0.0365727,0.0418765,0.0504530,0.0650777,0.0931634,0.149694"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0180932,0.0291596,0.0432118,0.0725146,0.132974,0.255103,0.500165", \ - "0.0181028,0.0291593,0.0432108,0.0725126,0.132973,0.255091,0.500162", \ - "0.0180952,0.0291578,0.0432106,0.0725035,0.132976,0.255089,0.500103", \ - "0.0180903,0.0291574,0.0432127,0.0725135,0.132973,0.255015,0.500195", \ - "0.0181119,0.0291550,0.0431916,0.0725081,0.132974,0.255097,0.500098", \ - "0.0181180,0.0291736,0.0432195,0.0725055,0.132907,0.255055,0.500014", \ - "0.0181460,0.0291964,0.0432342,0.0725110,0.132998,0.254956,0.500109"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.572561,2.721058,2.841637,2.984707,3.153330,3.304605,3.404275", \ - "2.558388,2.710645,2.825756,2.961557,3.139935,3.290335,3.390387", \ - "2.538320,2.693729,2.809772,2.945219,3.122212,3.279311,3.371878", \ - "2.532395,2.683417,2.802502,2.936097,3.107954,3.266186,3.361414", \ - "2.541849,2.696432,2.805654,2.945296,3.112823,3.264533,3.366366", \ - "2.607093,2.745692,2.855976,2.985692,3.130838,3.297000,3.399498", \ - "2.683379,2.798267,2.891624,3.021011,3.191449,3.365737,3.475435"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.760722,1.999418,2.140966,2.218730,2.238026,2.291364,2.344315", \ - "1.731026,1.978089,2.134031,2.217691,2.208664,2.280624,2.338665", \ - "1.718206,1.964428,2.111343,2.203056,2.204671,2.265318,2.276211", \ - "1.708195,1.951589,2.090030,2.167819,2.167668,2.223476,2.303523", \ - "1.735399,1.963308,2.088839,2.156335,2.177130,2.233768,2.245858", \ - "1.799811,2.025875,2.163095,2.211138,2.237012,2.232564,2.248190", \ - "1.894284,2.129537,2.275988,2.334445,2.317132,2.288513,2.371733"); - } - } - - internal_power () { - - related_pin : "G"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.548708,3.710514,3.840566,3.980171,4.149168,4.293850,4.390635", \ - "3.540359,3.703037,3.828706,3.963931,4.128336,4.280048,4.372863", \ - "3.499227,3.659536,3.782160,3.925769,4.084592,4.241280,4.331013", \ - "3.481358,3.642313,3.772823,3.911601,4.082688,4.233240,4.326485", \ - "3.542390,3.710171,3.833248,3.972818,4.131894,4.290380,4.385921", \ - "3.713671,3.867595,3.987379,4.121408,4.271157,4.430020,4.524974", \ - "3.964299,4.122098,4.241884,4.377280,4.536331,4.680971,4.764414"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.470354,3.722361,3.871000,3.955900,3.998456,4.007191,4.024692", \ - "3.450523,3.697061,3.851885,3.940758,3.968178,4.006067,4.020791", \ - "3.423420,3.669857,3.823853,3.905481,3.932514,3.971068,3.993889", \ - "3.433217,3.679511,3.826676,3.912963,3.955148,3.965655,4.030319", \ - "3.493693,3.749898,3.888526,3.973522,4.014479,4.053831,4.086461", \ - "3.679452,3.919807,4.051102,4.124884,4.148473,4.186665,4.233110", \ - "3.908787,4.155987,4.305830,4.383363,4.391296,4.413726,4.455711"); - } - } - } - - } - - - /****************************************************************************************** - Module : DLH_X2 - Cell Description : High enable Latch with drive strength X2 - *******************************************************************************************/ - - cell (DLH_X2) { - - drive_strength : 2; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "G"; - } - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 40.260914; - - leakage_power () { - when : "!D & !G & !Q"; - value : 32.680855; - } - leakage_power () { - when : "!D & !G & Q"; - value : 42.093930; - } - leakage_power () { - when : "!D & G & !Q"; - value : 34.714301; - } - leakage_power () { - when : "D & !G & !Q"; - value : 42.016581; - } - leakage_power () { - when : "D & !G & Q"; - value : 43.441628; - } - leakage_power () { - when : "D & G & Q"; - value : 46.618191; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.131926; - fall_capacitance : 1.071708; - rise_capacitance : 1.131926; - - timing () { - - related_pin : "G"; - timing_type : hold_falling; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.060219,0.126015,0.255339", \ - "0.116313,0.180141,0.309618", \ - "0.348531,0.417303,0.563559"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.053356,0.114857,0.227211", \ - "0.068060,0.114723,0.216755", \ - "0.286971,0.325461,0.416957"); - } - } - - timing () { - - related_pin : "G"; - timing_type : setup_falling; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.201674,0.158237,0.129778", \ - "0.260578,0.216483,0.170185", \ - "0.420572,0.374625,0.297848"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.005050,-0.059670,-0.184802", \ - "0.053400,-0.011941,-0.150113", \ - "0.152320,0.083551,-0.062694"); - } - } - - internal_power () { - - when : "!G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.558908,0.537266,0.526044,0.520794,0.518474,0.516822,0.516236"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.456939,-0.458051,-0.461769,-0.467937,-0.475002,-0.475923,-0.476474"); - } - - } - - internal_power () { - - when : "!G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.481517,0.484295,0.485536,0.485453,0.485065,0.485462,0.484497"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.335266,-0.367553,-0.407025,-0.427117,-0.436981,-0.443259,-0.447688"); - } - - } - } - - pin (G) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.946882; - fall_capacitance : 0.851336; - rise_capacitance : 0.946882; - - timing () { - - related_pin : "G"; - timing_type : min_pulse_width; - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.169302,0.173595,0.500500"); - } - } - - internal_power () { - - when : "!D & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.196178,2.169129,2.146178,2.171643,2.256108,2.419462,2.648644"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.787470,1.773219,1.740928,1.747297,1.809381,1.953483,2.182700"); - } - - } - - internal_power () { - - when : "D & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.239975,2.210470,2.183884,2.211754,2.307286,2.465695,2.701948"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.613386,1.598916,1.566136,1.570695,1.637167,1.780232,2.008442"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.697000; - function : "IQ"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.217910,0.238078,0.253797,0.277115,0.311087,0.362546,0.446297", \ - "0.222492,0.242669,0.258393,0.281718,0.315694,0.367169,0.450918", \ - "0.240218,0.260395,0.276114,0.299441,0.333411,0.384883,0.468646", \ - "0.270105,0.290304,0.305945,0.329241,0.363211,0.414683,0.498459", \ - "0.315151,0.335251,0.350945,0.374233,0.408218,0.459743,0.543551", \ - "0.375680,0.395924,0.411519,0.435284,0.469615,0.521392,0.605424", \ - "0.442779,0.464623,0.481511,0.506938,0.543032,0.596421,0.681558"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0840465,0.103491,0.121744,0.155480,0.220424,0.348468,0.603545", \ - "0.0887556,0.108206,0.126460,0.160203,0.225143,0.353201,0.608335", \ - "0.102944,0.122394,0.140630,0.174347,0.239281,0.367364,0.622486", \ - "0.128893,0.148393,0.166584,0.200217,0.265060,0.393116,0.648294", \ - "0.160583,0.180930,0.199587,0.233260,0.298000,0.425959,0.681127", \ - "0.193189,0.214814,0.234146,0.268090,0.332831,0.460600,0.715550", \ - "0.222784,0.246357,0.266622,0.301498,0.366304,0.493768,0.748572"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0370848,0.0431104,0.0482812,0.0567542,0.0714133,0.0994448,0.155595", \ - "0.0370659,0.0431104,0.0482807,0.0567536,0.0713880,0.0994483,0.155597", \ - "0.0370878,0.0431090,0.0482797,0.0567541,0.0713938,0.0994563,0.155584", \ - "0.0370681,0.0431090,0.0482880,0.0567698,0.0714022,0.0994616,0.155578", \ - "0.0370959,0.0431614,0.0483598,0.0568302,0.0714660,0.0994640,0.155585", \ - "0.0401545,0.0457769,0.0505929,0.0584645,0.0724811,0.100053,0.155905", \ - "0.0451702,0.0508947,0.0557715,0.0635777,0.0771255,0.103699,0.158053"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0188219,0.0312514,0.0451954,0.0741507,0.134125,0.255664,0.499798", \ - "0.0188131,0.0312502,0.0451945,0.0741400,0.134137,0.255731,0.499817", \ - "0.0188116,0.0312486,0.0452001,0.0741491,0.134139,0.255688,0.499815", \ - "0.0193316,0.0315568,0.0453875,0.0742392,0.134144,0.255711,0.499875", \ - "0.0213783,0.0332944,0.0467206,0.0749500,0.134382,0.255740,0.499893", \ - "0.0245471,0.0360301,0.0489244,0.0763613,0.134957,0.255953,0.499883", \ - "0.0291806,0.0402185,0.0523665,0.0785582,0.135913,0.256334,0.499997"); - } - } - - timing () { - - related_pin : "G"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.203511,0.223595,0.239284,0.262495,0.296247,0.347391,0.430732", \ - "0.208718,0.228866,0.244521,0.267711,0.301475,0.352641,0.435981", \ - "0.225269,0.245429,0.261087,0.284338,0.318141,0.369358,0.452747", \ - "0.246211,0.266383,0.282067,0.305347,0.339147,0.390462,0.473950", \ - "0.266913,0.287113,0.302543,0.325933,0.359493,0.410778,0.494405", \ - "0.284067,0.304228,0.320264,0.343199,0.377082,0.428282,0.512052", \ - "0.298030,0.318199,0.333760,0.357137,0.391068,0.442390,0.525990"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.141281,0.160691,0.178899,0.212512,0.277319,0.405302,0.660416", \ - "0.146502,0.165915,0.184120,0.217728,0.282542,0.410517,0.665624", \ - "0.164125,0.183541,0.201734,0.235336,0.300165,0.428144,0.683260", \ - "0.187197,0.206613,0.224813,0.258494,0.323259,0.451221,0.706346", \ - "0.209684,0.229101,0.247296,0.280727,0.345524,0.473586,0.728581", \ - "0.229141,0.248550,0.266750,0.300133,0.364769,0.493051,0.747730", \ - "0.242878,0.262299,0.280494,0.314458,0.379267,0.506698,0.761696"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0370510,0.0430660,0.0482061,0.0566788,0.0713563,0.0994357,0.155588", \ - "0.0370622,0.0430651,0.0481975,0.0566740,0.0713528,0.0994463,0.155580", \ - "0.0370634,0.0430643,0.0482000,0.0566689,0.0713544,0.0994418,0.155576", \ - "0.0370556,0.0430606,0.0482011,0.0566760,0.0713576,0.0994351,0.155576", \ - "0.0371149,0.0431021,0.0482210,0.0566781,0.0713535,0.0994147,0.155566", \ - "0.0371414,0.0431479,0.0482908,0.0567586,0.0714236,0.0994367,0.155657", \ - "0.0372104,0.0432197,0.0483633,0.0568420,0.0714923,0.0995337,0.155614"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0189591,0.0313341,0.0452305,0.0741338,0.134133,0.255671,0.499815", \ - "0.0189589,0.0313336,0.0452314,0.0741335,0.134122,0.255651,0.499817", \ - "0.0189594,0.0313345,0.0452333,0.0741410,0.134120,0.255702,0.499867", \ - "0.0189641,0.0313369,0.0452332,0.0741338,0.134124,0.255687,0.499814", \ - "0.0189644,0.0313272,0.0452155,0.0741337,0.134105,0.255738,0.499816", \ - "0.0189822,0.0313474,0.0452368,0.0741331,0.134050,0.255757,0.499879", \ - "0.0190230,0.0313725,0.0452551,0.0741383,0.134100,0.255711,0.499762"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("4.172933,4.299132,4.406953,4.578192,4.805086,5.031894,5.209131", \ - "4.160245,4.278185,4.394553,4.541308,4.787525,5.029258,5.186063", \ - "4.138327,4.245457,4.367809,4.539611,4.761019,5.002539,5.170724", \ - "4.126686,4.243883,4.356352,4.518656,4.743284,4.984873,5.153842", \ - "4.150215,4.250799,4.367129,4.529206,4.727323,4.988901,5.151810", \ - "4.284040,4.376380,4.442871,4.576361,4.781877,5.012256,5.196309", \ - "4.435552,4.490303,4.554556,4.676120,4.888024,5.118194,5.292783"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("2.938301,3.303901,3.493114,3.647615,3.637074,3.770930,3.840074", \ - "2.918465,3.301546,3.477861,3.594293,3.725754,3.725412,3.795239", \ - "2.910249,3.280553,3.440145,3.553452,3.603754,3.740621,3.814474", \ - "2.898483,3.256916,3.453479,3.571219,3.571922,3.673382,3.753625", \ - "2.925303,3.288553,3.454877,3.553825,3.512242,3.650321,3.733654", \ - "3.036540,3.388699,3.552828,3.614759,3.567389,3.703682,3.786461", \ - "3.181410,3.537229,3.711952,3.772850,3.763539,3.811537,3.758164"); - } - } - - internal_power () { - - related_pin : "G"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("4.922409,5.042849,5.171948,5.340217,5.567827,5.803121,5.953059", \ - "4.895033,5.037574,5.152704,5.318069,5.556834,5.786200,5.940951", \ - "4.848707,4.997162,5.105634,5.275014,5.513999,5.745461,5.902264", \ - "4.856247,4.988238,5.106551,5.277477,5.504416,5.737496,5.895795", \ - "4.922965,5.042529,5.159060,5.333520,5.558699,5.779017,5.950217", \ - "5.079571,5.190549,5.305816,5.466779,5.690211,5.921981,6.085470", \ - "5.329987,5.445241,5.564504,5.714663,5.943447,6.167031,6.322123"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("4.677546,5.022604,5.226624,5.355606,5.411333,5.501082,5.494208", \ - "4.654606,5.027223,5.204493,5.340648,5.396882,5.424659,5.481678", \ - "4.627602,5.000656,5.177730,5.317575,5.404011,5.455157,5.453979", \ - "4.627705,5.000431,5.177021,5.299389,5.386850,5.414354,5.508631", \ - "4.687529,5.071505,5.259010,5.390664,5.439980,5.439409,5.540460", \ - "4.863436,5.228146,5.423691,5.533444,5.587054,5.657383,5.651682", \ - "5.096073,5.482730,5.668011,5.765459,5.832165,5.808892,5.953490"); - } - } - } - - } - - - /****************************************************************************************** - Module : DLL_X1 - Cell Description : Low enable Latch with drive strength X1 - *******************************************************************************************/ - - cell (DLL_X1) { - - drive_strength : 1; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "!GN"; - } - - area : 2.660000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 28.425963; - - leakage_power () { - when : "!D & !GN & !Q"; - value : 24.861690; - } - leakage_power () { - when : "!D & GN & !Q"; - value : 23.515692; - } - leakage_power () { - when : "!D & GN & Q"; - value : 29.148156; - } - leakage_power () { - when : "D & !GN & Q"; - value : 32.285151; - } - leakage_power () { - when : "D & GN & !Q"; - value : 30.553406; - } - leakage_power () { - when : "D & GN & Q"; - value : 30.191684; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.854603; - fall_capacitance : 0.806854; - rise_capacitance : 0.854603; - - timing () { - - related_pin : "GN"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.000753,0.022802,-0.009537", \ - "0.044146,0.061112,-0.002541", \ - "0.302293,0.327769,0.304070"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.005183,0.048660,0.088605", \ - "0.086762,0.128845,0.169404", \ - "0.281851,0.324895,0.353913"); - } - } - - timing () { - - related_pin : "GN"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.162899,0.113665,0.068484", \ - "0.216557,0.170299,0.127697", \ - "0.379400,0.332905,0.292142"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.064892,0.054855,0.167398", \ - "0.111890,0.094782,0.169532", \ - "0.198560,0.173087,0.196802"); - } - } - - internal_power () { - - when : "GN & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.413579,0.399249,0.391649,0.387430,0.385610,0.384577,0.383847"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.301015,-0.301699,-0.304174,-0.308242,-0.314020,-0.321790,-0.331825"); - } - - } - - internal_power () { - - when : "GN & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357283,0.360131,0.361104,0.361031,0.360831,0.360809,0.361050"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.251383,-0.273407,-0.303871,-0.318037,-0.325591,-0.330109,-0.333437"); - } - - } - } - - pin (GN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.945655; - fall_capacitance : 0.850234; - rise_capacitance : 0.945655; - - timing () { - - related_pin : "GN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.199524,0.223869,0.500500"); - } - } - - internal_power () { - - when : "!D & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.530666,2.498915,2.475237,2.500472,2.602325,2.768579,3.003590"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.345967,1.324839,1.291206,1.296635,1.366417,1.510625,1.744252"); - } - - } - - internal_power () { - - when : "D & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.408826,2.376666,2.352978,2.383407,2.480133,2.645372,2.886743"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.371117,1.354912,1.321336,1.328934,1.398176,1.544909,1.780601"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.260810; - function : "IQ"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.195221,0.210601,0.224709,0.246081,0.277648,0.326269,0.406927", \ - "0.199744,0.215115,0.229239,0.250604,0.282187,0.330799,0.411463", \ - "0.217357,0.232748,0.246855,0.268220,0.299802,0.348417,0.429088", \ - "0.247363,0.262735,0.276811,0.298093,0.329651,0.378289,0.458973", \ - "0.292199,0.307482,0.321894,0.343064,0.374668,0.423341,0.504069", \ - "0.349259,0.365430,0.380128,0.402802,0.435122,0.484272,0.565486", \ - "0.414346,0.431513,0.446618,0.470993,0.505115,0.556181,0.638710"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0783262,0.0941466,0.111735,0.144982,0.209670,0.337458,0.592175", \ - "0.0831355,0.0989614,0.116548,0.149804,0.214498,0.342281,0.596977", \ - "0.0977327,0.113536,0.131091,0.164308,0.228996,0.356808,0.611535", \ - "0.123075,0.138975,0.156532,0.189648,0.254251,0.382070,0.636860", \ - "0.152896,0.169437,0.187322,0.220444,0.284957,0.412725,0.667460", \ - "0.182527,0.200122,0.218637,0.251981,0.316431,0.444035,0.698781", \ - "0.208368,0.227600,0.246811,0.280817,0.345268,0.472640,0.727110"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0310889,0.0361052,0.0411762,0.0495024,0.0638926,0.0917808,0.148195", \ - "0.0310795,0.0361026,0.0411676,0.0495128,0.0638958,0.0917726,0.148185", \ - "0.0310861,0.0361018,0.0411838,0.0495085,0.0638865,0.0917675,0.148190", \ - "0.0310869,0.0361037,0.0411860,0.0495194,0.0639062,0.0917683,0.148197", \ - "0.0312294,0.0362612,0.0413421,0.0496371,0.0639813,0.0918176,0.148189", \ - "0.0347811,0.0395706,0.0443610,0.0521204,0.0657099,0.0928162,0.148701", \ - "0.0392129,0.0441582,0.0490472,0.0567720,0.0701162,0.0966630,0.151276"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0196174,0.0306946,0.0448777,0.0742823,0.134568,0.256079,0.499860", \ - "0.0196208,0.0306930,0.0448699,0.0742860,0.134567,0.256104,0.499926", \ - "0.0196062,0.0306909,0.0448721,0.0742927,0.134564,0.256079,0.499899", \ - "0.0202385,0.0311050,0.0451188,0.0743715,0.134608,0.256054,0.499853", \ - "0.0221162,0.0326551,0.0462745,0.0750086,0.134803,0.256160,0.499900", \ - "0.0250964,0.0351578,0.0481793,0.0760914,0.135241,0.256327,0.500073", \ - "0.0294878,0.0390718,0.0512832,0.0779280,0.135969,0.256737,0.500092"); - } - } - - timing () { - - related_pin : "GN"; - timing_type : falling_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.244332,0.259706,0.273785,0.295078,0.326543,0.375067,0.455545", \ - "0.248573,0.263939,0.278022,0.299308,0.330785,0.379298,0.459795", \ - "0.267076,0.282412,0.296502,0.317799,0.349284,0.397782,0.478295", \ - "0.303647,0.319037,0.333128,0.354428,0.385858,0.434402,0.514926", \ - "0.348285,0.363661,0.377760,0.399039,0.430564,0.479277,0.559678", \ - "0.396771,0.412390,0.426393,0.447166,0.478772,0.527258,0.608378", \ - "0.448054,0.463403,0.477457,0.499090,0.530297,0.578812,0.659889"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.140673,0.156536,0.174072,0.207185,0.271824,0.399591,0.654219", \ - "0.144932,0.160779,0.178315,0.211442,0.276072,0.403838,0.658469", \ - "0.163402,0.179248,0.196784,0.229908,0.294539,0.422303,0.677014", \ - "0.200032,0.215880,0.233408,0.266505,0.331048,0.458812,0.713496", \ - "0.248004,0.263920,0.281440,0.314693,0.379048,0.506821,0.761566", \ - "0.300819,0.316854,0.334370,0.367289,0.431714,0.559604,0.814342", \ - "0.357778,0.374052,0.391622,0.424636,0.488971,0.617054,0.871710"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0310707,0.0360759,0.0411274,0.0494660,0.0639243,0.0917960,0.148212", \ - "0.0310530,0.0360746,0.0411231,0.0494680,0.0639028,0.0918015,0.148195", \ - "0.0310623,0.0360752,0.0411283,0.0494664,0.0639024,0.0918172,0.148197", \ - "0.0310735,0.0360756,0.0411266,0.0494679,0.0639226,0.0918036,0.148195", \ - "0.0310262,0.0360570,0.0411134,0.0494515,0.0639032,0.0918038,0.148209", \ - "0.0309515,0.0359768,0.0410470,0.0494233,0.0638988,0.0917997,0.148202", \ - "0.0308825,0.0359343,0.0410249,0.0493891,0.0638832,0.0917753,0.148152"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0203498,0.0311541,0.0451402,0.0743760,0.134606,0.256060,0.499848", \ - "0.0203549,0.0311594,0.0451387,0.0743629,0.134607,0.256054,0.499852", \ - "0.0203389,0.0311530,0.0451392,0.0743755,0.134608,0.256105,0.499865", \ - "0.0203833,0.0311845,0.0451593,0.0743855,0.134596,0.256099,0.499964", \ - "0.0207667,0.0314579,0.0453275,0.0744535,0.134602,0.256053,0.499862", \ - "0.0215488,0.0319237,0.0456199,0.0745807,0.134639,0.256043,0.499854", \ - "0.0226638,0.0326421,0.0460658,0.0747933,0.134722,0.256042,0.499791"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.629325,2.766651,2.877943,3.001463,3.165245,3.302574,3.386733", \ - "2.620631,2.761358,2.862701,2.997083,3.134040,3.288426,3.371920", \ - "2.602212,2.736622,2.844262,2.974994,3.127229,3.271917,3.357702", \ - "2.591506,2.733839,2.840239,2.959369,3.113731,3.257014,3.345853", \ - "2.612040,2.740331,2.842499,2.958975,3.106668,3.261550,3.349230", \ - "2.664007,2.792404,2.890883,3.011464,3.141015,3.299534,3.385414", \ - "2.730683,2.837783,2.920310,3.038443,3.202516,3.367548,3.459140"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.904184,2.128200,2.262406,2.343259,2.385531,2.444523,2.469893", \ - "1.887919,2.111768,2.239695,2.340042,2.392822,2.427661,2.436976", \ - "1.872791,2.095615,2.218428,2.316488,2.310313,2.382726,2.435277", \ - "1.849216,2.069018,2.215425,2.271675,2.342345,2.351267,2.407160", \ - "1.886087,2.091154,2.216613,2.288964,2.328674,2.301650,2.356756", \ - "1.946004,2.155119,2.282515,2.331498,2.310240,2.385203,2.381177", \ - "2.038934,2.263726,2.400898,2.445038,2.437418,2.466387,2.454538"); - } - } - - internal_power () { - - related_pin : "GN"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.456531,4.603602,4.715119,4.842740,4.988424,5.126268,5.214695", \ - "4.419766,4.569159,4.677237,4.806349,4.958333,5.092660,5.176390", \ - "4.394949,4.537212,4.651756,4.783058,4.934297,5.069910,5.157307", \ - "4.429256,4.572438,4.689160,4.817889,4.963343,5.107025,5.190670", \ - "4.530226,4.671980,4.785707,4.910788,5.064067,5.204748,5.287415", \ - "4.691489,4.848484,4.953291,5.075839,5.229154,5.362529,5.457868", \ - "4.853821,5.022438,5.145962,5.295943,5.453816,5.611491,5.695488"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.366621,4.603014,4.749197,4.832405,4.867590,4.887222,4.903103", \ - "4.326780,4.571206,4.710283,4.806372,4.826715,4.876051,4.892523", \ - "4.307198,4.543868,4.689981,4.778078,4.827941,4.816572,4.861368", \ - "4.341023,4.577536,4.709170,4.797072,4.830529,4.849725,4.859232", \ - "4.423212,4.667462,4.798366,4.892440,4.930289,4.974460,4.994665", \ - "4.519228,4.790593,4.954893,5.056679,5.089065,5.110745,5.167546", \ - "4.687814,4.956509,5.140705,5.262249,5.319834,5.332931,5.354351"); - } - } - } - - } - - - /****************************************************************************************** - Module : DLL_X2 - Cell Description : Low enable Latch with drive strength X2 - *******************************************************************************************/ - - cell (DLL_X2) { - - drive_strength : 2; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "!GN"; - } - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 40.260617; - - leakage_power () { - when : "!D & !GN & !Q"; - value : 34.714425; - } - leakage_power () { - when : "!D & GN & !Q"; - value : 32.680722; - } - leakage_power () { - when : "!D & GN & Q"; - value : 42.092191; - } - leakage_power () { - when : "D & !GN & Q"; - value : 46.618505; - } - leakage_power () { - when : "D & GN & !Q"; - value : 42.016638; - } - leakage_power () { - when : "D & GN & Q"; - value : 43.441220; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.099942; - fall_capacitance : 1.038603; - rise_capacitance : 1.099942; - - timing () { - - related_pin : "GN"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.000873,0.026636,-0.020238", \ - "0.051813,0.063098,-0.001979", \ - "0.286775,0.311403,0.287956"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.004494,0.048090,0.091354", \ - "0.073069,0.123737,0.171732", \ - "0.295386,0.340875,0.369632"); - } - } - - timing () { - - related_pin : "GN"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.187324,0.136880,0.088267", \ - "0.243955,0.194015,0.146653", \ - "0.405053,0.358578,0.317319"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.073441,0.061664,0.183614", \ - "0.120818,0.105079,0.182605", \ - "0.214078,0.189454,0.212916"); - } - } - - internal_power () { - - when : "GN & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.558738,0.537104,0.526431,0.521219,0.517926,0.516608,0.515875"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.426717,-0.427747,-0.431256,-0.437037,-0.445269,-0.456356,-0.470677"); - } - - } - - internal_power () { - - when : "GN & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.481336,0.484272,0.485082,0.485243,0.484971,0.485636,0.485467"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.338010,-0.369355,-0.407844,-0.427005,-0.437282,-0.443444,-0.447851"); - } - - } - } - - pin (GN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.941454; - fall_capacitance : 0.845826; - rise_capacitance : 0.941454; - - timing () { - - related_pin : "GN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.219673,0.240937,0.500500"); - } - } - - internal_power () { - - when : "!D & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.654920,2.625174,2.592930,2.629085,2.726411,2.893803,3.128996"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.280715,1.258989,1.221763,1.226377,1.298816,1.443159,1.672081"); - } - - } - - internal_power () { - - when : "D & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.488236,2.457200,2.432549,2.463627,2.558570,2.725780,2.966157"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.313381,1.298551,1.266009,1.271593,1.340576,1.485485,1.720919"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQ"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.211543,0.231177,0.246476,0.269227,0.302380,0.352934,0.435649", \ - "0.216151,0.235787,0.251091,0.273819,0.306988,0.357535,0.440313", \ - "0.233856,0.253478,0.268782,0.291512,0.324702,0.375258,0.457995", \ - "0.263779,0.283416,0.298653,0.321330,0.354504,0.405065,0.487848", \ - "0.308711,0.328332,0.343654,0.366368,0.399553,0.450140,0.532967", \ - "0.367950,0.388385,0.403788,0.427129,0.460682,0.511571,0.594645", \ - "0.435398,0.456720,0.473326,0.497834,0.533213,0.585783,0.669997"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0814613,0.100669,0.118790,0.152407,0.217233,0.345111,0.599953", \ - "0.0862262,0.105438,0.123561,0.157181,0.222013,0.349897,0.604680", \ - "0.100615,0.119814,0.137924,0.171511,0.236326,0.364221,0.618969", \ - "0.126401,0.145663,0.163714,0.197219,0.261943,0.389845,0.644638", \ - "0.157560,0.177661,0.196186,0.229687,0.294314,0.422093,0.676933", \ - "0.189394,0.210780,0.229914,0.263709,0.328306,0.455913,0.710664", \ - "0.218010,0.241323,0.261392,0.296065,0.360680,0.487951,0.742422"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0363626,0.0422189,0.0472778,0.0555674,0.0700428,0.0980156,0.154220", \ - "0.0363626,0.0422114,0.0472710,0.0555648,0.0700290,0.0980169,0.154209", \ - "0.0363541,0.0422216,0.0472757,0.0555502,0.0700354,0.0980105,0.154206", \ - "0.0363619,0.0422244,0.0472739,0.0555701,0.0700385,0.0980205,0.154203", \ - "0.0363800,0.0422927,0.0473711,0.0556478,0.0701023,0.0980302,0.154194", \ - "0.0397490,0.0451833,0.0498707,0.0575323,0.0712998,0.0987138,0.154573", \ - "0.0447460,0.0503062,0.0550517,0.0626631,0.0760170,0.102509,0.156870"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0185784,0.0309853,0.0449577,0.0739677,0.133956,0.255374,0.499249", \ - "0.0185752,0.0309837,0.0449536,0.0739706,0.133963,0.255421,0.499281", \ - "0.0185666,0.0309801,0.0449546,0.0739641,0.133957,0.255411,0.499166", \ - "0.0191257,0.0313117,0.0451587,0.0740616,0.134004,0.255421,0.499157", \ - "0.0211937,0.0330435,0.0464840,0.0747609,0.134193,0.255446,0.499323", \ - "0.0244044,0.0357886,0.0486676,0.0761342,0.134832,0.255675,0.499327", \ - "0.0291198,0.0400217,0.0521081,0.0782999,0.135694,0.256034,0.499423"); - } - } - - timing () { - - related_pin : "GN"; - timing_type : falling_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.260174,0.279765,0.295037,0.317674,0.350763,0.401151,0.483723", \ - "0.264454,0.284029,0.299277,0.321916,0.355003,0.405406,0.487991", \ - "0.282889,0.302497,0.317759,0.340437,0.373481,0.423929,0.506496", \ - "0.319695,0.339310,0.354535,0.377213,0.410237,0.460679,0.543305", \ - "0.364712,0.384374,0.399639,0.422320,0.455402,0.506033,0.588717", \ - "0.413680,0.433576,0.448778,0.470879,0.504189,0.554492,0.637740", \ - "0.465415,0.484988,0.500325,0.522982,0.556086,0.606646,0.689190"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.144800,0.164043,0.182105,0.215587,0.280315,0.408148,0.662863", \ - "0.149073,0.168319,0.186378,0.219866,0.284598,0.412428,0.667149", \ - "0.167546,0.186787,0.204853,0.238334,0.303062,0.430886,0.685677", \ - "0.204215,0.223461,0.241524,0.274935,0.339631,0.467460,0.722289", \ - "0.252816,0.272142,0.290412,0.323776,0.388365,0.516088,0.770895", \ - "0.306527,0.325988,0.344046,0.377352,0.441837,0.569778,0.824520", \ - "0.364590,0.384333,0.402438,0.436297,0.500242,0.628238,0.882531"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0363330,0.0421972,0.0472250,0.0555232,0.0700403,0.0980324,0.154207", \ - "0.0363370,0.0421950,0.0472253,0.0555247,0.0700508,0.0980362,0.154210", \ - "0.0363458,0.0421923,0.0472240,0.0555212,0.0700488,0.0980301,0.154210", \ - "0.0363518,0.0421987,0.0472232,0.0555215,0.0700414,0.0980324,0.154207", \ - "0.0363451,0.0421823,0.0471980,0.0555078,0.0700475,0.0980228,0.154197", \ - "0.0362498,0.0421234,0.0471865,0.0554873,0.0700374,0.0980265,0.154212", \ - "0.0362203,0.0420849,0.0471480,0.0554607,0.0700176,0.0979730,0.154182"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0193159,0.0314345,0.0452183,0.0740617,0.133966,0.255403,0.499143", \ - "0.0193140,0.0314327,0.0452203,0.0740637,0.133966,0.255405,0.499145", \ - "0.0193179,0.0314326,0.0452207,0.0740611,0.133956,0.255433,0.499217", \ - "0.0193537,0.0314600,0.0452371,0.0740690,0.133993,0.255439,0.499224", \ - "0.0197831,0.0317268,0.0453992,0.0741429,0.133980,0.255427,0.499219", \ - "0.0205882,0.0322192,0.0457292,0.0742777,0.134031,0.255385,0.499169", \ - "0.0217831,0.0329778,0.0462159,0.0745333,0.134108,0.255380,0.499146"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.053309,4.163109,4.278304,4.437538,4.630091,4.872918,5.021940", \ - "4.034809,4.149285,4.254442,4.419190,4.627390,4.856636,5.003780", \ - "4.012487,4.127569,4.230539,4.392043,4.602019,4.832570,4.982212", \ - "4.002336,4.118176,4.219794,4.374958,4.583670,4.814854,4.965557", \ - "4.027824,4.134120,4.222494,4.370475,4.568957,4.818554,4.969284", \ - "4.170951,4.245442,4.315790,4.436257,4.640234,4.846854,5.010926", \ - "4.313733,4.354376,4.414400,4.523895,4.725856,4.953920,5.103440"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("2.923170,3.321483,3.499145,3.610916,3.709137,3.717662,3.809356", \ - "2.902198,3.290846,3.479500,3.614735,3.690389,3.731720,3.761580", \ - "2.889134,3.259424,3.462515,3.557104,3.667039,3.680888,3.774845", \ - "2.880145,3.244485,3.430905,3.554299,3.602894,3.616908,3.711008", \ - "2.922752,3.258527,3.437955,3.518852,3.593993,3.608805,3.708658", \ - "3.027543,3.357718,3.516773,3.580058,3.535794,3.684215,3.658521", \ - "3.171397,3.533685,3.687359,3.768206,3.763534,3.687972,3.795277"); - } - } - - internal_power () { - - related_pin : "GN"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.723271,5.854061,5.955116,6.116984,6.326921,6.549548,6.696394", \ - "5.701025,5.811845,5.928819,6.074827,6.296231,6.516871,6.658554", \ - "5.668476,5.787453,5.904699,6.064290,6.265059,6.497967,6.643775", \ - "5.702178,5.821220,5.939681,6.096386,6.314859,6.530079,6.677923", \ - "5.796020,5.916484,6.041149,6.195767,6.401318,6.633674,6.778564", \ - "5.971011,6.088793,6.210596,6.353549,6.576512,6.795119,6.951053", \ - "6.150952,6.284892,6.413018,6.576733,6.817962,7.042462,7.180520"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.511956,5.893395,6.073053,6.199173,6.287496,6.256074,6.417275", \ - "5.482295,5.847108,6.029558,6.152997,6.254304,6.301397,6.292434", \ - "5.454999,5.832154,6.014253,6.144563,6.172722,6.199452,6.286110", \ - "5.475300,5.867172,6.048109,6.158607,6.242902,6.208236,6.365906", \ - "5.573571,5.942070,6.119399,6.239474,6.291049,6.319134,6.380945", \ - "5.685807,6.091787,6.295511,6.411002,6.458655,6.486760,6.528268", \ - "5.867414,6.277419,6.488689,6.621535,6.682816,6.760179,6.775398"); - } - } - } - - } - - - /****************************************************************************************** - Module : FA_X1 - Cell Description : Combinational cell (FA_X1) with drive strength X1 - *******************************************************************************************/ - - cell (FA_X1) { - - drive_strength : 1; - - area : 4.256000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 46.586748; - - leakage_power () { - when : "!A & !B & !CI"; - value : 32.894890; - } - leakage_power () { - when : "!A & !B & CI"; - value : 40.882841; - } - leakage_power () { - when : "!A & B & !CI"; - value : 47.960911; - } - leakage_power () { - when : "!A & B & CI"; - value : 46.061975; - } - leakage_power () { - when : "A & !B & !CI"; - value : 43.700123; - } - leakage_power () { - when : "A & !B & CI"; - value : 49.445989; - } - leakage_power () { - when : "A & B & !CI"; - value : 55.557710; - } - leakage_power () { - when : "A & B & CI"; - value : 56.189545; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.700986; - fall_capacitance : 3.539237; - rise_capacitance : 3.700986; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.473161; - fall_capacitance : 3.356388; - rise_capacitance : 3.473161; - } - - pin (CI) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.739305; - fall_capacitance : 2.631900; - rise_capacitance : 2.739305; - } - - pin (CO) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.119600; - function : "((A & B) | (CI & (A | B)))"; - - timing () { - - related_pin : "A"; - when : "!B & CI"; - sdf_cond : "(B == 1'b0) && (CI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.255489,0.274530,0.291984,0.318328,0.357107,0.415252,0.505561", \ - "0.257397,0.276435,0.293897,0.320198,0.358946,0.417031,0.507293", \ - "0.268316,0.287306,0.304711,0.331015,0.369716,0.427747,0.517954", \ - "0.297356,0.316073,0.333492,0.359723,0.398389,0.456391,0.546548", \ - "0.348781,0.367522,0.384849,0.411024,0.449660,0.507627,0.597764", \ - "0.423965,0.442902,0.460321,0.486578,0.525262,0.583270,0.673427", \ - "0.510626,0.531888,0.551088,0.579439,0.620054,0.679366,0.770065"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.089284,0.106695,0.125334,0.159408,0.224378,0.352591,0.607092", \ - "0.094877,0.112298,0.130932,0.165019,0.230002,0.358232,0.612728", \ - "0.110720,0.128115,0.146729,0.180777,0.245746,0.374007,0.628538", \ - "0.137925,0.155224,0.173826,0.207787,0.272668,0.400913,0.655492", \ - "0.170210,0.187848,0.207057,0.241664,0.306796,0.434931,0.689504", \ - "0.203562,0.222155,0.242119,0.277420,0.342962,0.471515,0.725928", \ - "0.233820,0.254201,0.275303,0.311852,0.377909,0.506362,0.761171"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.038970,0.045125,0.051088,0.061011,0.077802,0.107240,0.161593", \ - "0.038922,0.045058,0.051013,0.060919,0.077693,0.107144,0.161504", \ - "0.038843,0.045009,0.050946,0.060843,0.077596,0.107047,0.161394", \ - "0.038836,0.044951,0.050911,0.060816,0.077547,0.106980,0.161304", \ - "0.038832,0.044931,0.050929,0.060837,0.077557,0.106965,0.161260", \ - "0.040340,0.046080,0.051821,0.061459,0.077967,0.107188,0.161352", \ - "0.048261,0.054105,0.059276,0.067833,0.082651,0.109858,0.162549"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.022401,0.033382,0.047122,0.075572,0.135081,0.256425,0.498636", \ - "0.022398,0.033382,0.047102,0.075560,0.135081,0.256423,0.498635", \ - "0.022339,0.033346,0.047082,0.075554,0.135080,0.256421,0.498635", \ - "0.022895,0.033746,0.047361,0.075674,0.135098,0.256414,0.498632", \ - "0.024594,0.035811,0.049275,0.077112,0.135602,0.256434,0.498623", \ - "0.027346,0.038463,0.051780,0.078875,0.136944,0.257068,0.498618", \ - "0.031772,0.042420,0.055427,0.081707,0.138151,0.258007,0.499167"); - } - } - - timing () { - - related_pin : "A"; - when : "B & !CI"; - sdf_cond : "(B == 1'b1) && (CI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.235441,0.254005,0.271536,0.298839,0.340386,0.403112,0.498583", \ - "0.239611,0.258185,0.275719,0.303021,0.344551,0.407270,0.502733", \ - "0.255699,0.274225,0.291746,0.318999,0.360482,0.423161,0.518609", \ - "0.286827,0.304956,0.322401,0.349544,0.390901,0.453488,0.548893", \ - "0.333541,0.351919,0.369226,0.396173,0.437260,0.499668,0.594984", \ - "0.395527,0.414394,0.431900,0.458921,0.499988,0.562370,0.657699", \ - "0.464046,0.484070,0.502427,0.530398,0.572792,0.637800,0.735097"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.096609,0.113681,0.132150,0.166240,0.231622,0.360242,0.615346", \ - "0.101288,0.118351,0.136806,0.170875,0.236239,0.364830,0.619910", \ - "0.117958,0.135010,0.153432,0.187444,0.252728,0.381269,0.636327", \ - "0.150651,0.167346,0.185646,0.219487,0.284588,0.413031,0.668095", \ - "0.190458,0.207966,0.226566,0.260549,0.325434,0.453614,0.708555", \ - "0.227309,0.246737,0.266267,0.300707,0.365693,0.493784,0.748459", \ - "0.258493,0.280561,0.301795,0.337408,0.402459,0.530280,0.784935"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.036771,0.043623,0.050756,0.062986,0.082934,0.114378,0.168959", \ - "0.036778,0.043596,0.050724,0.062955,0.082910,0.114365,0.168952", \ - "0.036740,0.043559,0.050666,0.062861,0.082813,0.114303,0.168927", \ - "0.036625,0.043414,0.050529,0.062655,0.082603,0.114163,0.168856", \ - "0.036428,0.043158,0.050219,0.062299,0.082234,0.113909,0.168725", \ - "0.038838,0.045151,0.051632,0.063024,0.082546,0.114066,0.168805", \ - "0.043104,0.049400,0.055582,0.066610,0.086767,0.118831,0.171656"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.022242,0.033242,0.047134,0.075960,0.135812,0.257040,0.499513", \ - "0.022226,0.033217,0.047115,0.075915,0.135747,0.256987,0.499483", \ - "0.022183,0.033170,0.047080,0.075859,0.135676,0.256899,0.499413", \ - "0.022344,0.033249,0.047084,0.075831,0.135632,0.256834,0.499336", \ - "0.025400,0.035519,0.048674,0.076659,0.135762,0.256801,0.499289", \ - "0.030227,0.039636,0.051707,0.078382,0.136736,0.257133,0.499287", \ - "0.036198,0.045655,0.056729,0.081359,0.137751,0.257861,0.499634"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & CI"; - sdf_cond : "(A == 1'b0) && (CI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.258321,0.277371,0.294778,0.321119,0.359888,0.418010,0.508280", \ - "0.262150,0.281146,0.298575,0.324856,0.363592,0.421649,0.511837", \ - "0.279512,0.298518,0.315911,0.342177,0.380875,0.438905,0.529056", \ - "0.309521,0.328189,0.345603,0.371857,0.410545,0.468568,0.558694", \ - "0.354518,0.373575,0.390945,0.417171,0.455829,0.513843,0.603954", \ - "0.416730,0.435899,0.453347,0.479675,0.518436,0.576499,0.666648", \ - "0.489389,0.509879,0.528513,0.556334,0.596673,0.656054,0.746843"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.094690,0.111534,0.129804,0.163587,0.228513,0.356951,0.611771", \ - "0.099804,0.116662,0.134941,0.168724,0.233666,0.362103,0.616929", \ - "0.115498,0.132336,0.150603,0.184354,0.249281,0.377732,0.632581", \ - "0.143432,0.160184,0.178404,0.212052,0.276876,0.405310,0.660179", \ - "0.178612,0.195469,0.213919,0.247809,0.312605,0.440924,0.695777", \ - "0.215283,0.233314,0.252511,0.286944,0.351880,0.480130,0.734863", \ - "0.249627,0.269512,0.289780,0.325086,0.390323,0.518456,0.773092"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.038945,0.045106,0.051061,0.060976,0.077783,0.107201,0.161531", \ - "0.038867,0.045032,0.050953,0.060864,0.077665,0.107073,0.161388", \ - "0.038875,0.044967,0.050922,0.060838,0.077615,0.107012,0.161313", \ - "0.038820,0.044981,0.050905,0.060828,0.077599,0.106980,0.161271", \ - "0.038847,0.044941,0.050912,0.060820,0.077614,0.106982,0.161262", \ - "0.040161,0.046055,0.051766,0.061414,0.077985,0.107181,0.161346", \ - "0.044608,0.050748,0.056439,0.065781,0.081606,0.109551,0.162485"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.021786,0.032734,0.046554,0.075318,0.135178,0.256769,0.498992", \ - "0.021785,0.032744,0.046553,0.075307,0.135178,0.256769,0.498993", \ - "0.021785,0.032732,0.046554,0.075307,0.135179,0.256771,0.498995", \ - "0.022067,0.032948,0.046706,0.075371,0.135192,0.256758,0.498984", \ - "0.023953,0.034573,0.047961,0.076063,0.135406,0.256782,0.498976", \ - "0.026789,0.037139,0.050098,0.077464,0.136074,0.256987,0.499005", \ - "0.030870,0.040995,0.053382,0.079660,0.137038,0.257431,0.499181"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !CI"; - sdf_cond : "(A == 1'b1) && (CI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.255600,0.274131,0.291176,0.316890,0.355135,0.413338,0.503938", \ - "0.260131,0.278711,0.295712,0.321442,0.359690,0.417891,0.508507", \ - "0.278151,0.296711,0.313720,0.339452,0.377702,0.435920,0.526548", \ - "0.310192,0.328445,0.345437,0.371163,0.409410,0.467643,0.558272", \ - "0.356409,0.374803,0.391834,0.417531,0.455765,0.513983,0.604604", \ - "0.418552,0.437330,0.454488,0.480289,0.518647,0.576953,0.667590", \ - "0.489719,0.509870,0.528164,0.555541,0.595658,0.655482,0.746877"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.095868,0.112927,0.131392,0.165486,0.230851,0.359486,0.614565", \ - "0.100722,0.117787,0.136233,0.170291,0.235630,0.364224,0.619265", \ - "0.115933,0.132986,0.151416,0.185428,0.250713,0.379258,0.634254", \ - "0.143764,0.160761,0.179113,0.213015,0.278166,0.406632,0.661622", \ - "0.179279,0.196200,0.214795,0.248854,0.313931,0.442289,0.697253", \ - "0.216726,0.234776,0.253986,0.288502,0.353667,0.481976,0.736820", \ - "0.252339,0.272069,0.292328,0.327624,0.393005,0.521123,0.775844"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.037595,0.043589,0.049480,0.059431,0.076892,0.107281,0.161745", \ - "0.037606,0.043593,0.049464,0.059417,0.076897,0.107297,0.161765", \ - "0.037616,0.043584,0.049454,0.059432,0.076889,0.107309,0.161778", \ - "0.037608,0.043594,0.049473,0.059449,0.076901,0.107313,0.161782", \ - "0.037584,0.043582,0.049502,0.059462,0.076924,0.107319,0.161770", \ - "0.039006,0.044817,0.050471,0.060140,0.077351,0.107536,0.161859", \ - "0.043451,0.049503,0.055159,0.064673,0.081292,0.110219,0.163152"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.022235,0.033242,0.047159,0.075956,0.135811,0.257044,0.499480", \ - "0.022215,0.033215,0.047088,0.075898,0.135745,0.256975,0.499429", \ - "0.022186,0.033179,0.047066,0.075851,0.135684,0.256896,0.499342", \ - "0.022375,0.033283,0.047135,0.075880,0.135651,0.256853,0.499294", \ - "0.024192,0.034819,0.048250,0.076459,0.135797,0.256864,0.499280", \ - "0.026855,0.037221,0.050260,0.077761,0.136411,0.257042,0.499298", \ - "0.030739,0.040816,0.053282,0.079763,0.137280,0.257455,0.499464"); - } - } - - timing () { - - related_pin : "CI"; - when : "!A & B"; - sdf_cond : "(A == 1'b0) && (B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.211896,0.230833,0.248836,0.277298,0.321483,0.386639,0.483213", \ - "0.214682,0.233623,0.251641,0.280111,0.324295,0.389463,0.486040", \ - "0.227155,0.246066,0.264072,0.292537,0.336722,0.401885,0.498460", \ - "0.257929,0.276586,0.294560,0.323027,0.367177,0.432348,0.528924", \ - "0.310751,0.329355,0.347245,0.375607,0.419760,0.484939,0.581522", \ - "0.383842,0.403439,0.421787,0.450491,0.494920,0.560390,0.657106", \ - "0.463227,0.485275,0.505779,0.537403,0.585068,0.653153,0.750979"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.089864,0.106718,0.124998,0.158776,0.223718,0.352151,0.606969", \ - "0.094597,0.111457,0.129747,0.163528,0.228461,0.356903,0.611724", \ - "0.111406,0.128276,0.146523,0.180234,0.245137,0.373587,0.628443", \ - "0.143772,0.160257,0.178425,0.212016,0.276748,0.405138,0.660042", \ - "0.181198,0.198625,0.217139,0.250944,0.315517,0.443611,0.698375", \ - "0.215202,0.234732,0.254285,0.288611,0.353273,0.481301,0.735742", \ - "0.243276,0.265528,0.286951,0.322621,0.387479,0.515165,0.769547"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.037307,0.044576,0.052366,0.066227,0.087651,0.117725,0.170528", \ - "0.037308,0.044560,0.052374,0.066223,0.087655,0.117722,0.170529", \ - "0.037303,0.044554,0.052372,0.066228,0.087647,0.117727,0.170519", \ - "0.037298,0.044558,0.052390,0.066239,0.087664,0.117732,0.170521", \ - "0.037262,0.044631,0.052483,0.066351,0.087762,0.117777,0.170531", \ - "0.041541,0.048099,0.055109,0.068052,0.088943,0.118497,0.170867", \ - "0.049857,0.056990,0.064101,0.076727,0.096419,0.123200,0.173016"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.021776,0.032726,0.046568,0.075291,0.135171,0.256766,0.498994", \ - "0.021787,0.032736,0.046554,0.075303,0.135179,0.256765,0.498994", \ - "0.021767,0.032724,0.046543,0.075293,0.135172,0.256764,0.498993", \ - "0.022162,0.032966,0.046716,0.075336,0.135174,0.256742,0.498970", \ - "0.025520,0.035521,0.048518,0.076351,0.135391,0.256714,0.498945", \ - "0.030647,0.039921,0.051792,0.078189,0.136445,0.257042,0.498922", \ - "0.036827,0.046262,0.057219,0.081497,0.137612,0.257739,0.499220"); - } - } - - timing () { - - related_pin : "CI"; - when : "A & !B"; - sdf_cond : "(A == 1'b1) && (B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.229935,0.248480,0.265527,0.291245,0.329492,0.387687,0.478292", \ - "0.232961,0.251514,0.268548,0.294285,0.332539,0.390742,0.481340", \ - "0.245535,0.264109,0.281098,0.306835,0.345084,0.403294,0.493893", \ - "0.275918,0.294208,0.311213,0.336921,0.375163,0.433367,0.523973", \ - "0.328125,0.346411,0.363337,0.388998,0.427220,0.485431,0.576036", \ - "0.402702,0.421440,0.438586,0.464392,0.502752,0.561068,0.651709", \ - "0.485713,0.506864,0.525974,0.554210,0.594931,0.655026,0.746370"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.085944,0.103567,0.122266,0.156364,0.221323,0.349522,0.604006", \ - "0.090665,0.108292,0.126990,0.161085,0.226052,0.354259,0.608745", \ - "0.107484,0.125085,0.143756,0.177768,0.242695,0.370922,0.625453", \ - "0.139083,0.156431,0.174997,0.208891,0.273667,0.401835,0.656410", \ - "0.174219,0.192833,0.212064,0.246400,0.311127,0.438992,0.693425", \ - "0.205640,0.226877,0.247571,0.282817,0.347768,0.475584,0.729641", \ - "0.231088,0.255373,0.278397,0.315588,0.381136,0.508593,0.762588"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.037599,0.043586,0.049445,0.059410,0.076878,0.107276,0.161741", \ - "0.037597,0.043583,0.049449,0.059405,0.076879,0.107272,0.161743", \ - "0.037599,0.043572,0.049439,0.059429,0.076881,0.107274,0.161743", \ - "0.037603,0.043591,0.049470,0.059442,0.076901,0.107281,0.161745", \ - "0.037545,0.043604,0.049525,0.059506,0.076954,0.107307,0.161748", \ - "0.040194,0.045629,0.050977,0.060523,0.077608,0.107648,0.161886", \ - "0.048221,0.053995,0.059095,0.067743,0.083311,0.111131,0.163419"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.022892,0.033679,0.047283,0.075630,0.135086,0.256402,0.498619", \ - "0.022898,0.033667,0.047299,0.075638,0.135083,0.256407,0.498624", \ - "0.022900,0.033680,0.047318,0.075656,0.135088,0.256397,0.498618", \ - "0.023813,0.034228,0.047644,0.075823,0.135131,0.256375,0.498593", \ - "0.028143,0.037633,0.050135,0.077238,0.135531,0.256370,0.498576", \ - "0.034449,0.043222,0.054506,0.079809,0.136837,0.256788,0.498562", \ - "0.041717,0.050847,0.061312,0.084292,0.138540,0.257573,0.498912"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & CI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.440302,3.501662,3.538139,3.598310,3.668335,3.695921,3.722309", \ - "3.432495,3.475803,3.493107,3.559081,3.630286,3.692208,3.718329", \ - "3.403035,3.460239,3.477482,3.528306,3.601624,3.660541,3.686133", \ - "3.392088,3.446484,3.463224,3.524916,3.593992,3.619986,3.645207", \ - "3.410601,3.456842,3.491563,3.555271,3.621028,3.645825,3.670713", \ - "3.492500,3.531631,3.561116,3.619107,3.678684,3.699111,3.722181", \ - "3.639105,3.675988,3.679159,3.727672,3.746472,3.796833,3.816844"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("1.408554,1.459082,1.509302,1.588484,1.707259,1.862079,1.868294", \ - "1.406359,1.457444,1.512418,1.585789,1.706726,1.848809,1.889277", \ - "1.392169,1.441254,1.497127,1.571319,1.691961,1.836743,1.874385", \ - "1.374781,1.421930,1.471488,1.546727,1.650758,1.824938,1.834346", \ - "1.380933,1.421661,1.464771,1.529490,1.646581,1.798606,1.809365", \ - "1.415085,1.438775,1.483194,1.536134,1.657466,1.798595,1.809611", \ - "1.546262,1.565269,1.595897,1.638726,1.718233,1.824345,1.868277"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.403962,3.468950,3.506295,3.599056,3.693980,3.735532,3.756439", \ - "3.390073,3.455035,3.511165,3.585303,3.680751,3.722276,3.743271", \ - "3.372048,3.432190,3.470516,3.559169,3.654344,3.696266,3.717106", \ - "3.358664,3.417887,3.463306,3.537661,3.632839,3.674914,3.696226", \ - "3.357536,3.409279,3.452766,3.547305,3.604621,3.682201,3.704137", \ - "3.384326,3.449842,3.490180,3.554639,3.644971,3.693937,3.706726", \ - "3.444022,3.488856,3.532321,3.599425,3.656474,3.734123,3.755860"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("1.536588,1.589584,1.650340,1.736321,1.869035,2.096713,2.112048", \ - "1.527216,1.578676,1.639544,1.730447,1.874755,2.084872,2.100794", \ - "1.510306,1.561970,1.620111,1.711770,1.831468,2.060454,2.077560", \ - "1.500238,1.548900,1.604934,1.688477,1.828134,2.021116,2.073977", \ - "1.522692,1.570344,1.615815,1.694550,1.816891,2.033108,2.068489", \ - "1.580634,1.616800,1.659316,1.727028,1.859201,2.061202,2.077705", \ - "1.732338,1.755962,1.778698,1.830749,1.929645,2.098076,2.146497"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & CI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.514230,3.575858,3.606998,3.657089,3.728376,3.755905,3.781834", \ - "3.502727,3.557012,3.574368,3.644755,3.715223,3.741969,3.767300", \ - "3.493814,3.544057,3.577266,3.626890,3.691325,3.752009,3.776721", \ - "3.488414,3.545088,3.580922,3.614474,3.684545,3.744916,3.769627", \ - "3.491232,3.549191,3.573727,3.637450,3.704769,3.730375,3.755147", \ - "3.525552,3.571708,3.605347,3.647033,3.711350,3.734802,3.759373", \ - "3.588504,3.639138,3.658380,3.706513,3.768067,3.789178,3.812790"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("1.610183,1.658358,1.711189,1.788810,1.851568,2.075157,2.094133", \ - "1.597929,1.647506,1.701389,1.778802,1.854191,2.066374,2.109656", \ - "1.580167,1.628684,1.680926,1.757811,1.832327,2.035739,2.090806", \ - "1.551793,1.594659,1.650461,1.722118,1.806386,2.016790,2.039103", \ - "1.550163,1.590109,1.629749,1.704786,1.791000,1.975308,2.032766", \ - "1.568555,1.603505,1.643014,1.713726,1.804207,1.987073,2.010388", \ - "1.686542,1.709853,1.739118,1.778800,1.851654,2.015090,2.038047"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.661689,3.703801,3.752781,3.821297,3.895997,3.926540,3.953731", \ - "3.649059,3.702873,3.752591,3.800583,3.876064,3.940916,3.968216", \ - "3.634377,3.695577,3.733924,3.808904,3.882757,3.926893,3.940528", \ - "3.628041,3.680522,3.737607,3.786729,3.861305,3.892148,3.919805", \ - "3.627526,3.682388,3.716528,3.796554,3.869456,3.899756,3.927970", \ - "3.649363,3.704475,3.730778,3.795516,3.865733,3.896301,3.945501", \ - "3.697886,3.738662,3.788772,3.842268,3.879296,3.941524,3.969056"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("1.514250,1.566266,1.629127,1.711175,1.857481,2.073509,2.090409", \ - "1.497441,1.551440,1.608878,1.696215,1.842376,2.050077,2.066633", \ - "1.481713,1.536512,1.593329,1.675960,1.806868,2.031617,2.047757", \ - "1.473044,1.517735,1.574795,1.657972,1.805892,2.008534,2.010379", \ - "1.476642,1.520558,1.570178,1.649695,1.775996,1.996295,2.013527", \ - "1.515719,1.551337,1.597658,1.665990,1.800030,1.989240,2.029817", \ - "1.633547,1.660361,1.698186,1.749632,1.860093,2.009043,2.059385"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "!A & B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.068142,3.142747,3.190440,3.253577,3.349265,3.427376,3.446747", \ - "3.059576,3.115381,3.183175,3.247743,3.347974,3.391330,3.410779", \ - "3.041607,3.095079,3.162627,3.213391,3.314662,3.393095,3.412540", \ - "3.041900,3.095152,3.161591,3.204637,3.305845,3.384829,3.405073", \ - "3.065807,3.120875,3.183373,3.230497,3.328602,3.406853,3.428036", \ - "3.144764,3.191640,3.248105,3.305914,3.403143,3.437510,3.457161", \ - "3.278599,3.325620,3.360219,3.409923,3.464627,3.539061,3.556620"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("1.890406,1.936696,1.990053,2.063978,2.153659,2.353438,2.374611", \ - "1.891157,1.940571,1.993455,2.070604,2.147991,2.356409,2.406118", \ - "1.889362,1.933259,1.987587,2.063374,2.131885,2.341241,2.392605", \ - "1.875848,1.919155,1.966307,2.033195,2.108500,2.329394,2.353182", \ - "1.897169,1.933606,1.975998,2.037588,2.132133,2.319228,2.342116", \ - "1.940249,1.968499,2.005339,2.065281,2.152221,2.329600,2.351950", \ - "2.049413,2.073171,2.102599,2.143628,2.215104,2.382569,2.406575"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.241051,3.289355,3.347174,3.407967,3.482177,3.512257,3.538868", \ - "3.232025,3.281618,3.339553,3.406516,3.480814,3.510624,3.537272", \ - "3.214651,3.262692,3.320308,3.374908,3.448713,3.479182,3.505941", \ - "3.217074,3.265422,3.304164,3.387567,3.442252,3.472831,3.500235", \ - "3.234475,3.293231,3.330216,3.395081,3.466700,3.496750,3.524877", \ - "3.308901,3.352832,3.403319,3.460017,3.489910,3.551204,3.578053", \ - "3.447977,3.471447,3.513205,3.544999,3.607143,3.630267,3.655112"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("1.668161,1.717649,1.774392,1.849035,1.965486,2.106201,2.147075", \ - "1.668467,1.721269,1.774751,1.851108,1.971750,2.136889,2.143615", \ - "1.659518,1.711069,1.762818,1.836382,1.961138,2.115577,2.123501", \ - "1.647326,1.688345,1.737244,1.803815,1.931567,2.074651,2.084316", \ - "1.675736,1.706080,1.751194,1.804855,1.939361,2.074218,2.083907", \ - "1.740653,1.761036,1.797568,1.846029,1.970232,2.104985,2.106585", \ - "1.891466,1.900348,1.925504,1.955856,2.066381,2.168993,2.176912"); - } - } - } - - pin (S) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.159720; - function : "(CI ^ (A ^ B))"; - - timing () { - - related_pin : "A"; - when : "!B & !CI"; - sdf_cond : "(B == 1'b0) && (CI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.355412,0.377016,0.396345,0.424744,0.465691,0.526155,0.620498", \ - "0.358790,0.380401,0.399771,0.428131,0.469069,0.529532,0.623841", \ - "0.375008,0.396616,0.415996,0.444336,0.485269,0.545726,0.640044", \ - "0.403371,0.424965,0.444040,0.472292,0.513210,0.573666,0.667974", \ - "0.442518,0.464102,0.483297,0.511291,0.552200,0.612657,0.706960", \ - "0.493499,0.515044,0.534403,0.562744,0.603636,0.664095,0.758428", \ - "0.554513,0.576729,0.596687,0.625749,0.667280,0.728154,0.822759"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.080530,0.097555,0.115841,0.149715,0.214837,0.343134,0.598104", \ - "0.086003,0.103024,0.121310,0.155180,0.220302,0.348599,0.603557", \ - "0.102903,0.119903,0.138154,0.171977,0.237088,0.365399,0.620397", \ - "0.131592,0.148524,0.166489,0.200114,0.265095,0.393382,0.648415", \ - "0.165679,0.183286,0.201397,0.234672,0.299510,0.427692,0.682746", \ - "0.200295,0.219007,0.237781,0.271321,0.336107,0.464099,0.719128", \ - "0.231627,0.252035,0.271850,0.306238,0.371040,0.498821,0.753606"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.047991,0.054038,0.059702,0.069004,0.085225,0.114928,0.171688", \ - "0.047993,0.054017,0.059703,0.068999,0.085209,0.114896,0.171637", \ - "0.047992,0.054013,0.059694,0.068989,0.085203,0.114887,0.171627", \ - "0.047969,0.054022,0.059685,0.068993,0.085190,0.114893,0.171613", \ - "0.047960,0.054023,0.059689,0.068995,0.085191,0.114890,0.171618", \ - "0.047996,0.054066,0.059750,0.069082,0.085278,0.114960,0.171656", \ - "0.051086,0.056957,0.062442,0.071332,0.086916,0.115963,0.172255"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019419,0.030588,0.044613,0.073673,0.133679,0.255167,0.498641", \ - "0.019410,0.030577,0.044601,0.073651,0.133682,0.255177,0.498644", \ - "0.019402,0.030566,0.044591,0.073642,0.133667,0.255161,0.498644", \ - "0.019738,0.030704,0.044626,0.073626,0.133646,0.255153,0.498637", \ - "0.021508,0.032171,0.045691,0.074120,0.133724,0.255176,0.498651", \ - "0.024212,0.034524,0.047528,0.075189,0.134131,0.255239,0.498701", \ - "0.028173,0.038195,0.050515,0.076978,0.134811,0.255540,0.498790"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & CI"; - sdf_cond : "(B == 1'b0) && (CI == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.253515,0.269524,0.283714,0.304746,0.336291,0.385819,0.468132", \ - "0.259447,0.275445,0.289660,0.310684,0.342222,0.391756,0.474073", \ - "0.275129,0.291141,0.305346,0.326379,0.357912,0.407446,0.489769", \ - "0.301277,0.317034,0.331207,0.352208,0.383731,0.433248,0.515548", \ - "0.334788,0.349853,0.363844,0.384737,0.416178,0.465681,0.547986", \ - "0.370729,0.385447,0.399125,0.419736,0.450973,0.500350,0.582599", \ - "0.405637,0.420117,0.433501,0.453782,0.484717,0.533827,0.615837"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.388956,0.405245,0.420809,0.449184,0.507949,0.631576,0.883804", \ - "0.391084,0.407454,0.423091,0.451452,0.510218,0.633837,0.886058", \ - "0.402010,0.418378,0.433991,0.462386,0.521138,0.644764,0.896994", \ - "0.430991,0.447110,0.462746,0.491113,0.549871,0.673492,0.925717", \ - "0.482252,0.498399,0.513987,0.542368,0.601129,0.724721,0.976947", \ - "0.557495,0.573741,0.589313,0.617682,0.676411,0.799944,1.052125", \ - "0.652919,0.668980,0.684165,0.711883,0.769859,0.892810,1.144647"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.030943,0.036032,0.041111,0.049757,0.065139,0.093928,0.150659", \ - "0.030948,0.036042,0.041100,0.049760,0.065158,0.093942,0.150656", \ - "0.030951,0.036047,0.041093,0.049760,0.065161,0.093943,0.150667", \ - "0.030955,0.036047,0.041120,0.049768,0.065162,0.093945,0.150668", \ - "0.030982,0.036064,0.041128,0.049784,0.065170,0.093955,0.150673", \ - "0.030987,0.036060,0.041087,0.049746,0.065159,0.093949,0.150686", \ - "0.031168,0.036218,0.041271,0.049880,0.065168,0.093835,0.150433"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.026916,0.036380,0.048654,0.075231,0.133372,0.254307,0.497584", \ - "0.027097,0.036453,0.048657,0.075222,0.133376,0.254327,0.497601", \ - "0.027164,0.036467,0.048665,0.075225,0.133378,0.254321,0.497611", \ - "0.027182,0.036480,0.048663,0.075219,0.133365,0.254332,0.497622", \ - "0.027219,0.036501,0.048691,0.075250,0.133376,0.254328,0.497620", \ - "0.027329,0.036603,0.048765,0.075268,0.133386,0.254331,0.497608", \ - "0.028266,0.037415,0.049382,0.075588,0.133475,0.254358,0.497629"); - } - } - - timing () { - - related_pin : "A"; - when : "B & !CI"; - sdf_cond : "(B == 1'b1) && (CI == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.242163,0.258522,0.273681,0.297530,0.334677,0.390686,0.478994", \ - "0.246949,0.263352,0.278549,0.302514,0.339941,0.396235,0.484712", \ - "0.263427,0.279852,0.295085,0.319105,0.356757,0.413283,0.501903", \ - "0.294644,0.310765,0.325979,0.350019,0.387737,0.444325,0.532991", \ - "0.334854,0.350537,0.365491,0.389367,0.426982,0.483555,0.572192", \ - "0.375346,0.390477,0.405007,0.428448,0.465801,0.522199,0.610748", \ - "0.413302,0.427685,0.441669,0.464556,0.501441,0.557541,0.645780"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.380007,0.395629,0.410988,0.439116,0.497879,0.621710,0.874177", \ - "0.384232,0.399860,0.415221,0.443368,0.502141,0.625959,0.878439", \ - "0.400266,0.415860,0.431215,0.459363,0.518128,0.641977,0.894454", \ - "0.431148,0.446329,0.461693,0.489819,0.548598,0.672441,0.924934", \ - "0.477165,0.492695,0.508018,0.536164,0.594945,0.718791,0.971283", \ - "0.539847,0.555241,0.570433,0.598492,0.657212,0.780978,1.033425", \ - "0.614008,0.629197,0.644047,0.671550,0.729613,0.852909,1.105066"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.030620,0.037064,0.044112,0.056411,0.074878,0.103729,0.159020", \ - "0.030657,0.037127,0.044237,0.056713,0.075391,0.104142,0.159251", \ - "0.030658,0.037174,0.044338,0.056934,0.075796,0.104497,0.159467", \ - "0.030661,0.037193,0.044348,0.056995,0.075907,0.104593,0.159530", \ - "0.030681,0.037217,0.044375,0.057031,0.075969,0.104623,0.159561", \ - "0.030637,0.037171,0.044323,0.057008,0.075990,0.104659,0.159564", \ - "0.030819,0.037368,0.044522,0.057144,0.076018,0.104558,0.159367"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024754,0.034447,0.047085,0.074528,0.133471,0.254660,0.497920", \ - "0.024745,0.034441,0.047109,0.074507,0.133471,0.254673,0.497925", \ - "0.024730,0.034434,0.047066,0.074527,0.133469,0.254674,0.497927", \ - "0.024698,0.034400,0.047042,0.074513,0.133460,0.254678,0.497931", \ - "0.024641,0.034367,0.047025,0.074496,0.133462,0.254671,0.497926", \ - "0.024680,0.034396,0.047046,0.074504,0.133454,0.254674,0.497932", \ - "0.025301,0.034995,0.047530,0.074766,0.133565,0.254709,0.497957"); - } - } - - timing () { - - related_pin : "A"; - when : "B & CI"; - sdf_cond : "(B == 1'b1) && (CI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.236566,0.253390,0.268285,0.290538,0.323605,0.374533,0.458012", \ - "0.241163,0.258000,0.272922,0.295173,0.328242,0.379163,0.462644", \ - "0.259680,0.276506,0.291428,0.313687,0.346761,0.397683,0.481167", \ - "0.292632,0.309516,0.324216,0.346255,0.379321,0.430258,0.513744", \ - "0.339163,0.355980,0.370944,0.393176,0.426020,0.476964,0.560453", \ - "0.400190,0.417406,0.432595,0.454966,0.488211,0.539290,0.622874", \ - "0.468723,0.487164,0.503429,0.527278,0.562201,0.614873,0.699560"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.115891,0.134839,0.154477,0.189630,0.255489,0.384129,0.639456", \ - "0.120731,0.139665,0.159306,0.194462,0.260325,0.388967,0.644282", \ - "0.133774,0.152713,0.172351,0.207502,0.273356,0.402005,0.657336", \ - "0.155913,0.174837,0.194388,0.229441,0.295233,0.423849,0.679196", \ - "0.184954,0.204331,0.224183,0.259320,0.325079,0.453618,0.708963", \ - "0.216449,0.236629,0.256878,0.292135,0.358063,0.486529,0.741831", \ - "0.247318,0.268661,0.289708,0.325641,0.391845,0.520220,0.775423"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.032302,0.037283,0.042190,0.050717,0.066097,0.094947,0.151754", \ - "0.032333,0.037279,0.042190,0.050722,0.066102,0.094945,0.151752", \ - "0.032337,0.037296,0.042193,0.050724,0.066084,0.094959,0.151751", \ - "0.032326,0.037306,0.042200,0.050728,0.066098,0.094953,0.151754", \ - "0.032359,0.037339,0.042247,0.050780,0.066148,0.094981,0.151770", \ - "0.034155,0.038844,0.043489,0.051679,0.066707,0.095313,0.151945", \ - "0.038230,0.043140,0.047796,0.055888,0.070534,0.098324,0.153689"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.023373,0.034679,0.048445,0.076618,0.135448,0.256417,0.499855", \ - "0.023366,0.034675,0.048441,0.076611,0.135447,0.256414,0.499846", \ - "0.023366,0.034666,0.048437,0.076611,0.135438,0.256378,0.499841", \ - "0.023404,0.034701,0.048467,0.076633,0.135456,0.256414,0.499843", \ - "0.024663,0.035771,0.049300,0.077125,0.135612,0.256417,0.499858", \ - "0.026603,0.037638,0.050975,0.078343,0.136194,0.256615,0.499914", \ - "0.029501,0.040425,0.053436,0.080136,0.137103,0.256956,0.500081"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !CI"; - sdf_cond : "(A == 1'b0) && (CI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.347299,0.369021,0.388499,0.417047,0.458227,0.519029,0.613837", \ - "0.349161,0.370905,0.390384,0.418948,0.460149,0.520961,0.615768", \ - "0.362901,0.384643,0.404141,0.432706,0.473890,0.534711,0.629527", \ - "0.390957,0.412695,0.431893,0.460368,0.501581,0.562395,0.657215", \ - "0.433580,0.455336,0.474774,0.503308,0.544506,0.605344,0.700186", \ - "0.494477,0.516190,0.535673,0.564186,0.605384,0.666243,0.761130", \ - "0.571781,0.594316,0.614504,0.643848,0.685724,0.747026,0.842218"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.077967,0.094421,0.112252,0.145555,0.210114,0.337939,0.592515", \ - "0.083856,0.100317,0.118155,0.151470,0.216031,0.343872,0.598441", \ - "0.100668,0.117121,0.134939,0.168221,0.232797,0.360663,0.615277", \ - "0.128388,0.144926,0.162580,0.195728,0.260215,0.388088,0.642757", \ - "0.160611,0.177924,0.195760,0.228763,0.293188,0.420962,0.675673", \ - "0.192633,0.211195,0.229780,0.263003,0.327390,0.455029,0.709689", \ - "0.220522,0.240942,0.260724,0.294884,0.359361,0.486753,0.741260"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.048419,0.054485,0.060188,0.069542,0.085817,0.115635,0.172544", \ - "0.048414,0.054503,0.060214,0.069564,0.085837,0.115631,0.172547", \ - "0.048424,0.054520,0.060213,0.069571,0.085852,0.115647,0.172559", \ - "0.048443,0.054533,0.060226,0.069583,0.085853,0.115662,0.172571", \ - "0.048484,0.054542,0.060259,0.069608,0.085894,0.115692,0.172603", \ - "0.048561,0.054688,0.060421,0.069795,0.086057,0.115826,0.172702", \ - "0.052574,0.058408,0.063826,0.072574,0.087971,0.116991,0.173395"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.018405,0.029484,0.043477,0.072588,0.132810,0.254527,0.498141", \ - "0.018437,0.029509,0.043494,0.072608,0.132823,0.254536,0.498144", \ - "0.018471,0.029539,0.043517,0.072620,0.132818,0.254525,0.498148", \ - "0.019049,0.029916,0.043746,0.072706,0.132851,0.254533,0.498146", \ - "0.020979,0.031559,0.045012,0.073386,0.133053,0.254543,0.498161", \ - "0.023959,0.034167,0.047062,0.074579,0.133529,0.254673,0.498216", \ - "0.028265,0.038209,0.050373,0.076605,0.134260,0.255060,0.498338"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & CI"; - sdf_cond : "(A == 1'b0) && (CI == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.272480,0.288869,0.303438,0.324937,0.356930,0.406895,0.489725", \ - "0.278507,0.294896,0.309487,0.331004,0.362991,0.412978,0.495826", \ - "0.294505,0.310882,0.325484,0.347015,0.379012,0.429006,0.511893", \ - "0.319399,0.335627,0.350199,0.371701,0.403677,0.453698,0.536594", \ - "0.353155,0.368597,0.382871,0.404280,0.436216,0.486213,0.569117", \ - "0.391437,0.406489,0.420500,0.441579,0.473200,0.522980,0.605749", \ - "0.429359,0.444140,0.457860,0.478506,0.509782,0.559229,0.641641"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.391181,0.407442,0.422918,0.451287,0.510107,0.633753,0.886028", \ - "0.394861,0.411097,0.426605,0.454974,0.513818,0.637473,0.889757", \ - "0.412189,0.428410,0.443906,0.472274,0.531105,0.654786,0.907068", \ - "0.442127,0.458046,0.473560,0.501923,0.560766,0.684438,0.936721", \ - "0.486994,0.503311,0.518799,0.547182,0.605984,0.729652,0.981926", \ - "0.549553,0.565727,0.581162,0.609495,0.668295,0.791897,1.044147", \ - "0.628037,0.644062,0.659350,0.687323,0.745654,0.868898,1.120929"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.032199,0.037206,0.042189,0.050812,0.066156,0.094924,0.151640", \ - "0.032216,0.037226,0.042215,0.050820,0.066179,0.094950,0.151669", \ - "0.032313,0.037270,0.042243,0.050870,0.066235,0.095006,0.151733", \ - "0.032310,0.037311,0.042300,0.050922,0.066269,0.095034,0.151769", \ - "0.032332,0.037316,0.042305,0.050941,0.066276,0.095045,0.151784", \ - "0.031775,0.036750,0.041800,0.050513,0.065976,0.094826,0.151647", \ - "0.031724,0.036768,0.041808,0.050446,0.065799,0.094536,0.151274"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.026764,0.036350,0.048624,0.075221,0.133374,0.254342,0.497641", \ - "0.026742,0.036340,0.048608,0.075227,0.133377,0.254340,0.497641", \ - "0.026718,0.036324,0.048597,0.075213,0.133385,0.254352,0.497638", \ - "0.026716,0.036311,0.048618,0.075208,0.133390,0.254347,0.497640", \ - "0.026730,0.036318,0.048601,0.075225,0.133377,0.254345,0.497636", \ - "0.026796,0.036407,0.048672,0.075243,0.133383,0.254352,0.497639", \ - "0.027382,0.036931,0.049127,0.075508,0.133463,0.254361,0.497642"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !CI"; - sdf_cond : "(A == 1'b1) && (CI == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.240651,0.256886,0.271820,0.295099,0.330995,0.385974,0.473842", \ - "0.245609,0.261833,0.276767,0.300056,0.335947,0.390919,0.478783", \ - "0.260611,0.276837,0.291775,0.315051,0.350943,0.405906,0.493763", \ - "0.287396,0.303550,0.318452,0.341699,0.377552,0.432478,0.520310", \ - "0.323223,0.338458,0.353148,0.376300,0.412094,0.467007,0.554835", \ - "0.362958,0.377951,0.392405,0.415270,0.450811,0.505592,0.593327", \ - "0.402470,0.417262,0.431557,0.454263,0.489823,0.544478,0.631787"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.401235,0.416788,0.432209,0.460408,0.519108,0.642940,0.895439", \ - "0.406087,0.421692,0.437107,0.465308,0.524021,0.647833,0.900335", \ - "0.424399,0.439969,0.455412,0.483632,0.542329,0.666161,0.918665", \ - "0.456534,0.471787,0.487214,0.515444,0.574128,0.697975,0.950454", \ - "0.502398,0.517826,0.533271,0.561507,0.620184,0.743997,0.996514", \ - "0.564503,0.580026,0.595354,0.623468,0.682137,0.805931,1.058428", \ - "0.641915,0.657310,0.672431,0.700135,0.758311,0.881752,1.134046"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.030440,0.036693,0.043419,0.054896,0.072793,0.102326,0.158416", \ - "0.030433,0.036685,0.043409,0.054881,0.072784,0.102328,0.158414", \ - "0.030420,0.036672,0.043396,0.054867,0.072770,0.102314,0.158406", \ - "0.030417,0.036672,0.043402,0.054861,0.072751,0.102305,0.158397", \ - "0.030421,0.036674,0.043391,0.054857,0.072725,0.102281,0.158387", \ - "0.030363,0.036606,0.043288,0.054700,0.072560,0.102166,0.158335", \ - "0.030545,0.036847,0.043641,0.055199,0.072997,0.102126,0.157765"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024046,0.033956,0.046916,0.074789,0.133969,0.255122,0.498316", \ - "0.024028,0.033941,0.046902,0.074776,0.133977,0.255132,0.498326", \ - "0.024012,0.033931,0.046893,0.074799,0.133988,0.255140,0.498328", \ - "0.024005,0.033927,0.046915,0.074792,0.133987,0.255140,0.498338", \ - "0.024033,0.033941,0.046927,0.074798,0.133984,0.255137,0.498334", \ - "0.024125,0.034027,0.046966,0.074828,0.133979,0.255130,0.498336", \ - "0.024466,0.034298,0.047189,0.074872,0.133970,0.255116,0.498324"); - } - } - - timing () { - - related_pin : "B"; - when : "A & CI"; - sdf_cond : "(A == 1'b1) && (CI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.218757,0.235178,0.249792,0.271656,0.304212,0.354561,0.437386", \ - "0.223668,0.240130,0.254732,0.276573,0.309147,0.359494,0.442320", \ - "0.241360,0.257815,0.272411,0.294260,0.326837,0.377187,0.460017", \ - "0.273723,0.290162,0.304594,0.326226,0.358785,0.409149,0.491976", \ - "0.320216,0.336635,0.351227,0.372815,0.405371,0.455730,0.538567", \ - "0.379788,0.396841,0.411918,0.434124,0.467080,0.517693,0.600686", \ - "0.445431,0.463773,0.479964,0.503722,0.538558,0.591123,0.675630"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.113884,0.132896,0.152595,0.187867,0.253931,0.382858,0.638562", \ - "0.118400,0.137408,0.157125,0.192394,0.258460,0.387396,0.643083", \ - "0.133094,0.152064,0.171775,0.207036,0.273088,0.402020,0.657734", \ - "0.160728,0.179637,0.199254,0.234449,0.300364,0.429226,0.684952", \ - "0.197873,0.217250,0.236936,0.271701,0.337553,0.466314,0.722043", \ - "0.236701,0.257108,0.277335,0.312441,0.378384,0.507152,0.762794", \ - "0.272785,0.294772,0.315972,0.351870,0.417836,0.546339,0.802042"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.030929,0.035971,0.040928,0.049516,0.064965,0.093837,0.150631", \ - "0.030930,0.035970,0.040930,0.049521,0.064949,0.093837,0.150624", \ - "0.030927,0.035979,0.040928,0.049522,0.064960,0.093840,0.150624", \ - "0.030929,0.035982,0.040937,0.049528,0.064969,0.093838,0.150624", \ - "0.030990,0.036014,0.040999,0.049591,0.065021,0.093875,0.150636", \ - "0.033571,0.038335,0.043013,0.051141,0.066016,0.094451,0.150949", \ - "0.037779,0.042759,0.047515,0.055667,0.070352,0.098129,0.153282"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.023463,0.034808,0.048622,0.076874,0.135808,0.256886,0.500394", \ - "0.023457,0.034805,0.048615,0.076870,0.135811,0.256885,0.500389", \ - "0.023436,0.034788,0.048606,0.076862,0.135802,0.256865,0.500394", \ - "0.023402,0.034754,0.048585,0.076852,0.135789,0.256873,0.500396", \ - "0.024976,0.035968,0.049504,0.077398,0.135974,0.256929,0.500419", \ - "0.027793,0.038389,0.051397,0.078606,0.136684,0.257222,0.500526", \ - "0.032006,0.042270,0.054566,0.080577,0.137408,0.257703,0.500908"); - } - } - - timing () { - - related_pin : "CI"; - when : "!A & !B"; - sdf_cond : "(A == 1'b0) && (B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.322075,0.343780,0.363253,0.391741,0.432839,0.493516,0.588137", \ - "0.321528,0.343258,0.362676,0.391164,0.432249,0.492885,0.587443", \ - "0.326934,0.348575,0.367971,0.396394,0.437424,0.497985,0.592461", \ - "0.351325,0.372923,0.392100,0.420435,0.461410,0.521907,0.616305", \ - "0.398494,0.420091,0.439390,0.467595,0.508493,0.568973,0.663329", \ - "0.469929,0.491444,0.510710,0.538906,0.579791,0.640252,0.734601", \ - "0.561542,0.583981,0.603974,0.632993,0.674284,0.735042,0.829576"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.076386,0.093541,0.111775,0.145323,0.209804,0.337383,0.591730", \ - "0.082169,0.099331,0.117568,0.151136,0.215631,0.343235,0.597562", \ - "0.098274,0.115408,0.133612,0.167139,0.231645,0.359310,0.613687", \ - "0.123745,0.141230,0.159339,0.192798,0.257219,0.384897,0.639360", \ - "0.152191,0.170382,0.188980,0.222795,0.287581,0.415125,0.669643", \ - "0.180114,0.199271,0.218797,0.252928,0.317850,0.445798,0.700199", \ - "0.203125,0.224121,0.244794,0.280349,0.345646,0.473336,0.728050"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.048263,0.054344,0.060035,0.069350,0.085597,0.115368,0.172203", \ - "0.048197,0.054238,0.059933,0.069263,0.085501,0.115259,0.172083", \ - "0.048082,0.054152,0.059837,0.069147,0.085372,0.115116,0.171916", \ - "0.048020,0.054084,0.059768,0.069069,0.085278,0.115006,0.171777", \ - "0.048000,0.054043,0.059702,0.069030,0.085265,0.114956,0.171708", \ - "0.047873,0.054020,0.059797,0.069158,0.085377,0.115029,0.171717", \ - "0.053527,0.058843,0.063902,0.072138,0.087230,0.116127,0.172342"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019416,0.030365,0.044120,0.072807,0.132617,0.254222,0.497879", \ - "0.019431,0.030376,0.044132,0.072803,0.132635,0.254265,0.497877", \ - "0.019394,0.030380,0.044150,0.072835,0.132645,0.254242,0.497881", \ - "0.020227,0.031113,0.044573,0.072983,0.132666,0.254246,0.497885", \ - "0.021640,0.032960,0.046427,0.074459,0.133239,0.254265,0.497894", \ - "0.024535,0.035579,0.048890,0.076002,0.134240,0.254866,0.497887", \ - "0.029275,0.039725,0.052632,0.078819,0.135298,0.255630,0.498414"); - } - } - - timing () { - - related_pin : "CI"; - when : "!A & B"; - sdf_cond : "(A == 1'b0) && (B == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.268047,0.284438,0.299050,0.320587,0.352613,0.402640,0.485562", \ - "0.273287,0.289702,0.304297,0.325859,0.357889,0.407917,0.490836", \ - "0.289527,0.305965,0.320551,0.342086,0.374103,0.424130,0.507054", \ - "0.317890,0.333857,0.348438,0.369998,0.402007,0.452048,0.534985", \ - "0.353678,0.369185,0.383427,0.404720,0.436588,0.486548,0.569448", \ - "0.388517,0.403385,0.417124,0.438033,0.469764,0.519760,0.602769", \ - "0.419770,0.433709,0.446803,0.466908,0.497977,0.547585,0.630579"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.357366,0.373542,0.389074,0.417166,0.475830,0.599529,0.851906", \ - "0.360106,0.376282,0.391844,0.419945,0.478595,0.602306,0.854692", \ - "0.372530,0.388674,0.404209,0.432309,0.490982,0.614672,0.867058", \ - "0.403178,0.419058,0.434585,0.462706,0.521336,0.645031,0.897415", \ - "0.455317,0.471196,0.486684,0.514761,0.573419,0.697104,0.949488", \ - "0.529321,0.545184,0.560542,0.588491,0.647000,0.770586,1.022889", \ - "0.619210,0.634848,0.649691,0.676834,0.734454,0.857345,1.109223"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.032353,0.037326,0.042325,0.050947,0.066294,0.095070,0.151810", \ - "0.032322,0.037326,0.042291,0.050949,0.066304,0.095071,0.151816", \ - "0.032328,0.037325,0.042309,0.050927,0.066306,0.095070,0.151810", \ - "0.032330,0.037328,0.042329,0.050951,0.066296,0.095069,0.151810", \ - "0.032329,0.037329,0.042319,0.050959,0.066294,0.095066,0.151811", \ - "0.031909,0.037044,0.042292,0.051194,0.066705,0.095481,0.152155", \ - "0.031500,0.036753,0.041967,0.050835,0.066420,0.095438,0.152637"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.025835,0.035398,0.047801,0.074827,0.133563,0.254711,0.497973", \ - "0.025836,0.035400,0.047804,0.074837,0.133561,0.254716,0.497978", \ - "0.025842,0.035404,0.047809,0.074845,0.133570,0.254711,0.497972", \ - "0.025841,0.035415,0.047783,0.074858,0.133571,0.254711,0.497971", \ - "0.025903,0.035466,0.047828,0.074855,0.133572,0.254714,0.497978", \ - "0.026179,0.035733,0.048056,0.074951,0.133588,0.254724,0.497979", \ - "0.027245,0.036811,0.048958,0.075486,0.133764,0.254786,0.498001"); - } - } - - timing () { - - related_pin : "CI"; - when : "A & !B"; - sdf_cond : "(A == 1'b1) && (B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.247500,0.263462,0.277626,0.298653,0.330210,0.379762,0.462091", \ - "0.252666,0.268642,0.282801,0.303816,0.335360,0.384921,0.467256", \ - "0.268181,0.284148,0.298309,0.319307,0.350843,0.400395,0.482732", \ - "0.295184,0.310738,0.324798,0.345730,0.377264,0.426824,0.509174", \ - "0.329512,0.344433,0.358152,0.378874,0.410253,0.459727,0.542035", \ - "0.365077,0.379136,0.392205,0.412168,0.443026,0.492279,0.574518", \ - "0.398653,0.411921,0.424335,0.443647,0.473918,0.522534,0.604125"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.375604,0.391168,0.406594,0.434799,0.493513,0.617342,0.869869", \ - "0.378613,0.394181,0.409599,0.437813,0.496529,0.620366,0.872894", \ - "0.391192,0.406773,0.422161,0.450365,0.509079,0.632933,0.885447", \ - "0.421564,0.436859,0.452246,0.480444,0.539158,0.662989,0.915512", \ - "0.473384,0.488692,0.504038,0.532242,0.590940,0.714770,0.967295", \ - "0.548126,0.563475,0.578783,0.606864,0.665495,0.789258,1.041764", \ - "0.640802,0.655878,0.670652,0.697886,0.755643,0.878782,1.130889"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.030967,0.036055,0.041122,0.049785,0.065174,0.093959,0.150683", \ - "0.030968,0.036061,0.041120,0.049791,0.065175,0.093955,0.150692", \ - "0.030970,0.036059,0.041147,0.049795,0.065170,0.093960,0.150688", \ - "0.030982,0.036078,0.041150,0.049815,0.065192,0.093960,0.150690", \ - "0.030997,0.036097,0.041161,0.049818,0.065190,0.093965,0.150691", \ - "0.030259,0.035380,0.040476,0.049254,0.064899,0.093935,0.150754", \ - "0.030358,0.035522,0.040642,0.049324,0.064658,0.093355,0.149989"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024051,0.033959,0.046948,0.074796,0.133981,0.255149,0.498366", \ - "0.024049,0.033962,0.046922,0.074799,0.133992,0.255145,0.498364", \ - "0.024051,0.033961,0.046926,0.074810,0.133994,0.255153,0.498367", \ - "0.024057,0.033977,0.046923,0.074805,0.133988,0.255152,0.498367", \ - "0.024079,0.033995,0.046942,0.074801,0.133992,0.255147,0.498368", \ - "0.024193,0.034091,0.047013,0.074834,0.134004,0.255149,0.498359", \ - "0.025014,0.034844,0.047611,0.075185,0.134129,0.255208,0.498373"); - } - } - - timing () { - - related_pin : "CI"; - when : "A & B"; - sdf_cond : "(A == 1'b1) && (B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.201034,0.217613,0.232866,0.256520,0.292564,0.347618,0.435586", \ - "0.205620,0.222209,0.237456,0.261121,0.297156,0.352210,0.440172", \ - "0.221855,0.238397,0.253625,0.277225,0.313205,0.368220,0.456159", \ - "0.253416,0.269906,0.284812,0.308131,0.343973,0.398884,0.486774", \ - "0.300130,0.316465,0.331507,0.354562,0.390162,0.444911,0.532722", \ - "0.357516,0.374583,0.390037,0.413677,0.449959,0.505259,0.593403", \ - "0.419632,0.437850,0.454069,0.478258,0.515055,0.572744,0.664457"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.113052,0.132058,0.151742,0.186987,0.253008,0.381874,0.637466", \ - "0.117272,0.136248,0.155920,0.191131,0.257130,0.385953,0.641511", \ - "0.132105,0.151075,0.170726,0.205893,0.271813,0.400565,0.656063", \ - "0.161870,0.180705,0.200102,0.235029,0.300753,0.429366,0.684836", \ - "0.201598,0.220913,0.240519,0.275274,0.340762,0.469095,0.724421", \ - "0.238463,0.259265,0.279489,0.314568,0.380190,0.508507,0.763584", \ - "0.269556,0.292600,0.314273,0.350186,0.415665,0.543687,0.798841"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.030066,0.036062,0.042387,0.053289,0.071088,0.101200,0.157817", \ - "0.030053,0.036045,0.042376,0.053261,0.071063,0.101176,0.157808", \ - "0.030005,0.036002,0.042302,0.053175,0.070963,0.101107,0.157776", \ - "0.029946,0.035888,0.042147,0.052958,0.070747,0.100959,0.157694", \ - "0.029880,0.035778,0.041947,0.052633,0.070414,0.100743,0.157575", \ - "0.033225,0.038601,0.044377,0.054766,0.072142,0.101876,0.158210", \ - "0.037575,0.042720,0.047838,0.057215,0.075222,0.107300,0.163309"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.023443,0.034781,0.048583,0.076813,0.135706,0.256763,0.500234", \ - "0.023418,0.034741,0.048535,0.076760,0.135642,0.256689,0.500185", \ - "0.023372,0.034684,0.048469,0.076668,0.135529,0.256576,0.500077", \ - "0.023216,0.034573,0.048380,0.076594,0.135451,0.256465,0.499966", \ - "0.025605,0.036216,0.049594,0.077248,0.135548,0.256394,0.499891", \ - "0.030208,0.039828,0.052130,0.078825,0.136681,0.256790,0.499893", \ - "0.036015,0.045477,0.056625,0.081338,0.137521,0.257625,0.500326"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.152045,4.162390,4.199581,4.271500,4.392772,4.563857,4.722564", \ - "4.121790,4.140675,4.173264,4.243609,4.368308,4.544773,4.692869", \ - "4.100791,4.111104,4.149950,4.218625,4.353281,4.517735,4.664518", \ - "4.084479,4.103204,4.132050,4.198205,4.330155,4.500973,4.648803", \ - "4.081798,4.100362,4.128245,4.206655,4.323474,4.497265,4.645580", \ - "4.097827,4.115208,4.148648,4.217844,4.334963,4.498736,4.652206", \ - "4.121752,4.162615,4.193481,4.259946,4.373412,4.536255,4.678752"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.821899,0.943795,1.009700,1.099760,1.147539,1.248263,1.310358", \ - "0.793586,0.910813,0.997528,1.067667,1.105031,1.206074,1.268076", \ - "0.759306,0.886214,0.955833,1.050111,1.130080,1.198403,1.228223", \ - "0.744935,0.855020,0.923232,0.994697,1.082439,1.173954,1.223651", \ - "0.847518,0.901693,0.921996,0.983304,1.074826,1.113212,1.184190", \ - "0.988289,1.061930,1.075275,1.053651,1.070380,1.107007,1.184877", \ - "1.118695,1.222675,1.252677,1.225353,1.178584,1.171334,1.229097"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & CI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.408554,1.459105,1.511205,1.588290,1.702879,1.851385,1.880877", \ - "1.406359,1.460114,1.512235,1.585594,1.699877,1.872462,1.867814", \ - "1.392169,1.444198,1.497103,1.571119,1.687473,1.856620,1.852921", \ - "1.374781,1.421805,1.471321,1.546282,1.666178,1.814306,1.846916", \ - "1.380933,1.421519,1.465199,1.534188,1.641273,1.787928,1.821972", \ - "1.415085,1.444833,1.483182,1.548256,1.661452,1.787883,1.822237", \ - "1.546262,1.565194,1.595784,1.638380,1.712914,1.847975,1.846845"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.440301,3.501061,3.536948,3.595866,3.663210,3.685256,3.700795", \ - "3.432495,3.475186,3.529786,3.556451,3.625095,3.681651,3.696920", \ - "3.403035,3.459654,3.476195,3.525679,3.593948,3.649945,3.664692", \ - "3.392088,3.445887,3.462045,3.522388,3.588787,3.643546,3.657938", \ - "3.410601,3.456219,3.508290,3.552751,3.615859,3.635129,3.683378", \ - "3.492500,3.530997,3.559811,3.616572,3.673539,3.688500,3.700681", \ - "3.639105,3.675360,3.699829,3.725070,3.776459,3.786383,3.795408"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.536588,1.587952,1.650669,1.736452,1.866180,2.086082,2.124604", \ - "1.527216,1.578587,1.639517,1.730229,1.870863,2.074206,2.113381", \ - "1.510306,1.561868,1.620022,1.711340,1.853798,2.049756,2.090165", \ - "1.500238,1.548893,1.604911,1.688391,1.824420,2.045100,2.052292", \ - "1.522692,1.572153,1.616081,1.694395,1.833011,2.022443,2.063361", \ - "1.580634,1.617470,1.659595,1.726932,1.866962,2.050568,2.090267", \ - "1.732338,1.755931,1.778639,1.830107,1.931352,2.121974,2.125084"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.403962,3.467204,3.505138,3.596624,3.688834,3.724680,3.769067", \ - "3.390073,3.453159,3.509977,3.582808,3.675586,3.711621,3.755908", \ - "3.372047,3.431601,3.470408,3.556668,3.649168,3.685570,3.729756", \ - "3.358664,3.417355,3.462056,3.535136,3.627637,3.698522,3.708909", \ - "3.357536,3.408668,3.471071,3.544731,3.639730,3.671529,3.716757", \ - "3.384326,3.449301,3.488978,3.552122,3.643190,3.676764,3.719388", \ - "3.444022,3.488234,3.530127,3.596893,3.687232,3.723567,3.734402"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & CI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.999675,4.093752,4.187800,4.324505,4.514609,4.707913,4.842724", \ - "3.985930,4.077413,4.170160,4.309485,4.499979,4.691899,4.826206", \ - "3.970943,4.053963,4.148067,4.292340,4.488156,4.677097,4.806457", \ - "3.961909,4.045456,4.140337,4.285884,4.460818,4.660330,4.795190", \ - "3.954451,4.047386,4.142510,4.270046,4.463267,4.655104,4.794022", \ - "3.994943,4.068403,4.153745,4.294941,4.464911,4.664720,4.802867", \ - "3.907309,3.995870,4.095537,4.261434,4.498416,4.695747,4.841045"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.985804,1.075588,1.127661,1.168538,1.246434,1.262521,1.315866", \ - "0.951793,1.042614,1.099173,1.151515,1.201348,1.217893,1.271171", \ - "0.895520,0.998742,1.045219,1.086667,1.117590,1.202429,1.256917", \ - "0.871056,0.965170,1.016253,1.050124,1.117905,1.134802,1.190497", \ - "0.894295,0.949650,0.991541,1.048014,1.066402,1.111832,1.136786", \ - "1.008292,1.076446,1.082629,1.049312,1.027652,1.106978,1.165701", \ - "1.136028,1.202907,1.214422,1.201142,1.118066,1.139438,1.197062"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.904402,3.923229,3.954992,4.036345,4.161576,4.343499,4.501489", \ - "3.863666,3.881876,3.912413,3.989643,4.125363,4.296535,4.454547", \ - "3.832531,3.850562,3.878847,3.961624,4.094783,4.264771,4.419863", \ - "3.823059,3.835335,3.869838,3.943405,4.073607,4.243776,4.402797", \ - "3.819503,3.836868,3.870245,3.944655,4.069677,4.239741,4.399967", \ - "3.855934,3.867253,3.893538,3.963785,4.087957,4.257878,4.414439", \ - "3.942516,3.955951,3.983418,4.041899,4.154266,4.304379,4.462966"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.696528,0.796228,0.830307,0.883385,0.929562,1.000347,0.974758", \ - "0.681089,0.783153,0.826894,0.861521,0.956955,0.969336,1.011661", \ - "0.666935,0.758643,0.803318,0.861072,0.924922,0.939991,0.983809", \ - "0.648413,0.737154,0.791317,0.823937,0.853486,0.938949,0.918972", \ - "0.745074,0.784314,0.779388,0.806716,0.856686,0.910479,0.894978", \ - "0.878262,0.940675,0.930786,0.879679,0.859298,0.884644,0.924462", \ - "1.000361,1.095922,1.108021,1.090875,0.994452,0.945932,0.997739"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & CI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.610183,1.653898,1.711269,1.788596,1.872837,2.064470,2.106764", \ - "1.597929,1.648245,1.700573,1.778737,1.848865,2.079972,2.088217", \ - "1.580167,1.628775,1.680179,1.757722,1.826945,2.059549,2.069372", \ - "1.551793,1.594613,1.650616,1.721721,1.803313,2.006157,2.051728", \ - "1.550163,1.590188,1.636694,1.704377,1.787955,1.999120,2.011338", \ - "1.568555,1.603564,1.642823,1.713509,1.798874,1.976394,2.023047", \ - "1.686542,1.709707,1.738653,1.778663,1.846326,2.004434,2.050687"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.514230,3.575270,3.604965,3.654551,3.722962,3.779469,3.794550", \ - "3.502727,3.556436,3.573043,3.642195,3.709997,3.765531,3.780021", \ - "3.493814,3.543474,3.574019,3.617856,3.717894,3.741325,3.755269", \ - "3.488414,3.544510,3.579708,3.611870,3.679254,3.734329,3.748187", \ - "3.491232,3.548613,3.574538,3.634869,3.699650,3.719683,3.767819", \ - "3.525552,3.571096,3.604331,3.660560,3.710760,3.758395,3.772081", \ - "3.588504,3.638261,3.657100,3.703955,3.762715,3.778431,3.791298"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.514250,1.566145,1.628945,1.710838,1.854682,2.064786,2.102957", \ - "1.497440,1.549920,1.608878,1.696078,1.839589,2.039445,2.079203", \ - "1.481713,1.529821,1.593162,1.675833,1.827344,2.021019,2.060305", \ - "1.473043,1.517725,1.575493,1.657426,1.800605,1.982728,2.023001", \ - "1.476642,1.520407,1.570206,1.649532,1.797025,1.985700,2.026086", \ - "1.515719,1.551281,1.597454,1.668471,1.792478,2.002313,2.008361", \ - "1.633547,1.660116,1.697937,1.749015,1.854791,2.032973,2.037931"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.661689,3.722525,3.753704,3.818726,3.890577,3.950145,3.966446", \ - "3.649059,3.700828,3.751388,3.798018,3.870749,3.930430,3.946789", \ - "3.634377,3.694989,3.732692,3.806397,3.877424,3.927705,3.955143", \ - "3.628041,3.680893,3.736313,3.784189,3.855911,3.915800,3.932568", \ - "3.627525,3.690803,3.715194,3.794012,3.864302,3.889095,3.940598", \ - "3.649362,3.703871,3.730044,3.792984,3.860375,3.918091,3.935134", \ - "3.697886,3.738013,3.787555,3.806910,3.873944,3.930950,3.961795"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & CI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.780420,3.872595,3.975878,4.119929,4.297273,4.478080,4.593108", \ - "3.768729,3.868888,3.961459,4.100865,4.291516,4.464940,4.586425", \ - "3.742351,3.842733,3.927590,4.078733,4.256015,4.438175,4.558316", \ - "3.733904,3.822469,3.916803,4.057828,4.236935,4.418718,4.534293", \ - "3.729881,3.826768,3.916033,4.052513,4.236296,4.415305,4.529988", \ - "3.773350,3.849102,3.935612,4.071010,4.243764,4.423958,4.542188", \ - "3.657117,3.747256,3.850224,4.010804,4.245345,4.455532,4.585610"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.873452,0.980518,1.032959,1.097212,1.118732,1.215494,1.284506", \ - "0.824342,0.930020,0.990431,1.045132,1.064308,1.159748,1.226922", \ - "0.767938,0.872756,0.933526,0.975772,1.015517,1.107800,1.172841", \ - "0.741791,0.844856,0.896414,0.946291,1.003150,1.024321,1.089378", \ - "0.769501,0.837034,0.888886,0.907006,0.985822,1.003547,1.068164", \ - "0.922394,0.985326,0.976868,0.960835,0.997600,1.010727,1.074597", \ - "1.086697,1.152207,1.156388,1.114033,1.050650,1.055845,1.117778"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "!A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.396927,3.405903,3.442631,3.508589,3.641036,3.812240,3.962933", \ - "3.359158,3.377358,3.410331,3.480845,3.608020,3.782570,3.930671", \ - "3.301740,3.311621,3.349651,3.418877,3.549531,3.716988,3.868872", \ - "3.279291,3.297780,3.329897,3.399005,3.533079,3.698289,3.842242", \ - "3.315226,3.333863,3.363588,3.435418,3.568956,3.730646,3.875413", \ - "3.427370,3.437600,3.465495,3.529313,3.652697,3.810885,3.952401", \ - "3.625407,3.638291,3.655946,3.704304,3.807950,3.950540,4.086988"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.980381,1.090228,1.175031,1.256027,1.313908,1.339111,1.389871", \ - "0.978906,1.090243,1.164874,1.244420,1.307317,1.386475,1.436481", \ - "0.945289,1.072389,1.141180,1.203689,1.285610,1.365909,1.418834", \ - "0.908707,1.032833,1.111147,1.187350,1.280554,1.316392,1.375786", \ - "0.973477,1.054909,1.086156,1.165462,1.210499,1.252840,1.339501", \ - "1.066324,1.166491,1.189776,1.179466,1.208392,1.253957,1.331249", \ - "1.189684,1.294698,1.339496,1.340208,1.307271,1.319721,1.397966"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "!A & B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.890406,1.937343,1.989737,2.063928,2.148325,2.342736,2.387263", \ - "1.891157,1.941076,1.987981,2.070238,2.142605,2.345349,2.384686", \ - "1.889362,1.935831,1.987680,2.063301,2.128285,2.359922,2.371130", \ - "1.875848,1.919263,1.966173,2.033103,2.105341,2.318768,2.365809", \ - "1.897169,1.933343,1.976051,2.037137,2.132271,2.308582,2.354759", \ - "1.940249,1.968479,2.005445,2.065776,2.149594,2.318865,2.364636", \ - "2.049413,2.072999,2.102374,2.143100,2.230248,2.379862,2.419256"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.068142,3.142237,3.189230,3.245815,3.344006,3.416777,3.425263", \ - "3.059576,3.134575,3.182168,3.245202,3.342655,3.415019,3.423454", \ - "3.041607,3.094456,3.161424,3.210778,3.309378,3.382348,3.391072", \ - "3.041900,3.094538,3.160482,3.241154,3.336520,3.374088,3.383588", \ - "3.065807,3.120304,3.164748,3.227829,3.323346,3.396136,3.406481", \ - "3.144764,3.208406,3.246871,3.303248,3.392173,3.426782,3.469803", \ - "3.278599,3.324918,3.358965,3.415473,3.498577,3.528155,3.535194"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.668161,1.716133,1.774341,1.848962,1.958679,2.129846,2.125607", \ - "1.668467,1.721145,1.774673,1.850927,1.967709,2.126295,2.156143", \ - "1.659518,1.707861,1.761351,1.836168,1.962060,2.104941,2.136062", \ - "1.647326,1.688346,1.737083,1.803565,1.926280,2.063978,2.096919", \ - "1.675736,1.706037,1.751116,1.804409,1.935283,2.074591,2.096485", \ - "1.740653,1.760872,1.797504,1.845738,1.965000,2.101157,2.119173", \ - "1.891466,1.901463,1.925373,1.955203,2.067335,2.158340,2.189468"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.241051,3.288735,3.346062,3.405458,3.477097,3.501538,3.551562", \ - "3.232025,3.280990,3.338339,3.403958,3.475666,3.499973,3.549950", \ - "3.214652,3.262066,3.319094,3.371567,3.443386,3.502826,3.518672", \ - "3.217074,3.264803,3.305046,3.380104,3.437004,3.496515,3.512971", \ - "3.234475,3.297217,3.328968,3.392634,3.461556,3.486043,3.537586", \ - "3.308900,3.352196,3.402103,3.457647,3.520020,3.540546,3.590722", \ - "3.447977,3.470742,3.512364,3.543165,3.601968,3.640006,3.667780"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "A & B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.993682,3.096756,3.207876,3.374536,3.586083,3.790929,3.957543", \ - "2.974048,3.082390,3.190450,3.352641,3.566588,3.785179,3.940379", \ - "2.931542,3.033315,3.144888,3.303258,3.515797,3.735395,3.893125", \ - "2.894665,3.004252,3.106325,3.261584,3.474783,3.694730,3.852946", \ - "2.896393,2.994359,3.100665,3.257741,3.467749,3.677967,3.844676", \ - "2.900260,3.003973,3.101155,3.251000,3.462120,3.681105,3.855848", \ - "2.859600,2.945849,3.034807,3.194306,3.427672,3.707385,3.895227"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.465211,1.570237,1.625379,1.654746,1.710653,1.800515,1.859298", \ - "1.456224,1.560244,1.613431,1.649960,1.701564,1.788873,1.847336", \ - "1.436981,1.540546,1.587596,1.654144,1.688622,1.708656,1.767301", \ - "1.431126,1.531179,1.575841,1.626776,1.676631,1.680873,1.739663", \ - "1.472402,1.557101,1.602676,1.615648,1.634059,1.711555,1.700939", \ - "1.612769,1.674845,1.694094,1.674195,1.696314,1.699164,1.755186", \ - "1.779597,1.861602,1.876560,1.865537,1.814770,1.842379,1.825648"); - } - } - } - - } - - - /****************************************************************************************** - Module : FILLCELL_X1 - Cell Description : Physical cell (FILLCELL_X1) - *******************************************************************************************/ - - cell (FILLCELL_X1) { - - drive_strength : 1; - - area : 0.266000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X2 - Cell Description : Physical cell (FILLCELL_X2) - *******************************************************************************************/ - - cell (FILLCELL_X2) { - - drive_strength : 2; - - area : 0.266000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X4 - Cell Description : Physical cell (FILLCELL_X4) - *******************************************************************************************/ - - cell (FILLCELL_X4) { - - drive_strength : 4; - - area : 1.064000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X8 - Cell Description : Physical cell (FILLCELL_X8) - *******************************************************************************************/ - - cell (FILLCELL_X8) { - - drive_strength : 8; - - area : 2.128000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X16 - Cell Description : Physical cell (FILLCELL_X16) - *******************************************************************************************/ - - cell (FILLCELL_X16) { - - drive_strength : 16; - - area : 4.256000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X32 - Cell Description : Physical cell (FILLCELL_X32) - *******************************************************************************************/ - - cell (FILLCELL_X32) { - - drive_strength : 32; - - area : 8.512000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : HA_X1 - Cell Description : Combinational cell (HA_X1) with drive strength X1 - *******************************************************************************************/ - - cell (HA_X1) { - - drive_strength : 1; - - area : 2.660000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 40.086003; - - leakage_power () { - when : "!A & !B"; - value : 29.740700; - } - leakage_power () { - when : "!A & B"; - value : 50.533445; - } - leakage_power () { - when : "A & !B"; - value : 36.716616; - } - leakage_power () { - when : "A & B"; - value : 43.353250; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.162426; - fall_capacitance : 2.997194; - rise_capacitance : 3.162426; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.395984; - fall_capacitance : 3.260828; - rise_capacitance : 3.395984; - } - - pin (CO) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.544280; - function : "(A & B)"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0745130,0.0840647,0.0927804,0.106555,0.128942,0.167600,0.239376", \ - "0.0789798,0.0885276,0.0972522,0.111034,0.133427,0.172083,0.243862", \ - "0.0968425,0.106372,0.115117,0.128910,0.151328,0.189997,0.261779", \ - "0.133394,0.142967,0.151795,0.165693,0.188197,0.226917,0.298726", \ - "0.178498,0.189735,0.199957,0.215284,0.239059,0.278489,0.350370", \ - "0.226360,0.239968,0.251765,0.269112,0.294959,0.336249,0.409359", \ - "0.276954,0.292938,0.306751,0.326608,0.355145,0.398793,0.473258"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0613648,0.0770497,0.0943810,0.127331,0.191840,0.319968,0.575599", \ - "0.0657948,0.0814855,0.0988156,0.131763,0.196283,0.324423,0.580043", \ - "0.0824467,0.0980622,0.115289,0.148134,0.212645,0.340863,0.596574", \ - "0.109015,0.124887,0.142228,0.174939,0.239258,0.367436,0.623244", \ - "0.134400,0.151365,0.169043,0.201814,0.266144,0.394041,0.649743", \ - "0.155291,0.174480,0.193222,0.226177,0.290024,0.417940,0.673364", \ - "0.170282,0.192345,0.213010,0.246979,0.310476,0.437800,0.693263"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0138700,0.0175949,0.0216354,0.0291181,0.0434924,0.0725211,0.133027", \ - "0.0138707,0.0175876,0.0216377,0.0291171,0.0434918,0.0725164,0.133025", \ - "0.0138888,0.0176256,0.0216664,0.0291395,0.0435046,0.0725228,0.133021", \ - "0.0150319,0.0183546,0.0221879,0.0294712,0.0436858,0.0726038,0.133048", \ - "0.0203677,0.0234654,0.0268028,0.0332892,0.0464129,0.0739307,0.133373", \ - "0.0266453,0.0298622,0.0330144,0.0390112,0.0512870,0.0776929,0.135436", \ - "0.0335917,0.0371501,0.0403854,0.0461143,0.0575396,0.0824123,0.138301"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0165209,0.0276969,0.0419643,0.0716753,0.132528,0.254885,0.499723", \ - "0.0165217,0.0276865,0.0420050,0.0716608,0.132522,0.254888,0.499720", \ - "0.0165550,0.0277331,0.0419908,0.0716877,0.132531,0.254887,0.499721", \ - "0.0183996,0.0289204,0.0427190,0.0718796,0.132538,0.254885,0.499722", \ - "0.0224955,0.0316827,0.0444630,0.0729337,0.133035,0.254897,0.499717", \ - "0.0281426,0.0366449,0.0478228,0.0743214,0.133613,0.255507,0.499730", \ - "0.0345635,0.0433919,0.0535303,0.0773044,0.134486,0.256020,0.500267"); - } - } - - timing () { - - related_pin : "B"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0829273,0.0927749,0.101729,0.115814,0.138573,0.177631,0.249786", \ - "0.0875093,0.0973630,0.106323,0.120412,0.143175,0.182234,0.254393", \ - "0.105619,0.115475,0.124474,0.138590,0.161371,0.200450,0.272614", \ - "0.142700,0.152383,0.161437,0.175618,0.198472,0.237583,0.309775", \ - "0.191498,0.202632,0.212725,0.228007,0.251781,0.291326,0.363571", \ - "0.243291,0.256741,0.268361,0.285517,0.311217,0.352485,0.425735", \ - "0.297885,0.313667,0.327197,0.346673,0.374839,0.418239,0.492733"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0643638,0.0800466,0.0973782,0.130344,0.194857,0.322977,0.578604", \ - "0.0693991,0.0850837,0.102412,0.135381,0.199909,0.328039,0.583663", \ - "0.0844406,0.100082,0.117341,0.150240,0.214784,0.342979,0.598670", \ - "0.108627,0.124555,0.141896,0.174715,0.239149,0.367353,0.623123", \ - "0.135259,0.151832,0.169570,0.202561,0.266997,0.395084,0.650856", \ - "0.160269,0.178037,0.196487,0.229804,0.294051,0.422110,0.677731", \ - "0.180727,0.200434,0.220134,0.254157,0.318365,0.446116,0.701697"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0145626,0.0182730,0.0223147,0.0297922,0.0441584,0.0731532,0.133576", \ - "0.0145621,0.0182724,0.0223110,0.0297916,0.0441573,0.0731538,0.133568", \ - "0.0145742,0.0183061,0.0223428,0.0298044,0.0441645,0.0731554,0.133567", \ - "0.0150305,0.0186413,0.0225930,0.0299795,0.0442637,0.0731996,0.133580", \ - "0.0198779,0.0230023,0.0263896,0.0329770,0.0462159,0.0740811,0.133831", \ - "0.0259226,0.0290492,0.0321998,0.0383016,0.0507974,0.0775078,0.135506", \ - "0.0325350,0.0359570,0.0391205,0.0448667,0.0565221,0.0818030,0.138178"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0165279,0.0276943,0.0419737,0.0716838,0.132523,0.254887,0.499717", \ - "0.0165270,0.0276870,0.0419813,0.0716655,0.132536,0.254891,0.499723", \ - "0.0165373,0.0277024,0.0420205,0.0716973,0.132525,0.254890,0.499718", \ - "0.0176508,0.0284852,0.0424481,0.0718227,0.132534,0.254885,0.499721", \ - "0.0199017,0.0302696,0.0437600,0.0725686,0.132817,0.254890,0.499720", \ - "0.0235543,0.0333269,0.0459650,0.0737009,0.133307,0.255224,0.499720", \ - "0.0285000,0.0379818,0.0496638,0.0757874,0.134063,0.255614,0.500001"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.244817,2.316949,2.334110,2.354909,2.370141,2.363649,2.112788", \ - "2.187188,2.267662,2.299218,2.330152,2.351319,2.349636,2.109653", \ - "2.135102,2.208408,2.241184,2.266578,2.312073,2.321932,2.112716", \ - "2.172490,2.233095,2.252090,2.258288,2.283622,2.316102,2.154848", \ - "2.301564,2.341407,2.346237,2.335836,2.346546,2.355999,2.225205", \ - "2.408235,2.522848,2.532879,2.493914,2.470210,2.447212,2.366477", \ - "2.658157,2.745931,2.770015,2.741889,2.686847,2.643343,2.544214"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.770195,0.805587,0.820757,0.837809,0.868777,0.854796,0.879753", \ - "0.759129,0.797373,0.809154,0.829553,0.868987,0.856765,0.882594", \ - "0.736446,0.780029,0.793640,0.804718,0.849001,0.876237,0.872642", \ - "0.752326,0.778135,0.800533,0.815121,0.825334,0.855545,0.872405", \ - "0.886356,0.880389,0.861927,0.861000,0.862076,0.887819,0.888030", \ - "1.084426,1.079149,1.041889,0.992913,0.962969,0.957453,0.932046", \ - "1.348946,1.345455,1.308455,1.233818,1.146246,1.091082,1.078286"); - } - } - - internal_power () { - - related_pin : "B"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.761848,2.806644,2.822278,2.847518,2.866673,2.881340,2.647256", \ - "2.716848,2.772205,2.791796,2.823399,2.845700,2.846772,2.623762", \ - "2.676679,2.729683,2.763814,2.793524,2.821064,2.830261,2.635706", \ - "2.714486,2.755383,2.767972,2.793650,2.822713,2.832856,2.679690", \ - "2.838030,2.861236,2.860676,2.872433,2.869094,2.878061,2.771036", \ - "2.968128,3.064114,3.051936,3.031771,3.010091,2.988452,2.910850", \ - "3.184687,3.261876,3.304938,3.271639,3.224557,3.183675,3.086996"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.676878,0.711892,0.716848,0.757347,0.761265,0.784463,0.779263", \ - "0.655401,0.701275,0.723401,0.740041,0.747392,0.771585,0.766568", \ - "0.613889,0.658159,0.672929,0.717812,0.727309,0.755939,0.753602", \ - "0.584928,0.617721,0.632598,0.681210,0.698620,0.733267,0.736409", \ - "0.666154,0.675641,0.666958,0.673710,0.704067,0.725387,0.732840", \ - "0.793287,0.793330,0.776037,0.757066,0.711213,0.741853,0.748058", \ - "0.978807,0.983089,0.956583,0.924178,0.866603,0.816387,0.813075"); - } - } - } - - pin (S) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.240590; - function : "(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.167605,0.170202,0.174759,0.182896,0.196860,0.220159,0.259050", \ - "0.168338,0.170970,0.175564,0.183756,0.197801,0.221170,0.260096", \ - "0.181466,0.184121,0.188740,0.196999,0.211085,0.234510,0.273507", \ - "0.214438,0.217089,0.221714,0.229797,0.243903,0.267261,0.306214", \ - "0.267514,0.270241,0.274987,0.283327,0.297512,0.321093,0.360121", \ - "0.330468,0.333596,0.338938,0.348264,0.363783,0.388819,0.429221", \ - "0.398564,0.402112,0.408147,0.418572,0.435688,0.462572,0.504647"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.123499,0.131363,0.145935,0.175135,0.234104,0.353672,0.595778", \ - "0.128878,0.136916,0.151796,0.181509,0.240985,0.360759,0.602601", \ - "0.142988,0.151314,0.166718,0.197372,0.258352,0.379771,0.622579", \ - "0.162839,0.171237,0.186834,0.217737,0.279339,0.401815,0.645863", \ - "0.183750,0.192040,0.207411,0.237548,0.299002,0.422067,0.666942", \ - "0.201537,0.209968,0.225495,0.256142,0.317097,0.438686,0.684231", \ - "0.213846,0.222350,0.237956,0.268518,0.329857,0.452189,0.695740"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.029061,0.030423,0.032723,0.036908,0.044251,0.057274,0.081519", \ - "0.029083,0.030444,0.032740,0.036921,0.044269,0.057269,0.081521", \ - "0.029092,0.030445,0.032737,0.036904,0.044231,0.057246,0.081500", \ - "0.029241,0.030565,0.032815,0.036953,0.044237,0.057237,0.081500", \ - "0.030740,0.031958,0.034056,0.037935,0.044943,0.057685,0.081759", \ - "0.034930,0.036201,0.038351,0.042178,0.049001,0.061332,0.084585", \ - "0.040735,0.042059,0.044253,0.048030,0.054499,0.066077,0.088504"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.058352,0.065960,0.080092,0.108424,0.164763,0.276836,0.499798", \ - "0.058356,0.065961,0.080091,0.108423,0.164775,0.276841,0.499802", \ - "0.058373,0.065966,0.080095,0.108425,0.164766,0.276872,0.499792", \ - "0.058405,0.065992,0.080112,0.108428,0.164770,0.276841,0.499795", \ - "0.053641,0.061137,0.075265,0.104814,0.163088,0.276817,0.499792", \ - "0.053450,0.060783,0.074528,0.102340,0.158159,0.272804,0.499789", \ - "0.054546,0.061595,0.075002,0.102447,0.157886,0.268956,0.495628"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.018199,0.020251,0.024053,0.031606,0.046664,0.076712,0.136766", \ - "0.022738,0.024834,0.028694,0.036320,0.051452,0.081558,0.141646", \ - "0.036832,0.039780,0.044740,0.053241,0.068293,0.098378,0.158474", \ - "0.048953,0.053680,0.061830,0.075557,0.097474,0.131044,0.190736", \ - "0.055335,0.061991,0.073761,0.093612,0.125103,0.172518,0.242058", \ - "0.054506,0.063454,0.078908,0.105379,0.147456,0.210542,0.301771", \ - "0.045457,0.056908,0.076358,0.109635,0.162890,0.242958,0.358192"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.064113,0.072761,0.088617,0.119844,0.181609,0.304433,0.549502", \ - "0.065115,0.073962,0.090193,0.122030,0.184535,0.308019,0.553558", \ - "0.079078,0.087495,0.103249,0.134712,0.197251,0.321295,0.567615", \ - "0.112323,0.121243,0.136325,0.166400,0.227551,0.350518,0.596507", \ - "0.151389,0.162848,0.183021,0.218772,0.279635,0.400412,0.644547", \ - "0.194508,0.208865,0.233778,0.277945,0.352143,0.474413,0.715538", \ - "0.242507,0.259548,0.289029,0.341524,0.430218,0.572795,0.812472"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.010694,0.012446,0.015728,0.022278,0.035399,0.061612,0.114062", \ - "0.010680,0.012440,0.015730,0.022286,0.035390,0.061611,0.114064", \ - "0.016170,0.017436,0.019624,0.024078,0.035479,0.061613,0.114066", \ - "0.028787,0.030579,0.033447,0.038591,0.047560,0.065454,0.114068", \ - "0.045626,0.048421,0.052179,0.058921,0.070159,0.088543,0.123054", \ - "0.066491,0.070161,0.075335,0.084075,0.098267,0.120577,0.156079", \ - "0.091310,0.095808,0.102489,0.113678,0.131451,0.158545,0.199966"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.048332,0.055875,0.069878,0.097798,0.153548,0.264998,0.487566", \ - "0.048282,0.055863,0.069881,0.097789,0.153555,0.264990,0.487568", \ - "0.047560,0.055438,0.069748,0.097814,0.153594,0.264985,0.487576", \ - "0.056132,0.061427,0.072448,0.097553,0.153586,0.265013,0.487561", \ - "0.075696,0.081982,0.092707,0.111811,0.156590,0.264979,0.487563", \ - "0.097631,0.105056,0.117769,0.140624,0.180010,0.269532,0.487594", \ - "0.122226,0.130902,0.145834,0.172572,0.218312,0.296613,0.488789"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.181960,0.184573,0.189145,0.197279,0.211246,0.234518,0.273357", \ - "0.185664,0.188279,0.192900,0.201090,0.215095,0.238404,0.277279", \ - "0.202613,0.205271,0.209880,0.218121,0.232214,0.255575,0.294471", \ - "0.233010,0.235658,0.240290,0.248308,0.262340,0.285731,0.324691", \ - "0.277181,0.279896,0.284611,0.292992,0.307136,0.330705,0.369641", \ - "0.330347,0.333361,0.338555,0.347640,0.362759,0.387510,0.427694", \ - "0.391105,0.394408,0.400070,0.409902,0.426226,0.452546,0.494503"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.110047,0.118486,0.134000,0.164719,0.225734,0.347611,0.591698", \ - "0.115243,0.123690,0.139288,0.170205,0.231505,0.353538,0.597553", \ - "0.132337,0.140763,0.156381,0.187448,0.249237,0.371978,0.616593", \ - "0.156377,0.164818,0.180415,0.211364,0.273198,0.396249,0.641378", \ - "0.182116,0.190332,0.205552,0.235644,0.296720,0.419973,0.665343", \ - "0.204735,0.213040,0.228379,0.258727,0.319185,0.440871,0.686513", \ - "0.221742,0.230157,0.245600,0.275987,0.336720,0.458441,0.702330"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.028904,0.030248,0.032540,0.036710,0.044062,0.057133,0.081420", \ - "0.028826,0.030187,0.032461,0.036643,0.044004,0.057059,0.081377", \ - "0.028784,0.030132,0.032415,0.036574,0.043929,0.057023,0.081345", \ - "0.028911,0.030244,0.032498,0.036632,0.043936,0.057005,0.081344", \ - "0.029893,0.031156,0.033336,0.037315,0.044420,0.057293,0.081501", \ - "0.032396,0.033733,0.035972,0.040039,0.047202,0.059925,0.083601", \ - "0.035815,0.037194,0.039525,0.043640,0.050817,0.063448,0.086985"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.048635,0.056093,0.070011,0.098029,0.153897,0.265366,0.487668", \ - "0.048631,0.056095,0.070007,0.098027,0.153885,0.265365,0.487656", \ - "0.048652,0.056099,0.070010,0.098026,0.153901,0.265367,0.487670", \ - "0.048673,0.056138,0.070043,0.098023,0.153888,0.265376,0.487666", \ - "0.047708,0.054883,0.068377,0.096581,0.153312,0.265423,0.487666", \ - "0.048927,0.056070,0.069509,0.096783,0.151488,0.263869,0.487677", \ - "0.050634,0.057564,0.070737,0.097806,0.152655,0.262363,0.486244"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.020928,0.022973,0.026759,0.034302,0.049349,0.079392,0.139449", \ - "0.026066,0.028151,0.031993,0.039602,0.054713,0.084806,0.144893", \ - "0.039724,0.042259,0.046721,0.054905,0.070179,0.100410,0.160594", \ - "0.055506,0.059309,0.065789,0.077042,0.096184,0.128995,0.189481", \ - "0.067800,0.073355,0.083245,0.099687,0.125738,0.166651,0.233727", \ - "0.073789,0.081146,0.095294,0.118511,0.154302,0.207227,0.287270", \ - "0.072137,0.082174,0.100332,0.130974,0.178269,0.246839,0.344605"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.082545,0.091018,0.106689,0.137790,0.199552,0.322489,0.567729", \ - "0.084335,0.092955,0.108848,0.140262,0.202390,0.325651,0.571123", \ - "0.098316,0.106806,0.122597,0.153963,0.216237,0.339828,0.585708", \ - "0.132067,0.139904,0.155078,0.185712,0.247087,0.369933,0.615470", \ - "0.178106,0.188258,0.206393,0.239203,0.299105,0.420201,0.664181", \ - "0.227900,0.240697,0.263239,0.303960,0.373892,0.494265,0.735563", \ - "0.282286,0.297571,0.324415,0.373015,0.456727,0.593945,0.832648"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.010697,0.012452,0.015731,0.022279,0.035397,0.061614,0.114064", \ - "0.010690,0.012452,0.015727,0.022283,0.035389,0.061618,0.114063", \ - "0.013015,0.014578,0.017385,0.023072,0.035421,0.061621,0.114073", \ - "0.020319,0.021877,0.024691,0.030222,0.041277,0.063661,0.114078", \ - "0.032776,0.034712,0.037701,0.043227,0.053835,0.075214,0.119012", \ - "0.049494,0.052067,0.055655,0.062011,0.073043,0.093678,0.135791", \ - "0.069769,0.073022,0.077571,0.085471,0.098196,0.119462,0.160229"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.058047,0.065704,0.079930,0.108204,0.164448,0.276490,0.499707", \ - "0.058035,0.065699,0.079922,0.108194,0.164444,0.276466,0.499706", \ - "0.057878,0.065605,0.079859,0.108160,0.164431,0.276455,0.499699", \ - "0.060743,0.067310,0.080117,0.107891,0.164398,0.276428,0.499689", \ - "0.079085,0.085377,0.095985,0.116920,0.165557,0.276435,0.499698", \ - "0.100942,0.108428,0.121248,0.144226,0.184760,0.279068,0.499704", \ - "0.124869,0.133815,0.149098,0.176221,0.222113,0.302755,0.500199"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("3.954873,3.961969,3.976755,3.999476,4.035758,4.068563,4.115553", \ - "3.916291,3.920198,3.941817,3.960218,3.993262,4.037919,4.085577", \ - "3.877400,3.886571,3.916882,3.937021,3.978644,4.022045,4.066942", \ - "3.888065,3.904740,3.925744,3.964723,4.002461,4.051879,4.102121", \ - "3.895770,3.909557,3.952192,3.995672,4.062029,4.122596,4.177735", \ - "3.886067,3.904602,3.952242,4.017099,4.139451,4.227250,4.289209", \ - "3.915352,3.939447,3.985427,4.034498,4.149749,4.285754,4.434188"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("2.556979,2.546366,2.532464,2.500449,2.442572,2.377665,2.327323", \ - "2.554148,2.552227,2.544658,2.522291,2.471907,2.431552,2.376768", \ - "2.439751,2.444009,2.447375,2.456146,2.454331,2.433196,2.380838", \ - "2.356928,2.363036,2.376247,2.387163,2.388943,2.411427,2.397237", \ - "2.327118,2.336638,2.354860,2.370533,2.397780,2.403174,2.435367", \ - "2.491651,2.477070,2.453930,2.405502,2.452393,2.462992,2.507087", \ - "2.718380,2.715446,2.704701,2.668043,2.602457,2.613496,2.635880"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.770924,0.785157,0.795068,0.819354,0.834989,0.846047,0.847537", \ - "0.757283,0.770029,0.780783,0.812317,0.846862,0.845494,0.883063", \ - "0.733791,0.751835,0.761818,0.795732,0.805834,0.827620,0.867243", \ - "0.749586,0.756620,0.770885,0.794443,0.816451,0.833731,0.845562", \ - "0.887508,0.891419,0.887467,0.861883,0.862281,0.838344,0.878552", \ - "1.082897,1.083191,1.078703,1.053198,1.012940,0.944129,0.962490", \ - "1.350751,1.356452,1.348106,1.322420,1.253862,1.181091,1.105962"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("2.247532,2.277960,2.302271,2.325436,2.354938,2.360817,2.382101", \ - "2.186086,2.220428,2.258197,2.291032,2.328164,2.340651,2.366321", \ - "2.132079,2.163377,2.205313,2.234634,2.266991,2.298936,2.333596", \ - "2.171746,2.199973,2.226460,2.244939,2.259461,2.286839,2.309417", \ - "2.300719,2.324271,2.337417,2.342353,2.338840,2.337182,2.344622", \ - "2.409227,2.452480,2.509568,2.529933,2.503638,2.481900,2.454347", \ - "2.657190,2.694076,2.737028,2.762281,2.759849,2.704680,2.655279"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("4.181969,4.187344,4.205925,4.245166,4.273551,4.327713,4.378438", \ - "4.126310,4.138474,4.166066,4.197675,4.237288,4.285432,4.342710", \ - "4.090602,4.118616,4.143664,4.178524,4.219281,4.257275,4.318608", \ - "4.064518,4.079869,4.115676,4.147694,4.199822,4.263828,4.318811", \ - "3.988129,4.022403,4.053284,4.105382,4.168691,4.249705,4.317021", \ - "3.872632,3.908536,3.949493,4.038244,4.155555,4.267606,4.338417", \ - "3.802688,3.825605,3.860463,3.945892,4.056589,4.205412,4.379328"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("2.178286,2.184230,2.194411,2.196120,2.189403,2.175564,2.155020", \ - "2.161575,2.168222,2.180192,2.191388,2.180447,2.192788,2.171349", \ - "2.100019,2.106251,2.120955,2.130206,2.148218,2.150582,2.139687", \ - "2.066259,2.076776,2.084272,2.097026,2.106915,2.127907,2.126989", \ - "2.084456,2.087671,2.097157,2.104079,2.111302,2.133181,2.169236", \ - "2.298797,2.283744,2.252288,2.180563,2.184232,2.194926,2.236914", \ - "2.539327,2.531764,2.513324,2.462807,2.367339,2.310717,2.352248"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.677627,0.683660,0.689974,0.720197,0.729472,0.771621,0.776762", \ - "0.659848,0.675890,0.696184,0.720854,0.741438,0.757499,0.763704", \ - "0.611220,0.625440,0.636711,0.660715,0.714365,0.735864,0.764199", \ - "0.585349,0.589533,0.623259,0.640468,0.646881,0.705679,0.722651", \ - "0.663263,0.670148,0.670433,0.667352,0.674241,0.695651,0.718627", \ - "0.794451,0.791198,0.795739,0.784179,0.757705,0.721175,0.731056", \ - "0.977529,0.973940,0.984561,0.966688,0.932744,0.880450,0.809200"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("2.760328,2.777003,2.793412,2.829500,2.847457,2.873796,2.885140", \ - "2.715766,2.738568,2.758153,2.785622,2.826046,2.852011,2.865705", \ - "2.677919,2.695361,2.722833,2.752223,2.775576,2.809783,2.844665", \ - "2.713289,2.727678,2.742483,2.761873,2.792918,2.807651,2.842749", \ - "2.840025,2.852915,2.855882,2.857355,2.859498,2.876736,2.885741", \ - "2.963933,3.006197,3.052302,3.058240,3.022061,3.005822,2.997572", \ - "3.183914,3.212474,3.247502,3.292391,3.287979,3.241745,3.196658"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X1 - Cell Description : Combinational cell (INV_X1) with drive strength X1 - *******************************************************************************************/ - - cell (INV_X1) { - - drive_strength : 1; - - area : 0.532000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 10.463214; - - leakage_power () { - when : "!A"; - value : 12.327295; - } - leakage_power () { - when : "A"; - value : 8.599134; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.643743; - fall_capacitance : 1.476568; - rise_capacitance : 1.643743; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.688230; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00680083,0.0104882,0.0149384,0.0237733,0.0413920,0.0766024,0.147001", \ - "0.0116800,0.0157766,0.0202414,0.0290943,0.0467279,0.0819422,0.152340", \ - "0.0195214,0.0285186,0.0363433,0.0479024,0.0657688,0.100872,0.171206", \ - "0.0238508,0.0394277,0.0528373,0.0721174,0.0992071,0.137620,0.207566", \ - "0.0225576,0.0456551,0.0657269,0.0945455,0.134210,0.188612,0.264977", \ - "0.0147965,0.0457062,0.0730674,0.112657,0.167076,0.240447,0.340250", \ - "-0.0000766732,0.0385978,0.0734842,0.124690,0.195565,0.290516,0.417646"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0189849,0.0327855,0.0491615,0.0814305,0.145625,0.273717,0.529709", \ - "0.0233616,0.0369347,0.0534660,0.0860138,0.150463,0.278774,0.534877", \ - "0.0404648,0.0559275,0.0718239,0.103973,0.168410,0.296850,0.553138", \ - "0.0609398,0.0850371,0.107186,0.141044,0.204469,0.332379,0.588481", \ - "0.0844201,0.116988,0.147483,0.193996,0.262887,0.389358,0.644529", \ - "0.111232,0.152145,0.190951,0.250791,0.339280,0.471156,0.724595", \ - "0.142032,0.190927,0.237889,0.311083,0.420251,0.578499,0.831640"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00291295,0.00609505,0.0100426,0.0179372,0.0337304,0.0653118,0.128488", \ - "0.00404327,0.00628093,0.0100418,0.0179394,0.0337332,0.0653130,0.128482", \ - "0.0103078,0.0132958,0.0162218,0.0211288,0.0339418,0.0653124,0.128498", \ - "0.0206219,0.0252141,0.0293178,0.0357920,0.0461204,0.0679536,0.128480", \ - "0.0344823,0.0414489,0.0472868,0.0558494,0.0688232,0.0892945,0.133174", \ - "0.0514922,0.0614354,0.0697961,0.0811730,0.0974243,0.121906,0.160677", \ - "0.0719663,0.0851360,0.0964119,0.111498,0.131918,0.161116,0.205620"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0116113,0.0240056,0.0393717,0.0700680,0.131518,0.254334,0.499837", \ - "0.0116068,0.0240057,0.0393681,0.0700680,0.131505,0.254341,0.499837", \ - "0.0182917,0.0259921,0.0393486,0.0700757,0.131514,0.254365,0.499839", \ - "0.0302012,0.0407656,0.0508647,0.0728698,0.131471,0.254337,0.499869", \ - "0.0444295,0.0589607,0.0726152,0.0939851,0.137408,0.254306,0.499810", \ - "0.0610876,0.0797559,0.0974455,0.124362,0.165509,0.259349,0.499906", \ - "0.0809307,0.103294,0.125114,0.158283,0.207790,0.287955,0.500292"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("-0.000073,-0.000102,-0.000136,-0.000205,-0.000342,-0.000617,-0.001166", \ - "-0.000230,-0.000258,-0.000293,-0.000361,-0.000499,-0.000773,-0.001322", \ - "-0.000760,-0.000804,-0.000844,-0.000913,-0.001050,-0.001324,-0.001873", \ - "-0.001730,-0.001800,-0.001863,-0.001958,-0.002105,-0.002377,-0.002925", \ - "0.111130,0.042797,-0.003400,-0.003534,-0.003728,-0.004021,-0.004567", \ - "0.401756,0.310006,0.232498,0.132284,0.032193,-0.006309,-0.006874", \ - "0.782923,0.681284,0.580506,0.436800,0.270624,0.116140,-0.009902"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("1.402648,1.442505,1.465772,1.462819,1.445423,1.484151,1.486234", \ - "1.324073,1.360377,1.401601,1.418752,1.402766,1.434597,1.458571", \ - "1.347745,1.347882,1.338742,1.345058,1.389909,1.426263,1.399515", \ - "1.340135,1.442436,1.401097,1.405059,1.370567,1.396930,1.371089", \ - "1.473851,1.510893,1.555870,1.512282,1.452453,1.451689,1.407806", \ - "1.759813,1.749141,1.746849,1.760557,1.678378,1.566084,1.490847", \ - "2.178603,2.139472,2.091766,2.031296,2.000670,1.796338,1.608367"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X2 - Cell Description : Combinational cell (INV_X2) with drive strength X2 - *******************************************************************************************/ - - cell (INV_X2) { - - drive_strength : 2; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 20.938475; - - leakage_power () { - when : "!A"; - value : 24.664185; - } - leakage_power () { - when : "A"; - value : 17.212765; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.135991; - fall_capacitance : 2.805764; - rise_capacitance : 3.135991; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.263800; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.00656034,0.0106969,0.0151459,0.0239790,0.0415998,0.0768111,0.147220", \ - "0.0113307,0.0159845,0.0204481,0.0293009,0.0469339,0.0821521,0.152559", \ - "0.0186693,0.0288911,0.0366427,0.0481299,0.0659738,0.101081,0.171425", \ - "0.0223166,0.0400434,0.0533089,0.0724639,0.0994676,0.137832,0.207784", \ - "0.0202612,0.0465390,0.0664264,0.0950280,0.134587,0.188887,0.265199", \ - "0.0117458,0.0467956,0.0739858,0.113339,0.167570,0.240813,0.340529", \ - "-0.00385381,0.0399496,0.0746159,0.125615,0.196226,0.291013,0.418006"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.0178372,0.0333348,0.0497009,0.0819608,0.146121,0.274260,0.530321", \ - "0.0222879,0.0374943,0.0540129,0.0865602,0.150989,0.279319,0.535487", \ - "0.0389144,0.0564584,0.0723636,0.104530,0.168921,0.297410,0.553780", \ - "0.0585282,0.0858501,0.107798,0.141572,0.205013,0.332963,0.589160", \ - "0.0811846,0.118102,0.148323,0.194628,0.263419,0.389925,0.645212", \ - "0.107206,0.153500,0.192092,0.251658,0.339966,0.471774,0.725269", \ - "0.137265,0.192551,0.239259,0.312221,0.421111,0.579128,0.832281"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.00266104,0.00622214,0.0101677,0.0180656,0.0338496,0.0654420,0.128625", \ - "0.00385047,0.00638641,0.0101701,0.0180648,0.0338596,0.0654454,0.128622", \ - "0.00999496,0.0133754,0.0162877,0.0211959,0.0340615,0.0654427,0.128626", \ - "0.0200927,0.0253026,0.0293873,0.0358411,0.0461819,0.0680605,0.128623", \ - "0.0336463,0.0415596,0.0473325,0.0558780,0.0688461,0.0893405,0.133290", \ - "0.0503369,0.0616796,0.0698328,0.0811699,0.0974440,0.121910,0.160714", \ - "0.0704777,0.0854753,0.0965351,0.111488,0.131881,0.161068,0.205614"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.0105992,0.0244533,0.0398117,0.0704936,0.131889,0.254710,0.500254", \ - "0.0105955,0.0244562,0.0398070,0.0705113,0.131889,0.254709,0.500241", \ - "0.0175666,0.0263251,0.0398005,0.0704971,0.131889,0.254712,0.500253", \ - "0.0291303,0.0410270,0.0510633,0.0732219,0.131890,0.254704,0.500254", \ - "0.0429619,0.0593517,0.0728478,0.0941791,0.137755,0.254695,0.500219", \ - "0.0592498,0.0803254,0.0977436,0.124538,0.165657,0.259688,0.500283", \ - "0.0788177,0.104036,0.125556,0.158538,0.207969,0.288206,0.500687"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("-0.000143,-0.000206,-0.000275,-0.000413,-0.000688,-0.001239,-0.002340", \ - "-0.000456,-0.000519,-0.000588,-0.000726,-0.001002,-0.001552,-0.002653", \ - "-0.001512,-0.001612,-0.001693,-0.001830,-0.002104,-0.002654,-0.003754", \ - "-0.003447,-0.003607,-0.003733,-0.003923,-0.004215,-0.004762,-0.005860", \ - "0.375554,0.220928,0.120380,0.012775,-0.007465,-0.008052,-0.009146", \ - "0.960093,0.754007,0.598055,0.400869,0.200524,0.019345,-0.013763", \ - "1.721402,1.493029,1.293397,1.006379,0.677779,0.369083,0.103849"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("2.740394,2.836170,2.853475,2.903912,2.897732,2.832847,2.886456", \ - "2.608176,2.696752,2.725429,2.736967,2.858239,2.768250,2.820760", \ - "2.642629,2.648072,2.638254,2.683910,2.697943,2.766711,2.842082", \ - "2.612372,2.839845,2.803576,2.709889,2.662331,2.711051,2.788260", \ - "2.895917,2.987389,3.059877,3.024542,2.825758,2.821139,2.812071", \ - "3.487865,3.451293,3.434877,3.439233,3.279977,3.131371,2.894693", \ - "4.326873,4.239872,4.153410,4.038456,3.920014,3.550310,3.260355"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X4 - Cell Description : Combinational cell (INV_X4) with drive strength X4 - *******************************************************************************************/ - - cell (INV_X4) { - - drive_strength : 4; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 41.876997; - - leakage_power () { - when : "!A"; - value : 49.328465; - } - leakage_power () { - when : "A"; - value : 34.425530; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.114219; - fall_capacitance : 5.485797; - rise_capacitance : 6.114219; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.692500; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.00646120,0.0108365,0.0152860,0.0241232,0.0417520,0.0769808,0.147426", \ - "0.0111038,0.0161099,0.0205784,0.0294348,0.0470762,0.0823121,0.152753", \ - "0.0179379,0.0289816,0.0367524,0.0482552,0.0661126,0.101235,0.171615", \ - "0.0209009,0.0400718,0.0533779,0.0725805,0.0995996,0.137987,0.207973", \ - "0.0180949,0.0464920,0.0664500,0.0951134,0.134714,0.189038,0.265388", \ - "0.00884437,0.0466264,0.0739234,0.113381,0.167683,0.240966,0.340710", \ - "-0.00746477,0.0396303,0.0745158,0.125616,0.196334,0.291172,0.418197"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.0168530,0.0332727,0.0496418,0.0819139,0.146095,0.274228,0.530361", \ - "0.0213865,0.0374214,0.0539450,0.0865019,0.150950,0.279281,0.535521", \ - "0.0374892,0.0563941,0.0723062,0.104469,0.168878,0.297390,0.553817", \ - "0.0562948,0.0856471,0.107681,0.141514,0.204976,0.332940,0.589211", \ - "0.0781192,0.117794,0.148090,0.194493,0.263373,0.389927,0.645284", \ - "0.103413,0.153082,0.191732,0.251434,0.339871,0.471781,0.725330", \ - "0.132772,0.191993,0.238851,0.311910,0.420998,0.579139,0.832370"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.00244578,0.00619418,0.0101436,0.0180409,0.0338373,0.0654422,0.128654", \ - "0.00368551,0.00636007,0.0101435,0.0180415,0.0338422,0.0654484,0.128649", \ - "0.00972595,0.0133480,0.0162580,0.0211710,0.0340455,0.0654433,0.128662", \ - "0.0196364,0.0252521,0.0293427,0.0358038,0.0461644,0.0680567,0.128652", \ - "0.0329590,0.0414592,0.0472540,0.0558181,0.0688174,0.0893320,0.133323", \ - "0.0493223,0.0615516,0.0696811,0.0810880,0.0973699,0.121876,0.160722", \ - "0.0691423,0.0853519,0.0963295,0.111344,0.131783,0.161009,0.205617"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.00964216,0.0242344,0.0395741,0.0702792,0.131665,0.254443,0.499991", \ - "0.00965061,0.0242339,0.0395774,0.0702754,0.131645,0.254444,0.499986", \ - "0.0168585,0.0261493,0.0395762,0.0702657,0.131646,0.254467,0.499996", \ - "0.0280520,0.0408326,0.0509148,0.0730154,0.131657,0.254444,0.499985", \ - "0.0415170,0.0590689,0.0726171,0.0939981,0.137536,0.254434,0.499987", \ - "0.0574773,0.0800125,0.0974272,0.124292,0.165453,0.259457,0.499984", \ - "0.0767623,0.103705,0.125161,0.158198,0.207689,0.287990,0.500398"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("-0.000280,-0.000413,-0.000552,-0.000827,-0.001378,-0.002480,-0.004683", \ - "-0.000907,-0.001040,-0.001178,-0.001454,-0.002005,-0.003107,-0.005310", \ - "-0.003010,-0.003224,-0.003386,-0.003662,-0.004210,-0.005310,-0.007512", \ - "-0.006868,-0.007214,-0.007465,-0.007847,-0.008432,-0.009527,-0.011723", \ - "0.799328,0.448713,0.245212,0.025281,-0.014931,-0.016106,-0.018295", \ - "1.954381,1.515866,1.199515,0.801902,0.397174,0.036938,-0.027529", \ - "3.473707,2.993648,2.590730,2.014309,1.351008,0.736764,0.205844"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("5.337689,5.594213,5.600796,5.612644,5.528557,5.650289,5.652688", \ - "5.073354,5.297362,5.345601,5.449921,5.632511,5.571133,5.546197", \ - "5.197215,5.211850,5.191389,5.263085,5.313658,5.447086,5.589151", \ - "5.066753,5.580579,5.503431,5.415934,5.242758,5.336923,5.484382", \ - "5.696840,5.852466,6.012239,5.941419,5.635846,5.561836,5.413817", \ - "6.870662,6.794962,6.767919,6.793022,6.477250,6.027687,5.701384", \ - "8.554681,8.379961,8.213848,7.995165,7.758199,6.955434,6.438922"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X8 - Cell Description : Combinational cell (INV_X8) with drive strength X8 - *******************************************************************************************/ - - cell (INV_X8) { - - drive_strength : 8; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 83.754565; - - leakage_power () { - when : "!A"; - value : 98.657500; - } - leakage_power () { - when : "A"; - value : 68.851630; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 11.807388; - fall_capacitance : 10.634587; - rise_capacitance : 11.807388; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 485.107500; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("0.00703330,0.0115466,0.0159972,0.0248306,0.0424481,0.0776526,0.148048", \ - "0.0115838,0.0167278,0.0212028,0.0300566,0.0476882,0.0828980,0.153288", \ - "0.0183143,0.0296754,0.0374033,0.0488633,0.0666991,0.101801,0.172131", \ - "0.0210633,0.0408309,0.0540942,0.0732411,0.100204,0.138540,0.208481", \ - "0.0180277,0.0472920,0.0672074,0.0958149,0.135348,0.189608,0.265893", \ - "0.00851974,0.0474777,0.0747070,0.114113,0.168339,0.241539,0.341207", \ - "-0.00801726,0.0405016,0.0753152,0.126361,0.196997,0.291744,0.418679"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("0.0170081,0.0339033,0.0502793,0.0825450,0.146694,0.274755,0.530725", \ - "0.0215369,0.0380087,0.0545305,0.0870712,0.151490,0.279730,0.535812", \ - "0.0374286,0.0569529,0.0728684,0.105023,0.169400,0.297810,0.554096", \ - "0.0559445,0.0862227,0.108236,0.142054,0.205484,0.333369,0.589493", \ - "0.0775482,0.118382,0.148654,0.195020,0.263869,0.390343,0.645564", \ - "0.102613,0.153721,0.192298,0.251950,0.340346,0.472204,0.725602", \ - "0.131771,0.192692,0.239419,0.312421,0.421447,0.579539,0.832644"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("0.00247144,0.00629403,0.0102351,0.0181271,0.0339147,0.0654995,0.128661", \ - "0.00367694,0.00645248,0.0102396,0.0181265,0.0339169,0.0654996,0.128663", \ - "0.00974710,0.0134283,0.0163121,0.0212199,0.0341155,0.0654949,0.128667", \ - "0.0196264,0.0253647,0.0294402,0.0358682,0.0461942,0.0680972,0.128666", \ - "0.0328688,0.0415842,0.0473530,0.0559080,0.0688738,0.0893535,0.133326", \ - "0.0491408,0.0616964,0.0697812,0.0811678,0.0974402,0.121915,0.160720", \ - "0.0688574,0.0855350,0.0964186,0.111433,0.131845,0.161048,0.205617"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("0.00935610,0.0242755,0.0396118,0.0702802,0.131614,0.254321,0.499684", \ - "0.00936145,0.0242787,0.0396052,0.0702834,0.131622,0.254304,0.499686", \ - "0.0166444,0.0261890,0.0396224,0.0702722,0.131609,0.254303,0.499698", \ - "0.0277275,0.0408543,0.0509242,0.0730298,0.131615,0.254307,0.499695", \ - "0.0410426,0.0590898,0.0726230,0.0939938,0.137507,0.254306,0.499685", \ - "0.0569108,0.0800265,0.0974215,0.124273,0.165407,0.259330,0.499699", \ - "0.0761166,0.103756,0.125136,0.158153,0.207621,0.287867,0.500106"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("-0.000576,-0.000849,-0.001126,-0.001677,-0.002778,-0.004980,-0.009384", \ - "-0.001827,-0.002099,-0.002376,-0.002928,-0.004029,-0.006232,-0.010636", \ - "-0.006029,-0.006469,-0.006791,-0.007342,-0.008439,-0.010638,-0.015040", \ - "-0.013739,-0.014452,-0.014952,-0.015713,-0.016882,-0.019071,-0.023462", \ - "1.623890,0.907183,0.488102,0.054610,-0.029881,-0.032229,-0.036605", \ - "3.990220,3.053919,2.405131,1.606515,0.804828,0.077156,-0.055074", \ - "7.004452,6.017425,5.203097,4.033369,2.714063,1.477828,0.418981"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("10.566350,11.110200,11.269610,11.339370,11.405600,11.049810,11.241350", \ - "10.077120,10.506990,10.616680,10.760250,11.155760,11.279190,11.037310", \ - "10.360670,10.343830,10.278010,10.462540,10.779230,10.803360,11.127800", \ - "10.111680,11.064610,10.941430,10.783790,10.381030,10.811550,10.922390", \ - "11.350460,11.596710,11.962560,11.827630,11.479380,11.038240,10.688040", \ - "13.731490,13.566800,13.479550,13.473030,12.853680,12.252740,11.363180", \ - "17.120860,16.682490,16.376900,15.964700,15.472940,14.140220,12.842970"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X16 - Cell Description : Combinational cell (INV_X16) with drive strength X16 - *******************************************************************************************/ - - cell (INV_X16) { - - drive_strength : 16; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 167.508275; - - leakage_power () { - when : "!A"; - value : 197.314050; - } - leakage_power () { - when : "A"; - value : 137.702500; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 24.694640; - fall_capacitance : 22.183188; - rise_capacitance : 24.694640; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 968.216600; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("0.00630829,0.0108598,0.0153071,0.0241375,0.0417498,0.0769462,0.147326", \ - "0.0108729,0.0161318,0.0205970,0.0294462,0.0470731,0.0822752,0.152655", \ - "0.0173924,0.0290009,0.0367638,0.0482618,0.0661048,0.101196,0.171511", \ - "0.0199422,0.0400815,0.0533791,0.0725665,0.0995742,0.137942,0.207865", \ - "0.0166944,0.0464924,0.0664318,0.0950763,0.134655,0.188958,0.265271", \ - "0.00698600,0.0466351,0.0738844,0.113315,0.167580,0.240832,0.340542", \ - "-0.00974600,0.0396228,0.0744449,0.125508,0.196177,0.290969,0.417951"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("0.0162330,0.0333326,0.0497009,0.0819733,0.146152,0.274283,0.530417", \ - "0.0208212,0.0374794,0.0540054,0.0865601,0.151013,0.279337,0.535579", \ - "0.0366037,0.0564423,0.0723564,0.104521,0.168938,0.297433,0.553876", \ - "0.0549213,0.0856886,0.107716,0.141555,0.205025,0.332981,0.589270", \ - "0.0763011,0.117817,0.148111,0.194504,0.263397,0.389953,0.645331", \ - "0.101154,0.153119,0.191727,0.251412,0.339854,0.471796,0.725357", \ - "0.130115,0.192059,0.238814,0.311857,0.420931,0.579109,0.832391"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("0.00230941,0.00619582,0.0101415,0.0180371,0.0338283,0.0654172,0.128601", \ - "0.00358337,0.00635993,0.0101422,0.0180368,0.0338311,0.0654173,0.128584", \ - "0.00955631,0.0133461,0.0162567,0.0211720,0.0340346,0.0654191,0.128598", \ - "0.0193407,0.0252289,0.0293315,0.0357912,0.0461528,0.0680425,0.128597", \ - "0.0324853,0.0413898,0.0472027,0.0557908,0.0687927,0.0893199,0.133283", \ - "0.0486502,0.0614595,0.0695843,0.0810218,0.0973295,0.121848,0.160711", \ - "0.0683147,0.0852750,0.0961851,0.111240,0.131702,0.160953,0.205576"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("0.00911699,0.0242486,0.0395916,0.0702796,0.131677,0.254461,0.500022", \ - "0.00911510,0.0242468,0.0395953,0.0702865,0.131678,0.254447,0.500012", \ - "0.0164585,0.0261590,0.0395987,0.0702851,0.131668,0.254460,0.500013", \ - "0.0274320,0.0408208,0.0509096,0.0730270,0.131677,0.254455,0.500027", \ - "0.0406755,0.0590282,0.0725886,0.0939933,0.137551,0.254450,0.500024", \ - "0.0564483,0.0799347,0.0973586,0.124247,0.165445,0.259475,0.500022", \ - "0.0755696,0.103637,0.125044,0.158097,0.207628,0.287984,0.500448"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("-0.001102,-0.001656,-0.002209,-0.003312,-0.005516,-0.009924,-0.018738", \ - "-0.003610,-0.004160,-0.004714,-0.005818,-0.008024,-0.012431,-0.021245", \ - "-0.011997,-0.012897,-0.013547,-0.014649,-0.016844,-0.021245,-0.030056", \ - "-0.027402,-0.028858,-0.029861,-0.031386,-0.033730,-0.038111,-0.046899", \ - "3.254652,1.769469,0.973708,0.092137,-0.059722,-0.064427,-0.073184", \ - "7.921106,6.063210,4.779126,3.201829,1.611911,0.159017,-0.110120", \ - "13.953020,12.005040,10.350310,8.050968,5.408049,2.961324,0.851795"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("21.306350,22.413280,22.636660,22.905990,22.066880,22.222770,22.475280", \ - "20.307410,21.210880,21.636230,21.748440,22.485120,22.795050,22.054230", \ - "20.863100,20.835520,20.915780,21.325240,21.218400,21.716340,22.234480", \ - "20.199620,22.300870,21.893300,21.556180,21.282320,21.291780,21.831940", \ - "22.730880,23.386530,23.934760,23.673530,22.257090,22.199030,21.368750", \ - "27.510450,27.259970,27.006840,27.151470,25.886590,24.609860,22.729620", \ - "34.293720,33.506360,32.798120,31.961970,31.008250,28.053250,25.705310"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X32 - Cell Description : Combinational cell (INV_X32) with drive strength X32 - *******************************************************************************************/ - - cell (INV_X32) { - - drive_strength : 32; - - area : 8.778000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 335.016075; - - leakage_power () { - when : "!A"; - value : 394.627150; - } - leakage_power () { - when : "A"; - value : 275.405000; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 48.557758; - fall_capacitance : 43.686472; - rise_capacitance : 48.557758; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 1922.333000; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("0.00648581,0.0110919,0.0155448,0.0243796,0.0419973,0.0772027,0.147599", \ - "0.0110192,0.0163373,0.0208100,0.0296659,0.0472971,0.0825099,0.152899", \ - "0.0174727,0.0291928,0.0369595,0.0484576,0.0663077,0.101408,0.171740", \ - "0.0199002,0.0402234,0.0535256,0.0727170,0.0997355,0.138120,0.208060", \ - "0.0165099,0.0465521,0.0664985,0.0951467,0.134737,0.189062,0.265416", \ - "0.00666524,0.0465992,0.0738388,0.113268,0.167543,0.240819,0.340579", \ - "-0.0102107,0.0394862,0.0742770,0.125316,0.195982,0.290800,0.417838"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("0.0162904,0.0335310,0.0498957,0.0821548,0.146309,0.274391,0.530423", \ - "0.0208705,0.0376597,0.0541846,0.0867313,0.151154,0.279426,0.535567", \ - "0.0365550,0.0566033,0.0725185,0.104680,0.169072,0.297513,0.553855", \ - "0.0547333,0.0857861,0.107830,0.141686,0.205133,0.333045,0.589234", \ - "0.0760027,0.117848,0.148146,0.194553,0.263464,0.389978,0.645260", \ - "0.100772,0.153091,0.191685,0.251360,0.339813,0.471765,0.725233", \ - "0.129664,0.191984,0.238698,0.311700,0.420755,0.578956,0.832191"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("0.00230689,0.00621398,0.0101636,0.0180726,0.0338993,0.0655491,0.128859", \ - "0.00357173,0.00637415,0.0101655,0.0180735,0.0338980,0.0655520,0.128861", \ - "0.00955068,0.0133565,0.0162663,0.0212003,0.0341053,0.0655498,0.128856", \ - "0.0193116,0.0252399,0.0293413,0.0358209,0.0462037,0.0681802,0.128856", \ - "0.0324122,0.0413906,0.0472188,0.0558110,0.0688256,0.0894271,0.133557", \ - "0.0485531,0.0614365,0.0695729,0.0810200,0.0973519,0.121924,0.160962", \ - "0.0681436,0.0852055,0.0961366,0.111201,0.131723,0.161014,0.205749"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("0.00899779,0.0242043,0.0395452,0.0702274,0.131616,0.254365,0.499887", \ - "0.00899805,0.0242054,0.0395417,0.0702257,0.131603,0.254367,0.499886", \ - "0.0163754,0.0261320,0.0395480,0.0702248,0.131602,0.254363,0.499884", \ - "0.0273017,0.0407850,0.0508919,0.0730113,0.131605,0.254367,0.499888", \ - "0.0404702,0.0589546,0.0725278,0.0939640,0.137517,0.254363,0.499880", \ - "0.0561753,0.0798132,0.0972444,0.124157,0.165421,0.259414,0.499891", \ - "0.0752599,0.103462,0.124873,0.157941,0.207523,0.287959,0.500330"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("-0.002222,-0.003341,-0.004453,-0.006672,-0.011104,-0.019966,-0.037689", \ - "-0.007234,-0.008347,-0.009461,-0.011682,-0.016116,-0.024978,-0.042700", \ - "-0.024001,-0.025818,-0.027122,-0.029340,-0.033753,-0.042603,-0.060319", \ - "-0.002812,-0.057733,-0.059744,-0.062805,-0.067520,-0.076331,-0.094003", \ - "6.501713,3.623517,1.971893,0.232887,-0.119485,-0.128951,-0.146567", \ - "15.827660,12.180530,9.634144,6.456996,3.275011,0.411114,-0.220424", \ - "28.060670,24.052000,20.780180,16.192010,10.918280,6.037716,1.835472"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("42.150840,44.140460,44.590410,45.313880,44.741680,43.847840,44.246320", \ - "40.202020,41.963970,42.604280,43.757450,44.721380,45.000890,43.430960", \ - "41.222960,41.254750,41.608280,42.080010,42.994110,42.899050,43.820950", \ - "40.070800,44.186280,43.451420,43.185960,41.459410,42.107780,43.074960", \ - "45.177700,46.399060,48.064870,47.450730,44.800310,43.970330,44.382610", \ - "54.589690,53.982900,54.068810,54.285580,51.434080,47.905730,45.021590", \ - "68.174700,66.461650,65.043970,63.659790,61.743340,55.301270,51.050430"); - } - } - } - - } - - - /****************************************************************************************** - Module : LOGIC0_X1 - Cell Description : Physical cell (LOGIC0_X1) - *******************************************************************************************/ - - cell (LOGIC0_X1) { - - drive_strength : 1; - - area : 0.532000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - function : "0"; - } - - } - - - /****************************************************************************************** - Module : LOGIC1_X1 - Cell Description : Physical cell (LOGIC1_X1) - *******************************************************************************************/ - - cell (LOGIC1_X1) { - - drive_strength : 1; - - area : 0.532000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - function : "1"; - } - - } - - - /****************************************************************************************** - Module : MUX2_X1 - Cell Description : Combinational cell (MUX2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (MUX2_X1) { - - drive_strength : 1; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 24.271108; - - leakage_power () { - when : "!A & !B & !S"; - value : 20.079770; - } - leakage_power () { - when : "!A & !B & S"; - value : 18.235354; - } - leakage_power () { - when : "!A & B & !S"; - value : 27.114634; - } - leakage_power () { - when : "!A & B & S"; - value : 24.420177; - } - leakage_power () { - when : "A & !B & !S"; - value : 26.266322; - } - leakage_power () { - when : "A & !B & S"; - value : 25.271073; - } - leakage_power () { - when : "A & B & !S"; - value : 27.312139; - } - leakage_power () { - when : "A & B & S"; - value : 25.469395; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.925351; - fall_capacitance : 0.878922; - rise_capacitance : 0.925351; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.919830; - fall_capacitance : 0.871398; - rise_capacitance : 0.919830; - } - - pin (S) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.893506; - fall_capacitance : 1.752035; - rise_capacitance : 1.893506; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.461640; - function : "((S & B) | (A & !S))"; - - timing () { - - related_pin : "A"; - when : "!B & !S"; - sdf_cond : "(B == 1'b0) && (S == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.194751,0.210748,0.224918,0.246075,0.277661,0.326719,0.408083", \ - "0.199301,0.215302,0.229474,0.250638,0.282225,0.331281,0.412645", \ - "0.216897,0.232898,0.247075,0.268236,0.299818,0.348882,0.430246", \ - "0.247171,0.263163,0.277230,0.298208,0.329794,0.378860,0.460233", \ - "0.292218,0.308160,0.322261,0.343461,0.375031,0.424099,0.505488", \ - "0.349617,0.366520,0.381334,0.403090,0.435363,0.484894,0.566533", \ - "0.414369,0.432517,0.448444,0.471653,0.505717,0.557202,0.640496"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.078163,0.094521,0.112272,0.145579,0.210347,0.338657,0.594212", \ - "0.082978,0.099334,0.117089,0.150404,0.215173,0.343484,0.599046", \ - "0.097424,0.113767,0.131500,0.164780,0.229538,0.357877,0.613451", \ - "0.122734,0.139175,0.156816,0.189913,0.254582,0.382914,0.638554", \ - "0.152791,0.169964,0.187784,0.220488,0.285073,0.413315,0.668978", \ - "0.183016,0.201358,0.219804,0.252806,0.317305,0.445370,0.701005", \ - "0.209550,0.229638,0.249130,0.282909,0.347411,0.475219,0.730670"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.030013,0.034847,0.039623,0.047968,0.063085,0.091688,0.148626", \ - "0.030007,0.034853,0.039624,0.047958,0.063085,0.091690,0.148626", \ - "0.030014,0.034846,0.039625,0.047961,0.063077,0.091688,0.148622", \ - "0.030011,0.034855,0.039635,0.047975,0.063084,0.091698,0.148626", \ - "0.030115,0.034988,0.039777,0.048098,0.063181,0.091739,0.148649", \ - "0.033550,0.038130,0.042619,0.050463,0.064828,0.092711,0.149137", \ - "0.037808,0.042548,0.047063,0.054871,0.069125,0.096537,0.151776"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.018305,0.029330,0.043376,0.072683,0.133192,0.255414,0.499954", \ - "0.018303,0.029329,0.043373,0.072683,0.133201,0.255406,0.499955", \ - "0.018291,0.029324,0.043374,0.072685,0.133182,0.255416,0.499955", \ - "0.018892,0.029720,0.043609,0.072770,0.133198,0.255412,0.499956", \ - "0.020760,0.031275,0.044777,0.073409,0.133395,0.255411,0.499975", \ - "0.023700,0.033763,0.046673,0.074464,0.133822,0.255526,0.500024", \ - "0.027935,0.037606,0.049728,0.076252,0.134497,0.255902,0.500164"); - } - } - - timing () { - - related_pin : "A"; - when : "B & !S"; - sdf_cond : "(B == 1'b1) && (S == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.194765,0.210764,0.224934,0.246087,0.277676,0.326736,0.408101", \ - "0.199317,0.215318,0.229491,0.250655,0.282243,0.331300,0.412666", \ - "0.216916,0.232916,0.247094,0.268256,0.299838,0.348903,0.430269", \ - "0.247192,0.263184,0.277196,0.298230,0.329817,0.378885,0.460259", \ - "0.292242,0.308185,0.322286,0.343485,0.375057,0.424125,0.505517", \ - "0.349650,0.366547,0.381367,0.403119,0.435397,0.484928,0.566568", \ - "0.414421,0.432560,0.448477,0.471700,0.505765,0.557250,0.640543"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.078164,0.094524,0.112275,0.145582,0.210347,0.338665,0.594203", \ - "0.082980,0.099335,0.117090,0.150404,0.215173,0.343480,0.599040", \ - "0.097435,0.113783,0.131516,0.164791,0.229547,0.357877,0.613455", \ - "0.122708,0.139149,0.156800,0.189943,0.254608,0.382940,0.638568", \ - "0.152770,0.169933,0.187755,0.220455,0.285037,0.413263,0.668922", \ - "0.182954,0.201292,0.219752,0.252745,0.317244,0.445289,0.700947", \ - "0.209487,0.229567,0.249069,0.282858,0.347310,0.475132,0.730587"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.030014,0.034848,0.039624,0.047969,0.063091,0.091692,0.148629", \ - "0.030008,0.034854,0.039626,0.047960,0.063087,0.091693,0.148630", \ - "0.030015,0.034847,0.039626,0.047962,0.063079,0.091690,0.148626", \ - "0.030012,0.034856,0.039634,0.047976,0.063086,0.091701,0.148631", \ - "0.030117,0.034989,0.039778,0.048100,0.063182,0.091741,0.148653", \ - "0.033556,0.038131,0.042619,0.050466,0.064828,0.092712,0.149141", \ - "0.037809,0.042553,0.047061,0.054871,0.069125,0.096538,0.151781"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.018305,0.029329,0.043375,0.072682,0.133198,0.255409,0.499949", \ - "0.018303,0.029329,0.043373,0.072681,0.133198,0.255398,0.499948", \ - "0.018291,0.029323,0.043375,0.072684,0.133179,0.255396,0.499948", \ - "0.018894,0.029720,0.043608,0.072769,0.133198,0.255410,0.499950", \ - "0.020759,0.031272,0.044780,0.073406,0.133393,0.255408,0.499968", \ - "0.023703,0.033765,0.046674,0.074463,0.133821,0.255528,0.500020", \ - "0.027961,0.037620,0.049735,0.076256,0.134494,0.255907,0.500158"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & S"; - sdf_cond : "(A == 1'b0) && (S == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.195675,0.211671,0.225842,0.247002,0.278601,0.327676,0.409057", \ - "0.200218,0.216213,0.230384,0.251554,0.283145,0.332221,0.413604", \ - "0.217753,0.233760,0.247909,0.269071,0.300669,0.349747,0.431132", \ - "0.247863,0.263846,0.277837,0.298865,0.330461,0.379543,0.460932", \ - "0.292789,0.308733,0.322884,0.344020,0.375604,0.424688,0.506090", \ - "0.350084,0.366970,0.381799,0.403553,0.435835,0.485384,0.567037", \ - "0.414753,0.432878,0.448799,0.472025,0.506089,0.557584,0.640889"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.074907,0.091184,0.108895,0.142173,0.206906,0.335224,0.590764", \ - "0.079803,0.096081,0.113797,0.147076,0.211826,0.340125,0.595680", \ - "0.095042,0.111300,0.128987,0.162223,0.226956,0.355297,0.610863", \ - "0.121263,0.137637,0.155223,0.188319,0.252971,0.381313,0.636929", \ - "0.151911,0.169030,0.186813,0.219494,0.284052,0.412303,0.667969", \ - "0.182404,0.200709,0.219142,0.252093,0.316550,0.444606,0.700245", \ - "0.208988,0.229109,0.248587,0.282329,0.346785,0.474596,0.730033"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.029979,0.034826,0.039615,0.047977,0.063106,0.091713,0.148658", \ - "0.029980,0.034827,0.039618,0.047969,0.063101,0.091715,0.148657", \ - "0.029972,0.034838,0.039622,0.047976,0.063103,0.091717,0.148648", \ - "0.029970,0.034833,0.039628,0.047977,0.063104,0.091719,0.148648", \ - "0.030102,0.034976,0.039770,0.048111,0.063201,0.091767,0.148677", \ - "0.033517,0.038102,0.042595,0.050463,0.064843,0.092732,0.149161", \ - "0.037761,0.042514,0.047040,0.054867,0.069131,0.096552,0.151796"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.018032,0.029116,0.043203,0.072564,0.133157,0.255414,0.499955", \ - "0.018033,0.029114,0.043198,0.072559,0.133144,0.255361,0.499951", \ - "0.018037,0.029117,0.043208,0.072569,0.133158,0.255409,0.499953", \ - "0.018706,0.029558,0.043470,0.072662,0.133161,0.255410,0.499953", \ - "0.020632,0.031148,0.044654,0.073316,0.133380,0.255445,0.499975", \ - "0.023664,0.033697,0.046584,0.074371,0.133776,0.255543,0.500024", \ - "0.027962,0.037612,0.049682,0.076166,0.134438,0.255930,0.500161"); - } - } - - timing () { - - related_pin : "B"; - when : "A & S"; - sdf_cond : "(A == 1'b1) && (S == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.195693,0.211689,0.225861,0.247021,0.278621,0.327697,0.409081", \ - "0.200237,0.216232,0.230403,0.251575,0.283166,0.332243,0.413629", \ - "0.217773,0.233759,0.247934,0.269098,0.300696,0.349775,0.431162", \ - "0.247886,0.263870,0.277861,0.298889,0.330487,0.379571,0.460961", \ - "0.292815,0.308760,0.322911,0.344048,0.375633,0.424718,0.506122", \ - "0.350119,0.367001,0.381828,0.403586,0.435872,0.485422,0.567077", \ - "0.414796,0.432927,0.448840,0.472070,0.506138,0.557634,0.640940"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.074899,0.091171,0.108884,0.142160,0.206894,0.335209,0.590743", \ - "0.079793,0.096069,0.113786,0.147065,0.211813,0.340111,0.595662", \ - "0.095026,0.111284,0.128969,0.162207,0.226938,0.355279,0.610851", \ - "0.121239,0.137613,0.155153,0.188233,0.252880,0.381213,0.636837", \ - "0.151892,0.168999,0.186778,0.219470,0.284030,0.412273,0.667928", \ - "0.182337,0.200658,0.219084,0.252030,0.316494,0.444531,0.700193", \ - "0.208931,0.229036,0.248528,0.282269,0.346690,0.474470,0.729956"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.029981,0.034828,0.039617,0.047979,0.063108,0.091716,0.148663", \ - "0.029981,0.034828,0.039619,0.047970,0.063102,0.091718,0.148662", \ - "0.029974,0.034835,0.039623,0.047976,0.063105,0.091720,0.148654", \ - "0.029972,0.034834,0.039630,0.047979,0.063106,0.091722,0.148653", \ - "0.030104,0.034977,0.039771,0.048113,0.063203,0.091770,0.148682", \ - "0.033518,0.038103,0.042607,0.050466,0.064844,0.092734,0.149165", \ - "0.037770,0.042520,0.047039,0.054865,0.069132,0.096553,0.151800"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.018032,0.029116,0.043202,0.072566,0.133154,0.255411,0.499948", \ - "0.018035,0.029114,0.043197,0.072557,0.133151,0.255358,0.499944", \ - "0.018035,0.029116,0.043204,0.072568,0.133150,0.255409,0.499946", \ - "0.018708,0.029557,0.043470,0.072662,0.133160,0.255412,0.499946", \ - "0.020630,0.031145,0.044658,0.073308,0.133374,0.255441,0.499969", \ - "0.023671,0.033698,0.046582,0.074369,0.133779,0.255549,0.500020", \ - "0.027986,0.037615,0.049692,0.076170,0.134437,0.255893,0.500155"); - } - } - - timing () { - - related_pin : "S"; - when : "!A & B"; - sdf_cond : "(A == 1'b0) && (B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.158105,0.174150,0.188341,0.209489,0.241054,0.290087,0.371446", \ - "0.159898,0.175920,0.190119,0.211277,0.242858,0.291897,0.373256", \ - "0.172394,0.188391,0.202533,0.223713,0.255279,0.304318,0.385646", \ - "0.204299,0.220247,0.234206,0.255220,0.286788,0.335838,0.417214", \ - "0.257606,0.273541,0.287637,0.308520,0.340134,0.389242,0.470664", \ - "0.321007,0.339104,0.354827,0.377517,0.410666,0.460751,0.542581", \ - "0.388596,0.409006,0.426826,0.452112,0.487841,0.540362,0.624454"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.064452,0.080760,0.098536,0.131863,0.196597,0.324853,0.580349", \ - "0.069162,0.085471,0.103244,0.136577,0.201326,0.329596,0.585084", \ - "0.086004,0.102212,0.119872,0.153093,0.217835,0.346187,0.601766", \ - "0.113841,0.130362,0.147839,0.180832,0.245339,0.373642,0.629291", \ - "0.140754,0.158758,0.176783,0.209480,0.273890,0.401837,0.657407", \ - "0.162667,0.183342,0.202723,0.236076,0.300034,0.427895,0.683185", \ - "0.177753,0.201503,0.223372,0.258252,0.322219,0.449352,0.704523"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.029821,0.034685,0.039462,0.047852,0.063009,0.091667,0.148616", \ - "0.029806,0.034668,0.039460,0.047839,0.063011,0.091657,0.148621", \ - "0.029742,0.034632,0.039439,0.047834,0.063005,0.091655,0.148611", \ - "0.029659,0.034598,0.039455,0.047861,0.063037,0.091665,0.148623", \ - "0.031150,0.035552,0.040171,0.048377,0.063369,0.091868,0.148726", \ - "0.038718,0.042826,0.046754,0.053792,0.067281,0.094096,0.149729", \ - "0.046856,0.051301,0.055209,0.061641,0.074037,0.099747,0.153947"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.017891,0.029003,0.043117,0.072527,0.133136,0.255395,0.499958", \ - "0.017901,0.029005,0.043118,0.072521,0.133140,0.255403,0.499958", \ - "0.017908,0.029034,0.043148,0.072542,0.133140,0.255406,0.499958", \ - "0.019804,0.030211,0.043805,0.072707,0.133143,0.255406,0.499957", \ - "0.024364,0.033462,0.045971,0.073953,0.133553,0.255365,0.499960", \ - "0.030752,0.039207,0.050055,0.075772,0.134301,0.255806,0.499953", \ - "0.038028,0.046924,0.056812,0.079540,0.135385,0.256370,0.500318"); - } - } - - timing () { - - related_pin : "S"; - when : "A & !B"; - sdf_cond : "(A == 1'b1) && (B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.183919,0.199841,0.213964,0.235081,0.266651,0.315714,0.397097", \ - "0.190487,0.206455,0.220604,0.241742,0.273316,0.322379,0.403762", \ - "0.205220,0.221212,0.235395,0.256551,0.288148,0.337222,0.418615", \ - "0.220488,0.236542,0.250562,0.271540,0.303137,0.352211,0.433601", \ - "0.234694,0.250369,0.264247,0.284455,0.316080,0.365194,0.446632", \ - "0.246174,0.261855,0.275648,0.295972,0.327339,0.376160,0.457384", \ - "0.253106,0.268818,0.282812,0.303366,0.334785,0.383686,0.464854"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.128278,0.144625,0.162310,0.195481,0.260122,0.388412,0.644030", \ - "0.132438,0.148763,0.166453,0.199632,0.264265,0.392537,0.648163", \ - "0.151296,0.167614,0.185304,0.218495,0.283145,0.411416,0.667028", \ - "0.187188,0.203508,0.221079,0.254088,0.318748,0.447052,0.702678", \ - "0.231179,0.247517,0.265207,0.298022,0.362688,0.490939,0.746569", \ - "0.279195,0.295649,0.313240,0.346007,0.410567,0.538963,0.794690", \ - "0.331611,0.348245,0.365875,0.398710,0.463268,0.591439,0.847349"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.029983,0.034832,0.039626,0.047982,0.063108,0.091724,0.148658", \ - "0.029988,0.034834,0.039624,0.047982,0.063109,0.091721,0.148662", \ - "0.029984,0.034829,0.039625,0.047980,0.063107,0.091722,0.148663", \ - "0.029954,0.034810,0.039597,0.047960,0.063099,0.091715,0.148654", \ - "0.029120,0.034187,0.039244,0.047854,0.063099,0.091768,0.148718", \ - "0.029099,0.034029,0.038905,0.047370,0.062571,0.091208,0.148623", \ - "0.029176,0.034133,0.039024,0.047502,0.062705,0.091351,0.148220"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.018552,0.029480,0.043468,0.072716,0.133190,0.255408,0.499962", \ - "0.018545,0.029478,0.043467,0.072704,0.133184,0.255365,0.499961", \ - "0.018547,0.029478,0.043466,0.072709,0.133175,0.255386,0.499961", \ - "0.018583,0.029501,0.043477,0.072712,0.133176,0.255388,0.499960", \ - "0.018822,0.029653,0.043658,0.072868,0.133261,0.255380,0.499969", \ - "0.019279,0.029929,0.043751,0.072830,0.133270,0.255543,0.500032", \ - "0.020004,0.030400,0.044053,0.073014,0.133303,0.255389,0.500207"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !S"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("2.356597,2.426893,2.507134,2.626491,2.779186,2.913509,2.999945", \ - "2.338268,2.417751,2.492583,2.619526,2.764070,2.898198,2.987397", \ - "2.323893,2.399359,2.477195,2.597774,2.746115,2.876779,2.966611", \ - "2.313976,2.386529,2.460749,2.580936,2.734063,2.864674,2.954320", \ - "2.325512,2.398300,2.472465,2.592174,2.723907,2.870977,2.956544", \ - "2.380720,2.445771,2.514945,2.618730,2.751675,2.898134,2.984681", \ - "2.436815,2.479032,2.544615,2.651227,2.806949,2.962642,3.059972"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("1.775554,1.886405,1.933432,1.986179,1.984919,2.044513,2.054632", \ - "1.760189,1.869537,1.910905,1.972227,1.954930,2.014778,2.024497", \ - "1.743669,1.842470,1.891123,1.950579,1.988235,2.012038,2.023192", \ - "1.736277,1.832340,1.867738,1.913712,1.916803,1.979133,2.041122", \ - "1.752831,1.849441,1.880634,1.888894,1.930637,1.924771,2.011759", \ - "1.816399,1.919611,1.940074,1.939307,1.948204,1.995342,2.019748", \ - "1.900586,2.018655,2.052308,2.061631,2.042530,2.054259,2.074147"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !S"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("2.355689,2.426327,2.505103,2.625813,2.780804,2.912479,2.995603", \ - "2.337707,2.417344,2.492125,2.619165,2.763368,2.897155,2.985889", \ - "2.323493,2.398915,2.476935,2.597352,2.745333,2.875716,2.965034", \ - "2.313480,2.385755,2.463084,2.580427,2.733176,2.863515,2.952631", \ - "2.324522,2.397305,2.471916,2.591066,2.722719,2.869548,2.954620", \ - "2.379434,2.444462,2.513633,2.617751,2.750226,2.896439,2.982479", \ - "2.435820,2.477376,2.542490,2.651705,2.803129,2.960860,3.057370"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("1.773267,1.886032,1.933910,1.986703,2.021560,2.045013,2.055009", \ - "1.760328,1.869299,1.911454,1.945292,1.954887,2.014825,2.024904", \ - "1.743005,1.842208,1.891610,1.924139,1.961812,2.011806,2.023575", \ - "1.735733,1.832043,1.868316,1.914781,1.917135,1.979550,1.994558", \ - "1.752704,1.849334,1.881439,1.890633,1.939953,1.965601,2.012552", \ - "1.811816,1.914544,1.941128,1.939596,1.956780,1.995720,2.020372", \ - "1.900724,2.019039,2.060286,2.069524,2.042906,2.055143,2.075221"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & S"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("2.531628,2.614200,2.708121,2.837404,3.006493,3.154460,3.254934", \ - "2.513990,2.602905,2.692725,2.827241,2.994235,3.139349,3.240441", \ - "2.495987,2.585677,2.670461,2.808186,2.966857,3.126754,3.221871", \ - "2.486151,2.575328,2.658150,2.788525,2.956000,3.112672,3.208205", \ - "2.504845,2.588734,2.667822,2.795507,2.961763,3.111158,3.213079", \ - "2.548742,2.628531,2.706814,2.826305,2.990578,3.137926,3.240989", \ - "2.611378,2.667351,2.735798,2.858008,3.029037,3.200336,3.311097"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("1.558325,1.629350,1.669721,1.700121,1.767777,1.758601,1.835310", \ - "1.536179,1.611610,1.664141,1.695464,1.737048,1.727287,1.804479", \ - "1.525918,1.600716,1.637390,1.663347,1.722021,1.714723,1.792974", \ - "1.506683,1.577850,1.621922,1.641347,1.674949,1.736725,1.751254", \ - "1.532923,1.602020,1.622537,1.645451,1.679294,1.674250,1.692357", \ - "1.604056,1.666825,1.680455,1.686739,1.690385,1.672829,1.764704", \ - "1.688450,1.774073,1.793372,1.807327,1.786594,1.798788,1.817111"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & S"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("2.531205,2.613511,2.708243,2.837037,3.005771,3.153407,3.253438", \ - "2.513521,2.602205,2.692833,2.827110,2.993371,3.138334,3.238927", \ - "2.495218,2.584829,2.670513,2.810647,2.965889,3.125595,3.217838", \ - "2.485243,2.574417,2.657453,2.788228,2.955058,3.111403,3.206462", \ - "2.503921,2.587840,2.667021,2.794304,2.960444,3.109667,3.211118", \ - "2.547515,2.627236,2.703713,2.825548,2.988951,3.136143,3.238729", \ - "2.603250,2.665555,2.733985,2.857196,3.028361,3.198287,3.308445"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("1.557163,1.629345,1.671050,1.701536,1.767979,1.759057,1.835821", \ - "1.536334,1.611721,1.647509,1.697137,1.736987,1.727614,1.805114", \ - "1.525902,1.600691,1.636085,1.664724,1.722253,1.715158,1.793605", \ - "1.506615,1.577973,1.620458,1.642309,1.674645,1.736912,1.751419", \ - "1.533234,1.602210,1.605848,1.610661,1.681028,1.675770,1.693814", \ - "1.593894,1.667098,1.682106,1.687010,1.699224,1.673008,1.765314", \ - "1.688928,1.774683,1.803654,1.775392,1.787173,1.798017,1.818188"); - } - } - - internal_power () { - - related_pin : "S"; - when : "!A & B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("3.346980,3.421621,3.504642,3.636808,3.782991,3.922910,4.003472", \ - "3.281885,3.360334,3.439659,3.570973,3.723664,3.858496,3.939068", \ - "3.223674,3.308053,3.392322,3.522286,3.666250,3.807884,3.887477", \ - "3.294128,3.374924,3.459005,3.571306,3.719269,3.866025,3.949911", \ - "3.493796,3.561639,3.630796,3.738989,3.877700,4.017983,4.103006", \ - "3.781759,3.839501,3.916760,4.025039,4.165384,4.294207,4.378452", \ - "4.182002,4.206469,4.251374,4.351374,4.504323,4.687171,4.778129"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("1.596069,1.682533,1.724770,1.759893,1.827467,1.815653,1.821174", \ - "1.579037,1.668782,1.706192,1.764199,1.801592,1.789643,1.863129", \ - "1.550645,1.630677,1.667335,1.701168,1.738818,1.799923,1.810201", \ - "1.590990,1.645840,1.680157,1.675458,1.704661,1.754368,1.770054", \ - "1.770930,1.820415,1.810364,1.825553,1.784617,1.845414,1.864628", \ - "2.050878,2.127917,2.136650,2.113354,2.089891,2.073383,2.096667", \ - "2.442462,2.545558,2.575140,2.540271,2.502202,2.492004,2.519196"); - } - } - - internal_power () { - - related_pin : "S"; - when : "A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("2.003926,2.082048,2.172059,2.307589,2.470936,2.623960,2.717284", \ - "1.999384,2.088605,2.172293,2.299306,2.473714,2.619607,2.721463", \ - "1.970480,2.054272,2.147234,2.283238,2.434305,2.592535,2.693182", \ - "1.962628,2.049783,2.136537,2.273952,2.418139,2.584703,2.683032", \ - "1.999949,2.094762,2.190536,2.321158,2.479468,2.636046,2.737203", \ - "2.184730,2.262312,2.348121,2.472067,2.614330,2.770356,2.870496", \ - "2.428718,2.509353,2.603374,2.727518,2.886025,3.019074,3.080255"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("3.616019,3.715715,3.763248,3.786516,3.801320,3.860904,3.873972", \ - "3.574285,3.674202,3.715658,3.763079,3.794973,3.830945,3.832630", \ - "3.570168,3.667161,3.716776,3.746268,3.780403,3.839301,3.852741", \ - "3.620611,3.720671,3.763021,3.810008,3.820149,3.879951,3.893158", \ - "3.712494,3.821597,3.883905,3.913825,3.910611,3.971580,3.986039", \ - "3.770696,3.913022,3.990557,4.039550,4.104928,4.098568,4.184458", \ - "3.913368,4.059623,4.131491,4.227626,4.280864,4.344192,4.372666"); - } - } - } - - } - - - /****************************************************************************************** - Module : MUX2_X2 - Cell Description : Combinational cell (MUX2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (MUX2_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 45.527836; - - leakage_power () { - when : "!A & !B & !S"; - value : 43.903205; - } - leakage_power () { - when : "!A & !B & S"; - value : 32.359014; - } - leakage_power () { - when : "!A & B & !S"; - value : 58.148597; - } - leakage_power () { - when : "!A & B & S"; - value : 46.177942; - } - leakage_power () { - when : "A & !B & !S"; - value : 45.288790; - } - leakage_power () { - when : "A & !B & S"; - value : 36.909278; - } - leakage_power () { - when : "A & B & !S"; - value : 50.188567; - } - leakage_power () { - when : "A & B & S"; - value : 51.247294; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.557016; - fall_capacitance : 1.486844; - rise_capacitance : 1.557016; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.670997; - fall_capacitance : 1.441403; - rise_capacitance : 1.670997; - } - - pin (S) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.596729; - fall_capacitance : 2.453086; - rise_capacitance : 2.596729; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.797500; - function : "((S & B) | (A & !S))"; - - timing () { - - related_pin : "A"; - when : "!B & !S"; - sdf_cond : "(B == 1'b0) && (S == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.155153,0.170395,0.182382,0.200797,0.229320,0.275246,0.353653", \ - "0.159686,0.174949,0.186935,0.205357,0.233882,0.279814,0.358219", \ - "0.177122,0.192356,0.204338,0.222756,0.251282,0.297212,0.375620", \ - "0.210728,0.225945,0.237716,0.256012,0.284514,0.330482,0.408904", \ - "0.260501,0.275855,0.287825,0.305907,0.334507,0.380499,0.458957", \ - "0.318412,0.335398,0.348518,0.368080,0.398176,0.445375,0.524421", \ - "0.380620,0.399449,0.413955,0.435484,0.467893,0.517697,0.599208"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.076353,0.095034,0.112903,0.146389,0.211356,0.339776,0.595359", \ - "0.080830,0.099507,0.117378,0.150864,0.215830,0.344256,0.599836", \ - "0.097399,0.116051,0.133883,0.167308,0.232224,0.360663,0.616280", \ - "0.128830,0.147402,0.164944,0.198042,0.262783,0.391180,0.646858", \ - "0.162827,0.182439,0.200259,0.233051,0.297638,0.425798,0.681403", \ - "0.193091,0.214797,0.233334,0.266378,0.330671,0.458740,0.714151", \ - "0.217447,0.241937,0.261952,0.295751,0.359735,0.487350,0.742660"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.021992,0.027199,0.031945,0.040427,0.055892,0.085013,0.142711", \ - "0.021993,0.027200,0.031947,0.040429,0.055889,0.085015,0.142710", \ - "0.021992,0.027201,0.031950,0.040427,0.055895,0.085015,0.142712", \ - "0.021997,0.027217,0.031973,0.040453,0.055905,0.085021,0.142717", \ - "0.022964,0.027873,0.032469,0.040801,0.056130,0.085151,0.142773", \ - "0.027165,0.032167,0.036655,0.044667,0.059320,0.087222,0.143742", \ - "0.032275,0.037437,0.042005,0.050042,0.064620,0.092247,0.147572"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017435,0.029871,0.043972,0.073282,0.133692,0.255626,0.499830", \ - "0.017433,0.029868,0.043968,0.073282,0.133690,0.255619,0.499815", \ - "0.017386,0.029839,0.043952,0.073273,0.133684,0.255613,0.499824", \ - "0.018034,0.030102,0.044053,0.073282,0.133664,0.255600,0.499808", \ - "0.021236,0.032155,0.045414,0.074023,0.133898,0.255639,0.499833", \ - "0.025964,0.035908,0.047881,0.075106,0.134483,0.255975,0.499869", \ - "0.031475,0.041454,0.052185,0.077246,0.135051,0.256473,0.500185"); - } - } - - timing () { - - related_pin : "A"; - when : "B & !S"; - sdf_cond : "(B == 1'b1) && (S == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.181795,0.198887,0.212217,0.232364,0.262877,0.310908,0.391380", \ - "0.186067,0.203177,0.216511,0.236669,0.267189,0.315223,0.395701", \ - "0.202938,0.220019,0.233336,0.253498,0.284017,0.332054,0.412525", \ - "0.235036,0.252094,0.265224,0.285263,0.315782,0.363825,0.444309", \ - "0.281328,0.298381,0.311684,0.331385,0.361930,0.410003,0.490506", \ - "0.336592,0.354890,0.369041,0.390052,0.421625,0.470418,0.551287", \ - "0.396894,0.416677,0.431994,0.454560,0.488102,0.539189,0.622196"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.071649,0.090402,0.108352,0.141940,0.206981,0.335434,0.591007", \ - "0.076113,0.094870,0.112811,0.146396,0.211439,0.339891,0.595467", \ - "0.092870,0.111533,0.129397,0.162886,0.227871,0.356334,0.611973", \ - "0.123799,0.142403,0.159932,0.193057,0.257842,0.386261,0.641948", \ - "0.156122,0.175804,0.193628,0.226419,0.291046,0.419213,0.674820", \ - "0.184253,0.206193,0.224773,0.257811,0.322091,0.450171,0.705572", \ - "0.206153,0.230963,0.251149,0.285022,0.348977,0.476517,0.731809"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.026859,0.032358,0.037207,0.045714,0.061063,0.089980,0.147202", \ - "0.026857,0.032354,0.037208,0.045709,0.061065,0.089978,0.147202", \ - "0.026868,0.032361,0.037211,0.045713,0.061066,0.089975,0.147200", \ - "0.026870,0.032368,0.037228,0.045729,0.061078,0.089986,0.147204", \ - "0.027251,0.032661,0.037478,0.045922,0.061203,0.090052,0.147237", \ - "0.030973,0.036245,0.040859,0.048935,0.063530,0.091453,0.147911", \ - "0.035478,0.040878,0.045551,0.053642,0.068228,0.095940,0.151282"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017481,0.029961,0.044091,0.073418,0.133790,0.255679,0.499830", \ - "0.017460,0.029944,0.044078,0.073410,0.133784,0.255656,0.499840", \ - "0.017330,0.029854,0.044012,0.073382,0.133771,0.255655,0.499835", \ - "0.018181,0.030200,0.044118,0.073346,0.133729,0.255652,0.499822", \ - "0.021604,0.032370,0.045536,0.074132,0.133977,0.255653,0.499841", \ - "0.026568,0.036389,0.048181,0.075239,0.134530,0.256018,0.499882", \ - "0.032301,0.042248,0.052806,0.077555,0.135159,0.256525,0.500212"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & S"; - sdf_cond : "(A == 1'b0) && (S == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.127287,0.142095,0.153617,0.171204,0.198334,0.242218,0.318352", \ - "0.130661,0.145473,0.157001,0.174593,0.201726,0.245612,0.321747", \ - "0.146302,0.161095,0.172613,0.190197,0.217337,0.261225,0.337361", \ - "0.180655,0.195391,0.206794,0.224215,0.251364,0.295268,0.371410", \ - "0.234640,0.249934,0.261577,0.279056,0.306349,0.350361,0.426563", \ - "0.295312,0.312954,0.326165,0.345473,0.374369,0.419699,0.496540", \ - "0.359426,0.379591,0.394672,0.416290,0.447506,0.494785,0.573292"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.064726,0.082596,0.099980,0.132925,0.197312,0.325173,0.580178", \ - "0.069929,0.087795,0.105185,0.138137,0.202548,0.330406,0.585408", \ - "0.085108,0.102914,0.120241,0.153134,0.217555,0.345482,0.600532", \ - "0.109519,0.127638,0.145009,0.177786,0.242092,0.370040,0.625193", \ - "0.136469,0.155534,0.173163,0.205901,0.270192,0.397999,0.653165", \ - "0.161892,0.182594,0.200858,0.233531,0.297678,0.425428,0.680453", \ - "0.182562,0.205654,0.225162,0.258742,0.322908,0.450355,0.705291"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.021486,0.026329,0.030742,0.038676,0.053376,0.081869,0.139896", \ - "0.021485,0.026330,0.030741,0.038675,0.053376,0.081868,0.139897", \ - "0.021485,0.026336,0.030752,0.038685,0.053382,0.081870,0.139896", \ - "0.021485,0.026384,0.030816,0.038738,0.053415,0.081885,0.139904", \ - "0.023999,0.028115,0.032070,0.039574,0.053926,0.082170,0.140026", \ - "0.030465,0.034433,0.037995,0.044704,0.057947,0.084870,0.141232", \ - "0.037495,0.041807,0.045265,0.051444,0.063657,0.089324,0.144721"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015942,0.028381,0.042574,0.072115,0.132783,0.254875,0.499158", \ - "0.015938,0.028380,0.042576,0.072112,0.132772,0.254873,0.499162", \ - "0.015945,0.028396,0.042589,0.072123,0.132782,0.254906,0.499157", \ - "0.017023,0.029131,0.043021,0.072243,0.132787,0.254887,0.499168", \ - "0.019265,0.030878,0.044350,0.073034,0.133062,0.254861,0.499172", \ - "0.022890,0.033828,0.046544,0.074200,0.133555,0.255133,0.499165", \ - "0.027773,0.038395,0.050174,0.076314,0.134348,0.255580,0.499353"); - } - } - - timing () { - - related_pin : "B"; - when : "A & S"; - sdf_cond : "(A == 1'b1) && (S == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.187881,0.206431,0.220721,0.242065,0.273964,0.323527,0.405624", \ - "0.190601,0.209179,0.223475,0.244823,0.276724,0.326290,0.408389", \ - "0.204428,0.222996,0.237283,0.258626,0.290530,0.340095,0.422198", \ - "0.236053,0.254598,0.268755,0.289954,0.321850,0.371419,0.453522", \ - "0.289140,0.307601,0.321763,0.342832,0.374715,0.424296,0.506414", \ - "0.360586,0.380226,0.395032,0.416762,0.448998,0.498803,0.581061", \ - "0.437033,0.459124,0.475686,0.499462,0.533663,0.585133,0.668743"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067895,0.087031,0.104984,0.138307,0.202780,0.330616,0.585628", \ - "0.073151,0.092289,0.110242,0.143578,0.208071,0.335910,0.590914", \ - "0.088461,0.107552,0.125444,0.158730,0.223232,0.351127,0.606185", \ - "0.113300,0.132737,0.150675,0.183873,0.248280,0.376199,0.631348", \ - "0.141026,0.161624,0.179951,0.213296,0.277727,0.405509,0.660673", \ - "0.167512,0.190012,0.209166,0.242626,0.307057,0.434786,0.689801", \ - "0.189319,0.214550,0.235199,0.269888,0.334500,0.461896,0.716762"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.030176,0.035632,0.040378,0.048720,0.063926,0.092718,0.149783", \ - "0.030194,0.035632,0.040374,0.048717,0.063929,0.092718,0.149786", \ - "0.030185,0.035633,0.040378,0.048720,0.063926,0.092718,0.149784", \ - "0.030185,0.035634,0.040388,0.048738,0.063946,0.092725,0.149785", \ - "0.030113,0.035691,0.040481,0.048838,0.064019,0.092774,0.149808", \ - "0.034868,0.039464,0.043543,0.050990,0.065311,0.093504,0.150184", \ - "0.042229,0.046958,0.050690,0.057383,0.070576,0.097539,0.152770"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017838,0.029840,0.043667,0.072653,0.132883,0.254887,0.499172", \ - "0.017840,0.029839,0.043664,0.072653,0.132874,0.254902,0.499175", \ - "0.017851,0.029862,0.043686,0.072663,0.132882,0.254868,0.499176", \ - "0.019051,0.030636,0.044144,0.072821,0.132900,0.254896,0.499169", \ - "0.021706,0.032760,0.045805,0.073814,0.133218,0.254892,0.499182", \ - "0.025927,0.036233,0.048482,0.075352,0.133864,0.255158,0.499175", \ - "0.031592,0.041493,0.052777,0.078019,0.134883,0.255580,0.499354"); - } - } - - timing () { - - related_pin : "S"; - when : "!A & B"; - sdf_cond : "(A == 1'b0) && (B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.127800,0.144749,0.157962,0.177969,0.208342,0.256249,0.336654", \ - "0.130562,0.147501,0.160720,0.180728,0.211096,0.259013,0.339409", \ - "0.144743,0.161595,0.174787,0.194743,0.225087,0.272988,0.353371", \ - "0.178148,0.194911,0.208035,0.227949,0.258274,0.306110,0.386503", \ - "0.230736,0.248075,0.261370,0.281270,0.311670,0.359653,0.440104", \ - "0.289232,0.308938,0.323877,0.345729,0.377844,0.427285,0.508487", \ - "0.351528,0.373737,0.390703,0.415102,0.449739,0.501190,0.584359"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.062012,0.079873,0.097253,0.130180,0.194545,0.322375,0.577356", \ - "0.066674,0.084533,0.101910,0.134839,0.199226,0.327060,0.582036", \ - "0.083525,0.101290,0.118573,0.151401,0.215774,0.343699,0.598767", \ - "0.110719,0.128853,0.146109,0.178741,0.242932,0.370824,0.625986", \ - "0.136363,0.155968,0.173531,0.206066,0.270242,0.397837,0.652924", \ - "0.156608,0.179035,0.197687,0.230463,0.294173,0.421797,0.676610", \ - "0.169977,0.195615,0.216353,0.250380,0.313868,0.440850,0.695643"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.026118,0.031694,0.036630,0.045249,0.060733,0.089764,0.147091", \ - "0.026089,0.031666,0.036600,0.045224,0.060717,0.089754,0.147088", \ - "0.025978,0.031591,0.036539,0.045177,0.060676,0.089727,0.147068", \ - "0.025832,0.031537,0.036526,0.045178,0.060668,0.089709,0.147056", \ - "0.029117,0.033771,0.038109,0.046166,0.061272,0.090056,0.147232", \ - "0.036527,0.041066,0.044979,0.052100,0.065946,0.093282,0.148807", \ - "0.044673,0.049553,0.053418,0.059954,0.072467,0.098376,0.153234"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015927,0.028374,0.042565,0.072099,0.132740,0.254827,0.499105", \ - "0.015924,0.028372,0.042569,0.072101,0.132747,0.254833,0.499104", \ - "0.015949,0.028402,0.042594,0.072122,0.132765,0.254861,0.499107", \ - "0.017743,0.029522,0.043252,0.072305,0.132763,0.254861,0.499114", \ - "0.021907,0.032244,0.045066,0.073411,0.133247,0.254848,0.499134", \ - "0.027625,0.037247,0.048498,0.074886,0.133878,0.255398,0.499124", \ - "0.034038,0.044211,0.054379,0.078046,0.134761,0.255920,0.499569"); - } - } - - timing () { - - related_pin : "S"; - when : "A & !B"; - sdf_cond : "(A == 1'b1) && (B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.226981,0.245431,0.259663,0.280949,0.312818,0.362370,0.444462", \ - "0.233834,0.252345,0.266598,0.287896,0.319763,0.369315,0.451409", \ - "0.252738,0.271309,0.285575,0.306903,0.338803,0.388369,0.470468", \ - "0.275329,0.293921,0.308146,0.329425,0.361325,0.410888,0.492988", \ - "0.296922,0.315509,0.329664,0.350624,0.382516,0.432072,0.514167", \ - "0.317022,0.335139,0.349038,0.369610,0.401145,0.450445,0.532575", \ - "0.332468,0.350598,0.364412,0.385114,0.416726,0.465966,0.547610"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.154025,0.172782,0.190645,0.224063,0.288929,0.417300,0.672907", \ - "0.158400,0.177156,0.195014,0.228439,0.293313,0.421680,0.677284", \ - "0.176973,0.195731,0.213592,0.247016,0.311890,0.440270,0.695868", \ - "0.214628,0.233386,0.251161,0.284562,0.349448,0.477844,0.733443", \ - "0.265129,0.283967,0.301805,0.334904,0.399790,0.528197,0.783811", \ - "0.320518,0.339364,0.357185,0.390359,0.455219,0.583724,0.839390", \ - "0.380474,0.399477,0.417348,0.450596,0.515359,0.643579,0.899354"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.030177,0.035636,0.040387,0.048733,0.063944,0.092727,0.149791", \ - "0.030184,0.035637,0.040384,0.048735,0.063938,0.092723,0.149790", \ - "0.030189,0.035634,0.040383,0.048730,0.063938,0.092722,0.149783", \ - "0.030183,0.035634,0.040382,0.048724,0.063931,0.092721,0.149788", \ - "0.030074,0.035554,0.040311,0.048670,0.063892,0.092698,0.149776", \ - "0.029152,0.034665,0.039466,0.047884,0.063167,0.092356,0.149827", \ - "0.029162,0.034707,0.039534,0.047981,0.063253,0.092022,0.148888"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017783,0.030114,0.044134,0.073364,0.133703,0.255638,0.499841", \ - "0.017780,0.030113,0.044136,0.073362,0.133704,0.255640,0.499840", \ - "0.017781,0.030112,0.044135,0.073356,0.133704,0.255621,0.499828", \ - "0.017781,0.030116,0.044136,0.073362,0.133713,0.255620,0.499837", \ - "0.017941,0.030230,0.044217,0.073403,0.133716,0.255637,0.499842", \ - "0.018109,0.030337,0.044265,0.073378,0.133806,0.255741,0.499855", \ - "0.018526,0.030657,0.044504,0.073510,0.133628,0.255553,0.499951"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !S"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.864440,5.133203,5.370814,5.673765,6.040896,6.333254,6.485443", \ - "4.807065,5.105916,5.351833,5.647263,6.011492,6.296881,6.449908", \ - "4.741041,5.037436,5.265854,5.583382,5.933373,6.214117,6.377152", \ - "4.715349,4.983847,5.215673,5.532650,5.877403,6.167587,6.324006", \ - "4.737231,5.007836,5.216234,5.503840,5.853083,6.155302,6.314888", \ - "4.735257,4.978918,5.200433,5.501442,5.866320,6.184728,6.349708", \ - "4.806284,4.984302,5.168948,5.464872,5.861700,6.267385,6.472256"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.388732,3.626962,3.712333,3.854304,3.967314,3.977114,4.033923", \ - "3.374877,3.613074,3.711303,3.834797,3.928167,3.938055,4.129949", \ - "3.344553,3.567389,3.682862,3.773765,3.856175,4.003149,4.063319", \ - "3.382238,3.574666,3.653823,3.693444,3.783452,3.930412,3.997881", \ - "3.511413,3.670559,3.731693,3.783299,3.763784,3.905946,3.975629", \ - "3.728530,3.934703,3.967679,3.965383,3.978018,3.973811,4.046249", \ - "4.036224,4.272085,4.334780,4.292801,4.257923,4.231604,4.292103"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !S"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.743289,4.936206,5.120764,5.389029,5.696716,5.983218,6.142786", \ - "4.695521,4.910018,5.088301,5.360380,5.666770,5.947346,6.106353", \ - "4.644951,4.834580,5.009350,5.277799,5.586338,5.874550,6.033861", \ - "4.604479,4.794718,4.973526,5.224031,5.538672,5.820216,5.988470", \ - "4.616852,4.810865,4.961148,5.202188,5.516655,5.810104,5.974304", \ - "4.667551,4.841369,5.008211,5.254705,5.562531,5.843390,6.007727", \ - "4.736449,4.849317,4.991462,5.237561,5.576398,5.931071,6.119684"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.278698,3.520295,3.642622,3.720053,3.870564,3.882470,4.075107", \ - "3.236780,3.490970,3.611449,3.751781,3.827290,3.974551,4.032311", \ - "3.201394,3.450285,3.567806,3.674392,3.740990,3.890444,3.954190", \ - "3.258494,3.461788,3.541729,3.594910,3.681972,3.849938,3.902111", \ - "3.387764,3.576720,3.620286,3.670249,3.706225,3.851140,3.924577", \ - "3.625002,3.811307,3.869220,3.855152,3.835690,3.971933,4.047325", \ - "3.935216,4.161787,4.209965,4.205272,4.174911,4.148390,4.211965"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & S"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.391712,4.681078,4.918424,5.186292,5.530748,5.788919,5.917007", \ - "4.363162,4.647086,4.870443,5.174203,5.488471,5.748119,5.872974", \ - "4.316725,4.598548,4.803585,5.102413,5.437536,5.689315,5.824096", \ - "4.342401,4.616042,4.832971,5.101225,5.451807,5.699377,5.841617", \ - "4.531285,4.775829,4.975911,5.246116,5.559078,5.820036,5.962467", \ - "4.809873,4.974375,5.163698,5.425230,5.765119,6.048493,6.192309", \ - "5.225423,5.330188,5.462356,5.675786,6.005166,6.341579,6.532142"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.659694,2.825314,2.920193,2.959161,3.050383,3.020365,3.033128", \ - "2.669560,2.835962,2.901383,2.963031,3.012300,3.117011,3.130051", \ - "2.624605,2.784282,2.851574,2.922228,2.975457,3.072135,2.969572", \ - "2.603336,2.730784,2.794843,2.825121,2.899124,2.881856,2.911596", \ - "2.649521,2.782050,2.813110,2.837507,2.864107,2.848626,2.887981", \ - "2.789745,2.934049,2.979669,2.918132,2.895601,3.005499,3.052099", \ - "2.986037,3.187892,3.229901,3.211432,3.201499,3.215539,3.219117"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & S"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.973069,5.158314,5.340968,5.612257,5.959141,6.278500,6.508013", \ - "4.908112,5.113271,5.302654,5.575049,5.917420,6.240747,6.465897", \ - "4.884125,5.074311,5.253350,5.522422,5.860484,6.201669,6.418995", \ - "4.886469,5.090639,5.256622,5.532845,5.872959,6.194184,6.427764", \ - "5.021448,5.215169,5.381079,5.632778,5.966042,6.296101,6.522381", \ - "5.356357,5.502278,5.645742,5.863770,6.182953,6.495261,6.725362", \ - "5.779902,5.855950,5.952934,6.155869,6.456299,6.808826,7.032884"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.641440,2.834125,2.903745,2.974933,3.037011,3.007332,3.021779", \ - "2.634293,2.826065,2.922396,2.960475,3.003562,3.108917,3.123855", \ - "2.621740,2.808718,2.878732,2.962919,3.002669,3.089968,3.110130", \ - "2.601108,2.769724,2.804956,2.824869,2.894107,3.013130,3.043684", \ - "2.638652,2.806533,2.833638,2.844893,2.819233,2.939117,2.979970", \ - "2.784355,2.959537,2.973308,2.946114,2.924328,2.898363,3.080897", \ - "2.996770,3.191559,3.250832,3.234235,3.189698,3.135296,3.170438"); - } - } - - internal_power () { - - related_pin : "S"; - when : "!A & B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.664935,5.926140,6.132560,6.390332,6.711157,6.996366,7.152100", \ - "5.579549,5.842109,6.039458,6.312304,6.628240,6.913287,7.070856", \ - "5.494312,5.732820,5.945543,6.220126,6.540095,6.810752,6.973629", \ - "5.539541,5.771452,5.970888,6.251018,6.572654,6.852207,7.015555", \ - "5.833473,6.053875,6.219769,6.468106,6.772027,7.051208,7.223395", \ - "6.244300,6.384107,6.542541,6.808095,7.150199,7.431172,7.604808", \ - "6.852036,6.932979,7.046506,7.253928,7.562683,7.953753,8.172962"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.338526,3.507604,3.585824,3.632212,3.653580,3.758542,3.773756", \ - "3.329974,3.498896,3.564776,3.647132,3.619761,3.725549,3.741112", \ - "3.293221,3.451468,3.502791,3.538353,3.525479,3.640110,3.663519", \ - "3.305394,3.452753,3.493278,3.536015,3.548616,3.531218,3.702580", \ - "3.533941,3.631512,3.616727,3.586129,3.656671,3.636956,3.680550", \ - "3.855670,4.049182,4.051173,3.968300,3.990913,3.960219,4.006218", \ - "4.343076,4.585904,4.640219,4.592967,4.530187,4.452504,4.482509"); - } - } - - internal_power () { - - related_pin : "S"; - when : "A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.231877,5.416532,5.594109,5.854867,6.197634,6.532345,6.751947", \ - "5.254090,5.444163,5.623374,5.886663,6.222894,6.556142,6.773766", \ - "5.248334,5.442249,5.614672,5.888453,6.227420,6.566169,6.779478", \ - "5.238308,5.419231,5.606065,5.878169,6.195343,6.542653,6.769206", \ - "5.242782,5.453341,5.628563,5.900816,6.248609,6.580057,6.791511", \ - "5.377702,5.551035,5.726945,5.963443,6.326911,6.660929,6.888484", \ - "5.614438,5.806008,5.986175,6.225520,6.565979,6.853802,7.053523"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.345453,6.599968,6.703583,6.791549,6.847383,6.990015,7.049710", \ - "6.336359,6.569027,6.671181,6.794717,6.813084,6.970970,7.014900", \ - "6.349273,6.583061,6.672400,6.796193,6.881697,6.888203,7.083345", \ - "6.395474,6.634690,6.746957,6.835998,6.857432,7.002580,7.061399", \ - "6.458420,6.720830,6.815702,6.908401,7.007573,7.018652,7.216358", \ - "6.481427,6.775228,6.933311,7.053188,7.136789,7.244334,7.348479", \ - "6.553117,6.851972,7.012553,7.181523,7.318754,7.440670,7.510698"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND2_X1 - Cell Description : Combinational cell (NAND2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NAND2_X1) { - - drive_strength : 1; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 11.378547; - - leakage_power () { - when : "!A1 & !A2"; - value : 3.171993; - } - leakage_power () { - when : "!A1 & A2"; - value : 17.417347; - } - leakage_power () { - when : "A1 & !A2"; - value : 7.713155; - } - leakage_power () { - when : "A1 & A2"; - value : 17.211691; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.568247; - fall_capacitance : 1.452688; - rise_capacitance : 1.568247; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.613222; - fall_capacitance : 1.437294; - rise_capacitance : 1.613222; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 59.345290; - function : "!(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.0160079,0.0232207,0.0321138,0.0498211,0.0851692,0.155810,0.297062", \ - "0.0202536,0.0275951,0.0365709,0.0543505,0.0897526,0.160420,0.301690", \ - "0.0328282,0.0431695,0.0532848,0.0709698,0.106330,0.177005,0.318261", \ - "0.0428310,0.0595603,0.0757730,0.100934,0.138912,0.209163,0.350213", \ - "0.0477995,0.0714559,0.0945484,0.130383,0.183263,0.260351,0.400558", \ - "0.0467149,0.0776287,0.107926,0.155284,0.225103,0.325222,0.472326", \ - "0.0390367,0.0772115,0.114876,0.174222,0.262236,0.387975,0.565645"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.0253102,0.0384737,0.0544080,0.0859144,0.148613,0.273837,0.524048", \ - "0.0294093,0.0427310,0.0589230,0.0907510,0.153708,0.279106,0.529384", \ - "0.0476759,0.0610395,0.0768213,0.108492,0.171601,0.297226,0.547723", \ - "0.0717474,0.0924896,0.112734,0.145057,0.207344,0.332612,0.583033", \ - "0.0986038,0.126825,0.154784,0.198720,0.265322,0.389267,0.639061", \ - "0.128276,0.164081,0.199860,0.256445,0.341870,0.470668,0.718676", \ - "0.161230,0.204483,0.247989,0.317441,0.422930,0.577548,0.825386"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00879656,0.0149917,0.0227062,0.0381303,0.0689612,0.130640,0.253940", \ - "0.00878764,0.0149872,0.0226994,0.0381326,0.0689611,0.130639,0.253934", \ - "0.0150130,0.0194151,0.0245788,0.0381316,0.0689521,0.130619,0.253942", \ - "0.0270762,0.0331979,0.0393146,0.0496432,0.0715552,0.130621,0.253945", \ - "0.0425838,0.0513828,0.0596922,0.0726785,0.0935608,0.136330,0.253925", \ - "0.0614050,0.0731106,0.0842363,0.100941,0.126329,0.166401,0.258590", \ - "0.0836035,0.0984202,0.112667,0.133775,0.164887,0.211435,0.288859"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.0170265,0.0290800,0.0440975,0.0740974,0.134110,0.254205,0.494162", \ - "0.0170258,0.0290733,0.0440822,0.0740920,0.134120,0.254215,0.494153", \ - "0.0215170,0.0300025,0.0440556,0.0740771,0.134120,0.254203,0.494142", \ - "0.0357897,0.0446086,0.0537761,0.0762666,0.134100,0.254193,0.494191", \ - "0.0522106,0.0645146,0.0767041,0.0967233,0.139638,0.254162,0.494272", \ - "0.0714262,0.0872968,0.103070,0.128047,0.167440,0.259262,0.494200", \ - "0.0937630,0.113002,0.132594,0.163230,0.210395,0.288171,0.494768"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.0187479,0.0259286,0.0348045,0.0524981,0.0878357,0.158481,0.299728", \ - "0.0235893,0.0309005,0.0398549,0.0576180,0.0930031,0.163670,0.304934", \ - "0.0364027,0.0452495,0.0549210,0.0728487,0.108368,0.179134,0.320471", \ - "0.0505734,0.0638400,0.0770982,0.0992462,0.136953,0.207993,0.349480", \ - "0.0607569,0.0809117,0.100085,0.129853,0.176233,0.252531,0.394471", \ - "0.0649499,0.0930199,0.119727,0.160233,0.219247,0.308940,0.457177", \ - "0.0628224,0.0992454,0.133876,0.186822,0.262356,0.370142,0.536333"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.0329335,0.0459304,0.0618666,0.0934660,0.156362,0.281694,0.531991", \ - "0.0372409,0.0504173,0.0665024,0.0982504,0.161263,0.286701,0.537051", \ - "0.0559631,0.0688112,0.0847222,0.116406,0.179464,0.305011,0.555443", \ - "0.0852828,0.103272,0.121787,0.153158,0.215540,0.340707,0.590977", \ - "0.117495,0.142143,0.167581,0.208888,0.273646,0.397599,0.647112", \ - "0.152487,0.184046,0.216672,0.269805,0.351953,0.479129,0.727073", \ - "0.190716,0.229111,0.268966,0.334298,0.435690,0.586830,0.833945"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00879625,0.0149872,0.0227040,0.0381256,0.0689662,0.130632,0.253937", \ - "0.00879472,0.0149882,0.0227010,0.0381246,0.0689735,0.130629,0.253947", \ - "0.0114108,0.0168978,0.0235233,0.0381256,0.0689683,0.130618,0.253942", \ - "0.0188149,0.0243144,0.0308167,0.0437353,0.0704189,0.130607,0.253940", \ - "0.0308658,0.0372306,0.0439156,0.0563666,0.0814383,0.133942,0.253926", \ - "0.0466813,0.0546948,0.0625270,0.0755067,0.0998165,0.149380,0.257035", \ - "0.0653759,0.0755670,0.0854486,0.100497,0.125409,0.173588,0.273101"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.0212247,0.0333802,0.0485207,0.0787097,0.139068,0.259417,0.499663", \ - "0.0212248,0.0333915,0.0485119,0.0787061,0.139078,0.259416,0.499685", \ - "0.0227497,0.0334009,0.0485007,0.0787368,0.139062,0.259384,0.499687", \ - "0.0360101,0.0451618,0.0551456,0.0798786,0.139013,0.259405,0.499641", \ - "0.0522719,0.0647688,0.0772754,0.0975414,0.143169,0.259372,0.499687", \ - "0.0705593,0.0871163,0.103372,0.128755,0.168747,0.263466,0.499692", \ - "0.0908730,0.111729,0.132211,0.163578,0.211289,0.290644,0.500081"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.196724,0.208638,0.210972,0.215326,0.213951,0.215979,0.214812", \ - "0.155913,0.177760,0.189451,0.201175,0.205963,0.211761,0.213865", \ - "0.120700,0.133486,0.153703,0.175452,0.190730,0.201539,0.208675", \ - "0.231644,0.196397,0.170931,0.164562,0.182954,0.197497,0.204170", \ - "0.410921,0.353618,0.309225,0.250801,0.214744,0.211116,0.213327", \ - "0.684837,0.603864,0.530592,0.438674,0.336098,0.272449,0.247374", \ - "1.054997,0.957767,0.860796,0.723713,0.562412,0.406775,0.324904"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("1.643168,1.675112,1.685173,1.670331,1.700029,1.668446,1.682296", \ - "1.555880,1.598771,1.623593,1.627737,1.685898,1.646680,1.664168", \ - "1.527320,1.541682,1.541912,1.597078,1.582192,1.646822,1.676035", \ - "1.578508,1.613068,1.576753,1.579077,1.614474,1.611968,1.646394", \ - "1.664850,1.697298,1.727336,1.677204,1.676221,1.651595,1.610104", \ - "1.911935,1.900194,1.891376,1.909396,1.817571,1.746592,1.677315", \ - "2.299839,2.260876,2.231587,2.191982,2.119432,1.957285,1.843379"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.204238,0.210875,0.210164,0.210128,0.210981,0.214477,0.215795", \ - "0.161475,0.182445,0.193373,0.198384,0.209545,0.213655,0.213563", \ - "0.102906,0.123435,0.149412,0.168888,0.191094,0.203305,0.207788", \ - "0.150216,0.142084,0.131540,0.138085,0.165102,0.186359,0.200066", \ - "0.231456,0.209860,0.194197,0.176878,0.161407,0.181295,0.194510", \ - "0.391774,0.352100,0.317381,0.273397,0.226167,0.196956,0.203446", \ - "0.647116,0.576928,0.518424,0.442974,0.357850,0.272205,0.236407"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("2.159316,2.184845,2.190804,2.172895,2.210991,2.190828,2.228048", \ - "2.097604,2.123440,2.152625,2.127374,2.166652,2.223225,2.252069", \ - "2.065235,2.088062,2.100887,2.119352,2.166497,2.165569,2.199645", \ - "2.133834,2.140658,2.156928,2.115307,2.151475,2.145633,2.180202", \ - "2.202614,2.253912,2.299094,2.279548,2.224722,2.198918,2.221355", \ - "2.426793,2.441512,2.446426,2.499853,2.366636,2.303158,2.301812", \ - "2.781650,2.781746,2.774002,2.761138,2.667563,2.521238,2.411767"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND2_X2 - Cell Description : Combinational cell (NAND2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NAND2_X2) { - - drive_strength : 2; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 22.764243; - - leakage_power () { - when : "!A1 & !A2"; - value : 6.353543; - } - leakage_power () { - when : "!A1 & A2"; - value : 34.844195; - } - leakage_power () { - when : "A1 & !A2"; - value : 15.435890; - } - leakage_power () { - when : "A1 & A2"; - value : 34.423345; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.002658; - fall_capacitance : 2.779389; - rise_capacitance : 3.002658; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.356109; - fall_capacitance : 3.010266; - rise_capacitance : 3.356109; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 118.669300; - function : "!(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.0153476,0.0234566,0.0323488,0.0500554,0.0854026,0.156043,0.297311", \ - "0.0195792,0.0278345,0.0368065,0.0545855,0.0899841,0.160654,0.301925", \ - "0.0317078,0.0434737,0.0535294,0.0712133,0.106575,0.177247,0.318515", \ - "0.0409914,0.0600563,0.0761748,0.101242,0.139159,0.209422,0.350473", \ - "0.0451620,0.0721551,0.0952030,0.130833,0.183599,0.260633,0.400854", \ - "0.0432867,0.0784229,0.108743,0.155903,0.225591,0.325595,0.472667", \ - "0.0348318,0.0781695,0.115796,0.174973,0.262818,0.388434,0.566013"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.0241267,0.0389306,0.0548549,0.0863485,0.149057,0.274295,0.524581", \ - "0.0282299,0.0432080,0.0593993,0.0911911,0.154165,0.279568,0.529954", \ - "0.0463046,0.0615071,0.0772822,0.108960,0.172066,0.297713,0.548292", \ - "0.0695932,0.0931134,0.113245,0.145507,0.207822,0.333117,0.583657", \ - "0.0956557,0.127739,0.155464,0.199243,0.265802,0.389776,0.639551", \ - "0.124539,0.165161,0.200773,0.257206,0.342457,0.471226,0.719331", \ - "0.156730,0.205760,0.249174,0.318390,0.423665,0.578101,0.825966"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00821512,0.0151696,0.0228857,0.0383096,0.0691487,0.130825,0.254182", \ - "0.00820479,0.0151678,0.0228830,0.0383104,0.0691499,0.130828,0.254161", \ - "0.0145581,0.0195260,0.0247142,0.0383157,0.0691445,0.130817,0.254162", \ - "0.0264011,0.0333093,0.0394017,0.0497199,0.0717138,0.130823,0.254163", \ - "0.0416405,0.0515062,0.0597383,0.0727279,0.0935985,0.136478,0.254146", \ - "0.0601392,0.0734232,0.0843363,0.100996,0.126371,0.166457,0.258791", \ - "0.0820422,0.0988612,0.112888,0.133894,0.164945,0.211483,0.289023"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.0158910,0.0293964,0.0443955,0.0743792,0.134377,0.254430,0.494406", \ - "0.0158861,0.0293900,0.0444030,0.0743782,0.134372,0.254418,0.494409", \ - "0.0208715,0.0302701,0.0443866,0.0743831,0.134406,0.254429,0.494404", \ - "0.0346906,0.0447155,0.0538910,0.0765063,0.134385,0.254438,0.494418", \ - "0.0508231,0.0646005,0.0767764,0.0967920,0.139847,0.254394,0.494380", \ - "0.0696658,0.0875502,0.103123,0.128095,0.167489,0.259479,0.494442", \ - "0.0916271,0.113403,0.132729,0.163318,0.210448,0.288298,0.495006"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.0180605,0.0261312,0.0350054,0.0526987,0.0880395,0.158684,0.299959", \ - "0.0228793,0.0311023,0.0400527,0.0578152,0.0931980,0.163872,0.305156", \ - "0.0354641,0.0454569,0.0551158,0.0730427,0.108571,0.179333,0.320683", \ - "0.0490687,0.0641676,0.0773727,0.0994711,0.137158,0.208199,0.349704", \ - "0.0584306,0.0814816,0.100542,0.130193,0.176472,0.252746,0.394681", \ - "0.0616341,0.0937857,0.120435,0.160712,0.219570,0.309176,0.457385", \ - "0.0586638,0.100049,0.134756,0.187521,0.262849,0.370456,0.536563"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.0317222,0.0463236,0.0622505,0.0938423,0.156682,0.282050,0.532351", \ - "0.0360123,0.0508225,0.0668933,0.0986369,0.161597,0.287027,0.537400", \ - "0.0548080,0.0692373,0.0851381,0.116800,0.179828,0.305368,0.555819", \ - "0.0833978,0.103844,0.122227,0.153553,0.215917,0.341094,0.591391", \ - "0.114920,0.142876,0.168258,0.209405,0.274032,0.397994,0.647544", \ - "0.149224,0.184928,0.217545,0.270511,0.352468,0.479577,0.727519", \ - "0.186740,0.230178,0.269974,0.335098,0.436311,0.587240,0.834322"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00821338,0.0151687,0.0228864,0.0383077,0.0691488,0.130829,0.254195", \ - "0.00821781,0.0151668,0.0228851,0.0383047,0.0691546,0.130830,0.254186", \ - "0.0108857,0.0170460,0.0236923,0.0383110,0.0691431,0.130825,0.254173", \ - "0.0182527,0.0244316,0.0309435,0.0438792,0.0706036,0.130813,0.254171", \ - "0.0301669,0.0373442,0.0440003,0.0564610,0.0815677,0.134127,0.254150", \ - "0.0458389,0.0548589,0.0625912,0.0755706,0.0998782,0.149519,0.257272", \ - "0.0642725,0.0758386,0.0855359,0.100539,0.125415,0.173607,0.273283"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.0200661,0.0336987,0.0488132,0.0790009,0.139247,0.259580,0.499773", \ - "0.0200704,0.0336994,0.0488155,0.0789927,0.139247,0.259570,0.499774", \ - "0.0218241,0.0337015,0.0488113,0.0789890,0.139262,0.259556,0.499771", \ - "0.0349334,0.0452766,0.0552719,0.0800652,0.139232,0.259561,0.499786", \ - "0.0508088,0.0649400,0.0773675,0.0976367,0.143343,0.259530,0.499802", \ - "0.0686695,0.0873763,0.103470,0.128795,0.168783,0.263605,0.499794", \ - "0.0884823,0.112129,0.132369,0.163670,0.211325,0.290751,0.500154"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.397476,0.416106,0.421551,0.428736,0.430840,0.433422,0.431997", \ - "0.294344,0.357412,0.380696,0.404053,0.417096,0.425654,0.427906", \ - "0.249682,0.268969,0.307725,0.347726,0.382254,0.405344,0.416799", \ - "0.466700,0.387045,0.342320,0.335923,0.365470,0.393654,0.407639", \ - "0.841916,0.700479,0.614926,0.502625,0.427088,0.423845,0.425438", \ - "1.393474,1.204954,1.060071,0.871563,0.671991,0.542580,0.493260", \ - "2.126181,1.907055,1.713125,1.439418,1.121505,0.811534,0.649853"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("3.346005,3.410270,3.419137,3.384270,3.427493,3.374218,3.395419", \ - "3.170836,3.282327,3.316907,3.299522,3.367870,3.464921,3.361225", \ - "3.138224,3.170621,3.206034,3.242315,3.338980,3.334941,3.396380", \ - "3.193247,3.279196,3.269232,3.227805,3.274791,3.266280,3.332702", \ - "3.391636,3.450440,3.561203,3.396994,3.402557,3.348515,3.391611", \ - "3.902496,3.878535,3.876290,3.927150,3.707679,3.595486,3.397190", \ - "4.671665,4.596406,4.506039,4.425823,4.280939,3.961929,3.724603"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.399093,0.416639,0.427476,0.431396,0.433753,0.431906,0.430623", \ - "0.312142,0.362708,0.391017,0.407415,0.418012,0.426653,0.427457", \ - "0.199617,0.257206,0.299239,0.344601,0.381682,0.406011,0.415252", \ - "0.296314,0.282115,0.267920,0.283128,0.337373,0.376396,0.399883", \ - "0.475021,0.426474,0.396352,0.350771,0.320777,0.361266,0.391913", \ - "0.800760,0.700723,0.629263,0.546319,0.450522,0.392204,0.405783", \ - "1.307102,1.150304,1.038112,0.879747,0.712611,0.542287,0.474846"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("4.382972,4.424526,4.467240,4.501422,4.455786,4.561994,4.478028", \ - "4.256425,4.332118,4.338937,4.430357,4.377631,4.491715,4.548002", \ - "4.195825,4.249132,4.292988,4.328864,4.381294,4.378732,4.445689", \ - "4.319085,4.379259,4.344235,4.359590,4.351510,4.475897,4.503453", \ - "4.451338,4.570676,4.632952,4.612196,4.499962,4.556131,4.495675", \ - "4.910516,4.943654,4.996089,5.064693,4.918280,4.663160,4.692928", \ - "5.625658,5.625564,5.592588,5.567800,5.511703,5.095976,4.870346"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND2_X4 - Cell Description : Combinational cell (NAND2_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NAND2_X4) { - - drive_strength : 4; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 45.528441; - - leakage_power () { - when : "!A1 & !A2"; - value : 12.707105; - } - leakage_power () { - when : "!A1 & A2"; - value : 69.688105; - } - leakage_power () { - when : "A1 & !A2"; - value : 30.871769; - } - leakage_power () { - when : "A1 & A2"; - value : 68.846785; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.884222; - fall_capacitance : 5.430029; - rise_capacitance : 5.884222; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.040626; - fall_capacitance : 5.381444; - rise_capacitance : 6.040626; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 237.363000; - function : "!(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.0142949,0.0229120,0.0318400,0.0496138,0.0850921,0.156000,0.297787", \ - "0.0184932,0.0272768,0.0362907,0.0541404,0.0896698,0.160607,0.302403", \ - "0.0298554,0.0427705,0.0529973,0.0707632,0.106262,0.177194,0.318993", \ - "0.0379684,0.0589201,0.0753252,0.100678,0.138839,0.209368,0.350948", \ - "0.0408037,0.0705080,0.0939307,0.130015,0.183187,0.260576,0.401323", \ - "0.0376173,0.0762211,0.107060,0.154799,0.225042,0.325537,0.473136", \ - "0.0278917,0.0753131,0.113701,0.173578,0.262109,0.388363,0.566542"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.0220658,0.0378151,0.0537627,0.0852897,0.148005,0.273244,0.523588", \ - "0.0262214,0.0420822,0.0582927,0.0901223,0.153120,0.278527,0.528973", \ - "0.0438253,0.0604357,0.0762092,0.107881,0.171013,0.296677,0.547334", \ - "0.0657311,0.0915012,0.111965,0.144469,0.206779,0.332097,0.582704", \ - "0.0903752,0.125461,0.153651,0.197882,0.264768,0.388764,0.638614", \ - "0.117897,0.162294,0.198374,0.255386,0.341174,0.470245,0.718374", \ - "0.148744,0.202220,0.246218,0.316179,0.422102,0.577031,0.825044"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00730051,0.0146706,0.0224150,0.0378909,0.0688410,0.130734,0.254501", \ - "0.00728326,0.0146677,0.0224134,0.0378930,0.0688391,0.130730,0.254498", \ - "0.0137804,0.0191610,0.0243328,0.0379115,0.0688400,0.130730,0.254498", \ - "0.0252123,0.0328236,0.0390176,0.0494212,0.0714520,0.130730,0.254502", \ - "0.0399898,0.0508353,0.0591802,0.0723563,0.0933769,0.136395,0.254493", \ - "0.0579328,0.0724974,0.0835904,0.100491,0.126065,0.166371,0.259089", \ - "0.0792267,0.0977569,0.111923,0.133257,0.164601,0.211380,0.289236"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.0140119,0.0282421,0.0432437,0.0732454,0.133262,0.253259,0.493285", \ - "0.0140089,0.0282434,0.0432416,0.0732359,0.133259,0.253265,0.493283", \ - "0.0200030,0.0293213,0.0432389,0.0732562,0.133250,0.253254,0.493285", \ - "0.0329770,0.0438884,0.0531676,0.0755359,0.133260,0.253254,0.493272", \ - "0.0485395,0.0634718,0.0758467,0.0960598,0.138888,0.253269,0.493270", \ - "0.0667258,0.0861104,0.101918,0.127147,0.166730,0.258449,0.493273", \ - "0.0881320,0.111761,0.131211,0.162116,0.209543,0.287461,0.493868"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.0174819,0.0260453,0.0349571,0.0527181,0.0881894,0.159099,0.300893", \ - "0.0223081,0.0310600,0.0400538,0.0578846,0.0934022,0.164338,0.306149", \ - "0.0346785,0.0454110,0.0551383,0.0731446,0.108800,0.179831,0.321697", \ - "0.0475830,0.0639587,0.0772834,0.0995120,0.137348,0.208646,0.350661", \ - "0.0558168,0.0809736,0.100266,0.130116,0.176579,0.253106,0.395561", \ - "0.0574946,0.0928079,0.119834,0.160460,0.219575,0.309437,0.458159", \ - "0.0527789,0.0983463,0.133772,0.187030,0.262726,0.370627,0.537182"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.0304931,0.0460092,0.0619998,0.0936635,0.156604,0.282105,0.532735", \ - "0.0347346,0.0504870,0.0666225,0.0984347,0.161500,0.287091,0.537766", \ - "0.0535841,0.0688902,0.0848610,0.116607,0.179733,0.305420,0.556194", \ - "0.0813780,0.103395,0.121867,0.153323,0.215812,0.341147,0.591771", \ - "0.112143,0.142262,0.167729,0.209054,0.273899,0.398052,0.647930", \ - "0.145713,0.184092,0.216883,0.270143,0.352313,0.479634,0.727886", \ - "0.182485,0.229127,0.269255,0.334692,0.436137,0.587296,0.834693"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00730586,0.0146675,0.0224134,0.0378898,0.0688420,0.130730,0.254511", \ - "0.00730126,0.0146676,0.0224130,0.0378923,0.0688439,0.130730,0.254501", \ - "0.00993578,0.0165427,0.0232201,0.0378995,0.0688434,0.130730,0.254500", \ - "0.0171088,0.0238049,0.0303873,0.0434262,0.0702794,0.130729,0.254502", \ - "0.0287100,0.0365725,0.0433386,0.0559113,0.0811795,0.134007,0.254494", \ - "0.0440877,0.0539272,0.0618142,0.0749335,0.0993741,0.149314,0.257581", \ - "0.0623634,0.0748550,0.0846279,0.0998000,0.124832,0.173279,0.273493"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.0185706,0.0329716,0.0481220,0.0783647,0.138688,0.259170,0.499742", \ - "0.0185647,0.0329754,0.0481313,0.0783575,0.138697,0.259173,0.499759", \ - "0.0205616,0.0329783,0.0481206,0.0783744,0.138696,0.259173,0.499754", \ - "0.0333174,0.0445255,0.0546018,0.0794569,0.138690,0.259180,0.499759", \ - "0.0485109,0.0638964,0.0764886,0.0969778,0.142809,0.259151,0.499748", \ - "0.0656950,0.0860626,0.102332,0.128011,0.168229,0.263250,0.499738", \ - "0.0846968,0.110524,0.130991,0.162684,0.210657,0.290374,0.500113"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.759059,0.829784,0.851494,0.863461,0.859084,0.864305,0.864042", \ - "0.570334,0.708785,0.766521,0.808538,0.831105,0.850360,0.854676", \ - "0.514857,0.527822,0.602675,0.701000,0.761576,0.806844,0.834033", \ - "0.972054,0.788141,0.680095,0.671386,0.728311,0.786788,0.820681", \ - "1.733543,1.425485,1.227724,1.003947,0.852886,0.845821,0.848596", \ - "2.843526,2.435480,2.133679,1.743841,1.339935,1.083501,0.983832", \ - "4.325056,3.839066,3.457214,2.895865,2.249898,1.622225,1.301019"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("6.172464,6.356224,6.331097,6.436367,6.265164,6.414787,6.444515", \ - "5.830008,6.056031,6.196737,6.271095,6.409857,6.331860,6.380693", \ - "5.812644,5.841385,5.918811,6.017309,6.143329,6.340389,6.166758", \ - "5.849547,6.145234,6.045691,6.082024,5.963178,6.208163,6.328424", \ - "6.293821,6.427478,6.660475,6.475946,6.215520,6.103701,6.181127", \ - "7.337268,7.286992,7.225200,7.270237,7.045082,6.763280,6.468981", \ - "8.904735,8.722076,8.529934,8.430411,8.127149,7.607854,6.850181"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.807919,0.843296,0.853880,0.857044,0.868239,0.869013,0.858727", \ - "0.612171,0.730380,0.774523,0.811159,0.839085,0.851986,0.856869", \ - "0.409486,0.488943,0.596394,0.682883,0.760573,0.809732,0.834242", \ - "0.589167,0.562819,0.529100,0.557118,0.661623,0.753256,0.802115", \ - "0.925595,0.837624,0.766741,0.686221,0.633629,0.715616,0.777787", \ - "1.574877,1.367857,1.220527,1.067274,0.884085,0.771750,0.802453", \ - "2.590048,2.260689,2.032633,1.726844,1.397189,1.063569,0.935157"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("8.486466,8.574053,8.599834,8.596161,8.745346,8.901966,8.955074", \ - "8.190599,8.384157,8.446272,8.464054,8.639025,8.762902,8.824481", \ - "8.094174,8.199158,8.247976,8.399727,8.564509,8.539846,8.769060", \ - "8.297349,8.466238,8.470719,8.380318,8.529936,8.468222,8.825791", \ - "8.603914,8.868585,9.076848,8.861600,8.813380,8.685087,8.848679", \ - "9.526492,9.590397,9.691695,9.890199,9.562234,9.115478,9.054159", \ - "10.950060,10.976680,10.918500,10.846740,10.574490,9.987644,9.754549"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND3_X1 - Cell Description : Combinational cell (NAND3_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NAND3_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 10.623439; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 2.184211; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 8.267318; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 3.679198; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 22.504341; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 2.419007; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 12.806323; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 7.319730; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 25.807386; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.571395; - fall_capacitance : 1.488148; - rise_capacitance : 1.571395; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.599125; - fall_capacitance : 1.463213; - rise_capacitance : 1.599125; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.597690; - fall_capacitance : 1.422468; - rise_capacitance : 1.597690; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 58.308720; - function : "!((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0269317,0.0377042,0.0511026,0.0778152,0.131175,0.237806,0.450963", \ - "0.0305093,0.0414541,0.0549634,0.0817927,0.135225,0.241928,0.455078", \ - "0.0445789,0.0561557,0.0696194,0.0964713,0.149974,0.256731,0.469961", \ - "0.0596890,0.0775543,0.0960193,0.125992,0.178974,0.285449,0.498555", \ - "0.0699896,0.0946472,0.120281,0.161518,0.225219,0.331239,0.543696", \ - "0.0745721,0.106316,0.139189,0.192632,0.274645,0.397171,0.608023", \ - "0.0729942,0.111832,0.152201,0.218079,0.319589,0.470157,0.694515"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0297182,0.0424493,0.0580719,0.0890427,0.150701,0.273793,0.519810", \ - "0.0340851,0.0470529,0.0629079,0.0941355,0.156011,0.279288,0.525378", \ - "0.0526611,0.0651542,0.0807733,0.111986,0.174084,0.297554,0.543834", \ - "0.0790645,0.0979319,0.116967,0.148292,0.209707,0.332958,0.579198", \ - "0.108192,0.133922,0.160258,0.202409,0.267424,0.389467,0.635029", \ - "0.139788,0.172560,0.206352,0.260810,0.344021,0.470615,0.714624", \ - "0.174162,0.214086,0.255341,0.322268,0.425148,0.577144,0.821132"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0168861,0.0260753,0.0375609,0.0605249,0.106400,0.198141,0.381518", \ - "0.0168772,0.0260650,0.0375536,0.0605366,0.106405,0.198180,0.381495", \ - "0.0203336,0.0271069,0.0375414,0.0605089,0.106397,0.198155,0.381503", \ - "0.0335717,0.0410392,0.0491654,0.0650381,0.106355,0.198140,0.381499", \ - "0.0507657,0.0609565,0.0710918,0.0880830,0.118517,0.198247,0.381523", \ - "0.0711963,0.0844561,0.0976280,0.118544,0.152075,0.213863,0.381497", \ - "0.0949655,0.111317,0.127753,0.153591,0.193425,0.256472,0.391655"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0211656,0.0329555,0.0477167,0.0772475,0.136262,0.254334,0.490295", \ - "0.0211578,0.0329660,0.0477266,0.0772199,0.136244,0.254340,0.490292", \ - "0.0240165,0.0333471,0.0476954,0.0772523,0.136286,0.254330,0.490294", \ - "0.0392548,0.0473321,0.0561169,0.0789728,0.136236,0.254323,0.490295", \ - "0.0573538,0.0683995,0.0796784,0.0986689,0.141439,0.254274,0.490296", \ - "0.0783862,0.0927797,0.107199,0.130883,0.168969,0.259401,0.490291", \ - "0.102661,0.120120,0.138078,0.167012,0.212468,0.288485,0.491011"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0332579,0.0440088,0.0573923,0.0840998,0.137449,0.244091,0.457239", \ - "0.0376512,0.0485477,0.0620295,0.0888251,0.142246,0.248928,0.462103", \ - "0.0516188,0.0629482,0.0765852,0.103571,0.157161,0.263976,0.477240", \ - "0.0696910,0.0852087,0.101892,0.131212,0.185131,0.292221,0.505644", \ - "0.0851259,0.107146,0.129831,0.166909,0.227880,0.336042,0.549881", \ - "0.0950517,0.124587,0.154880,0.203347,0.277793,0.396954,0.611721", \ - "0.0990468,0.136674,0.174927,0.236342,0.328694,0.467477,0.692588"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0377598,0.0504178,0.0660621,0.0971103,0.158939,0.282159,0.528287", \ - "0.0421906,0.0550469,0.0708499,0.102061,0.164024,0.287351,0.533519", \ - "0.0603833,0.0730665,0.0888141,0.120065,0.182160,0.305644,0.551914", \ - "0.0915884,0.108193,0.125726,0.156437,0.217972,0.341231,0.587382", \ - "0.125769,0.148447,0.172657,0.212417,0.275778,0.397874,0.643378", \ - "0.162476,0.191729,0.222645,0.273947,0.354046,0.479078,0.723098", \ - "0.201982,0.237770,0.275784,0.338843,0.437815,0.586391,0.829705"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0168801,0.0260629,0.0375587,0.0605248,0.106414,0.198152,0.381505", \ - "0.0168874,0.0260640,0.0375588,0.0605136,0.106418,0.198157,0.381493", \ - "0.0182917,0.0264385,0.0375532,0.0605110,0.106407,0.198146,0.381495", \ - "0.0264381,0.0341503,0.0436195,0.0627909,0.106387,0.198160,0.381500", \ - "0.0400838,0.0484742,0.0578989,0.0759105,0.112770,0.198186,0.381497", \ - "0.0577184,0.0680601,0.0786502,0.0971425,0.132400,0.206506,0.381515", \ - "0.0783149,0.0910255,0.103946,0.124942,0.160866,0.230848,0.387067"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0254325,0.0373165,0.0521782,0.0818771,0.141204,0.259543,0.495748", \ - "0.0254416,0.0373099,0.0521759,0.0818682,0.141190,0.259532,0.495744", \ - "0.0261832,0.0373052,0.0521682,0.0818583,0.141194,0.259532,0.495749", \ - "0.0395591,0.0477874,0.0578098,0.0827847,0.141131,0.259537,0.495752", \ - "0.0573804,0.0686679,0.0803015,0.0996547,0.144977,0.259481,0.495711", \ - "0.0776377,0.0925575,0.107501,0.131562,0.170298,0.263566,0.495745", \ - "0.100203,0.118989,0.137708,0.167343,0.213343,0.290910,0.496236"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0355971,0.0463470,0.0597323,0.0864371,0.139788,0.246430,0.459583", \ - "0.0402840,0.0511867,0.0646738,0.0914660,0.144889,0.251580,0.464796", \ - "0.0528190,0.0639734,0.0776098,0.104592,0.158178,0.264992,0.478258", \ - "0.0687129,0.0822717,0.0977830,0.126480,0.180320,0.287324,0.500735", \ - "0.0840073,0.101790,0.120712,0.154143,0.213165,0.321311,0.534994", \ - "0.0939028,0.118227,0.143145,0.183971,0.251511,0.368106,0.582869", \ - "0.0963686,0.128611,0.161017,0.212610,0.292215,0.421506,0.645625"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0436100,0.0566044,0.0725254,0.103934,0.166146,0.289779,0.536201", \ - "0.0481107,0.0611975,0.0771892,0.108676,0.170924,0.294658,0.541073", \ - "0.0663027,0.0793446,0.0953452,0.126862,0.189179,0.312964,0.559440", \ - "0.100466,0.115856,0.132371,0.163336,0.225183,0.348673,0.595005", \ - "0.138724,0.159674,0.182468,0.220557,0.283052,0.405467,0.651119", \ - "0.179787,0.206823,0.235937,0.284956,0.362675,0.486751,0.730957", \ - "0.223813,0.256941,0.292671,0.352988,0.448915,0.594714,0.837670"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0168884,0.0260753,0.0375722,0.0605223,0.106402,0.198162,0.381495", \ - "0.0168835,0.0260671,0.0375542,0.0605220,0.106410,0.198136,0.381523", \ - "0.0176619,0.0262488,0.0375526,0.0605167,0.106418,0.198177,0.381511", \ - "0.0223689,0.0310422,0.0416428,0.0623621,0.106399,0.198148,0.381501", \ - "0.0316628,0.0401665,0.0504655,0.0710506,0.111801,0.198470,0.381526", \ - "0.0467272,0.0554932,0.0653811,0.0848996,0.124971,0.205904,0.381591", \ - "0.0665662,0.0765184,0.0869387,0.105720,0.143824,0.223337,0.387886"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0291302,0.0410285,0.0559193,0.0856321,0.145045,0.263564,0.500092", \ - "0.0291197,0.0410261,0.0559022,0.0856375,0.145010,0.263612,0.500093", \ - "0.0290149,0.0409797,0.0559032,0.0856201,0.144995,0.263586,0.500102", \ - "0.0400308,0.0482999,0.0594874,0.0860164,0.144987,0.263583,0.500100", \ - "0.0575121,0.0687686,0.0804407,0.100315,0.147729,0.263573,0.500091", \ - "0.0773805,0.0922226,0.107212,0.131508,0.170996,0.266812,0.500089", \ - "0.0993553,0.118154,0.136991,0.166925,0.213320,0.292508,0.500400"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.435080,0.440796,0.441508,0.446440,0.444729,0.446674,0.445827", \ - "0.398693,0.416309,0.424899,0.428049,0.437551,0.442551,0.444257", \ - "0.347362,0.372231,0.380495,0.408360,0.421329,0.433122,0.438326", \ - "0.421151,0.384585,0.383404,0.396523,0.412457,0.426142,0.434039", \ - "0.591828,0.535450,0.492378,0.441607,0.437011,0.436156,0.438645", \ - "0.845959,0.773267,0.706218,0.613772,0.524453,0.487788,0.468519", \ - "1.202962,1.108859,1.016390,0.884015,0.732003,0.603950,0.537400"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("1.849375,1.855679,1.859503,1.885203,1.877226,1.852119,1.867615", \ - "1.780739,1.809261,1.828256,1.856816,1.858093,1.907313,1.860028", \ - "1.739998,1.756277,1.787161,1.813363,1.850768,1.848313,1.878384", \ - "1.801074,1.794044,1.791462,1.804188,1.827850,1.815053,1.851392", \ - "1.859798,1.888799,1.917978,1.885836,1.864479,1.848724,1.878636", \ - "2.078258,2.066817,2.067179,2.107713,1.988681,1.949784,1.873203", \ - "2.434511,2.408123,2.378957,2.320607,2.272487,2.125333,2.028739"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.442757,0.442480,0.446554,0.448734,0.449476,0.445988,0.445963", \ - "0.405433,0.422725,0.423937,0.436380,0.442287,0.445145,0.443926", \ - "0.342582,0.369103,0.386175,0.407645,0.424266,0.434811,0.438889", \ - "0.350390,0.334257,0.349272,0.374883,0.396312,0.417272,0.429493", \ - "0.431414,0.406631,0.390350,0.367803,0.384597,0.406603,0.421932", \ - "0.578948,0.539205,0.501530,0.457184,0.412310,0.417162,0.426741", \ - "0.817878,0.755515,0.699968,0.621366,0.534427,0.469535,0.454316"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("2.397857,2.409223,2.416904,2.417963,2.426375,2.468323,2.432017", \ - "2.335573,2.359467,2.367918,2.390775,2.450087,2.442827,2.475580", \ - "2.281414,2.294781,2.339920,2.363581,2.383593,2.384862,2.423941", \ - "2.341385,2.345196,2.336083,2.344488,2.356549,2.427068,2.402153", \ - "2.403934,2.436500,2.458839,2.428412,2.424516,2.401912,2.436572", \ - "2.597269,2.607110,2.629430,2.673975,2.604518,2.490446,2.506160", \ - "2.926481,2.932513,2.913458,2.891738,2.857204,2.690485,2.601712"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.440713,0.444144,0.446710,0.444207,0.446875,0.447603,0.446795", \ - "0.407463,0.422851,0.423455,0.435552,0.439244,0.443254,0.443901", \ - "0.339808,0.364966,0.386589,0.406500,0.423537,0.434098,0.437429", \ - "0.341320,0.329704,0.339382,0.363484,0.394892,0.415808,0.428603", \ - "0.398871,0.384395,0.372769,0.351300,0.373244,0.401790,0.418811", \ - "0.499634,0.475247,0.455401,0.428815,0.389557,0.403726,0.418898", \ - "0.679265,0.641336,0.602504,0.556654,0.495559,0.438077,0.436722"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("2.806088,2.833109,2.842644,2.871970,2.925189,2.958275,3.003044", \ - "2.757815,2.796516,2.789455,2.825583,2.843008,2.916630,2.962386", \ - "2.704610,2.745416,2.763344,2.819989,2.846003,2.925575,2.909503", \ - "2.769364,2.782792,2.811385,2.810718,2.829910,2.907095,2.959305", \ - "2.857864,2.912810,2.904890,2.899053,2.897718,2.891340,2.932730", \ - "3.048336,3.070353,3.108303,3.137038,3.090800,2.987105,3.008750", \ - "3.383267,3.392750,3.394137,3.383195,3.374646,3.193478,3.111743"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND3_X2 - Cell Description : Combinational cell (NAND3_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NAND3_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 21.257038; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 4.377980; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 16.544202; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 7.367953; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 45.018220; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 4.847528; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 25.622168; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 14.648981; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 51.629270; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.948264; - fall_capacitance : 2.781183; - rise_capacitance : 2.948264; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.233485; - fall_capacitance : 2.967694; - rise_capacitance : 3.233485; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.460315; - fall_capacitance : 3.116145; - rise_capacitance : 3.460315; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 116.272000; - function : "!((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0269290,0.0389884,0.0523282,0.0789273,0.132051,0.238254,0.450576", \ - "0.0304977,0.0427563,0.0562008,0.0829052,0.136111,0.242352,0.454702", \ - "0.0445755,0.0574638,0.0708711,0.0976052,0.150875,0.257178,0.469548", \ - "0.0596883,0.0795553,0.0976177,0.127155,0.179901,0.285933,0.498188", \ - "0.0699154,0.0974442,0.122489,0.163141,0.226214,0.331761,0.543328", \ - "0.0744587,0.109727,0.142086,0.194745,0.276006,0.397759,0.607735", \ - "0.0728382,0.115942,0.155564,0.220558,0.321136,0.470785,0.694180"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0296811,0.0439316,0.0594680,0.0903259,0.151708,0.274371,0.519514", \ - "0.0340480,0.0485639,0.0643331,0.0954271,0.157051,0.279890,0.525099", \ - "0.0526066,0.0666379,0.0822152,0.113283,0.175103,0.298166,0.543567", \ - "0.0789676,0.0998445,0.118507,0.149583,0.210784,0.333583,0.578955", \ - "0.108032,0.136622,0.162368,0.203953,0.268476,0.390096,0.634837", \ - "0.139583,0.176073,0.209177,0.262844,0.345316,0.471287,0.714455", \ - "0.173905,0.218216,0.258750,0.324771,0.426716,0.577799,0.820889"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0168283,0.0270958,0.0385402,0.0613996,0.107076,0.198424,0.381051", \ - "0.0168061,0.0270996,0.0385426,0.0613933,0.107082,0.198409,0.381053", \ - "0.0202680,0.0279578,0.0384876,0.0613948,0.107074,0.198423,0.381022", \ - "0.0334830,0.0417196,0.0497560,0.0656665,0.107041,0.198413,0.381019", \ - "0.0506497,0.0618184,0.0717551,0.0885848,0.118965,0.198534,0.381028", \ - "0.0710473,0.0856754,0.0984525,0.119126,0.152423,0.214042,0.381011", \ - "0.0947788,0.112882,0.128946,0.154411,0.193872,0.256592,0.391241"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0210966,0.0342869,0.0489650,0.0783732,0.137141,0.254756,0.489868", \ - "0.0210925,0.0342855,0.0489557,0.0783862,0.137142,0.254803,0.489868", \ - "0.0239700,0.0345412,0.0489877,0.0783415,0.137133,0.254785,0.489868", \ - "0.0392038,0.0480488,0.0569136,0.0799628,0.137137,0.254743,0.489892", \ - "0.0572880,0.0693050,0.0804352,0.0992659,0.142179,0.254728,0.489867", \ - "0.0782973,0.0940022,0.108111,0.131504,0.169435,0.259794,0.489861", \ - "0.102555,0.121842,0.139311,0.167863,0.212979,0.288779,0.490599"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0332298,0.0452573,0.0585819,0.0851747,0.138296,0.244500,0.456812", \ - "0.0376073,0.0498104,0.0632269,0.0899062,0.143096,0.249336,0.461692", \ - "0.0515748,0.0642146,0.0777857,0.104660,0.158022,0.264391,0.476828", \ - "0.0696351,0.0868657,0.103299,0.132301,0.186004,0.292632,0.505237", \ - "0.0850385,0.109582,0.131741,0.168280,0.228820,0.336478,0.549448", \ - "0.0948963,0.127831,0.157605,0.205201,0.278954,0.397418,0.611304", \ - "0.0988477,0.140544,0.178306,0.238751,0.330152,0.468030,0.692167"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0376707,0.0518466,0.0674184,0.0983412,0.159916,0.282711,0.527937", \ - "0.0421060,0.0565010,0.0722204,0.103296,0.164996,0.287879,0.533162", \ - "0.0602979,0.0745343,0.0902123,0.121324,0.183150,0.306198,0.551594", \ - "0.0914482,0.109982,0.127180,0.157688,0.218999,0.341820,0.587104", \ - "0.125594,0.150939,0.174696,0.213898,0.276768,0.398464,0.643118", \ - "0.162248,0.194746,0.225320,0.275903,0.355263,0.479712,0.722869", \ - "0.201700,0.241465,0.278869,0.341159,0.439292,0.587003,0.829391"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0168232,0.0270964,0.0385378,0.0613940,0.107093,0.198425,0.381028", \ - "0.0168246,0.0270971,0.0385474,0.0614004,0.107085,0.198421,0.381047", \ - "0.0182412,0.0273937,0.0385482,0.0613915,0.107089,0.198419,0.381030", \ - "0.0263409,0.0349509,0.0443815,0.0635758,0.107069,0.198403,0.381038", \ - "0.0399433,0.0492618,0.0585549,0.0765201,0.113340,0.198480,0.381031", \ - "0.0575639,0.0689224,0.0793314,0.0977090,0.132798,0.206756,0.381022", \ - "0.0781413,0.0921791,0.104727,0.125496,0.161224,0.230925,0.386591"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0253372,0.0386491,0.0534335,0.0830102,0.142050,0.259927,0.495298", \ - "0.0253435,0.0386514,0.0534487,0.0830097,0.142038,0.259935,0.495283", \ - "0.0261034,0.0386324,0.0534507,0.0829907,0.142033,0.259949,0.495298", \ - "0.0394598,0.0485187,0.0587265,0.0837355,0.142014,0.259952,0.495297", \ - "0.0573069,0.0696465,0.0810358,0.100319,0.145774,0.259907,0.495284", \ - "0.0775292,0.0938981,0.108427,0.132216,0.170801,0.263942,0.495304", \ - "0.100073,0.120738,0.139018,0.168249,0.213865,0.291271,0.495778"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0355617,0.0476005,0.0609239,0.0875142,0.140640,0.246840,0.459156", \ - "0.0402518,0.0524531,0.0658696,0.0925506,0.145736,0.251982,0.464320", \ - "0.0527831,0.0652528,0.0788187,0.105676,0.159037,0.265407,0.477826", \ - "0.0686586,0.0837302,0.0990811,0.127575,0.181180,0.287729,0.500309", \ - "0.0839252,0.103619,0.122295,0.155387,0.214037,0.321710,0.534492", \ - "0.0937856,0.120827,0.145211,0.185481,0.252521,0.368505,0.582403", \ - "0.0962021,0.131938,0.163902,0.214590,0.293400,0.421992,0.645169"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0435231,0.0580564,0.0738970,0.105176,0.167105,0.290256,0.535793", \ - "0.0480261,0.0626654,0.0785763,0.109918,0.171909,0.295093,0.540655", \ - "0.0662154,0.0808572,0.0967630,0.128136,0.190181,0.313471,0.559063", \ - "0.100350,0.117538,0.133758,0.164611,0.226213,0.349218,0.594663", \ - "0.138553,0.162022,0.184408,0.221972,0.284106,0.406040,0.650802", \ - "0.179570,0.209648,0.238427,0.286818,0.363897,0.487364,0.730680", \ - "0.223550,0.260386,0.295600,0.355186,0.450312,0.595265,0.837297"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0168183,0.0271073,0.0385484,0.0613930,0.107078,0.198428,0.381022", \ - "0.0168196,0.0270973,0.0385414,0.0613980,0.107079,0.198425,0.381021", \ - "0.0176064,0.0272432,0.0385360,0.0614043,0.107090,0.198423,0.381022", \ - "0.0222925,0.0319920,0.0425322,0.0631818,0.107083,0.198406,0.381034", \ - "0.0315712,0.0410154,0.0512903,0.0718162,0.112423,0.198773,0.381017", \ - "0.0466037,0.0562903,0.0660795,0.0855523,0.125525,0.206173,0.381106", \ - "0.0664189,0.0774218,0.0875931,0.106249,0.144248,0.223522,0.387459"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0290548,0.0423495,0.0571740,0.0867718,0.145891,0.263928,0.499585", \ - "0.0290493,0.0423488,0.0571559,0.0867561,0.145869,0.263935,0.499574", \ - "0.0289408,0.0423162,0.0571481,0.0867801,0.145871,0.263965,0.499582", \ - "0.0399784,0.0491308,0.0604629,0.0870855,0.145884,0.263957,0.499575", \ - "0.0574662,0.0697035,0.0811500,0.101005,0.148487,0.263936,0.499570", \ - "0.0772887,0.0935477,0.108171,0.132165,0.171535,0.267165,0.499591", \ - "0.0992252,0.119893,0.138298,0.167839,0.213851,0.292809,0.499871"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("1.009471,1.023430,1.030317,1.032031,1.036857,1.031399,1.031827", \ - "0.941082,0.970094,0.982473,1.007740,1.022472,1.023594,1.027303", \ - "0.834203,0.889058,0.922895,0.957815,0.989797,1.004611,1.017684", \ - "0.982819,0.898693,0.913616,0.937220,0.963890,0.990369,1.004296", \ - "1.323210,1.204256,1.113243,1.018327,1.007673,1.015864,1.017003", \ - "1.834588,1.668272,1.533485,1.365653,1.181371,1.118905,1.076348", \ - "2.540350,2.334756,2.159130,1.903204,1.599910,1.349341,1.214612"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("3.846579,3.897011,3.894177,3.838134,3.827066,3.934510,3.884215", \ - "3.709842,3.809613,3.843619,3.904330,3.920679,3.914491,3.870789", \ - "3.616525,3.667865,3.664081,3.736511,3.779224,3.799182,3.908170", \ - "3.750380,3.750568,3.753818,3.680384,3.709758,3.866509,3.857439", \ - "3.853099,3.934533,3.977552,3.839753,3.810404,3.802986,3.781375", \ - "4.305574,4.293835,4.295150,4.347328,4.187961,3.972925,3.907009", \ - "5.018983,4.949152,4.886271,4.806851,4.618907,4.358621,4.211907"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("1.026809,1.028362,1.032289,1.033387,1.034827,1.036985,1.032081", \ - "0.951254,0.979904,0.995075,1.012631,1.020421,1.029221,1.027150", \ - "0.826902,0.882016,0.903515,0.955275,0.984692,1.008441,1.015656", \ - "0.834408,0.809643,0.843741,0.890007,0.939897,0.972983,0.998928", \ - "0.994723,0.950750,0.915008,0.863349,0.917446,0.957846,0.984077", \ - "1.299472,1.210042,1.143702,1.057101,0.958381,0.978506,0.991199", \ - "1.778563,1.632623,1.522051,1.374620,1.206770,1.080444,1.048512"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("4.945172,4.952841,4.976417,5.022682,5.037434,5.039461,5.015839", \ - "4.820817,4.894239,4.894240,4.961736,4.977497,4.986695,5.101690", \ - "4.712265,4.767558,4.776585,4.861399,4.972622,5.033145,5.002428", \ - "4.836554,4.824391,4.850556,4.884507,4.923121,4.960551,4.962550", \ - "4.956078,5.043002,5.081970,5.089348,5.040754,5.052139,5.034214", \ - "5.344201,5.381081,5.431841,5.416561,5.349055,5.227768,5.175544", \ - "6.003102,6.004852,5.987166,5.955745,5.847915,5.624615,5.359842"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("1.022141,1.021132,1.026662,1.038989,1.038621,1.033846,1.033790", \ - "0.956057,0.980431,1.002837,1.017026,1.026031,1.025494,1.029316", \ - "0.821335,0.866603,0.912510,0.951948,0.981418,1.006790,1.015225", \ - "0.824289,0.794425,0.815871,0.878787,0.934259,0.970046,0.997621", \ - "0.933383,0.907709,0.881912,0.844476,0.893411,0.945590,0.977976", \ - "1.132997,1.088604,1.043836,0.994731,0.914132,0.945718,0.977959", \ - "1.500335,1.408818,1.345313,1.248088,1.129308,1.020024,1.013145"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("5.761665,5.830462,5.885537,5.937856,5.982041,6.016023,6.161614", \ - "5.664928,5.747925,5.806059,5.846085,5.895380,5.932249,6.079559", \ - "5.559893,5.655499,5.726762,5.714756,5.902488,5.955867,5.986066", \ - "5.698913,5.729975,5.742554,5.821025,5.874021,5.923485,5.954156", \ - "5.867598,5.988862,5.999113,5.994525,6.012451,6.026762,6.030206", \ - "6.246507,6.295380,6.371890,6.362779,6.271007,6.223699,6.185395", \ - "6.905061,6.917914,6.934244,6.940500,6.826195,6.630805,6.382607"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND3_X4 - Cell Description : Combinational cell (NAND3_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NAND3_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 42.514135; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 8.755931; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 33.088405; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 14.735906; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 90.036630; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 9.694995; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 51.244427; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 29.298054; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 103.258730; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.199694; - fall_capacitance : 5.869402; - rise_capacitance : 6.199694; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.832484; - fall_capacitance : 6.296323; - rise_capacitance : 6.832484; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.967101; - fall_capacitance : 6.287821; - rise_capacitance : 6.967101; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 232.838500; - function : "!((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0258447,0.0386223,0.0519953,0.0786665,0.131924,0.238391,0.451269", \ - "0.0293903,0.0423908,0.0558708,0.0826420,0.135981,0.242492,0.455391", \ - "0.0432839,0.0571174,0.0705491,0.0973459,0.150746,0.257321,0.470258", \ - "0.0576491,0.0790576,0.0972215,0.126893,0.179782,0.286075,0.498885", \ - "0.0670689,0.0967447,0.121979,0.162821,0.226111,0.331930,0.544039", \ - "0.0708042,0.108872,0.141456,0.194366,0.275897,0.397959,0.608465", \ - "0.0683957,0.114738,0.154782,0.220092,0.321032,0.471069,0.694951"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0283746,0.0434905,0.0590688,0.0899894,0.151566,0.274527,0.520344", \ - "0.0327176,0.0481168,0.0639304,0.0951051,0.156903,0.280026,0.525933", \ - "0.0512135,0.0662048,0.0818151,0.112988,0.174975,0.298327,0.544420", \ - "0.0767923,0.0992698,0.118071,0.149275,0.210648,0.333769,0.579837", \ - "0.105071,0.135824,0.161755,0.203566,0.268342,0.390301,0.635728", \ - "0.135809,0.175058,0.208372,0.262344,0.345152,0.471513,0.715353", \ - "0.169331,0.217038,0.257815,0.324229,0.426570,0.578052,0.821790"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0158755,0.0267590,0.0382324,0.0611460,0.106947,0.198511,0.381613", \ - "0.0158562,0.0267624,0.0382366,0.0611522,0.106944,0.198511,0.381614", \ - "0.0196239,0.0276597,0.0381866,0.0611479,0.106942,0.198514,0.381613", \ - "0.0326245,0.0414080,0.0495301,0.0654693,0.106911,0.198510,0.381614", \ - "0.0494513,0.0613932,0.0714217,0.0883374,0.118824,0.198634,0.381617", \ - "0.0694943,0.0851429,0.0979978,0.118822,0.152250,0.214097,0.381607", \ - "0.0928619,0.112384,0.128431,0.154064,0.193679,0.256604,0.391743"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0198901,0.0338353,0.0485660,0.0780280,0.136964,0.254797,0.490503", \ - "0.0198884,0.0338419,0.0485715,0.0780444,0.136945,0.254810,0.490497", \ - "0.0231955,0.0341426,0.0485662,0.0780152,0.136955,0.254816,0.490488", \ - "0.0382834,0.0477260,0.0566042,0.0796633,0.136938,0.254825,0.490493", \ - "0.0559974,0.0688234,0.0800685,0.0990198,0.141998,0.254811,0.490493", \ - "0.0766974,0.0933612,0.107606,0.131175,0.169231,0.259837,0.490503", \ - "0.100624,0.121169,0.138687,0.167419,0.212737,0.288788,0.491196"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0321445,0.0448883,0.0582496,0.0849097,0.138166,0.244632,0.457521", \ - "0.0365070,0.0494357,0.0628901,0.0896387,0.142961,0.249471,0.462381", \ - "0.0503242,0.0638312,0.0774482,0.104394,0.157893,0.264527,0.477520", \ - "0.0678741,0.0863788,0.102919,0.132049,0.185894,0.292788,0.505932", \ - "0.0824626,0.108964,0.131261,0.167967,0.228704,0.336640,0.550145", \ - "0.0913752,0.127097,0.157024,0.204845,0.278819,0.397568,0.611998", \ - "0.0944797,0.139501,0.177607,0.238357,0.330054,0.468245,0.692877"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0363756,0.0514025,0.0670181,0.0980236,0.159746,0.282876,0.528802", \ - "0.0407782,0.0560527,0.0718164,0.102986,0.164837,0.288047,0.534033", \ - "0.0590205,0.0741221,0.0898180,0.121027,0.183011,0.306391,0.552481", \ - "0.0895752,0.109468,0.126750,0.157392,0.218864,0.341990,0.588008", \ - "0.123010,0.150293,0.174090,0.213478,0.276617,0.398678,0.644038", \ - "0.158950,0.193902,0.224635,0.275478,0.355132,0.479937,0.723790", \ - "0.197677,0.240337,0.278073,0.340718,0.439169,0.587261,0.830317"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0158837,0.0267600,0.0382293,0.0611461,0.106955,0.198513,0.381617", \ - "0.0158764,0.0267596,0.0382359,0.0611517,0.106946,0.198513,0.381613", \ - "0.0174499,0.0270819,0.0382339,0.0611474,0.106953,0.198517,0.381609", \ - "0.0255011,0.0346170,0.0441042,0.0633537,0.106942,0.198511,0.381623", \ - "0.0389924,0.0489206,0.0582435,0.0762917,0.113219,0.198591,0.381611", \ - "0.0563786,0.0684886,0.0789887,0.0974322,0.132631,0.206845,0.381604", \ - "0.0766764,0.0916672,0.104310,0.125186,0.161034,0.230940,0.387145"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0241322,0.0382002,0.0530455,0.0826642,0.141824,0.259991,0.495965", \ - "0.0241338,0.0382047,0.0530510,0.0826694,0.141839,0.259983,0.495954", \ - "0.0250835,0.0382063,0.0530433,0.0826627,0.141844,0.259990,0.495961", \ - "0.0385054,0.0481884,0.0583768,0.0834151,0.141827,0.259990,0.495975", \ - "0.0559839,0.0691825,0.0806271,0.100021,0.145582,0.259986,0.495960", \ - "0.0758231,0.0932514,0.107932,0.131884,0.170615,0.264029,0.495949", \ - "0.0979370,0.120067,0.138396,0.167837,0.213636,0.291276,0.496418"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0345078,0.0472520,0.0606128,0.0872694,0.140527,0.246995,0.459887", \ - "0.0391590,0.0520986,0.0655530,0.0923011,0.145625,0.252135,0.465047", \ - "0.0516134,0.0648918,0.0784949,0.105432,0.158927,0.265559,0.478550", \ - "0.0672153,0.0832925,0.0987347,0.127322,0.181077,0.287892,0.501038", \ - "0.0819398,0.103060,0.121865,0.155081,0.213909,0.321877,0.535229", \ - "0.0909219,0.120187,0.144728,0.185162,0.252392,0.368671,0.583117", \ - "0.0923746,0.131152,0.163328,0.214239,0.293283,0.422170,0.645865"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0422109,0.0576293,0.0735218,0.104887,0.166990,0.290470,0.536721", \ - "0.0467000,0.0622389,0.0782026,0.109639,0.171800,0.295319,0.541602", \ - "0.0649152,0.0804564,0.0964049,0.127864,0.190092,0.313682,0.560007", \ - "0.0986604,0.117113,0.133412,0.164355,0.226128,0.349445,0.595631", \ - "0.136227,0.161451,0.183942,0.221653,0.283977,0.406282,0.651800", \ - "0.176591,0.208858,0.237847,0.286455,0.363817,0.487652,0.731675", \ - "0.219914,0.259360,0.294871,0.354749,0.450253,0.595574,0.838289"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0158803,0.0267598,0.0382292,0.0611509,0.106945,0.198514,0.381610", \ - "0.0158730,0.0267606,0.0382341,0.0611526,0.106945,0.198511,0.381615", \ - "0.0167550,0.0269127,0.0382360,0.0611496,0.106953,0.198514,0.381611", \ - "0.0213765,0.0316652,0.0422431,0.0629442,0.106958,0.198509,0.381622", \ - "0.0306576,0.0406465,0.0509852,0.0715872,0.112304,0.198885,0.381607", \ - "0.0456206,0.0559405,0.0657564,0.0852882,0.125395,0.206284,0.381690", \ - "0.0652810,0.0770140,0.0872336,0.105976,0.144072,0.223588,0.388022"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0278514,0.0419065,0.0567600,0.0864488,0.145710,0.264030,0.500300", \ - "0.0278437,0.0419120,0.0567623,0.0864327,0.145695,0.264027,0.500304", \ - "0.0277977,0.0418891,0.0567634,0.0864492,0.145694,0.264018,0.500300", \ - "0.0390503,0.0487733,0.0601132,0.0867925,0.145707,0.264029,0.500288", \ - "0.0561595,0.0692331,0.0807676,0.100685,0.148416,0.264025,0.500301", \ - "0.0756028,0.0929435,0.107672,0.131815,0.171352,0.267257,0.500292", \ - "0.0970978,0.119198,0.137678,0.167410,0.213649,0.292843,0.500562"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("2.024315,2.049848,2.065797,2.074054,2.076365,2.063743,2.065394", \ - "1.869653,1.938651,1.984614,2.028314,2.050687,2.061085,2.054916", \ - "1.666781,1.780294,1.830270,1.918079,1.981456,2.009627,2.033700", \ - "1.970084,1.805200,1.819722,1.853307,1.927193,1.980144,2.015122", \ - "2.671072,2.400650,2.242111,2.028073,2.027761,2.029794,2.031951", \ - "3.714989,3.339351,3.076402,2.723011,2.361940,2.235468,2.150075", \ - "5.125699,4.683761,4.314939,3.808767,3.193417,2.700792,2.426480"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("7.495239,7.583743,7.575001,7.632282,7.487341,7.659796,7.475400", \ - "7.216141,7.414976,7.475022,7.594675,7.674053,7.618886,7.717539", \ - "7.043529,7.164964,7.247510,7.400627,7.394105,7.390030,7.528292", \ - "7.286252,7.260757,7.261066,7.289568,7.351478,7.528551,7.430808", \ - "7.516289,7.688574,7.797365,7.657141,7.586817,7.442774,7.550185", \ - "8.416047,8.390897,8.390196,8.524175,8.211120,7.747470,7.803802", \ - "9.874534,9.729965,9.598111,9.467251,9.172730,8.522844,8.145924"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("2.034678,2.060517,2.065928,2.067034,2.072362,2.074662,2.062274", \ - "1.903857,1.969264,1.988527,2.016328,2.052060,2.058931,2.060877", \ - "1.635866,1.753098,1.819057,1.909123,1.970932,2.017142,2.037498", \ - "1.688677,1.612769,1.668566,1.758584,1.881132,1.946003,1.996284", \ - "2.009577,1.892384,1.816254,1.742190,1.832383,1.914698,1.966287", \ - "2.609762,2.406773,2.289434,2.103088,1.926329,1.955423,1.987275", \ - "3.577720,3.280982,3.058139,2.754672,2.413887,2.158009,2.094610"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("9.697149,9.768060,9.738151,9.893875,9.901284,9.864204,9.999838", \ - "9.442994,9.575364,9.619943,9.752822,9.783963,9.759431,9.905811", \ - "9.229541,9.332212,9.391494,9.480485,9.522315,9.803509,9.977199", \ - "9.482782,9.494966,9.496185,9.510180,9.698137,9.715691,9.901604", \ - "9.675087,9.900824,10.033910,9.949598,9.913317,9.885590,9.780336", \ - "10.498310,10.538570,10.613650,10.775280,10.493940,10.254150,10.063720", \ - "11.825140,11.816150,11.720110,11.659160,11.538820,11.051220,10.702960"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("2.051396,2.058438,2.066128,2.069989,2.061785,2.068565,2.065594", \ - "1.911999,1.974312,1.992601,2.031449,2.054466,2.052691,2.062000", \ - "1.612556,1.750865,1.808723,1.906195,1.964761,2.013970,2.035889", \ - "1.643891,1.596212,1.639477,1.761933,1.869468,1.952947,1.993462", \ - "1.866685,1.811844,1.772476,1.689548,1.790908,1.890520,1.961229", \ - "2.286671,2.172676,2.109404,1.993314,1.828873,1.890354,1.954117", \ - "3.026883,2.829581,2.701935,2.500833,2.258384,2.038265,2.031211"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("11.323150,11.445900,11.538400,11.678570,11.792060,11.960290,12.017910", \ - "11.125830,11.275610,11.407850,11.539360,11.619110,11.889000,11.857070", \ - "10.917590,11.088660,11.238060,11.402640,11.379590,11.694950,11.920220", \ - "11.185450,11.272270,11.373980,11.493260,11.581350,11.770310,11.862290", \ - "11.512640,11.775680,11.805470,11.835740,11.850960,11.843650,11.768100", \ - "12.290780,12.418610,12.531940,12.575000,12.476100,12.244860,12.079480", \ - "13.617330,13.630970,13.638600,13.621480,13.488390,13.061800,12.743930"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND4_X1 - Cell Description : Combinational cell (NAND4_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NAND4_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 9.707629; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 1.814928; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 7.279745; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 3.621637; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 13.361778; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 2.408411; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 8.774437; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 4.024665; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 27.588019; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 1.579029; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 7.514380; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 3.150770; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 17.897533; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 2.362915; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 12.413114; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 7.116670; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 34.414025; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.511597; - fall_capacitance : 1.445646; - rise_capacitance : 1.511597; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.583948; - fall_capacitance : 1.467429; - rise_capacitance : 1.583948; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.612125; - fall_capacitance : 1.470449; - rise_capacitance : 1.612125; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.603662; - fall_capacitance : 1.433042; - rise_capacitance : 1.603662; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 55.968070; - function : "!(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0414877,0.0552824,0.0726684,0.107368,0.176681,0.315197,0.592090", \ - "0.0447701,0.0587211,0.0762277,0.111050,0.180472,0.319029,0.595976", \ - "0.0579326,0.0718289,0.0894092,0.124345,0.193899,0.332593,0.609605", \ - "0.0790804,0.0968380,0.116428,0.151070,0.220215,0.358728,0.635686", \ - "0.0957532,0.119860,0.146315,0.190837,0.263014,0.400438,0.676830", \ - "0.106962,0.137660,0.171315,0.227871,0.318119,0.460804,0.735499", \ - "0.112315,0.149651,0.190606,0.259638,0.369744,0.538868,0.814742"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0353917,0.0473666,0.0622973,0.0919722,0.151124,0.269226,0.505193", \ - "0.0400153,0.0521982,0.0673424,0.0972325,0.156586,0.274817,0.510870", \ - "0.0583695,0.0703081,0.0853333,0.115258,0.174787,0.293224,0.529449", \ - "0.0879945,0.104418,0.121804,0.151455,0.210454,0.328691,0.564865", \ - "0.120078,0.142609,0.166604,0.206040,0.268008,0.385170,0.620730", \ - "0.154399,0.183196,0.214104,0.265085,0.344457,0.466206,0.700302", \ - "0.191096,0.226333,0.264251,0.327090,0.425276,0.572046,0.806741"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0289608,0.0406611,0.0554593,0.0850340,0.144135,0.262258,0.498270", \ - "0.0289344,0.0406562,0.0554487,0.0850393,0.144146,0.262234,0.498269", \ - "0.0290731,0.0402994,0.0554467,0.0850384,0.144137,0.262242,0.498248", \ - "0.0418040,0.0505572,0.0609475,0.0857880,0.144109,0.262237,0.498284", \ - "0.0608575,0.0718195,0.0832282,0.104112,0.148688,0.262214,0.498313", \ - "0.0833887,0.0971715,0.111471,0.135539,0.176775,0.267742,0.498299", \ - "0.109192,0.125941,0.143429,0.172464,0.219265,0.299243,0.499712"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0267423,0.0379356,0.0520788,0.0803735,0.136995,0.250327,0.476647", \ - "0.0267610,0.0379368,0.0520660,0.0803827,0.137021,0.250288,0.476655", \ - "0.0281150,0.0379245,0.0520975,0.0803950,0.137018,0.250332,0.476645", \ - "0.0435488,0.0505280,0.0591253,0.0817360,0.136989,0.250254,0.476660", \ - "0.0632611,0.0729631,0.0830792,0.100712,0.142097,0.250240,0.476650", \ - "0.0863976,0.0989892,0.111750,0.133631,0.169681,0.255879,0.476664", \ - "0.112927,0.128224,0.144073,0.170709,0.213596,0.285861,0.477871"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0517939,0.0655533,0.0829309,0.117617,0.186927,0.325448,0.602395", \ - "0.0557405,0.0696190,0.0870901,0.121882,0.191277,0.329838,0.606784", \ - "0.0690604,0.0831813,0.100819,0.135832,0.205438,0.344171,0.621214", \ - "0.0910222,0.107727,0.126983,0.162357,0.232278,0.371254,0.648493", \ - "0.112532,0.134613,0.159046,0.201138,0.274035,0.413494,0.691138", \ - "0.129263,0.158125,0.189849,0.242632,0.328207,0.472620,0.750774", \ - "0.140428,0.176787,0.216374,0.281991,0.385140,0.547754,0.829233"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0434922,0.0554394,0.0704165,0.100196,0.159522,0.277724,0.513851", \ - "0.0482784,0.0603994,0.0755031,0.105416,0.164848,0.283164,0.519321", \ - "0.0663042,0.0783632,0.0934960,0.123497,0.183074,0.301560,0.537858", \ - "0.0994473,0.114128,0.130205,0.159678,0.218817,0.337114,0.573336", \ - "0.136228,0.156324,0.178596,0.215903,0.276385,0.393659,0.629270", \ - "0.175396,0.201295,0.229910,0.278023,0.354471,0.474717,0.708912", \ - "0.216997,0.248936,0.284077,0.343433,0.437952,0.581430,0.815400"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0289972,0.0406434,0.0554545,0.0850310,0.144152,0.262218,0.498242", \ - "0.0290108,0.0406502,0.0554659,0.0850391,0.144155,0.262244,0.498249", \ - "0.0289900,0.0406448,0.0554452,0.0850439,0.144144,0.262259,0.498250", \ - "0.0363786,0.0462198,0.0583480,0.0854361,0.144118,0.262240,0.498255", \ - "0.0507572,0.0606595,0.0724268,0.0958647,0.146542,0.262231,0.498335", \ - "0.0703135,0.0818783,0.0943503,0.117429,0.163058,0.265129,0.498295", \ - "0.0931275,0.106986,0.121597,0.146793,0.192014,0.282971,0.499044"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0310626,0.0423349,0.0566077,0.0850674,0.142032,0.255498,0.482196", \ - "0.0310642,0.0423343,0.0565722,0.0850694,0.141974,0.255512,0.482201", \ - "0.0312216,0.0423232,0.0565971,0.0850777,0.141965,0.255499,0.482192", \ - "0.0438082,0.0510176,0.0611631,0.0857273,0.141909,0.255511,0.482196", \ - "0.0633887,0.0732520,0.0836621,0.101836,0.145700,0.255469,0.482199", \ - "0.0858030,0.0987824,0.112063,0.134318,0.171031,0.260010,0.482188", \ - "0.110940,0.127239,0.143750,0.171056,0.214444,0.288316,0.483067"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0575682,0.0713204,0.0886933,0.123386,0.192693,0.331207,0.608106", \ - "0.0619136,0.0757986,0.0932673,0.128063,0.197452,0.336023,0.612956", \ - "0.0744329,0.0885491,0.106204,0.141220,0.210832,0.349570,0.626608", \ - "0.0934789,0.109185,0.127986,0.163281,0.233122,0.372090,0.649311", \ - "0.113496,0.132978,0.155000,0.194863,0.267392,0.406663,0.684189", \ - "0.129708,0.154816,0.182516,0.229872,0.311083,0.455044,0.732939", \ - "0.139170,0.171450,0.206374,0.264426,0.358245,0.515382,0.796953"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0500431,0.0622500,0.0774676,0.107559,0.167215,0.285886,0.522330", \ - "0.0546621,0.0669687,0.0822546,0.112421,0.172157,0.290838,0.527333", \ - "0.0725327,0.0848791,0.100225,0.130483,0.190331,0.309132,0.545692", \ - "0.107804,0.121496,0.136850,0.166671,0.226145,0.344747,0.581194", \ - "0.148416,0.167043,0.188145,0.223985,0.283709,0.401374,0.637217", \ - "0.191696,0.215809,0.242829,0.288913,0.363163,0.482432,0.716926", \ - "0.237627,0.267412,0.300601,0.357352,0.449036,0.589834,0.823474"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0289862,0.0406538,0.0554714,0.0850345,0.144156,0.262261,0.498269", \ - "0.0290125,0.0406481,0.0554620,0.0850415,0.144159,0.262251,0.498243", \ - "0.0289447,0.0406376,0.0554523,0.0850480,0.144150,0.262246,0.498243", \ - "0.0338225,0.0445013,0.0576157,0.0854003,0.144120,0.262246,0.498283", \ - "0.0437678,0.0543055,0.0671741,0.0932175,0.146443,0.262242,0.498278", \ - "0.0603673,0.0709176,0.0834317,0.108212,0.158802,0.265385,0.498298", \ - "0.0822035,0.0940303,0.106997,0.131085,0.179393,0.279767,0.499706"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0348460,0.0460981,0.0603400,0.0888673,0.145819,0.259619,0.486620", \ - "0.0348285,0.0460918,0.0603598,0.0888641,0.145853,0.259609,0.486615", \ - "0.0347097,0.0460575,0.0603111,0.0888523,0.145814,0.259615,0.486613", \ - "0.0442792,0.0519780,0.0631517,0.0890261,0.145805,0.259602,0.486618", \ - "0.0634351,0.0734001,0.0838362,0.102745,0.148501,0.259588,0.486617", \ - "0.0853979,0.0983824,0.111781,0.134270,0.171777,0.263231,0.486611", \ - "0.109926,0.126316,0.143036,0.170606,0.214412,0.289774,0.487221"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0598291,0.0735761,0.0909532,0.125636,0.194953,0.333468,0.610367", \ - "0.0644247,0.0783488,0.0958173,0.130610,0.200005,0.338569,0.615514", \ - "0.0763991,0.0905034,0.108163,0.143183,0.212796,0.351525,0.628575", \ - "0.0931240,0.108333,0.126813,0.162061,0.231861,0.370830,0.648060", \ - "0.110654,0.127919,0.148381,0.187004,0.259009,0.398239,0.675696", \ - "0.125487,0.146920,0.170981,0.214328,0.292631,0.436022,0.713728", \ - "0.134205,0.161316,0.191002,0.241746,0.328638,0.481875,0.763363"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0547815,0.0673923,0.0829581,0.113536,0.173724,0.292900,0.529815", \ - "0.0593809,0.0720293,0.0876476,0.118245,0.178481,0.297675,0.534583", \ - "0.0773561,0.0900581,0.105729,0.136413,0.196688,0.315937,0.552909", \ - "0.114076,0.127140,0.142364,0.172643,0.232598,0.351639,0.588464", \ - "0.157953,0.175634,0.195925,0.230700,0.290163,0.408344,0.644532", \ - "0.204824,0.227714,0.253619,0.298186,0.370693,0.489423,0.724301", \ - "0.254580,0.282807,0.314572,0.369446,0.458836,0.597404,0.830919"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0290184,0.0406486,0.0554625,0.0850607,0.144146,0.262259,0.498259", \ - "0.0289804,0.0406545,0.0554630,0.0850342,0.144161,0.262248,0.498269", \ - "0.0289443,0.0406349,0.0554536,0.0850411,0.144144,0.262230,0.498275", \ - "0.0321969,0.0433383,0.0570089,0.0853020,0.144111,0.262230,0.498251", \ - "0.0383957,0.0496797,0.0637125,0.0912883,0.146171,0.262231,0.498352", \ - "0.0498596,0.0610150,0.0745193,0.101682,0.155892,0.265367,0.498325", \ - "0.0680445,0.0788669,0.0917709,0.117591,0.170640,0.277624,0.500252"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0386173,0.0498452,0.0640785,0.0925807,0.149542,0.263414,0.490519", \ - "0.0385793,0.0498332,0.0640948,0.0925703,0.149552,0.263414,0.490534", \ - "0.0383383,0.0497459,0.0640502,0.0925683,0.149525,0.263358,0.490519", \ - "0.0450320,0.0535291,0.0655126,0.0924009,0.149508,0.263374,0.490518", \ - "0.0640033,0.0739112,0.0843607,0.103814,0.151274,0.263367,0.490523", \ - "0.0856928,0.0985930,0.111889,0.134446,0.172612,0.266174,0.490531", \ - "0.109843,0.126094,0.142739,0.170412,0.214440,0.291159,0.490958"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.812606,0.809177,0.819940,0.815077,0.820268,0.819030,0.815784", \ - "0.784033,0.786896,0.802655,0.804039,0.813456,0.815435,0.813542", \ - "0.730812,0.750540,0.765356,0.781837,0.792667,0.803052,0.808335", \ - "0.747118,0.742740,0.751379,0.765601,0.784894,0.796425,0.801844", \ - "0.908875,0.858336,0.821581,0.801833,0.800885,0.803867,0.803925", \ - "1.146294,1.080482,1.020434,0.939648,0.881167,0.849541,0.830634", \ - "1.481974,1.401800,1.318419,1.197788,1.051793,0.958084,0.895208"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("2.057428,2.071007,2.059095,2.054406,2.043071,2.048239,2.056471", \ - "2.012252,2.029118,2.025975,2.078659,2.094078,2.107113,2.054463", \ - "1.955459,1.964347,1.988961,2.005130,2.031410,2.056303,2.077212", \ - "2.016454,1.995978,2.005653,2.015961,1.997527,2.025657,2.053690", \ - "2.062818,2.099379,2.084761,2.044377,2.040474,2.056841,2.081159", \ - "2.252593,2.258445,2.260280,2.276794,2.153091,2.132344,2.119727", \ - "2.585313,2.560964,2.531972,2.487792,2.422128,2.314254,2.221368"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.821370,0.818041,0.821303,0.815588,0.820487,0.818675,0.814619", \ - "0.794227,0.800879,0.803463,0.804708,0.813726,0.815349,0.813443", \ - "0.734304,0.751061,0.761983,0.778665,0.796630,0.805350,0.807735", \ - "0.700045,0.710700,0.722223,0.742063,0.769515,0.787455,0.797158", \ - "0.766088,0.742535,0.725474,0.735258,0.756614,0.775714,0.788261", \ - "0.902645,0.862775,0.835096,0.790852,0.773920,0.783747,0.790375", \ - "1.121881,1.073765,1.019485,0.947824,0.861603,0.826361,0.814302"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("2.609683,2.615717,2.595647,2.635930,2.651044,2.665239,2.687083", \ - "2.576673,2.599570,2.612534,2.614447,2.633958,2.652240,2.675537", \ - "2.512001,2.542354,2.561811,2.542802,2.634722,2.665272,2.696748", \ - "2.551560,2.540104,2.557707,2.576676,2.606051,2.639797,2.675486", \ - "2.623445,2.672215,2.672355,2.658123,2.654387,2.611266,2.640927", \ - "2.783393,2.802322,2.804961,2.838554,2.766947,2.690778,2.704725", \ - "3.090209,3.084351,3.087914,3.062674,3.033042,2.879110,2.791949"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.821930,0.813216,0.817577,0.814023,0.819442,0.818701,0.815175", \ - "0.793613,0.801070,0.798773,0.812097,0.812371,0.814731,0.813102", \ - "0.731219,0.745504,0.761670,0.777404,0.795909,0.804742,0.807580", \ - "0.689107,0.697163,0.715739,0.735201,0.768406,0.785239,0.797610", \ - "0.737311,0.723461,0.705476,0.721438,0.741962,0.770561,0.785697", \ - "0.829472,0.805403,0.785761,0.758476,0.749432,0.765597,0.780562", \ - "0.997396,0.960890,0.925561,0.877881,0.817011,0.794393,0.794476"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("3.061503,3.077904,3.068326,3.117859,3.159902,3.176793,3.211320", \ - "3.020514,3.046568,3.058257,3.078329,3.108029,3.139978,3.176729", \ - "2.955380,2.989525,2.996894,3.065972,3.107197,3.148242,3.190334", \ - "2.987657,3.004881,3.015626,3.045992,3.088555,3.126118,3.170395", \ - "3.083022,3.131436,3.105020,3.122392,3.136858,3.167840,3.139669", \ - "3.247232,3.272277,3.290056,3.288638,3.255343,3.252024,3.207978", \ - "3.546845,3.563090,3.552245,3.555659,3.520656,3.406427,3.366966"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.821774,0.819501,0.814133,0.819484,0.816683,0.816888,0.815944", \ - "0.794613,0.800416,0.806221,0.807068,0.815162,0.816064,0.813608", \ - "0.731555,0.747693,0.762719,0.773353,0.793468,0.803013,0.806731", \ - "0.685880,0.695094,0.712848,0.736317,0.766155,0.785652,0.796166", \ - "0.731176,0.715530,0.702736,0.711529,0.741875,0.767396,0.785161", \ - "0.802174,0.792624,0.772591,0.749923,0.737340,0.758621,0.778872", \ - "0.932144,0.911098,0.884535,0.850842,0.800599,0.779582,0.787989"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("3.426384,3.453023,3.466922,3.540640,3.604635,3.638456,3.691625", \ - "3.376248,3.416383,3.457948,3.493797,3.542688,3.593780,3.647552", \ - "3.330323,3.374446,3.405433,3.429107,3.543113,3.600234,3.658244", \ - "3.371383,3.388135,3.402134,3.474086,3.525086,3.582667,3.640654", \ - "3.491610,3.527971,3.517482,3.553050,3.583869,3.629271,3.613944", \ - "3.655628,3.692122,3.715056,3.726732,3.705840,3.717648,3.686081", \ - "3.969368,3.988843,3.986431,3.991597,3.978299,3.851075,3.850582"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND4_X2 - Cell Description : Combinational cell (NAND4_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NAND4_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 19.424287; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 3.639421; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 14.569048; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 7.252851; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 26.733104; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 4.826371; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 17.558460; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 8.058897; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 55.185785; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 3.167617; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 15.038280; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 6.312248; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 35.804643; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 4.735396; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 24.835729; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 14.242858; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 68.827880; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.912136; - fall_capacitance : 2.776760; - rise_capacitance : 2.912136; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.262695; - fall_capacitance : 3.027849; - rise_capacitance : 3.262695; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.428047; - fall_capacitance : 3.151505; - rise_capacitance : 3.428047; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.712480; - fall_capacitance : 3.384109; - rise_capacitance : 3.712480; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 111.502900; - function : "!(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0419049,0.0574217,0.0747329,0.109284,0.178305,0.316262,0.592084", \ - "0.0451673,0.0608872,0.0783121,0.112982,0.182097,0.320118,0.595955", \ - "0.0583173,0.0740402,0.0915227,0.126289,0.195544,0.333683,0.609599", \ - "0.0795943,0.0994997,0.118684,0.153014,0.221887,0.359851,0.635682", \ - "0.0964847,0.123454,0.149402,0.193160,0.264696,0.401595,0.676868", \ - "0.107885,0.142072,0.175158,0.230887,0.320166,0.462022,0.735656", \ - "0.113428,0.154981,0.195114,0.263099,0.372073,0.540054,0.814708"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0358609,0.0493229,0.0641963,0.0937596,0.152675,0.270374,0.505588", \ - "0.0404824,0.0542024,0.0692599,0.0990315,0.158149,0.275975,0.511277", \ - "0.0588214,0.0722905,0.0872719,0.117085,0.176359,0.294408,0.529867", \ - "0.0886481,0.106859,0.123825,0.153258,0.212060,0.329894,0.565322", \ - "0.120938,0.145957,0.169386,0.208149,0.269593,0.386384,0.621215", \ - "0.155486,0.187541,0.217788,0.267882,0.346372,0.467437,0.700806", \ - "0.192403,0.231548,0.268668,0.330471,0.427593,0.573314,0.807132"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0293175,0.0424626,0.0571991,0.0866555,0.145500,0.263090,0.498182", \ - "0.0292812,0.0424610,0.0571937,0.0866528,0.145489,0.263089,0.498173", \ - "0.0293943,0.0421909,0.0571868,0.0866386,0.145480,0.263084,0.498163", \ - "0.0421258,0.0517862,0.0622427,0.0873141,0.145476,0.263087,0.498163", \ - "0.0612271,0.0731064,0.0844179,0.105074,0.149805,0.263072,0.498179", \ - "0.0838542,0.0990690,0.112958,0.136671,0.177630,0.268506,0.498193", \ - "0.109777,0.128252,0.145396,0.173948,0.220252,0.299895,0.499561"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0270807,0.0396601,0.0537823,0.0819540,0.138361,0.251203,0.476807", \ - "0.0270749,0.0396801,0.0537505,0.0819421,0.138361,0.251212,0.476808", \ - "0.0283731,0.0396525,0.0537498,0.0819554,0.138354,0.251231,0.476814", \ - "0.0437016,0.0513099,0.0602297,0.0831544,0.138354,0.251202,0.476805", \ - "0.0635091,0.0739951,0.0840307,0.101539,0.143228,0.251176,0.476809", \ - "0.0867210,0.100389,0.112937,0.134522,0.170382,0.256710,0.476800", \ - "0.113316,0.130144,0.145645,0.171877,0.214358,0.286522,0.478000"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0521419,0.0676594,0.0849607,0.119501,0.188521,0.326484,0.602310", \ - "0.0561064,0.0717422,0.0891335,0.123774,0.192872,0.330886,0.606735", \ - "0.0694257,0.0853110,0.102869,0.137727,0.207039,0.345219,0.621182", \ - "0.0914875,0.110084,0.129051,0.164232,0.233847,0.372296,0.648446", \ - "0.113137,0.137790,0.161729,0.203218,0.275653,0.414530,0.690985", \ - "0.130072,0.162333,0.193463,0.245398,0.330060,0.473720,0.750724", \ - "0.141445,0.181839,0.220658,0.285256,0.387309,0.548816,0.829082"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0438906,0.0573464,0.0722461,0.101891,0.160938,0.278739,0.513988", \ - "0.0486854,0.0623144,0.0773442,0.107131,0.166288,0.284185,0.519484", \ - "0.0666976,0.0803308,0.0953755,0.125233,0.184563,0.302600,0.538019", \ - "0.0999786,0.116403,0.132063,0.161409,0.220316,0.338176,0.573538", \ - "0.136913,0.159441,0.181204,0.217869,0.277883,0.394731,0.629502", \ - "0.176290,0.205214,0.233276,0.280658,0.356251,0.475815,0.709179", \ - "0.218091,0.253622,0.288095,0.346528,0.440078,0.582532,0.815566"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0293188,0.0424517,0.0571968,0.0866467,0.145491,0.263099,0.498162", \ - "0.0293334,0.0424588,0.0572074,0.0866566,0.145496,0.263092,0.498158", \ - "0.0293287,0.0424519,0.0572010,0.0866545,0.145490,0.263088,0.498167", \ - "0.0366652,0.0475553,0.0598706,0.0869959,0.145484,0.263095,0.498179", \ - "0.0510508,0.0619904,0.0737277,0.0970355,0.147820,0.263076,0.498177", \ - "0.0706946,0.0833870,0.0956406,0.118534,0.163966,0.265922,0.498204", \ - "0.0936022,0.108879,0.123177,0.148037,0.192965,0.283626,0.498868"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0313743,0.0440761,0.0582724,0.0866052,0.143252,0.256355,0.482146", \ - "0.0313721,0.0440694,0.0582384,0.0865929,0.143255,0.256344,0.482158", \ - "0.0315030,0.0440651,0.0582467,0.0865999,0.143245,0.256358,0.482145", \ - "0.0440143,0.0520727,0.0624403,0.0871018,0.143217,0.256337,0.482157", \ - "0.0636410,0.0743620,0.0846137,0.102766,0.146821,0.256290,0.482162", \ - "0.0861445,0.100307,0.113269,0.135193,0.171754,0.260749,0.482162", \ - "0.111380,0.129210,0.145394,0.172243,0.215217,0.288880,0.483025"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0579227,0.0734299,0.0907320,0.125275,0.194292,0.332259,0.608089", \ - "0.0622595,0.0779191,0.0953130,0.129953,0.199057,0.337079,0.612940", \ - "0.0748160,0.0907034,0.108267,0.143128,0.212439,0.350620,0.626587", \ - "0.0938976,0.111478,0.130088,0.165181,0.234720,0.373146,0.649281", \ - "0.114079,0.135619,0.157281,0.196854,0.268916,0.407613,0.684011", \ - "0.130429,0.158439,0.185557,0.232226,0.312795,0.456045,0.732801", \ - "0.140089,0.175976,0.210297,0.267417,0.360275,0.516458,0.796860"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0504493,0.0641729,0.0793167,0.109271,0.168669,0.286846,0.522416", \ - "0.0550644,0.0689012,0.0841070,0.114130,0.173598,0.291802,0.527416", \ - "0.0729451,0.0868753,0.102123,0.132232,0.191800,0.310116,0.545798", \ - "0.108291,0.123665,0.138737,0.168439,0.227661,0.345759,0.581347", \ - "0.149045,0.170028,0.190671,0.225880,0.285242,0.402427,0.637394", \ - "0.192533,0.219450,0.246019,0.291445,0.364877,0.483535,0.717134", \ - "0.238639,0.271815,0.304334,0.360330,0.451109,0.590897,0.823570"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0293234,0.0424600,0.0571958,0.0866585,0.145488,0.263103,0.498170", \ - "0.0293155,0.0424505,0.0572009,0.0866451,0.145490,0.263108,0.498182", \ - "0.0292923,0.0424464,0.0572172,0.0866636,0.145487,0.263090,0.498163", \ - "0.0341253,0.0460749,0.0592146,0.0869759,0.145477,0.263086,0.498162", \ - "0.0441264,0.0557801,0.0686569,0.0946300,0.147735,0.263072,0.498188", \ - "0.0607030,0.0724230,0.0848000,0.109424,0.159949,0.266211,0.498193", \ - "0.0826119,0.0956601,0.108367,0.132298,0.180383,0.280508,0.499627"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0351735,0.0478037,0.0620001,0.0903721,0.147098,0.260393,0.486465", \ - "0.0351501,0.0478029,0.0620214,0.0903896,0.147106,0.260359,0.486461", \ - "0.0350525,0.0477865,0.0619835,0.0903691,0.147080,0.260349,0.486464", \ - "0.0444696,0.0531657,0.0644824,0.0904712,0.147093,0.260348,0.486467", \ - "0.0636673,0.0744375,0.0847853,0.103610,0.149613,0.260341,0.486471", \ - "0.0857421,0.0999296,0.112996,0.135175,0.172529,0.263942,0.486476", \ - "0.110387,0.128351,0.144670,0.171843,0.215212,0.290358,0.487072"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0601924,0.0756887,0.0929904,0.127531,0.196549,0.334516,0.610347", \ - "0.0647999,0.0804720,0.0978640,0.132504,0.201605,0.339625,0.615497", \ - "0.0767625,0.0926577,0.110226,0.145089,0.214404,0.352585,0.628552", \ - "0.0935685,0.110596,0.128917,0.163971,0.233492,0.371890,0.648028", \ - "0.111102,0.130370,0.150683,0.188986,0.260573,0.399218,0.675557", \ - "0.126097,0.149850,0.173488,0.216460,0.294215,0.436961,0.713516", \ - "0.134979,0.165186,0.194302,0.244332,0.330472,0.482919,0.763238"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0551953,0.0693544,0.0848292,0.115239,0.175156,0.293804,0.529814", \ - "0.0597934,0.0740085,0.0895312,0.119969,0.179910,0.298584,0.534592", \ - "0.0777987,0.0921033,0.107657,0.138157,0.198161,0.316883,0.552930", \ - "0.114526,0.129209,0.144281,0.174398,0.234096,0.352600,0.588522", \ - "0.158540,0.178503,0.198366,0.232536,0.291737,0.409338,0.644635", \ - "0.205597,0.231178,0.256635,0.300625,0.372405,0.490489,0.724436", \ - "0.255528,0.286966,0.318211,0.372296,0.460818,0.598404,0.830941"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0293232,0.0424505,0.0572095,0.0866524,0.145486,0.263090,0.498176", \ - "0.0293152,0.0424505,0.0572155,0.0866481,0.145495,0.263096,0.498167", \ - "0.0292782,0.0424448,0.0572182,0.0866429,0.145492,0.263092,0.498172", \ - "0.0325482,0.0449882,0.0586583,0.0869000,0.145485,0.263094,0.498159", \ - "0.0387300,0.0513812,0.0653373,0.0927807,0.147499,0.263070,0.498155", \ - "0.0502033,0.0625368,0.0760038,0.103148,0.157125,0.266213,0.498167", \ - "0.0684080,0.0803793,0.0931545,0.118873,0.171808,0.278381,0.500155"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0389509,0.0515385,0.0657216,0.0941026,0.150789,0.264086,0.490317", \ - "0.0389146,0.0515379,0.0657549,0.0941036,0.150793,0.264081,0.490318", \ - "0.0386950,0.0514778,0.0657012,0.0940855,0.150791,0.264087,0.490320", \ - "0.0452448,0.0548253,0.0669332,0.0939686,0.150786,0.264084,0.490311", \ - "0.0642646,0.0749531,0.0852443,0.104847,0.152479,0.264068,0.490310", \ - "0.0860684,0.100079,0.113126,0.135331,0.173436,0.266883,0.490304", \ - "0.110301,0.128074,0.144372,0.171621,0.215206,0.291704,0.490718"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("1.626787,1.632114,1.637692,1.634160,1.636084,1.640066,1.629435", \ - "1.569250,1.589261,1.604214,1.612043,1.618766,1.627085,1.626096", \ - "1.463466,1.508026,1.534693,1.567829,1.588863,1.609076,1.615984", \ - "1.493161,1.502037,1.505171,1.534477,1.563142,1.592392,1.603165", \ - "1.814223,1.709054,1.634725,1.604624,1.598967,1.609512,1.610491", \ - "2.292585,2.144628,2.035335,1.872147,1.763393,1.703074,1.660200", \ - "2.953174,2.776339,2.611140,2.383090,2.098753,1.911610,1.789616"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("4.479932,4.493665,4.513435,4.460727,4.476650,4.535952,4.437921", \ - "4.378702,4.414999,4.405247,4.437440,4.454827,4.498896,4.568094", \ - "4.277916,4.332346,4.336086,4.394735,4.456907,4.527957,4.507479", \ - "4.395041,4.344449,4.370440,4.334484,4.391737,4.506213,4.461175", \ - "4.503243,4.592771,4.518238,4.467859,4.478993,4.535409,4.497760", \ - "4.862256,4.851282,4.877896,4.928732,4.748669,4.689725,4.618133", \ - "5.524027,5.471644,5.394603,5.362885,5.230501,5.018629,4.773718"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("1.642007,1.626850,1.628925,1.634802,1.638400,1.634556,1.629664", \ - "1.588450,1.599156,1.593768,1.613234,1.631062,1.634065,1.626418", \ - "1.461077,1.501865,1.510780,1.561464,1.586929,1.610736,1.614415", \ - "1.400737,1.421063,1.457659,1.489812,1.542092,1.577842,1.593106", \ - "1.528983,1.472683,1.446255,1.472889,1.507804,1.554088,1.577393", \ - "1.800136,1.720759,1.664993,1.578665,1.550377,1.563464,1.579753", \ - "2.244011,2.129046,2.021376,1.888063,1.716639,1.655258,1.627541"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("5.570070,5.596885,5.623333,5.654711,5.568614,5.622363,5.713680", \ - "5.505204,5.564804,5.595508,5.613033,5.661529,5.727661,5.692428", \ - "5.391274,5.451650,5.437075,5.564442,5.543230,5.625726,5.735917", \ - "5.468935,5.492177,5.513955,5.541650,5.593985,5.577618,5.697569", \ - "5.612137,5.712673,5.655271,5.701860,5.586653,5.653018,5.631198", \ - "5.939559,5.942922,5.976304,6.010968,5.938409,5.817443,5.762304", \ - "6.547284,6.520616,6.513429,6.518445,6.457300,6.187264,6.061526"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("1.635035,1.624940,1.621618,1.631644,1.642565,1.640078,1.628897", \ - "1.585900,1.592579,1.611869,1.610558,1.628455,1.632365,1.628054", \ - "1.464132,1.491419,1.525925,1.559045,1.583881,1.609960,1.613813", \ - "1.378165,1.396348,1.428813,1.487734,1.534434,1.573551,1.594962", \ - "1.475063,1.436039,1.409925,1.431637,1.486758,1.537317,1.570734", \ - "1.655457,1.611575,1.566338,1.513746,1.490874,1.533834,1.563747", \ - "1.997829,1.915329,1.851619,1.751721,1.628146,1.591157,1.591441"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("6.490192,6.520756,6.536139,6.621529,6.688598,6.770447,6.770762", \ - "6.392236,6.460220,6.504424,6.543045,6.614616,6.706945,6.701974", \ - "6.278207,6.335171,6.417773,6.404928,6.490285,6.595099,6.732082", \ - "6.342319,6.386917,6.355612,6.484757,6.572528,6.553855,6.695778", \ - "6.531875,6.598131,6.630101,6.629436,6.557404,6.641771,6.637464", \ - "6.855326,6.890357,6.947266,7.000750,6.914074,6.815453,6.777075", \ - "7.462217,7.471342,7.444473,7.476579,7.435584,7.192661,7.086065"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("1.641644,1.628392,1.642853,1.642059,1.637768,1.637209,1.630788", \ - "1.589813,1.591832,1.606228,1.618006,1.629464,1.632317,1.626039", \ - "1.463907,1.489228,1.521090,1.554286,1.590758,1.610120,1.612139", \ - "1.375286,1.401343,1.433710,1.477444,1.535942,1.574698,1.592022", \ - "1.460910,1.429110,1.401452,1.426665,1.475445,1.531065,1.567973", \ - "1.602597,1.568993,1.539020,1.496816,1.476369,1.519850,1.556920", \ - "1.861888,1.803605,1.762049,1.697997,1.599757,1.559977,1.574988"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("7.203984,7.297181,7.298938,7.467904,7.574890,7.585580,7.737505", \ - "7.121490,7.225200,7.281464,7.376517,7.484873,7.614160,7.647659", \ - "7.013713,7.103117,7.141464,7.248928,7.403414,7.501449,7.672586", \ - "7.093349,7.177412,7.218640,7.223234,7.333994,7.467416,7.641122", \ - "7.350703,7.399460,7.382751,7.494632,7.457489,7.565755,7.589795", \ - "7.673101,7.754056,7.797326,7.836256,7.823333,7.749815,7.737146", \ - "8.296701,8.332524,8.315578,8.350174,8.349962,8.137287,8.055982"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND4_X4 - Cell Description : Combinational cell (NAND4_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NAND4_X4) { - - drive_strength : 4; - - area : 4.788000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 38.848397; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 7.278805; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 29.137953; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 14.505654; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 53.465905; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 9.652703; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 35.116759; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 16.117738; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 110.370240; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 6.335191; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 30.076383; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 12.624415; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 71.608901; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 9.470878; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 49.671356; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 28.485708; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 137.655760; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.641459; - fall_capacitance : 5.391400; - rise_capacitance : 5.641459; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.794158; - fall_capacitance : 5.363224; - rise_capacitance : 5.794158; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.860645; - fall_capacitance : 5.336863; - rise_capacitance : 5.860645; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.948014; - fall_capacitance : 5.312344; - rise_capacitance : 5.948014; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 222.778000; - function : "!(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0371883,0.0537608,0.0711698,0.105894,0.175249,0.313887,0.591050", \ - "0.0404716,0.0572602,0.0747925,0.109641,0.179098,0.317797,0.594994", \ - "0.0540172,0.0704825,0.0880441,0.123006,0.192603,0.331417,0.608689", \ - "0.0735686,0.0956127,0.115195,0.149767,0.218975,0.357601,0.634810", \ - "0.0885011,0.118485,0.145081,0.189611,0.261837,0.399364,0.675949", \ - "0.0978741,0.135922,0.169847,0.226640,0.317062,0.459851,0.734748", \ - "0.101424,0.147369,0.188652,0.258009,0.368423,0.537802,0.813853"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0322703,0.0465782,0.0614595,0.0909835,0.149839,0.267371,0.502306", \ - "0.0368383,0.0514262,0.0664884,0.0962500,0.155308,0.272999,0.508012", \ - "0.0554151,0.0696008,0.0845541,0.114347,0.173571,0.291445,0.526633", \ - "0.0832214,0.103471,0.120926,0.150578,0.209290,0.326971,0.562128", \ - "0.113440,0.141217,0.165336,0.204881,0.266871,0.383489,0.618050", \ - "0.145831,0.181454,0.212503,0.263614,0.343065,0.464601,0.697665", \ - "0.180585,0.224098,0.262225,0.325239,0.423516,0.570191,0.804022"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0260400,0.0400988,0.0549439,0.0845490,0.143678,0.261853,0.498089", \ - "0.0260081,0.0400983,0.0549420,0.0845463,0.143670,0.261843,0.498092", \ - "0.0263014,0.0396409,0.0548768,0.0845401,0.143675,0.261846,0.498084", \ - "0.0394540,0.0497923,0.0602969,0.0852409,0.143675,0.261847,0.498084", \ - "0.0577677,0.0708425,0.0824292,0.103377,0.148149,0.261832,0.498082", \ - "0.0795041,0.0962234,0.110551,0.134760,0.176192,0.267320,0.498089", \ - "0.104413,0.125004,0.142588,0.171749,0.218678,0.298912,0.499437"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0237209,0.0370059,0.0510794,0.0792473,0.135568,0.248251,0.473568", \ - "0.0237176,0.0370112,0.0510709,0.0792428,0.135561,0.248256,0.473566", \ - "0.0257940,0.0370493,0.0510805,0.0792383,0.135578,0.248243,0.473554", \ - "0.0413686,0.0497878,0.0583142,0.0807322,0.135579,0.248254,0.473564", \ - "0.0604154,0.0717667,0.0820907,0.0998485,0.140869,0.248223,0.473569", \ - "0.0827447,0.0974861,0.110484,0.132478,0.168587,0.254081,0.473564", \ - "0.108460,0.126750,0.142670,0.169402,0.212341,0.284429,0.474894"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0495005,0.0660327,0.0834271,0.118144,0.187496,0.326139,0.603312", \ - "0.0534277,0.0701161,0.0876167,0.122440,0.191876,0.330570,0.607781", \ - "0.0667424,0.0837180,0.101401,0.136464,0.206115,0.344978,0.622286", \ - "0.0880139,0.108153,0.127440,0.162901,0.232864,0.372005,0.649513", \ - "0.108040,0.135201,0.159585,0.201621,0.274608,0.414188,0.692040", \ - "0.122766,0.158705,0.190523,0.243243,0.328791,0.473303,0.751678", \ - "0.131589,0.176594,0.216507,0.282373,0.385623,0.548225,0.829917"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0415083,0.0558226,0.0707687,0.100457,0.159526,0.277310,0.512508", \ - "0.0462516,0.0607731,0.0758470,0.105674,0.164862,0.282735,0.517985", \ - "0.0642784,0.0787985,0.0938844,0.123801,0.183140,0.301180,0.536538", \ - "0.0967527,0.114670,0.130596,0.159973,0.218921,0.336756,0.572071", \ - "0.132497,0.157120,0.179133,0.216198,0.276467,0.393335,0.628068", \ - "0.170608,0.202213,0.230697,0.278563,0.354635,0.474447,0.707737", \ - "0.211103,0.249850,0.284847,0.343959,0.438097,0.581048,0.814124"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0260783,0.0400922,0.0549268,0.0845385,0.143669,0.261849,0.498084", \ - "0.0260948,0.0400921,0.0549426,0.0845446,0.143668,0.261847,0.498088", \ - "0.0261577,0.0400992,0.0549379,0.0845471,0.143671,0.261843,0.498086", \ - "0.0335258,0.0453541,0.0577132,0.0849291,0.143671,0.261848,0.498084", \ - "0.0477123,0.0596269,0.0714415,0.0950732,0.146037,0.261834,0.498091", \ - "0.0668543,0.0806825,0.0932637,0.116442,0.162209,0.264692,0.498085", \ - "0.0893001,0.105915,0.120587,0.145863,0.191163,0.282373,0.498753"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0286270,0.0420355,0.0562504,0.0845898,0.141197,0.254232,0.479969", \ - "0.0286277,0.0420465,0.0562384,0.0845808,0.141199,0.254235,0.479969", \ - "0.0289246,0.0420471,0.0562318,0.0846093,0.141205,0.254234,0.479957", \ - "0.0417227,0.0504391,0.0606766,0.0851383,0.141197,0.254237,0.479963", \ - "0.0605398,0.0722484,0.0827800,0.101126,0.144950,0.254227,0.479967", \ - "0.0820982,0.0975641,0.110928,0.133325,0.170143,0.258847,0.479968", \ - "0.106293,0.125890,0.142561,0.169957,0.213427,0.287183,0.480884"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0561239,0.0726209,0.0900187,0.124733,0.194088,0.332726,0.609905", \ - "0.0603901,0.0771015,0.0946039,0.129427,0.198864,0.337560,0.614778", \ - "0.0731096,0.0900759,0.107761,0.142821,0.212479,0.351345,0.628661", \ - "0.0919352,0.110831,0.129637,0.164969,0.234865,0.373963,0.651473", \ - "0.111397,0.134666,0.156561,0.196406,0.268943,0.408345,0.686108", \ - "0.126193,0.156853,0.184369,0.231518,0.312599,0.456595,0.734735", \ - "0.133595,0.173344,0.208402,0.266251,0.359810,0.516807,0.798580"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0483577,0.0630623,0.0782939,0.108367,0.167876,0.286090,0.521707", \ - "0.0529903,0.0677900,0.0830933,0.113233,0.172802,0.291058,0.526705", \ - "0.0708103,0.0857583,0.101105,0.131331,0.191007,0.309373,0.545094", \ - "0.105815,0.122498,0.137713,0.167528,0.226851,0.345030,0.580650", \ - "0.145748,0.168561,0.189391,0.224854,0.284434,0.401681,0.636717", \ - "0.188392,0.217621,0.244468,0.290207,0.363997,0.482820,0.716438", \ - "0.233634,0.269451,0.302443,0.358873,0.450036,0.590137,0.822861"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0261011,0.0400968,0.0549431,0.0845387,0.143680,0.261850,0.498086", \ - "0.0260723,0.0400919,0.0549302,0.0845491,0.143668,0.261841,0.498092", \ - "0.0260875,0.0400939,0.0549272,0.0845397,0.143672,0.261852,0.498085", \ - "0.0307663,0.0436964,0.0569630,0.0848530,0.143664,0.261842,0.498090", \ - "0.0404757,0.0530349,0.0661615,0.0924418,0.145916,0.261829,0.498088", \ - "0.0565432,0.0694335,0.0820225,0.107005,0.157995,0.264928,0.498087", \ - "0.0779683,0.0923046,0.105355,0.129629,0.178209,0.279105,0.499475"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0327438,0.0461267,0.0603265,0.0887335,0.145449,0.258673,0.484742", \ - "0.0327566,0.0461188,0.0603238,0.0887201,0.145440,0.258674,0.484742", \ - "0.0325382,0.0460974,0.0603271,0.0887335,0.145436,0.258678,0.484753", \ - "0.0423615,0.0515059,0.0628430,0.0888849,0.145442,0.258691,0.484743", \ - "0.0607148,0.0723705,0.0830035,0.102018,0.148040,0.258689,0.484765", \ - "0.0819107,0.0972709,0.110719,0.133307,0.170975,0.262322,0.484755", \ - "0.105483,0.125067,0.141877,0.169580,0.213475,0.288817,0.485356"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0588757,0.0753859,0.0927808,0.127496,0.196849,0.335491,0.612669", \ - "0.0635360,0.0802179,0.0977180,0.132541,0.201979,0.340673,0.617884", \ - "0.0757326,0.0927002,0.110391,0.145453,0.215113,0.353978,0.631300", \ - "0.0927662,0.110983,0.129440,0.164690,0.234574,0.373660,0.651156", \ - "0.110298,0.130849,0.151265,0.189816,0.261787,0.401102,0.678799", \ - "0.124736,0.150216,0.174085,0.217220,0.295327,0.438691,0.716648", \ - "0.132266,0.165172,0.194573,0.244903,0.331410,0.484462,0.766105"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0534013,0.0686496,0.0842960,0.114910,0.175026,0.293830,0.529926", \ - "0.0579900,0.0733006,0.0889710,0.119619,0.179765,0.298591,0.534698", \ - "0.0759161,0.0913821,0.107098,0.137801,0.198009,0.316881,0.553027", \ - "0.112627,0.128578,0.143708,0.174023,0.233940,0.352608,0.588625", \ - "0.156179,0.177840,0.197834,0.232171,0.291534,0.409317,0.644743", \ - "0.202786,0.230439,0.256124,0.300279,0.372202,0.490483,0.724534", \ - "0.252250,0.286109,0.317579,0.371956,0.460700,0.598393,0.831006"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0260901,0.0400936,0.0549414,0.0845427,0.143671,0.261847,0.498089", \ - "0.0260993,0.0400970,0.0549327,0.0845409,0.143668,0.261845,0.498083", \ - "0.0260580,0.0400953,0.0549279,0.0845500,0.143679,0.261842,0.498086", \ - "0.0291114,0.0425293,0.0563218,0.0847584,0.143670,0.261852,0.498091", \ - "0.0348641,0.0485538,0.0627389,0.0904683,0.145601,0.261827,0.498087", \ - "0.0457772,0.0591569,0.0729650,0.100541,0.155019,0.264868,0.498090", \ - "0.0633061,0.0765593,0.0896385,0.115855,0.169427,0.276831,0.499970"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0369461,0.0502890,0.0645231,0.0928948,0.149612,0.262896,0.489116", \ - "0.0369151,0.0502965,0.0645057,0.0928876,0.149607,0.262891,0.489113", \ - "0.0365330,0.0502076,0.0644986,0.0928840,0.149613,0.262898,0.489133", \ - "0.0433377,0.0533651,0.0655632,0.0927150,0.149608,0.262901,0.489121", \ - "0.0615190,0.0730709,0.0836573,0.103381,0.151176,0.262889,0.489122", \ - "0.0824055,0.0975823,0.110983,0.133590,0.171987,0.265646,0.489113", \ - "0.105691,0.124997,0.141755,0.169463,0.213550,0.290362,0.489498"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("3.244702,3.238976,3.258705,3.274768,3.287891,3.277949,3.261065", \ - "3.120761,3.150498,3.189695,3.234922,3.259259,3.262186,3.252170", \ - "2.903178,3.004092,3.058069,3.112951,3.187859,3.212491,3.232024", \ - "3.028041,2.976426,3.027952,3.066224,3.116067,3.184963,3.206038", \ - "3.694902,3.456784,3.286703,3.214929,3.197870,3.208574,3.221772", \ - "4.670298,4.336612,4.088479,3.757796,3.528593,3.398333,3.321161", \ - "6.019041,5.603929,5.291469,4.799846,4.216222,3.836402,3.581802"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("7.850716,7.869312,7.919593,7.944910,7.973041,7.795000,7.946592", \ - "7.660086,7.794729,7.899591,7.852787,7.933041,8.039697,7.944763", \ - "7.487237,7.553611,7.676186,7.800622,7.943354,7.845225,8.049537", \ - "7.744667,7.686615,7.663018,7.738692,7.816487,7.735675,7.967344", \ - "7.880096,8.008981,8.160968,7.963472,7.993471,7.870111,7.823896", \ - "8.669286,8.619258,8.705765,8.756140,8.538270,8.184954,8.068902", \ - "10.016140,9.878238,9.755639,9.640893,9.519892,8.915083,8.649142"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("3.283607,3.271305,3.283022,3.265080,3.281928,3.273809,3.266559", \ - "3.164953,3.167210,3.206007,3.237049,3.252171,3.258308,3.258102", \ - "2.892029,2.997842,3.043654,3.107000,3.188295,3.216511,3.234379", \ - "2.766688,2.830565,2.876154,2.958799,3.081814,3.142611,3.190653", \ - "3.047125,2.952036,2.874288,2.912041,3.015120,3.089688,3.155583", \ - "3.576309,3.411845,3.289429,3.122735,3.078024,3.113025,3.154771", \ - "4.459346,4.194799,4.002053,3.728119,3.397257,3.284857,3.234812"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("10.377320,10.378330,10.507170,10.555150,10.647990,10.527590,10.742400", \ - "10.237550,10.324450,10.374620,10.471700,10.583430,10.735030,10.697890", \ - "9.980413,10.137450,10.204030,10.228340,10.349550,10.538190,10.790040", \ - "10.148620,10.188770,10.163950,10.331570,10.489900,10.442510,10.716670", \ - "10.386770,10.622080,10.670720,10.612250,10.651930,10.597210,10.798810", \ - "11.082030,11.186220,11.220560,11.306370,11.171180,10.931490,10.854140", \ - "12.300940,12.288510,12.260840,12.268480,12.192470,11.675450,11.454160"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("3.274754,3.263234,3.279535,3.283863,3.285241,3.282357,3.263820", \ - "3.163617,3.193619,3.222990,3.236477,3.266146,3.266248,3.253975", \ - "2.901944,2.980645,3.055291,3.108744,3.187561,3.212258,3.232085", \ - "2.721105,2.784908,2.860724,2.957956,3.070918,3.145152,3.190009", \ - "2.932009,2.838283,2.797617,2.864532,2.968251,3.067693,3.141732", \ - "3.285151,3.186505,3.107887,2.996812,2.960660,3.051801,3.114223", \ - "3.920172,3.759230,3.628129,3.449798,3.218973,3.140064,3.159763"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("12.305470,12.412040,12.429110,12.684050,12.751710,12.951820,12.972340", \ - "12.131250,12.293020,12.428500,12.570680,12.606790,12.814190,12.837350", \ - "11.875680,12.051930,12.198410,12.415480,12.608840,12.626920,12.898490", \ - "12.023810,12.139410,12.096820,12.328900,12.522030,12.771650,12.830210", \ - "12.376230,12.631730,12.623860,12.681010,12.744820,12.687520,12.984930", \ - "13.050790,13.173230,13.286100,13.403570,13.213800,13.198050,12.997890", \ - "14.260410,14.270880,14.298510,14.345440,14.259680,13.822060,13.615900"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("3.275667,3.266990,3.276044,3.265575,3.281163,3.274140,3.258791", \ - "3.163696,3.199215,3.203535,3.225871,3.250266,3.256657,3.256398", \ - "2.888939,2.971938,3.048422,3.110689,3.183995,3.217400,3.227239", \ - "2.714956,2.786907,2.858441,2.957099,3.069218,3.143888,3.182868", \ - "2.900511,2.836185,2.778365,2.845120,2.940958,3.065716,3.133430", \ - "3.171171,3.101592,3.057421,2.956373,2.930713,3.021216,3.105567", \ - "3.648258,3.536841,3.471515,3.346646,3.148092,3.084218,3.131588"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("13.891520,14.062290,14.251010,14.445450,14.662360,14.953360,15.320370", \ - "13.698420,13.920460,14.091220,14.280970,14.493080,14.811910,15.142740", \ - "13.475260,13.771160,13.981950,14.215800,14.493130,14.807550,14.926530", \ - "13.658230,13.846870,14.009610,14.165460,14.430410,14.744160,14.865650", \ - "14.150740,14.382160,14.337660,14.544860,14.673740,14.936910,15.032830", \ - "14.845910,15.026790,15.184920,15.325340,15.155310,15.311060,15.328870", \ - "16.104120,16.150040,16.246360,16.325380,16.221260,16.085690,15.699670"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR2_X1 - Cell Description : Combinational cell (NOR2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NOR2_X1) { - - drive_strength : 1; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 12.817519; - - leakage_power () { - when : "!A1 & !A2"; - value : 24.652975; - } - leakage_power () { - when : "!A1 & A2"; - value : 7.256081; - } - leakage_power () { - when : "A1 & !A2"; - value : 8.622751; - } - leakage_power () { - when : "A1 & A2"; - value : 10.738270; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.641898; - fall_capacitance : 1.370505; - rise_capacitance : 1.641898; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.591217; - fall_capacitance : 1.492649; - rise_capacitance : 1.591217; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 26.647140; - function : "!(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00790940,0.00903639,0.0110155,0.0149277,0.0227015,0.0381978,0.0691554", \ - "0.0132114,0.0144483,0.0164355,0.0203666,0.0281585,0.0436738,0.0746349", \ - "0.0229567,0.0257177,0.0299086,0.0366384,0.0469193,0.0628161,0.0936714", \ - "0.0292858,0.0341738,0.0415121,0.0531554,0.0704374,0.0952229,0.130503", \ - "0.0294023,0.0367936,0.0479140,0.0655965,0.0917161,0.128294,0.178885", \ - "0.0217722,0.0318992,0.0471856,0.0717313,0.108005,0.158605,0.227275", \ - "0.00527714,0.0182259,0.0380037,0.0697176,0.117308,0.183783,0.273199"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.0529966,0.0626623,0.0795170,0.112577,0.177905,0.307706,0.566620", \ - "0.0535657,0.0632557,0.0802805,0.113806,0.179842,0.310352,0.569777", \ - "0.0688047,0.0779733,0.0943692,0.127170,0.192768,0.323471,0.583526", \ - "0.0994938,0.110667,0.128456,0.159916,0.224031,0.353421,0.612674", \ - "0.133852,0.148435,0.171665,0.211553,0.276961,0.404087,0.661645", \ - "0.172930,0.190728,0.219280,0.268465,0.348920,0.478659,0.733128", \ - "0.217606,0.238436,0.272003,0.330244,0.426248,0.577646,0.830673"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00384108,0.00481335,0.00654705,0.0100174,0.0169624,0.0308531,0.0586332", \ - "0.00451672,0.00520375,0.00664009,0.0100202,0.0169633,0.0308514,0.0586315", \ - "0.0110186,0.0119427,0.0134223,0.0159901,0.0203627,0.0312734,0.0586341", \ - "0.0219723,0.0233437,0.0254483,0.0289911,0.0347745,0.0441782,0.0624831", \ - "0.0372894,0.0392975,0.0423730,0.0471876,0.0546785,0.0664303,0.0851208", \ - "0.0563663,0.0592736,0.0637146,0.0704653,0.0801604,0.0947132,0.117019", \ - "0.0793386,0.0833000,0.0892192,0.0985065,0.111136,0.129117,0.155582"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.0354048,0.0437435,0.0585263,0.0880774,0.147103,0.264921,0.499867", \ - "0.0353645,0.0437245,0.0585287,0.0880588,0.147100,0.264960,0.499871", \ - "0.0350109,0.0435455,0.0584708,0.0880399,0.147068,0.264958,0.499851", \ - "0.0482721,0.0536061,0.0638153,0.0887268,0.147028,0.264944,0.499849", \ - "0.0645356,0.0721387,0.0844668,0.105395,0.150999,0.264826,0.500114", \ - "0.0834570,0.0926585,0.107559,0.133248,0.175533,0.269249,0.499928", \ - "0.104940,0.115684,0.133145,0.163492,0.212995,0.296022,0.500695"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00848586,0.00980115,0.0120652,0.0164057,0.0246960,0.0406861,0.0720238", \ - "0.0141687,0.0153697,0.0175121,0.0217334,0.0299425,0.0458969,0.0772299", \ - "0.0269568,0.0293204,0.0330502,0.0392493,0.0490378,0.0649145,0.0960916", \ - "0.0380553,0.0420437,0.0482883,0.0585998,0.0745941,0.0983433,0.132903", \ - "0.0443595,0.0502792,0.0594324,0.0748642,0.0986102,0.133276,0.182464", \ - "0.0438539,0.0519250,0.0644768,0.0855341,0.118346,0.165904,0.232342", \ - "0.0353313,0.0456384,0.0618825,0.0889742,0.131775,0.193897,0.280052"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.0676074,0.0770424,0.0936111,0.126401,0.191537,0.321179,0.580029", \ - "0.0710597,0.0805399,0.0972667,0.130376,0.195957,0.326126,0.585267", \ - "0.0886632,0.0979911,0.114528,0.147448,0.213001,0.343418,0.603193", \ - "0.117935,0.128150,0.144760,0.177403,0.242627,0.372868,0.632546", \ - "0.150450,0.162988,0.183741,0.220954,0.287614,0.417570,0.676959", \ - "0.186703,0.202066,0.226953,0.271162,0.347670,0.480962,0.740106", \ - "0.228674,0.247032,0.276446,0.327806,0.415089,0.562754,0.823648"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00568380,0.00676846,0.00862695,0.0122036,0.0191452,0.0329165,0.0605718", \ - "0.00585405,0.00670209,0.00837244,0.0119897,0.0190297,0.0328792,0.0605637", \ - "0.0124563,0.0133248,0.0147142,0.0171576,0.0215461,0.0330174,0.0605643", \ - "0.0230398,0.0243777,0.0264444,0.0299495,0.0357033,0.0450219,0.0638600", \ - "0.0373189,0.0393650,0.0425718,0.0475102,0.0552103,0.0670990,0.0858397", \ - "0.0549463,0.0579629,0.0626501,0.0697382,0.0799684,0.0949793,0.117572", \ - "0.0756609,0.0798718,0.0863004,0.0962852,0.109843,0.128731,0.155835"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.0353775,0.0437263,0.0585214,0.0880645,0.147159,0.264899,0.499862", \ - "0.0353895,0.0437267,0.0585105,0.0880684,0.147081,0.264884,0.499860", \ - "0.0354124,0.0437264,0.0584982,0.0880606,0.147086,0.264879,0.499849", \ - "0.0402919,0.0470856,0.0600760,0.0880865,0.147019,0.264948,0.499869", \ - "0.0515936,0.0591760,0.0723203,0.0968336,0.148911,0.264910,0.499883", \ - "0.0667896,0.0747111,0.0885089,0.114667,0.163687,0.267693,0.500024", \ - "0.0856045,0.0940062,0.108563,0.136001,0.187261,0.284271,0.500905"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("-0.000118,-0.000127,-0.000142,-0.000173,-0.000233,-0.000355,-0.000598", \ - "-0.000413,-0.000422,-0.000437,-0.000468,-0.000529,-0.000650,-0.000893", \ - "-0.001435,-0.001448,-0.001469,-0.001505,-0.001565,-0.001687,-0.001929", \ - "-0.003339,-0.003361,-0.003394,-0.003449,-0.003535,-0.003667,-0.003909", \ - "0.073876,0.060179,0.041152,0.018248,-0.006548,-0.006727,-0.006994", \ - "0.299076,0.279728,0.247348,0.204792,0.142655,0.077479,0.009639", \ - "0.600667,0.579727,0.543445,0.482769,0.387162,0.274126,0.159755"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("1.742740,1.759096,1.774286,1.775252,1.803905,1.793621,1.791627", \ - "1.659118,1.676249,1.694158,1.722956,1.743682,1.766739,1.788784", \ - "1.646530,1.650670,1.650350,1.667733,1.665383,1.726473,1.751981", \ - "1.732327,1.727864,1.715951,1.712012,1.702221,1.712112,1.694013", \ - "1.846864,1.882375,1.873813,1.833200,1.810009,1.757763,1.750482", \ - "2.021286,2.033509,2.049951,2.073839,1.995788,1.920452,1.847932", \ - "2.345276,2.339617,2.337387,2.327454,2.292480,2.161929,2.027799"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.014914,0.036463,0.063993,0.101197,0.136614,0.173345,0.199790", \ - "-0.000797,0.004538,0.035859,0.068234,0.108185,0.140173,0.167463", \ - "0.048376,0.044735,0.044990,0.049416,0.081558,0.115117,0.145102", \ - "0.150447,0.147644,0.142030,0.134926,0.120252,0.115338,0.143131", \ - "0.298523,0.290640,0.279489,0.259615,0.231787,0.196262,0.167189", \ - "0.523588,0.508102,0.488153,0.452215,0.399842,0.336817,0.267088", \ - "0.826604,0.812609,0.783502,0.735065,0.654386,0.549446,0.434600"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("2.332950,2.342658,2.344509,2.359319,2.354716,2.361652,2.364514", \ - "2.277328,2.285375,2.293136,2.304215,2.318891,2.335518,2.343954", \ - "2.237848,2.243021,2.244643,2.252207,2.272157,2.312088,2.331601", \ - "2.225222,2.228252,2.227922,2.241725,2.227801,2.246864,2.288437", \ - "2.219558,2.264807,2.261559,2.246144,2.249861,2.262194,2.273025", \ - "2.207749,2.233282,2.275842,2.334366,2.293357,2.281904,2.281029", \ - "2.367077,2.369463,2.387146,2.401991,2.459847,2.378623,2.346247"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR2_X2 - Cell Description : Combinational cell (NOR2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NOR2_X2) { - - drive_strength : 2; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 25.645910; - - leakage_power () { - when : "!A1 & !A2"; - value : 49.305950; - } - leakage_power () { - when : "!A1 & A2"; - value : 14.526659; - } - leakage_power () { - when : "A1 & !A2"; - value : 17.259999; - } - leakage_power () { - when : "A1 & A2"; - value : 21.491033; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.148876; - fall_capacitance : 2.616993; - rise_capacitance : 3.148876; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.233977; - fall_capacitance : 3.040036; - rise_capacitance : 3.233977; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 53.302100; - function : "!(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00753527,0.00910859,0.0110880,0.0149978,0.0227655,0.0382516,0.0691928", \ - "0.0127542,0.0145205,0.0165073,0.0204344,0.0282217,0.0437237,0.0746735", \ - "0.0218879,0.0258414,0.0300144,0.0367234,0.0469873,0.0628701,0.0937012", \ - "0.0273567,0.0343546,0.0416927,0.0532977,0.0705383,0.0952926,0.130545", \ - "0.0264240,0.0370124,0.0481723,0.0658263,0.0918559,0.128401,0.178943", \ - "0.0176717,0.0321156,0.0474330,0.0719734,0.108239,0.158767,0.227365", \ - "0.0000272466,0.0184737,0.0382252,0.0700948,0.117603,0.184004,0.273349"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.0490506,0.0625830,0.0794298,0.112477,0.177767,0.307591,0.566568", \ - "0.0497045,0.0631806,0.0802050,0.113720,0.179714,0.310224,0.569711", \ - "0.0652247,0.0779870,0.0943507,0.127131,0.192692,0.323379,0.583497", \ - "0.0946948,0.110619,0.128478,0.159929,0.224037,0.353403,0.612728", \ - "0.127687,0.148357,0.171630,0.211550,0.277003,0.404171,0.661596", \ - "0.165434,0.190633,0.219199,0.268532,0.349029,0.478708,0.733150", \ - "0.208858,0.238327,0.271905,0.330179,0.426203,0.577598,0.830601"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00347036,0.00482125,0.00655307,0.0100230,0.0169653,0.0308494,0.0586247", \ - "0.00427446,0.00520071,0.00664430,0.0100233,0.0169662,0.0308473,0.0586182", \ - "0.0105935,0.0119045,0.0133891,0.0159695,0.0203479,0.0312659,0.0586236", \ - "0.0213215,0.0232649,0.0253631,0.0289199,0.0347196,0.0441440,0.0624661", \ - "0.0363080,0.0392030,0.0422114,0.0470213,0.0545531,0.0663364,0.0850655", \ - "0.0549631,0.0591810,0.0635932,0.0702055,0.0799638,0.0945580,0.116909", \ - "0.0774539,0.0831631,0.0891140,0.0982018,0.110899,0.128910,0.155411"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.0321497,0.0436742,0.0584648,0.0879704,0.146874,0.264661,0.499877", \ - "0.0320956,0.0436677,0.0584597,0.0879659,0.146907,0.264640,0.499879", \ - "0.0321398,0.0435146,0.0584069,0.0879512,0.146877,0.264636,0.499855", \ - "0.0457073,0.0536106,0.0637765,0.0886617,0.146877,0.264654,0.499863", \ - "0.0615423,0.0721663,0.0843984,0.105289,0.150911,0.264584,0.499952", \ - "0.0797879,0.0927613,0.107576,0.133089,0.175421,0.269102,0.499903", \ - "0.100689,0.115845,0.133258,0.163404,0.212906,0.296050,0.500616"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00797713,0.00981957,0.0120845,0.0164240,0.0247124,0.0406976,0.0720286", \ - "0.0136835,0.0153885,0.0175309,0.0217530,0.0299606,0.0459087,0.0772349", \ - "0.0260021,0.0293825,0.0330951,0.0392822,0.0490614,0.0649222,0.0960980", \ - "0.0364680,0.0421806,0.0484130,0.0586888,0.0746557,0.0983750,0.132906", \ - "0.0419683,0.0503697,0.0596461,0.0750256,0.0987219,0.133340,0.182476", \ - "0.0405926,0.0520341,0.0646067,0.0857530,0.118522,0.166027,0.232410", \ - "0.0311696,0.0457685,0.0620051,0.0892343,0.131990,0.194082,0.280190"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.0637835,0.0769228,0.0934929,0.126273,0.191359,0.321069,0.579969", \ - "0.0672259,0.0804247,0.0971532,0.130263,0.195812,0.325968,0.585184", \ - "0.0849290,0.0979093,0.114442,0.147344,0.212872,0.343319,0.603056", \ - "0.113661,0.127986,0.144656,0.177303,0.242514,0.372717,0.632597", \ - "0.145210,0.162880,0.183470,0.220715,0.287473,0.417356,0.676837", \ - "0.180176,0.201912,0.226821,0.270856,0.347386,0.480707,0.739875", \ - "0.220852,0.246866,0.276319,0.327603,0.414921,0.562642,0.823571"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00524924,0.00676709,0.00862766,0.0122034,0.0191449,0.0329130,0.0605688", \ - "0.00554569,0.00669825,0.00837337,0.0119892,0.0190301,0.0328741,0.0605589", \ - "0.0120717,0.0132840,0.0146756,0.0171390,0.0215292,0.0330169,0.0605576", \ - "0.0224083,0.0242670,0.0263436,0.0298722,0.0356460,0.0449807,0.0638390", \ - "0.0363407,0.0392832,0.0423705,0.0473507,0.0550769,0.0670021,0.0857564", \ - "0.0535297,0.0578759,0.0624965,0.0694849,0.0797691,0.0948274,0.117456", \ - "0.0736905,0.0797723,0.0861875,0.0959216,0.109556,0.128527,0.155677"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.0321338,0.0436601,0.0584535,0.0879656,0.146910,0.264670,0.499862", \ - "0.0321446,0.0436614,0.0584430,0.0879689,0.146906,0.264632,0.499876", \ - "0.0321728,0.0436697,0.0584495,0.0879562,0.146885,0.264641,0.499910", \ - "0.0376745,0.0471259,0.0600703,0.0880388,0.146876,0.264624,0.499912", \ - "0.0485992,0.0591179,0.0721904,0.0967895,0.148866,0.264586,0.499874", \ - "0.0637087,0.0747429,0.0883833,0.114449,0.163562,0.267538,0.499903", \ - "0.0823548,0.0941163,0.108497,0.135751,0.187080,0.284222,0.500841"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("-0.000230,-0.000254,-0.000285,-0.000346,-0.000468,-0.000712,-0.001199", \ - "-0.000820,-0.000844,-0.000875,-0.000936,-0.001059,-0.001303,-0.001790", \ - "-0.002859,-0.002897,-0.002939,-0.003010,-0.003132,-0.003375,-0.003862", \ - "0.009974,-0.006723,-0.006790,-0.006900,-0.007071,-0.007337,-0.007822", \ - "0.300276,0.260232,0.221932,0.173573,0.117414,0.049550,-0.013993", \ - "0.751343,0.697698,0.632490,0.545981,0.421956,0.292564,0.157648", \ - "1.353373,1.296915,1.222298,1.103002,0.909464,0.684336,0.458244"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("3.338289,3.378894,3.400850,3.435506,3.414838,3.429529,3.441878", \ - "3.172599,3.213836,3.257718,3.295087,3.344901,3.385800,3.417441", \ - "3.156319,3.165635,3.171166,3.184994,3.237918,3.306116,3.362586", \ - "3.332441,3.319301,3.303252,3.272362,3.265897,3.280515,3.316303", \ - "3.537504,3.625923,3.608916,3.547166,3.476526,3.376221,3.361646", \ - "3.910422,3.930265,3.972322,4.020916,3.857473,3.700995,3.556791", \ - "4.563972,4.552719,4.540944,4.514025,4.443264,4.183753,3.971181"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.137678,0.221358,0.264630,0.342411,0.419009,0.481797,0.543514", \ - "0.107212,0.155042,0.214226,0.282489,0.352409,0.419020,0.475684", \ - "0.226520,0.228747,0.230525,0.230746,0.300014,0.369630,0.433317", \ - "0.439624,0.429317,0.422521,0.406152,0.378547,0.373848,0.424044", \ - "0.744634,0.718797,0.691959,0.656668,0.599620,0.529366,0.474588", \ - "1.195185,1.152873,1.115461,1.043718,0.934712,0.811857,0.671324", \ - "1.802411,1.757684,1.705062,1.607296,1.444721,1.236047,1.007398"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("4.523567,4.540374,4.563700,4.588639,4.565935,4.577668,4.587171", \ - "4.406890,4.432015,4.465645,4.499273,4.496280,4.538693,4.546662", \ - "4.325457,4.348039,4.363223,4.394679,4.437325,4.478758,4.520695", \ - "4.312822,4.329595,4.330437,4.334842,4.326862,4.350822,4.392973", \ - "4.265078,4.393136,4.387062,4.375883,4.362166,4.376013,4.404659", \ - "4.272940,4.327575,4.411494,4.538887,4.445464,4.419379,4.416450", \ - "4.596140,4.613352,4.629109,4.661579,4.777633,4.619818,4.552513"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR2_X4 - Cell Description : Combinational cell (NOR2_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NOR2_X4) { - - drive_strength : 4; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 51.291809; - - leakage_power () { - when : "!A1 & !A2"; - value : 98.611900; - } - leakage_power () { - when : "!A1 & A2"; - value : 29.053318; - } - leakage_power () { - when : "A1 & !A2"; - value : 34.519960; - } - leakage_power () { - when : "A1 & A2"; - value : 42.982056; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.498413; - fall_capacitance : 5.426492; - rise_capacitance : 6.498413; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.436485; - fall_capacitance : 6.042901; - rise_capacitance : 6.436485; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 106.783400; - function : "!(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00724838,0.00905271,0.0110346,0.0149504,0.0227244,0.0382232,0.0691912", \ - "0.0123915,0.0144628,0.0164521,0.0203846,0.0281790,0.0436946,0.0746684", \ - "0.0210514,0.0256940,0.0298960,0.0366377,0.0469323,0.0628389,0.0936986", \ - "0.0258669,0.0340943,0.0414755,0.0531359,0.0704416,0.0952414,0.130541", \ - "0.0241478,0.0365935,0.0478370,0.0655897,0.0917092,0.128326,0.178929", \ - "0.0145646,0.0314635,0.0469739,0.0716267,0.108018,0.158658,0.227338", \ - "-0.00391460,0.0176215,0.0375409,0.0696445,0.117343,0.183866,0.273319"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.0463933,0.0618890,0.0787467,0.111806,0.177098,0.306947,0.566046", \ - "0.0470942,0.0624947,0.0795198,0.113047,0.179050,0.309585,0.569184", \ - "0.0628444,0.0773581,0.0937156,0.126497,0.192057,0.322751,0.582931", \ - "0.0913976,0.109930,0.127812,0.159295,0.223417,0.352794,0.612237", \ - "0.123384,0.147368,0.170842,0.210784,0.276390,0.403608,0.661090", \ - "0.160197,0.189412,0.218153,0.267653,0.348378,0.478226,0.732718", \ - "0.202753,0.236895,0.270637,0.329231,0.425460,0.577051,0.830102"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00321362,0.00475280,0.00648745,0.00995958,0.0169056,0.0308030,0.0586002", \ - "0.00413057,0.00514667,0.00658486,0.00995937,0.0169044,0.0307985,0.0585915", \ - "0.0103214,0.0118344,0.0133326,0.0159291,0.0203076,0.0312232,0.0586009", \ - "0.0208942,0.0231343,0.0252600,0.0288421,0.0346585,0.0441097,0.0624483", \ - "0.0356712,0.0389932,0.0420349,0.0468875,0.0544673,0.0662787,0.0850307", \ - "0.0540433,0.0589977,0.0633342,0.0699848,0.0798217,0.0944601,0.116847", \ - "0.0761816,0.0829014,0.0888890,0.0978868,0.110663,0.128765,0.155320"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.0298714,0.0430196,0.0577994,0.0873085,0.146216,0.263925,0.499159", \ - "0.0298504,0.0430180,0.0578015,0.0873148,0.146228,0.263916,0.499145", \ - "0.0302108,0.0428578,0.0577686,0.0873050,0.146204,0.263912,0.499145", \ - "0.0438865,0.0531498,0.0633237,0.0880396,0.146202,0.263911,0.499138", \ - "0.0593226,0.0715665,0.0838046,0.104782,0.150313,0.263890,0.499127", \ - "0.0770782,0.0921180,0.106922,0.132449,0.174910,0.268474,0.499142", \ - "0.0975520,0.115090,0.132545,0.162671,0.212305,0.295533,0.499859"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00764085,0.00975841,0.0120282,0.0163721,0.0246644,0.0406538,0.0719883", \ - "0.0133516,0.0153322,0.0174758,0.0217002,0.0299123,0.0458644,0.0771920", \ - "0.0253253,0.0292740,0.0330009,0.0392086,0.0490061,0.0648751,0.0960530", \ - "0.0353070,0.0419946,0.0482521,0.0585656,0.0745692,0.0983065,0.132863", \ - "0.0402274,0.0500614,0.0594098,0.0748378,0.0985896,0.133249,0.182413", \ - "0.0382138,0.0515154,0.0642438,0.0855078,0.118328,0.165911,0.232320", \ - "0.0281464,0.0450894,0.0614266,0.0889011,0.131734,0.193929,0.280106"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.0612560,0.0762818,0.0928642,0.125651,0.190737,0.320464,0.579473", \ - "0.0647061,0.0797739,0.0965121,0.129633,0.195180,0.325350,0.584710", \ - "0.0824596,0.0972683,0.113804,0.146717,0.212245,0.342700,0.602549", \ - "0.110789,0.127251,0.143998,0.176677,0.241899,0.372129,0.631969", \ - "0.141654,0.161986,0.182611,0.219978,0.286860,0.416764,0.676338", \ - "0.175703,0.200887,0.225857,0.269919,0.346585,0.480096,0.739305", \ - "0.215473,0.245645,0.275216,0.326751,0.414104,0.561920,0.822971"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00494346,0.00668905,0.00855224,0.0121287,0.0190721,0.0328401,0.0604920", \ - "0.00534284,0.00663210,0.00829902,0.0119146,0.0189547,0.0327995,0.0604831", \ - "0.0118115,0.0132100,0.0146161,0.0170804,0.0214749,0.0329445,0.0604813", \ - "0.0219944,0.0241318,0.0262302,0.0297825,0.0355742,0.0449228,0.0637719", \ - "0.0357137,0.0390666,0.0421677,0.0471881,0.0549622,0.0669128,0.0856848", \ - "0.0525557,0.0576628,0.0621981,0.0692322,0.0795945,0.0946847,0.117355", \ - "0.0723702,0.0794835,0.0859073,0.0955659,0.109295,0.128332,0.155540"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.0298844,0.0430176,0.0577996,0.0873173,0.146209,0.263933,0.499151", \ - "0.0298982,0.0430140,0.0578016,0.0873155,0.146215,0.263912,0.499169", \ - "0.0299459,0.0430356,0.0577973,0.0873121,0.146206,0.263912,0.499139", \ - "0.0358359,0.0466029,0.0595205,0.0873967,0.146193,0.263911,0.499140", \ - "0.0464718,0.0584645,0.0716010,0.0962603,0.148275,0.263883,0.499132", \ - "0.0615014,0.0740698,0.0877349,0.113824,0.163025,0.266910,0.499171", \ - "0.0799934,0.0934621,0.107812,0.134988,0.186352,0.283660,0.500112"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("-0.000451,-0.000506,-0.000568,-0.000690,-0.000935,-0.001423,-0.002398", \ - "-0.001631,-0.001686,-0.001748,-0.001871,-0.002115,-0.002604,-0.003579", \ - "-0.005701,-0.005791,-0.005876,-0.006019,-0.006263,-0.006749,-0.007723", \ - "0.025950,-0.011780,-0.013575,-0.013796,-0.014141,-0.014672,-0.015643", \ - "0.614570,0.526590,0.447403,0.347233,0.231719,0.099314,-0.027986", \ - "1.521840,1.398715,1.280097,1.087599,0.846649,0.584408,0.316435", \ - "2.742047,2.602559,2.452178,2.202147,1.827303,1.368548,0.915210"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("6.536673,6.653550,6.708794,6.776084,6.750510,6.775392,6.838066", \ - "6.209501,6.301731,6.387897,6.494977,6.609596,6.688451,6.741732", \ - "6.189271,6.229534,6.249583,6.287208,6.303326,6.399031,6.498071", \ - "6.569081,6.519856,6.511840,6.446747,6.326800,6.348501,6.408946", \ - "6.914870,7.136282,7.122121,6.991587,6.861330,6.681898,6.500033", \ - "7.690572,7.744237,7.809007,7.939621,7.644472,7.330536,7.028804", \ - "9.030438,8.980789,8.950194,8.914636,8.814694,8.297368,7.719983"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.296570,0.430605,0.534494,0.695079,0.837888,0.968664,1.087577", \ - "0.190884,0.313351,0.408493,0.563500,0.705218,0.848212,0.961127", \ - "0.451602,0.474963,0.453880,0.469685,0.599061,0.742570,0.867030", \ - "0.883108,0.868336,0.842119,0.809643,0.759060,0.745675,0.852992", \ - "1.487240,1.439711,1.389254,1.311866,1.195608,1.057414,0.948343", \ - "2.407152,2.319543,2.230631,2.080565,1.873818,1.616504,1.339513", \ - "3.625025,3.520019,3.417813,3.215339,2.890068,2.468198,2.013558"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("8.914921,8.976950,9.007104,9.053089,9.050905,9.069844,9.080974", \ - "8.691388,8.759831,8.800518,8.879620,8.911475,8.963946,8.999995", \ - "8.543172,8.592614,8.618613,8.663545,8.668203,8.742781,8.815421", \ - "8.520491,8.534763,8.535673,8.540949,8.554353,8.658093,8.688029", \ - "8.371078,8.633397,8.637633,8.616805,8.646199,8.669550,8.583225", \ - "8.407895,8.519430,8.677691,8.912149,8.828675,8.754349,8.734688", \ - "9.087899,9.108960,9.113199,9.247698,9.344172,9.159049,9.006725"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR3_X1 - Cell Description : Combinational cell (NOR3_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NOR3_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 13.478750; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 36.946735; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 7.132619; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 7.281019; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 10.710015; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 8.646358; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 10.752151; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 10.763871; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 15.597233; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.680976; - fall_capacitance : 1.373855; - rise_capacitance : 1.680976; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.598443; - fall_capacitance : 1.431204; - rise_capacitance : 1.598443; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.555493; - fall_capacitance : 1.476882; - rise_capacitance : 1.555493; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 15.980940; - function : "!((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00854902,0.00931308,0.0107406,0.0133938,0.0183401,0.0275951,0.0449400", \ - "0.0139656,0.0147490,0.0161789,0.0188464,0.0238118,0.0330843,0.0504401", \ - "0.0248293,0.0265875,0.0295794,0.0343498,0.0416428,0.0523770,0.0696249", \ - "0.0326555,0.0357698,0.0410127,0.0493309,0.0617573,0.0794712,0.104197", \ - "0.0339633,0.0387753,0.0468047,0.0595575,0.0785377,0.105125,0.141333", \ - "0.0266099,0.0333564,0.0445571,0.0624276,0.0891688,0.126396,0.176338", \ - "0.00919929,0.0179919,0.0327452,0.0561809,0.0914368,0.140782,0.206663"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.0855354,0.0960640,0.115603,0.151727,0.218797,0.343409,0.576654", \ - "0.0837145,0.0943152,0.114111,0.150860,0.218689,0.344396,0.578566", \ - "0.0957572,0.105900,0.125033,0.160961,0.228251,0.353882,0.588646", \ - "0.129337,0.138258,0.155945,0.190618,0.256349,0.380382,0.613727", \ - "0.173294,0.185335,0.206489,0.242814,0.305663,0.427532,0.658430", \ - "0.222767,0.237285,0.262894,0.306531,0.378271,0.497948,0.725790", \ - "0.278747,0.295588,0.325359,0.376268,0.460197,0.593819,0.818252"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00444105,0.00510342,0.00635406,0.00870515,0.0131184,0.0214049,0.0369664", \ - "0.00493287,0.00543505,0.00647562,0.00870307,0.0131145,0.0214042,0.0369683", \ - "0.0115139,0.0121354,0.0131933,0.0150242,0.0180053,0.0233912,0.0370144", \ - "0.0225962,0.0235048,0.0250231,0.0275425,0.0315759,0.0379137,0.0478037", \ - "0.0383430,0.0396618,0.0418873,0.0453124,0.0505693,0.0586308,0.0708633", \ - "0.0585299,0.0603477,0.0635643,0.0684021,0.0751923,0.0852321,0.100072", \ - "0.0830412,0.0855389,0.0897655,0.0963643,0.105363,0.117936,0.135815"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.0664492,0.0755007,0.0925640,0.124224,0.183367,0.293738,0.499936", \ - "0.0662738,0.0753952,0.0925237,0.124262,0.183322,0.293732,0.499929", \ - "0.0652880,0.0747677,0.0922511,0.124124,0.183304,0.293725,0.499925", \ - "0.0680688,0.0758454,0.0913905,0.123187,0.183141,0.293723,0.499927", \ - "0.0860749,0.0935815,0.106292,0.130557,0.183139,0.293695,0.499937", \ - "0.106261,0.114561,0.129616,0.155832,0.199677,0.295332,0.499931", \ - "0.129454,0.138846,0.155688,0.184809,0.233310,0.316323,0.500577"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00929927,0.0101906,0.0118275,0.0148030,0.0201711,0.0298876,0.0476435", \ - "0.0150272,0.0158560,0.0174106,0.0202971,0.0255906,0.0352584,0.0529978", \ - "0.0288241,0.0303549,0.0329997,0.0373595,0.0442063,0.0545159,0.0720339", \ - "0.0410546,0.0436236,0.0480650,0.0553980,0.0667037,0.0833890,0.107264", \ - "0.0481914,0.0521031,0.0586391,0.0696830,0.0866572,0.111382,0.146043", \ - "0.0478310,0.0532608,0.0624030,0.0775102,0.101222,0.135564,0.183085", \ - "0.0383161,0.0453653,0.0574154,0.0771608,0.108296,0.153482,0.215830"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.127889,0.138212,0.157470,0.193303,0.260020,0.384429,0.617547", \ - "0.128338,0.138746,0.158190,0.194413,0.261709,0.386780,0.620488", \ - "0.142232,0.152496,0.171728,0.207690,0.274922,0.400129,0.634429", \ - "0.171242,0.181438,0.200197,0.235825,0.302648,0.427606,0.661614", \ - "0.209582,0.221357,0.242746,0.279018,0.345474,0.470081,0.703681", \ - "0.252650,0.266358,0.290835,0.333502,0.406595,0.531325,0.764270", \ - "0.303826,0.319727,0.347832,0.396234,0.477688,0.613412,0.846178"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00639368,0.00711980,0.00845860,0.0108953,0.0153401,0.0235651,0.0390056", \ - "0.00637666,0.00699159,0.00820966,0.0106584,0.0151844,0.0234848,0.0389778", \ - "0.0128147,0.0133925,0.0143917,0.0161144,0.0189770,0.0247589,0.0389229", \ - "0.0235691,0.0244591,0.0259613,0.0284193,0.0324075,0.0386897,0.0485035", \ - "0.0384219,0.0397170,0.0420573,0.0455398,0.0509275,0.0590916,0.0714061", \ - "0.0572101,0.0591046,0.0625142,0.0675552,0.0747249,0.0851673,0.100351", \ - "0.0797529,0.0824065,0.0869625,0.0940642,0.103699,0.117030,0.135554"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.0666071,0.0755711,0.0925597,0.124201,0.183327,0.293733,0.499936", \ - "0.0666251,0.0755786,0.0925590,0.124201,0.183341,0.293728,0.499927", \ - "0.0666453,0.0755918,0.0925656,0.124195,0.183421,0.293750,0.499933", \ - "0.0671982,0.0759314,0.0927028,0.124154,0.183284,0.293725,0.499928", \ - "0.0792853,0.0865325,0.100400,0.127770,0.183356,0.293731,0.499928", \ - "0.0954460,0.103475,0.118564,0.145981,0.194039,0.294921,0.499926", \ - "0.116667,0.124902,0.140301,0.168184,0.218069,0.308968,0.500717"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00855665,0.00944595,0.0110972,0.0141497,0.0197516,0.0299335,0.0484001", \ - "0.0144844,0.0152967,0.0168430,0.0197671,0.0252256,0.0352852,0.0536575", \ - "0.0289236,0.0304624,0.0331315,0.0375302,0.0444370,0.0548357,0.0727134", \ - "0.0431989,0.0456824,0.0500276,0.0571743,0.0683067,0.0848339,0.108576", \ - "0.0537539,0.0574231,0.0635227,0.0740338,0.0904089,0.114473,0.148572", \ - "0.0578115,0.0627862,0.0711575,0.0852371,0.107807,0.140815,0.187175", \ - "0.0536641,0.0600487,0.0709987,0.0892015,0.118378,0.161459,0.221944"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.139647,0.149983,0.169256,0.205083,0.271791,0.396277,0.629345", \ - "0.141808,0.152223,0.171709,0.207892,0.275176,0.400318,0.633975", \ - "0.158331,0.168601,0.187869,0.223827,0.290936,0.416284,0.650558", \ - "0.187197,0.197399,0.216369,0.252115,0.318998,0.443902,0.677976", \ - "0.224512,0.235520,0.255335,0.290898,0.357509,0.482233,0.715901", \ - "0.263290,0.275563,0.297922,0.337743,0.408354,0.533069,0.766340", \ - "0.304643,0.318534,0.343646,0.387980,0.464844,0.597817,0.831497"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00632603,0.00714842,0.00865794,0.0113965,0.0163164,0.0251123,0.0410002", \ - "0.00662205,0.00724771,0.00851583,0.0111256,0.0160630,0.0249020,0.0408598", \ - "0.0139189,0.0144755,0.0154472,0.0171361,0.0199527,0.0259069,0.0404868", \ - "0.0253994,0.0262358,0.0276278,0.0299867,0.0338078,0.0399201,0.0495708", \ - "0.0405982,0.0418014,0.0440450,0.0473370,0.0525018,0.0604346,0.0725235", \ - "0.0595992,0.0613289,0.0645563,0.0693468,0.0762245,0.0863902,0.101374", \ - "0.0821717,0.0846030,0.0889144,0.0955522,0.104888,0.117942,0.136347"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.0666089,0.0755725,0.0925817,0.124218,0.183311,0.293729,0.499928", \ - "0.0666213,0.0755800,0.0925813,0.124235,0.183325,0.293730,0.499929", \ - "0.0666394,0.0755914,0.0925817,0.124281,0.183313,0.293729,0.499927", \ - "0.0668120,0.0757008,0.0926228,0.124208,0.183322,0.293748,0.499931", \ - "0.0730567,0.0810163,0.0962526,0.125592,0.183249,0.293713,0.499925", \ - "0.0842165,0.0928062,0.108844,0.137956,0.190012,0.294450,0.499924", \ - "0.0996822,0.108446,0.124869,0.154689,0.208228,0.305370,0.501065"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("-0.000159,-0.000165,-0.000176,-0.000197,-0.000236,-0.000309,-0.000446", \ - "-0.000592,-0.000598,-0.000609,-0.000630,-0.000669,-0.000742,-0.000879", \ - "-0.002101,-0.002110,-0.002125,-0.002150,-0.002190,-0.002263,-0.002399", \ - "-0.004934,-0.004948,-0.004972,-0.005011,-0.005072,-0.005163,-0.005304", \ - "0.070279,0.065809,0.058727,0.050434,0.034981,0.016074,-0.009814", \ - "0.244943,0.238874,0.224179,0.203030,0.173936,0.134524,0.086773", \ - "0.493811,0.482438,0.463472,0.436829,0.387305,0.320017,0.242613"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("1.946614,1.954806,1.965922,1.974418,1.984434,2.004208,1.978543", \ - "1.861033,1.870353,1.887509,1.907386,1.933697,1.955347,1.961448", \ - "1.835759,1.836855,1.845506,1.843662,1.854600,1.897070,1.910560", \ - "1.901844,1.899368,1.896451,1.890828,1.879716,1.885634,1.885616", \ - "2.048167,2.043463,2.031744,2.009707,1.972847,1.962773,1.919775", \ - "2.228444,2.248659,2.249123,2.219022,2.168115,2.120082,2.056121", \ - "2.468050,2.472352,2.483512,2.499483,2.433873,2.352193,2.246761"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.075735,0.084642,0.092655,0.118202,0.144656,0.174547,0.201706", \ - "0.068066,0.076506,0.088772,0.113644,0.141749,0.172439,0.198504", \ - "0.119533,0.123616,0.121684,0.117825,0.138100,0.162489,0.196319", \ - "0.205596,0.203222,0.205658,0.199846,0.195553,0.182426,0.197255", \ - "0.316007,0.316291,0.310713,0.303843,0.293098,0.275022,0.248405", \ - "0.492289,0.486358,0.479512,0.464710,0.438257,0.405844,0.363760", \ - "0.740368,0.731966,0.720111,0.697430,0.657168,0.597872,0.527401"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("2.527344,2.533506,2.539669,2.545405,2.556530,2.564149,2.558887", \ - "2.473228,2.480557,2.487626,2.501199,2.509000,2.523665,2.524628", \ - "2.430131,2.435666,2.440637,2.451141,2.466365,2.493113,2.491393", \ - "2.416425,2.421240,2.423403,2.423879,2.423919,2.437331,2.464112", \ - "2.428137,2.428009,2.428963,2.421232,2.420360,2.431534,2.430866", \ - "2.478793,2.497275,2.496991,2.481798,2.458621,2.463877,2.448137", \ - "2.550572,2.560389,2.578707,2.623901,2.577853,2.548035,2.527016"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.015925,0.039428,0.079812,0.143996,0.216430,0.310092,0.398417", \ - "0.012454,0.033684,0.063130,0.122627,0.188716,0.277760,0.367275", \ - "0.128908,0.128589,0.134199,0.141090,0.188963,0.253555,0.333332", \ - "0.282577,0.283937,0.284310,0.290184,0.291286,0.289922,0.335721", \ - "0.439342,0.438561,0.434116,0.434668,0.423528,0.418938,0.405641", \ - "0.645034,0.638768,0.631467,0.619195,0.602344,0.573803,0.541452", \ - "0.917412,0.912141,0.900845,0.880491,0.845486,0.792336,0.725967"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("3.049593,3.055886,3.065095,3.071532,3.071015,3.078399,3.073045", \ - "2.992877,3.000171,3.009242,3.021579,3.037191,3.051792,3.052964", \ - "2.951221,2.956977,2.963970,2.966477,2.983343,3.000440,3.008350", \ - "2.934108,2.939016,2.940654,2.947498,2.960396,2.978180,2.979742", \ - "2.934351,2.935116,2.936674,2.925054,2.943154,2.956047,2.957752", \ - "2.946044,2.961655,2.965918,2.953769,2.956027,2.964105,2.962325", \ - "2.918819,2.934811,2.964725,3.022564,3.009505,2.999500,2.991460"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR3_X2 - Cell Description : Combinational cell (NOR3_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NOR3_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 26.971365; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 73.902970; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 14.279725; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 14.576524; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 21.434546; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 17.307204; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 21.518792; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 21.542238; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 31.208920; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.204062; - fall_capacitance : 2.588858; - rise_capacitance : 3.204062; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.284818; - fall_capacitance : 2.949790; - rise_capacitance : 3.284818; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.326952; - fall_capacitance : 3.161871; - rise_capacitance : 3.326952; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 31.691600; - function : "!((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00851265,0.00925969,0.0104384,0.0127725,0.0174020,0.0266176,0.0450101", \ - "0.0139183,0.0146935,0.0158750,0.0182210,0.0228694,0.0321040,0.0505063", \ - "0.0246699,0.0264164,0.0289319,0.0332766,0.0403588,0.0513514,0.0696890", \ - "0.0323251,0.0354261,0.0398725,0.0474537,0.0595643,0.0777771,0.104271", \ - "0.0334016,0.0381628,0.0449812,0.0566656,0.0751915,0.102606,0.141421", \ - "0.0257906,0.0324564,0.0419527,0.0583632,0.0844476,0.122865,0.176464", \ - "0.00810474,0.0167857,0.0292424,0.0506914,0.0851631,0.136107,0.206826"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.0840907,0.0943863,0.110491,0.142255,0.204905,0.329048,0.576218", \ - "0.0822828,0.0926340,0.108938,0.141195,0.204708,0.329977,0.578154", \ - "0.0943932,0.104309,0.120097,0.151580,0.214349,0.339447,0.588263", \ - "0.128139,0.136813,0.151299,0.181561,0.242823,0.366161,0.613428", \ - "0.171601,0.183435,0.201111,0.233764,0.292687,0.413579,0.658222", \ - "0.220720,0.234990,0.256340,0.295495,0.364337,0.484157,0.725396", \ - "0.276367,0.292918,0.317732,0.363411,0.443829,0.579523,0.817862"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00436006,0.00500696,0.00603433,0.00809861,0.0122267,0.0204816,0.0369903", \ - "0.00486969,0.00535059,0.00619947,0.00809959,0.0122245,0.0204779,0.0369883", \ - "0.0114170,0.0120200,0.0129014,0.0145352,0.0174119,0.0227076,0.0370331", \ - "0.0224442,0.0233179,0.0245778,0.0268647,0.0307610,0.0372209,0.0477892", \ - "0.0381471,0.0394198,0.0412329,0.0443385,0.0494668,0.0577003,0.0707717", \ - "0.0582116,0.0600138,0.0626430,0.0670395,0.0737247,0.0840580,0.0999771", \ - "0.0826060,0.0850869,0.0886069,0.0947165,0.103484,0.116479,0.135634"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.0651956,0.0740384,0.0880039,0.115716,0.170825,0.280619,0.499576", \ - "0.0650086,0.0739256,0.0879531,0.115717,0.170821,0.280627,0.499564", \ - "0.0639698,0.0732524,0.0876195,0.115611,0.170749,0.280599,0.499575", \ - "0.0670378,0.0745630,0.0871527,0.114300,0.170651,0.280626,0.499571", \ - "0.0850340,0.0923786,0.103097,0.123726,0.171551,0.280495,0.499573", \ - "0.105109,0.113244,0.125657,0.148959,0.190270,0.283196,0.499568", \ - "0.128134,0.137361,0.151303,0.177350,0.223578,0.306181,0.500249"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00918950,0.0100636,0.0114203,0.0140526,0.0191062,0.0288133,0.0476520", \ - "0.0149266,0.0157389,0.0170226,0.0195627,0.0245360,0.0341874,0.0530047", \ - "0.0286291,0.0301421,0.0323730,0.0363231,0.0429386,0.0534738,0.0720364", \ - "0.0406994,0.0432729,0.0470558,0.0536803,0.0646348,0.0817391,0.107280", \ - "0.0476895,0.0515468,0.0571340,0.0671121,0.0836002,0.108959,0.146074", \ - "0.0471212,0.0524703,0.0602177,0.0739534,0.0969538,0.132205,0.183144", \ - "0.0373856,0.0443264,0.0544852,0.0723175,0.102598,0.149057,0.215927"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.126444,0.136533,0.152393,0.183849,0.246207,0.370096,0.617115", \ - "0.126900,0.137054,0.153072,0.184846,0.247754,0.372374,0.620026", \ - "0.140841,0.150859,0.166697,0.198223,0.260936,0.385762,0.634010", \ - "0.169777,0.179718,0.195295,0.226422,0.288830,0.413211,0.661225", \ - "0.207924,0.219467,0.237153,0.269503,0.331694,0.455709,0.703215", \ - "0.250688,0.264157,0.284495,0.322650,0.391991,0.517024,0.763922", \ - "0.301533,0.317171,0.340604,0.383896,0.461599,0.598479,0.845769"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00629904,0.00701056,0.00811538,0.0102665,0.0144395,0.0226344,0.0390016", \ - "0.00629521,0.00689092,0.00788195,0.0100149,0.0142687,0.0225474,0.0389750", \ - "0.0127253,0.0132864,0.0141171,0.0156605,0.0184153,0.0240142,0.0389204", \ - "0.0234328,0.0242726,0.0254941,0.0277294,0.0315880,0.0379842,0.0484828", \ - "0.0381937,0.0394827,0.0413579,0.0445232,0.0497787,0.0581489,0.0713241", \ - "0.0568947,0.0587822,0.0615466,0.0661141,0.0731541,0.0839357,0.100214", \ - "0.0793195,0.0819431,0.0857080,0.0922424,0.101652,0.115466,0.135383"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.0653801,0.0741238,0.0880405,0.115695,0.170819,0.280608,0.499569", \ - "0.0653948,0.0741335,0.0880351,0.115689,0.170782,0.280640,0.499573", \ - "0.0654143,0.0741441,0.0880390,0.115686,0.170784,0.280674,0.499565", \ - "0.0660107,0.0745177,0.0882088,0.115700,0.170751,0.280602,0.499576", \ - "0.0782632,0.0853688,0.0966543,0.120343,0.171187,0.280613,0.499567", \ - "0.0943274,0.102181,0.114528,0.138657,0.183643,0.282533,0.499570", \ - "0.115516,0.123577,0.136172,0.160807,0.207734,0.297965,0.500374"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00844502,0.00931263,0.0106744,0.0133671,0.0186221,0.0287890,0.0483782", \ - "0.0143813,0.0151748,0.0164468,0.0190086,0.0241213,0.0341513,0.0536353", \ - "0.0287187,0.0302383,0.0324933,0.0364680,0.0431392,0.0537607,0.0726888", \ - "0.0428605,0.0453786,0.0490413,0.0555051,0.0662640,0.0831662,0.108561", \ - "0.0532606,0.0568737,0.0620961,0.0715986,0.0874274,0.112091,0.148587", \ - "0.0571405,0.0620378,0.0691728,0.0819550,0.103726,0.137576,0.187224", \ - "0.0528003,0.0590835,0.0683089,0.0846883,0.112977,0.157233,0.221991"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.138192,0.148290,0.164179,0.195647,0.257976,0.381893,0.628897", \ - "0.140368,0.150543,0.166568,0.198359,0.261248,0.385876,0.633529", \ - "0.156936,0.166958,0.182783,0.214323,0.277046,0.401835,0.650126", \ - "0.185783,0.195742,0.211424,0.242716,0.305134,0.429556,0.677587", \ - "0.222989,0.233762,0.250272,0.281467,0.343698,0.467820,0.715445", \ - "0.261559,0.273586,0.292096,0.327234,0.393983,0.518599,0.765843", \ - "0.302668,0.316294,0.337085,0.376537,0.449272,0.582860,0.830892"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00621258,0.00701355,0.00826537,0.0106853,0.0153207,0.0241205,0.0409796", \ - "0.00653867,0.00714167,0.00816852,0.0104092,0.0150656,0.0239041,0.0408326", \ - "0.0138298,0.0143717,0.0151806,0.0166828,0.0193786,0.0251103,0.0404661", \ - "0.0252552,0.0260290,0.0271835,0.0293061,0.0330168,0.0392147,0.0495336", \ - "0.0403888,0.0415900,0.0433793,0.0463734,0.0513846,0.0594928,0.0724228", \ - "0.0593066,0.0610260,0.0635965,0.0679429,0.0746939,0.0851729,0.101206", \ - "0.0817646,0.0841762,0.0877009,0.0938152,0.102874,0.116388,0.136127"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.0653725,0.0741267,0.0880400,0.115701,0.170787,0.280638,0.499567", \ - "0.0653915,0.0741326,0.0880411,0.115720,0.170785,0.280639,0.499572", \ - "0.0654206,0.0741422,0.0880540,0.115695,0.170782,0.280640,0.499573", \ - "0.0656067,0.0742582,0.0880944,0.115708,0.170799,0.280625,0.499576", \ - "0.0719837,0.0797401,0.0922068,0.117727,0.170896,0.280629,0.499567", \ - "0.0830197,0.0914225,0.104602,0.130285,0.179034,0.281823,0.499565", \ - "0.0984664,0.107035,0.120486,0.146779,0.197178,0.293952,0.500608"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.066381,0.054682,0.037897,0.009387,-0.000458,-0.000604,-0.000896", \ - "0.009696,0.008631,0.005850,-0.001251,-0.001324,-0.001470,-0.001762", \ - "-0.004201,-0.004218,-0.004244,-0.004289,-0.004366,-0.004512,-0.004802", \ - "0.073925,0.073891,0.068468,0.066605,0.055940,0.022709,0.000976", \ - "0.280862,0.271009,0.258679,0.244094,0.215173,0.172429,0.108527", \ - "0.631543,0.615272,0.590447,0.559609,0.495528,0.413643,0.310563", \ - "1.126214,1.110091,1.080481,1.025804,0.932503,0.793909,0.622525"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("3.982120,3.995184,4.013007,4.039290,4.064742,4.064862,4.053935", \ - "3.810912,3.833402,3.857298,3.895770,3.935822,3.965207,4.020058", \ - "3.761609,3.768520,3.777168,3.779691,3.797392,3.848981,3.918964", \ - "3.894996,3.886925,3.883777,3.870819,3.854303,3.872228,3.870423", \ - "4.195721,4.178222,4.152938,4.123079,4.052462,3.989389,3.940535", \ - "4.548728,4.583599,4.603812,4.548723,4.444587,4.308807,4.166035", \ - "5.026452,5.031445,5.043677,5.083990,4.982793,4.820731,4.591162"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.283927,0.294075,0.318558,0.365279,0.421943,0.481298,0.542018", \ - "0.267347,0.286738,0.320264,0.354014,0.416925,0.478316,0.543763", \ - "0.384679,0.378115,0.381049,0.371981,0.407758,0.461968,0.531621", \ - "0.543705,0.548672,0.551033,0.547036,0.534751,0.506301,0.532927", \ - "0.776432,0.770752,0.762531,0.752273,0.727921,0.692376,0.636573", \ - "1.125248,1.113995,1.096831,1.070112,1.021935,0.953708,0.863716", \ - "1.618193,1.602345,1.583865,1.538414,1.464643,1.345644,1.193792"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("5.143521,5.152647,5.164334,5.185546,5.202128,5.184730,5.170787", \ - "5.035279,5.046389,5.068508,5.077909,5.104234,5.144584,5.145618", \ - "4.949306,4.957363,4.973319,4.987286,5.018154,5.022192,5.079379", \ - "4.921983,4.927218,4.929458,4.936530,4.938322,4.974468,4.995440", \ - "4.946762,4.950709,4.950399,4.940151,4.936262,4.962586,4.960451", \ - "5.044155,5.080759,5.084313,5.055135,5.018834,5.020198,4.995410", \ - "5.185955,5.208959,5.243597,5.300904,5.287591,5.208835,5.139577"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.178848,0.212924,0.281861,0.397979,0.548877,0.741916,0.944068", \ - "0.171591,0.206441,0.255258,0.350513,0.498621,0.685041,0.868752", \ - "0.391012,0.401983,0.397611,0.417640,0.506938,0.636650,0.808033", \ - "0.700097,0.697895,0.696480,0.715261,0.715269,0.718940,0.809190", \ - "1.016384,1.011523,1.003755,1.000606,0.996281,0.971770,0.948367", \ - "1.430268,1.417986,1.404886,1.385360,1.349272,1.287230,1.219507", \ - "1.976910,1.967767,1.947717,1.909567,1.839372,1.726802,1.587760"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("6.188417,6.197699,6.212022,6.215420,6.231472,6.255705,6.242870", \ - "6.074900,6.093394,6.105079,6.137103,6.160648,6.201284,6.202681", \ - "5.992303,6.000288,6.019235,6.035560,6.053712,6.098750,6.113909", \ - "5.957455,5.963867,5.968173,5.978141,5.967677,6.004707,6.057438", \ - "5.958665,5.964187,5.968094,5.958929,5.977193,5.966701,6.012069", \ - "5.975731,6.016472,6.020662,5.996754,6.007442,5.985025,6.021937", \ - "5.926045,5.953561,6.005733,6.111480,6.114178,6.106957,6.077343"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR3_X4 - Cell Description : Combinational cell (NOR3_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NOR3_X4) { - - drive_strength : 4; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 53.942691; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 147.805750; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 28.559508; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 29.153125; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 42.869006; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 34.614485; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 43.037498; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 43.084400; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 62.417755; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.228978; - fall_capacitance : 5.031064; - rise_capacitance : 6.228978; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.934336; - fall_capacitance : 5.287650; - rise_capacitance : 5.934336; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.893816; - fall_capacitance : 5.578323; - rise_capacitance : 5.893816; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 63.202410; - function : "!((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00840936,0.00938016,0.0105571,0.0128877,0.0175115,0.0267145,0.0450807", \ - "0.0137795,0.0147987,0.0159807,0.0183226,0.0229643,0.0321857,0.0505640", \ - "0.0242750,0.0265679,0.0290685,0.0333963,0.0404598,0.0514328,0.0697428", \ - "0.0315716,0.0356595,0.0400775,0.0476188,0.0596893,0.0778722,0.104326", \ - "0.0321735,0.0384281,0.0452521,0.0568771,0.0753481,0.102712,0.141475", \ - "0.0240243,0.0327072,0.0422137,0.0585810,0.0846110,0.122973,0.176507", \ - "0.00573551,0.0170454,0.0294663,0.0509655,0.0853637,0.136226,0.206848"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.0790719,0.0923973,0.108512,0.140262,0.202881,0.327004,0.574090", \ - "0.0774070,0.0907813,0.107084,0.139293,0.202785,0.328021,0.576106", \ - "0.0899460,0.102755,0.118468,0.149879,0.212620,0.337654,0.586368", \ - "0.124204,0.135615,0.150003,0.180024,0.241247,0.364491,0.611652", \ - "0.167293,0.182571,0.200123,0.232515,0.291212,0.412030,0.656564", \ - "0.215960,0.234421,0.255667,0.294795,0.363313,0.482784,0.723888", \ - "0.271157,0.292586,0.317296,0.362826,0.442971,0.578267,0.816223"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00420131,0.00503200,0.00606012,0.00811755,0.0122368,0.0204775,0.0369554", \ - "0.00475960,0.00537226,0.00621731,0.00812014,0.0122360,0.0204723,0.0369511", \ - "0.0112762,0.0120385,0.0129238,0.0145561,0.0174234,0.0227022,0.0369976", \ - "0.0222109,0.0233032,0.0245686,0.0268550,0.0307450,0.0372037,0.0477620", \ - "0.0377718,0.0393842,0.0411569,0.0442699,0.0494203,0.0576629,0.0707431", \ - "0.0576211,0.0600052,0.0625993,0.0668723,0.0736162,0.0839937,0.0999077", \ - "0.0817933,0.0850512,0.0885779,0.0944902,0.103327,0.116342,0.135528"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.0630835,0.0745860,0.0885522,0.116271,0.171335,0.281063,0.499931", \ - "0.0627326,0.0743879,0.0884588,0.116250,0.171332,0.281057,0.499931", \ - "0.0611568,0.0734289,0.0879493,0.116084,0.171312,0.281073,0.499938", \ - "0.0647742,0.0744666,0.0871795,0.114343,0.171150,0.281056,0.499924", \ - "0.0827464,0.0921760,0.102982,0.123674,0.171744,0.280937,0.499940", \ - "0.102688,0.113220,0.125524,0.148683,0.190238,0.283424,0.499939", \ - "0.125478,0.137415,0.151322,0.177163,0.223351,0.306339,0.500411"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00905861,0.0102001,0.0115689,0.0142191,0.0193128,0.0290797,0.0479813", \ - "0.0148471,0.0159017,0.0171928,0.0197498,0.0247501,0.0344523,0.0533248", \ - "0.0285462,0.0305351,0.0327491,0.0366621,0.0432573,0.0537555,0.0723483", \ - "0.0407029,0.0440626,0.0477940,0.0543337,0.0652086,0.0822064,0.107649", \ - "0.0477649,0.0527283,0.0583199,0.0681809,0.0844940,0.109672,0.146611", \ - "0.0473185,0.0541748,0.0618288,0.0755076,0.0982387,0.133209,0.183866", \ - "0.0377117,0.0466141,0.0566115,0.0743908,0.104291,0.150378,0.216873"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.127577,0.140636,0.156507,0.187938,0.250240,0.374102,0.621008", \ - "0.128065,0.141204,0.157231,0.188988,0.251847,0.376420,0.623978", \ - "0.142042,0.155003,0.170842,0.202340,0.265036,0.389767,0.637945", \ - "0.171385,0.184228,0.199784,0.230925,0.293294,0.417639,0.665560", \ - "0.209761,0.224587,0.242089,0.274006,0.336206,0.460171,0.707637", \ - "0.251994,0.269329,0.289529,0.327253,0.396312,0.521170,0.768080", \ - "0.301419,0.321667,0.345027,0.388165,0.465635,0.602316,0.849582"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00617927,0.00711976,0.00824675,0.0104269,0.0146441,0.0228755,0.0392398", \ - "0.00622737,0.00699513,0.00800244,0.0101644,0.0144564,0.0227727,0.0392067", \ - "0.0127153,0.0134210,0.0142451,0.0157818,0.0185167,0.0241458,0.0391228", \ - "0.0233560,0.0243982,0.0256137,0.0278398,0.0316870,0.0380670,0.0485552", \ - "0.0379872,0.0396397,0.0414195,0.0445827,0.0498153,0.0581815,0.0713584", \ - "0.0565055,0.0589511,0.0616145,0.0660489,0.0731172,0.0838994,0.100180", \ - "0.0786930,0.0820863,0.0858156,0.0920657,0.101481,0.115325,0.135272"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.0635252,0.0748237,0.0886587,0.116288,0.171347,0.281050,0.499939", \ - "0.0635488,0.0748402,0.0886740,0.116289,0.171346,0.281054,0.499931", \ - "0.0635895,0.0748600,0.0886766,0.116299,0.171342,0.281054,0.499918", \ - "0.0641448,0.0751648,0.0888305,0.116326,0.171322,0.281077,0.499920", \ - "0.0758365,0.0850479,0.0964778,0.120454,0.171713,0.281034,0.499938", \ - "0.0917335,0.101949,0.114274,0.138354,0.183518,0.282813,0.499947", \ - "0.113173,0.123612,0.136194,0.160613,0.207573,0.298072,0.500599"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00835722,0.00948225,0.0108496,0.0135474,0.0188216,0.0290397,0.0487299", \ - "0.0143148,0.0153467,0.0166231,0.0191953,0.0243267,0.0344058,0.0539855", \ - "0.0285813,0.0305850,0.0328120,0.0367765,0.0434400,0.0540492,0.0730504", \ - "0.0427851,0.0460909,0.0497213,0.0561273,0.0668202,0.0836675,0.109012", \ - "0.0533518,0.0580120,0.0632939,0.0726837,0.0883689,0.112889,0.149258", \ - "0.0574657,0.0637585,0.0708274,0.0836094,0.105140,0.138740,0.188173", \ - "0.0534035,0.0614705,0.0705775,0.0869364,0.114936,0.158816,0.223224"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.140866,0.153930,0.169817,0.201241,0.263537,0.387389,0.634298", \ - "0.143057,0.156204,0.172233,0.203984,0.266836,0.391390,0.638934", \ - "0.159746,0.172712,0.188561,0.220072,0.282767,0.407482,0.655624", \ - "0.189028,0.201902,0.217537,0.248821,0.311224,0.435600,0.683504", \ - "0.226944,0.240773,0.257014,0.288122,0.350317,0.474353,0.721911", \ - "0.266152,0.281589,0.299846,0.334628,0.401036,0.525478,0.772636", \ - "0.307376,0.324864,0.345461,0.384230,0.456691,0.589930,0.837728"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00603791,0.00708140,0.00834277,0.0107923,0.0154820,0.0243789,0.0413743", \ - "0.00644930,0.00722221,0.00826389,0.0105305,0.0152326,0.0241633,0.0412221", \ - "0.0138069,0.0144901,0.0152990,0.0168044,0.0195291,0.0253241,0.0408237", \ - "0.0252349,0.0261996,0.0273564,0.0294882,0.0331764,0.0393940,0.0497578", \ - "0.0403237,0.0418619,0.0435534,0.0465426,0.0515558,0.0596562,0.0725969", \ - "0.0591440,0.0613799,0.0638689,0.0680604,0.0748266,0.0853029,0.101339", \ - "0.0814458,0.0845724,0.0880431,0.0939329,0.102941,0.116443,0.136203"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.0635160,0.0748225,0.0886719,0.116284,0.171333,0.281063,0.499933", \ - "0.0635517,0.0748408,0.0886743,0.116283,0.171351,0.281052,0.499935", \ - "0.0635819,0.0748585,0.0886856,0.116283,0.171335,0.281080,0.499925", \ - "0.0637641,0.0749457,0.0887207,0.116306,0.171349,0.281072,0.499935", \ - "0.0695894,0.0797090,0.0922629,0.117949,0.171461,0.281062,0.499934", \ - "0.0803206,0.0912000,0.104345,0.130027,0.178913,0.282089,0.499927", \ - "0.0952254,0.106372,0.119837,0.146111,0.196680,0.293832,0.500795"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.196719,0.168132,0.133224,0.069740,-0.000917,-0.001208,-0.001790", \ - "0.072115,0.068067,0.055641,0.029311,-0.002649,-0.002941,-0.003523", \ - "0.008093,0.006576,-0.003248,-0.008580,-0.008733,-0.009024,-0.009604", \ - "0.158652,0.154373,0.154157,0.139640,0.117054,0.053173,0.007383", \ - "0.573622,0.541039,0.525261,0.483640,0.433052,0.348054,0.221638", \ - "1.268545,1.232680,1.189012,1.110540,0.986150,0.828659,0.628042", \ - "2.248930,2.205470,2.150042,2.041437,1.858555,1.583469,1.248661"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("7.878562,7.924125,7.960621,8.016014,7.996698,8.057002,8.043654", \ - "7.551090,7.601659,7.649244,7.727382,7.830355,7.942013,7.974083", \ - "7.443255,7.473426,7.487775,7.533121,7.600162,7.622023,7.785387", \ - "7.729984,7.723256,7.721657,7.672789,7.632664,7.669196,7.671247", \ - "8.326756,8.293020,8.253527,8.175468,8.111079,7.990185,7.820150", \ - "9.027938,9.114937,9.152776,9.030959,8.822863,8.629770,8.356901", \ - "10.001010,10.017120,10.053100,10.099690,9.975470,9.575309,9.113754"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.550520,0.593905,0.635256,0.709793,0.815209,0.944830,1.069096", \ - "0.539598,0.571925,0.618274,0.701699,0.809618,0.939676,1.070814", \ - "0.751204,0.756162,0.756028,0.735746,0.801297,0.912960,1.044309", \ - "1.086170,1.084568,1.067365,1.065587,1.054925,0.994819,1.046186", \ - "1.522280,1.511874,1.493077,1.475138,1.432535,1.355803,1.250924", \ - "2.228974,2.200020,2.166412,2.112393,2.019252,1.873357,1.699158", \ - "3.210641,3.163824,3.129659,3.042784,2.886777,2.659034,2.360222"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("10.711520,10.731390,10.759470,10.787070,10.804170,10.856040,10.837670", \ - "10.480360,10.519760,10.548790,10.612140,10.608560,10.691340,10.699900", \ - "10.326150,10.342010,10.359170,10.390780,10.438130,10.529930,10.568160", \ - "10.263140,10.288680,10.283060,10.282660,10.351910,10.343980,10.457100", \ - "10.302270,10.308810,10.313740,10.315680,10.337370,10.310290,10.330770", \ - "10.471320,10.545730,10.534190,10.520990,10.483040,10.409440,10.372610", \ - "10.700880,10.757800,10.834940,10.967750,10.930970,10.773200,10.648170"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.326628,0.432282,0.566157,0.771025,1.079478,1.444798,1.857156", \ - "0.304331,0.373098,0.490405,0.694178,0.964779,1.340903,1.717925", \ - "0.756219,0.761684,0.764155,0.804414,0.976595,1.250378,1.581079", \ - "1.358787,1.356726,1.377890,1.362383,1.390905,1.404201,1.595570", \ - "1.973366,1.984417,1.971619,1.966146,1.941795,1.910386,1.854472", \ - "2.806187,2.779653,2.762175,2.713415,2.646354,2.532894,2.384196", \ - "3.892976,3.862440,3.822543,3.755021,3.612118,3.401527,3.116262"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("13.061430,13.096340,13.121450,13.134680,13.186300,13.153930,13.134180", \ - "12.836550,12.876280,12.911450,12.940370,12.965350,13.047770,13.055690", \ - "12.671840,12.703270,12.720580,12.739190,12.835590,12.843410,12.965290", \ - "12.611890,12.624050,12.631480,12.658970,12.654880,12.731560,12.806580", \ - "12.602540,12.621750,12.614910,12.600230,12.580080,12.642190,12.655130", \ - "12.627130,12.702230,12.716710,12.671700,12.702760,12.665050,12.657900", \ - "12.478970,12.561840,12.679410,12.840350,12.907870,12.818850,12.837510"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR4_X1 - Cell Description : Combinational cell (NOR4_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NOR4_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 14.186673; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 49.216555; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 7.075229; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 7.157642; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 10.717840; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 7.305956; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 10.723943; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 10.735627; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 15.595349; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 8.669947; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 10.766054; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 10.777755; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 15.611381; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 10.789471; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 15.613515; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 15.622870; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 20.607638; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.645878; - fall_capacitance : 1.328804; - rise_capacitance : 1.645878; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.600285; - fall_capacitance : 1.412834; - rise_capacitance : 1.600285; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.572029; - fall_capacitance : 1.434498; - rise_capacitance : 1.572029; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.543096; - fall_capacitance : 1.481550; - rise_capacitance : 1.543096; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 10.455910; - function : "!(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00975370,0.0104071,0.0115310,0.0134936,0.0169105,0.0228650,0.0332598", \ - "0.0151909,0.0158394,0.0169720,0.0189478,0.0223799,0.0283489,0.0387556", \ - "0.0276080,0.0289416,0.0311308,0.0345526,0.0397202,0.0472531,0.0580384", \ - "0.0377158,0.0400463,0.0438401,0.0497986,0.0586125,0.0711540,0.0884807", \ - "0.0417845,0.0453755,0.0511199,0.0602633,0.0737804,0.0927504,0.118466", \ - "0.0369016,0.0420412,0.0501187,0.0630933,0.0821783,0.108951,0.144818", \ - "0.0211907,0.0280127,0.0389402,0.0559861,0.0814259,0.117128,0.164822"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.127781,0.140038,0.161375,0.198466,0.262841,0.374237,0.568387", \ - "0.124099,0.136500,0.158138,0.195837,0.261059,0.373628,0.568910", \ - "0.133706,0.145705,0.166755,0.203806,0.268305,0.380717,0.576386", \ - "0.164644,0.175846,0.195795,0.231626,0.294808,0.405602,0.599562", \ - "0.218565,0.229923,0.248843,0.281437,0.342385,0.451143,0.642638", \ - "0.280455,0.293968,0.316696,0.354222,0.414793,0.519526,0.708285", \ - "0.349317,0.364937,0.391250,0.434595,0.504432,0.614482,0.798422"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00555278,0.00612236,0.00711186,0.00885445,0.0119035,0.0172336,0.0265609", \ - "0.00581550,0.00628488,0.00716507,0.00885513,0.0119022,0.0172326,0.0265660", \ - "0.0125834,0.0130578,0.0138543,0.0151684,0.0172648,0.0205366,0.0275187", \ - "0.0240644,0.0247715,0.0259132,0.0276966,0.0305320,0.0348857,0.0414082", \ - "0.0403324,0.0413315,0.0430755,0.0454780,0.0491794,0.0547565,0.0629541", \ - "0.0614502,0.0628000,0.0653036,0.0686825,0.0734786,0.0804962,0.0905715", \ - "0.0874434,0.0892914,0.0924000,0.0970468,0.103418,0.112316,0.124595"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.114388,0.125277,0.144240,0.177013,0.233205,0.330367,0.499662", \ - "0.113808,0.124856,0.143997,0.176898,0.233196,0.330355,0.499670", \ - "0.112201,0.123610,0.143199,0.176555,0.233246,0.330370,0.499668", \ - "0.108085,0.119244,0.139842,0.174981,0.232798,0.330363,0.499669", \ - "0.117883,0.126735,0.143061,0.173306,0.229456,0.330056,0.499679", \ - "0.139881,0.148961,0.165053,0.189659,0.236843,0.328214,0.499597", \ - "0.164847,0.174423,0.190981,0.218659,0.264113,0.342665,0.498917"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0106867,0.0114299,0.0127082,0.0148940,0.0186174,0.0249323,0.0356901", \ - "0.0163436,0.0170481,0.0182773,0.0204089,0.0240696,0.0303404,0.0410724", \ - "0.0313471,0.0325293,0.0344910,0.0376346,0.0424666,0.0496286,0.0603043", \ - "0.0454187,0.0473872,0.0506504,0.0559218,0.0639341,0.0756055,0.0921199", \ - "0.0546923,0.0576653,0.0623921,0.0703615,0.0824339,0.0998625,0.124122", \ - "0.0561801,0.0603958,0.0670421,0.0780569,0.0949726,0.119364,0.152972", \ - "0.0478315,0.0534244,0.0624672,0.0769383,0.0992602,0.131596,0.176052"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.199562,0.211727,0.232948,0.269755,0.333813,0.444935,0.638849", \ - "0.198583,0.210871,0.232285,0.269568,0.334008,0.445933,0.640600", \ - "0.209214,0.221374,0.242617,0.279690,0.344087,0.456037,0.651156", \ - "0.235469,0.247472,0.268310,0.305076,0.369201,0.480755,0.675494", \ - "0.275881,0.288004,0.309055,0.345449,0.409318,0.520424,0.714736", \ - "0.325810,0.339665,0.363388,0.403158,0.467846,0.578633,0.772288", \ - "0.386052,0.401530,0.427750,0.471360,0.542980,0.658952,0.851575"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00761302,0.00821663,0.00925947,0.0110495,0.0141233,0.0194234,0.0286545", \ - "0.00743503,0.00798128,0.00898371,0.0108163,0.0139477,0.0193152,0.0285995", \ - "0.0137581,0.0142144,0.0149652,0.0162071,0.0182222,0.0216007,0.0290756", \ - "0.0249137,0.0256086,0.0267267,0.0284983,0.0312763,0.0355888,0.0420790", \ - "0.0403746,0.0414132,0.0432021,0.0456355,0.0494130,0.0550885,0.0633712", \ - "0.0603273,0.0617531,0.0643633,0.0678945,0.0729099,0.0802180,0.0905715", \ - "0.0846201,0.0865888,0.0899846,0.0948805,0.101658,0.111070,0.123932"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.115552,0.126088,0.144605,0.177112,0.233172,0.330344,0.499666", \ - "0.115569,0.126104,0.144627,0.177083,0.233309,0.330348,0.499665", \ - "0.115580,0.126108,0.144631,0.177085,0.233151,0.330330,0.499671", \ - "0.115592,0.126130,0.144660,0.177127,0.233160,0.330330,0.499665", \ - "0.119700,0.129206,0.146187,0.177528,0.233198,0.330338,0.499666", \ - "0.137161,0.146485,0.161756,0.188646,0.238332,0.330684,0.499648", \ - "0.157922,0.167257,0.183691,0.211805,0.258340,0.341773,0.500545"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00996054,0.0107127,0.0120177,0.0142767,0.0181587,0.0247791,0.0360380", \ - "0.0158588,0.0165655,0.0178078,0.0199815,0.0237731,0.0303006,0.0414760", \ - "0.0316522,0.0328399,0.0348130,0.0379748,0.0428360,0.0500561,0.0608744", \ - "0.0476334,0.0495351,0.0527339,0.0578827,0.0657308,0.0772615,0.0936345", \ - "0.0599992,0.0627797,0.0672183,0.0748299,0.0864465,0.103365,0.127121", \ - "0.0656171,0.0694993,0.0756407,0.0858361,0.101942,0.125307,0.157849", \ - "0.0623320,0.0674202,0.0756457,0.0890755,0.109962,0.140520,0.183322"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.237523,0.249698,0.270926,0.307858,0.371795,0.482976,0.676911", \ - "0.236925,0.249229,0.270646,0.307930,0.372466,0.484340,0.679007", \ - "0.249534,0.261696,0.282948,0.320045,0.384378,0.496365,0.691501", \ - "0.277362,0.289364,0.310249,0.347083,0.411268,0.522776,0.717579", \ - "0.316289,0.328358,0.349182,0.385713,0.449577,0.560917,0.755328", \ - "0.361445,0.374757,0.397626,0.435887,0.500097,0.611289,0.805229", \ - "0.409579,0.424107,0.449037,0.490863,0.560778,0.676120,0.869697"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00771170,0.00839920,0.00957875,0.0115945,0.0150113,0.0207542,0.0304303", \ - "0.00773438,0.00832595,0.00938877,0.0113538,0.0147842,0.0205533,0.0302742", \ - "0.0147717,0.0152159,0.0159516,0.0171739,0.0191301,0.0225881,0.0303582", \ - "0.0265626,0.0272269,0.0282819,0.0299489,0.0326421,0.0367977,0.0431605", \ - "0.0423742,0.0433367,0.0450575,0.0473634,0.0509532,0.0564229,0.0645061", \ - "0.0624087,0.0637439,0.0661611,0.0695832,0.0743849,0.0814488,0.0916068", \ - "0.0866635,0.0884808,0.0916292,0.0962967,0.102825,0.112000,0.124697"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.115560,0.126096,0.144634,0.177104,0.233231,0.330348,0.499665", \ - "0.115578,0.126110,0.144640,0.177109,0.233204,0.330343,0.499668", \ - "0.115606,0.126131,0.144643,0.177108,0.233216,0.330341,0.499669", \ - "0.115629,0.126144,0.144648,0.177158,0.233146,0.330342,0.499669", \ - "0.116942,0.127099,0.145232,0.177321,0.233200,0.330311,0.499663", \ - "0.129798,0.139055,0.155279,0.184086,0.235865,0.330616,0.499647", \ - "0.145973,0.155847,0.173156,0.202600,0.251659,0.338993,0.500345"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00965249,0.0103781,0.0116435,0.0138497,0.0176657,0.0242552,0.0355746", \ - "0.0154845,0.0161748,0.0173812,0.0195092,0.0232465,0.0297481,0.0409798", \ - "0.0310833,0.0322855,0.0342768,0.0374736,0.0423984,0.0496845,0.0605658", \ - "0.0475787,0.0494875,0.0526959,0.0578778,0.0657647,0.0773513,0.0938143", \ - "0.0614556,0.0641958,0.0685627,0.0761213,0.0876721,0.104513,0.128181", \ - "0.0695021,0.0732660,0.0792336,0.0892654,0.105042,0.128071,0.160309", \ - "0.0695080,0.0744030,0.0822777,0.0952782,0.115605,0.145501,0.187522"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.248757,0.260949,0.282200,0.319143,0.383018,0.494251,0.688201", \ - "0.249873,0.262178,0.283626,0.320844,0.385440,0.497300,0.691992", \ - "0.265014,0.277188,0.298468,0.335503,0.399910,0.511826,0.706999", \ - "0.293180,0.305192,0.326311,0.363149,0.427301,0.538849,0.733643", \ - "0.331108,0.343127,0.363924,0.400610,0.464580,0.575874,0.770308", \ - "0.375163,0.387951,0.409881,0.446979,0.511008,0.622197,0.816356", \ - "0.419790,0.433494,0.457039,0.497145,0.564950,0.678632,0.872462"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00740200,0.00807641,0.00926047,0.0112924,0.0147834,0.0207287,0.0308141", \ - "0.00761583,0.00817254,0.00921342,0.0111478,0.0146367,0.0205772,0.0306548", \ - "0.0151669,0.0156122,0.0163519,0.0175618,0.0195255,0.0229944,0.0308574", \ - "0.0276207,0.0282745,0.0293114,0.0309681,0.0335942,0.0377186,0.0440215", \ - "0.0441383,0.0450763,0.0467485,0.0489952,0.0524996,0.0578172,0.0657800", \ - "0.0648488,0.0661394,0.0684792,0.0717416,0.0763692,0.0832245,0.0931299", \ - "0.0898155,0.0915407,0.0945786,0.0989657,0.105148,0.114062,0.126409"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.115557,0.126104,0.144636,0.177150,0.233312,0.330349,0.499664", \ - "0.115579,0.126114,0.144647,0.177185,0.233163,0.330328,0.499663", \ - "0.115605,0.126133,0.144657,0.177151,0.233171,0.330335,0.499664", \ - "0.115621,0.126148,0.144652,0.177155,0.233155,0.330327,0.499668", \ - "0.116095,0.126496,0.144890,0.177240,0.233367,0.330318,0.499665", \ - "0.123867,0.133519,0.150509,0.180667,0.234239,0.330383,0.499650", \ - "0.136084,0.146240,0.163942,0.194323,0.245169,0.335898,0.500037"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("-0.000205,-0.000210,-0.000219,-0.000235,-0.000262,-0.000309,-0.000392", \ - "-0.000776,-0.000781,-0.000790,-0.000805,-0.000832,-0.000880,-0.000963", \ - "-0.002772,-0.002778,-0.002790,-0.002808,-0.002836,-0.002883,-0.002965", \ - "-0.000640,-0.001527,-0.000256,-0.002996,-0.006635,-0.006698,-0.006791", \ - "0.076758,0.074310,0.073421,0.069528,0.061468,0.051000,0.032513", \ - "0.216927,0.213391,0.208020,0.198893,0.182150,0.162324,0.134025", \ - "0.419818,0.413213,0.404369,0.390279,0.368156,0.332500,0.286381"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("2.348950,2.352125,2.355951,2.365861,2.376473,2.377761,2.375195", \ - "2.267413,2.274602,2.286827,2.303019,2.320003,2.330272,2.336777", \ - "2.227964,2.230893,2.235937,2.239878,2.253401,2.260992,2.286502", \ - "2.278902,2.278627,2.278250,2.272328,2.265356,2.262447,2.259840", \ - "2.406047,2.402572,2.391196,2.378029,2.361836,2.347307,2.312949", \ - "2.608210,2.599604,2.586904,2.567850,2.530756,2.492037,2.443057", \ - "2.860521,2.871491,2.862110,2.833582,2.778712,2.725728,2.660991"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.159661,0.162326,0.171641,0.176794,0.182515,0.187844,0.196889", \ - "0.145784,0.153748,0.160027,0.166056,0.178783,0.186255,0.199747", \ - "0.175093,0.176385,0.168754,0.169988,0.178930,0.190636,0.208977", \ - "0.250145,0.248379,0.248252,0.247704,0.244161,0.235001,0.228488", \ - "0.341638,0.339841,0.340912,0.337950,0.332786,0.324656,0.309571", \ - "0.482332,0.478997,0.476037,0.472182,0.457789,0.442054,0.418383", \ - "0.683303,0.679849,0.672962,0.664263,0.646210,0.615106,0.575365"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("2.925184,2.928823,2.928886,2.941468,2.941444,2.940837,2.936844", \ - "2.872754,2.878472,2.885396,2.896641,2.900119,2.919970,2.922070", \ - "2.827026,2.830529,2.832644,2.845048,2.848552,2.870079,2.876105", \ - "2.807684,2.811343,2.809057,2.813632,2.818255,2.820917,2.840018", \ - "2.810044,2.810036,2.815623,2.814760,2.821329,2.821477,2.821149", \ - "2.855434,2.852687,2.850365,2.845173,2.839422,2.836144,2.830260", \ - "2.983548,2.980282,2.971631,2.961208,2.942166,2.908458,2.888368"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.092742,0.102191,0.133147,0.169643,0.224401,0.289717,0.369200", \ - "0.101066,0.116065,0.140943,0.169956,0.220525,0.289355,0.364510", \ - "0.203753,0.205612,0.205258,0.218302,0.246675,0.287824,0.354852", \ - "0.341539,0.348107,0.347644,0.354436,0.357504,0.358885,0.370829", \ - "0.480680,0.479573,0.480186,0.478194,0.480168,0.476280,0.472652", \ - "0.647650,0.646887,0.644879,0.639611,0.629479,0.621249,0.603650", \ - "0.872078,0.870382,0.865640,0.857542,0.840831,0.814690,0.777835"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("3.443555,3.446146,3.451589,3.458757,3.466903,3.466466,3.462635", \ - "3.390890,3.396269,3.402531,3.414194,3.423989,3.429099,3.430860", \ - "3.345326,3.349658,3.353729,3.360564,3.367325,3.388603,3.394487", \ - "3.327201,3.330251,3.333004,3.332237,3.347583,3.350848,3.353719", \ - "3.318474,3.322068,3.321615,3.320135,3.332434,3.336844,3.334398", \ - "3.327298,3.328346,3.328356,3.330571,3.335009,3.337364,3.334208", \ - "3.378267,3.378772,3.379003,3.371737,3.362838,3.359071,3.353476"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.010557,0.035263,0.062954,0.108395,0.174347,0.278841,0.400930", \ - "0.014829,0.026862,0.055967,0.090730,0.167310,0.251489,0.372693", \ - "0.137266,0.137756,0.137028,0.157195,0.192281,0.257482,0.358112", \ - "0.327051,0.332843,0.337209,0.345833,0.354334,0.365335,0.401552", \ - "0.512763,0.513346,0.515104,0.518964,0.519111,0.528349,0.537894", \ - "0.715014,0.716346,0.718759,0.714252,0.709716,0.703045,0.700595", \ - "0.973035,0.969047,0.968912,0.962001,0.945060,0.928071,0.900476"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("3.952123,3.955152,3.962480,3.961905,3.973376,3.972298,3.968484", \ - "3.900106,3.904450,3.915284,3.923990,3.939651,3.947312,3.947155", \ - "3.855820,3.857922,3.862705,3.866590,3.875091,3.896435,3.902510", \ - "3.836278,3.836808,3.842344,3.844141,3.853805,3.856919,3.860213", \ - "3.827460,3.828835,3.823608,3.832995,3.840927,3.840856,3.841363", \ - "3.827688,3.829784,3.828903,3.822727,3.835293,3.837263,3.835896", \ - "3.859366,3.858501,3.860044,3.851265,3.843366,3.842531,3.839381"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR4_X2 - Cell Description : Combinational cell (NOR4_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NOR4_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 28.386936; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 98.433300; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 14.164984; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 14.329772; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 21.450154; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 14.626380; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 21.462381; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 21.485760; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 31.205155; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 17.354381; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 21.546598; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 21.569997; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 31.237216; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 21.593443; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 31.241480; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 31.260190; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 41.229787; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.216793; - fall_capacitance : 2.570009; - rise_capacitance : 3.216793; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.257957; - fall_capacitance : 2.873703; - rise_capacitance : 3.257957; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.380180; - fall_capacitance : 3.098853; - rise_capacitance : 3.380180; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.488202; - fall_capacitance : 3.354891; - rise_capacitance : 3.488202; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 20.875840; - function : "!(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00942404,0.00984178,0.0106581,0.0122522,0.0153611,0.0214284,0.0333024", \ - "0.0148565,0.0152771,0.0160968,0.0177003,0.0208207,0.0269067,0.0387952", \ - "0.0268469,0.0277445,0.0294353,0.0324218,0.0374684,0.0455579,0.0580804", \ - "0.0363533,0.0379362,0.0409066,0.0461200,0.0547851,0.0683506,0.0885401", \ - "0.0396166,0.0420727,0.0465712,0.0545849,0.0679366,0.0885425,0.118558", \ - "0.0338058,0.0372809,0.0436689,0.0549658,0.0738968,0.103009,0.144950", \ - "0.0170615,0.0216625,0.0301652,0.0450526,0.0702904,0.109195,0.165025"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.120092,0.128005,0.143447,0.173617,0.232223,0.346020,0.568055", \ - "0.116327,0.124299,0.139932,0.170578,0.230053,0.345202,0.568564", \ - "0.126302,0.133934,0.149126,0.179022,0.237702,0.352308,0.576083", \ - "0.157821,0.164855,0.179020,0.207761,0.264930,0.377674,0.599346", \ - "0.211332,0.218798,0.233046,0.259185,0.313341,0.423781,0.642580", \ - "0.271822,0.280723,0.297697,0.329298,0.386800,0.492467,0.707970", \ - "0.339348,0.349636,0.369250,0.405895,0.472052,0.587736,0.798134"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00521118,0.00557401,0.00628879,0.00769651,0.0104624,0.0158939,0.0265531", \ - "0.00552808,0.00582534,0.00642635,0.00770489,0.0104619,0.0158933,0.0265527", \ - "0.0122512,0.0125700,0.0131536,0.0142782,0.0162653,0.0197008,0.0275067", \ - "0.0235843,0.0240349,0.0248636,0.0264206,0.0291460,0.0337813,0.0413610", \ - "0.0396592,0.0402757,0.0415532,0.0437072,0.0473069,0.0533128,0.0628456", \ - "0.0604591,0.0613888,0.0631476,0.0662815,0.0710368,0.0786338,0.0903888", \ - "0.0861105,0.0873566,0.0896915,0.0940784,0.100343,0.110004,0.124361"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.107738,0.114792,0.128509,0.155188,0.206346,0.305719,0.499619", \ - "0.107024,0.114207,0.128113,0.155011,0.206302,0.305771,0.499616", \ - "0.105160,0.112613,0.126956,0.154416,0.206174,0.305719,0.499619", \ - "0.101421,0.108496,0.122591,0.151825,0.205446,0.305693,0.499621", \ - "0.112887,0.118278,0.129492,0.153024,0.202383,0.305124,0.499629", \ - "0.134460,0.140313,0.151778,0.173559,0.213878,0.304374,0.499535", \ - "0.159088,0.165323,0.177379,0.200584,0.243152,0.322015,0.498874"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0102558,0.0107386,0.0116753,0.0134740,0.0169023,0.0233946,0.0357221", \ - "0.0159367,0.0163938,0.0172842,0.0190247,0.0223826,0.0288144,0.0411060", \ - "0.0306452,0.0314341,0.0329382,0.0356491,0.0403245,0.0479905,0.0603401", \ - "0.0442252,0.0455541,0.0480944,0.0526434,0.0604144,0.0729574,0.0921822", \ - "0.0528665,0.0548864,0.0585819,0.0654263,0.0771894,0.0959690,0.124246", \ - "0.0536067,0.0564534,0.0617100,0.0710879,0.0876280,0.113936,0.153148", \ - "0.0444316,0.0481810,0.0551598,0.0674948,0.0893729,0.124309,0.176246"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.191911,0.199749,0.215085,0.245053,0.303338,0.416798,0.638527", \ - "0.190868,0.198774,0.214259,0.244541,0.303375,0.417625,0.640279", \ - "0.201603,0.209422,0.224772,0.254788,0.313392,0.427701,0.650840", \ - "0.227873,0.235645,0.250762,0.280345,0.338660,0.452476,0.675189", \ - "0.268219,0.276076,0.291361,0.320788,0.378767,0.492228,0.714367", \ - "0.316979,0.326034,0.343461,0.376722,0.437506,0.550590,0.772029", \ - "0.376131,0.386303,0.405749,0.442325,0.509433,0.630716,0.851245"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00723786,0.00763737,0.00839390,0.00986284,0.0126830,0.0181076,0.0286679", \ - "0.00710613,0.00745289,0.00815254,0.00960432,0.0124834,0.0179821,0.0286112", \ - "0.0134566,0.0137566,0.0143124,0.0153675,0.0172669,0.0206937,0.0290833", \ - "0.0244418,0.0248995,0.0257067,0.0272268,0.0299182,0.0345065,0.0420392", \ - "0.0396794,0.0403495,0.0416672,0.0438426,0.0475334,0.0536271,0.0632867", \ - "0.0593385,0.0603034,0.0621464,0.0653972,0.0703546,0.0782850,0.0904098", \ - "0.0832867,0.0845878,0.0870626,0.0917045,0.0984017,0.108663,0.123698"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.109185,0.115957,0.129256,0.155499,0.206384,0.305742,0.499617", \ - "0.109214,0.115973,0.129266,0.155499,0.206391,0.305716,0.499617", \ - "0.109219,0.115984,0.129269,0.155495,0.206400,0.305758,0.499616", \ - "0.109242,0.116005,0.129296,0.155512,0.206420,0.305710,0.499622", \ - "0.114050,0.120092,0.132133,0.156425,0.206467,0.305722,0.499615", \ - "0.131457,0.137585,0.149173,0.170758,0.214427,0.306511,0.499603", \ - "0.152370,0.158369,0.170148,0.193359,0.237144,0.320007,0.500504"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00952658,0.0100102,0.0109577,0.0128043,0.0163618,0.0231625,0.0360638", \ - "0.0154514,0.0159042,0.0168019,0.0185630,0.0220130,0.0287015,0.0415004", \ - "0.0309331,0.0317307,0.0332360,0.0359691,0.0406746,0.0483936,0.0609031", \ - "0.0464728,0.0477564,0.0502283,0.0546782,0.0622906,0.0746474,0.0936887", \ - "0.0582764,0.0601775,0.0636526,0.0701449,0.0814183,0.0995891,0.127212", \ - "0.0632403,0.0658579,0.0706825,0.0793541,0.0949744,0.120087,0.158030", \ - "0.0592299,0.0626407,0.0689925,0.0803201,0.100648,0.133656,0.183522"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.229863,0.237713,0.253067,0.283079,0.341378,0.454881,0.676589", \ - "0.229208,0.237120,0.252605,0.282871,0.341711,0.456038,0.678663", \ - "0.241927,0.249756,0.265105,0.295167,0.353779,0.468099,0.691194", \ - "0.269777,0.277546,0.292654,0.322363,0.380666,0.494534,0.717256", \ - "0.308690,0.316490,0.331681,0.360981,0.419032,0.532705,0.754977", \ - "0.353016,0.361663,0.378433,0.410336,0.469594,0.582889,0.804801", \ - "0.400335,0.409816,0.428111,0.463034,0.527910,0.647695,0.869335"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00730245,0.00773932,0.00860022,0.0102638,0.0134152,0.0193310,0.0304317", \ - "0.00739740,0.00775565,0.00849398,0.0100258,0.0131814,0.0191264,0.0302729", \ - "0.0144787,0.0147640,0.0153094,0.0163405,0.0182103,0.0216363,0.0303530", \ - "0.0261136,0.0265554,0.0273222,0.0287495,0.0313287,0.0357531,0.0431099", \ - "0.0417340,0.0423523,0.0435821,0.0456489,0.0491442,0.0549984,0.0644096", \ - "0.0614897,0.0623968,0.0641141,0.0672087,0.0719226,0.0795598,0.0914265", \ - "0.0854121,0.0866418,0.0889421,0.0932624,0.0996793,0.109622,0.124437"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.109193,0.115962,0.129260,0.155509,0.206396,0.305757,0.499616", \ - "0.109224,0.115985,0.129273,0.155499,0.206397,0.305743,0.499614", \ - "0.109261,0.116014,0.129293,0.155520,0.206384,0.305780,0.499616", \ - "0.109284,0.116033,0.129302,0.155521,0.206409,0.305709,0.499621", \ - "0.110889,0.117340,0.130190,0.155916,0.206350,0.305902,0.499617", \ - "0.124171,0.130200,0.141873,0.164909,0.211028,0.306068,0.499607", \ - "0.140060,0.146410,0.158862,0.183210,0.229068,0.316493,0.500291"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00923076,0.00970156,0.0106204,0.0124090,0.0158930,0.0226272,0.0355887", \ - "0.0150907,0.0155304,0.0164011,0.0181200,0.0215093,0.0281436,0.0409939", \ - "0.0303520,0.0311556,0.0326884,0.0354389,0.0402055,0.0480010,0.0605848", \ - "0.0464025,0.0476912,0.0501784,0.0546481,0.0623028,0.0747212,0.0938572", \ - "0.0597418,0.0616221,0.0650396,0.0714647,0.0826624,0.100736,0.128291", \ - "0.0671716,0.0697218,0.0744110,0.0828747,0.0981961,0.122937,0.160458", \ - "0.0665069,0.0697887,0.0758946,0.0867892,0.106536,0.138747,0.187713"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.241088,0.248951,0.264317,0.294345,0.352610,0.466142,0.687873", \ - "0.242153,0.250070,0.265578,0.295887,0.354694,0.469038,0.691654", \ - "0.257399,0.265236,0.280575,0.310614,0.369238,0.483558,0.706679", \ - "0.285609,0.293385,0.308538,0.338397,0.396749,0.510658,0.733313", \ - "0.323545,0.331311,0.346456,0.375860,0.433931,0.547655,0.769978", \ - "0.367090,0.375379,0.391460,0.422019,0.480496,0.594001,0.815949", \ - "0.411120,0.420019,0.437275,0.470269,0.532883,0.650340,0.872040"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00698374,0.00742672,0.00827237,0.00993910,0.0131387,0.0192484,0.0308006", \ - "0.00727311,0.00762863,0.00834148,0.00983795,0.0129956,0.0190957,0.0306396", \ - "0.0148733,0.0151640,0.0157064,0.0167374,0.0185956,0.0220155,0.0308325", \ - "0.0271865,0.0276173,0.0283571,0.0297720,0.0323005,0.0366729,0.0439710", \ - "0.0435074,0.0441273,0.0453126,0.0473230,0.0507289,0.0564355,0.0656620", \ - "0.0639821,0.0648412,0.0665133,0.0694899,0.0740236,0.0814183,0.0929683", \ - "0.0886242,0.0897956,0.0919886,0.0960561,0.102192,0.111757,0.126172"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.109198,0.115964,0.129270,0.155500,0.206411,0.305759,0.499615", \ - "0.109229,0.115987,0.129282,0.155509,0.206397,0.305757,0.499615", \ - "0.109260,0.116014,0.129299,0.155523,0.206374,0.305756,0.499615", \ - "0.109273,0.116027,0.129304,0.155534,0.206424,0.305751,0.499619", \ - "0.109855,0.116497,0.129638,0.155684,0.206373,0.305744,0.499619", \ - "0.118074,0.124267,0.136437,0.160590,0.208574,0.305844,0.499609", \ - "0.129968,0.136513,0.149316,0.174305,0.221459,0.312662,0.499994"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.136535,0.138314,0.131521,0.115203,0.086873,0.040001,-0.000785", \ - "0.104859,0.106927,0.104291,0.097461,0.083326,0.054746,0.008080", \ - "0.082633,0.080649,0.073223,0.064902,0.047691,0.045240,0.033831", \ - "0.140977,0.136491,0.137463,0.135786,0.125180,0.107184,0.064881", \ - "0.297318,0.291541,0.290503,0.283519,0.270073,0.245081,0.201215", \ - "0.577972,0.571504,0.558385,0.541782,0.516158,0.472816,0.402551", \ - "0.980824,0.976694,0.965024,0.938936,0.895707,0.819386,0.711625"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("4.582153,4.588257,4.599822,4.615952,4.627097,4.651411,4.640729", \ - "4.417542,4.427558,4.443090,4.466697,4.505150,4.553794,4.564260", \ - "4.342940,4.346760,4.358214,4.369800,4.390750,4.415291,4.464029", \ - "4.448225,4.448433,4.450632,4.451034,4.427765,4.424456,4.411541", \ - "4.706291,4.702591,4.692742,4.668438,4.642200,4.601238,4.519943", \ - "5.114907,5.106581,5.091211,5.048418,4.982073,4.911621,4.776754", \ - "5.601024,5.611541,5.643345,5.596250,5.495159,5.360901,5.212531"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.456312,0.462835,0.476110,0.485464,0.502389,0.513188,0.534982", \ - "0.438630,0.444517,0.454279,0.467309,0.488882,0.510640,0.534920", \ - "0.490443,0.487142,0.482093,0.485315,0.493968,0.518248,0.558682", \ - "0.634855,0.637132,0.632600,0.636329,0.629763,0.618127,0.594578", \ - "0.824819,0.824388,0.819854,0.817910,0.806747,0.792398,0.750262", \ - "1.103709,1.102281,1.098849,1.086721,1.063859,1.029393,0.974380", \ - "1.507916,1.503534,1.496586,1.478009,1.442767,1.380362,1.290293"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("5.735488,5.740176,5.746645,5.754694,5.765843,5.777035,5.762495", \ - "5.629245,5.635220,5.645946,5.657594,5.676473,5.701451,5.732588", \ - "5.539335,5.543835,5.555475,5.559343,5.569018,5.601856,5.641287", \ - "5.501026,5.504722,5.508629,5.516392,5.528332,5.539352,5.571856", \ - "5.507779,5.510490,5.516113,5.510920,5.511243,5.509597,5.533406", \ - "5.606145,5.601334,5.597280,5.598272,5.585884,5.573540,5.549849", \ - "5.843346,5.857826,5.849910,5.837053,5.789970,5.722817,5.667433"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.305680,0.328378,0.362522,0.429461,0.536246,0.690546,0.877060", \ - "0.311738,0.340305,0.371508,0.435968,0.544954,0.688684,0.870533", \ - "0.544525,0.546005,0.549572,0.557628,0.599503,0.697433,0.848602", \ - "0.827438,0.825748,0.834557,0.844322,0.850975,0.856712,0.879474", \ - "1.093257,1.098944,1.094919,1.098460,1.090843,1.093608,1.085894", \ - "1.437793,1.432844,1.427254,1.417038,1.398723,1.382423,1.342892", \ - "1.888522,1.881026,1.873951,1.858793,1.830673,1.777031,1.695047"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("6.772126,6.777075,6.782399,6.798559,6.789609,6.797879,6.814702", \ - "6.665557,6.672543,6.688685,6.701272,6.729990,6.751762,6.751443", \ - "6.576272,6.580619,6.593420,6.598516,6.617471,6.639613,6.678599", \ - "6.540381,6.544260,6.545237,6.547133,6.550146,6.563905,6.596621", \ - "6.524062,6.527120,6.536524,6.523129,6.519971,6.564650,6.558195", \ - "6.541846,6.545037,6.543750,6.546734,6.529818,6.537205,6.558776", \ - "6.646036,6.647309,6.650117,6.637650,6.627977,6.618023,6.598071"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.144709,0.162562,0.209856,0.304161,0.442546,0.641941,0.937415", \ - "0.148654,0.171415,0.200255,0.288519,0.413749,0.615771,0.878489", \ - "0.407523,0.412029,0.418840,0.429001,0.499245,0.625819,0.855087", \ - "0.799621,0.799921,0.800588,0.817195,0.826718,0.855802,0.935327", \ - "1.154822,1.163009,1.158493,1.162001,1.183272,1.194937,1.213030", \ - "1.569758,1.564215,1.563585,1.557483,1.561501,1.542822,1.537557", \ - "2.088293,2.082863,2.079470,2.063330,2.042189,1.994235,1.934002"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("7.789558,7.794581,7.805040,7.817660,7.802222,7.840884,7.826554", \ - "7.684707,7.690814,7.703641,7.727271,7.731885,7.784129,7.784002", \ - "7.597023,7.602073,7.610510,7.617656,7.633429,7.655432,7.694455", \ - "7.558425,7.562217,7.563937,7.578262,7.584396,7.608428,7.608089", \ - "7.541410,7.544967,7.544955,7.548702,7.532843,7.575311,7.572399", \ - "7.547983,7.545745,7.552824,7.542101,7.526631,7.567901,7.560622", \ - "7.608775,7.609337,7.609482,7.602219,7.583226,7.581758,7.569177"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR4_X4 - Cell Description : Combinational cell (NOR4_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NOR4_X4) { - - drive_strength : 4; - - area : 4.788000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 56.773696; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 196.864700; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 28.329931; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 28.659609; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 42.900318; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 29.252808; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 42.924667; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 42.971445; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 62.410221; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 34.708820; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 43.093111; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 43.139918; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 62.474337; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 43.186800; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 62.482868; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 62.520288; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 82.459293; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.254899; - fall_capacitance : 4.969605; - rise_capacitance : 6.254899; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.937367; - fall_capacitance : 5.191097; - rise_capacitance : 5.937367; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.839495; - fall_capacitance : 5.275302; - rise_capacitance : 5.839495; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.831963; - fall_capacitance : 5.554399; - rise_capacitance : 5.831963; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 41.491670; - function : "!(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00936013,0.00991593,0.0106854,0.0122160,0.0152537,0.0212923,0.0333307", \ - "0.0147761,0.0153368,0.0161104,0.0176483,0.0206992,0.0267558,0.0388104", \ - "0.0265702,0.0277919,0.0293857,0.0322675,0.0372411,0.0453536,0.0580904", \ - "0.0358407,0.0379969,0.0407892,0.0458159,0.0543511,0.0679655,0.0885198", \ - "0.0387590,0.0420320,0.0463543,0.0540993,0.0672363,0.0879282,0.118499", \ - "0.0325636,0.0372068,0.0432472,0.0542097,0.0728660,0.102116,0.144825", \ - "0.0153703,0.0215189,0.0295476,0.0440432,0.0689179,0.107985,0.164829"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.112521,0.122983,0.137513,0.166458,0.223672,0.337035,0.562213", \ - "0.108961,0.119469,0.134154,0.163455,0.221529,0.336202,0.562805", \ - "0.119539,0.129629,0.143811,0.172338,0.229500,0.343598,0.570524", \ - "0.152178,0.161240,0.174339,0.201590,0.257170,0.369298,0.594092", \ - "0.206091,0.215914,0.229216,0.254316,0.306154,0.415712,0.637588", \ - "0.266394,0.278177,0.294063,0.324330,0.380390,0.484930,0.703265", \ - "0.333705,0.347344,0.365745,0.400820,0.465504,0.580693,0.793528"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00508253,0.00556368,0.00623753,0.00758445,0.0102829,0.0156817,0.0264848", \ - "0.00542785,0.00581352,0.00638079,0.00759743,0.0102844,0.0156836,0.0264869", \ - "0.0121507,0.0125553,0.0131120,0.0141921,0.0161442,0.0195736,0.0274501", \ - "0.0234000,0.0239811,0.0247705,0.0262643,0.0289682,0.0336086,0.0413161", \ - "0.0393488,0.0402594,0.0413581,0.0434189,0.0470174,0.0530376,0.0627693", \ - "0.0600219,0.0612749,0.0629244,0.0658380,0.0705739,0.0782651,0.0902769", \ - "0.0854512,0.0871407,0.0893464,0.0934231,0.0996940,0.109460,0.124169"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.104750,0.114309,0.127398,0.153209,0.203449,0.302475,0.499321", \ - "0.103662,0.113430,0.126775,0.152880,0.203326,0.302477,0.499321", \ - "0.101189,0.111373,0.125185,0.151993,0.203060,0.302447,0.499296", \ - "0.0975098,0.106949,0.120359,0.148579,0.202004,0.302373,0.499322", \ - "0.110111,0.117090,0.127586,0.150154,0.198491,0.301369,0.499327", \ - "0.131567,0.139294,0.149990,0.171239,0.210446,0.300452,0.499127", \ - "0.156263,0.164518,0.175802,0.197840,0.239571,0.318439,0.498011"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0101938,0.0108409,0.0117306,0.0134753,0.0168563,0.0233730,0.0359475", \ - "0.0159019,0.0165118,0.0173592,0.0190362,0.0223426,0.0287902,0.0413211", \ - "0.0306290,0.0316985,0.0331202,0.0357260,0.0403207,0.0479903,0.0605520", \ - "0.0442845,0.0461068,0.0484989,0.0528606,0.0604504,0.0729789,0.0924863", \ - "0.0530266,0.0557144,0.0592409,0.0658148,0.0772981,0.0960095,0.124684", \ - "0.0539142,0.0576646,0.0625799,0.0716771,0.0878051,0.114007,0.153728", \ - "0.0448831,0.0498217,0.0563450,0.0682313,0.0896425,0.124414,0.177013"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.192259,0.202680,0.217130,0.245894,0.302789,0.415772,0.640632", \ - "0.191321,0.201826,0.216404,0.245456,0.302913,0.416719,0.642486", \ - "0.202203,0.212602,0.227038,0.255860,0.313067,0.426848,0.653137", \ - "0.228754,0.239078,0.253337,0.281678,0.338608,0.451948,0.677784", \ - "0.269199,0.279588,0.293951,0.322141,0.378730,0.491718,0.717048", \ - "0.317681,0.329664,0.345988,0.377750,0.437166,0.549761,0.774409", \ - "0.375696,0.389201,0.407478,0.442594,0.508285,0.629193,0.853057"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00717183,0.00770225,0.00842951,0.00986100,0.0126478,0.0180872,0.0288298", \ - "0.00705563,0.00751839,0.00818416,0.00958735,0.0124327,0.0179487,0.0287640", \ - "0.0134684,0.0138523,0.0143740,0.0153822,0.0172478,0.0206492,0.0291862", \ - "0.0244099,0.0249667,0.0257186,0.0271937,0.0298371,0.0344212,0.0420789", \ - "0.0395440,0.0404289,0.0415762,0.0436578,0.0473148,0.0534254,0.0632599", \ - "0.0590158,0.0603221,0.0619902,0.0649930,0.0699384,0.0779355,0.0903172", \ - "0.0827597,0.0845209,0.0868316,0.0911203,0.0977418,0.108107,0.123504"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.107448,0.116425,0.128936,0.153956,0.203627,0.302483,0.499317", \ - "0.107486,0.116457,0.128957,0.153969,0.203608,0.302488,0.499311", \ - "0.107492,0.116463,0.128961,0.153953,0.203602,0.302473,0.499301", \ - "0.107469,0.116447,0.128956,0.153977,0.203630,0.302474,0.499316", \ - "0.111783,0.119888,0.131302,0.154758,0.203759,0.302471,0.499314", \ - "0.128807,0.137004,0.147811,0.168539,0.211564,0.303316,0.499310", \ - "0.149911,0.157890,0.168966,0.191142,0.234137,0.316874,0.500108"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00949304,0.0101383,0.0110377,0.0128126,0.0163099,0.0231234,0.0363018", \ - "0.0154288,0.0160325,0.0168818,0.0185750,0.0219652,0.0286673,0.0417397", \ - "0.0308925,0.0319733,0.0334021,0.0360342,0.0406720,0.0484137,0.0611719", \ - "0.0465365,0.0483377,0.0506744,0.0549258,0.0623958,0.0747568,0.0941107", \ - "0.0586225,0.0611339,0.0644721,0.0707253,0.0817341,0.0998512,0.127881", \ - "0.0639433,0.0674019,0.0719375,0.0803837,0.0955771,0.120561,0.158988", \ - "0.0603645,0.0648565,0.0707910,0.0816710,0.101540,0.134366,0.184811"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.235530,0.245969,0.260439,0.289219,0.346125,0.459100,0.683949", \ - "0.234948,0.245451,0.260050,0.289097,0.346541,0.460355,0.686099", \ - "0.247705,0.258097,0.272549,0.301397,0.358593,0.472395,0.698666", \ - "0.275997,0.286343,0.300614,0.329040,0.385957,0.499338,0.725171", \ - "0.315604,0.325965,0.340268,0.368327,0.425011,0.538128,0.763575", \ - "0.361006,0.372419,0.388099,0.418299,0.475978,0.588823,0.813924", \ - "0.408567,0.421076,0.438173,0.471417,0.534602,0.653632,0.878454"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00717026,0.00775723,0.00857880,0.0101910,0.0133181,0.0192999,0.0307049", \ - "0.00731636,0.00779905,0.00850107,0.00997891,0.0130970,0.0191029,0.0305453", \ - "0.0145027,0.0148701,0.0153806,0.0163719,0.0182232,0.0216506,0.0305858", \ - "0.0261879,0.0266928,0.0274057,0.0288022,0.0313439,0.0357757,0.0432796", \ - "0.0417638,0.0425937,0.0436722,0.0456317,0.0490919,0.0549728,0.0645543", \ - "0.0614591,0.0626758,0.0642367,0.0670674,0.0717353,0.0794314,0.0915265", \ - "0.0852767,0.0868832,0.0890532,0.0930624,0.0993449,0.109320,0.124450"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.107465,0.116437,0.128948,0.153968,0.203624,0.302476,0.499298", \ - "0.107506,0.116476,0.128972,0.153971,0.203596,0.302489,0.499322", \ - "0.107559,0.116509,0.129001,0.153977,0.203634,0.302478,0.499299", \ - "0.107588,0.116534,0.129026,0.154006,0.203625,0.302488,0.499309", \ - "0.108908,0.117553,0.129722,0.154288,0.203686,0.302465,0.499309", \ - "0.121271,0.129247,0.140279,0.162544,0.207874,0.302813,0.499315", \ - "0.136768,0.145248,0.157007,0.180352,0.225298,0.312825,0.499837"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00923467,0.00986361,0.0107315,0.0124606,0.0158810,0.0226232,0.0358461", \ - "0.0150956,0.0156836,0.0165070,0.0181640,0.0214939,0.0281346,0.0412501", \ - "0.0303045,0.0313990,0.0328418,0.0354960,0.0401948,0.0480176,0.0608556", \ - "0.0464278,0.0482180,0.0505573,0.0548450,0.0623494,0.0747845,0.0942594", \ - "0.0599983,0.0624870,0.0657717,0.0719720,0.0829103,0.100958,0.128945", \ - "0.0677785,0.0711500,0.0755745,0.0838378,0.0987519,0.123386,0.161440", \ - "0.0675782,0.0719108,0.0776272,0.0881378,0.107452,0.139514,0.189091"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.248510,0.258951,0.273422,0.302206,0.359114,0.472097,0.696944", \ - "0.249616,0.260127,0.274721,0.303791,0.361223,0.475005,0.700749", \ - "0.265014,0.275411,0.289884,0.318720,0.375910,0.489700,0.715969", \ - "0.293691,0.304038,0.318344,0.346943,0.403879,0.517253,0.743095", \ - "0.332436,0.342756,0.357019,0.385181,0.441960,0.555101,0.780553", \ - "0.377288,0.388253,0.403341,0.432266,0.489360,0.602250,0.827433", \ - "0.422408,0.434157,0.450298,0.481648,0.542638,0.659260,0.884121"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00687490,0.00745805,0.00827235,0.00988048,0.0130434,0.0192005,0.0310645", \ - "0.00720947,0.00767208,0.00834957,0.00979619,0.0129118,0.0190601,0.0309089", \ - "0.0148702,0.0152427,0.0157536,0.0167580,0.0185934,0.0220414,0.0310912", \ - "0.0272093,0.0277127,0.0284212,0.0297971,0.0323053,0.0367046,0.0441818", \ - "0.0435276,0.0443471,0.0454178,0.0473342,0.0507114,0.0564519,0.0658705", \ - "0.0640058,0.0651725,0.0666719,0.0694156,0.0739319,0.0813816,0.0931760", \ - "0.0886184,0.0901310,0.0921939,0.0960321,0.102019,0.111628,0.126319"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.107460,0.116441,0.128959,0.153955,0.203636,0.302479,0.499303", \ - "0.107506,0.116478,0.128983,0.153974,0.203633,0.302478,0.499303", \ - "0.107560,0.116510,0.129003,0.153983,0.203619,0.302476,0.499303", \ - "0.107584,0.116534,0.129022,0.154002,0.203597,0.302470,0.499320", \ - "0.108054,0.116892,0.129271,0.154091,0.203625,0.302472,0.499301", \ - "0.115394,0.123659,0.135188,0.158444,0.205529,0.302581,0.499312", \ - "0.126952,0.135649,0.147705,0.171623,0.217774,0.308992,0.499609"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.344270,0.339007,0.322700,0.295088,0.239126,0.135415,-0.001569", \ - "0.270141,0.266258,0.266502,0.251645,0.220694,0.152091,0.047101", \ - "0.202445,0.198637,0.182118,0.167165,0.129058,0.121457,0.085471", \ - "0.299145,0.288134,0.290868,0.281394,0.266635,0.225082,0.144075", \ - "0.594908,0.586040,0.575109,0.566643,0.541924,0.493521,0.412353", \ - "1.147497,1.137785,1.115259,1.084487,1.036477,0.944962,0.808159", \ - "1.951288,1.940215,1.916069,1.865308,1.783096,1.639853,1.423553"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("9.093043,9.118762,9.145590,9.183285,9.205820,9.249154,9.215002", \ - "8.775955,8.803037,8.826931,8.878446,8.955328,9.049998,9.125148", \ - "8.630236,8.648226,8.662855,8.680638,8.704698,8.772218,8.857147", \ - "8.854508,8.850613,8.856246,8.856170,8.843415,8.790945,8.814811", \ - "9.377637,9.361642,9.343600,9.295365,9.254183,9.147010,9.033430", \ - "10.205170,10.176370,10.139620,10.083150,9.937799,9.800771,9.549984", \ - "11.166630,11.197370,11.247410,11.160120,10.982060,10.740410,10.355970"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.921382,0.940558,0.970810,0.979437,1.017499,1.041344,1.060195", \ - "0.892278,0.895503,0.919798,0.946402,0.985753,1.024025,1.067090", \ - "0.996042,0.994191,0.975664,0.973559,0.980713,1.030855,1.100104", \ - "1.262729,1.255127,1.275199,1.257071,1.249572,1.227822,1.179711", \ - "1.636879,1.633249,1.621052,1.615579,1.602203,1.567871,1.495436", \ - "2.188780,2.181743,2.176525,2.155186,2.116146,2.045186,1.931493", \ - "2.991569,2.984494,2.957987,2.924784,2.857836,2.737414,2.559607"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("11.830000,11.837950,11.857230,11.875500,11.896520,11.876400,11.897590", \ - "11.616630,11.627750,11.658280,11.672520,11.711070,11.786640,11.836810", \ - "11.436910,11.456840,11.474070,11.497390,11.529860,11.585610,11.652670", \ - "11.369790,11.372760,11.387870,11.400670,11.398050,11.458890,11.511980", \ - "11.364030,11.377720,11.380610,11.386620,11.401250,11.393630,11.430570", \ - "11.532540,11.536970,11.538510,11.527210,11.511460,11.510090,11.453190", \ - "11.980180,12.015030,12.000540,11.950440,11.848560,11.798450,11.675960"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.589999,0.627997,0.699898,0.797816,1.015177,1.319318,1.693925", \ - "0.620370,0.681726,0.717852,0.850099,1.023513,1.329645,1.694665", \ - "1.051277,1.057272,1.066150,1.077397,1.154386,1.344676,1.654939", \ - "1.607554,1.610790,1.608595,1.625960,1.654484,1.658897,1.722386", \ - "2.150892,2.144934,2.137956,2.143732,2.146756,2.130546,2.117229", \ - "2.814709,2.798532,2.794785,2.782470,2.763521,2.711415,2.635506", \ - "3.703995,3.701171,3.679028,3.654369,3.595176,3.490774,3.331947"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("14.326740,14.335250,14.357220,14.382810,14.383880,14.402900,14.425630", \ - "14.114230,14.136660,14.161370,14.182080,14.234780,14.311960,14.299670", \ - "13.948230,13.955230,13.978080,14.001220,14.046550,14.087120,14.154820", \ - "13.874680,13.879950,13.884300,13.885530,13.920890,13.932090,13.986150", \ - "13.841980,13.846350,13.862620,13.840260,13.843550,13.857110,13.898990", \ - "13.860930,13.865020,13.864880,13.877460,13.874210,13.854060,13.886180", \ - "14.029090,14.041190,14.031820,14.019850,13.976280,13.998420,13.943790"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.277179,0.350496,0.404544,0.558123,0.826041,1.237947,1.825036", \ - "0.269175,0.321891,0.401908,0.526413,0.776508,1.163260,1.712553", \ - "0.779661,0.763396,0.784292,0.809053,0.945302,1.197089,1.654124", \ - "1.535177,1.526296,1.547584,1.576299,1.591177,1.652329,1.808204", \ - "2.249322,2.246863,2.249462,2.249281,2.288008,2.289106,2.338569", \ - "3.056423,3.052906,3.046279,3.046101,3.020265,3.023996,2.987920", \ - "4.066124,4.063075,4.055159,4.042619,3.992664,3.916733,3.787725"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("16.668770,16.677410,16.703000,16.705180,16.722340,16.770860,16.729880", \ - "16.460980,16.483630,16.506710,16.518420,16.583170,16.659420,16.647120", \ - "16.286510,16.294100,16.318720,16.323770,16.381080,16.403920,16.471060", \ - "16.217470,16.222250,16.224450,16.231880,16.280140,16.302190,16.357320", \ - "16.173900,16.189330,16.191480,16.177920,16.194200,16.228930,16.271860", \ - "16.182400,16.186560,16.187370,16.167880,16.208020,16.199200,16.235050", \ - "16.279500,16.278100,16.289840,16.288830,16.263070,16.271200,16.229920"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI21_X1 - Cell Description : Combinational cell (OAI21_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI21_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 13.995832; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 4.271561; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 8.720723; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 8.720733; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 8.071289; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 29.727951; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 15.868904; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 17.235308; - } - leakage_power () { - when : "A & B1 & B2"; - value : 19.350189; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.612742; - fall_capacitance : 1.449824; - rise_capacitance : 1.612742; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.616994; - fall_capacitance : 1.416245; - rise_capacitance : 1.616994; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.534531; - fall_capacitance : 1.490980; - rise_capacitance : 1.534531; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 26.022450; - function : "!(A & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.026456,0.028873,0.033156,0.041471,0.057638,0.089305,0.151918", \ - "0.031688,0.034127,0.038442,0.046806,0.063022,0.094733,0.157372", \ - "0.047115,0.049705,0.054178,0.062480,0.078713,0.110498,0.173224", \ - "0.067479,0.070943,0.076724,0.087384,0.106163,0.139217,0.202122", \ - "0.087012,0.091964,0.100135,0.114542,0.138904,0.179044,0.246706", \ - "0.101871,0.108780,0.119834,0.139664,0.172122,0.222736,0.302296", \ - "0.110197,0.119262,0.133967,0.159672,0.202111,0.266514,0.362372"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.042099,0.046074,0.053219,0.067357,0.095334,0.150844,0.261263", \ - "0.046461,0.050490,0.057703,0.071941,0.100026,0.155687,0.266139", \ - "0.064708,0.068659,0.075847,0.090026,0.118101,0.173787,0.284402", \ - "0.098263,0.103360,0.111928,0.127273,0.154736,0.209826,0.320118", \ - "0.135612,0.142631,0.154313,0.175430,0.210902,0.268027,0.377082", \ - "0.175909,0.184866,0.199968,0.226959,0.272609,0.345407,0.458816", \ - "0.219358,0.230279,0.248771,0.281925,0.337998,0.427830,0.564840"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.014427,0.016329,0.019748,0.026544,0.040079,0.067144,0.121336", \ - "0.014410,0.016323,0.019753,0.026549,0.040076,0.067133,0.121336", \ - "0.015588,0.017200,0.020227,0.026561,0.039984,0.067129,0.121338", \ - "0.021678,0.023428,0.026546,0.032567,0.044228,0.068200,0.121311", \ - "0.032504,0.034470,0.037841,0.044043,0.055727,0.078496,0.124880", \ - "0.047607,0.050032,0.054230,0.061290,0.073445,0.095937,0.140429", \ - "0.066409,0.069455,0.074580,0.083355,0.097202,0.120421,0.164044"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.030213,0.034109,0.041143,0.055177,0.083108,0.138580,0.247496", \ - "0.030205,0.034107,0.041130,0.055187,0.083110,0.138619,0.247456", \ - "0.030343,0.033998,0.041101,0.055142,0.083137,0.138546,0.247454", \ - "0.042823,0.045555,0.050000,0.060104,0.084066,0.138495,0.247464", \ - "0.062917,0.066475,0.072581,0.083108,0.101023,0.143361,0.247428", \ - "0.087224,0.091689,0.099253,0.112508,0.134342,0.170086,0.253770", \ - "0.115575,0.120845,0.130013,0.146076,0.172396,0.214446,0.284364"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.022421,0.024588,0.028503,0.036303,0.051841,0.082880,0.144911", \ - "0.027562,0.029774,0.033747,0.041616,0.057224,0.088302,0.150362", \ - "0.041752,0.044362,0.048843,0.057123,0.072871,0.104073,0.166228", \ - "0.058454,0.062340,0.068699,0.079947,0.099287,0.132727,0.195120", \ - "0.072029,0.078005,0.087429,0.103562,0.129643,0.171091,0.239540", \ - "0.079372,0.087822,0.101016,0.123743,0.159464,0.212710,0.293967", \ - "0.079516,0.090576,0.108217,0.137921,0.185005,0.253695,0.352490"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.040966,0.044947,0.052108,0.066228,0.094174,0.149626,0.259940", \ - "0.045314,0.049345,0.056576,0.070814,0.098884,0.154448,0.264882", \ - "0.063590,0.067561,0.074730,0.088902,0.116963,0.172578,0.283127", \ - "0.096725,0.101889,0.110588,0.126095,0.153623,0.208650,0.318829", \ - "0.133432,0.140564,0.152414,0.173692,0.209514,0.266879,0.375786", \ - "0.173019,0.182130,0.197472,0.224677,0.270713,0.343928,0.457551", \ - "0.215716,0.226819,0.245557,0.279043,0.335589,0.425914,0.563364"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.010621,0.012484,0.015881,0.022655,0.036210,0.063292,0.117453", \ - "0.010623,0.012489,0.015875,0.022662,0.036204,0.063288,0.117453", \ - "0.012697,0.014365,0.017263,0.023262,0.036212,0.063287,0.117446", \ - "0.019641,0.021343,0.024364,0.030202,0.041688,0.065015,0.117424", \ - "0.031559,0.033535,0.036865,0.042899,0.054082,0.076264,0.121803", \ - "0.047883,0.050311,0.054380,0.061310,0.072945,0.094530,0.138173", \ - "0.068068,0.071020,0.075966,0.084406,0.097717,0.120036,0.162405"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.026754,0.030428,0.037106,0.050429,0.077016,0.130124,0.236032", \ - "0.026751,0.030419,0.037090,0.050420,0.077025,0.130118,0.236069", \ - "0.026953,0.030359,0.037045,0.050403,0.077022,0.130091,0.236021", \ - "0.038880,0.041620,0.046236,0.055543,0.078099,0.130093,0.236017", \ - "0.056115,0.059817,0.066164,0.077100,0.095157,0.135069,0.235933", \ - "0.075575,0.080562,0.088929,0.103073,0.125761,0.161785,0.242385", \ - "0.097177,0.103471,0.113999,0.131774,0.159813,0.203275,0.273074"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.019306,0.020995,0.024047,0.030128,0.042239,0.066427,0.114770", \ - "0.024597,0.026323,0.029418,0.035549,0.047713,0.071937,0.120302", \ - "0.039966,0.042187,0.045947,0.052779,0.065141,0.089476,0.137923", \ - "0.057183,0.060841,0.066775,0.077092,0.094134,0.122035,0.170982", \ - "0.070581,0.076270,0.085469,0.100936,0.125659,0.163194,0.221092", \ - "0.077552,0.085648,0.098500,0.120539,0.155153,0.205968,0.279365", \ - "0.077084,0.087797,0.104936,0.133803,0.179778,0.246515,0.340008"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.044593,0.048550,0.055662,0.069754,0.097643,0.153034,0.263349", \ - "0.048949,0.052962,0.060154,0.074361,0.102403,0.157921,0.268325", \ - "0.067157,0.071102,0.078244,0.092378,0.120402,0.175984,0.286532", \ - "0.101412,0.106349,0.114648,0.129600,0.157016,0.211994,0.322181", \ - "0.139930,0.146668,0.158059,0.178631,0.213530,0.270182,0.379094", \ - "0.181358,0.190041,0.204759,0.231042,0.276001,0.348050,0.460908", \ - "0.225973,0.236565,0.254567,0.286900,0.342132,0.431033,0.567198"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.008652,0.010094,0.012713,0.017920,0.028329,0.049115,0.090660", \ - "0.008658,0.010093,0.012711,0.017922,0.028333,0.049120,0.090676", \ - "0.011355,0.012587,0.014766,0.019006,0.028466,0.049121,0.090665", \ - "0.019502,0.020897,0.023292,0.027700,0.035998,0.052316,0.090681", \ - "0.032247,0.033983,0.036883,0.041999,0.050786,0.066886,0.098480", \ - "0.049448,0.051539,0.055118,0.061295,0.071367,0.088422,0.119749", \ - "0.070707,0.073195,0.077476,0.085036,0.097042,0.116261,0.148693"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.028392,0.032101,0.038801,0.052182,0.078874,0.132076,0.238114", \ - "0.028370,0.032079,0.038800,0.052187,0.078876,0.132046,0.238081", \ - "0.028323,0.032036,0.038782,0.052187,0.078884,0.132031,0.238076", \ - "0.039421,0.042165,0.046674,0.056509,0.079730,0.132035,0.238069", \ - "0.056886,0.060557,0.066759,0.077644,0.095776,0.136501,0.237985", \ - "0.076696,0.081574,0.089715,0.103701,0.126340,0.162411,0.243958", \ - "0.098711,0.104845,0.115110,0.132648,0.160509,0.203867,0.273980"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.0176084,0.0197830,0.0237074,0.0315163,0.0470567,0.0780860,0.140088", \ - "0.0222079,0.0244280,0.0284110,0.0362885,0.0519092,0.0829891,0.145026", \ - "0.0362737,0.0393911,0.0444879,0.0531905,0.0687254,0.0997955,0.161834", \ - "0.0482383,0.0533771,0.0616703,0.0757805,0.0982160,0.132440,0.194067", \ - "0.0544094,0.0618292,0.0737115,0.0940971,0.126340,0.174639,0.245388", \ - "0.0533782,0.0632309,0.0790568,0.106144,0.149168,0.213459,0.306227", \ - "0.0441188,0.0564857,0.0765243,0.110660,0.165148,0.246723,0.363854"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.0662619,0.0753535,0.0916619,0.123779,0.187428,0.314011,0.566605", \ - "0.0671328,0.0764224,0.0931100,0.125842,0.190204,0.317464,0.570492", \ - "0.0808126,0.0896926,0.105917,0.138284,0.202761,0.330555,0.584394", \ - "0.113464,0.123172,0.138815,0.169920,0.232942,0.359759,0.613233", \ - "0.151680,0.164329,0.185244,0.222254,0.284992,0.409559,0.661202", \ - "0.194103,0.209648,0.235597,0.281216,0.357594,0.483386,0.732069", \ - "0.241523,0.259825,0.290484,0.344729,0.435943,0.581999,0.828881"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.0106197,0.0124831,0.0158818,0.0226539,0.0362075,0.0632903,0.117436", \ - "0.0106027,0.0124790,0.0158752,0.0226565,0.0362018,0.0632819,0.117444", \ - "0.0159697,0.0173245,0.0196208,0.0243114,0.0362514,0.0632961,0.117450", \ - "0.0285558,0.0303919,0.0334467,0.0387795,0.0479958,0.0667304,0.117423", \ - "0.0454060,0.0479337,0.0523045,0.0592814,0.0707542,0.0895360,0.125606", \ - "0.0662164,0.0696016,0.0752968,0.0845417,0.0990439,0.121798,0.158083", \ - "0.0909513,0.0952945,0.102455,0.114234,0.132368,0.160016,0.202217"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.0466463,0.0545694,0.0691054,0.0978655,0.155450,0.270335,0.499542", \ - "0.0466427,0.0545704,0.0690860,0.0979402,0.155456,0.270356,0.499534", \ - "0.0465055,0.0545116,0.0689994,0.0978205,0.155439,0.270305,0.499535", \ - "0.0557709,0.0611069,0.0722467,0.0978335,0.155341,0.270357,0.499535", \ - "0.0752985,0.0818367,0.0931260,0.112378,0.158380,0.270303,0.499538", \ - "0.0969043,0.104749,0.118252,0.141786,0.181739,0.274343,0.499532", \ - "0.121217,0.130428,0.146185,0.173830,0.220385,0.300642,0.500371"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.0213429,0.0237732,0.0280721,0.0364049,0.0525618,0.0841964,0.146768", \ - "0.0256988,0.0281168,0.0324091,0.0407385,0.0569065,0.0885427,0.151113", \ - "0.0417698,0.0445389,0.0492029,0.0574424,0.0734749,0.105058,0.167586", \ - "0.0589557,0.0633877,0.0706378,0.0834547,0.104439,0.137640,0.199779", \ - "0.0714780,0.0777755,0.0879203,0.106194,0.135979,0.182065,0.251067", \ - "0.0774869,0.0858083,0.0993841,0.123306,0.162907,0.223851,0.313869", \ - "0.0760065,0.0864022,0.103556,0.133761,0.183619,0.260604,0.373898"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.0805634,0.0894857,0.105605,0.137519,0.201016,0.327474,0.580021", \ - "0.0842366,0.0933408,0.109746,0.142118,0.206144,0.333091,0.585926", \ - "0.100848,0.109820,0.126093,0.158460,0.222796,0.350373,0.603884", \ - "0.130872,0.139874,0.155790,0.187758,0.251692,0.379129,0.632975", \ - "0.166183,0.177362,0.196619,0.231904,0.296301,0.423328,0.677079", \ - "0.205739,0.219229,0.242128,0.283647,0.356934,0.486398,0.739462", \ - "0.250755,0.266814,0.293627,0.341728,0.425128,0.568171,0.822717"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.0148542,0.0167055,0.0200509,0.0267375,0.0401564,0.0671401,0.121364", \ - "0.0146645,0.0165529,0.0199468,0.0266829,0.0401407,0.0671488,0.121346", \ - "0.0182336,0.0195390,0.0218350,0.0272831,0.0400805,0.0671501,0.121349", \ - "0.0305549,0.0323392,0.0353357,0.0405460,0.0497011,0.0696328,0.121354", \ - "0.0467671,0.0492989,0.0536277,0.0605975,0.0721229,0.0910180,0.128306", \ - "0.0664604,0.0698994,0.0757664,0.0851990,0.0999912,0.122975,0.159479", \ - "0.0892752,0.0938162,0.101387,0.113636,0.132436,0.160730,0.203405"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.0466381,0.0545643,0.0690643,0.0978613,0.155444,0.270306,0.499541", \ - "0.0466411,0.0545619,0.0690507,0.0978602,0.155456,0.270359,0.499536", \ - "0.0466515,0.0545611,0.0690529,0.0978572,0.155431,0.270365,0.499540", \ - "0.0496681,0.0565956,0.0697368,0.0978082,0.155362,0.270282,0.499556", \ - "0.0620085,0.0689974,0.0815997,0.105050,0.156730,0.270306,0.499718", \ - "0.0778698,0.0851244,0.0984329,0.123352,0.170520,0.272833,0.499552", \ - "0.0977842,0.105362,0.119348,0.145241,0.194611,0.288952,0.500519"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.506136,0.515755,0.523980,0.548966,0.567153,0.593284,0.616596", \ - "0.480150,0.486711,0.502860,0.531147,0.560636,0.586317,0.616221", \ - "0.429693,0.444367,0.463115,0.495685,0.533925,0.563623,0.603952", \ - "0.464458,0.463729,0.467676,0.474275,0.495872,0.541474,0.583078", \ - "0.532501,0.534430,0.532871,0.533418,0.531251,0.534190,0.575709", \ - "0.654239,0.649722,0.640646,0.631586,0.615268,0.594200,0.586648", \ - "0.855556,0.844213,0.825601,0.798711,0.759247,0.712946,0.661914"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("3.280026,3.285988,3.300647,3.299886,3.340842,3.351998,3.396512", \ - "3.222711,3.232103,3.240588,3.275949,3.273088,3.311403,3.356405", \ - "3.169185,3.175938,3.195294,3.223748,3.248793,3.304238,3.297018", \ - "3.240404,3.241512,3.244756,3.257805,3.243995,3.286922,3.341320", \ - "3.392107,3.401972,3.396815,3.383205,3.346813,3.363084,3.329765", \ - "3.577293,3.583935,3.582658,3.605897,3.589805,3.511540,3.437716", \ - "3.921360,3.916957,3.917582,3.901772,3.892286,3.820960,3.729918"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.208262,0.209057,0.211525,0.211849,0.216160,0.216366,0.214959", \ - "0.168568,0.176734,0.184798,0.194755,0.202785,0.209589,0.209998", \ - "0.103089,0.108821,0.126407,0.148047,0.168842,0.189053,0.201275", \ - "0.130741,0.130190,0.127201,0.122849,0.134889,0.159158,0.183076", \ - "0.196795,0.190320,0.182739,0.175712,0.159869,0.144744,0.172447", \ - "0.324102,0.313960,0.295446,0.276024,0.246279,0.210209,0.182881", \ - "0.541635,0.525076,0.496900,0.455800,0.396303,0.329344,0.259826"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("2.794449,2.799136,2.823776,2.818214,2.806795,2.897839,2.928137", \ - "2.737550,2.745369,2.760522,2.770408,2.822406,2.854722,2.890890", \ - "2.683294,2.700758,2.713221,2.739055,2.747143,2.834402,2.829830", \ - "2.755674,2.764760,2.760099,2.781738,2.803804,2.811300,2.808714", \ - "2.844138,2.861709,2.891073,2.910458,2.904909,2.910061,2.860868", \ - "3.042649,3.051660,3.067483,3.085351,3.139860,3.065603,2.968850", \ - "3.387032,3.389322,3.390837,3.396289,3.398099,3.365953,3.195073"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.208227,0.206034,0.205839,0.210721,0.216046,0.214600,0.217029", \ - "0.168023,0.176921,0.186285,0.192384,0.203784,0.205741,0.211864", \ - "0.104426,0.110526,0.125458,0.149356,0.167248,0.188901,0.199734", \ - "0.133615,0.133710,0.133637,0.132650,0.132930,0.161703,0.185367", \ - "0.197472,0.195230,0.186542,0.178944,0.171306,0.154185,0.174115", \ - "0.330999,0.320163,0.304057,0.278101,0.251424,0.222771,0.186616", \ - "0.553527,0.536929,0.505588,0.466627,0.407667,0.340499,0.276654"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("3.034032,3.038436,3.048650,3.052100,3.046616,3.133428,3.166735", \ - "2.967469,2.984966,3.004543,3.032691,3.060992,3.093441,3.130958", \ - "2.921069,2.926710,2.942587,2.971118,2.982096,3.021196,3.067876", \ - "2.989565,2.989274,2.997929,2.980480,2.979397,3.002018,3.044544", \ - "3.082402,3.093376,3.120288,3.154774,3.140223,3.078289,3.108636", \ - "3.279494,3.292126,3.301402,3.309683,3.362882,3.294209,3.207000", \ - "3.624747,3.623840,3.635493,3.634488,3.624756,3.605264,3.437507"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.226907,0.225171,0.224030,0.226017,0.234995,0.237130,0.238525", \ - "0.218628,0.219902,0.231787,0.237161,0.249792,0.254840,0.261440", \ - "0.190920,0.198047,0.206697,0.222529,0.239807,0.262680,0.274290", \ - "0.265873,0.260266,0.247766,0.237815,0.237246,0.254126,0.270547", \ - "0.398181,0.385725,0.369620,0.344547,0.307951,0.277068,0.284267", \ - "0.615557,0.593381,0.564100,0.519767,0.457420,0.387212,0.331911", \ - "0.910092,0.888535,0.855156,0.789513,0.693726,0.577555,0.456965"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("1.941738,1.953173,1.965036,1.976887,1.974936,1.965304,1.973313", \ - "1.864730,1.880895,1.899750,1.923360,1.955144,1.958652,1.966840", \ - "1.814006,1.823360,1.830189,1.850541,1.871332,1.918453,1.942291", \ - "1.880471,1.873253,1.869752,1.860612,1.856588,1.890500,1.912093", \ - "2.039157,2.031199,2.008457,1.970362,1.944798,1.921080,1.918811", \ - "2.175651,2.185512,2.209841,2.201930,2.124171,2.069199,2.002995", \ - "2.467154,2.462064,2.460334,2.461072,2.404635,2.296684,2.164124"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.491283,0.502520,0.517538,0.530676,0.556841,0.577258,0.596420", \ - "0.458486,0.462026,0.478569,0.494929,0.517253,0.540894,0.564325", \ - "0.422840,0.425686,0.441897,0.458407,0.494346,0.515069,0.539010", \ - "0.496577,0.494512,0.483786,0.471136,0.487686,0.514618,0.537150", \ - "0.639754,0.629825,0.618975,0.599684,0.567513,0.546394,0.559078", \ - "0.850079,0.838296,0.817702,0.781979,0.732836,0.663632,0.614141", \ - "1.146286,1.131487,1.102132,1.054183,0.971910,0.866619,0.745066"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("2.525049,2.528991,2.530458,2.523432,2.548719,2.538345,2.537883", \ - "2.469559,2.482284,2.493962,2.496387,2.527000,2.532940,2.530281", \ - "2.404164,2.414350,2.419550,2.444773,2.458327,2.500091,2.518510", \ - "2.389067,2.391786,2.385544,2.393339,2.414799,2.455016,2.479865", \ - "2.418308,2.415753,2.412283,2.397579,2.398225,2.428361,2.448396", \ - "2.388975,2.412117,2.455378,2.473743,2.465239,2.458188,2.443392", \ - "2.517034,2.522845,2.538692,2.559813,2.594473,2.532543,2.502788"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI21_X2 - Cell Description : Combinational cell (OAI21_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI21_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 27.987305; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 8.535417; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 17.432493; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 17.432493; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 16.133605; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 59.455750; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 31.737790; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 34.470560; - } - leakage_power () { - when : "A & B1 & B2"; - value : 38.700330; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.068464; - fall_capacitance : 2.745110; - rise_capacitance : 3.068464; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.010188; - fall_capacitance : 2.607885; - rise_capacitance : 3.010188; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.260861; - fall_capacitance : 3.175571; - rise_capacitance : 3.260861; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 52.030140; - function : "!(A & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.025253,0.028674,0.032957,0.041276,0.057444,0.089118,0.151728", \ - "0.030452,0.033902,0.038219,0.046584,0.062802,0.094512,0.157157", \ - "0.045725,0.049416,0.053885,0.062197,0.078433,0.110228,0.172958", \ - "0.065567,0.070523,0.076383,0.087040,0.105849,0.138936,0.201848", \ - "0.084306,0.091479,0.099730,0.114158,0.138544,0.178702,0.246404", \ - "0.098185,0.108084,0.119396,0.139323,0.171812,0.222386,0.301976", \ - "0.105629,0.118551,0.133320,0.159370,0.201801,0.266228,0.362055"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.040003,0.045591,0.052716,0.066830,0.094773,0.150256,0.260684", \ - "0.044342,0.050005,0.057210,0.071430,0.099498,0.155068,0.265590", \ - "0.062654,0.068274,0.075422,0.089562,0.117611,0.173238,0.283906", \ - "0.095427,0.102746,0.111440,0.126826,0.154280,0.209339,0.319590", \ - "0.131678,0.141712,0.153569,0.174771,0.210360,0.267564,0.376589", \ - "0.170845,0.183658,0.198889,0.226112,0.271916,0.344845,0.458409", \ - "0.213164,0.228780,0.247397,0.280677,0.336997,0.427042,0.564270"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.013653,0.016329,0.019755,0.026545,0.040075,0.067135,0.121328", \ - "0.013638,0.016323,0.019750,0.026545,0.040074,0.067129,0.121329", \ - "0.014980,0.017240,0.020256,0.026583,0.039978,0.067114,0.121326", \ - "0.021046,0.023486,0.026577,0.032577,0.044265,0.068215,0.121315", \ - "0.031856,0.034597,0.037921,0.044120,0.055756,0.078538,0.124907", \ - "0.046746,0.050208,0.054331,0.061349,0.073487,0.095943,0.140459", \ - "0.065253,0.069625,0.074774,0.083382,0.097250,0.120429,0.164046"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.028329,0.033781,0.040802,0.054832,0.082783,0.138259,0.247266", \ - "0.028316,0.033779,0.040808,0.054833,0.082789,0.138241,0.247259", \ - "0.028613,0.033715,0.040782,0.054845,0.082823,0.138227,0.247305", \ - "0.041616,0.045468,0.049823,0.059897,0.083721,0.138217,0.247231", \ - "0.061367,0.066404,0.072399,0.082879,0.100904,0.143177,0.247199", \ - "0.085281,0.091573,0.099131,0.112263,0.134192,0.169936,0.253640", \ - "0.113375,0.120927,0.129925,0.145911,0.172309,0.214361,0.284324"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.021382,0.024439,0.028363,0.036173,0.051746,0.082841,0.144997", \ - "0.026474,0.029596,0.033578,0.041458,0.057095,0.088240,0.150418", \ - "0.040329,0.044052,0.048553,0.056874,0.072654,0.103925,0.166201", \ - "0.056320,0.061905,0.068289,0.079582,0.098985,0.132519,0.195060", \ - "0.068833,0.077377,0.086951,0.103151,0.129321,0.170824,0.239415", \ - "0.074941,0.087050,0.100524,0.123365,0.159084,0.212410,0.293785", \ - "0.073922,0.089826,0.107479,0.137629,0.184679,0.253417,0.352265"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.038871,0.044473,0.051605,0.065717,0.093641,0.149061,0.259401", \ - "0.043200,0.048874,0.056091,0.070315,0.098369,0.153896,0.264312", \ - "0.061549,0.067167,0.074313,0.088448,0.116473,0.172060,0.282595", \ - "0.093838,0.101280,0.110108,0.125671,0.153178,0.208172,0.318326", \ - "0.129417,0.139632,0.151635,0.173090,0.208956,0.266427,0.375320", \ - "0.167853,0.180908,0.196326,0.223842,0.270039,0.343406,0.457159", \ - "0.209391,0.225298,0.244141,0.277758,0.334570,0.425153,0.562813"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.009898,0.012514,0.015911,0.022704,0.036277,0.063413,0.117661", \ - "0.009892,0.012513,0.015915,0.022707,0.036278,0.063414,0.117667", \ - "0.012087,0.014437,0.017346,0.023337,0.036287,0.063415,0.117664", \ - "0.019059,0.021452,0.024462,0.030282,0.041802,0.065170,0.117654", \ - "0.030906,0.033735,0.037051,0.043033,0.054178,0.076407,0.122057", \ - "0.047122,0.050563,0.054588,0.061417,0.073058,0.094650,0.138371", \ - "0.067041,0.071222,0.076193,0.084447,0.097815,0.120098,0.162558"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.024968,0.030129,0.036794,0.050112,0.076705,0.129773,0.235700", \ - "0.024967,0.030124,0.036786,0.050114,0.076718,0.129778,0.235700", \ - "0.025365,0.030124,0.036760,0.050102,0.076697,0.129761,0.235674", \ - "0.037589,0.041564,0.046088,0.055398,0.077792,0.129759,0.235686", \ - "0.054402,0.059729,0.066017,0.076875,0.095020,0.134886,0.235610", \ - "0.073387,0.080524,0.088818,0.102761,0.125515,0.161654,0.242174", \ - "0.094421,0.103459,0.113903,0.131574,0.159670,0.203158,0.272990"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.018452,0.020836,0.023893,0.029978,0.042106,0.066322,0.114719", \ - "0.023713,0.026145,0.029247,0.035384,0.047563,0.071815,0.120237", \ - "0.038741,0.041927,0.045704,0.052563,0.064950,0.089322,0.137825", \ - "0.055189,0.060469,0.066444,0.076809,0.093890,0.121848,0.170860", \ - "0.067551,0.075770,0.085026,0.100572,0.125381,0.162954,0.220954", \ - "0.073218,0.084977,0.098060,0.120209,0.154861,0.205719,0.279178", \ - "0.071677,0.087097,0.104312,0.133605,0.179517,0.246307,0.339825"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.042452,0.048024,0.055130,0.069205,0.097084,0.152437,0.262708", \ - "0.046795,0.052431,0.059623,0.073819,0.101847,0.157347,0.267710", \ - "0.065061,0.070658,0.077777,0.091886,0.119876,0.175439,0.285942", \ - "0.098633,0.105691,0.114135,0.129139,0.156537,0.211482,0.321591", \ - "0.136033,0.145733,0.157197,0.177941,0.212926,0.269761,0.378619", \ - "0.176338,0.188763,0.203539,0.230139,0.275225,0.347465,0.460494", \ - "0.219818,0.234982,0.253091,0.285589,0.341103,0.430234,0.566605"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.008080,0.010101,0.012718,0.017940,0.028356,0.049164,0.090760", \ - "0.008077,0.010101,0.012719,0.017939,0.028356,0.049165,0.090764", \ - "0.010917,0.012640,0.014820,0.019052,0.028506,0.049170,0.090759", \ - "0.019050,0.021005,0.023379,0.027778,0.036072,0.052397,0.090791", \ - "0.031694,0.034158,0.037046,0.042131,0.050866,0.066965,0.098606", \ - "0.048798,0.051756,0.055299,0.061391,0.071462,0.088476,0.119835", \ - "0.069816,0.073333,0.077631,0.085053,0.097125,0.116335,0.148745"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.026564,0.031774,0.038490,0.051888,0.078577,0.131701,0.237663", \ - "0.026574,0.031771,0.038489,0.051889,0.078580,0.131723,0.237653", \ - "0.026703,0.031744,0.038485,0.051878,0.078563,0.131705,0.237678", \ - "0.038161,0.042063,0.046541,0.056333,0.079415,0.131704,0.237650", \ - "0.055193,0.060408,0.066635,0.077397,0.095620,0.136255,0.237650", \ - "0.074534,0.081478,0.089570,0.103417,0.126085,0.162275,0.243745", \ - "0.095956,0.104775,0.114969,0.132426,0.160322,0.203700,0.273860"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.0168856,0.0199575,0.0238932,0.0317141,0.0472900,0.0783792,0.140503", \ - "0.0214566,0.0246003,0.0285893,0.0364841,0.0521344,0.0832791,0.145436", \ - "0.0350947,0.0395828,0.0446793,0.0533876,0.0689575,0.100085,0.162238", \ - "0.0462199,0.0536067,0.0619518,0.0760510,0.0984736,0.132731,0.194498", \ - "0.0514079,0.0620565,0.0740707,0.0944918,0.126678,0.175018,0.245813", \ - "0.0493600,0.0634956,0.0793990,0.106574,0.149626,0.213940,0.306776", \ - "0.0390644,0.0567914,0.0768324,0.111068,0.165615,0.247275,0.364505"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.0626960,0.0755203,0.0918080,0.123905,0.187549,0.314155,0.566813", \ - "0.0634634,0.0765641,0.0932282,0.125929,0.190296,0.317566,0.570688", \ - "0.0772995,0.0898900,0.106097,0.138442,0.202854,0.330732,0.584605", \ - "0.109464,0.123304,0.139020,0.170130,0.233110,0.359932,0.613554", \ - "0.146417,0.164493,0.185535,0.222474,0.285252,0.409790,0.661546", \ - "0.187655,0.209836,0.235753,0.281493,0.357833,0.483555,0.732344", \ - "0.233934,0.260039,0.290683,0.344915,0.436102,0.582151,0.829004"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.00988619,0.0125162,0.0159132,0.0227069,0.0362761,0.0634075,0.117659", \ - "0.00986847,0.0125123,0.0159167,0.0227048,0.0362774,0.0634103,0.117667", \ - "0.0154355,0.0173295,0.0196245,0.0243492,0.0363225,0.0634100,0.117662", \ - "0.0278018,0.0304250,0.0334330,0.0387767,0.0480268,0.0668147,0.117653", \ - "0.0443874,0.0480208,0.0522429,0.0592022,0.0707313,0.0895877,0.125754", \ - "0.0648312,0.0696931,0.0753690,0.0844652,0.0989855,0.121809,0.158172", \ - "0.0891379,0.0953978,0.102576,0.114285,0.132394,0.160062,0.202325"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.0435020,0.0546196,0.0690039,0.0977610,0.155258,0.270113,0.499629", \ - "0.0434995,0.0546183,0.0690064,0.0977495,0.155254,0.270116,0.499633", \ - "0.0432858,0.0545709,0.0689882,0.0977527,0.155234,0.270134,0.499627", \ - "0.0538604,0.0611407,0.0722411,0.0977970,0.155214,0.270137,0.499610", \ - "0.0725915,0.0818536,0.0929695,0.112346,0.158296,0.270082,0.499621", \ - "0.0936538,0.104829,0.118194,0.141562,0.181689,0.274160,0.499652", \ - "0.117409,0.130531,0.146244,0.173785,0.220295,0.300729,0.500426"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.0204174,0.0238558,0.0281548,0.0364884,0.0526493,0.0842874,0.146853", \ - "0.0247785,0.0282045,0.0324957,0.0408236,0.0569916,0.0886322,0.151200", \ - "0.0406476,0.0446596,0.0493132,0.0575478,0.0735790,0.105149,0.167665", \ - "0.0571235,0.0634731,0.0708304,0.0835990,0.104572,0.137768,0.199896", \ - "0.0688489,0.0778550,0.0881854,0.106434,0.136174,0.182215,0.251209", \ - "0.0740186,0.0859004,0.0994754,0.123651,0.163183,0.224034,0.314061", \ - "0.0716732,0.0865090,0.103656,0.133847,0.183774,0.260806,0.374108"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.0770205,0.0896096,0.105710,0.137620,0.201089,0.327616,0.580208", \ - "0.0806160,0.0934547,0.109838,0.142204,0.206188,0.333179,0.586097", \ - "0.0972742,0.109934,0.126179,0.158546,0.222839,0.350440,0.604015", \ - "0.127111,0.139935,0.155855,0.187849,0.251767,0.379247,0.633270", \ - "0.161596,0.177489,0.196547,0.231888,0.296323,0.423360,0.677081", \ - "0.200116,0.219355,0.242232,0.283578,0.356849,0.486351,0.739569", \ - "0.244055,0.266957,0.293781,0.341800,0.425209,0.568297,0.822886"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.0140946,0.0166978,0.0200519,0.0267350,0.0401497,0.0671450,0.121343", \ - "0.0138868,0.0165508,0.0199447,0.0266783,0.0401343,0.0671412,0.121328", \ - "0.0176921,0.0195248,0.0218408,0.0272815,0.0400813,0.0671473,0.121324", \ - "0.0298149,0.0323292,0.0352484,0.0404960,0.0496714,0.0696214,0.121347", \ - "0.0456912,0.0493264,0.0535137,0.0604782,0.0720423,0.0909539,0.128291", \ - "0.0649740,0.0699434,0.0757769,0.0850091,0.0998244,0.122880,0.159417", \ - "0.0873509,0.0938694,0.101408,0.113594,0.132362,0.160652,0.203328"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.0434922,0.0546255,0.0690196,0.0977856,0.155256,0.270132,0.499631", \ - "0.0434998,0.0546138,0.0689966,0.0977798,0.155251,0.270150,0.499621", \ - "0.0434910,0.0546174,0.0689960,0.0977681,0.155246,0.270129,0.499630", \ - "0.0469982,0.0566662,0.0697681,0.0977746,0.155216,0.270136,0.499680", \ - "0.0592020,0.0690169,0.0814576,0.105034,0.156700,0.270081,0.499706", \ - "0.0749461,0.0851898,0.0982282,0.123075,0.170457,0.272720,0.499653", \ - "0.0947116,0.105435,0.119118,0.145009,0.194440,0.289015,0.500639"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("1.000262,1.034520,1.064657,1.091361,1.138689,1.186907,1.236499", \ - "0.934960,0.979398,1.007663,1.065107,1.122132,1.179180,1.230141", \ - "0.854217,0.895685,0.923278,0.993938,1.067370,1.141239,1.203914", \ - "0.926665,0.936527,0.943014,0.941045,1.003958,1.090658,1.173695", \ - "1.076692,1.075089,1.073025,1.067510,1.059540,1.073593,1.152348", \ - "1.329625,1.307386,1.286705,1.260682,1.238175,1.192801,1.175784", \ - "1.737353,1.705670,1.664443,1.613727,1.531763,1.434218,1.328474"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("6.482425,6.489194,6.503634,6.530931,6.594477,6.625254,6.703239", \ - "6.349632,6.381777,6.414442,6.458222,6.457348,6.540814,6.627480", \ - "6.261728,6.295355,6.300650,6.343436,6.430549,6.533295,6.514130", \ - "6.394051,6.401300,6.401809,6.425960,6.424622,6.504142,6.601298", \ - "6.692421,6.720525,6.736134,6.709961,6.648995,6.658973,6.581927", \ - "7.081544,7.090200,7.081879,7.124298,7.149773,6.957146,6.933654", \ - "7.767328,7.762333,7.736334,7.696030,7.667294,7.572540,7.381448"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.415399,0.416581,0.418188,0.425867,0.432125,0.431151,0.429231", \ - "0.331630,0.348806,0.365591,0.383263,0.401760,0.419996,0.426740", \ - "0.199051,0.222952,0.256897,0.294896,0.334617,0.374921,0.402660", \ - "0.265854,0.260646,0.258811,0.249185,0.267206,0.320036,0.367079", \ - "0.405280,0.391794,0.374605,0.356770,0.327402,0.299563,0.345337", \ - "0.673049,0.640960,0.608752,0.553019,0.493539,0.425891,0.367282", \ - "1.123207,1.070741,1.013686,0.919410,0.806916,0.667982,0.524952"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("5.498551,5.538248,5.538460,5.584734,5.547428,5.598776,5.771437", \ - "5.381949,5.410206,5.449887,5.469123,5.578667,5.634557,5.694131", \ - "5.296798,5.327103,5.353598,5.412724,5.432413,5.501629,5.576261", \ - "5.444120,5.451375,5.462873,5.465615,5.423504,5.482347,5.537094", \ - "5.578413,5.624941,5.690194,5.768764,5.750356,5.626409,5.647056", \ - "6.013714,6.029553,6.056945,6.114070,6.151093,6.050090,5.869790", \ - "6.701662,6.711235,6.716141,6.687071,6.679258,6.664233,6.315990"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.415246,0.414521,0.417443,0.426979,0.432666,0.431728,0.433699", \ - "0.335076,0.347256,0.368397,0.383739,0.405460,0.417277,0.422990", \ - "0.201655,0.227021,0.254663,0.298455,0.335484,0.377752,0.401636", \ - "0.267840,0.275055,0.265768,0.266033,0.267719,0.321522,0.368519", \ - "0.404203,0.392906,0.378529,0.366063,0.345900,0.310837,0.348265", \ - "0.684599,0.651527,0.612351,0.568731,0.511479,0.449416,0.372683", \ - "1.145327,1.088329,1.033740,0.946134,0.828316,0.690787,0.558759"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("5.972821,6.010330,6.010646,6.052463,6.020613,6.112974,6.239257", \ - "5.860134,5.883150,5.923172,5.943515,6.052714,6.111491,6.170242", \ - "5.748101,5.795684,5.806401,5.888613,5.898645,5.970110,6.048142", \ - "5.909215,5.913186,5.919256,5.922170,5.904792,5.934811,6.001970", \ - "6.064726,6.100917,6.163154,6.199353,6.215870,6.096068,6.114672", \ - "6.483946,6.505178,6.533417,6.578843,6.647222,6.531166,6.344240", \ - "7.169304,7.175732,7.186517,7.158039,7.183926,7.138690,6.798277"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.450328,0.456368,0.452923,0.465332,0.468495,0.468574,0.473855", \ - "0.429404,0.440711,0.460037,0.477879,0.502586,0.518661,0.529024", \ - "0.379476,0.394182,0.416171,0.449628,0.484042,0.524869,0.547156", \ - "0.539613,0.517970,0.497985,0.475732,0.471381,0.512585,0.539393", \ - "0.806851,0.767960,0.728023,0.685349,0.614830,0.552926,0.565959", \ - "1.240369,1.190728,1.129254,1.041348,0.916009,0.773440,0.663871", \ - "1.841831,1.780596,1.699300,1.575906,1.384717,1.152716,0.911549"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("3.883823,3.911371,3.923751,3.943121,3.948634,3.926073,3.930979", \ - "3.724881,3.765785,3.810572,3.833581,3.908825,3.911570,3.933266", \ - "3.629120,3.652096,3.672619,3.707850,3.741431,3.832688,3.885401", \ - "3.769114,3.759991,3.753491,3.712573,3.713690,3.777190,3.827421", \ - "4.063822,4.060064,4.027739,3.977129,3.895421,3.840286,3.842346", \ - "4.352478,4.374402,4.405238,4.389059,4.263800,4.135751,4.007963", \ - "4.944430,4.936241,4.926344,4.920887,4.868277,4.593567,4.325529"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.978942,1.004206,1.036708,1.060374,1.108554,1.148199,1.197786", \ - "0.909254,0.939206,0.961390,0.999980,1.047677,1.090869,1.129213", \ - "0.835524,0.855103,0.892475,0.930294,0.988670,1.037676,1.086175", \ - "0.998900,0.986435,0.967502,0.940770,0.983687,1.028389,1.073622", \ - "1.279079,1.259546,1.235187,1.184900,1.129436,1.092908,1.116580", \ - "1.712867,1.674914,1.636146,1.559491,1.460872,1.325626,1.227212", \ - "2.306910,2.260454,2.199687,2.100527,1.946008,1.732665,1.491609"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("5.053001,5.063036,5.066280,5.095770,5.096575,5.072571,5.075976", \ - "4.937693,4.968411,4.991782,5.040565,5.051737,5.108594,5.125005", \ - "4.809235,4.832777,4.856299,4.891968,4.914631,5.011003,5.035880", \ - "4.780524,4.786584,4.796366,4.788629,4.830466,4.906985,4.963658", \ - "4.841649,4.844825,4.836201,4.794736,4.797131,4.850256,4.892556", \ - "4.769506,4.828434,4.905158,4.984030,4.931618,4.880739,4.885558", \ - "5.037733,5.049731,5.066899,5.117200,5.216194,5.068498,5.007989"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI21_X4 - Cell Description : Combinational cell (OAI21_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI21_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 55.974542; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 17.070835; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 34.865084; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 34.865083; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 32.267216; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 118.910550; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 63.475675; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 68.941215; - } - leakage_power () { - when : "A & B1 & B2"; - value : 77.400680; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.014408; - fall_capacitance : 5.386194; - rise_capacitance : 6.014408; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.173190; - fall_capacitance : 5.366120; - rise_capacitance : 6.173190; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.355957; - fall_capacitance : 6.183483; - rise_capacitance : 6.355957; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 104.065000; - function : "!(A & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.025044,0.028982,0.033280,0.041625,0.057843,0.089613,0.152424", \ - "0.030236,0.034210,0.038541,0.046933,0.063201,0.095011,0.157851", \ - "0.045487,0.049722,0.054197,0.062535,0.078828,0.110724,0.173640", \ - "0.065165,0.070894,0.076741,0.087388,0.106210,0.139370,0.202479", \ - "0.083656,0.091920,0.100136,0.114584,0.138902,0.179067,0.246916", \ - "0.097116,0.108548,0.119953,0.139795,0.172207,0.222723,0.302382", \ - "0.104111,0.118990,0.133863,0.159949,0.202275,0.266626,0.362404"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.039502,0.045901,0.053031,0.067150,0.095089,0.150544,0.260949", \ - "0.043828,0.050316,0.057531,0.071753,0.099813,0.155374,0.265858", \ - "0.062152,0.068601,0.075742,0.089889,0.117930,0.173554,0.284150", \ - "0.094687,0.103144,0.111791,0.127109,0.154596,0.209643,0.319877", \ - "0.130621,0.142157,0.154020,0.175128,0.210631,0.267820,0.376876", \ - "0.169445,0.184211,0.199376,0.226562,0.272284,0.345172,0.458689", \ - "0.211458,0.229403,0.247979,0.281240,0.337476,0.427417,0.564554"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.013373,0.016442,0.019873,0.026688,0.040263,0.067412,0.121797", \ - "0.013349,0.016434,0.019874,0.026690,0.040262,0.067410,0.121796", \ - "0.014706,0.017319,0.020354,0.026717,0.040169,0.067395,0.121795", \ - "0.020729,0.023515,0.026619,0.032645,0.044398,0.068480,0.121779", \ - "0.031459,0.034592,0.037930,0.044116,0.055819,0.078720,0.125343", \ - "0.046272,0.050258,0.054303,0.061328,0.073497,0.096045,0.140787", \ - "0.064665,0.069678,0.074787,0.083337,0.097221,0.120463,0.164234"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.027713,0.033937,0.040972,0.055020,0.082987,0.138471,0.247526", \ - "0.027711,0.033931,0.040975,0.055029,0.082995,0.138475,0.247524", \ - "0.028053,0.033867,0.040950,0.055013,0.083000,0.138462,0.247526", \ - "0.041149,0.045477,0.049857,0.059993,0.083891,0.138473,0.247527", \ - "0.060716,0.066440,0.072340,0.082872,0.100949,0.143352,0.247502", \ - "0.084448,0.091618,0.099125,0.112214,0.134193,0.170053,0.253912", \ - "0.112439,0.120970,0.130015,0.145885,0.172316,0.214424,0.284533"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.021190,0.024705,0.028641,0.036477,0.052092,0.083276,0.145601", \ - "0.026271,0.029861,0.033854,0.041758,0.057439,0.088670,0.151024", \ - "0.040068,0.044341,0.048848,0.057179,0.073003,0.104359,0.166802", \ - "0.055825,0.062260,0.068646,0.079924,0.099339,0.132902,0.195611", \ - "0.067977,0.077840,0.087386,0.103569,0.129642,0.171170,0.239867", \ - "0.073532,0.087506,0.101067,0.123837,0.159476,0.212730,0.294143", \ - "0.071955,0.090312,0.108106,0.138200,0.185146,0.253777,0.352591"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.038365,0.044780,0.051919,0.066035,0.093962,0.149369,0.259681", \ - "0.042678,0.049189,0.056409,0.070631,0.098683,0.154201,0.264572", \ - "0.061039,0.067490,0.074629,0.088771,0.116797,0.172377,0.282862", \ - "0.093091,0.101691,0.110450,0.125957,0.153486,0.208476,0.318608", \ - "0.128342,0.140064,0.152119,0.173467,0.209212,0.266639,0.375617", \ - "0.166436,0.181447,0.196827,0.224334,0.270438,0.343724,0.457426", \ - "0.207651,0.225923,0.244723,0.278370,0.335075,0.425538,0.563097"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.009573,0.012593,0.016002,0.022817,0.036432,0.063651,0.118070", \ - "0.009576,0.012596,0.016002,0.022816,0.036433,0.063648,0.118072", \ - "0.011780,0.014489,0.017408,0.023435,0.036441,0.063651,0.118075", \ - "0.018715,0.021459,0.024466,0.030322,0.041890,0.065380,0.118073", \ - "0.030509,0.033731,0.037034,0.043009,0.054211,0.076544,0.122419", \ - "0.046623,0.050570,0.054553,0.061381,0.073036,0.094717,0.138647", \ - "0.066451,0.071263,0.076147,0.084393,0.097762,0.120096,0.162706"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.024367,0.030258,0.036931,0.050267,0.076879,0.129935,0.235853", \ - "0.024360,0.030255,0.036934,0.050256,0.076865,0.129936,0.235831", \ - "0.024815,0.030235,0.036915,0.050262,0.076856,0.129931,0.235827", \ - "0.037067,0.041543,0.046102,0.055445,0.077843,0.129937,0.235841", \ - "0.053648,0.059737,0.065961,0.076833,0.094992,0.134957,0.235819", \ - "0.072431,0.080577,0.088754,0.102687,0.125492,0.161698,0.242338", \ - "0.093195,0.103609,0.113953,0.131460,0.159604,0.203138,0.273106"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.018350,0.021092,0.024161,0.030268,0.042439,0.066736,0.115298", \ - "0.023598,0.026398,0.029510,0.035671,0.047891,0.072226,0.120809", \ - "0.038541,0.042200,0.045973,0.052839,0.065261,0.089709,0.138374", \ - "0.054738,0.060819,0.066798,0.077142,0.094208,0.122180,0.171340", \ - "0.066715,0.076227,0.085443,0.100993,0.125695,0.163260,0.221319", \ - "0.071876,0.085430,0.098586,0.120669,0.155245,0.206028,0.279483", \ - "0.069824,0.087570,0.104869,0.134176,0.179963,0.246679,0.340128"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.041969,0.048346,0.055456,0.069535,0.097415,0.152767,0.263001", \ - "0.046294,0.052763,0.059954,0.074151,0.102175,0.157668,0.268009", \ - "0.064562,0.071005,0.078115,0.092227,0.120207,0.175766,0.286235", \ - "0.097938,0.106099,0.114509,0.129445,0.156839,0.211806,0.321906", \ - "0.135020,0.146154,0.157701,0.178319,0.213202,0.270001,0.378906", \ - "0.175027,0.189307,0.204044,0.230645,0.275632,0.347774,0.460776", \ - "0.218207,0.235616,0.253669,0.286201,0.341571,0.430627,0.566901"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.007854,0.010181,0.012809,0.018048,0.028508,0.049402,0.091167", \ - "0.007848,0.010181,0.012810,0.018047,0.028509,0.049401,0.091169", \ - "0.010689,0.012682,0.014874,0.019136,0.028650,0.049403,0.091165", \ - "0.018769,0.021020,0.023388,0.027788,0.036137,0.052586,0.091194", \ - "0.031367,0.034175,0.037043,0.042111,0.050898,0.067062,0.098933", \ - "0.048382,0.051781,0.055271,0.061366,0.071462,0.088522,0.120037", \ - "0.069358,0.073397,0.077642,0.085018,0.097099,0.116331,0.148849"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.025971,0.031915,0.038638,0.052044,0.078747,0.131889,0.237846", \ - "0.025963,0.031912,0.038643,0.052046,0.078750,0.131890,0.237852", \ - "0.026141,0.031898,0.038636,0.052047,0.078729,0.131877,0.237840", \ - "0.037624,0.042071,0.046576,0.056403,0.079430,0.131893,0.237846", \ - "0.054441,0.060446,0.066624,0.077402,0.095599,0.136391,0.237847", \ - "0.073616,0.081568,0.089588,0.103372,0.126047,0.162296,0.243916", \ - "0.094789,0.104938,0.115044,0.132326,0.160266,0.203695,0.273978"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.0165228,0.0200545,0.0240022,0.0318482,0.0474693,0.0786464,0.140943", \ - "0.0210811,0.0246962,0.0287014,0.0366197,0.0523137,0.0835458,0.145875", \ - "0.0345150,0.0397226,0.0448125,0.0535196,0.0691293,0.100343,0.162677", \ - "0.0452440,0.0538349,0.0621727,0.0762661,0.0986892,0.132983,0.194928", \ - "0.0499767,0.0623094,0.0743896,0.0947562,0.126972,0.175360,0.246234", \ - "0.0474448,0.0637723,0.0797659,0.106960,0.150003,0.214372,0.307319", \ - "0.0366605,0.0571260,0.0771976,0.111532,0.166096,0.247797,0.365174"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.0609413,0.0756164,0.0918550,0.123917,0.187444,0.313920,0.566339", \ - "0.0616699,0.0766726,0.0932899,0.125956,0.190229,0.317342,0.570209", \ - "0.0756224,0.0900442,0.106199,0.138500,0.202824,0.330537,0.584165", \ - "0.107452,0.123440,0.139107,0.170180,0.233103,0.359756,0.613110", \ - "0.143813,0.164610,0.185689,0.222488,0.285213,0.409631,0.661191", \ - "0.184452,0.209979,0.235947,0.281616,0.357858,0.483485,0.731954", \ - "0.230163,0.260201,0.290794,0.345040,0.436117,0.581982,0.828548"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.00958073,0.0125950,0.0160068,0.0228206,0.0364349,0.0636476,0.118069", \ - "0.00955570,0.0125920,0.0160045,0.0228157,0.0364343,0.0636502,0.118074", \ - "0.0151804,0.0173638,0.0196785,0.0244319,0.0364605,0.0636480,0.118071", \ - "0.0274592,0.0304465,0.0334624,0.0388302,0.0480952,0.0670106,0.118067", \ - "0.0438778,0.0480933,0.0522308,0.0592208,0.0707941,0.0897036,0.126079", \ - "0.0641869,0.0698169,0.0753686,0.0844464,0.0990527,0.121923,0.158396", \ - "0.0882871,0.0955266,0.102693,0.114249,0.132440,0.160179,0.202559"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.0419908,0.0546405,0.0690023,0.0977164,0.155113,0.269792,0.499003", \ - "0.0419785,0.0546400,0.0689965,0.0977322,0.155095,0.269779,0.498997", \ - "0.0417360,0.0545827,0.0689815,0.0977148,0.155089,0.269808,0.498988", \ - "0.0529251,0.0611652,0.0722253,0.0977651,0.155090,0.269778,0.498984", \ - "0.0712679,0.0818407,0.0928560,0.112265,0.158202,0.269756,0.498986", \ - "0.0920509,0.104892,0.118097,0.141425,0.181501,0.273896,0.498994", \ - "0.115547,0.130638,0.146247,0.173621,0.220121,0.300499,0.499781"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.0200449,0.0240081,0.0283208,0.0366790,0.0528915,0.0846285,0.147390", \ - "0.0244056,0.0283561,0.0326591,0.0410124,0.0572298,0.0889733,0.151742", \ - "0.0401914,0.0448448,0.0494879,0.0577333,0.0738154,0.105481,0.168200", \ - "0.0563686,0.0637831,0.0711274,0.0838914,0.104854,0.138113,0.200415", \ - "0.0677523,0.0781533,0.0886086,0.106822,0.136565,0.182636,0.251735", \ - "0.0725545,0.0862515,0.0999522,0.124125,0.163652,0.224594,0.314723", \ - "0.0698283,0.0869262,0.104093,0.134411,0.184347,0.261443,0.374902"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.0753345,0.0897299,0.105788,0.137658,0.201037,0.327416,0.579756", \ - "0.0788985,0.0935838,0.109935,0.142252,0.206149,0.332973,0.585654", \ - "0.0956069,0.110054,0.126290,0.158603,0.222806,0.350246,0.603598", \ - "0.125337,0.140020,0.155956,0.187917,0.251760,0.379081,0.632694", \ - "0.159370,0.177638,0.196570,0.231889,0.296271,0.423136,0.676556", \ - "0.197406,0.219535,0.242254,0.283499,0.356705,0.486147,0.739050", \ - "0.240785,0.267144,0.293896,0.341860,0.425085,0.567989,0.822332"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.0138204,0.0168102,0.0201702,0.0268812,0.0403434,0.0674249,0.121801", \ - "0.0136047,0.0166606,0.0200633,0.0268217,0.0403230,0.0674231,0.121805", \ - "0.0174819,0.0195730,0.0219176,0.0274066,0.0402702,0.0674283,0.121793", \ - "0.0295188,0.0323428,0.0352902,0.0405491,0.0497660,0.0698568,0.121812", \ - "0.0452483,0.0494179,0.0534911,0.0605126,0.0721143,0.0910974,0.128676", \ - "0.0643344,0.0700680,0.0757281,0.0849915,0.0998674,0.123007,0.159688", \ - "0.0865029,0.0940291,0.101517,0.113551,0.132412,0.160806,0.203619"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.0419878,0.0546263,0.0689980,0.0977255,0.155113,0.269788,0.498988", \ - "0.0419864,0.0546304,0.0689897,0.0977251,0.155105,0.269780,0.498991", \ - "0.0419669,0.0546277,0.0690029,0.0977174,0.155109,0.269785,0.498999", \ - "0.0457296,0.0567161,0.0697998,0.0977372,0.155096,0.269786,0.498984", \ - "0.0578133,0.0689990,0.0814175,0.105010,0.156617,0.269759,0.498974", \ - "0.0735364,0.0851951,0.0980839,0.122989,0.170366,0.272462,0.499012", \ - "0.0932335,0.105528,0.119026,0.144774,0.194199,0.288762,0.499983"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("1.988078,2.054389,2.114810,2.207437,2.296573,2.377497,2.472637", \ - "1.881343,1.971592,2.045193,2.121330,2.246380,2.361614,2.458906", \ - "1.680307,1.780381,1.865220,1.989228,2.129831,2.284216,2.409969", \ - "1.841166,1.872870,1.882183,1.889425,2.006249,2.175973,2.346001", \ - "2.153743,2.138120,2.143044,2.137195,2.123818,2.134369,2.297566", \ - "2.647124,2.612189,2.586573,2.539850,2.467394,2.386167,2.350051", \ - "3.471243,3.393337,3.319223,3.213731,3.051733,2.861491,2.653845"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("12.980640,13.036870,13.061750,13.056370,13.113240,13.249910,13.390810", \ - "12.756100,12.824300,12.891750,12.914850,12.927600,13.218570,13.239280", \ - "12.551820,12.624960,12.681370,12.692740,12.878370,13.073340,13.267470", \ - "12.842880,12.866460,12.879060,12.888130,12.867210,13.017400,13.194930", \ - "13.405760,13.502180,13.499660,13.440870,13.450070,13.320930,13.414940", \ - "14.205230,14.233900,14.257530,14.267160,14.345640,13.927380,13.864870", \ - "15.593000,15.573630,15.523010,15.503840,15.464180,15.161430,14.767140"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.822791,0.838174,0.837302,0.849326,0.862164,0.867158,0.867438", \ - "0.661703,0.705778,0.730621,0.773925,0.812897,0.837353,0.847594", \ - "0.377456,0.436355,0.508418,0.588670,0.678330,0.753496,0.799623", \ - "0.535515,0.526629,0.519162,0.491893,0.535516,0.647111,0.734120", \ - "0.814254,0.772097,0.741681,0.705143,0.651698,0.589553,0.684347", \ - "1.343475,1.263056,1.208771,1.120082,0.993114,0.843483,0.730137", \ - "2.253265,2.123352,2.017274,1.827918,1.607907,1.330214,1.042283"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("11.045870,11.095590,11.159560,11.170110,11.364480,11.442680,11.529240", \ - "10.811510,10.884660,10.980120,11.023120,11.170780,11.274840,11.373070", \ - "10.612110,10.722150,10.793700,10.883900,10.951390,11.010720,11.139460", \ - "10.942720,10.926520,10.944570,11.024540,11.114980,11.211230,11.333600", \ - "11.185140,11.308850,11.411590,11.607730,11.546720,11.512280,11.293940", \ - "12.050740,12.110400,12.148670,12.245690,12.448140,12.154530,12.003860", \ - "13.445930,13.442690,13.479090,13.484890,13.379830,13.386300,12.905870"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.826034,0.827366,0.843989,0.849315,0.856457,0.863560,0.862457", \ - "0.653051,0.703501,0.742058,0.772302,0.813738,0.830907,0.855089", \ - "0.394658,0.445604,0.506887,0.593964,0.681261,0.748016,0.803332", \ - "0.544932,0.540947,0.542536,0.528421,0.539444,0.641620,0.738910", \ - "0.808581,0.788905,0.753574,0.735671,0.689659,0.614245,0.689311", \ - "1.364243,1.297394,1.234009,1.127939,1.024201,0.891785,0.745221", \ - "2.297319,2.171706,2.047190,1.886594,1.641380,1.371559,1.111345"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("11.997610,12.052220,12.121010,12.198430,12.301800,12.383600,12.465350", \ - "11.725690,11.831180,11.884980,11.990290,12.120080,12.228530,12.330280", \ - "11.548170,11.621750,11.723350,11.791280,11.818830,12.020580,12.350320", \ - "11.833370,11.854500,11.919880,11.953690,12.042970,12.141450,12.266470", \ - "12.154020,12.259710,12.380590,12.517000,12.439920,12.453240,12.225010", \ - "12.980670,13.030880,13.062460,13.140990,13.388190,13.058740,12.953950", \ - "14.380000,14.400280,14.387100,14.336670,14.388270,14.292390,13.869040"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.891527,0.907186,0.912485,0.925802,0.941705,0.939134,0.951984", \ - "0.845653,0.894893,0.926746,0.958202,0.999465,1.040524,1.055137", \ - "0.757919,0.784365,0.826042,0.896977,0.976568,1.050236,1.090020", \ - "1.072547,1.038927,1.005378,0.945013,0.943562,1.024032,1.088145", \ - "1.620493,1.530705,1.471802,1.360947,1.216129,1.095576,1.126987", \ - "2.502788,2.372966,2.259285,2.073280,1.823170,1.539509,1.319710", \ - "3.700825,3.552008,3.398973,3.156409,2.763403,2.297273,1.821460"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("7.772413,7.832290,7.852030,7.848598,7.908231,7.868157,7.887236", \ - "7.452563,7.562466,7.633255,7.721155,7.829618,7.876542,7.892259", \ - "7.268526,7.316937,7.355084,7.383945,7.496922,7.684054,7.797973", \ - "7.551956,7.542009,7.519631,7.485085,7.444272,7.574092,7.683601", \ - "8.109062,8.127661,8.074325,7.930907,7.804988,7.701494,7.718247", \ - "8.703546,8.757262,8.836154,8.764221,8.521241,8.299883,8.049032", \ - "9.905743,9.881616,9.844265,9.851902,9.628584,9.212044,8.679339"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("1.961862,2.005146,2.069264,2.142558,2.228993,2.313125,2.394788", \ - "1.794459,1.871372,1.910110,1.998282,2.089570,2.179642,2.271964", \ - "1.667617,1.736429,1.787771,1.872248,1.970696,2.062855,2.171232", \ - "2.005626,1.980057,1.943946,1.886188,1.960943,2.060832,2.143786", \ - "2.575203,2.522647,2.462165,2.387203,2.240851,2.173486,2.226082", \ - "3.430754,3.348665,3.250526,3.114359,2.914265,2.637862,2.446103", \ - "4.619483,4.510454,4.400946,4.208589,3.878646,3.456283,2.978141"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("10.112710,10.133750,10.139560,10.157420,10.202950,10.159070,10.175080", \ - "9.880854,9.946826,10.024280,10.048640,10.115720,10.173810,10.144480", \ - "9.625409,9.676187,9.699126,9.755301,9.843299,10.006190,10.098230", \ - "9.572970,9.582544,9.610831,9.644604,9.675889,9.832267,9.947416", \ - "9.655346,9.698592,9.680635,9.660454,9.606177,9.715193,9.806113", \ - "9.547329,9.665957,9.838785,9.951298,9.872988,9.775960,9.791079", \ - "10.085440,10.128560,10.168270,10.242140,10.381600,10.154720,10.036540"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI22_X1 - Cell Description : Combinational cell (OAI22_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI22_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 19.215698; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 6.353210; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 29.753506; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 29.753601; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 34.832985; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 15.748532; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 14.526089; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 15.892531; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 18.008542; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 15.748532; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 15.892531; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 17.258935; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 19.375079; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 15.435603; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 18.007421; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 19.373815; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 21.490254; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.617243; - fall_capacitance : 1.409845; - rise_capacitance : 1.617243; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.544876; - fall_capacitance : 1.496746; - rise_capacitance : 1.544876; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.600245; - fall_capacitance : 1.378481; - rise_capacitance : 1.600245; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.572239; - fall_capacitance : 1.493507; - rise_capacitance : 1.572239; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 23.215160; - function : "!((A1 | A2) & (B1 | B2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.021167,0.023141,0.026996,0.034472,0.048960,0.077246,0.133013", \ - "0.025911,0.027894,0.031777,0.039294,0.053839,0.082170,0.137961", \ - "0.042633,0.044881,0.049058,0.056415,0.070725,0.098981,0.154778", \ - "0.060388,0.063905,0.070405,0.082057,0.101288,0.131744,0.187047", \ - "0.073246,0.078341,0.087441,0.104048,0.131427,0.174167,0.238415", \ - "0.079338,0.086080,0.098350,0.120100,0.156615,0.213253,0.297449", \ - "0.077440,0.085916,0.101492,0.129069,0.175128,0.246905,0.353150"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.067875,0.075276,0.089952,0.118789,0.175739,0.288955,0.514446", \ - "0.068847,0.076433,0.091391,0.120765,0.178484,0.292358,0.518309", \ - "0.082500,0.089775,0.104301,0.133298,0.191000,0.305426,0.532162", \ - "0.115505,0.123357,0.137300,0.165085,0.221397,0.334718,0.560997", \ - "0.154420,0.164618,0.183517,0.217082,0.273784,0.384800,0.609207", \ - "0.197636,0.210168,0.233589,0.274989,0.344951,0.459074,0.680547", \ - "0.245871,0.260646,0.288274,0.337490,0.420998,0.555895,0.777915"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.014256,0.015806,0.018878,0.024973,0.037055,0.061171,0.109396", \ - "0.014187,0.015763,0.018866,0.024962,0.037061,0.061164,0.109382", \ - "0.017406,0.018503,0.020560,0.025414,0.036781,0.061119,0.109363", \ - "0.029282,0.030819,0.033591,0.038448,0.046973,0.064202,0.109318", \ - "0.045264,0.047333,0.051354,0.057871,0.068667,0.086263,0.118464", \ - "0.065076,0.067881,0.073231,0.082025,0.095755,0.117302,0.151159", \ - "0.088781,0.092422,0.099201,0.110402,0.127767,0.154129,0.193908"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.057487,0.064701,0.079175,0.107616,0.163159,0.270360,0.477299", \ - "0.057452,0.064714,0.079135,0.107488,0.163236,0.270367,0.477335", \ - "0.057306,0.064656,0.079064,0.107498,0.163217,0.270336,0.477308", \ - "0.066215,0.071262,0.082692,0.107866,0.163118,0.270375,0.477316", \ - "0.091715,0.096664,0.106536,0.123812,0.167659,0.270312,0.477319", \ - "0.121850,0.127150,0.137994,0.157955,0.193618,0.277106,0.477306", \ - "0.157681,0.163190,0.174326,0.196458,0.236440,0.307496,0.480474"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.017146,0.018917,0.022434,0.029416,0.043295,0.070944,0.126129", \ - "0.021762,0.023570,0.027141,0.034196,0.048156,0.075861,0.131081", \ - "0.035800,0.038378,0.043037,0.051068,0.064989,0.092680,0.147901", \ - "0.047589,0.051831,0.059457,0.072550,0.093434,0.125383,0.180197", \ - "0.053585,0.059717,0.070609,0.089553,0.119635,0.164915,0.231276", \ - "0.052331,0.060468,0.075020,0.100117,0.140275,0.200624,0.287966", \ - "0.042834,0.053044,0.071457,0.103026,0.153885,0.230537,0.340972"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.065276,0.072690,0.087329,0.116097,0.173000,0.285971,0.510942", \ - "0.066231,0.073795,0.088766,0.118110,0.175714,0.289361,0.514836", \ - "0.079986,0.087209,0.101715,0.130657,0.188262,0.302404,0.528667", \ - "0.112540,0.120538,0.134846,0.162528,0.218681,0.331691,0.557523", \ - "0.150448,0.160870,0.179997,0.214062,0.271145,0.381863,0.605743", \ - "0.192601,0.205395,0.229093,0.271015,0.341647,0.456211,0.677102", \ - "0.239754,0.254815,0.282807,0.332622,0.416878,0.552537,0.774517"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.010496,0.012005,0.015044,0.021103,0.033196,0.057338,0.105523", \ - "0.010448,0.011981,0.015037,0.021104,0.033190,0.057330,0.105526", \ - "0.015827,0.016934,0.019015,0.023092,0.033360,0.057332,0.105521", \ - "0.028392,0.029906,0.032693,0.037565,0.046011,0.062059,0.105507", \ - "0.045157,0.047258,0.051266,0.057700,0.068301,0.085626,0.116660", \ - "0.065873,0.068675,0.073930,0.082559,0.095996,0.117154,0.150603", \ - "0.090511,0.094116,0.100734,0.111674,0.128653,0.154468,0.193693"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.045908,0.052348,0.065364,0.091069,0.142488,0.244992,0.449174", \ - "0.045902,0.052346,0.065335,0.091108,0.142453,0.244968,0.449183", \ - "0.045744,0.052272,0.065269,0.091035,0.142428,0.244944,0.449176", \ - "0.055321,0.059549,0.069254,0.091521,0.142370,0.244937,0.449183", \ - "0.074684,0.080020,0.090364,0.107846,0.147175,0.244876,0.449178", \ - "0.096136,0.102586,0.114894,0.136503,0.173092,0.251835,0.449179", \ - "0.120325,0.127901,0.142291,0.167651,0.210595,0.282348,0.452468"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.013324,0.014621,0.017194,0.022307,0.032465,0.052709,0.093103", \ - "0.018330,0.019646,0.022250,0.027407,0.037617,0.057902,0.098321", \ - "0.031604,0.033819,0.037839,0.044674,0.055698,0.075887,0.116260", \ - "0.042085,0.045882,0.052615,0.064078,0.082149,0.109349,0.150871", \ - "0.046835,0.052395,0.062278,0.079173,0.105769,0.145152,0.201754", \ - "0.044187,0.051699,0.065085,0.087943,0.124036,0.177389,0.253140", \ - "0.033040,0.042578,0.059727,0.088813,0.135177,0.203907,0.301041"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.065037,0.072432,0.087095,0.115864,0.172793,0.285730,0.510747", \ - "0.065640,0.073164,0.088091,0.117395,0.175027,0.288697,0.514224", \ - "0.079725,0.086906,0.101365,0.130148,0.187577,0.301619,0.527845", \ - "0.112509,0.120482,0.134733,0.162384,0.218406,0.331172,0.556814", \ - "0.150658,0.161062,0.180197,0.214145,0.271150,0.381745,0.605350", \ - "0.193171,0.205932,0.229594,0.271433,0.341960,0.456398,0.677178", \ - "0.240885,0.255886,0.283791,0.333469,0.417599,0.553069,0.774926"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.007632,0.008747,0.010997,0.015479,0.024431,0.042317,0.078050", \ - "0.007608,0.008737,0.010990,0.015479,0.024434,0.042313,0.078044", \ - "0.013939,0.014816,0.016446,0.019411,0.025730,0.042320,0.078038", \ - "0.025954,0.027221,0.029505,0.033464,0.040183,0.051449,0.079128", \ - "0.042204,0.044023,0.047380,0.052714,0.061360,0.075277,0.097769", \ - "0.062547,0.064975,0.069487,0.076798,0.087938,0.105186,0.131911", \ - "0.086842,0.090026,0.095823,0.105340,0.119619,0.140897,0.172752"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.045914,0.052350,0.065334,0.091079,0.142542,0.244987,0.449201", \ - "0.045910,0.052350,0.065309,0.091075,0.142451,0.244998,0.449199", \ - "0.045738,0.052270,0.065267,0.091046,0.142441,0.244986,0.449200", \ - "0.055325,0.059561,0.069280,0.091540,0.142374,0.244949,0.449207", \ - "0.074572,0.079932,0.090197,0.107789,0.147164,0.244890,0.449208", \ - "0.095821,0.102287,0.114560,0.136319,0.172946,0.251804,0.449265", \ - "0.119710,0.127308,0.141651,0.167203,0.210235,0.282131,0.452513"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.026071,0.028125,0.032122,0.039835,0.054671,0.083390,0.139601", \ - "0.030407,0.032456,0.036464,0.044183,0.059031,0.087761,0.143983", \ - "0.047543,0.049592,0.053468,0.060892,0.075609,0.104275,0.160462", \ - "0.069441,0.072595,0.078448,0.089197,0.107346,0.136892,0.192683", \ - "0.087504,0.092008,0.100037,0.115155,0.140726,0.181510,0.244146", \ - "0.099501,0.105425,0.116257,0.135967,0.169769,0.223604,0.305242", \ - "0.104175,0.111601,0.125338,0.150250,0.192763,0.260695,0.363372"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.082153,0.089452,0.103892,0.132543,0.189377,0.302499,0.527838", \ - "0.085940,0.093354,0.108087,0.137094,0.194475,0.308039,0.533775", \ - "0.102592,0.109904,0.124481,0.153500,0.211116,0.325255,0.551656", \ - "0.132614,0.139915,0.154191,0.182834,0.240079,0.354065,0.580704", \ - "0.168465,0.177524,0.194860,0.226651,0.284697,0.398260,0.624659", \ - "0.208604,0.219515,0.240107,0.277688,0.344299,0.461379,0.687332", \ - "0.254284,0.267249,0.291464,0.334960,0.410973,0.541533,0.770606"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.018174,0.019702,0.022719,0.028752,0.040794,0.064889,0.113167", \ - "0.018089,0.019622,0.022661,0.028723,0.040782,0.064890,0.113181", \ - "0.019383,0.020568,0.023084,0.028544,0.040612,0.064875,0.113171", \ - "0.031213,0.032673,0.035418,0.040176,0.048630,0.066993,0.113157", \ - "0.047006,0.049026,0.052986,0.059422,0.070115,0.087710,0.121013", \ - "0.066360,0.069101,0.074364,0.083101,0.096912,0.118553,0.152557", \ - "0.089011,0.092626,0.099384,0.110689,0.128255,0.154986,0.195102"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.057468,0.064741,0.079130,0.107550,0.163273,0.270387,0.477331", \ - "0.057470,0.064695,0.079099,0.107516,0.163228,0.270375,0.477327", \ - "0.057456,0.064713,0.079077,0.107531,0.163249,0.270388,0.477329", \ - "0.060300,0.066764,0.079940,0.107525,0.163155,0.270343,0.477331", \ - "0.076048,0.081880,0.093558,0.115599,0.165404,0.270306,0.477285", \ - "0.097195,0.102825,0.114487,0.137300,0.180966,0.274558,0.477284", \ - "0.124431,0.129744,0.140879,0.163484,0.208031,0.293289,0.479949"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.020842,0.022826,0.026698,0.034187,0.048671,0.076927,0.132658", \ - "0.025207,0.027186,0.031053,0.038540,0.053031,0.081297,0.137040", \ - "0.041283,0.043567,0.047829,0.055305,0.069625,0.097828,0.153528", \ - "0.058368,0.062007,0.068619,0.080424,0.099871,0.130517,0.185798", \ - "0.070729,0.075918,0.085149,0.102002,0.129649,0.172633,0.237131", \ - "0.076560,0.083411,0.095818,0.117781,0.154543,0.211512,0.295953", \ - "0.074887,0.083440,0.099107,0.126867,0.173117,0.245090,0.351530"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.079589,0.086862,0.101318,0.129870,0.186606,0.299459,0.524367", \ - "0.083343,0.090769,0.105476,0.134457,0.191715,0.305039,0.530303", \ - "0.100016,0.107307,0.121895,0.150844,0.208343,0.322245,0.548186", \ - "0.129980,0.137365,0.151614,0.180221,0.237325,0.351083,0.577245", \ - "0.165124,0.174297,0.191752,0.223801,0.282003,0.395287,0.621183", \ - "0.204441,0.215536,0.236383,0.274284,0.341209,0.458464,0.683885", \ - "0.249204,0.262423,0.286923,0.330882,0.407368,0.538266,0.767180"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.014763,0.016251,0.019242,0.025209,0.037157,0.061186,0.109381", \ - "0.014551,0.016087,0.019130,0.025141,0.037139,0.061176,0.109370", \ - "0.018091,0.019162,0.021194,0.025926,0.037063,0.061180,0.109379", \ - "0.030381,0.031820,0.034568,0.039334,0.047703,0.064690,0.109399", \ - "0.046510,0.048604,0.052622,0.059010,0.069663,0.087072,0.119005", \ - "0.066098,0.068933,0.074312,0.083130,0.096880,0.118286,0.151932", \ - "0.088810,0.092560,0.099499,0.110980,0.128579,0.155063,0.194791"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.045907,0.052343,0.065359,0.091057,0.142453,0.244974,0.449176", \ - "0.045901,0.052342,0.065339,0.091063,0.142454,0.244975,0.449176", \ - "0.045908,0.052343,0.065299,0.091055,0.142461,0.244972,0.449165", \ - "0.049039,0.054640,0.066276,0.091039,0.142417,0.244987,0.449178", \ - "0.061345,0.067058,0.078363,0.099368,0.144628,0.244872,0.449186", \ - "0.077187,0.083101,0.095110,0.117611,0.160158,0.249060,0.449219", \ - "0.097062,0.103258,0.115859,0.139220,0.183708,0.267841,0.451908"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.015421,0.016901,0.019781,0.025343,0.036057,0.056852,0.097736", \ - "0.020339,0.021787,0.024636,0.030160,0.040850,0.061645,0.102525", \ - "0.036082,0.038052,0.041719,0.048070,0.058784,0.079415,0.120227", \ - "0.051331,0.054549,0.060424,0.070730,0.087520,0.113545,0.154804", \ - "0.062017,0.066692,0.075031,0.090007,0.114353,0.151656,0.206630", \ - "0.066112,0.072387,0.083725,0.103638,0.136536,0.186763,0.259912", \ - "0.062509,0.070431,0.084925,0.110316,0.152274,0.216641,0.310125"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.079360,0.086633,0.101067,0.129645,0.186383,0.299257,0.524178", \ - "0.082826,0.090218,0.104879,0.133841,0.191099,0.304432,0.529719", \ - "0.099795,0.107047,0.121578,0.150387,0.207743,0.321535,0.547461", \ - "0.129904,0.137291,0.151527,0.180059,0.237015,0.350580,0.576595", \ - "0.165089,0.174250,0.191763,0.223765,0.281850,0.395000,0.620684", \ - "0.204517,0.215599,0.236388,0.274296,0.341169,0.458365,0.683743", \ - "0.249635,0.262816,0.287239,0.331134,0.407526,0.538323,0.767115"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.010775,0.011917,0.014182,0.018648,0.027510,0.045267,0.080934", \ - "0.010454,0.011647,0.013978,0.018514,0.027449,0.045253,0.080936", \ - "0.015740,0.016570,0.018116,0.021027,0.028040,0.045227,0.080939", \ - "0.027501,0.028721,0.030960,0.034833,0.041461,0.052831,0.081691", \ - "0.043062,0.044831,0.048202,0.053564,0.062334,0.076310,0.098901", \ - "0.062165,0.064636,0.069291,0.076804,0.088300,0.105904,0.132842", \ - "0.084541,0.087867,0.093953,0.104026,0.118999,0.141020,0.173427"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.045911,0.052346,0.065314,0.091070,0.142461,0.244998,0.449201", \ - "0.045901,0.052346,0.065312,0.091059,0.142507,0.244991,0.449200", \ - "0.045911,0.052348,0.065322,0.091045,0.142472,0.244985,0.449199", \ - "0.049068,0.054662,0.066287,0.091041,0.142418,0.244989,0.449199", \ - "0.061364,0.067083,0.078399,0.099435,0.144651,0.244891,0.449212", \ - "0.077167,0.083086,0.095040,0.117576,0.160178,0.249069,0.449328", \ - "0.096904,0.103113,0.115598,0.139141,0.183668,0.267843,0.451936"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.027069,0.029040,0.032890,0.040360,0.054843,0.083128,0.138900", \ - "0.032648,0.034640,0.038524,0.046042,0.060580,0.088913,0.144711", \ - "0.048551,0.050664,0.054664,0.062130,0.076679,0.105086,0.160971", \ - "0.069239,0.072065,0.077311,0.086974,0.104030,0.133909,0.189955", \ - "0.089058,0.093101,0.100498,0.113613,0.135946,0.172683,0.234294", \ - "0.103822,0.109508,0.119591,0.137775,0.167801,0.214707,0.287979", \ - "0.111205,0.118682,0.132201,0.155942,0.195540,0.255914,0.345307"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.102320,0.109702,0.124483,0.153663,0.211453,0.325034,0.551235", \ - "0.103743,0.111284,0.126247,0.155700,0.213592,0.327591,0.553994", \ - "0.116955,0.124400,0.139344,0.168780,0.226859,0.341327,0.568168", \ - "0.149601,0.156860,0.171184,0.199941,0.257334,0.371223,0.597711", \ - "0.199584,0.208050,0.224042,0.253102,0.308925,0.421375,0.646428", \ - "0.254188,0.264669,0.284554,0.320816,0.384217,0.495266,0.717933", \ - "0.313346,0.325800,0.349486,0.392837,0.468580,0.594841,0.815116"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.014308,0.015847,0.018912,0.024989,0.037064,0.061166,0.109374", \ - "0.014318,0.015859,0.018923,0.024999,0.037067,0.061161,0.109370", \ - "0.015271,0.016597,0.019334,0.025008,0.036958,0.061140,0.109373", \ - "0.021163,0.022579,0.025391,0.030833,0.041340,0.062515,0.109326", \ - "0.031780,0.033378,0.036412,0.042065,0.052634,0.073091,0.113946", \ - "0.046803,0.048800,0.052588,0.059055,0.070150,0.090438,0.130175", \ - "0.065933,0.068422,0.073041,0.081103,0.093770,0.114860,0.153885"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.077186,0.084462,0.099019,0.127901,0.184027,0.291228,0.498989", \ - "0.077135,0.084473,0.099055,0.127935,0.184013,0.291238,0.498978", \ - "0.077139,0.084455,0.099042,0.127762,0.183777,0.291201,0.498987", \ - "0.078002,0.084851,0.098813,0.127697,0.183783,0.291198,0.498990", \ - "0.095943,0.100687,0.111152,0.134352,0.184489,0.291184,0.499001", \ - "0.124397,0.130388,0.141875,0.162845,0.202302,0.293948,0.498985", \ - "0.157444,0.164199,0.177050,0.200725,0.241902,0.317918,0.500122"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.023059,0.024825,0.028333,0.035305,0.049178,0.076823,0.132014", \ - "0.028545,0.030350,0.033914,0.040955,0.054897,0.082606,0.137832", \ - "0.043201,0.045330,0.049382,0.056838,0.070927,0.098769,0.154094", \ - "0.060272,0.063423,0.069184,0.079446,0.097050,0.127418,0.183076", \ - "0.074169,0.078982,0.087555,0.102326,0.126476,0.164616,0.227086", \ - "0.081182,0.088099,0.100319,0.121271,0.154592,0.204346,0.279490", \ - "0.079694,0.088991,0.105442,0.133179,0.177579,0.242438,0.335070"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.099572,0.106987,0.121679,0.150816,0.208338,0.321942,0.547697", \ - "0.100995,0.108507,0.123389,0.152734,0.210525,0.324477,0.550454", \ - "0.114198,0.121700,0.136514,0.165888,0.223935,0.338206,0.564592", \ - "0.146934,0.154175,0.168465,0.197178,0.254380,0.368119,0.594175", \ - "0.196318,0.204907,0.221047,0.250431,0.306167,0.418324,0.642881", \ - "0.249992,0.260631,0.280727,0.317295,0.381152,0.492262,0.714397", \ - "0.308167,0.320814,0.344747,0.388445,0.464798,0.591635,0.811680"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.010498,0.012006,0.015040,0.021100,0.033191,0.057327,0.105526", \ - "0.010497,0.012007,0.015040,0.021101,0.033202,0.057333,0.105531", \ - "0.012350,0.013714,0.016312,0.021694,0.033221,0.057342,0.105530", \ - "0.018988,0.020387,0.023132,0.028430,0.038789,0.059446,0.105522", \ - "0.030674,0.032306,0.035347,0.040906,0.050989,0.070911,0.111066", \ - "0.046991,0.048977,0.052704,0.059053,0.069697,0.089149,0.128029", \ - "0.067678,0.070064,0.074516,0.082262,0.094395,0.114646,0.152436"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.062912,0.069539,0.082823,0.109269,0.161631,0.264978,0.470547", \ - "0.062906,0.069536,0.082816,0.109201,0.161578,0.265022,0.470549", \ - "0.062911,0.069542,0.082812,0.109155,0.161438,0.264969,0.470545", \ - "0.063967,0.070062,0.082645,0.109027,0.161260,0.264969,0.470546", \ - "0.080558,0.085936,0.095463,0.116081,0.162200,0.264951,0.470553", \ - "0.102322,0.108741,0.120909,0.142508,0.180295,0.267768,0.470551", \ - "0.126375,0.134053,0.148501,0.174037,0.216982,0.291901,0.471872"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.019872,0.021248,0.023983,0.029416,0.040226,0.061771,0.104773", \ - "0.025427,0.026833,0.029613,0.035101,0.045963,0.067556,0.110579", \ - "0.041171,0.042981,0.046367,0.052526,0.063609,0.085317,0.128432", \ - "0.058784,0.061762,0.067145,0.076538,0.092132,0.117626,0.161508", \ - "0.072446,0.077082,0.085416,0.099602,0.122536,0.157361,0.210752", \ - "0.079042,0.085767,0.097593,0.117954,0.150272,0.197907,0.266539", \ - "0.076986,0.085986,0.102040,0.129027,0.172315,0.235425,0.323697"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.108042,0.115415,0.130054,0.159062,0.216367,0.329839,0.555466", \ - "0.109547,0.116995,0.131785,0.161072,0.218716,0.332568,0.558457", \ - "0.122679,0.130067,0.144818,0.174100,0.231893,0.346111,0.572488", \ - "0.155250,0.162446,0.176730,0.205292,0.262416,0.375952,0.601907", \ - "0.206176,0.214399,0.229990,0.258432,0.314140,0.426181,0.650611", \ - "0.262186,0.272383,0.291732,0.327186,0.389634,0.500167,0.722185", \ - "0.322709,0.334841,0.357914,0.400369,0.475007,0.599968,0.819584"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.008582,0.009748,0.012091,0.016754,0.026050,0.044577,0.081539", \ - "0.008579,0.009748,0.012094,0.016754,0.026049,0.044569,0.081549", \ - "0.011020,0.012037,0.014004,0.017818,0.026231,0.044573,0.081541", \ - "0.018899,0.020032,0.022219,0.026261,0.033807,0.048327,0.081735", \ - "0.031481,0.032895,0.035498,0.040266,0.048281,0.062930,0.091023", \ - "0.048795,0.050472,0.053679,0.059311,0.068580,0.084179,0.112428", \ - "0.070698,0.072653,0.076410,0.083221,0.094138,0.111756,0.141215"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.067213,0.073871,0.087263,0.113806,0.166195,0.269783,0.475467", \ - "0.067201,0.073874,0.087233,0.113729,0.166015,0.269757,0.475461", \ - "0.067203,0.073877,0.087214,0.113720,0.165979,0.269782,0.475469", \ - "0.067632,0.073936,0.087180,0.113614,0.165976,0.269757,0.475465", \ - "0.083104,0.087922,0.097861,0.119267,0.166476,0.269751,0.475468", \ - "0.105318,0.111605,0.123473,0.144878,0.183103,0.271975,0.475466", \ - "0.130011,0.137515,0.151632,0.176816,0.219378,0.294839,0.476493"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.031930,0.033978,0.037969,0.045682,0.060519,0.089243,0.145477", \ - "0.037134,0.039185,0.043181,0.050898,0.065741,0.094472,0.150711", \ - "0.053048,0.055107,0.059077,0.066750,0.081598,0.110359,0.166633", \ - "0.076111,0.078739,0.083669,0.092911,0.109531,0.139169,0.195601", \ - "0.100035,0.103616,0.110240,0.122311,0.143418,0.179180,0.240096", \ - "0.120383,0.125274,0.134210,0.150481,0.178309,0.223088,0.294926", \ - "0.134211,0.140753,0.152590,0.173777,0.210047,0.267014,0.353792"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.115860,0.123237,0.138023,0.167108,0.224643,0.338460,0.564605", \ - "0.119977,0.127432,0.142254,0.171485,0.229400,0.343082,0.569343", \ - "0.137319,0.144767,0.159675,0.188965,0.246868,0.361052,0.587584", \ - "0.167498,0.174913,0.189588,0.218740,0.276549,0.390794,0.617498", \ - "0.210101,0.218271,0.234215,0.263894,0.321315,0.435625,0.662179", \ - "0.258494,0.268022,0.286419,0.320925,0.383946,0.498787,0.725248", \ - "0.313357,0.324387,0.345482,0.384819,0.455755,0.581374,0.808719"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.018033,0.019579,0.022634,0.028694,0.040765,0.064879,0.113167", \ - "0.018003,0.019554,0.022612,0.028682,0.040756,0.064878,0.113176", \ - "0.018349,0.019792,0.022702,0.028614,0.040711,0.064884,0.113172", \ - "0.023714,0.025191,0.028056,0.033605,0.044167,0.065906,0.113173", \ - "0.033570,0.035176,0.038284,0.044079,0.054964,0.075739,0.117181", \ - "0.047905,0.049873,0.053640,0.060235,0.071609,0.092483,0.132772", \ - "0.066104,0.068605,0.073236,0.081375,0.094374,0.116072,0.156019"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.077176,0.084478,0.099071,0.127950,0.183807,0.291238,0.499011", \ - "0.077138,0.084473,0.099031,0.127885,0.184019,0.291186,0.498990", \ - "0.077123,0.084462,0.099055,0.127826,0.183837,0.291238,0.499000", \ - "0.077148,0.084463,0.098997,0.127719,0.183838,0.291233,0.498986", \ - "0.086891,0.092747,0.105128,0.130946,0.183956,0.291215,0.498988", \ - "0.105474,0.111798,0.124335,0.148337,0.194626,0.293012,0.498990", \ - "0.128456,0.135030,0.147876,0.172742,0.219275,0.307926,0.500271"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.026777,0.028743,0.032586,0.040046,0.054519,0.082790,0.138544", \ - "0.031996,0.033961,0.037803,0.045263,0.059739,0.088011,0.143779", \ - "0.047414,0.049511,0.053528,0.061047,0.075560,0.103880,0.159680", \ - "0.067881,0.070710,0.075890,0.085582,0.102640,0.132592,0.188620", \ - "0.087259,0.091351,0.098823,0.112033,0.134438,0.171237,0.232903", \ - "0.101394,0.107155,0.117445,0.135792,0.166063,0.213143,0.286525", \ - "0.108016,0.115683,0.129531,0.153549,0.193526,0.254199,0.343772"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.113103,0.120484,0.135178,0.164252,0.221733,0.335274,0.561073", \ - "0.117227,0.124691,0.139451,0.168613,0.226215,0.339975,0.565798", \ - "0.134561,0.142030,0.156832,0.186121,0.243940,0.357934,0.584053", \ - "0.164765,0.172178,0.186834,0.216003,0.273586,0.387694,0.613955", \ - "0.207016,0.215258,0.231315,0.261165,0.318499,0.432441,0.658624", \ - "0.254840,0.264463,0.282926,0.317643,0.380892,0.495784,0.721709", \ - "0.309038,0.320193,0.341422,0.380992,0.452249,0.578113,0.805232"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.014461,0.016002,0.019048,0.025086,0.037116,0.061181,0.109391", \ - "0.014397,0.015943,0.018999,0.025055,0.037100,0.061178,0.109385", \ - "0.015584,0.016938,0.019675,0.025325,0.037109,0.061170,0.109372", \ - "0.021607,0.023036,0.025863,0.031249,0.041679,0.062803,0.109403", \ - "0.032432,0.034028,0.037083,0.042705,0.053176,0.073504,0.114239", \ - "0.047558,0.049564,0.053336,0.059829,0.070869,0.091023,0.130576", \ - "0.066564,0.069056,0.073706,0.081817,0.094527,0.115580,0.154440"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.062924,0.069533,0.082827,0.109216,0.161460,0.264952,0.470551", \ - "0.062913,0.069544,0.082838,0.109172,0.161566,0.264967,0.470537", \ - "0.062902,0.069538,0.082836,0.109240,0.161532,0.265020,0.470544", \ - "0.062978,0.069549,0.082801,0.109199,0.161376,0.264980,0.470542", \ - "0.072101,0.077828,0.089160,0.112486,0.161588,0.264935,0.470551", \ - "0.086208,0.092516,0.105007,0.128529,0.172428,0.266856,0.470540", \ - "0.103615,0.110411,0.123836,0.148832,0.194924,0.281635,0.471953"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.022315,0.023858,0.026876,0.032735,0.044082,0.066187,0.109684", \ - "0.027675,0.029216,0.032230,0.038082,0.049424,0.071527,0.115035", \ - "0.044485,0.046221,0.049519,0.055589,0.066934,0.089073,0.132620", \ - "0.065831,0.068446,0.073259,0.081935,0.096795,0.121706,0.165681", \ - "0.085032,0.088964,0.096194,0.108782,0.129871,0.163100,0.215434", \ - "0.098557,0.104122,0.114199,0.131924,0.161309,0.206113,0.272626", \ - "0.104412,0.111902,0.125428,0.148796,0.187830,0.246768,0.331685"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.121640,0.128969,0.143572,0.172494,0.229792,0.343247,0.568822", \ - "0.125822,0.133250,0.147950,0.177003,0.234460,0.348133,0.573871", \ - "0.143047,0.150468,0.165167,0.194350,0.251960,0.365980,0.592002", \ - "0.173196,0.180556,0.195125,0.224103,0.281600,0.395554,0.621732", \ - "0.216407,0.224425,0.240043,0.269338,0.326496,0.440237,0.666234", \ - "0.265821,0.275122,0.293059,0.327057,0.389352,0.503614,0.729348", \ - "0.321856,0.332591,0.353190,0.391684,0.461748,0.586359,0.812883"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.011610,0.012820,0.015183,0.019864,0.029119,0.047561,0.084500", \ - "0.011536,0.012744,0.015131,0.019816,0.029086,0.047551,0.084502", \ - "0.013390,0.014388,0.016333,0.020385,0.029114,0.047553,0.084499", \ - "0.020914,0.022020,0.024169,0.028176,0.035782,0.050550,0.084620", \ - "0.032851,0.034218,0.036815,0.041526,0.049678,0.064554,0.092998", \ - "0.048878,0.050633,0.053969,0.059786,0.069261,0.085248,0.113979", \ - "0.068909,0.071082,0.075188,0.082491,0.093957,0.112169,0.142308"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.067204,0.073873,0.087221,0.113711,0.166127,0.269793,0.475462", \ - "0.067212,0.073864,0.087252,0.113696,0.166036,0.269756,0.475469", \ - "0.067201,0.073869,0.087221,0.113734,0.165995,0.269789,0.475460", \ - "0.067229,0.073878,0.087252,0.113613,0.166020,0.269759,0.475459", \ - "0.075279,0.080919,0.092492,0.116369,0.166081,0.269770,0.475462", \ - "0.089633,0.095929,0.108319,0.131944,0.176056,0.271313,0.475454", \ - "0.107125,0.113881,0.127182,0.152248,0.198315,0.285436,0.476627"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.523137,0.532190,0.543909,0.560017,0.586995,0.609027,0.635469", \ - "0.527182,0.535658,0.551571,0.570261,0.605176,0.636327,0.661455", \ - "0.520136,0.529189,0.542525,0.562214,0.594931,0.632972,0.671337", \ - "0.597498,0.594176,0.594449,0.588514,0.596995,0.634919,0.670244", \ - "0.723154,0.717131,0.710425,0.692910,0.679339,0.663023,0.683557", \ - "0.919882,0.913378,0.894376,0.873439,0.828783,0.781356,0.735259", \ - "1.202810,1.191971,1.172485,1.132159,1.062133,0.974216,0.874201"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("2.369848,2.375950,2.386639,2.400495,2.396355,2.407254,2.412199", \ - "2.295397,2.305556,2.327846,2.344002,2.375944,2.399657,2.414754", \ - "2.244514,2.249183,2.261277,2.271747,2.293248,2.327030,2.387688", \ - "2.306247,2.304004,2.303805,2.297412,2.280973,2.300904,2.325784", \ - "2.464339,2.456435,2.439373,2.421284,2.373291,2.365323,2.334680", \ - "2.691180,2.695099,2.684046,2.632224,2.559602,2.487473,2.424867", \ - "2.963400,2.954528,2.952372,2.934864,2.875128,2.755703,2.622066"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.223764,0.228204,0.223786,0.231755,0.232933,0.233370,0.235926", \ - "0.214428,0.220066,0.226714,0.236311,0.248954,0.258047,0.260586", \ - "0.191404,0.193220,0.202843,0.218670,0.238741,0.260167,0.272694", \ - "0.267854,0.262689,0.253579,0.237776,0.231709,0.253267,0.270539", \ - "0.399882,0.388481,0.372818,0.349385,0.314388,0.277285,0.283813", \ - "0.614903,0.600784,0.572222,0.531040,0.471178,0.399909,0.335089", \ - "0.914793,0.896269,0.861359,0.803690,0.712582,0.598149,0.476742"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("1.903475,1.913622,1.922091,1.936299,1.924259,1.925671,1.953388", \ - "1.828193,1.841179,1.862649,1.878712,1.903511,1.917864,1.955154", \ - "1.778936,1.786228,1.793878,1.802970,1.819035,1.876554,1.896311", \ - "1.846452,1.842952,1.831325,1.824124,1.838128,1.849942,1.865916", \ - "2.004185,1.999586,1.983782,1.938637,1.900897,1.885069,1.875997", \ - "2.137622,2.150316,2.170612,2.175873,2.114739,2.037294,1.965986", \ - "2.432767,2.429291,2.428522,2.428289,2.405379,2.273052,2.165546"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.234020,0.234061,0.232085,0.230123,0.230693,0.234036,0.238423", \ - "0.221495,0.227138,0.229819,0.239678,0.250964,0.258979,0.260155", \ - "0.212928,0.214037,0.212102,0.225814,0.240404,0.256457,0.272012", \ - "0.283002,0.277833,0.270635,0.264689,0.244873,0.252157,0.270913", \ - "0.412779,0.402129,0.385557,0.369217,0.337159,0.300984,0.288998", \ - "0.629518,0.612481,0.589463,0.548140,0.489870,0.427980,0.356486", \ - "0.928243,0.911063,0.879529,0.824479,0.738177,0.626930,0.511812"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("1.897938,1.907310,1.915781,1.929797,1.919741,1.920403,1.948223", \ - "1.816196,1.827784,1.839590,1.855408,1.889507,1.904671,1.942139", \ - "1.772886,1.779230,1.779104,1.801269,1.805070,1.860247,1.879617", \ - "1.845163,1.841351,1.833818,1.818153,1.801318,1.838605,1.863255", \ - "2.010784,2.001838,1.979008,1.954881,1.900109,1.881217,1.866341", \ - "2.148317,2.156244,2.172138,2.184107,2.119385,2.039612,1.964404", \ - "2.447219,2.443843,2.444637,2.438483,2.414824,2.281213,2.171171"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.825117,0.836130,0.847596,0.878004,0.911243,0.950151,0.992086", \ - "0.784099,0.795688,0.811758,0.842668,0.876214,0.918991,0.959639", \ - "0.753537,0.763521,0.773832,0.801291,0.844929,0.894050,0.934030", \ - "0.824824,0.824483,0.819399,0.820703,0.852343,0.891713,0.932812", \ - "0.967109,0.960483,0.952865,0.943544,0.930451,0.922037,0.958996", \ - "1.166344,1.162006,1.146975,1.132132,1.100495,1.052308,1.016476", \ - "1.450521,1.442204,1.426342,1.395503,1.342435,1.261560,1.162245"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("2.948638,2.952646,2.961732,2.966176,2.970859,2.980409,2.985174", \ - "2.900103,2.906952,2.918983,2.936124,2.949244,2.967371,2.977012", \ - "2.834957,2.840085,2.852981,2.872986,2.880333,2.909732,2.931532", \ - "2.814773,2.817162,2.820788,2.826020,2.837776,2.864796,2.893667", \ - "2.842240,2.847147,2.841069,2.844988,2.824450,2.839195,2.859208", \ - "2.904190,2.919463,2.929111,2.906442,2.896390,2.888129,2.891358", \ - "3.010741,3.014235,3.024017,3.033803,3.028717,2.988326,2.954570"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.494873,0.503126,0.516278,0.529029,0.548449,0.570455,0.592874", \ - "0.452899,0.467252,0.477880,0.495701,0.512633,0.537408,0.560461", \ - "0.417072,0.425732,0.434672,0.460249,0.480331,0.513604,0.538555", \ - "0.501171,0.496954,0.488773,0.472850,0.485728,0.511149,0.533812", \ - "0.641191,0.634693,0.620283,0.601692,0.574107,0.542581,0.557228", \ - "0.852648,0.843265,0.820465,0.792314,0.742034,0.674789,0.616577", \ - "1.150039,1.136196,1.112223,1.066579,0.987116,0.886507,0.767072"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("2.487448,2.489613,2.492830,2.490756,2.498576,2.498885,2.493477", \ - "2.438099,2.443474,2.452950,2.459432,2.476485,2.485234,2.519047", \ - "2.368802,2.376940,2.387807,2.394456,2.406640,2.458626,2.473091", \ - "2.353586,2.354442,2.351685,2.362672,2.365382,2.414924,2.433605", \ - "2.382433,2.384314,2.374729,2.373765,2.380246,2.388864,2.400501", \ - "2.353780,2.373651,2.413739,2.462040,2.421778,2.407063,2.433804", \ - "2.484215,2.486798,2.497259,2.525722,2.583631,2.503422,2.465059"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.465917,0.475453,0.487811,0.504328,0.535519,0.560328,0.585534", \ - "0.429681,0.440437,0.443722,0.469479,0.503373,0.526771,0.552261", \ - "0.405035,0.410126,0.424764,0.448026,0.469627,0.500900,0.525030", \ - "0.508292,0.501115,0.497281,0.491980,0.475948,0.498535,0.523370", \ - "0.648288,0.644960,0.632397,0.616314,0.583662,0.551336,0.545988", \ - "0.862618,0.854082,0.833870,0.804387,0.759490,0.695005,0.623247", \ - "1.161745,1.148806,1.126148,1.078102,1.006617,0.907142,0.793774"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("2.477544,2.484473,2.485590,2.494897,2.493674,2.494083,2.488314", \ - "2.422149,2.431795,2.437964,2.445775,2.463920,2.473012,2.506456", \ - "2.363744,2.371160,2.378319,2.383891,2.394508,2.444026,2.457874", \ - "2.351456,2.352579,2.353299,2.362955,2.358800,2.404700,2.420295", \ - "2.381440,2.383244,2.382876,2.362001,2.376812,2.382384,2.389083", \ - "2.354032,2.373745,2.410875,2.448509,2.420383,2.403230,2.429205", \ - "2.487623,2.493948,2.503629,2.527741,2.584605,2.502712,2.461490"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.526077,0.534818,0.541786,0.566725,0.590688,0.607453,0.632091", \ - "0.529503,0.540345,0.551625,0.579390,0.604734,0.636301,0.661672", \ - "0.500135,0.511881,0.526157,0.554294,0.597432,0.634598,0.668464", \ - "0.518980,0.520590,0.528792,0.539636,0.561857,0.604503,0.656159", \ - "0.564372,0.568166,0.569386,0.582597,0.588794,0.597979,0.642532", \ - "0.662699,0.660231,0.659196,0.652607,0.655404,0.653689,0.646616", \ - "0.822246,0.816981,0.810377,0.790428,0.770530,0.748134,0.721297"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("3.413432,3.416865,3.430003,3.437310,3.453029,3.493929,3.499483", \ - "3.350449,3.361231,3.375741,3.388352,3.422421,3.457960,3.479574", \ - "3.294824,3.299952,3.311846,3.332193,3.353038,3.418889,3.459958", \ - "3.334254,3.336967,3.338371,3.340300,3.375210,3.405196,3.441355", \ - "3.467097,3.464966,3.463749,3.453403,3.432550,3.445487,3.464033", \ - "3.691197,3.688599,3.675988,3.657553,3.611992,3.599055,3.560959", \ - "3.950280,3.952004,3.966577,3.964045,3.914273,3.837348,3.762297"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.227994,0.230329,0.231773,0.231866,0.232648,0.236461,0.236362", \ - "0.219151,0.224504,0.231912,0.237428,0.252023,0.259490,0.263665", \ - "0.162194,0.172000,0.185758,0.208791,0.235773,0.256521,0.270188", \ - "0.171919,0.172015,0.179551,0.184441,0.194115,0.231232,0.257333", \ - "0.214050,0.213226,0.215912,0.216800,0.219083,0.211324,0.241057", \ - "0.315815,0.310667,0.300331,0.289541,0.277574,0.265939,0.246578", \ - "0.487383,0.477503,0.460782,0.433306,0.402132,0.362635,0.318555"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("2.914777,2.922255,2.934422,2.941868,2.971702,3.014776,3.036621", \ - "2.853917,2.860735,2.878052,2.907380,2.935606,2.959589,3.017031", \ - "2.793391,2.805127,2.818273,2.848158,2.866422,2.930671,2.997277", \ - "2.833951,2.837145,2.846573,2.848377,2.888989,2.917241,2.948316", \ - "2.969625,2.970036,2.968393,2.967036,2.947336,2.956891,3.001833", \ - "3.142943,3.163662,3.193226,3.167933,3.126504,3.110725,3.098419", \ - "3.393523,3.403543,3.417145,3.445200,3.432748,3.343519,3.269866"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.225989,0.230775,0.230518,0.229847,0.234874,0.234916,0.238525", \ - "0.218833,0.227306,0.231066,0.240440,0.250524,0.257952,0.264268", \ - "0.167019,0.176133,0.189849,0.207826,0.234340,0.256498,0.268954", \ - "0.175931,0.179548,0.185794,0.192237,0.199401,0.232291,0.256956", \ - "0.218620,0.217544,0.217898,0.219816,0.227588,0.223492,0.242374", \ - "0.320594,0.317334,0.306306,0.296499,0.287221,0.276790,0.257462", \ - "0.499457,0.491711,0.472659,0.447262,0.409803,0.373759,0.333825"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("3.154596,3.163781,3.179441,3.195882,3.228203,3.247374,3.268427", \ - "3.096860,3.108305,3.125994,3.155011,3.168678,3.226971,3.268413", \ - "3.040269,3.045744,3.064409,3.085386,3.127841,3.163467,3.231487", \ - "3.074141,3.082284,3.091505,3.101605,3.121757,3.148240,3.212613", \ - "3.203049,3.207441,3.204814,3.203868,3.210789,3.220656,3.233010", \ - "3.395213,3.413203,3.427665,3.403886,3.390691,3.369327,3.331469", \ - "3.636987,3.647270,3.665331,3.688824,3.663925,3.610759,3.538695"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.825688,0.830151,0.851818,0.868301,0.908386,0.954290,0.991201", \ - "0.789328,0.800658,0.814293,0.846920,0.876683,0.918795,0.964655", \ - "0.751595,0.763095,0.772676,0.811523,0.838377,0.886102,0.938854", \ - "0.774852,0.775681,0.782359,0.796232,0.831877,0.871639,0.919747", \ - "0.843001,0.849001,0.851499,0.857358,0.863053,0.874640,0.921335", \ - "0.944033,0.945125,0.944081,0.937816,0.941333,0.937169,0.938329", \ - "1.096118,1.096037,1.089421,1.075653,1.067861,1.047934,1.018358"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("3.973752,3.981868,3.986713,4.009465,4.020189,4.044005,4.070192", \ - "3.938388,3.943132,3.953587,3.962197,3.990565,4.011708,4.041345", \ - "3.889319,3.899020,3.913574,3.923163,3.940052,4.000429,4.033761", \ - "3.874504,3.879440,3.884167,3.898173,3.912421,3.972820,4.012415", \ - "3.890084,3.892586,3.900988,3.908711,3.928057,3.960133,3.993834", \ - "3.959843,3.960691,3.962808,3.979757,3.966002,3.977917,4.033908", \ - "4.035663,4.046702,4.066797,4.109374,4.084063,4.081381,4.089541"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.498336,0.502370,0.511268,0.527918,0.548463,0.575407,0.595317", \ - "0.459396,0.468119,0.482693,0.497124,0.516387,0.536992,0.564889", \ - "0.415081,0.424906,0.441972,0.461398,0.484010,0.510786,0.538753", \ - "0.444749,0.442784,0.441833,0.442014,0.464518,0.494084,0.525056", \ - "0.501900,0.503378,0.503459,0.499675,0.498867,0.489042,0.521456", \ - "0.599387,0.595743,0.589800,0.586518,0.573462,0.556613,0.534157", \ - "0.756577,0.750160,0.740908,0.721720,0.700695,0.665387,0.617607"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("3.478120,3.482211,3.497980,3.505692,3.534703,3.566945,3.607748", \ - "3.437887,3.448192,3.455782,3.474232,3.502711,3.523170,3.578780", \ - "3.393113,3.398886,3.411421,3.431174,3.484014,3.512006,3.540068", \ - "3.373915,3.379311,3.391436,3.417758,3.424187,3.485704,3.517455", \ - "3.387742,3.396527,3.407153,3.415346,3.443464,3.470286,3.531019", \ - "3.402211,3.428037,3.472174,3.491953,3.480062,3.522412,3.540016", \ - "3.475917,3.493111,3.521837,3.573783,3.630562,3.612714,3.607009"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.484322,0.491818,0.504727,0.515564,0.538751,0.562392,0.586014", \ - "0.443930,0.452083,0.467558,0.485839,0.504325,0.533425,0.552558", \ - "0.406704,0.414971,0.428026,0.447166,0.475556,0.500983,0.524139", \ - "0.444575,0.447122,0.447078,0.445381,0.451423,0.488608,0.518124", \ - "0.504867,0.504905,0.502817,0.506622,0.499552,0.487535,0.513677", \ - "0.604653,0.603626,0.596394,0.588581,0.580937,0.561123,0.532514", \ - "0.766684,0.762429,0.751813,0.732197,0.708382,0.671119,0.628759"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("3.720871,3.729743,3.741143,3.747597,3.767784,3.818695,3.839662", \ - "3.681685,3.691868,3.710107,3.727134,3.736934,3.792066,3.815929", \ - "3.634821,3.645197,3.660655,3.671921,3.715383,3.746007,3.808182", \ - "3.616741,3.624702,3.631732,3.653664,3.686485,3.716641,3.782711", \ - "3.629566,3.635175,3.649046,3.658588,3.674052,3.731392,3.759087", \ - "3.659405,3.681116,3.713753,3.723669,3.740397,3.752397,3.801673", \ - "3.724692,3.738195,3.770935,3.823135,3.859932,3.841713,3.835662"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI22_X2 - Cell Description : Combinational cell (OAI22_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI22_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 38.431356; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 12.706440; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 59.507050; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 59.506955; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 69.665780; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 31.497062; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 29.052140; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 31.785005; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 36.017017; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 31.497067; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 31.785100; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 34.517870; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 38.750091; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 30.871207; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 36.014823; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 38.747564; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 42.980527; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.029563; - fall_capacitance : 2.617914; - rise_capacitance : 3.029563; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.318555; - fall_capacitance : 3.218819; - rise_capacitance : 3.318555; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.030614; - fall_capacitance : 2.582107; - rise_capacitance : 3.030614; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.236538; - fall_capacitance : 3.084892; - rise_capacitance : 3.236538; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 46.272570; - function : "!((A1 | A2) & (B1 | B2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.020642,0.023581,0.027412,0.034852,0.049297,0.077538,0.133285", \ - "0.025383,0.028342,0.032197,0.039677,0.054174,0.082463,0.138241", \ - "0.042021,0.045388,0.049492,0.056791,0.071065,0.099290,0.155061", \ - "0.059389,0.064689,0.071178,0.082645,0.101737,0.132054,0.187347", \ - "0.071799,0.079376,0.088501,0.104903,0.132058,0.174606,0.238764", \ - "0.077396,0.087433,0.099502,0.121254,0.157427,0.213852,0.297902", \ - "0.074980,0.087600,0.102956,0.130276,0.176056,0.247580,0.353640"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.065668,0.076644,0.091177,0.119741,0.176462,0.289308,0.514383", \ - "0.066605,0.077825,0.092669,0.121810,0.179228,0.292722,0.518273", \ - "0.080376,0.091177,0.105618,0.134417,0.191822,0.305829,0.532140", \ - "0.113103,0.124715,0.138632,0.166252,0.222287,0.335170,0.561083", \ - "0.151282,0.166470,0.185088,0.218367,0.274700,0.385367,0.609351", \ - "0.193759,0.212419,0.235465,0.276481,0.345920,0.459618,0.680578", \ - "0.241336,0.263291,0.290569,0.339184,0.422086,0.556367,0.777863"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.013830,0.016132,0.019191,0.025251,0.037297,0.061364,0.109562", \ - "0.013757,0.016092,0.019171,0.025244,0.037300,0.061370,0.109563", \ - "0.017093,0.018684,0.020774,0.025649,0.037026,0.061329,0.109564", \ - "0.028841,0.031059,0.033758,0.038594,0.047086,0.064349,0.109517", \ - "0.044640,0.047761,0.051563,0.058004,0.068752,0.086325,0.118610", \ - "0.064231,0.068467,0.073677,0.082136,0.095853,0.117354,0.151215", \ - "0.087694,0.093141,0.099780,0.110784,0.127985,0.154227,0.193971"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.055253,0.065924,0.080266,0.108538,0.163989,0.270738,0.477197", \ - "0.055242,0.065970,0.080264,0.108545,0.163958,0.270747,0.477191", \ - "0.055067,0.065875,0.080220,0.108521,0.163980,0.270757,0.477200", \ - "0.064688,0.072216,0.083608,0.108804,0.163955,0.270748,0.477200", \ - "0.090080,0.097467,0.107061,0.124436,0.168418,0.270714,0.477201", \ - "0.120142,0.128102,0.138644,0.158433,0.194013,0.277509,0.477189", \ - "0.156007,0.164160,0.175343,0.197250,0.236937,0.307812,0.480354"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.016705,0.019339,0.022843,0.029806,0.043669,0.071316,0.126558", \ - "0.021306,0.024000,0.027555,0.034589,0.048523,0.076230,0.131512", \ - "0.035111,0.038959,0.043548,0.051473,0.065366,0.093052,0.148335", \ - "0.046437,0.052765,0.060307,0.073202,0.093931,0.125767,0.180647", \ - "0.051814,0.060961,0.071847,0.090497,0.120328,0.165449,0.231740", \ - "0.049953,0.062094,0.076429,0.101361,0.141219,0.201364,0.288581", \ - "0.039841,0.055067,0.073183,0.104454,0.154992,0.231376,0.341701"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.063080,0.074080,0.088577,0.117149,0.173769,0.286371,0.510970", \ - "0.063988,0.075203,0.090039,0.119197,0.176506,0.289804,0.514886", \ - "0.077871,0.088648,0.103047,0.131796,0.189104,0.302881,0.528732", \ - "0.110084,0.121976,0.136140,0.163692,0.219591,0.332237,0.557651", \ - "0.147234,0.162750,0.181683,0.215336,0.272071,0.382452,0.605934", \ - "0.188651,0.207695,0.231029,0.272600,0.342685,0.456736,0.677265", \ - "0.235098,0.257512,0.285102,0.334352,0.417984,0.553030,0.774545"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.010072,0.012328,0.015352,0.021401,0.033478,0.057604,0.105845", \ - "0.010022,0.012308,0.015349,0.021398,0.033472,0.057605,0.105851", \ - "0.015502,0.017126,0.019214,0.023297,0.033629,0.057612,0.105846", \ - "0.027917,0.030157,0.032885,0.037719,0.046138,0.062243,0.105833", \ - "0.044560,0.047684,0.051494,0.057851,0.068444,0.085758,0.116856", \ - "0.065057,0.069237,0.074427,0.082758,0.096161,0.117276,0.150730", \ - "0.089439,0.094825,0.101361,0.112105,0.128884,0.154627,0.193866"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.043980,0.053505,0.066289,0.091836,0.142953,0.245051,0.449033", \ - "0.043972,0.053513,0.066290,0.091855,0.142950,0.245090,0.449046", \ - "0.043776,0.053444,0.066259,0.091835,0.142930,0.245040,0.449025", \ - "0.054125,0.060348,0.070068,0.092242,0.142902,0.245046,0.449026", \ - "0.072997,0.080940,0.090984,0.108255,0.147646,0.245007,0.449037", \ - "0.094145,0.103738,0.115797,0.137013,0.173394,0.251999,0.449093", \ - "0.118005,0.129258,0.143399,0.168411,0.210978,0.282533,0.452365"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.013025,0.014957,0.017524,0.022626,0.032781,0.053037,0.093504", \ - "0.018019,0.019984,0.022581,0.027728,0.037928,0.058223,0.098717", \ - "0.031011,0.034346,0.038296,0.045047,0.056005,0.076211,0.116651", \ - "0.041076,0.046717,0.053382,0.064671,0.082599,0.109705,0.151264", \ - "0.045231,0.053534,0.063389,0.080043,0.106400,0.145636,0.202207", \ - "0.041989,0.053201,0.066400,0.089033,0.124880,0.178037,0.253714", \ - "0.030234,0.044462,0.061327,0.090159,0.136235,0.204704,0.301732"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.062839,0.073824,0.088330,0.116923,0.173539,0.286155,0.510781", \ - "0.063410,0.074587,0.089366,0.118480,0.175813,0.289126,0.514263", \ - "0.077634,0.088329,0.102658,0.131273,0.188407,0.302078,0.527931", \ - "0.110076,0.121926,0.136091,0.163552,0.219296,0.331722,0.556944", \ - "0.147453,0.162935,0.181819,0.215454,0.272103,0.382310,0.605555", \ - "0.189235,0.208229,0.231536,0.273048,0.343009,0.456931,0.677296", \ - "0.236253,0.258578,0.286074,0.335217,0.418706,0.553563,0.774923"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.007330,0.008995,0.011236,0.015709,0.024659,0.042557,0.078344", \ - "0.007297,0.008984,0.011231,0.015711,0.024659,0.042549,0.078345", \ - "0.013686,0.014983,0.016602,0.019536,0.025906,0.042557,0.078338", \ - "0.025552,0.027435,0.029656,0.033601,0.040300,0.051573,0.079397", \ - "0.041700,0.044379,0.047552,0.052816,0.061478,0.075383,0.097906", \ - "0.061838,0.065462,0.069874,0.076918,0.088041,0.105296,0.132029", \ - "0.085905,0.090659,0.096316,0.105652,0.119760,0.141008,0.172901"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.043983,0.053519,0.066307,0.091870,0.142966,0.245060,0.449057", \ - "0.043981,0.053509,0.066296,0.091854,0.142952,0.245067,0.449101", \ - "0.043770,0.053445,0.066257,0.091836,0.142938,0.245056,0.449073", \ - "0.054159,0.060373,0.070098,0.092264,0.142914,0.245059,0.449076", \ - "0.072879,0.080870,0.090869,0.108204,0.147653,0.245003,0.449063", \ - "0.093815,0.103454,0.115477,0.136797,0.173236,0.252006,0.449134", \ - "0.117381,0.128674,0.142784,0.167974,0.210603,0.282314,0.452351"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.025434,0.028482,0.032446,0.040105,0.054881,0.083505,0.139619", \ - "0.029771,0.032826,0.036795,0.044462,0.059242,0.087878,0.143998", \ - "0.046889,0.049977,0.053805,0.061189,0.075829,0.104415,0.160488", \ - "0.068448,0.073178,0.079087,0.089663,0.107630,0.137038,0.192751", \ - "0.086111,0.092807,0.100900,0.115866,0.141198,0.181755,0.244172", \ - "0.097658,0.106478,0.117200,0.136837,0.170395,0.223913,0.305357", \ - "0.101878,0.112923,0.126502,0.151131,0.193377,0.261032,0.363495"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.079967,0.090748,0.105067,0.133489,0.190035,0.302771,0.527782", \ - "0.083716,0.094709,0.109293,0.138133,0.195162,0.308378,0.533709", \ - "0.100397,0.111232,0.125702,0.154515,0.211803,0.325586,0.551624", \ - "0.130400,0.141213,0.155380,0.183860,0.240805,0.354402,0.580647", \ - "0.165675,0.179132,0.196070,0.227670,0.285419,0.398555,0.624549", \ - "0.205194,0.221440,0.241728,0.278785,0.344961,0.461625,0.687162", \ - "0.250269,0.269550,0.293375,0.336335,0.411854,0.541978,0.770552"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.017736,0.019983,0.022989,0.028992,0.040977,0.064997,0.113181", \ - "0.017646,0.019914,0.022935,0.028955,0.040959,0.064995,0.113189", \ - "0.019070,0.020792,0.023307,0.028764,0.040798,0.064987,0.113194", \ - "0.030775,0.032912,0.035531,0.040293,0.048708,0.067070,0.113182", \ - "0.046394,0.049398,0.053162,0.059500,0.070164,0.087702,0.120945", \ - "0.065496,0.069634,0.074711,0.083208,0.096928,0.118483,0.152485", \ - "0.087922,0.093275,0.099891,0.110952,0.128395,0.154998,0.195021"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.055247,0.065947,0.080225,0.108537,0.163958,0.270761,0.477196", \ - "0.055243,0.065920,0.080230,0.108546,0.163975,0.270771,0.477202", \ - "0.055239,0.065923,0.080234,0.108535,0.163960,0.270761,0.477199", \ - "0.058439,0.067902,0.081066,0.108521,0.163962,0.270755,0.477192", \ - "0.074206,0.082810,0.094218,0.116523,0.166136,0.270694,0.477200", \ - "0.095428,0.103770,0.115265,0.137884,0.181439,0.274934,0.477197", \ - "0.122829,0.130681,0.141767,0.164277,0.208571,0.293605,0.479853"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.020254,0.023208,0.027051,0.034499,0.048935,0.077142,0.132848", \ - "0.024630,0.027574,0.031412,0.038856,0.053297,0.081512,0.137222", \ - "0.040613,0.044042,0.048235,0.055630,0.069907,0.098055,0.153717", \ - "0.057266,0.062719,0.069336,0.080963,0.100239,0.130759,0.185998", \ - "0.069159,0.076887,0.086170,0.102798,0.130201,0.172992,0.237377", \ - "0.074491,0.084689,0.096914,0.118885,0.155328,0.211991,0.296293", \ - "0.072302,0.085037,0.100484,0.127958,0.173943,0.245638,0.351895"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.077413,0.088197,0.102504,0.130898,0.187342,0.299846,0.524377", \ - "0.081138,0.092134,0.106701,0.135508,0.192478,0.305431,0.530311", \ - "0.097842,0.108668,0.123122,0.151894,0.209102,0.322655,0.548209", \ - "0.127679,0.138665,0.152833,0.181265,0.238067,0.351448,0.577252", \ - "0.162290,0.175934,0.193045,0.224828,0.282726,0.395622,0.621134", \ - "0.200980,0.217499,0.238065,0.275429,0.341892,0.458706,0.683840", \ - "0.245090,0.264755,0.288879,0.332357,0.408326,0.538748,0.767182"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.014336,0.016554,0.019531,0.025469,0.037382,0.061360,0.109529", \ - "0.014111,0.016395,0.019416,0.025402,0.037364,0.061359,0.109522", \ - "0.017765,0.019342,0.021384,0.026129,0.037286,0.061365,0.109528", \ - "0.029929,0.032083,0.034718,0.039458,0.047794,0.064825,0.109555", \ - "0.045862,0.048997,0.052774,0.059122,0.069746,0.087115,0.119109", \ - "0.065218,0.069494,0.074753,0.083232,0.096929,0.118303,0.151959", \ - "0.087664,0.093268,0.100114,0.111324,0.128777,0.155131,0.194815"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.043972,0.053497,0.066271,0.091859,0.142948,0.245085,0.449050", \ - "0.043980,0.053499,0.066270,0.091864,0.142947,0.245068,0.449074", \ - "0.043979,0.053514,0.066296,0.091847,0.142943,0.245093,0.449047", \ - "0.047384,0.055663,0.067225,0.091878,0.142910,0.245044,0.449047", \ - "0.059616,0.068071,0.079128,0.100085,0.145203,0.244986,0.449051", \ - "0.075391,0.084176,0.095822,0.118023,0.160517,0.249280,0.449109", \ - "0.095183,0.104371,0.116602,0.139748,0.184036,0.268073,0.451781"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.014981,0.017183,0.020043,0.025575,0.036251,0.057004,0.097863", \ - "0.019914,0.022072,0.024898,0.030393,0.041044,0.061796,0.102652", \ - "0.035506,0.038469,0.042056,0.048340,0.058986,0.079574,0.120352", \ - "0.050353,0.055206,0.061038,0.071187,0.087825,0.113733,0.154940", \ - "0.060586,0.067550,0.075926,0.090702,0.114831,0.151957,0.206801", \ - "0.064194,0.073535,0.084715,0.104573,0.137163,0.187130,0.260177", \ - "0.060080,0.071883,0.086168,0.111279,0.152980,0.217112,0.310419"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.077190,0.087971,0.102279,0.130671,0.187116,0.299627,0.524180", \ - "0.080621,0.091580,0.106116,0.134887,0.191831,0.304831,0.529726", \ - "0.097628,0.108399,0.122788,0.151439,0.208505,0.321944,0.547478", \ - "0.127636,0.138574,0.152717,0.181086,0.237774,0.350956,0.576580", \ - "0.162258,0.175890,0.193041,0.224731,0.282586,0.395364,0.620671", \ - "0.201062,0.217558,0.238084,0.275442,0.341859,0.458607,0.683571", \ - "0.245536,0.265140,0.289232,0.332621,0.408478,0.538807,0.767137"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.010447,0.012152,0.014400,0.018843,0.027677,0.045399,0.081044", \ - "0.010112,0.011887,0.014194,0.018712,0.027616,0.045380,0.081038", \ - "0.015482,0.016703,0.018237,0.021147,0.028175,0.045358,0.081045", \ - "0.027133,0.028909,0.031076,0.034929,0.041537,0.052889,0.081762", \ - "0.042537,0.045167,0.048336,0.053643,0.062378,0.076327,0.098920", \ - "0.061391,0.065112,0.069658,0.076879,0.088303,0.105873,0.132845", \ - "0.083512,0.088466,0.094451,0.104240,0.119038,0.141007,0.173385"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.043981,0.053501,0.066298,0.091852,0.142956,0.245066,0.449060", \ - "0.043983,0.053499,0.066276,0.091853,0.142952,0.245105,0.449098", \ - "0.043981,0.053515,0.066295,0.091853,0.142955,0.245106,0.449072", \ - "0.047440,0.055682,0.067247,0.091885,0.142920,0.245055,0.449075", \ - "0.059631,0.068058,0.079118,0.100108,0.145226,0.244999,0.449076", \ - "0.075371,0.084162,0.095773,0.118049,0.160536,0.249304,0.449110", \ - "0.095020,0.104229,0.116443,0.139694,0.183999,0.268076,0.451825"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.026581,0.029514,0.033336,0.040767,0.055203,0.083437,0.139173", \ - "0.032153,0.035117,0.038973,0.046452,0.060940,0.089220,0.144986", \ - "0.048020,0.051149,0.055094,0.062525,0.077036,0.105401,0.161249", \ - "0.068532,0.072729,0.077913,0.087439,0.104366,0.134193,0.190221", \ - "0.087978,0.094033,0.101350,0.114326,0.136434,0.173010,0.234540", \ - "0.102281,0.110677,0.120768,0.138754,0.168503,0.215108,0.288227", \ - "0.109005,0.120203,0.133568,0.157295,0.196519,0.256514,0.345618"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.100266,0.111257,0.125875,0.154806,0.212125,0.325662,0.551449", \ - "0.101737,0.112865,0.127632,0.156787,0.214371,0.328222,0.554201", \ - "0.114947,0.126006,0.140822,0.169993,0.227809,0.342002,0.568396", \ - "0.147627,0.158366,0.172627,0.201294,0.258314,0.371986,0.598052", \ - "0.197209,0.209795,0.225605,0.254416,0.310128,0.422100,0.646821", \ - "0.251251,0.266822,0.286432,0.322329,0.385239,0.495919,0.718157", \ - "0.309838,0.328358,0.351739,0.394633,0.469879,0.595504,0.815312"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.013868,0.016158,0.019203,0.025252,0.037288,0.061346,0.109527", \ - "0.013877,0.016171,0.019216,0.025260,0.037288,0.061337,0.109520", \ - "0.014897,0.016870,0.019594,0.025259,0.037191,0.061329,0.109518", \ - "0.020723,0.022836,0.025615,0.031026,0.041522,0.062679,0.109490", \ - "0.031278,0.033622,0.036618,0.042224,0.052739,0.073197,0.114073", \ - "0.046191,0.049126,0.052807,0.059182,0.070210,0.090452,0.130234", \ - "0.065161,0.068828,0.073399,0.081201,0.093804,0.114837,0.153849"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.075046,0.085794,0.100268,0.128892,0.184637,0.291777,0.499045", \ - "0.075065,0.085815,0.100321,0.128910,0.184642,0.291783,0.499048", \ - "0.075031,0.085838,0.100319,0.128877,0.184648,0.291786,0.499049", \ - "0.076049,0.086096,0.100133,0.128795,0.184572,0.291781,0.499050", \ - "0.094632,0.101561,0.112181,0.135224,0.185416,0.291723,0.499048", \ - "0.122633,0.131469,0.142697,0.163548,0.202987,0.294454,0.499045", \ - "0.155448,0.165401,0.178104,0.201540,0.242491,0.318388,0.500177"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.022678,0.025300,0.028795,0.035746,0.049601,0.077248,0.132500", \ - "0.028145,0.030830,0.034378,0.041400,0.055325,0.083031,0.138317", \ - "0.042691,0.045860,0.049863,0.057262,0.071342,0.099177,0.154562", \ - "0.059453,0.064184,0.069840,0.079968,0.097481,0.127782,0.183488", \ - "0.072821,0.080040,0.088541,0.103149,0.127027,0.164976,0.227443", \ - "0.079188,0.089541,0.101637,0.122396,0.155341,0.204813,0.279830", \ - "0.077020,0.090854,0.107013,0.134731,0.178608,0.243069,0.335449"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.097529,0.108536,0.123126,0.152021,0.209206,0.322570,0.547949", \ - "0.098940,0.110103,0.124864,0.154014,0.211504,0.325121,0.550701", \ - "0.112162,0.123281,0.138048,0.167232,0.224881,0.338890,0.564896", \ - "0.144955,0.155689,0.169956,0.198578,0.255495,0.368877,0.594548", \ - "0.193907,0.206663,0.222602,0.251762,0.307374,0.419081,0.643326", \ - "0.247000,0.262804,0.282619,0.318817,0.382236,0.492950,0.714668", \ - "0.304607,0.323396,0.347013,0.390265,0.466068,0.592301,0.811860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.010080,0.012328,0.015352,0.021398,0.033474,0.057601,0.105854", \ - "0.010081,0.012330,0.015353,0.021401,0.033474,0.057607,0.105848", \ - "0.011960,0.013991,0.016573,0.021960,0.033496,0.057613,0.105855", \ - "0.018562,0.020627,0.023355,0.028626,0.038997,0.059692,0.105843", \ - "0.030143,0.032537,0.035576,0.041026,0.051121,0.071076,0.111350", \ - "0.046351,0.049294,0.052925,0.059171,0.069777,0.089215,0.128201", \ - "0.066922,0.070450,0.074834,0.082309,0.094434,0.114635,0.152503"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.061026,0.070756,0.083927,0.110051,0.161947,0.265233,0.470521", \ - "0.061017,0.070776,0.083918,0.110048,0.161988,0.265237,0.470515", \ - "0.061004,0.070759,0.083906,0.110031,0.161942,0.265212,0.470537", \ - "0.062230,0.071205,0.083697,0.109967,0.161916,0.265226,0.470520", \ - "0.078989,0.086847,0.096273,0.116761,0.162892,0.265176,0.470538", \ - "0.100406,0.109929,0.121755,0.143067,0.180858,0.267992,0.470532", \ - "0.124039,0.135487,0.149667,0.174776,0.217449,0.292188,0.471748"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.019578,0.021624,0.024347,0.029764,0.040554,0.062085,0.105109", \ - "0.025120,0.027213,0.029977,0.035446,0.046290,0.067864,0.110914", \ - "0.040729,0.043422,0.046765,0.052865,0.063924,0.085615,0.128753", \ - "0.057998,0.062452,0.067747,0.077026,0.092498,0.117903,0.161789", \ - "0.071168,0.078108,0.086329,0.100359,0.123060,0.157692,0.210998", \ - "0.077165,0.087125,0.098858,0.119021,0.151003,0.198373,0.266811", \ - "0.074327,0.087762,0.103535,0.130487,0.173309,0.236046,0.324056"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.106067,0.116992,0.131515,0.160281,0.217304,0.330498,0.555721", \ - "0.107506,0.118609,0.133274,0.162327,0.219700,0.333229,0.558712", \ - "0.120690,0.131685,0.146371,0.175421,0.232910,0.346818,0.572792", \ - "0.153293,0.164001,0.178192,0.206748,0.263504,0.376691,0.602276", \ - "0.203891,0.216103,0.231496,0.259787,0.315341,0.426941,0.651032", \ - "0.259348,0.274500,0.293612,0.328693,0.390712,0.500853,0.722488", \ - "0.319331,0.337350,0.360127,0.402130,0.476247,0.600642,0.819773"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.008258,0.009999,0.012328,0.016981,0.026255,0.044769,0.081761", \ - "0.008266,0.010001,0.012329,0.016979,0.026252,0.044770,0.081761", \ - "0.010730,0.012227,0.014182,0.018006,0.026424,0.044770,0.081756", \ - "0.018546,0.020231,0.022376,0.026387,0.033927,0.048475,0.081958", \ - "0.031048,0.033107,0.035728,0.040379,0.048376,0.063002,0.091171", \ - "0.048261,0.050760,0.053911,0.059424,0.068645,0.084206,0.112483", \ - "0.070121,0.072994,0.076712,0.083317,0.094171,0.111740,0.141213"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.065298,0.075128,0.088335,0.114547,0.166623,0.269997,0.475469", \ - "0.065306,0.075124,0.088326,0.114563,0.166605,0.269991,0.475510", \ - "0.065299,0.075123,0.088335,0.114547,0.166614,0.270002,0.475471", \ - "0.065843,0.075122,0.088260,0.114523,0.166591,0.270005,0.475470", \ - "0.081564,0.088811,0.098712,0.119984,0.167127,0.269952,0.475471", \ - "0.103431,0.112765,0.124385,0.145507,0.183653,0.272192,0.475503", \ - "0.127761,0.138912,0.152782,0.177538,0.219853,0.295175,0.476403"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.031341,0.034383,0.038344,0.046004,0.060774,0.089408,0.145543", \ - "0.036546,0.039592,0.043556,0.051220,0.066000,0.094639,0.150776", \ - "0.052459,0.055526,0.059445,0.067076,0.081859,0.110534,0.166718", \ - "0.075356,0.079264,0.084147,0.093304,0.109799,0.139335,0.195672", \ - "0.098989,0.104396,0.111000,0.122862,0.143827,0.179394,0.240178", \ - "0.118950,0.126295,0.135165,0.151376,0.178944,0.223419,0.295029", \ - "0.132338,0.142002,0.153744,0.174893,0.210876,0.267514,0.354007"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.113817,0.124781,0.139348,0.168203,0.225518,0.339046,0.564792", \ - "0.117955,0.128976,0.143624,0.172609,0.230012,0.343661,0.569562", \ - "0.135293,0.146329,0.161049,0.190101,0.247686,0.361639,0.587789", \ - "0.165407,0.176426,0.190968,0.219908,0.277438,0.391449,0.617708", \ - "0.207800,0.219938,0.235749,0.264976,0.322212,0.436117,0.662315", \ - "0.255821,0.269945,0.288104,0.322382,0.384878,0.499291,0.725359", \ - "0.310208,0.326605,0.347470,0.386383,0.456897,0.582034,0.808926"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.017593,0.019872,0.022903,0.028928,0.040948,0.064987,0.113186", \ - "0.017565,0.019845,0.022886,0.028920,0.040941,0.064994,0.113185", \ - "0.017945,0.020071,0.022963,0.028845,0.040894,0.064989,0.113203", \ - "0.023282,0.025434,0.028276,0.033780,0.044304,0.066004,0.113201", \ - "0.033087,0.035429,0.038465,0.044239,0.055035,0.075769,0.117184", \ - "0.047292,0.050214,0.053893,0.060349,0.071648,0.092451,0.132730", \ - "0.065351,0.069016,0.073593,0.081502,0.094391,0.116038,0.155891"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.075033,0.085829,0.100320,0.128884,0.184639,0.291787,0.499049", \ - "0.075039,0.085815,0.100315,0.128880,0.184645,0.291773,0.499057", \ - "0.075063,0.085819,0.100328,0.128877,0.184614,0.291783,0.499051", \ - "0.075088,0.085800,0.100297,0.128835,0.184615,0.291803,0.499048", \ - "0.085221,0.093847,0.106298,0.132030,0.184847,0.291772,0.499049", \ - "0.103636,0.112924,0.125322,0.149255,0.195401,0.293607,0.499057", \ - "0.126573,0.136201,0.148932,0.173709,0.219981,0.308470,0.500313"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.026263,0.029188,0.033004,0.040429,0.054860,0.083088,0.138825", \ - "0.031484,0.034407,0.038224,0.045649,0.060081,0.088313,0.144064", \ - "0.046873,0.049996,0.053962,0.061439,0.075912,0.104196,0.159983", \ - "0.067088,0.071317,0.076518,0.086063,0.103019,0.132891,0.188910", \ - "0.086090,0.092262,0.099646,0.112671,0.134892,0.171556,0.233154", \ - "0.099723,0.108304,0.118569,0.136766,0.166731,0.213531,0.286744", \ - "0.105746,0.117187,0.130822,0.154869,0.194441,0.254751,0.344043"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.111039,0.122028,0.136607,0.165458,0.222599,0.335932,0.561292", \ - "0.115184,0.126235,0.140876,0.169849,0.227127,0.340620,0.566048", \ - "0.132512,0.143585,0.158272,0.187343,0.244802,0.358565,0.584294", \ - "0.162660,0.173691,0.188213,0.217153,0.274532,0.388298,0.614197", \ - "0.204691,0.216938,0.232845,0.262256,0.319389,0.433050,0.658796", \ - "0.252129,0.266412,0.284684,0.319166,0.381864,0.496286,0.721841", \ - "0.305849,0.322430,0.343447,0.382585,0.453426,0.578760,0.805463"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.014046,0.016320,0.019352,0.025369,0.037362,0.061387,0.109575", \ - "0.013978,0.016262,0.019306,0.025332,0.037340,0.061380,0.109576", \ - "0.015208,0.017219,0.019948,0.025586,0.037356,0.061385,0.109565", \ - "0.021191,0.023301,0.026065,0.031424,0.041855,0.062990,0.109594", \ - "0.031940,0.034265,0.037261,0.042852,0.053291,0.073608,0.114402", \ - "0.046939,0.049882,0.053572,0.059939,0.070939,0.091061,0.130662", \ - "0.065765,0.069470,0.074074,0.081916,0.094565,0.115568,0.154427"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.061028,0.070753,0.083949,0.110050,0.161937,0.265212,0.470517", \ - "0.061005,0.070775,0.083944,0.110046,0.161976,0.265254,0.470524", \ - "0.061008,0.070775,0.083897,0.110051,0.161954,0.265223,0.470535", \ - "0.061089,0.070762,0.083872,0.110009,0.161956,0.265210,0.470539", \ - "0.070404,0.078879,0.090154,0.113370,0.162271,0.265253,0.470518", \ - "0.084363,0.093723,0.105911,0.129220,0.172999,0.267072,0.470537", \ - "0.101611,0.111693,0.124789,0.149527,0.195381,0.281993,0.471870"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.021898,0.024193,0.027189,0.033017,0.044323,0.066383,0.109842", \ - "0.027260,0.029551,0.032544,0.038366,0.049668,0.071726,0.115199", \ - "0.043999,0.046592,0.049848,0.055860,0.067168,0.089266,0.132774", \ - "0.065082,0.068997,0.073766,0.082336,0.097053,0.121885,0.165820", \ - "0.083904,0.089836,0.096976,0.109447,0.130299,0.163359,0.215584", \ - "0.096920,0.105233,0.115288,0.132941,0.161955,0.206484,0.272801", \ - "0.102228,0.113319,0.126624,0.150075,0.188705,0.247285,0.331946"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.119638,0.130524,0.145014,0.173745,0.230727,0.343896,0.569068", \ - "0.123824,0.134788,0.149366,0.178250,0.235420,0.348753,0.574114", \ - "0.141033,0.152021,0.166632,0.195580,0.252920,0.366633,0.592262", \ - "0.171127,0.182069,0.196525,0.225315,0.282561,0.396232,0.621990", \ - "0.214168,0.226070,0.241538,0.270451,0.327426,0.440805,0.666468", \ - "0.263203,0.277038,0.294800,0.328485,0.390339,0.504108,0.729497", \ - "0.318838,0.334772,0.355131,0.393354,0.462956,0.587030,0.813123"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.011285,0.013060,0.015420,0.020069,0.029291,0.047703,0.084604", \ - "0.011201,0.012993,0.015356,0.020027,0.029262,0.047694,0.084613", \ - "0.013103,0.014588,0.016515,0.020570,0.029288,0.047695,0.084603", \ - "0.020588,0.022217,0.024326,0.028318,0.035880,0.050661,0.084738", \ - "0.032450,0.034443,0.036979,0.041622,0.049762,0.064592,0.093056", \ - "0.048353,0.050934,0.054186,0.059851,0.069310,0.085252,0.113983", \ - "0.068230,0.071465,0.075498,0.082581,0.093983,0.112149,0.142243"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.065303,0.075123,0.088328,0.114578,0.166603,0.270033,0.475503", \ - "0.065299,0.075132,0.088331,0.114546,0.166593,0.269976,0.475503", \ - "0.065300,0.075130,0.088339,0.114551,0.166626,0.270079,0.475505", \ - "0.065336,0.075127,0.088319,0.114535,0.166617,0.270082,0.475505", \ - "0.073655,0.082048,0.093541,0.117273,0.166720,0.269964,0.475513", \ - "0.087790,0.097131,0.109284,0.132570,0.176675,0.271562,0.475507", \ - "0.105125,0.115172,0.128199,0.153005,0.198855,0.285819,0.476592"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.041373,1.069113,1.092858,1.133814,1.175612,1.226081,1.270322", \ - "1.044669,1.077308,1.108955,1.155605,1.209982,1.267999,1.324092", \ - "1.034509,1.064266,1.086503,1.137266,1.199648,1.276716,1.340357", \ - "1.189073,1.193737,1.184663,1.177264,1.196535,1.268286,1.335220", \ - "1.449519,1.436238,1.419136,1.391016,1.353636,1.319072,1.369586", \ - "1.852061,1.823835,1.789983,1.730571,1.658065,1.557942,1.474980", \ - "2.407769,2.376939,2.334271,2.254049,2.121860,1.946825,1.746718"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("4.851453,4.872207,4.885450,4.893774,4.941132,4.912285,4.933541", \ - "4.700803,4.732887,4.769853,4.820938,4.859112,4.898127,4.937707", \ - "4.603629,4.620102,4.634568,4.675500,4.735870,4.814609,4.885136", \ - "4.729342,4.727430,4.732883,4.723750,4.715736,4.702653,4.764349", \ - "5.049638,5.027047,5.002561,4.963897,4.901844,4.836214,4.785965", \ - "5.493617,5.517291,5.477653,5.371192,5.268366,5.141002,4.961869", \ - "6.051241,6.027575,6.015749,5.982115,5.841708,5.613386,5.355885"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.449067,0.451400,0.459377,0.457088,0.465707,0.473975,0.472438", \ - "0.425687,0.443372,0.461193,0.479791,0.501831,0.513034,0.527404", \ - "0.381026,0.392479,0.409619,0.443423,0.484745,0.518153,0.543534", \ - "0.535528,0.520093,0.505502,0.478004,0.469474,0.500834,0.536191", \ - "0.809116,0.774722,0.741074,0.687175,0.629761,0.554661,0.562560", \ - "1.239772,1.194426,1.142518,1.049896,0.934875,0.798234,0.671982", \ - "1.839101,1.781257,1.721556,1.605870,1.421346,1.193666,0.953291"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("3.919485,3.944950,3.955932,3.992185,3.999828,4.011726,4.019239", \ - "3.766936,3.803541,3.838126,3.871897,3.897660,3.998086,4.023471", \ - "3.682000,3.693615,3.718177,3.750713,3.792505,3.851716,3.906969", \ - "3.810531,3.803086,3.788680,3.759515,3.772482,3.800342,3.847954", \ - "4.106962,4.110486,4.079317,4.020999,3.960484,3.872252,3.869878", \ - "4.393845,4.421302,4.457855,4.439973,4.325091,4.178499,4.048424", \ - "4.988929,4.981526,4.970409,4.965856,4.898777,4.649201,4.444206"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.468315,0.462707,0.458945,0.466676,0.470614,0.470166,0.474505", \ - "0.441918,0.450889,0.466078,0.480960,0.498738,0.514350,0.527892", \ - "0.433963,0.426412,0.423756,0.445197,0.484190,0.520133,0.547454", \ - "0.570410,0.551679,0.547961,0.528525,0.491289,0.509040,0.539504", \ - "0.832058,0.804942,0.772477,0.730938,0.666836,0.602078,0.572199", \ - "1.265803,1.220055,1.170141,1.095199,0.982271,0.850597,0.711077", \ - "1.862555,1.812713,1.754062,1.643748,1.468040,1.248841,1.020363"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("3.908470,3.934351,3.947652,3.966027,3.989889,4.001767,4.008981", \ - "3.741760,3.776416,3.813174,3.854388,3.870214,3.906765,3.998183", \ - "3.661500,3.679268,3.690772,3.715645,3.763840,3.819074,3.873689", \ - "3.809165,3.799991,3.798532,3.776914,3.758438,3.777801,3.817354", \ - "4.123065,4.118768,4.071797,4.004822,3.959705,3.863946,3.851099", \ - "4.405894,4.433046,4.462203,4.490871,4.334258,4.183604,4.044924", \ - "5.017858,5.001828,5.003767,4.985754,4.953293,4.728969,4.454307"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.627496,1.663081,1.696251,1.752092,1.819592,1.899269,1.988715", \ - "1.563950,1.599890,1.637127,1.681947,1.753735,1.835221,1.918315", \ - "1.502320,1.536328,1.559063,1.620040,1.695639,1.792641,1.878148", \ - "1.643912,1.646747,1.639048,1.637249,1.699758,1.779259,1.865905", \ - "1.924676,1.917560,1.908504,1.895019,1.858369,1.837691,1.912740", \ - "2.333206,2.316014,2.296905,2.251904,2.192922,2.097718,2.031123", \ - "2.909564,2.881399,2.842552,2.777828,2.679059,2.519157,2.322470"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("6.010398,6.024285,6.030139,6.027353,6.032829,6.058506,6.078563", \ - "5.911894,5.935199,5.954170,6.006759,6.046337,6.033656,6.065311", \ - "5.783773,5.801113,5.825468,5.840526,5.910039,5.978710,5.976073", \ - "5.754497,5.754487,5.759464,5.780554,5.826324,5.871237,5.897292", \ - "5.808112,5.810960,5.805461,5.770648,5.799104,5.836352,5.829231", \ - "5.919116,5.966362,5.972883,5.916199,5.883570,5.875505,5.891043", \ - "6.144942,6.144259,6.159599,6.184803,6.150566,6.078789,6.022681"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.986331,0.996921,1.032830,1.067463,1.102878,1.139988,1.189544", \ - "0.914566,0.931949,0.955392,0.985758,1.040485,1.081802,1.125847", \ - "0.831722,0.859846,0.889572,0.931685,0.977167,1.021740,1.079825", \ - "0.999846,0.987509,0.978227,0.953737,0.965580,1.023124,1.070124", \ - "1.283176,1.262811,1.242991,1.193463,1.143789,1.088347,1.113242", \ - "1.710877,1.679892,1.640116,1.581246,1.475046,1.346769,1.231165", \ - "2.305533,2.265427,2.219504,2.123571,1.977512,1.769026,1.533073"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("5.088791,5.097527,5.109030,5.108638,5.087630,5.137553,5.099553", \ - "4.979427,5.007040,5.030033,5.046203,5.105162,5.131896,5.151770", \ - "4.852334,4.874267,4.897618,4.914042,4.967117,5.037085,5.059831", \ - "4.822921,4.827468,4.821406,4.846118,4.883553,4.928094,4.982282", \ - "4.879491,4.887244,4.872284,4.859396,4.855621,4.874850,4.913354", \ - "4.822101,4.868218,4.937237,5.016951,4.996007,4.911608,4.979894", \ - "5.077445,5.096649,5.119047,5.163989,5.257097,5.159732,5.045677"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.918761,0.942627,0.969537,1.019256,1.067472,1.124143,1.170092", \ - "0.849419,0.872336,0.914332,0.957903,1.000106,1.050321,1.106942", \ - "0.811673,0.815521,0.844214,0.888926,0.941650,1.005204,1.056034", \ - "1.017897,1.003282,0.991596,0.976903,0.947072,1.002764,1.050819", \ - "1.299507,1.285082,1.257917,1.223131,1.174382,1.097771,1.100617", \ - "1.727858,1.698866,1.669424,1.606283,1.508568,1.383975,1.244315", \ - "2.325827,2.292593,2.248014,2.155811,2.017216,1.818973,1.585942"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("5.069166,5.087313,5.101083,5.096641,5.077466,5.084809,5.088814", \ - "4.957193,4.983443,5.006118,5.030092,5.079295,5.110492,5.126579", \ - "4.842933,4.862535,4.878008,4.904266,4.943057,4.987267,5.029209", \ - "4.819285,4.827301,4.827887,4.819959,4.870671,4.907331,4.953744", \ - "4.877437,4.884473,4.871808,4.844350,4.848233,4.862636,4.891941", \ - "4.822738,4.868371,4.943762,5.000388,4.992859,4.904754,4.901149", \ - "5.093269,5.102849,5.115405,5.168632,5.259041,5.111063,5.039559"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.046691,1.074411,1.099176,1.125763,1.168313,1.223144,1.261717", \ - "1.052416,1.070842,1.103743,1.160290,1.214543,1.269074,1.321452", \ - "0.992939,1.029309,1.066476,1.120695,1.190703,1.269504,1.336643", \ - "1.027855,1.045381,1.062295,1.076496,1.136576,1.225609,1.313477", \ - "1.134935,1.135849,1.147852,1.159686,1.174682,1.192253,1.283888", \ - "1.325216,1.321295,1.314145,1.313354,1.305154,1.303578,1.290277", \ - "1.642390,1.629991,1.614474,1.583990,1.544242,1.497999,1.439382"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("6.946572,6.958823,6.981570,6.985668,7.050810,7.110437,7.112387", \ - "6.828795,6.848443,6.873014,6.918661,6.933998,7.002971,7.073836", \ - "6.709255,6.735885,6.760433,6.808453,6.860542,6.946436,7.035429", \ - "6.789695,6.802779,6.807510,6.825476,6.849026,6.922360,7.005005", \ - "7.058240,7.053387,7.048886,7.043463,7.028885,7.000543,7.049201", \ - "7.517689,7.499060,7.472339,7.434394,7.389689,7.305442,7.236561", \ - "8.021869,8.034082,8.052291,8.066768,7.927984,7.773785,7.638099"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.451331,0.455275,0.458770,0.465110,0.471398,0.468627,0.476144", \ - "0.432149,0.450959,0.464635,0.485263,0.505781,0.515423,0.525312", \ - "0.317107,0.347585,0.379199,0.422115,0.469110,0.510593,0.540337", \ - "0.342461,0.351929,0.361569,0.364730,0.396407,0.459683,0.511432", \ - "0.424281,0.426336,0.424347,0.426320,0.434861,0.421173,0.479930", \ - "0.628824,0.613065,0.596746,0.582344,0.558105,0.530211,0.491188", \ - "0.977598,0.953759,0.916520,0.872775,0.797339,0.721297,0.637042"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("5.944713,5.969622,5.991909,6.032661,6.081935,6.132813,6.188338", \ - "5.825981,5.858264,5.879429,5.930752,6.000319,6.085744,6.149275", \ - "5.715980,5.736484,5.775663,5.826344,5.897107,5.965733,6.111216", \ - "5.793487,5.809355,5.809407,5.849298,5.880638,5.942279,6.081154", \ - "6.065536,6.063663,6.060052,6.042880,6.062782,6.085554,6.125558", \ - "6.409006,6.463267,6.495793,6.464348,6.414696,6.327037,6.313504", \ - "6.908530,6.933926,6.959856,7.008878,6.999753,6.857460,6.651591"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.460712,0.457313,0.457458,0.466416,0.468315,0.467984,0.477618", \ - "0.429639,0.452879,0.464543,0.486806,0.505157,0.520931,0.529398", \ - "0.327671,0.357540,0.383876,0.426050,0.470934,0.515145,0.543234", \ - "0.349819,0.361150,0.373777,0.389511,0.393786,0.460405,0.515555", \ - "0.433499,0.433233,0.437773,0.446280,0.450801,0.449383,0.488616", \ - "0.642521,0.629567,0.613933,0.585004,0.573909,0.550593,0.512680", \ - "1.008060,0.972092,0.936097,0.883882,0.821840,0.746928,0.668501"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("6.439275,6.453226,6.474909,6.501119,6.547332,6.596809,6.717897", \ - "6.312480,6.343436,6.383873,6.421800,6.494621,6.556623,6.624105", \ - "6.200456,6.229177,6.259893,6.315233,6.353270,6.494596,6.580409", \ - "6.278911,6.292945,6.306068,6.316409,6.344088,6.466123,6.544019", \ - "6.539469,6.539469,6.545255,6.544485,6.527720,6.548494,6.587726", \ - "6.901889,6.963661,6.984842,6.928620,6.901863,6.859037,6.780754", \ - "7.398731,7.422820,7.457046,7.526438,7.485509,7.329232,7.190057"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.643344,1.667675,1.709666,1.758306,1.816459,1.895280,1.986746", \ - "1.574076,1.608371,1.633640,1.685366,1.755767,1.835252,1.927652", \ - "1.498046,1.533784,1.568640,1.622916,1.698496,1.774656,1.876804", \ - "1.548559,1.554469,1.556505,1.590480,1.659069,1.757155,1.851699", \ - "1.689525,1.696770,1.701807,1.708746,1.719476,1.735501,1.842955", \ - "1.884925,1.889803,1.885024,1.889151,1.877071,1.871536,1.869433", \ - "2.195931,2.191248,2.171741,2.159921,2.129582,2.094223,2.034550"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("8.077375,8.089654,8.111311,8.111995,8.135334,8.191769,8.254218", \ - "7.997238,8.012069,8.029935,8.051426,8.125130,8.130529,8.208189", \ - "7.908078,7.924312,7.947036,7.972225,8.030017,8.105467,8.184089", \ - "7.867989,7.887502,7.897407,7.919080,7.977893,8.057049,8.141627", \ - "7.902418,7.910909,7.927508,7.932655,7.954649,8.023719,8.101887", \ - "8.047431,8.045667,8.049240,8.076114,8.095528,8.123729,8.181826", \ - "8.193757,8.219953,8.271657,8.333770,8.326786,8.314137,8.254121"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.992446,1.001990,1.019417,1.059900,1.100943,1.147354,1.184779", \ - "0.918850,0.942942,0.963998,1.000185,1.038701,1.086875,1.128402", \ - "0.825089,0.855561,0.879166,0.926801,0.965936,1.028580,1.076508", \ - "0.878795,0.886942,0.885687,0.885642,0.928763,0.985839,1.052079", \ - "0.999034,1.003997,1.002340,0.992303,0.992957,0.967058,1.041178", \ - "1.199934,1.192092,1.175137,1.164732,1.141704,1.112519,1.065596", \ - "1.512274,1.496861,1.475620,1.446044,1.394584,1.326269,1.234473"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("7.076254,7.100863,7.119020,7.141041,7.209101,7.274532,7.330983", \ - "7.001019,7.022543,7.050487,7.077882,7.157277,7.214630,7.272905", \ - "6.905682,6.934883,6.958934,7.004823,7.060567,7.126650,7.261165", \ - "6.865317,6.893607,6.912192,6.958017,7.007639,7.075616,7.217587", \ - "6.896411,6.919609,6.946186,6.949030,7.019327,7.108356,7.177140", \ - "6.917211,6.999151,7.071106,7.095437,7.124823,7.146993,7.193409", \ - "7.076632,7.121096,7.170587,7.269563,7.357967,7.334962,7.331161"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.959839,0.985416,1.007915,1.037386,1.085735,1.126328,1.172443", \ - "0.879844,0.913285,0.940062,0.972916,1.009649,1.067676,1.110957", \ - "0.804198,0.832666,0.853189,0.894695,0.947741,1.005971,1.061660", \ - "0.887920,0.896653,0.898199,0.884109,0.912149,0.974238,1.027768", \ - "1.012752,1.014784,1.004796,1.001249,0.999047,0.973501,1.028133", \ - "1.208590,1.201452,1.190238,1.169069,1.156490,1.126233,1.066738", \ - "1.538608,1.522321,1.499780,1.466393,1.415422,1.338121,1.253968"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("7.562452,7.585365,7.607796,7.632976,7.691624,7.740755,7.795891", \ - "7.493533,7.510141,7.544760,7.592412,7.631596,7.686979,7.748386", \ - "7.389859,7.417770,7.443826,7.477558,7.527626,7.660157,7.733369", \ - "7.347681,7.374437,7.393542,7.438564,7.471634,7.604012,7.682416", \ - "7.384900,7.397732,7.422709,7.441775,7.509604,7.565631,7.677805", \ - "7.432588,7.494192,7.553127,7.588720,7.582973,7.606443,7.717630", \ - "7.573897,7.612272,7.666355,7.769615,7.818213,7.794288,7.854270"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI22_X4 - Cell Description : Combinational cell (OAI22_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI22_X4) { - - drive_strength : 4; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 76.862513; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 25.412880; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 119.013245; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 119.013245; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 139.330515; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 62.994030; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 58.104280; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 63.570105; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 72.034130; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 62.994126; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 63.570105; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 69.035645; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 77.500145; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 61.742313; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 72.029570; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 77.495015; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 85.960864; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.254623; - fall_capacitance : 5.429065; - rise_capacitance : 6.254623; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.383833; - fall_capacitance : 6.203602; - rise_capacitance : 6.383833; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.263253; - fall_capacitance : 5.372997; - rise_capacitance : 6.263253; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.310709; - fall_capacitance : 5.996426; - rise_capacitance : 6.310709; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 92.354630; - function : "!((A1 | A2) & (B1 | B2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.020384,0.023843,0.027686,0.035151,0.049646,0.077986,0.133926", \ - "0.025122,0.028606,0.032473,0.039977,0.054521,0.082908,0.138882", \ - "0.041719,0.045683,0.049787,0.057081,0.071406,0.099729,0.155698", \ - "0.058890,0.065187,0.071664,0.083096,0.102159,0.132478,0.187977", \ - "0.071065,0.079956,0.089189,0.105526,0.132642,0.175190,0.239397", \ - "0.076401,0.088176,0.100325,0.122050,0.158164,0.214590,0.298734", \ - "0.073714,0.088515,0.103822,0.131183,0.176927,0.248466,0.354651"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.064414,0.077172,0.091628,0.120197,0.176806,0.289479,0.514268", \ - "0.065306,0.078369,0.093152,0.122265,0.179583,0.292910,0.518179", \ - "0.079108,0.091767,0.106151,0.134901,0.192200,0.306042,0.532066", \ - "0.111652,0.125279,0.139086,0.166725,0.222683,0.335388,0.561024", \ - "0.149398,0.167168,0.185776,0.218748,0.275087,0.385619,0.609319", \ - "0.191433,0.213291,0.236213,0.277131,0.346410,0.459923,0.680592", \ - "0.238590,0.264296,0.291405,0.339937,0.422609,0.556633,0.777804"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.013651,0.016346,0.019410,0.025493,0.037584,0.061740,0.110121", \ - "0.013570,0.016308,0.019394,0.025489,0.037583,0.061741,0.110122", \ - "0.016960,0.018805,0.020918,0.025846,0.037305,0.061702,0.110120", \ - "0.028645,0.031190,0.033892,0.038737,0.047249,0.064625,0.110074", \ - "0.044353,0.047990,0.051701,0.058165,0.068931,0.086530,0.119026", \ - "0.063816,0.068779,0.073834,0.082278,0.096035,0.117564,0.151552", \ - "0.087143,0.093532,0.100113,0.110923,0.128148,0.154482,0.194335"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.053996,0.066425,0.080706,0.108985,0.164347,0.270972,0.477132", \ - "0.053947,0.066419,0.080711,0.108983,0.164342,0.270982,0.477127", \ - "0.053757,0.066380,0.080700,0.108984,0.164336,0.270979,0.477132", \ - "0.063869,0.072624,0.083981,0.109226,0.164334,0.270959,0.477133", \ - "0.089184,0.097666,0.107252,0.124658,0.168709,0.270952,0.477129", \ - "0.119191,0.128413,0.138898,0.158619,0.194185,0.277708,0.477127", \ - "0.155024,0.164554,0.175828,0.197529,0.237147,0.307972,0.480298"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.016475,0.019571,0.023088,0.030078,0.043988,0.071738,0.127181", \ - "0.021066,0.024233,0.027802,0.034862,0.048844,0.076653,0.132135", \ - "0.034731,0.039271,0.043845,0.051756,0.065681,0.093468,0.148950", \ - "0.045761,0.053269,0.060779,0.073637,0.094329,0.126176,0.181256", \ - "0.050829,0.061601,0.072507,0.091072,0.120863,0.166010,0.232374", \ - "0.048621,0.062880,0.077289,0.102118,0.141916,0.202075,0.289394", \ - "0.038162,0.056039,0.074105,0.105424,0.155883,0.232253,0.342693"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.061790,0.074615,0.089073,0.117597,0.174123,0.286566,0.510920", \ - "0.062661,0.075774,0.090554,0.119649,0.176884,0.289997,0.514833", \ - "0.076598,0.089226,0.103582,0.132287,0.189498,0.303124,0.528716", \ - "0.108599,0.122573,0.136625,0.164170,0.220001,0.332482,0.557677", \ - "0.145297,0.163478,0.182413,0.215814,0.272452,0.382708,0.605974", \ - "0.186270,0.208575,0.231879,0.273342,0.343218,0.457065,0.677250", \ - "0.232292,0.258537,0.286005,0.335166,0.418587,0.553325,0.774494"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.009856,0.012502,0.015542,0.021612,0.033734,0.057958,0.106396", \ - "0.009803,0.012484,0.015536,0.021609,0.033734,0.057962,0.106394", \ - "0.015335,0.017237,0.019334,0.023459,0.033868,0.057963,0.106397", \ - "0.027688,0.030293,0.033009,0.037866,0.046284,0.062506,0.106386", \ - "0.044207,0.047888,0.051621,0.057983,0.068593,0.085950,0.117252", \ - "0.064620,0.069537,0.074551,0.082876,0.096329,0.117490,0.151069", \ - "0.088848,0.095177,0.101656,0.112263,0.129071,0.154873,0.194220"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.042857,0.053907,0.066661,0.092211,0.143192,0.245109,0.448804", \ - "0.042851,0.053907,0.066676,0.092200,0.143196,0.245119,0.448816", \ - "0.042626,0.053850,0.066655,0.092192,0.143191,0.245118,0.448804", \ - "0.053474,0.060650,0.070353,0.092574,0.143186,0.245096,0.448815", \ - "0.072035,0.081268,0.091139,0.108451,0.147835,0.245070,0.448808", \ - "0.092983,0.104201,0.116068,0.137154,0.173510,0.252086,0.448800", \ - "0.116624,0.129812,0.143822,0.168640,0.211094,0.282639,0.452100"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.012887,0.015163,0.017748,0.022883,0.033102,0.053486,0.094210", \ - "0.017870,0.020188,0.022804,0.027982,0.038246,0.058668,0.099416", \ - "0.030716,0.034654,0.038588,0.045336,0.056308,0.076641,0.117341", \ - "0.040512,0.047193,0.053842,0.065106,0.083028,0.110176,0.151925", \ - "0.044362,0.054177,0.064014,0.080641,0.106973,0.146263,0.202954", \ - "0.040784,0.053963,0.067216,0.089804,0.125585,0.178803,0.254641", \ - "0.028693,0.045405,0.062217,0.091123,0.137116,0.205631,0.302841"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.061544,0.074378,0.088835,0.117373,0.173901,0.286356,0.510738", \ - "0.062112,0.075151,0.089897,0.118960,0.176195,0.289345,0.514223", \ - "0.076375,0.088916,0.103199,0.131779,0.188818,0.302322,0.527906", \ - "0.108596,0.122531,0.136545,0.164019,0.219709,0.331968,0.556961", \ - "0.145517,0.163652,0.182559,0.215914,0.272459,0.382587,0.605581", \ - "0.186861,0.209105,0.232323,0.273752,0.343514,0.457255,0.677260", \ - "0.233458,0.259599,0.286977,0.336048,0.419292,0.553848,0.774866"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.007188,0.009152,0.011403,0.015908,0.024918,0.042932,0.078957", \ - "0.007155,0.009141,0.011402,0.015909,0.024918,0.042932,0.078954", \ - "0.013565,0.015076,0.016702,0.019658,0.026117,0.042934,0.078960", \ - "0.025372,0.027542,0.029774,0.033731,0.040459,0.051804,0.079958", \ - "0.041446,0.044550,0.047664,0.052949,0.061668,0.075618,0.098295", \ - "0.061473,0.065743,0.070022,0.077041,0.088217,0.105549,0.132442", \ - "0.085396,0.090994,0.096637,0.105787,0.119968,0.141299,0.173330"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.042859,0.053908,0.066667,0.092199,0.143189,0.245134,0.448840", \ - "0.042850,0.053909,0.066665,0.092208,0.143199,0.245132,0.448824", \ - "0.042620,0.053849,0.066659,0.092193,0.143192,0.245133,0.448827", \ - "0.053510,0.060671,0.070364,0.092596,0.143192,0.245128,0.448840", \ - "0.071918,0.081176,0.091062,0.108403,0.147840,0.245079,0.448839", \ - "0.092650,0.103905,0.115783,0.136962,0.173354,0.252054,0.448839", \ - "0.115999,0.129225,0.143233,0.168174,0.210739,0.282411,0.452089"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.025240,0.028823,0.032799,0.040489,0.055312,0.084046,0.140375", \ - "0.029568,0.033166,0.037147,0.044841,0.059670,0.088412,0.144744", \ - "0.046676,0.050338,0.054148,0.061562,0.076254,0.104937,0.161223", \ - "0.068067,0.073744,0.079630,0.090180,0.108131,0.137566,0.193476", \ - "0.085590,0.093456,0.101679,0.116594,0.141879,0.182442,0.244898", \ - "0.096932,0.107280,0.118025,0.137765,0.171243,0.224783,0.306287", \ - "0.100943,0.113893,0.127447,0.152127,0.194400,0.262045,0.364619"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.078735,0.091306,0.105596,0.133982,0.190441,0.302994,0.527714", \ - "0.082481,0.095293,0.109838,0.138631,0.195580,0.308615,0.533663", \ - "0.099158,0.111798,0.126239,0.155028,0.212241,0.325826,0.551556", \ - "0.129113,0.141757,0.155914,0.184381,0.241242,0.354648,0.580607", \ - "0.164118,0.179811,0.196593,0.228138,0.285837,0.398776,0.624474", \ - "0.203271,0.222225,0.242350,0.279228,0.345274,0.461873,0.687057", \ - "0.247925,0.270485,0.294117,0.337003,0.412292,0.542134,0.770448"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.017605,0.020230,0.023247,0.029270,0.041299,0.065415,0.113808", \ - "0.017502,0.020156,0.023190,0.029231,0.041282,0.065412,0.113806", \ - "0.018958,0.020967,0.023501,0.029017,0.041130,0.065405,0.113805", \ - "0.030619,0.033052,0.035684,0.040461,0.048892,0.067407,0.113799", \ - "0.046139,0.049648,0.053300,0.059663,0.070358,0.087947,0.121412", \ - "0.065122,0.069954,0.074967,0.083373,0.097136,0.118739,0.152832", \ - "0.087409,0.093710,0.100233,0.111172,0.128625,0.155259,0.195422"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.053937,0.066406,0.080705,0.108979,0.164342,0.270980,0.477128", \ - "0.053947,0.066427,0.080710,0.108969,0.164347,0.270978,0.477131", \ - "0.053930,0.066420,0.080701,0.108976,0.164338,0.270980,0.477128", \ - "0.057303,0.068370,0.081540,0.109010,0.164339,0.270969,0.477130", \ - "0.073115,0.083123,0.094495,0.116888,0.166511,0.270954,0.477129", \ - "0.094392,0.104090,0.115572,0.138206,0.181710,0.275173,0.477122", \ - "0.121888,0.131006,0.142174,0.164533,0.208774,0.293755,0.479797"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.020049,0.023523,0.027376,0.034850,0.049339,0.077657,0.133583", \ - "0.024422,0.027887,0.031734,0.039203,0.053697,0.082021,0.137950", \ - "0.040350,0.044411,0.048578,0.055971,0.070306,0.098553,0.154431", \ - "0.056828,0.063280,0.069884,0.081478,0.100728,0.131250,0.186706", \ - "0.068464,0.077539,0.086928,0.103495,0.130859,0.173656,0.238102", \ - "0.073541,0.085508,0.097788,0.119771,0.156156,0.212842,0.297231", \ - "0.071092,0.086031,0.101453,0.128960,0.174901,0.246653,0.353034"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.076190,0.088773,0.103052,0.131399,0.187753,0.300088,0.524367", \ - "0.079897,0.092722,0.107262,0.136026,0.192882,0.305686,0.530313", \ - "0.096620,0.109246,0.123675,0.152415,0.209528,0.322904,0.548207", \ - "0.126377,0.139205,0.153370,0.181782,0.238543,0.351728,0.577248", \ - "0.160691,0.176644,0.193581,0.225311,0.283134,0.395871,0.621118", \ - "0.199006,0.218337,0.238714,0.275898,0.342220,0.458963,0.683704", \ - "0.242691,0.265716,0.289719,0.333068,0.408778,0.538918,0.767103"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.014157,0.016762,0.019751,0.025713,0.037683,0.061760,0.110131", \ - "0.013931,0.016609,0.019638,0.025651,0.037658,0.061755,0.110129", \ - "0.017633,0.019466,0.021534,0.026335,0.037576,0.061763,0.110124", \ - "0.029712,0.032200,0.034843,0.039599,0.047967,0.065125,0.110159", \ - "0.045571,0.049219,0.052895,0.059273,0.069916,0.087339,0.119570", \ - "0.064787,0.069801,0.074926,0.083381,0.097107,0.118533,0.152325", \ - "0.087090,0.093671,0.100449,0.111486,0.128936,0.155410,0.195200"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.042849,0.053902,0.066668,0.092199,0.143184,0.245120,0.448801", \ - "0.042853,0.053902,0.066668,0.092198,0.143193,0.245119,0.448804", \ - "0.042845,0.053900,0.066668,0.092209,0.143189,0.245116,0.448817", \ - "0.046447,0.056062,0.067626,0.092239,0.143180,0.245103,0.448805", \ - "0.058615,0.068371,0.079426,0.100373,0.145487,0.245074,0.448817", \ - "0.074348,0.084538,0.096046,0.118265,0.160743,0.249417,0.448807", \ - "0.094091,0.104835,0.116874,0.139899,0.184099,0.268158,0.451558"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.014876,0.017473,0.020350,0.025916,0.036659,0.057552,0.098683", \ - "0.019804,0.022352,0.025195,0.030726,0.041446,0.062333,0.103467", \ - "0.035320,0.038835,0.042405,0.048683,0.059368,0.080097,0.121147", \ - "0.050017,0.055759,0.061575,0.071705,0.088344,0.114288,0.155700", \ - "0.060004,0.068200,0.076654,0.091403,0.115517,0.152688,0.207652", \ - "0.063373,0.074339,0.085562,0.105441,0.138016,0.188040,0.261235", \ - "0.059008,0.072862,0.087117,0.112332,0.154012,0.218166,0.311682"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.075965,0.088549,0.102826,0.131172,0.187534,0.299879,0.524187", \ - "0.079393,0.092170,0.106679,0.135408,0.192259,0.305079,0.529737", \ - "0.096400,0.108978,0.123332,0.151962,0.208935,0.322202,0.547482", \ - "0.126331,0.139115,0.153260,0.181591,0.238208,0.351225,0.576604", \ - "0.160662,0.176604,0.193567,0.225225,0.283000,0.395628,0.620638", \ - "0.199089,0.218393,0.238784,0.275911,0.342186,0.458852,0.683455", \ - "0.243143,0.266099,0.290073,0.333349,0.408933,0.538972,0.767030"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.010345,0.012346,0.014608,0.019081,0.027976,0.045824,0.081721", \ - "0.009996,0.012088,0.014409,0.018952,0.027914,0.045806,0.081719", \ - "0.015394,0.016811,0.018357,0.021299,0.028434,0.045783,0.081722", \ - "0.026972,0.029026,0.031203,0.035081,0.041711,0.053164,0.082399", \ - "0.042309,0.045386,0.048467,0.053808,0.062581,0.076588,0.099358", \ - "0.061055,0.065427,0.069803,0.077016,0.088517,0.106165,0.133269", \ - "0.083009,0.088850,0.094795,0.104377,0.119243,0.141325,0.173886"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.042851,0.053903,0.066664,0.092207,0.143212,0.245132,0.448840", \ - "0.042856,0.053897,0.066664,0.092196,0.143207,0.245132,0.448839", \ - "0.042845,0.053901,0.066657,0.092210,0.143190,0.245129,0.448842", \ - "0.046459,0.056083,0.067646,0.092246,0.143192,0.245116,0.448828", \ - "0.058631,0.068378,0.079430,0.100393,0.145517,0.245088,0.448838", \ - "0.074325,0.084524,0.096037,0.118270,0.160763,0.249444,0.448833", \ - "0.093925,0.104694,0.116721,0.139810,0.184061,0.268159,0.451578"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.026353,0.029801,0.033638,0.041095,0.055583,0.083924,0.139880", \ - "0.031921,0.035405,0.039275,0.046780,0.061322,0.089708,0.145692", \ - "0.047734,0.051423,0.055360,0.062826,0.077393,0.105859,0.161930", \ - "0.068078,0.073012,0.078208,0.087720,0.104664,0.134563,0.190823", \ - "0.087282,0.094427,0.101720,0.114641,0.136714,0.173297,0.234977", \ - "0.101270,0.111135,0.121282,0.139210,0.168850,0.215386,0.288546", \ - "0.107647,0.120762,0.134169,0.157940,0.196991,0.256843,0.345875"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.099007,0.111808,0.126372,0.155248,0.212406,0.325912,0.551485", \ - "0.100449,0.113423,0.128163,0.157256,0.214697,0.328469,0.554239", \ - "0.113627,0.126644,0.141358,0.170507,0.228158,0.342261,0.568440", \ - "0.146362,0.158903,0.173284,0.201895,0.258798,0.372245,0.598142", \ - "0.195667,0.210400,0.226137,0.255028,0.310645,0.422448,0.646926", \ - "0.249354,0.267587,0.287139,0.322984,0.385773,0.496299,0.718282", \ - "0.307564,0.329246,0.352547,0.395328,0.470383,0.595791,0.815318"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.013689,0.016372,0.019430,0.025498,0.037582,0.061739,0.110126", \ - "0.013697,0.016386,0.019441,0.025509,0.037585,0.061740,0.110129", \ - "0.014746,0.017052,0.019796,0.025496,0.037489,0.061726,0.110127", \ - "0.020515,0.022972,0.025766,0.031184,0.041756,0.063046,0.110096", \ - "0.031021,0.033734,0.036728,0.042345,0.052897,0.073479,0.114624", \ - "0.045851,0.049293,0.052914,0.059268,0.070320,0.090631,0.130665", \ - "0.064738,0.069028,0.073556,0.081240,0.093865,0.114950,0.154127"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.073817,0.086423,0.100905,0.129445,0.185094,0.292165,0.499217", \ - "0.073847,0.086424,0.100896,0.129437,0.185087,0.292167,0.499215", \ - "0.073834,0.086427,0.100883,0.129411,0.185092,0.292168,0.499211", \ - "0.074935,0.086718,0.100767,0.129416,0.185086,0.292143,0.499217", \ - "0.093856,0.101952,0.112653,0.135712,0.185983,0.292110,0.499207", \ - "0.121646,0.131878,0.143167,0.163880,0.203418,0.294833,0.499203", \ - "0.154337,0.166002,0.178672,0.202005,0.242863,0.318736,0.500336"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.022448,0.025528,0.029036,0.036014,0.049919,0.077668,0.133119", \ - "0.027904,0.031057,0.034621,0.041668,0.055642,0.083447,0.138933", \ - "0.042368,0.046087,0.050099,0.057515,0.071638,0.099579,0.155161", \ - "0.058912,0.064463,0.070133,0.080237,0.097751,0.128123,0.184017", \ - "0.071943,0.080445,0.088920,0.103489,0.127302,0.165271,0.227839", \ - "0.077896,0.090062,0.102198,0.122856,0.155687,0.205056,0.280100", \ - "0.075284,0.091522,0.107671,0.135380,0.179065,0.243373,0.335680"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.096253,0.109103,0.123663,0.152501,0.209590,0.322818,0.548012", \ - "0.097640,0.110682,0.125418,0.154502,0.211884,0.325393,0.550762", \ - "0.110846,0.123916,0.138648,0.167774,0.225314,0.339171,0.564979", \ - "0.143688,0.156243,0.170623,0.199161,0.255970,0.369175,0.594675", \ - "0.192338,0.207272,0.223179,0.252346,0.307885,0.419435,0.643472", \ - "0.245065,0.263564,0.283297,0.319504,0.382764,0.493338,0.714841", \ - "0.302302,0.324287,0.347818,0.390978,0.466572,0.592614,0.811914"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.009866,0.012503,0.015542,0.021611,0.033730,0.057963,0.106400", \ - "0.009861,0.012505,0.015541,0.021611,0.033734,0.057964,0.106393", \ - "0.011751,0.014138,0.016734,0.022154,0.033750,0.057962,0.106393", \ - "0.018315,0.020741,0.023467,0.028764,0.039192,0.060013,0.106392", \ - "0.029858,0.032674,0.035672,0.041123,0.051241,0.071309,0.111829", \ - "0.046010,0.049440,0.053025,0.059246,0.069860,0.089369,0.128583", \ - "0.066520,0.070630,0.074967,0.082370,0.094492,0.114718,0.152729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.059873,0.071200,0.084307,0.110406,0.162266,0.265349,0.470515", \ - "0.059872,0.071202,0.084309,0.110405,0.162265,0.265372,0.470515", \ - "0.059884,0.071202,0.084307,0.110412,0.162252,0.265352,0.470513", \ - "0.061203,0.071611,0.084145,0.110385,0.162233,0.265341,0.470514", \ - "0.078071,0.087148,0.096580,0.117055,0.163284,0.265310,0.470518", \ - "0.099266,0.110343,0.122097,0.143272,0.181053,0.268189,0.470507", \ - "0.122641,0.136054,0.150079,0.175078,0.217661,0.292411,0.471722"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.019414,0.021818,0.024554,0.029996,0.040832,0.062454,0.105658", \ - "0.024945,0.027405,0.030183,0.035678,0.046568,0.068233,0.111462", \ - "0.040462,0.043622,0.046964,0.053075,0.064169,0.085954,0.129270", \ - "0.057497,0.062728,0.068020,0.077275,0.092726,0.118171,0.162218", \ - "0.070313,0.078527,0.086710,0.100688,0.123331,0.157929,0.211296", \ - "0.075937,0.087641,0.099424,0.119482,0.151344,0.198617,0.267017", \ - "0.072638,0.088406,0.104142,0.131128,0.173766,0.236362,0.324268"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.104758,0.117555,0.132044,0.160767,0.217699,0.330741,0.555770", \ - "0.106222,0.119166,0.133839,0.162826,0.220093,0.333471,0.558774", \ - "0.119380,0.132330,0.146980,0.175971,0.233368,0.347091,0.572866", \ - "0.152026,0.164547,0.178838,0.207310,0.263997,0.377015,0.602403", \ - "0.202403,0.216693,0.232020,0.260358,0.315840,0.427311,0.651183", \ - "0.257503,0.275226,0.294255,0.329353,0.391218,0.501242,0.722642", \ - "0.317133,0.338208,0.360909,0.402800,0.476746,0.600943,0.819810"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.008107,0.010146,0.012490,0.017163,0.026482,0.045085,0.082263", \ - "0.008110,0.010147,0.012492,0.017160,0.026483,0.045090,0.082264", \ - "0.010571,0.012342,0.014304,0.018157,0.026644,0.045089,0.082262", \ - "0.018346,0.020323,0.022466,0.026485,0.034064,0.048734,0.082454", \ - "0.030788,0.033244,0.035826,0.040452,0.048461,0.063151,0.091563", \ - "0.047977,0.050896,0.053997,0.059492,0.068716,0.084310,0.112749", \ - "0.069785,0.073166,0.076854,0.083366,0.094228,0.111807,0.141362"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.064166,0.075570,0.088757,0.114958,0.166941,0.270141,0.475456", \ - "0.064169,0.075582,0.088755,0.114951,0.166929,0.270159,0.475452", \ - "0.064169,0.075584,0.088749,0.114960,0.166927,0.270151,0.475465", \ - "0.064795,0.075547,0.088699,0.114938,0.166933,0.270165,0.475463", \ - "0.080646,0.089178,0.099072,0.120298,0.167493,0.270144,0.475465", \ - "0.102320,0.113176,0.124724,0.145709,0.183867,0.272417,0.475470", \ - "0.126438,0.139485,0.153194,0.177854,0.220092,0.295385,0.476349"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.031153,0.034731,0.038702,0.046391,0.061215,0.089958,0.146303", \ - "0.036351,0.039937,0.043913,0.051604,0.066435,0.095182,0.151532", \ - "0.052232,0.055826,0.059761,0.067419,0.082266,0.111055,0.167436", \ - "0.074990,0.079573,0.084475,0.093613,0.110133,0.139771,0.196332", \ - "0.098436,0.104816,0.111383,0.123265,0.144181,0.179726,0.240687", \ - "0.118117,0.126753,0.135702,0.151868,0.179330,0.223743,0.295393", \ - "0.131232,0.142525,0.154282,0.175541,0.211386,0.267894,0.354290"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.112576,0.125350,0.139906,0.168710,0.225856,0.339321,0.564872", \ - "0.116727,0.129570,0.144176,0.173106,0.230386,0.343972,0.569608", \ - "0.134022,0.146928,0.161575,0.190620,0.248078,0.361955,0.587869", \ - "0.164170,0.176993,0.191478,0.220424,0.277821,0.391690,0.617757", \ - "0.206406,0.220595,0.236242,0.265480,0.322656,0.436315,0.662352", \ - "0.254140,0.270684,0.288793,0.322901,0.385154,0.499528,0.725336", \ - "0.308274,0.327432,0.348212,0.387010,0.457388,0.582247,0.808852"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.017457,0.020117,0.023161,0.029211,0.041270,0.065411,0.113806", \ - "0.017420,0.020091,0.023139,0.029196,0.041264,0.065407,0.113802", \ - "0.017811,0.020304,0.023211,0.029122,0.041223,0.065407,0.113801", \ - "0.023099,0.025606,0.028457,0.033960,0.044566,0.066404,0.113817", \ - "0.032848,0.035565,0.038605,0.044374,0.055218,0.076078,0.117746", \ - "0.047005,0.050393,0.054020,0.060446,0.071791,0.092657,0.133172", \ - "0.064974,0.069245,0.073791,0.081582,0.094475,0.116166,0.156183"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.073827,0.086423,0.100894,0.129419,0.185101,0.292166,0.499217", \ - "0.073838,0.086426,0.100900,0.129419,0.185101,0.292165,0.499213", \ - "0.073821,0.086422,0.100879,0.129421,0.185078,0.292175,0.499217", \ - "0.073848,0.086421,0.100879,0.129409,0.185090,0.292158,0.499208", \ - "0.084275,0.094345,0.106863,0.132626,0.185380,0.292124,0.499212", \ - "0.102547,0.113411,0.125828,0.149686,0.195845,0.294008,0.499206", \ - "0.125500,0.136732,0.149508,0.174170,0.220373,0.308819,0.500471"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.026039,0.029477,0.033307,0.040758,0.055239,0.083564,0.139499", \ - "0.031256,0.034692,0.038522,0.045974,0.060456,0.088785,0.144726", \ - "0.046599,0.050263,0.054236,0.061736,0.076265,0.104647,0.160620", \ - "0.066644,0.071616,0.076830,0.086361,0.103320,0.133260,0.189487", \ - "0.085396,0.092674,0.100027,0.113054,0.135221,0.171874,0.233583", \ - "0.098720,0.108793,0.119119,0.137247,0.167131,0.213814,0.287059", \ - "0.104395,0.117775,0.131436,0.155528,0.194947,0.255097,0.344319"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.109814,0.122640,0.137185,0.165981,0.223035,0.336253,0.561403", \ - "0.113942,0.126843,0.141464,0.170374,0.227562,0.340899,0.566151", \ - "0.131248,0.144195,0.158862,0.187874,0.245248,0.358885,0.584397", \ - "0.161438,0.174272,0.188755,0.217695,0.274976,0.388597,0.614302", \ - "0.203276,0.217610,0.233346,0.262758,0.319833,0.433283,0.658893", \ - "0.250435,0.267157,0.285400,0.319666,0.382179,0.496515,0.721878", \ - "0.303885,0.323267,0.344204,0.383318,0.453966,0.579042,0.805419"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.013863,0.016536,0.019576,0.025607,0.037641,0.061756,0.110125", \ - "0.013800,0.016478,0.019526,0.025574,0.037625,0.061748,0.110126", \ - "0.015045,0.017405,0.020150,0.025820,0.037640,0.061755,0.110119", \ - "0.020990,0.023435,0.026198,0.031582,0.042081,0.063335,0.110154", \ - "0.031698,0.034394,0.037388,0.042961,0.053431,0.073869,0.114909", \ - "0.046621,0.050068,0.053687,0.060034,0.071028,0.091219,0.131051", \ - "0.065359,0.069687,0.074251,0.081982,0.094625,0.115662,0.154671"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.059872,0.071211,0.084310,0.110399,0.162263,0.265373,0.470518", \ - "0.059870,0.071202,0.084313,0.110395,0.162264,0.265363,0.470528", \ - "0.059894,0.071204,0.084313,0.110398,0.162257,0.265415,0.470520", \ - "0.059987,0.071213,0.084302,0.110401,0.162243,0.265342,0.470520", \ - "0.069402,0.079275,0.090520,0.113788,0.162607,0.265338,0.470528", \ - "0.083257,0.094100,0.106270,0.129435,0.173294,0.267296,0.470512", \ - "0.100426,0.112172,0.125155,0.149873,0.195628,0.282271,0.471822"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.021740,0.024441,0.027451,0.033304,0.044656,0.066803,0.110443", \ - "0.027099,0.029793,0.032799,0.038647,0.049996,0.072142,0.115788", \ - "0.043776,0.046822,0.050075,0.056101,0.067462,0.089650,0.133331", \ - "0.064671,0.069284,0.074065,0.082614,0.097331,0.122184,0.166296", \ - "0.083232,0.090245,0.097345,0.109768,0.130613,0.163625,0.215917", \ - "0.095924,0.105757,0.115804,0.133406,0.162342,0.206773,0.273050", \ - "0.100885,0.113904,0.127234,0.150728,0.189204,0.247645,0.332216"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.118406,0.131130,0.145603,0.174276,0.231158,0.344158,0.569170", \ - "0.122580,0.135406,0.149973,0.178790,0.235857,0.349051,0.574198", \ - "0.139781,0.152642,0.167221,0.196129,0.253382,0.366863,0.592359", \ - "0.169912,0.182665,0.197096,0.225857,0.282999,0.396456,0.622079", \ - "0.212797,0.226721,0.242107,0.270909,0.327844,0.441072,0.666558", \ - "0.261607,0.277749,0.295473,0.329032,0.390647,0.504366,0.729516", \ - "0.316951,0.335598,0.355887,0.393968,0.463493,0.587303,0.813098"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.011155,0.013248,0.015610,0.020282,0.029542,0.048039,0.085121", \ - "0.011075,0.013176,0.015551,0.020237,0.029516,0.048026,0.085120", \ - "0.012995,0.014729,0.016673,0.020763,0.029536,0.048030,0.085118", \ - "0.020437,0.022329,0.024429,0.028432,0.036033,0.050949,0.085240", \ - "0.032249,0.034560,0.037095,0.041728,0.049854,0.064773,0.093466", \ - "0.048096,0.051119,0.054306,0.059931,0.069397,0.085369,0.114243", \ - "0.067895,0.071671,0.075703,0.082648,0.094042,0.112226,0.142405"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.064170,0.075582,0.088745,0.114961,0.166926,0.270143,0.475454", \ - "0.064166,0.075583,0.088752,0.114954,0.166926,0.270166,0.475455", \ - "0.064176,0.075581,0.088754,0.114957,0.166942,0.270160,0.475463", \ - "0.064217,0.075592,0.088757,0.114953,0.166922,0.270166,0.475458", \ - "0.072705,0.082467,0.093937,0.117664,0.167086,0.270141,0.475456", \ - "0.086707,0.097528,0.109658,0.132823,0.176969,0.271795,0.475457", \ - "0.103954,0.115660,0.128598,0.153221,0.199085,0.286069,0.476549"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("2.074916,2.140352,2.193964,2.261352,2.346945,2.444427,2.543403", \ - "2.060402,2.151047,2.215208,2.310699,2.419733,2.538909,2.646116", \ - "2.059482,2.108887,2.182760,2.280008,2.406989,2.543987,2.673953", \ - "2.391860,2.370686,2.358572,2.341306,2.404621,2.539422,2.675311", \ - "2.901643,2.870201,2.823938,2.786211,2.707419,2.626076,2.730610", \ - "3.697697,3.625168,3.566868,3.470341,3.307290,3.104285,2.947441", \ - "4.835730,4.744322,4.662092,4.507832,4.236272,3.880039,3.490838"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("9.781303,9.830549,9.867885,9.928383,9.957767,9.888957,9.942207", \ - "9.477425,9.571179,9.639183,9.709268,9.861373,9.860870,9.949351", \ - "9.286813,9.329775,9.372508,9.421536,9.534798,9.695633,9.848980", \ - "9.560329,9.544202,9.533339,9.521740,9.501469,9.591376,9.606639", \ - "10.188700,10.132600,10.082650,10.001990,9.866118,9.740940,9.651631", \ - "11.074350,11.115330,11.004300,10.834630,10.602020,10.354240,10.008120", \ - "12.187540,12.130070,12.088360,12.074300,11.743990,11.295360,10.791380"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.902121,0.913835,0.912867,0.914809,0.929608,0.937761,0.952998", \ - "0.858923,0.883532,0.913942,0.952942,1.001508,1.034401,1.050026", \ - "0.759547,0.787144,0.826810,0.888942,0.958596,1.036470,1.091383", \ - "1.071876,1.040855,1.003875,0.951049,0.930317,1.003705,1.078699", \ - "1.611035,1.546099,1.481444,1.378475,1.246112,1.095372,1.119170", \ - "2.484205,2.381405,2.263826,2.106647,1.868554,1.588727,1.340679", \ - "3.691397,3.565127,3.426557,3.192979,2.835962,2.381977,1.899489"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("7.918010,7.981412,7.990579,8.055893,8.084827,8.085993,8.110435", \ - "7.611087,7.710638,7.756931,7.827104,7.978489,8.057770,8.118766", \ - "7.444010,7.471669,7.520355,7.587106,7.647282,7.768491,7.888188", \ - "7.706607,7.690924,7.666991,7.611481,7.606483,7.666501,7.846789", \ - "8.289921,8.306100,8.213907,8.141938,7.981926,7.938955,7.818694", \ - "8.865643,8.919048,8.980624,9.005843,8.778214,8.491147,8.174262", \ - "10.071470,10.034600,10.013550,9.986738,9.855434,9.496074,8.960721"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.938395,0.930283,0.922444,0.932204,0.937115,0.943142,0.950669", \ - "0.881131,0.911788,0.923094,0.966142,1.001995,1.030484,1.051293", \ - "0.858372,0.838873,0.840979,0.901475,0.970265,1.034856,1.090631", \ - "1.135246,1.107033,1.082952,1.040544,0.975859,1.021067,1.078679", \ - "1.671023,1.608298,1.550133,1.451216,1.340038,1.194585,1.145671", \ - "2.530945,2.434128,2.327233,2.171844,1.947537,1.691293,1.414294", \ - "3.733957,3.614895,3.487826,3.278128,2.938910,2.496416,2.035616"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("7.896179,7.950963,7.982773,7.986384,8.039051,8.066521,8.090216", \ - "7.561416,7.637422,7.716936,7.765864,7.922571,8.004668,8.067173", \ - "7.403983,7.443035,7.474425,7.492729,7.590704,7.703124,7.820592", \ - "7.704178,7.685168,7.657263,7.619205,7.579764,7.621706,7.766842", \ - "8.308077,8.314695,8.250849,8.157379,7.978648,7.923793,7.780157", \ - "8.889832,8.942617,9.006176,9.064982,8.732995,8.439301,8.165917", \ - "10.113180,10.093070,10.080260,10.081720,10.014300,9.528098,8.980438"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("3.263025,3.349812,3.411705,3.523022,3.649306,3.813256,3.983911", \ - "3.135447,3.211919,3.282350,3.375037,3.509681,3.684095,3.853849", \ - "2.972064,3.056783,3.130977,3.247269,3.392571,3.584610,3.755593", \ - "3.273894,3.279485,3.285254,3.275816,3.388831,3.561214,3.731665", \ - "3.868837,3.829382,3.798153,3.775058,3.718892,3.689962,3.810380", \ - "4.671236,4.625622,4.588009,4.515336,4.367294,4.194331,4.055597", \ - "5.814169,5.752524,5.689110,5.562401,5.348476,5.019672,4.640241"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("12.098790,12.132710,12.147140,12.145740,12.198250,12.249020,12.228990", \ - "11.900980,11.973770,11.997320,12.029170,12.153530,12.129860,12.230890", \ - "11.645580,11.688560,11.741450,11.778600,11.883110,12.021530,12.097670", \ - "11.587110,11.611410,11.614670,11.665270,11.728420,11.845400,11.869430", \ - "11.698600,11.702890,11.684140,11.648330,11.658900,11.736190,11.730590", \ - "11.922970,12.026960,12.021930,11.948550,11.931790,11.814650,11.853560", \ - "12.379110,12.385310,12.408760,12.482540,12.470040,12.216950,12.117630"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("1.963679,2.016636,2.055603,2.130061,2.205299,2.288672,2.384331", \ - "1.821867,1.879392,1.919320,1.993858,2.070971,2.159077,2.255454", \ - "1.672584,1.735793,1.788411,1.854167,1.960706,2.061068,2.153076", \ - "1.993763,1.975933,1.954369,1.893125,1.948004,2.042248,2.129364", \ - "2.569773,2.524289,2.479270,2.399142,2.271774,2.162632,2.218049", \ - "3.437451,3.344320,3.266009,3.148149,2.951600,2.685867,2.463451", \ - "4.615300,4.519626,4.417451,4.245245,3.936155,3.535984,3.063036"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("10.256730,10.291730,10.288680,10.289760,10.353580,10.376900,10.268600", \ - "10.055300,10.115630,10.142470,10.167110,10.268780,10.325440,10.372190", \ - "9.802423,9.829889,9.871158,9.957914,10.056080,10.095600,10.190910", \ - "9.726617,9.749953,9.764301,9.779376,9.831472,9.921267,10.035860", \ - "9.850450,9.853284,9.828510,9.809816,9.770621,9.811621,9.980159", \ - "9.706211,9.817122,9.928473,10.129870,10.047370,10.012200,9.893067", \ - "10.248570,10.270650,10.303160,10.382840,10.568600,10.414290,10.159600"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("1.851526,1.917116,1.968255,2.055454,2.152265,2.253563,2.344259", \ - "1.704716,1.770574,1.821928,1.916531,2.017678,2.116654,2.216373", \ - "1.609413,1.649982,1.703848,1.790199,1.898313,2.013268,2.117994", \ - "2.036859,2.011611,1.989038,1.950208,1.891825,2.007548,2.106132", \ - "2.597276,2.562441,2.508917,2.437185,2.339857,2.185482,2.197461", \ - "3.464570,3.391079,3.320565,3.203787,3.016725,2.766089,2.482322", \ - "4.668534,4.574514,4.467364,4.293465,4.015874,3.621352,3.167412"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("10.236510,10.255520,10.283850,10.266610,10.334720,10.357100,10.248250", \ - "9.993600,10.049280,10.096960,10.149100,10.218500,10.275640,10.322160", \ - "9.765835,9.806214,9.854771,9.902727,9.946439,10.057180,10.130930", \ - "9.721354,9.726493,9.752485,9.741281,9.802958,9.879368,9.981210", \ - "9.846682,9.848868,9.809000,9.802999,9.757513,9.788811,9.853745", \ - "9.710047,9.817219,9.954398,10.122520,10.067940,9.999775,9.882535", \ - "10.262880,10.302030,10.327670,10.391800,10.572100,10.411140,10.145280"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("2.067028,2.133208,2.199436,2.265007,2.346617,2.450147,2.534887", \ - "2.076981,2.163473,2.220415,2.313869,2.424474,2.534970,2.632896", \ - "1.960740,2.044030,2.134087,2.238807,2.377853,2.531356,2.673160", \ - "2.067509,2.099996,2.124772,2.150034,2.272497,2.441929,2.615162", \ - "2.259877,2.278896,2.279799,2.321513,2.356962,2.376339,2.562605", \ - "2.636318,2.628724,2.622145,2.612885,2.602874,2.602580,2.588320", \ - "3.296631,3.246306,3.206294,3.157674,3.080101,2.989285,2.878059"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("13.985110,14.017090,14.037070,14.109770,14.145070,14.269630,14.403790", \ - "13.731530,13.797170,13.821250,13.912630,14.025220,14.174020,14.199230", \ - "13.509480,13.558670,13.600350,13.699330,13.767670,13.942360,14.123310", \ - "13.672870,13.677610,13.710710,13.749310,13.754300,13.894250,14.066030", \ - "14.213690,14.202410,14.189680,14.132230,14.118460,14.178180,14.178000", \ - "15.118250,15.071920,15.023840,14.990560,14.822130,14.670250,14.534010", \ - "16.130820,16.162850,16.198840,16.198900,15.907710,15.721540,15.329410"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.907914,0.919680,0.924619,0.929903,0.938812,0.944427,0.951165", \ - "0.846002,0.892857,0.932410,0.971825,0.999341,1.034876,1.046865", \ - "0.637687,0.698685,0.758185,0.848270,0.934644,1.019043,1.083554", \ - "0.677917,0.697265,0.718911,0.730295,0.785675,0.921064,1.017091", \ - "0.849775,0.851638,0.857963,0.865918,0.860511,0.837420,0.957607", \ - "1.266666,1.226622,1.190061,1.156827,1.117113,1.055901,0.978929", \ - "1.959929,1.895301,1.832923,1.723883,1.604088,1.446862,1.268538"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("11.963720,12.015980,12.061160,12.110920,12.210780,12.311030,12.425650", \ - "11.725300,11.794410,11.871860,11.978160,12.101450,12.218750,12.347860", \ - "11.505230,11.575580,11.637900,11.750910,11.870060,12.107740,12.273540", \ - "11.657350,11.695360,11.719860,11.764260,11.861130,12.060650,12.216510", \ - "12.210260,12.203770,12.217300,12.233420,12.196750,12.225950,12.307360", \ - "12.877360,13.002010,13.091450,13.014170,12.902420,12.841300,12.699830", \ - "13.893120,13.959990,14.010090,14.124440,13.972600,13.776380,13.483980"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.921983,0.907525,0.917451,0.928725,0.934374,0.943259,0.950707", \ - "0.869308,0.895342,0.932033,0.972205,1.008387,1.038684,1.048278", \ - "0.648985,0.707069,0.758428,0.850540,0.941970,1.020036,1.083744", \ - "0.688865,0.716562,0.746521,0.774795,0.800288,0.926563,1.025035", \ - "0.865618,0.872932,0.876902,0.886499,0.907168,0.893216,0.971623", \ - "1.291936,1.256236,1.215729,1.187016,1.149781,1.096153,1.019866", \ - "2.018684,1.943296,1.880444,1.782903,1.640200,1.493270,1.331441"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("12.952330,13.002590,13.039610,13.128580,13.144390,13.367610,13.483400", \ - "12.718060,12.784660,12.829650,12.906800,13.037060,13.159900,13.424260", \ - "12.474160,12.539630,12.591950,12.700960,12.880360,13.037240,13.209960", \ - "12.633190,12.655760,12.690870,12.776510,12.866370,12.984440,13.140980", \ - "13.157820,13.155850,13.156110,13.180440,13.113780,13.153780,13.230810", \ - "13.881720,14.003210,14.030280,13.956700,13.820350,13.798820,13.617800", \ - "14.871100,14.938240,14.989210,15.100840,15.021810,14.713810,14.428190"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("3.284072,3.350560,3.399583,3.524016,3.642966,3.814960,3.978983", \ - "3.116682,3.221044,3.276986,3.381118,3.532994,3.687194,3.851455", \ - "2.966008,3.062215,3.127923,3.232389,3.407926,3.575717,3.751112", \ - "3.086317,3.118031,3.132147,3.174980,3.320420,3.508472,3.697183", \ - "3.374727,3.385502,3.405387,3.426014,3.443522,3.493723,3.680607", \ - "3.769554,3.769555,3.754814,3.763493,3.771145,3.745751,3.736566", \ - "4.399495,4.366838,4.353229,4.303707,4.250588,4.175283,4.064004"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("16.228280,16.258710,16.285920,16.354650,16.424300,16.550150,16.559140", \ - "16.085050,16.122540,16.155250,16.204280,16.294840,16.428900,16.570680", \ - "15.889290,15.929760,15.960230,16.046560,16.130290,16.260730,16.419760", \ - "15.809930,15.863970,15.866690,15.949110,16.002930,16.159930,16.332730", \ - "15.898060,15.921690,15.934460,15.987140,16.074230,16.092260,16.253090", \ - "16.178180,16.186680,16.194920,16.214700,16.220580,16.293710,16.409150", \ - "16.464570,16.536590,16.620480,16.757850,16.707610,16.678790,16.680870"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("1.970801,2.029485,2.063762,2.132923,2.214356,2.293892,2.381854", \ - "1.837542,1.876037,1.924429,1.996926,2.081984,2.165567,2.249901", \ - "1.624236,1.720824,1.758718,1.848027,1.949511,2.049671,2.147882", \ - "1.770055,1.780435,1.775043,1.759237,1.858826,1.990518,2.099909", \ - "2.013893,2.015030,2.009492,2.012166,1.985075,1.952842,2.077425", \ - "2.402952,2.369969,2.358650,2.320533,2.295512,2.210593,2.137832", \ - "3.036102,2.993011,2.954082,2.881861,2.794700,2.650386,2.463786"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("14.225210,14.277480,14.329020,14.339670,14.496330,14.596410,14.709420", \ - "14.062520,14.134520,14.160470,14.214940,14.362880,14.473720,14.593730", \ - "13.884640,13.946670,14.015140,14.044380,14.200360,14.429150,14.571000", \ - "13.804570,13.861920,13.891830,13.989300,14.096560,14.302950,14.483630", \ - "13.888640,13.918730,13.983410,13.989230,14.144670,14.260330,14.402950", \ - "13.902150,14.077320,14.227270,14.273030,14.288470,14.355700,14.431830", \ - "14.214570,14.318550,14.436680,14.655490,14.767990,14.724990,14.706080"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("1.925346,1.968916,2.025140,2.088700,2.173563,2.263029,2.352653", \ - "1.762976,1.836014,1.881214,1.956230,2.043532,2.124033,2.228167", \ - "1.614670,1.668771,1.725155,1.810728,1.905558,2.013037,2.125086", \ - "1.762925,1.781501,1.781107,1.772898,1.816509,1.940950,2.069353", \ - "2.021113,2.024899,2.011978,2.001742,2.004871,1.950543,2.043417", \ - "2.419810,2.402585,2.384861,2.359320,2.304761,2.245224,2.126424", \ - "3.072576,3.031244,2.986535,2.929456,2.812098,2.683819,2.500772"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("15.217740,15.246030,15.307550,15.327870,15.428530,15.524270,15.636850", \ - "15.059490,15.116510,15.151590,15.248200,15.309310,15.529860,15.672330", \ - "14.852740,14.911400,14.983040,15.023120,15.226630,15.361790,15.512530", \ - "14.789890,14.823790,14.888220,14.971980,14.990770,15.255170,15.459320", \ - "14.845800,14.895180,14.923360,14.961320,15.068130,15.177330,15.321380", \ - "14.931760,15.091600,15.192310,15.243170,15.209680,15.388720,15.498500", \ - "15.207570,15.321860,15.436360,15.647840,15.686580,15.768190,15.752500"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI33_X1 - Cell Description : Combinational cell (OAI33_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI33_X1) { - - drive_strength : 1; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 23.229187; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !B1 & !B2 & !B3"; - value : 9.524225; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & !B1 & !B2 & B3"; - value : 42.048539; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & !B1 & B2 & !B3"; - value : 42.048634; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & !B1 & B2 & B3"; - value : 47.139494; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & B1 & !B2 & !B3"; - value : 42.048824; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & B1 & !B2 & B3"; - value : 47.139494; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & B1 & B2 & !B3"; - value : 47.139684; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & B1 & B2 & B3"; - value : 52.216702; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !B1 & !B2 & !B3"; - value : 23.517205; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !B1 & !B2 & B3"; - value : 14.279174; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !B1 & B2 & !B3"; - value : 14.427583; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !B1 & B2 & B3"; - value : 17.856950; - } - leakage_power () { - when : "!A1 & !A2 & A3 & B1 & !B2 & !B3"; - value : 15.792686; - } - leakage_power () { - when : "!A1 & !A2 & A3 & B1 & !B2 & B3"; - value : 17.898959; - } - leakage_power () { - when : "!A1 & !A2 & A3 & B1 & B2 & !B3"; - value : 17.910673; - } - leakage_power () { - when : "!A1 & !A2 & A3 & B1 & B2 & B3"; - value : 22.744121; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !B1 & !B2 & !B3"; - value : 23.517205; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !B1 & !B2 & B3"; - value : 14.427583; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !B1 & B2 & !B3"; - value : 14.575954; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !B1 & B2 & B3"; - value : 18.005264; - } - leakage_power () { - when : "!A1 & A2 & !A3 & B1 & !B2 & !B3"; - value : 15.941076; - } - leakage_power () { - when : "!A1 & A2 & !A3 & B1 & !B2 & B3"; - value : 18.047359; - } - leakage_power () { - when : "!A1 & A2 & !A3 & B1 & B2 & !B3"; - value : 18.059072; - } - leakage_power () { - when : "!A1 & A2 & !A3 & B1 & B2 & B3"; - value : 22.892520; - } - leakage_power () { - when : "!A1 & A2 & A3 & !B1 & !B2 & !B3"; - value : 23.544466; - } - leakage_power () { - when : "!A1 & A2 & A3 & !B1 & !B2 & B3"; - value : 17.855848; - } - leakage_power () { - when : "!A1 & A2 & A3 & !B1 & B2 & !B3"; - value : 18.004153; - } - leakage_power () { - when : "!A1 & A2 & A3 & !B1 & B2 & B3"; - value : 21.433748; - } - leakage_power () { - when : "!A1 & A2 & A3 & B1 & !B2 & !B3"; - value : 19.369179; - } - leakage_power () { - when : "!A1 & A2 & A3 & B1 & !B2 & B3"; - value : 21.475899; - } - leakage_power () { - when : "!A1 & A2 & A3 & B1 & B2 & !B3"; - value : 21.487623; - } - leakage_power () { - when : "!A1 & A2 & A3 & B1 & B2 & B3"; - value : 26.321171; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !B1 & !B2 & !B3"; - value : 23.517300; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !B1 & !B2 & B3"; - value : 15.792676; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !B1 & B2 & !B3"; - value : 15.941076; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !B1 & B2 & B3"; - value : 19.370433; - } - leakage_power () { - when : "A1 & !A2 & !A3 & B1 & !B2 & !B3"; - value : 17.306150; - } - leakage_power () { - when : "A1 & !A2 & !A3 & B1 & !B2 & B3"; - value : 19.412566; - } - leakage_power () { - when : "A1 & !A2 & !A3 & B1 & B2 & !B3"; - value : 19.424289; - } - leakage_power () { - when : "A1 & !A2 & !A3 & B1 & B2 & B3"; - value : 24.257746; - } - leakage_power () { - when : "A1 & !A2 & A3 & !B1 & !B2 & !B3"; - value : 23.544371; - } - leakage_power () { - when : "A1 & !A2 & A3 & !B1 & !B2 & B3"; - value : 17.897848; - } - leakage_power () { - when : "A1 & !A2 & A3 & !B1 & B2 & !B3"; - value : 18.046238; - } - leakage_power () { - when : "A1 & !A2 & A3 & !B1 & B2 & B3"; - value : 21.475899; - } - leakage_power () { - when : "A1 & !A2 & A3 & B1 & !B2 & !B3"; - value : 19.411302; - } - leakage_power () { - when : "A1 & !A2 & A3 & B1 & !B2 & B3"; - value : 21.518013; - } - leakage_power () { - when : "A1 & !A2 & A3 & B1 & B2 & !B3"; - value : 21.529736; - } - leakage_power () { - when : "A1 & !A2 & A3 & B1 & B2 & B3"; - value : 26.363280; - } - leakage_power () { - when : "A1 & A2 & !A3 & !B1 & !B2 & !B3"; - value : 23.544466; - } - leakage_power () { - when : "A1 & A2 & !A3 & !B1 & !B2 & B3"; - value : 17.909571; - } - leakage_power () { - when : "A1 & A2 & !A3 & !B1 & B2 & !B3"; - value : 18.057961; - } - leakage_power () { - when : "A1 & A2 & !A3 & !B1 & B2 & B3"; - value : 21.487613; - } - leakage_power () { - when : "A1 & A2 & !A3 & B1 & !B2 & !B3"; - value : 19.423025; - } - leakage_power () { - when : "A1 & A2 & !A3 & B1 & !B2 & B3"; - value : 21.529736; - } - leakage_power () { - when : "A1 & A2 & !A3 & B1 & B2 & !B3"; - value : 21.541459; - } - leakage_power () { - when : "A1 & A2 & !A3 & B1 & B2 & B3"; - value : 26.375003; - } - leakage_power () { - when : "A1 & A2 & A3 & !B1 & !B2 & !B3"; - value : 23.147944; - } - leakage_power () { - when : "A1 & A2 & A3 & !B1 & !B2 & B3"; - value : 22.741603; - } - leakage_power () { - when : "A1 & A2 & A3 & !B1 & B2 & !B3"; - value : 22.889984; - } - leakage_power () { - when : "A1 & A2 & A3 & !B1 & B2 & B3"; - value : 26.320278; - } - leakage_power () { - when : "A1 & A2 & A3 & B1 & !B2 & !B3"; - value : 24.254934; - } - leakage_power () { - when : "A1 & A2 & A3 & B1 & !B2 & B3"; - value : 26.362395; - } - leakage_power () { - when : "A1 & A2 & A3 & B1 & B2 & !B3"; - value : 26.374118; - } - leakage_power () { - when : "A1 & A2 & A3 & B1 & B2 & B3"; - value : 31.207852; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.611895; - fall_capacitance : 1.372980; - rise_capacitance : 1.611895; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.563241; - fall_capacitance : 1.446584; - rise_capacitance : 1.563241; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.530969; - fall_capacitance : 1.495599; - rise_capacitance : 1.530969; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.580179; - fall_capacitance : 1.352269; - rise_capacitance : 1.580179; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.555800; - fall_capacitance : 1.432965; - rise_capacitance : 1.555800; - } - - pin (B3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.534983; - fall_capacitance : 1.482902; - rise_capacitance : 1.534983; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 11.474640; - function : "!(((A1 | A2) | A3) & ((B1 | B2) | B3))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & !B2 & B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.023174,0.024797,0.027632,0.032560,0.041079,0.055743,0.081022", \ - "0.027968,0.029601,0.032462,0.037432,0.045999,0.060719,0.086040", \ - "0.046404,0.048041,0.050841,0.055416,0.063537,0.077960,0.103124", \ - "0.069138,0.071561,0.075779,0.082855,0.093997,0.110982,0.136265", \ - "0.088210,0.091597,0.097124,0.107143,0.122825,0.146608,0.181402", \ - "0.100587,0.105158,0.112644,0.125646,0.146320,0.177817,0.223718", \ - "0.104498,0.110323,0.120047,0.136474,0.162657,0.202511,0.260675"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.112482,0.121688,0.138009,0.166606,0.216964,0.305539,0.462404", \ - "0.111895,0.121355,0.138005,0.167124,0.218316,0.307839,0.465479", \ - "0.121718,0.130897,0.147260,0.176012,0.227002,0.317003,0.475466", \ - "0.152173,0.160736,0.176175,0.204038,0.253775,0.342435,0.499893", \ - "0.201789,0.211470,0.228040,0.254750,0.302356,0.389067,0.544284", \ - "0.256758,0.268445,0.288382,0.321207,0.374482,0.459491,0.612085", \ - "0.317729,0.331342,0.354654,0.393049,0.455232,0.552936,0.705289"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.017806,0.019139,0.021471,0.025540,0.032571,0.044747,0.065911", \ - "0.017814,0.019169,0.021513,0.025586,0.032624,0.044785,0.065916", \ - "0.019955,0.020820,0.022494,0.025732,0.032072,0.044346,0.065744", \ - "0.032563,0.033694,0.035508,0.038467,0.043313,0.051198,0.067366", \ - "0.049009,0.050591,0.053295,0.057199,0.063421,0.073103,0.087912", \ - "0.069446,0.071493,0.075035,0.080384,0.088478,0.100674,0.118668", \ - "0.093972,0.096601,0.101038,0.107840,0.118190,0.133432,0.155339"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.119127,0.128020,0.143997,0.171664,0.220025,0.304211,0.451888", \ - "0.119071,0.128010,0.143972,0.171558,0.220052,0.304206,0.451862", \ - "0.118780,0.127791,0.143944,0.171544,0.219966,0.304198,0.451845", \ - "0.118297,0.126735,0.142143,0.171015,0.219842,0.304179,0.451838", \ - "0.134117,0.140773,0.153185,0.176374,0.220153,0.304046,0.451841", \ - "0.167171,0.173401,0.184827,0.202588,0.237818,0.309094,0.451734", \ - "0.205108,0.211297,0.222671,0.242525,0.276867,0.335950,0.459563"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & B2 & !B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022575,0.024090,0.026742,0.031358,0.039383,0.053327,0.077693", \ - "0.027417,0.028940,0.031613,0.036263,0.044327,0.058312,0.082717", \ - "0.044744,0.046383,0.049171,0.053745,0.061492,0.075369,0.099730", \ - "0.064218,0.066713,0.071117,0.078409,0.089817,0.107108,0.132573", \ - "0.078788,0.082444,0.088392,0.098905,0.115283,0.139902,0.175578", \ - "0.085893,0.090864,0.099006,0.112814,0.134725,0.167745,0.215172", \ - "0.084038,0.090413,0.101012,0.118695,0.146590,0.188578,0.248970"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.112467,0.121691,0.138086,0.166573,0.216840,0.305585,0.462394", \ - "0.111891,0.121349,0.138002,0.167107,0.218312,0.307829,0.465463", \ - "0.121714,0.130892,0.147250,0.176012,0.226995,0.316992,0.475449", \ - "0.152169,0.160745,0.176172,0.204030,0.253768,0.342425,0.499876", \ - "0.201785,0.211420,0.227953,0.254749,0.302351,0.389057,0.544268", \ - "0.256753,0.268430,0.288353,0.321200,0.374476,0.459482,0.612070", \ - "0.317721,0.331333,0.354565,0.393040,0.455222,0.552926,0.705276"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.016106,0.017311,0.019421,0.023166,0.029797,0.041519,0.062357", \ - "0.016054,0.017276,0.019410,0.023168,0.029797,0.041519,0.062350", \ - "0.018533,0.019290,0.020783,0.023757,0.029640,0.041309,0.062313", \ - "0.030762,0.031899,0.033776,0.036787,0.041721,0.049644,0.065064", \ - "0.047291,0.048906,0.051720,0.055727,0.062112,0.071887,0.086807", \ - "0.068194,0.070289,0.074053,0.079441,0.087652,0.099963,0.117978", \ - "0.093513,0.096188,0.100864,0.107767,0.118118,0.133376,0.155221"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.117649,0.126371,0.141793,0.168915,0.215898,0.296919,0.437505", \ - "0.117604,0.126272,0.141823,0.168906,0.215823,0.296942,0.437537", \ - "0.117253,0.126125,0.141726,0.168811,0.215782,0.296939,0.437541", \ - "0.116774,0.125014,0.140105,0.168247,0.215742,0.296925,0.437502", \ - "0.132660,0.138978,0.151120,0.173632,0.216154,0.296716,0.437544", \ - "0.164518,0.170634,0.181921,0.199831,0.233797,0.301876,0.437417", \ - "0.200546,0.206729,0.217887,0.237619,0.271614,0.328757,0.445333"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & B2 & B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.017095,0.018212,0.020166,0.023568,0.029484,0.039750,0.057650", \ - "0.022267,0.023378,0.025332,0.028747,0.034676,0.044964,0.062891", \ - "0.039307,0.040722,0.043104,0.047023,0.053191,0.063204,0.081003", \ - "0.056700,0.058963,0.062789,0.069171,0.079064,0.093937,0.115501", \ - "0.069433,0.072727,0.078098,0.087420,0.101927,0.123478,0.154384", \ - "0.074779,0.079334,0.086749,0.099181,0.118987,0.148311,0.190071", \ - "0.071063,0.076975,0.086773,0.102936,0.128358,0.166275,0.220165"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.112267,0.121472,0.137825,0.166392,0.216756,0.305474,0.462406", \ - "0.111027,0.120420,0.137079,0.166274,0.217368,0.306977,0.464720", \ - "0.121187,0.130304,0.146542,0.175245,0.226064,0.315935,0.474309", \ - "0.152087,0.160655,0.176018,0.203846,0.253476,0.341944,0.499150", \ - "0.202003,0.211630,0.228124,0.254916,0.302464,0.388980,0.544148", \ - "0.257338,0.268988,0.288846,0.321777,0.374969,0.459876,0.612422", \ - "0.318817,0.332364,0.355579,0.394046,0.456132,0.553736,0.706023"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011570,0.012485,0.014086,0.016899,0.021851,0.030572,0.046012", \ - "0.011480,0.012410,0.014033,0.016866,0.021833,0.030564,0.046006", \ - "0.015970,0.016616,0.017700,0.019480,0.023060,0.030594,0.045917", \ - "0.027712,0.028639,0.030190,0.032646,0.036620,0.042839,0.052729", \ - "0.043573,0.044961,0.047322,0.050696,0.055997,0.064017,0.075961", \ - "0.063904,0.065678,0.068932,0.073606,0.080526,0.090734,0.105570", \ - "0.088674,0.091015,0.095064,0.101174,0.110115,0.122967,0.141087"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.120759,0.129543,0.145144,0.172579,0.219952,0.301279,0.442159", \ - "0.120735,0.129561,0.145153,0.172514,0.219951,0.301317,0.442145", \ - "0.120395,0.129321,0.145043,0.172462,0.219904,0.301318,0.442142", \ - "0.119959,0.128249,0.143375,0.171898,0.219832,0.301340,0.442133", \ - "0.135722,0.142264,0.154423,0.177193,0.220108,0.301076,0.442155", \ - "0.168355,0.174469,0.185485,0.203258,0.237709,0.306157,0.442003", \ - "0.205262,0.211390,0.222224,0.241772,0.275595,0.332887,0.449881"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & !B2 & !B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.018344,0.019718,0.022149,0.026449,0.034060,0.047522,0.071393", \ - "0.023089,0.024485,0.026953,0.031305,0.038974,0.052495,0.076407", \ - "0.038363,0.040202,0.043297,0.048292,0.056072,0.069526,0.093424", \ - "0.052350,0.055307,0.060404,0.068600,0.081168,0.099680,0.126272", \ - "0.060128,0.064538,0.071827,0.083772,0.102048,0.128758,0.166457", \ - "0.059787,0.065792,0.075581,0.091643,0.116261,0.152268,0.202668", \ - "0.050030,0.057713,0.070338,0.090820,0.122272,0.168265,0.232661"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.108208,0.117396,0.133576,0.162119,0.212309,0.300646,0.456987", \ - "0.107576,0.116962,0.133521,0.162754,0.213528,0.302884,0.460065", \ - "0.117472,0.126581,0.142824,0.171688,0.222480,0.312030,0.470022", \ - "0.148189,0.156670,0.171946,0.199671,0.249256,0.337526,0.494468", \ - "0.196974,0.206747,0.223355,0.250695,0.297967,0.384308,0.538913", \ - "0.250765,0.262581,0.282590,0.315891,0.369610,0.454879,0.606752", \ - "0.310504,0.324265,0.347677,0.386568,0.449380,0.547683,0.700159"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.012258,0.013445,0.015549,0.019280,0.025917,0.037659,0.058522", \ - "0.012169,0.013379,0.015517,0.019270,0.025914,0.037671,0.058532", \ - "0.016909,0.017738,0.019169,0.021617,0.026768,0.037584,0.058527", \ - "0.029750,0.030953,0.032785,0.035799,0.040755,0.048635,0.062812", \ - "0.047190,0.048809,0.051548,0.055527,0.061793,0.071445,0.086132", \ - "0.069161,0.071212,0.074906,0.080182,0.088103,0.100113,0.117822", \ - "0.095583,0.098203,0.102697,0.109420,0.119444,0.134264,0.155603"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.086007,0.094009,0.108385,0.133424,0.177702,0.255789,0.394054", \ - "0.085965,0.093986,0.108369,0.133489,0.177546,0.255783,0.394045", \ - "0.085586,0.093743,0.108235,0.133405,0.177661,0.255783,0.394043", \ - "0.085430,0.092865,0.106627,0.132623,0.177333,0.255771,0.394052", \ - "0.102462,0.107871,0.118390,0.138333,0.177828,0.255529,0.394043", \ - "0.124910,0.131793,0.143927,0.163759,0.195782,0.260820,0.393890", \ - "0.151025,0.158702,0.172093,0.193981,0.229939,0.287753,0.402112"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & !B2 & B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.014475,0.015481,0.017257,0.020404,0.025966,0.035826,0.053298", \ - "0.019540,0.020553,0.022358,0.025537,0.031135,0.041026,0.058535", \ - "0.034017,0.035604,0.038275,0.042559,0.049169,0.059207,0.076638", \ - "0.046447,0.049115,0.053590,0.060805,0.071752,0.087726,0.110352", \ - "0.052865,0.056878,0.063410,0.074134,0.090441,0.113932,0.146678", \ - "0.051116,0.056662,0.065623,0.080251,0.102587,0.134793,0.179291", \ - "0.039761,0.046940,0.058700,0.077585,0.106454,0.148204,0.205890"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.107958,0.117128,0.133360,0.161901,0.211990,0.300363,0.456818", \ - "0.106660,0.116023,0.132587,0.161606,0.212604,0.301879,0.459159", \ - "0.116921,0.125979,0.142128,0.170833,0.221476,0.310856,0.468752", \ - "0.148078,0.156565,0.171764,0.199464,0.248885,0.336948,0.493559", \ - "0.197146,0.206890,0.223493,0.250802,0.298012,0.384234,0.538647", \ - "0.251285,0.263083,0.283131,0.316348,0.370003,0.455165,0.606979", \ - "0.311509,0.325240,0.348619,0.387444,0.450188,0.548396,0.700788"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008888,0.009762,0.011316,0.014075,0.018980,0.027676,0.043137", \ - "0.008857,0.009732,0.011303,0.014071,0.018976,0.027679,0.043126", \ - "0.014826,0.015474,0.016573,0.018448,0.021579,0.028415,0.043132", \ - "0.027075,0.028014,0.029582,0.032057,0.036026,0.042221,0.051841", \ - "0.043936,0.045244,0.047600,0.050907,0.056040,0.063927,0.075679", \ - "0.065407,0.067172,0.070265,0.074859,0.081449,0.091345,0.105756", \ - "0.091396,0.093684,0.097548,0.103420,0.112008,0.124369,0.141902"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.086016,0.093986,0.108300,0.133449,0.177563,0.255873,0.394093", \ - "0.085964,0.093956,0.108289,0.133440,0.177605,0.255803,0.394086", \ - "0.085570,0.093699,0.108158,0.133369,0.177671,0.255807,0.394078", \ - "0.085448,0.092861,0.106606,0.132544,0.177355,0.255794,0.394081", \ - "0.102391,0.107760,0.118295,0.138339,0.177815,0.255559,0.394081", \ - "0.124680,0.131539,0.143682,0.163618,0.195689,0.260841,0.393926", \ - "0.150542,0.158193,0.171525,0.193590,0.229618,0.287504,0.402039"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & B2 & !B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.014475,0.015481,0.017257,0.020404,0.025966,0.035826,0.053298", \ - "0.019540,0.020553,0.022358,0.025537,0.031135,0.041026,0.058535", \ - "0.034017,0.035604,0.038275,0.042559,0.049169,0.059207,0.076638", \ - "0.046447,0.049115,0.053590,0.060805,0.071752,0.087726,0.110352", \ - "0.052865,0.056878,0.063410,0.074134,0.090441,0.113932,0.146678", \ - "0.051116,0.056662,0.065623,0.080251,0.102587,0.134793,0.179291", \ - "0.039761,0.046940,0.058700,0.077585,0.106454,0.148204,0.205890"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.107958,0.117128,0.133360,0.161901,0.211990,0.300363,0.456818", \ - "0.106660,0.116023,0.132587,0.161606,0.212604,0.301879,0.459159", \ - "0.116921,0.125979,0.142128,0.170833,0.221476,0.310856,0.468752", \ - "0.148078,0.156565,0.171764,0.199464,0.248885,0.336948,0.493559", \ - "0.197146,0.206890,0.223493,0.250802,0.298012,0.384234,0.538647", \ - "0.251285,0.263083,0.283131,0.316348,0.370003,0.455165,0.606979", \ - "0.311509,0.325240,0.348619,0.387444,0.450188,0.548396,0.700788"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008888,0.009762,0.011316,0.014075,0.018980,0.027676,0.043137", \ - "0.008857,0.009732,0.011303,0.014071,0.018976,0.027679,0.043126", \ - "0.014826,0.015474,0.016573,0.018448,0.021579,0.028415,0.043132", \ - "0.027075,0.028014,0.029582,0.032057,0.036026,0.042221,0.051841", \ - "0.043936,0.045244,0.047600,0.050907,0.056040,0.063927,0.075679", \ - "0.065407,0.067172,0.070265,0.074859,0.081449,0.091345,0.105756", \ - "0.091396,0.093684,0.097548,0.103420,0.112008,0.124369,0.141902"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.086016,0.093986,0.108300,0.133449,0.177563,0.255873,0.394093", \ - "0.085964,0.093956,0.108289,0.133440,0.177605,0.255803,0.394086", \ - "0.085570,0.093699,0.108158,0.133369,0.177671,0.255807,0.394078", \ - "0.085448,0.092861,0.106606,0.132544,0.177355,0.255794,0.394081", \ - "0.102391,0.107760,0.118295,0.138339,0.177815,0.255559,0.394081", \ - "0.124680,0.131539,0.143682,0.163618,0.195689,0.260841,0.393926", \ - "0.150542,0.158193,0.171525,0.193590,0.229618,0.287504,0.402039"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & B2 & B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013130,0.014018,0.015591,0.018371,0.023288,0.031992,0.047432", \ - "0.018322,0.019216,0.020809,0.023614,0.028556,0.037295,0.052757", \ - "0.032399,0.033903,0.036427,0.040453,0.046662,0.055887,0.071264", \ - "0.044296,0.046856,0.051118,0.057969,0.068355,0.083434,0.104695", \ - "0.050274,0.054145,0.060487,0.070702,0.086261,0.108650,0.139624", \ - "0.048060,0.053440,0.062153,0.076294,0.097733,0.128564,0.170999", \ - "0.036177,0.043173,0.054620,0.072929,0.100876,0.141127,0.196415"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.107777,0.116952,0.133165,0.161745,0.211785,0.300188,0.456639", \ - "0.106247,0.115599,0.132088,0.161227,0.212093,0.301428,0.458688", \ - "0.116741,0.125772,0.141891,0.170479,0.221074,0.310399,0.468227", \ - "0.148049,0.156530,0.171754,0.199396,0.248770,0.336724,0.493239", \ - "0.197199,0.206947,0.223527,0.250837,0.298035,0.384188,0.538553", \ - "0.251450,0.263241,0.283244,0.316487,0.370123,0.455267,0.607044", \ - "0.311832,0.325552,0.348919,0.387728,0.450439,0.548622,0.700982"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007832,0.008601,0.009980,0.012430,0.016778,0.024503,0.038223", \ - "0.007815,0.008587,0.009972,0.012426,0.016781,0.024505,0.038220", \ - "0.014109,0.014706,0.015730,0.017408,0.020140,0.025771,0.038215", \ - "0.026134,0.026998,0.028451,0.030741,0.034405,0.040074,0.048779", \ - "0.042768,0.044016,0.046210,0.049276,0.054047,0.061317,0.072104", \ - "0.064069,0.065735,0.068689,0.072940,0.079106,0.088226,0.101508", \ - "0.089941,0.092107,0.095764,0.101393,0.109376,0.120860,0.137068"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.086016,0.093978,0.108279,0.133502,0.177573,0.255879,0.394095", \ - "0.085962,0.093947,0.108275,0.133464,0.177596,0.255806,0.394093", \ - "0.085565,0.093686,0.108141,0.133350,0.177670,0.255808,0.394093", \ - "0.085454,0.092859,0.106596,0.132636,0.177360,0.255815,0.394084", \ - "0.102367,0.107743,0.118256,0.138334,0.177830,0.255615,0.394082", \ - "0.124603,0.131432,0.143539,0.163565,0.195645,0.260845,0.393930", \ - "0.150381,0.158026,0.171388,0.193453,0.229525,0.287425,0.402008"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & !B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.029096,0.030749,0.033645,0.038661,0.047298,0.062126,0.087607", \ - "0.033709,0.035373,0.038286,0.043323,0.051984,0.066830,0.092331", \ - "0.051639,0.053146,0.055770,0.060552,0.069008,0.083700,0.109099", \ - "0.077870,0.079950,0.083800,0.090394,0.100853,0.116996,0.141918", \ - "0.101171,0.104229,0.109085,0.118311,0.132945,0.155423,0.188825", \ - "0.118550,0.122640,0.129327,0.141263,0.160383,0.189963,0.233891", \ - "0.128102,0.133307,0.141987,0.157005,0.181180,0.218568,0.273985"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.154352,0.163482,0.179712,0.208044,0.258096,0.346616,0.503381", \ - "0.155836,0.165127,0.181665,0.210339,0.261076,0.350100,0.507381", \ - "0.168265,0.177499,0.193966,0.222612,0.273507,0.363049,0.521211", \ - "0.196470,0.205362,0.221365,0.249804,0.300215,0.389448,0.547431", \ - "0.238105,0.247868,0.264356,0.292553,0.342526,0.431448,0.588838", \ - "0.285522,0.296806,0.316211,0.348769,0.403325,0.492220,0.649343", \ - "0.341509,0.354395,0.376512,0.413223,0.473834,0.572113,0.730976"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022195,0.023457,0.025670,0.029563,0.036425,0.048446,0.069550", \ - "0.022182,0.023454,0.025658,0.029579,0.036434,0.048465,0.069555", \ - "0.022164,0.023256,0.025248,0.028910,0.035774,0.048196,0.069443", \ - "0.034002,0.035158,0.036958,0.039872,0.044715,0.052943,0.070252", \ - "0.050348,0.051847,0.054594,0.058448,0.064670,0.074321,0.089163", \ - "0.070507,0.072480,0.076024,0.081307,0.089475,0.101711,0.119751", \ - "0.094424,0.097017,0.101652,0.108335,0.118699,0.134080,0.156183"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.119114,0.128034,0.143960,0.171644,0.219951,0.304214,0.451842", \ - "0.119137,0.127972,0.143954,0.171559,0.220019,0.304186,0.451844", \ - "0.119154,0.127956,0.144025,0.171565,0.220012,0.304199,0.451931", \ - "0.119306,0.128063,0.143899,0.171576,0.219958,0.304189,0.451865", \ - "0.127885,0.135258,0.149238,0.174249,0.220098,0.304189,0.451842", \ - "0.153551,0.160312,0.172499,0.192176,0.231577,0.307530,0.451911", \ - "0.183708,0.190009,0.201916,0.222500,0.259852,0.325478,0.457466"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.027761,0.029329,0.032075,0.036838,0.045081,0.059317,0.084029", \ - "0.032428,0.034001,0.036754,0.041537,0.049788,0.064034,0.088754", \ - "0.049812,0.051328,0.053903,0.058454,0.066596,0.080791,0.105481", \ - "0.073183,0.075388,0.079337,0.086089,0.096776,0.113191,0.138076", \ - "0.092615,0.095826,0.100979,0.110650,0.125797,0.148981,0.183139", \ - "0.105410,0.109779,0.116908,0.129520,0.149605,0.180408,0.225623", \ - "0.109956,0.115551,0.124913,0.140854,0.166358,0.205414,0.262787"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.154341,0.163452,0.179619,0.208125,0.258114,0.346632,0.503297", \ - "0.155831,0.165120,0.181660,0.210339,0.261067,0.350090,0.507365", \ - "0.168261,0.177498,0.193955,0.222615,0.273499,0.363038,0.521193", \ - "0.196467,0.205362,0.221364,0.249798,0.300208,0.389438,0.547414", \ - "0.238101,0.247896,0.264212,0.292552,0.342519,0.431437,0.588821", \ - "0.285517,0.296767,0.316247,0.348762,0.403317,0.492211,0.649325", \ - "0.341502,0.354364,0.376545,0.413214,0.473824,0.572102,0.730961"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.020061,0.021227,0.023306,0.026986,0.033560,0.045243,0.066073", \ - "0.020007,0.021193,0.023273,0.026975,0.033545,0.045243,0.066073", \ - "0.020533,0.021525,0.023371,0.026753,0.033159,0.045165,0.066073", \ - "0.032488,0.033647,0.035460,0.038415,0.043288,0.051308,0.067830", \ - "0.048912,0.050482,0.053285,0.057195,0.063469,0.073214,0.088122", \ - "0.069386,0.071518,0.075124,0.080479,0.088699,0.101018,0.119087", \ - "0.093812,0.096505,0.101095,0.107975,0.118489,0.133944,0.155998"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.117644,0.126277,0.141855,0.169025,0.215912,0.296894,0.437535", \ - "0.117639,0.126342,0.141805,0.168870,0.215905,0.296889,0.437545", \ - "0.117628,0.126345,0.141735,0.168848,0.215899,0.296925,0.437576", \ - "0.117821,0.126446,0.141781,0.168842,0.215905,0.296906,0.437538", \ - "0.126401,0.133665,0.147029,0.171567,0.216055,0.296850,0.437545", \ - "0.151068,0.157682,0.169980,0.189310,0.227574,0.300304,0.437560", \ - "0.179850,0.186029,0.197569,0.217993,0.254702,0.318273,0.443232"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.020376,0.021558,0.023617,0.027182,0.033317,0.043865,0.062081", \ - "0.025419,0.026590,0.028645,0.032205,0.038337,0.048881,0.067099", \ - "0.043450,0.044743,0.046959,0.050621,0.056535,0.066862,0.084986", \ - "0.064309,0.066304,0.069760,0.075637,0.084893,0.098981,0.119746", \ - "0.081517,0.084431,0.089049,0.097573,0.110969,0.131234,0.160779", \ - "0.092202,0.096195,0.102707,0.113883,0.131934,0.159350,0.199071", \ - "0.094585,0.099750,0.108321,0.122773,0.145939,0.181154,0.232205"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.154158,0.163272,0.179508,0.207934,0.257981,0.346539,0.503274", \ - "0.155070,0.164293,0.180702,0.209523,0.260251,0.349305,0.506661", \ - "0.167850,0.176982,0.193307,0.221985,0.272739,0.362126,0.520174", \ - "0.196322,0.205289,0.221251,0.249581,0.299893,0.388991,0.546747", \ - "0.238082,0.247816,0.264321,0.292446,0.342465,0.431215,0.588587", \ - "0.285596,0.296830,0.316288,0.348848,0.403388,0.492215,0.649241", \ - "0.341874,0.354708,0.376729,0.413512,0.474073,0.572299,0.731100"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.014751,0.015638,0.017193,0.019952,0.024829,0.033468,0.048847", \ - "0.014529,0.015441,0.017041,0.019840,0.024753,0.033433,0.048835", \ - "0.017373,0.018005,0.019049,0.021063,0.025101,0.033219,0.048770", \ - "0.029064,0.029997,0.031506,0.033888,0.037787,0.043943,0.054192", \ - "0.044716,0.046069,0.048443,0.051728,0.056946,0.064939,0.076864", \ - "0.064520,0.066329,0.069467,0.074136,0.081059,0.091348,0.106212", \ - "0.088341,0.090652,0.094846,0.100867,0.109851,0.122987,0.141413"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.120776,0.129571,0.145152,0.172611,0.219903,0.301309,0.442138", \ - "0.120798,0.129503,0.145101,0.172556,0.219968,0.301277,0.442144", \ - "0.120784,0.129531,0.145146,0.172526,0.219950,0.301310,0.442131", \ - "0.120957,0.129686,0.145173,0.172421,0.219895,0.301319,0.442140", \ - "0.129526,0.136881,0.150449,0.175142,0.220026,0.301261,0.442153", \ - "0.154977,0.161610,0.173541,0.192894,0.231595,0.304698,0.442123", \ - "0.184499,0.190659,0.201981,0.222315,0.258999,0.322672,0.447833"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022439,0.023961,0.026626,0.031258,0.039286,0.053226,0.077571", \ - "0.027129,0.028649,0.031321,0.035959,0.043992,0.057942,0.082295", \ - "0.043884,0.045537,0.048381,0.053025,0.060823,0.074713,0.099041", \ - "0.062690,0.065212,0.069719,0.077104,0.088662,0.106090,0.131693", \ - "0.076481,0.080207,0.086213,0.096974,0.113584,0.138435,0.174358", \ - "0.083004,0.088071,0.096354,0.110401,0.132622,0.165918,0.213641", \ - "0.080878,0.087353,0.098072,0.116026,0.144211,0.186502,0.247219"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.150126,0.159198,0.175245,0.203599,0.253577,0.341707,0.497940", \ - "0.151537,0.160763,0.177124,0.205901,0.256269,0.345127,0.501969", \ - "0.164014,0.173151,0.189445,0.218200,0.268733,0.358115,0.515729", \ - "0.192235,0.201076,0.216947,0.245265,0.295549,0.384546,0.541998", \ - "0.233389,0.243287,0.259883,0.288201,0.338015,0.426472,0.583402", \ - "0.280032,0.291383,0.310856,0.343685,0.398415,0.487488,0.643888", \ - "0.335000,0.347993,0.370185,0.407303,0.468253,0.566875,0.725754"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.016634,0.017782,0.019832,0.023482,0.029987,0.041608,0.062372", \ - "0.016451,0.017635,0.019712,0.023406,0.029944,0.041592,0.062353", \ - "0.019009,0.019794,0.021283,0.024204,0.030008,0.041503,0.062369", \ - "0.031579,0.032739,0.034538,0.037491,0.042358,0.050172,0.065417", \ - "0.048467,0.050070,0.052866,0.056772,0.063042,0.072705,0.087450", \ - "0.069427,0.071584,0.075223,0.080644,0.088806,0.100987,0.118834", \ - "0.094226,0.096947,0.101720,0.108573,0.119057,0.134325,0.156137"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.086031,0.094015,0.108393,0.133409,0.177712,0.255790,0.394057", \ - "0.086035,0.094021,0.108395,0.133476,0.177557,0.255788,0.394046", \ - "0.086039,0.094031,0.108391,0.133472,0.177532,0.255780,0.394060", \ - "0.086242,0.094154,0.108426,0.133366,0.177488,0.255776,0.394047", \ - "0.095282,0.101879,0.113941,0.136079,0.177651,0.255807,0.394057", \ - "0.113138,0.120200,0.132845,0.153681,0.189242,0.259098,0.394039", \ - "0.135160,0.142282,0.155102,0.176497,0.213638,0.277048,0.399885"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & !B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.016883,0.018017,0.019999,0.023439,0.029387,0.039676,0.057581", \ - "0.021976,0.023094,0.025061,0.028483,0.034415,0.044695,0.062600", \ - "0.038547,0.039974,0.042427,0.046389,0.052608,0.062699,0.080501", \ - "0.055299,0.057608,0.061532,0.068010,0.078054,0.093055,0.114767", \ - "0.067305,0.070682,0.076156,0.085674,0.100406,0.122236,0.153337", \ - "0.072064,0.076717,0.084248,0.096929,0.116991,0.146690,0.188770", \ - "0.068044,0.074053,0.083984,0.100368,0.126098,0.164367,0.218585"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.149895,0.158959,0.175008,0.203298,0.253292,0.341456,0.497736", \ - "0.150744,0.159950,0.176268,0.204982,0.255413,0.344261,0.501124", \ - "0.163573,0.172679,0.188856,0.217452,0.267915,0.357116,0.514605", \ - "0.192088,0.200986,0.216757,0.245016,0.295186,0.383994,0.541196", \ - "0.233328,0.243221,0.259954,0.288071,0.337869,0.426252,0.582992", \ - "0.280059,0.291392,0.310900,0.343680,0.398417,0.487417,0.643710", \ - "0.335307,0.348289,0.370455,0.407522,0.468420,0.566980,0.725782"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.012127,0.013006,0.014556,0.017294,0.022137,0.030732,0.046072", \ - "0.011857,0.012761,0.014361,0.017145,0.022039,0.030684,0.046059", \ - "0.016440,0.017064,0.018114,0.019891,0.023421,0.030872,0.046036", \ - "0.028484,0.029403,0.030925,0.033332,0.037231,0.043344,0.053146", \ - "0.044734,0.046047,0.048393,0.051689,0.056891,0.064780,0.076578", \ - "0.065100,0.066916,0.070142,0.074799,0.081594,0.091703,0.106344", \ - "0.089423,0.091813,0.095932,0.102126,0.111016,0.123896,0.141957"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.086039,0.093994,0.108320,0.133427,0.177599,0.255805,0.394084", \ - "0.086044,0.093995,0.108289,0.133435,0.177548,0.255806,0.394079", \ - "0.086049,0.094002,0.108294,0.133418,0.177550,0.255796,0.394089", \ - "0.086251,0.094126,0.108363,0.133372,0.177500,0.255798,0.394081", \ - "0.095305,0.101887,0.114006,0.136063,0.177634,0.255898,0.394090", \ - "0.113141,0.120107,0.132780,0.153708,0.189283,0.259130,0.394073", \ - "0.135059,0.142135,0.154911,0.176441,0.213609,0.277049,0.399918"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.016883,0.018017,0.019999,0.023439,0.029387,0.039676,0.057581", \ - "0.021976,0.023094,0.025061,0.028483,0.034415,0.044695,0.062600", \ - "0.038547,0.039974,0.042427,0.046389,0.052608,0.062699,0.080501", \ - "0.055299,0.057608,0.061532,0.068010,0.078054,0.093055,0.114767", \ - "0.067305,0.070682,0.076156,0.085674,0.100406,0.122236,0.153337", \ - "0.072064,0.076717,0.084248,0.096929,0.116991,0.146690,0.188770", \ - "0.068044,0.074053,0.083984,0.100368,0.126098,0.164367,0.218585"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.149895,0.158959,0.175008,0.203298,0.253292,0.341456,0.497736", \ - "0.150744,0.159950,0.176268,0.204982,0.255413,0.344261,0.501124", \ - "0.163573,0.172679,0.188856,0.217452,0.267915,0.357116,0.514605", \ - "0.192088,0.200986,0.216757,0.245016,0.295186,0.383994,0.541196", \ - "0.233328,0.243221,0.259954,0.288071,0.337869,0.426252,0.582992", \ - "0.280059,0.291392,0.310900,0.343680,0.398417,0.487417,0.643710", \ - "0.335307,0.348289,0.370455,0.407522,0.468420,0.566980,0.725782"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.012127,0.013006,0.014556,0.017294,0.022137,0.030732,0.046072", \ - "0.011857,0.012761,0.014361,0.017145,0.022039,0.030684,0.046059", \ - "0.016440,0.017064,0.018114,0.019891,0.023421,0.030872,0.046036", \ - "0.028484,0.029403,0.030925,0.033332,0.037231,0.043344,0.053146", \ - "0.044734,0.046047,0.048393,0.051689,0.056891,0.064780,0.076578", \ - "0.065100,0.066916,0.070142,0.074799,0.081594,0.091703,0.106344", \ - "0.089423,0.091813,0.095932,0.102126,0.111016,0.123896,0.141957"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.086039,0.093994,0.108320,0.133427,0.177599,0.255805,0.394084", \ - "0.086044,0.093995,0.108289,0.133435,0.177548,0.255806,0.394079", \ - "0.086049,0.094002,0.108294,0.133418,0.177550,0.255796,0.394089", \ - "0.086251,0.094126,0.108363,0.133372,0.177500,0.255798,0.394081", \ - "0.095305,0.101887,0.114006,0.136063,0.177634,0.255898,0.394090", \ - "0.113141,0.120107,0.132780,0.153708,0.189283,0.259130,0.394073", \ - "0.135059,0.142135,0.154911,0.176441,0.213609,0.277049,0.399918"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.015039,0.016045,0.017805,0.020862,0.026142,0.035269,0.051126", \ - "0.020297,0.021282,0.023021,0.026049,0.031301,0.040410,0.056259", \ - "0.036593,0.037939,0.040245,0.043980,0.049817,0.058864,0.074586", \ - "0.052641,0.054835,0.058579,0.064728,0.074242,0.088401,0.108801", \ - "0.064030,0.067290,0.072549,0.081633,0.095699,0.116421,0.145880", \ - "0.068199,0.072709,0.080007,0.092175,0.111431,0.139851,0.179879", \ - "0.063552,0.069397,0.079025,0.094902,0.119758,0.156535,0.208460"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.149731,0.158779,0.174827,0.203136,0.253078,0.341325,0.497564", \ - "0.150382,0.159566,0.175843,0.204509,0.255002,0.343815,0.500670", \ - "0.163421,0.172501,0.188657,0.217171,0.267585,0.356715,0.514144", \ - "0.192014,0.200937,0.216698,0.244920,0.295052,0.383785,0.540873", \ - "0.233301,0.243201,0.259861,0.288038,0.337799,0.426113,0.582837", \ - "0.280058,0.291384,0.310804,0.343690,0.398298,0.487386,0.643631", \ - "0.335398,0.348368,0.370494,0.407581,0.468459,0.566996,0.725767"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010681,0.011465,0.012860,0.015311,0.019629,0.027273,0.040881", \ - "0.010384,0.011200,0.012635,0.015139,0.019513,0.027211,0.040860", \ - "0.015592,0.016162,0.017123,0.018733,0.021594,0.027780,0.040812", \ - "0.027405,0.028260,0.029657,0.031886,0.035477,0.041091,0.049741", \ - "0.043403,0.044663,0.046828,0.049914,0.054710,0.062025,0.072880", \ - "0.063603,0.065299,0.068307,0.072712,0.079074,0.088442,0.101995", \ - "0.087757,0.090022,0.093980,0.099805,0.108208,0.120248,0.136957"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.086037,0.093981,0.108286,0.133417,0.177557,0.255807,0.394081", \ - "0.086042,0.093981,0.108270,0.133420,0.177556,0.255806,0.394081", \ - "0.086049,0.093992,0.108267,0.133415,0.177551,0.255799,0.394094", \ - "0.086257,0.094118,0.108328,0.133368,0.177496,0.255799,0.394084", \ - "0.095313,0.101887,0.113965,0.136088,0.177639,0.255827,0.394088", \ - "0.113142,0.120101,0.132657,0.153745,0.189248,0.259136,0.394075", \ - "0.135025,0.142085,0.154830,0.176422,0.213598,0.277047,0.399922"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & !B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.029692,0.031507,0.034668,0.040096,0.049311,0.064871,0.091193", \ - "0.034225,0.036027,0.039167,0.044565,0.053754,0.069286,0.095585", \ - "0.052618,0.054120,0.056838,0.061852,0.070675,0.085931,0.112050", \ - "0.081126,0.083132,0.086946,0.093448,0.103763,0.119743,0.144843", \ - "0.107472,0.110335,0.115043,0.123939,0.138264,0.160276,0.193144", \ - "0.128487,0.132361,0.138713,0.150226,0.168700,0.197413,0.240328", \ - "0.142323,0.147230,0.155451,0.169791,0.192974,0.229080,0.283023"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.166125,0.175253,0.191416,0.219797,0.269974,0.358388,0.515111", \ - "0.169301,0.178591,0.195118,0.223813,0.274501,0.363617,0.520923", \ - "0.184359,0.193590,0.209937,0.238768,0.289513,0.379143,0.537276", \ - "0.212472,0.221450,0.237654,0.266104,0.316507,0.405786,0.563754", \ - "0.251185,0.260309,0.275992,0.304447,0.354545,0.443517,0.600985", \ - "0.293021,0.303424,0.321454,0.352473,0.405185,0.494013,0.651236", \ - "0.338083,0.349709,0.370097,0.403957,0.461294,0.557035,0.716085"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.026013,0.027320,0.029591,0.033549,0.040456,0.052463,0.073431", \ - "0.025554,0.026900,0.029212,0.033260,0.040231,0.052310,0.073356", \ - "0.024259,0.025468,0.027637,0.031561,0.038828,0.051645,0.073068", \ - "0.036005,0.037135,0.038896,0.041796,0.046598,0.055098,0.073074", \ - "0.052537,0.054089,0.056766,0.060557,0.066611,0.076138,0.090839", \ - "0.072828,0.074834,0.078344,0.083427,0.091403,0.103479,0.121329", \ - "0.096836,0.099351,0.103696,0.110328,0.120479,0.135673,0.157587"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.119135,0.127930,0.144008,0.171610,0.220009,0.304192,0.451846", \ - "0.119142,0.127932,0.144084,0.171571,0.219996,0.304196,0.451838", \ - "0.119131,0.127994,0.144054,0.171665,0.219958,0.304202,0.451933", \ - "0.119176,0.128096,0.144005,0.171621,0.219963,0.304200,0.451848", \ - "0.123362,0.131329,0.146156,0.172580,0.219992,0.304199,0.451860", \ - "0.141074,0.148561,0.162129,0.184769,0.227344,0.306360,0.451891", \ - "0.164303,0.171449,0.184650,0.207727,0.248721,0.320319,0.456655"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.027947,0.029659,0.032657,0.037818,0.046648,0.061673,0.087314", \ - "0.032529,0.034229,0.037204,0.042336,0.051125,0.066111,0.091721", \ - "0.050566,0.052090,0.054701,0.059457,0.067949,0.082714,0.108180", \ - "0.076368,0.078408,0.082334,0.089068,0.099603,0.115874,0.140854", \ - "0.099128,0.102195,0.107093,0.116446,0.131253,0.153925,0.187525", \ - "0.115981,0.120097,0.126838,0.138965,0.158269,0.188060,0.232226", \ - "0.125294,0.130540,0.139249,0.154454,0.178792,0.216383,0.272086"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.166105,0.175223,0.191422,0.219898,0.269880,0.358419,0.515171", \ - "0.169297,0.178591,0.195100,0.223807,0.274492,0.363606,0.520907", \ - "0.184356,0.193583,0.209922,0.238766,0.289505,0.379133,0.537257", \ - "0.212468,0.221447,0.237657,0.266098,0.316500,0.405776,0.563737", \ - "0.251181,0.260320,0.276038,0.304441,0.354538,0.443506,0.600968", \ - "0.293017,0.303442,0.321454,0.352467,0.405177,0.494004,0.651219", \ - "0.338077,0.349698,0.370091,0.403950,0.461285,0.557025,0.716071"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.023280,0.024519,0.026708,0.030559,0.037303,0.049137,0.069969", \ - "0.022861,0.024141,0.026365,0.030265,0.037081,0.048966,0.069888", \ - "0.022450,0.023544,0.025540,0.029192,0.035994,0.048500,0.069692", \ - "0.034645,0.035849,0.037646,0.040489,0.045313,0.053444,0.070590", \ - "0.051402,0.052936,0.055665,0.059481,0.065609,0.075186,0.089874", \ - "0.072081,0.074122,0.077633,0.082776,0.090811,0.102933,0.120769", \ - "0.096527,0.099127,0.103584,0.110241,0.120438,0.135633,0.157487"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.117624,0.126262,0.141828,0.168864,0.215874,0.296886,0.437575", \ - "0.117638,0.126328,0.141812,0.168856,0.215929,0.296931,0.437508", \ - "0.117665,0.126341,0.141902,0.168914,0.215861,0.296934,0.437576", \ - "0.117704,0.126341,0.141736,0.168914,0.215901,0.296928,0.437530", \ - "0.121838,0.129730,0.144083,0.169817,0.215963,0.296840,0.437545", \ - "0.138913,0.146258,0.159817,0.181906,0.223273,0.299132,0.437555", \ - "0.161016,0.167951,0.180821,0.203664,0.243862,0.313096,0.442393"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.019825,0.021102,0.023324,0.027157,0.033713,0.044860,0.063841", \ - "0.024947,0.026192,0.028374,0.032154,0.038646,0.049731,0.068658", \ - "0.043867,0.045177,0.047410,0.051123,0.057129,0.067771,0.086425", \ - "0.066780,0.068635,0.072093,0.077924,0.087046,0.101010,0.121637", \ - "0.086935,0.089679,0.094105,0.102371,0.115411,0.135235,0.164281", \ - "0.101339,0.105104,0.111225,0.121986,0.139291,0.165782,0.204559", \ - "0.108193,0.113027,0.121053,0.134732,0.156802,0.190609,0.240103"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.165919,0.175020,0.191196,0.219715,0.269823,0.358354,0.515051", \ - "0.168527,0.177778,0.194231,0.223051,0.273654,0.362796,0.520153", \ - "0.183947,0.193073,0.209380,0.238139,0.288737,0.378232,0.536263", \ - "0.212362,0.221342,0.237476,0.265916,0.316216,0.405317,0.563126", \ - "0.251163,0.260307,0.276114,0.304387,0.354456,0.443326,0.600714", \ - "0.293048,0.303438,0.321547,0.352507,0.405199,0.493976,0.651198", \ - "0.338204,0.349782,0.370067,0.404072,0.461390,0.557111,0.716131"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.016443,0.017429,0.019152,0.022136,0.027300,0.036239,0.051801", \ - "0.015922,0.016950,0.018727,0.021782,0.027018,0.036027,0.051666", \ - "0.018669,0.019274,0.020352,0.022499,0.026800,0.035298,0.051302", \ - "0.030858,0.031810,0.033255,0.035594,0.039405,0.045453,0.055865", \ - "0.046885,0.048206,0.050505,0.053690,0.058779,0.066555,0.078277", \ - "0.066818,0.068596,0.071654,0.076106,0.082866,0.092943,0.107579", \ - "0.090670,0.092883,0.096919,0.102681,0.111470,0.124356,0.142560"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.120764,0.129517,0.145105,0.172551,0.219948,0.301333,0.442139", \ - "0.120748,0.129553,0.145158,0.172534,0.219875,0.301260,0.442143", \ - "0.120801,0.129524,0.145135,0.172507,0.219833,0.301347,0.442136", \ - "0.120827,0.129543,0.145149,0.172564,0.219898,0.301350,0.442169", \ - "0.125008,0.132966,0.147452,0.173424,0.219967,0.301268,0.442135", \ - "0.142564,0.149900,0.163320,0.185576,0.227403,0.303551,0.442166", \ - "0.165464,0.172449,0.185090,0.207779,0.248050,0.317503,0.447009"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.021783,0.023478,0.026430,0.031528,0.040252,0.055137,0.080589", \ - "0.026518,0.028178,0.031087,0.036125,0.044779,0.059598,0.084994", \ - "0.044509,0.046184,0.049071,0.053761,0.061859,0.076323,0.101510", \ - "0.066123,0.068504,0.072900,0.080208,0.091590,0.108840,0.134366", \ - "0.083837,0.087340,0.092903,0.103310,0.119430,0.143647,0.178860", \ - "0.095104,0.099805,0.107498,0.120855,0.141984,0.174017,0.220550", \ - "0.098513,0.104470,0.114368,0.131187,0.157830,0.198249,0.257004"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.161876,0.170957,0.187004,0.215452,0.265236,0.353496,0.509709", \ - "0.165001,0.174252,0.190617,0.219395,0.269847,0.358633,0.515485", \ - "0.180085,0.189256,0.205502,0.234183,0.284940,0.374182,0.531790", \ - "0.208232,0.217193,0.233213,0.261544,0.311868,0.400841,0.558331", \ - "0.246833,0.256023,0.271787,0.300052,0.349958,0.438522,0.595551", \ - "0.288050,0.298492,0.316537,0.347590,0.400411,0.489229,0.645779", \ - "0.332413,0.344093,0.364366,0.398538,0.456041,0.551918,0.710874"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.019234,0.020549,0.022845,0.026821,0.033681,0.045585,0.066424", \ - "0.018648,0.020021,0.022390,0.026437,0.033384,0.045376,0.066280", \ - "0.020872,0.021673,0.023278,0.026456,0.032667,0.044751,0.066047", \ - "0.034058,0.035197,0.036948,0.039807,0.044526,0.052243,0.068086", \ - "0.051336,0.052826,0.055589,0.059309,0.065342,0.074751,0.089264", \ - "0.072415,0.074425,0.077957,0.083144,0.091051,0.102937,0.120543", \ - "0.097152,0.099776,0.104297,0.110885,0.121088,0.136037,0.157576"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.086033,0.094037,0.108415,0.133500,0.177528,0.255781,0.394042", \ - "0.086036,0.094025,0.108418,0.133495,0.177615,0.255782,0.394050", \ - "0.086039,0.094032,0.108412,0.133378,0.177691,0.255787,0.394062", \ - "0.086093,0.094066,0.108428,0.133359,0.177541,0.255786,0.394046", \ - "0.090548,0.097774,0.110816,0.134261,0.177461,0.255822,0.394051", \ - "0.102925,0.110415,0.123887,0.146121,0.184913,0.257840,0.394038", \ - "0.118928,0.126583,0.140319,0.163298,0.203153,0.271687,0.398980"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & !B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.015967,0.017181,0.019309,0.023007,0.029400,0.040350,0.059115", \ - "0.021221,0.022393,0.024466,0.028090,0.034389,0.045252,0.063948", \ - "0.038877,0.040322,0.042798,0.046805,0.053097,0.063433,0.081776", \ - "0.057985,0.060149,0.064047,0.070418,0.080302,0.095141,0.116686", \ - "0.073497,0.076670,0.081737,0.090968,0.105211,0.126435,0.156970", \ - "0.082645,0.086965,0.093932,0.105962,0.125027,0.153567,0.194479", \ - "0.083855,0.089372,0.098504,0.113790,0.138057,0.174545,0.226938"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.161661,0.170723,0.186796,0.215087,0.265042,0.353230,0.509523", \ - "0.164217,0.173423,0.189762,0.218454,0.268882,0.357764,0.514617", \ - "0.179653,0.188762,0.204907,0.233513,0.284110,0.373188,0.530695", \ - "0.208101,0.217038,0.233027,0.261306,0.311519,0.400279,0.557557", \ - "0.246783,0.255965,0.271835,0.299953,0.349804,0.438284,0.595151", \ - "0.288036,0.298449,0.316509,0.347455,0.400378,0.489126,0.645623", \ - "0.332482,0.344132,0.364398,0.398582,0.456064,0.551913,0.710823"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013229,0.014252,0.016037,0.019118,0.024395,0.033439,0.049070", \ - "0.012763,0.013817,0.015642,0.018764,0.024087,0.033206,0.048912", \ - "0.017874,0.018495,0.019524,0.021322,0.025022,0.032873,0.048531", \ - "0.030595,0.031511,0.032929,0.035237,0.039004,0.044974,0.054797", \ - "0.047179,0.048463,0.050735,0.053855,0.058853,0.066494,0.078052", \ - "0.067695,0.069430,0.072445,0.076909,0.083555,0.093328,0.107720", \ - "0.091946,0.094207,0.098096,0.104032,0.112645,0.125273,0.143117"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.086037,0.094002,0.108312,0.133448,0.177550,0.255866,0.394076", \ - "0.086043,0.094001,0.108318,0.133446,0.177581,0.255800,0.394076", \ - "0.086048,0.094007,0.108335,0.133404,0.177713,0.255804,0.394093", \ - "0.086103,0.094040,0.108325,0.133372,0.177564,0.255807,0.394086", \ - "0.090563,0.097772,0.110822,0.134260,0.177470,0.255845,0.394088", \ - "0.102937,0.110381,0.123672,0.146114,0.184945,0.257866,0.394073", \ - "0.118931,0.126531,0.140182,0.163306,0.203167,0.271712,0.399020"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.015967,0.017181,0.019309,0.023007,0.029400,0.040350,0.059115", \ - "0.021221,0.022393,0.024466,0.028090,0.034389,0.045252,0.063948", \ - "0.038877,0.040322,0.042798,0.046805,0.053097,0.063433,0.081776", \ - "0.057985,0.060149,0.064047,0.070418,0.080302,0.095141,0.116686", \ - "0.073497,0.076670,0.081737,0.090968,0.105211,0.126435,0.156970", \ - "0.082645,0.086965,0.093932,0.105962,0.125027,0.153567,0.194479", \ - "0.083855,0.089372,0.098504,0.113790,0.138057,0.174545,0.226938"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.161661,0.170723,0.186796,0.215087,0.265042,0.353230,0.509523", \ - "0.164217,0.173423,0.189762,0.218454,0.268882,0.357764,0.514617", \ - "0.179653,0.188762,0.204907,0.233513,0.284110,0.373188,0.530695", \ - "0.208101,0.217038,0.233027,0.261306,0.311519,0.400279,0.557557", \ - "0.246783,0.255965,0.271835,0.299953,0.349804,0.438284,0.595151", \ - "0.288036,0.298449,0.316509,0.347455,0.400378,0.489126,0.645623", \ - "0.332482,0.344132,0.364398,0.398582,0.456064,0.551913,0.710823"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013229,0.014252,0.016037,0.019118,0.024395,0.033439,0.049070", \ - "0.012763,0.013817,0.015642,0.018764,0.024087,0.033206,0.048912", \ - "0.017874,0.018495,0.019524,0.021322,0.025022,0.032873,0.048531", \ - "0.030595,0.031511,0.032929,0.035237,0.039004,0.044974,0.054797", \ - "0.047179,0.048463,0.050735,0.053855,0.058853,0.066494,0.078052", \ - "0.067695,0.069430,0.072445,0.076909,0.083555,0.093328,0.107720", \ - "0.091946,0.094207,0.098096,0.104032,0.112645,0.125273,0.143117"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.086037,0.094002,0.108312,0.133448,0.177550,0.255866,0.394076", \ - "0.086043,0.094001,0.108318,0.133446,0.177581,0.255800,0.394076", \ - "0.086048,0.094007,0.108335,0.133404,0.177713,0.255804,0.394093", \ - "0.086103,0.094040,0.108325,0.133372,0.177564,0.255807,0.394086", \ - "0.090563,0.097772,0.110822,0.134260,0.177470,0.255845,0.394088", \ - "0.102937,0.110381,0.123672,0.146114,0.184945,0.257866,0.394073", \ - "0.118931,0.126531,0.140182,0.163306,0.203167,0.271712,0.399020"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.014137,0.015197,0.017059,0.020310,0.025932,0.035612,0.052228", \ - "0.019571,0.020590,0.022395,0.025567,0.031095,0.040680,0.057219", \ - "0.036831,0.038197,0.040540,0.044300,0.050203,0.059393,0.075539", \ - "0.055069,0.057161,0.060852,0.066900,0.076280,0.090275,0.110515", \ - "0.069831,0.072889,0.077775,0.086567,0.100137,0.120328,0.149180", \ - "0.078259,0.082435,0.089186,0.100704,0.119017,0.146356,0.185275", \ - "0.078725,0.084089,0.092934,0.107721,0.131124,0.166156,0.216299"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.161485,0.170556,0.186635,0.214976,0.264842,0.353130,0.509360", \ - "0.163851,0.173051,0.189352,0.218017,0.268480,0.357276,0.514166", \ - "0.179497,0.188578,0.204705,0.233267,0.283801,0.372789,0.530223", \ - "0.208051,0.216976,0.232942,0.261212,0.311347,0.400073,0.557247", \ - "0.246759,0.255960,0.271760,0.299902,0.349733,0.438197,0.594996", \ - "0.288022,0.298421,0.316483,0.347469,0.400285,0.489091,0.645540", \ - "0.332494,0.344127,0.364351,0.398583,0.456057,0.551893,0.710781"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011384,0.012305,0.013899,0.016673,0.021415,0.029530,0.043497", \ - "0.010985,0.011911,0.013544,0.016343,0.021120,0.029286,0.043327", \ - "0.016902,0.017456,0.018398,0.019993,0.022929,0.029399,0.042902", \ - "0.029377,0.030231,0.031534,0.033680,0.037136,0.042586,0.051144", \ - "0.045685,0.046898,0.049019,0.051934,0.056561,0.063637,0.074268", \ - "0.066012,0.067659,0.070491,0.074711,0.080864,0.089991,0.103263", \ - "0.090150,0.092276,0.095998,0.101545,0.109710,0.121452,0.138012"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.086040,0.093995,0.108288,0.133432,0.177584,0.255810,0.394086", \ - "0.086044,0.093996,0.108289,0.133430,0.177553,0.255866,0.394077", \ - "0.086049,0.093993,0.108309,0.133407,0.177718,0.255807,0.394095", \ - "0.086104,0.094027,0.108297,0.133361,0.177538,0.255809,0.394089", \ - "0.090567,0.097769,0.110818,0.134273,0.177470,0.255846,0.394091", \ - "0.102940,0.110375,0.123665,0.146147,0.184926,0.257878,0.394076", \ - "0.118931,0.126519,0.140131,0.163307,0.203170,0.271718,0.399026"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.031435,0.033064,0.035911,0.040854,0.049388,0.064067,0.089355", \ - "0.037095,0.038743,0.041619,0.046606,0.055193,0.069933,0.095266", \ - "0.054306,0.055918,0.058730,0.063612,0.072113,0.086805,0.112158", \ - "0.078548,0.080601,0.084097,0.090113,0.099945,0.115867,0.141513", \ - "0.104008,0.106782,0.111356,0.119151,0.131842,0.151649,0.182243", \ - "0.126133,0.129951,0.135917,0.146503,0.163372,0.188988,0.227002", \ - "0.141680,0.146668,0.154581,0.168256,0.190296,0.223597,0.271717"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.190345,0.199604,0.216095,0.245180,0.296245,0.385816,0.543894", \ - "0.190471,0.199832,0.216446,0.245977,0.297145,0.387177,0.545364", \ - "0.199941,0.209306,0.226008,0.255467,0.306967,0.397368,0.556135", \ - "0.228014,0.237214,0.253343,0.282390,0.333678,0.423189,0.581508", \ - "0.277422,0.286421,0.302302,0.330633,0.380465,0.469053,0.625923", \ - "0.347963,0.357674,0.374443,0.402928,0.451143,0.538182,0.693064", \ - "0.425320,0.436660,0.456241,0.489442,0.544365,0.633086,0.785272"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.017939,0.019258,0.021571,0.025609,0.032631,0.044776,0.065902", \ - "0.018032,0.019349,0.021662,0.025694,0.032706,0.044832,0.065929", \ - "0.018530,0.019706,0.021820,0.025595,0.032486,0.044688,0.065873", \ - "0.024585,0.025715,0.027735,0.031162,0.037106,0.047315,0.066424", \ - "0.034850,0.036028,0.038153,0.041696,0.047675,0.058003,0.075910", \ - "0.049557,0.050965,0.053525,0.057491,0.063880,0.074410,0.092271", \ - "0.068450,0.070179,0.073185,0.078163,0.085667,0.097243,0.115576"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.164012,0.172870,0.188603,0.216418,0.264962,0.349741,0.498647", \ - "0.164007,0.172871,0.188621,0.216542,0.264927,0.349779,0.498682", \ - "0.164017,0.172875,0.188639,0.216464,0.264999,0.349766,0.498645", \ - "0.163970,0.172850,0.188560,0.216435,0.265033,0.349785,0.498620", \ - "0.164844,0.173206,0.188229,0.215594,0.264658,0.349729,0.498676", \ - "0.183223,0.190278,0.203031,0.226361,0.269142,0.349528,0.498640", \ - "0.218434,0.225493,0.237915,0.259077,0.294915,0.364491,0.500183"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.030951,0.032461,0.035106,0.039716,0.047729,0.061676,0.086050", \ - "0.036681,0.038207,0.040874,0.045518,0.053572,0.067557,0.091965", \ - "0.053483,0.055016,0.057682,0.062297,0.070351,0.084366,0.108834", \ - "0.075986,0.078033,0.081437,0.087355,0.097056,0.112701,0.137952", \ - "0.098398,0.101270,0.105933,0.113888,0.126802,0.146808,0.177480", \ - "0.115880,0.119969,0.126358,0.137513,0.155161,0.181669,0.220501", \ - "0.125687,0.131089,0.139777,0.154469,0.177890,0.212858,0.262736"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.190342,0.199600,0.216110,0.245199,0.296237,0.385804,0.543877", \ - "0.190482,0.199828,0.216436,0.245952,0.297135,0.387165,0.545349", \ - "0.199930,0.209291,0.225990,0.255532,0.306956,0.397355,0.556123", \ - "0.228010,0.237204,0.253343,0.282396,0.333666,0.423175,0.581491", \ - "0.277418,0.286416,0.302316,0.330621,0.380459,0.469042,0.625906", \ - "0.347958,0.357650,0.374482,0.402921,0.451136,0.538171,0.693047", \ - "0.425312,0.436655,0.456219,0.489431,0.544354,0.633077,0.785256"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.016132,0.017321,0.019433,0.023180,0.029798,0.041527,0.062352", \ - "0.016148,0.017341,0.019447,0.023188,0.029806,0.041525,0.062355", \ - "0.016620,0.017702,0.019646,0.023180,0.029677,0.041467,0.062329", \ - "0.022326,0.023422,0.025383,0.028755,0.034626,0.044738,0.063414", \ - "0.032669,0.033875,0.036014,0.039576,0.045545,0.055783,0.073546", \ - "0.047828,0.049286,0.051930,0.055984,0.062443,0.072936,0.090546", \ - "0.067510,0.069316,0.072423,0.077507,0.085094,0.096657,0.114689"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.161420,0.170083,0.185374,0.212375,0.259309,0.340643,0.482351", \ - "0.161442,0.170082,0.185323,0.212196,0.259332,0.340750,0.482365", \ - "0.161432,0.170089,0.185383,0.212466,0.259368,0.340746,0.482362", \ - "0.161380,0.170072,0.185316,0.212351,0.259383,0.340747,0.482423", \ - "0.162238,0.170436,0.184995,0.211541,0.258988,0.340694,0.482375", \ - "0.180615,0.187469,0.199750,0.222355,0.263611,0.340437,0.482349", \ - "0.214542,0.221583,0.233624,0.254656,0.289381,0.355543,0.483923"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.025868,0.027051,0.029123,0.032736,0.039015,0.049926,0.068969", \ - "0.031591,0.032784,0.034876,0.038516,0.044825,0.055767,0.074836", \ - "0.049495,0.050777,0.052979,0.056646,0.062927,0.073864,0.092971", \ - "0.073126,0.074994,0.078047,0.083326,0.091830,0.105210,0.126010", \ - "0.095397,0.098190,0.102653,0.110249,0.122471,0.140987,0.168399", \ - "0.112330,0.116226,0.122471,0.133276,0.150359,0.175975,0.212684", \ - "0.121165,0.126497,0.135025,0.149194,0.172170,0.206242,0.254615"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.204928,0.214126,0.230466,0.259400,0.310397,0.399643,0.557345", \ - "0.205149,0.214439,0.230949,0.260082,0.311338,0.401175,0.559177", \ - "0.214552,0.223832,0.240381,0.269537,0.320956,0.411070,0.569756", \ - "0.242546,0.251678,0.267691,0.296450,0.347508,0.436777,0.594810", \ - "0.291816,0.300745,0.316568,0.344806,0.394538,0.482839,0.639405", \ - "0.363384,0.372764,0.389017,0.416530,0.464970,0.551898,0.706603", \ - "0.443306,0.454253,0.473264,0.505576,0.559296,0.646547,0.798830"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.012940,0.013859,0.015511,0.018403,0.023526,0.032545,0.048541", \ - "0.012950,0.013880,0.015525,0.018426,0.023536,0.032554,0.048540", \ - "0.014043,0.014812,0.016199,0.018755,0.023511,0.032453,0.048508", \ - "0.021203,0.022041,0.023556,0.026080,0.030395,0.037772,0.050816", \ - "0.032903,0.033923,0.035774,0.038720,0.043468,0.051283,0.064262", \ - "0.049080,0.050345,0.052694,0.056293,0.061940,0.070713,0.084495", \ - "0.069935,0.071458,0.074237,0.078750,0.085514,0.095807,0.111209"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.173892,0.182671,0.198007,0.225213,0.272425,0.354098,0.495929", \ - "0.173869,0.182693,0.197933,0.225227,0.272417,0.354136,0.495930", \ - "0.173887,0.182659,0.197956,0.225227,0.272491,0.354085,0.495930", \ - "0.173888,0.182675,0.198019,0.225230,0.272473,0.354104,0.495915", \ - "0.173951,0.182427,0.197393,0.224665,0.272343,0.354095,0.495930", \ - "0.190303,0.197521,0.210073,0.233358,0.275525,0.353630,0.495937", \ - "0.224747,0.231653,0.243618,0.263944,0.299549,0.367072,0.496975"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.026708,0.028080,0.030507,0.034801,0.042398,0.055869,0.079750", \ - "0.032361,0.033754,0.036221,0.040564,0.048216,0.061731,0.085655", \ - "0.048307,0.049884,0.052576,0.057109,0.064878,0.078494,0.102509", \ - "0.067750,0.069978,0.073653,0.079912,0.089966,0.105982,0.131521", \ - "0.084868,0.088210,0.093624,0.102550,0.116702,0.137895,0.169543", \ - "0.095298,0.100137,0.107850,0.120629,0.140502,0.169456,0.210355", \ - "0.096651,0.103246,0.113798,0.130859,0.157611,0.196219,0.249549"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.185724,0.194977,0.211325,0.240232,0.291053,0.380537,0.538252", \ - "0.185823,0.195185,0.211703,0.240722,0.292008,0.381688,0.539714", \ - "0.195272,0.204637,0.221134,0.250328,0.301653,0.391894,0.550484", \ - "0.223419,0.232592,0.248626,0.277355,0.328091,0.417707,0.575797", \ - "0.272916,0.281879,0.297689,0.325695,0.375491,0.463820,0.620242", \ - "0.342880,0.352673,0.369561,0.398146,0.446425,0.533075,0.687446", \ - "0.419146,0.430591,0.450291,0.483673,0.539027,0.628208,0.779763"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.012281,0.013459,0.015554,0.019290,0.025911,0.037667,0.058529", \ - "0.012286,0.013454,0.015550,0.019288,0.025908,0.037669,0.058530", \ - "0.013637,0.014643,0.016471,0.019833,0.026045,0.037671,0.058530", \ - "0.019886,0.020978,0.022925,0.026233,0.032026,0.042136,0.060258", \ - "0.031231,0.032461,0.034585,0.038138,0.043968,0.053882,0.071278", \ - "0.047570,0.049022,0.051529,0.055605,0.062031,0.072113,0.089143", \ - "0.068715,0.070446,0.073527,0.078347,0.085742,0.096944,0.114312"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.123145,0.131376,0.146237,0.172325,0.217813,0.297439,0.437676", \ - "0.123143,0.131376,0.146256,0.172345,0.217956,0.297392,0.437692", \ - "0.123146,0.131377,0.146246,0.172375,0.217783,0.297464,0.437692", \ - "0.123091,0.131351,0.146252,0.172334,0.217764,0.297378,0.437673", \ - "0.124189,0.131892,0.146044,0.171496,0.217444,0.297426,0.437708", \ - "0.143218,0.149620,0.161247,0.182562,0.222075,0.297272,0.437688", \ - "0.169124,0.176747,0.190155,0.212376,0.247940,0.312440,0.439406"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022944,0.024012,0.025905,0.029255,0.035183,0.045675,0.064287", \ - "0.028611,0.029698,0.031619,0.035009,0.040973,0.051510,0.070149", \ - "0.045467,0.046783,0.049009,0.052760,0.058923,0.069547,0.088271", \ - "0.065576,0.067659,0.071034,0.076780,0.085797,0.099686,0.120932", \ - "0.082421,0.085690,0.090876,0.099432,0.112928,0.132862,0.161525", \ - "0.092358,0.097032,0.104537,0.116867,0.136232,0.164239,0.203263", \ - "0.092908,0.099339,0.109672,0.126257,0.152361,0.190050,0.241877"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.200272,0.209441,0.225692,0.254382,0.305025,0.394187,0.551509", \ - "0.200441,0.209734,0.226126,0.255043,0.306053,0.395538,0.553308", \ - "0.209864,0.219133,0.235516,0.264509,0.315606,0.405508,0.563870", \ - "0.237895,0.247010,0.262932,0.291449,0.341898,0.431309,0.588981", \ - "0.287243,0.296159,0.311872,0.339709,0.389380,0.477399,0.633556", \ - "0.358406,0.367864,0.384205,0.411973,0.460142,0.546655,0.700818", \ - "0.437237,0.448289,0.467388,0.499878,0.553897,0.641535,0.793155"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010024,0.010933,0.012551,0.015429,0.020532,0.029570,0.045589", \ - "0.010021,0.010937,0.012558,0.015430,0.020533,0.029562,0.045586", \ - "0.011938,0.012713,0.014036,0.016434,0.020957,0.029595,0.045577", \ - "0.019495,0.020363,0.021916,0.024451,0.028770,0.036085,0.048809", \ - "0.031925,0.032976,0.034853,0.037838,0.042652,0.050319,0.063024", \ - "0.049326,0.050589,0.052758,0.056318,0.061921,0.070533,0.083973", \ - "0.071877,0.073282,0.075878,0.080011,0.086558,0.096492,0.111410"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.131243,0.139506,0.154402,0.180612,0.226351,0.306032,0.446440", \ - "0.131238,0.139506,0.154403,0.180646,0.226427,0.306085,0.446427", \ - "0.131245,0.139505,0.154418,0.180635,0.226400,0.306073,0.446418", \ - "0.131214,0.139499,0.154411,0.180648,0.226259,0.306024,0.446435", \ - "0.131490,0.139374,0.153843,0.180038,0.226042,0.306002,0.446424", \ - "0.148436,0.154959,0.167005,0.189077,0.229466,0.305584,0.446446", \ - "0.175527,0.183009,0.196180,0.218234,0.253469,0.319134,0.447583"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022944,0.024012,0.025905,0.029255,0.035183,0.045675,0.064287", \ - "0.028611,0.029698,0.031619,0.035009,0.040973,0.051510,0.070149", \ - "0.045467,0.046783,0.049009,0.052760,0.058923,0.069547,0.088271", \ - "0.065576,0.067659,0.071034,0.076780,0.085797,0.099686,0.120932", \ - "0.082421,0.085690,0.090876,0.099432,0.112928,0.132862,0.161525", \ - "0.092358,0.097032,0.104537,0.116867,0.136232,0.164239,0.203263", \ - "0.092908,0.099339,0.109672,0.126257,0.152361,0.190050,0.241877"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.200272,0.209441,0.225692,0.254382,0.305025,0.394187,0.551509", \ - "0.200441,0.209734,0.226126,0.255043,0.306053,0.395538,0.553308", \ - "0.209864,0.219133,0.235516,0.264509,0.315606,0.405508,0.563870", \ - "0.237895,0.247010,0.262932,0.291449,0.341898,0.431309,0.588981", \ - "0.287243,0.296159,0.311872,0.339709,0.389380,0.477399,0.633556", \ - "0.358406,0.367864,0.384205,0.411973,0.460142,0.546655,0.700818", \ - "0.437237,0.448289,0.467388,0.499878,0.553897,0.641535,0.793155"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010024,0.010933,0.012551,0.015429,0.020532,0.029570,0.045589", \ - "0.010021,0.010937,0.012558,0.015430,0.020533,0.029562,0.045586", \ - "0.011938,0.012713,0.014036,0.016434,0.020957,0.029595,0.045577", \ - "0.019495,0.020363,0.021916,0.024451,0.028770,0.036085,0.048809", \ - "0.031925,0.032976,0.034853,0.037838,0.042652,0.050319,0.063024", \ - "0.049326,0.050589,0.052758,0.056318,0.061921,0.070533,0.083973", \ - "0.071877,0.073282,0.075878,0.080011,0.086558,0.096492,0.111410"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.131243,0.139506,0.154402,0.180612,0.226351,0.306032,0.446440", \ - "0.131238,0.139506,0.154403,0.180646,0.226427,0.306085,0.446427", \ - "0.131245,0.139505,0.154418,0.180635,0.226400,0.306073,0.446418", \ - "0.131214,0.139499,0.154411,0.180648,0.226259,0.306024,0.446435", \ - "0.131490,0.139374,0.153843,0.180038,0.226042,0.306002,0.446424", \ - "0.148436,0.154959,0.167005,0.189077,0.229466,0.305584,0.446446", \ - "0.175527,0.183009,0.196180,0.218234,0.253469,0.319134,0.447583"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.021884,0.022853,0.024573,0.027616,0.033001,0.042532,0.059433", \ - "0.027556,0.028543,0.030288,0.033364,0.038786,0.048353,0.065282", \ - "0.044832,0.046060,0.048140,0.051620,0.057293,0.066958,0.083965", \ - "0.065171,0.067199,0.070467,0.076024,0.084740,0.098001,0.117954", \ - "0.082006,0.085188,0.090294,0.098643,0.111879,0.131380,0.159223", \ - "0.091884,0.096499,0.103785,0.115868,0.134927,0.162485,0.200853", \ - "0.092350,0.098649,0.108717,0.125000,0.150662,0.187832,0.238987"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.212912,0.222045,0.238234,0.266789,0.317181,0.406302,0.563444", \ - "0.213185,0.222418,0.238757,0.267547,0.318412,0.407749,0.565344", \ - "0.222559,0.231781,0.248093,0.276921,0.327973,0.417676,0.575795", \ - "0.250431,0.259502,0.275351,0.303712,0.354198,0.443302,0.600853", \ - "0.299528,0.308416,0.324085,0.351890,0.401459,0.489319,0.645364", \ - "0.371378,0.380590,0.396552,0.423649,0.472009,0.558433,0.712579", \ - "0.452327,0.463082,0.481703,0.513475,0.566539,0.653122,0.804796"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.009510,0.010337,0.011803,0.014399,0.019017,0.027146,0.041584", \ - "0.009517,0.010334,0.011799,0.014408,0.019012,0.027157,0.041590", \ - "0.011675,0.012359,0.013521,0.015610,0.019579,0.027196,0.041581", \ - "0.019885,0.020674,0.022092,0.024373,0.028215,0.034639,0.045565", \ - "0.032936,0.033911,0.035621,0.038390,0.042815,0.049818,0.061155", \ - "0.051130,0.052243,0.054198,0.057476,0.062661,0.070706,0.083151", \ - "0.074622,0.075839,0.078139,0.081859,0.087864,0.097168,0.111244"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.138383,0.146660,0.161561,0.187889,0.233595,0.313479,0.453765", \ - "0.138386,0.146659,0.161564,0.187921,0.233651,0.313412,0.453778", \ - "0.138385,0.146661,0.161571,0.187891,0.233695,0.313391,0.453774", \ - "0.138376,0.146660,0.161589,0.187907,0.233648,0.313419,0.453768", \ - "0.138094,0.146121,0.160822,0.187524,0.233470,0.313410,0.453763", \ - "0.153055,0.159827,0.172249,0.194704,0.235742,0.312866,0.453763", \ - "0.180796,0.188171,0.201128,0.223035,0.258118,0.324932,0.454462"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.037315,0.038976,0.041872,0.046901,0.055560,0.070409,0.095911", \ - "0.042848,0.044515,0.047423,0.052467,0.061147,0.076012,0.101528", \ - "0.059640,0.061280,0.064146,0.069129,0.077761,0.092606,0.118131", \ - "0.085595,0.087535,0.090790,0.096597,0.106181,0.121771,0.147318", \ - "0.114087,0.116619,0.120751,0.127972,0.139996,0.159110,0.188961", \ - "0.140640,0.143979,0.149306,0.158924,0.174543,0.198723,0.235396", \ - "0.161435,0.165889,0.173018,0.185333,0.205519,0.236578,0.282428"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.231206,0.240449,0.256945,0.286009,0.337183,0.426695,0.584650", \ - "0.232897,0.242196,0.258732,0.287870,0.339050,0.428735,0.586902", \ - "0.246479,0.255805,0.272428,0.301735,0.353055,0.443110,0.601614", \ - "0.274756,0.284003,0.300352,0.329749,0.380798,0.470842,0.629405", \ - "0.317531,0.326835,0.343381,0.372385,0.423605,0.513327,0.671622", \ - "0.376464,0.386423,0.403939,0.433349,0.484217,0.574081,0.732162", \ - "0.444747,0.455789,0.475044,0.508079,0.563739,0.656177,0.813886"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022024,0.023305,0.025561,0.029500,0.036406,0.048447,0.069545", \ - "0.022077,0.023351,0.025593,0.029544,0.036438,0.048472,0.069560", \ - "0.022057,0.023286,0.025465,0.029340,0.036268,0.048377,0.069533", \ - "0.027116,0.028289,0.030314,0.033800,0.039814,0.050243,0.069834", \ - "0.036522,0.037765,0.039947,0.043565,0.049729,0.060367,0.078463", \ - "0.050576,0.052003,0.054595,0.058641,0.065162,0.076028,0.094351", \ - "0.068785,0.070567,0.073640,0.078623,0.086255,0.098123,0.116895"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.164015,0.172865,0.188603,0.216428,0.265004,0.349740,0.498686", \ - "0.164010,0.172883,0.188615,0.216438,0.264960,0.349753,0.498644", \ - "0.164007,0.172865,0.188610,0.216428,0.264957,0.349765,0.498703", \ - "0.164008,0.172863,0.188625,0.216503,0.264973,0.349740,0.498741", \ - "0.164374,0.173079,0.188692,0.216367,0.264906,0.349747,0.498646", \ - "0.176824,0.184398,0.198071,0.222957,0.267829,0.349736,0.498637", \ - "0.203217,0.210538,0.223644,0.246232,0.285245,0.359861,0.500070"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.036087,0.037648,0.040390,0.045147,0.053388,0.067632,0.092364", \ - "0.041678,0.043246,0.045989,0.050750,0.058996,0.073249,0.097980", \ - "0.058288,0.059846,0.062573,0.067321,0.075562,0.089832,0.114591", \ - "0.082798,0.084730,0.087964,0.093647,0.103093,0.118445,0.143604", \ - "0.108734,0.111301,0.115580,0.122891,0.135051,0.154270,0.184203", \ - "0.131398,0.134956,0.140512,0.150590,0.166803,0.191729,0.229033", \ - "0.147355,0.152088,0.159722,0.172782,0.194070,0.226481,0.273795"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.231192,0.240480,0.256961,0.285975,0.337176,0.426685,0.584633", \ - "0.232899,0.242188,0.258746,0.287866,0.339042,0.428725,0.586886", \ - "0.246478,0.255810,0.272442,0.301679,0.353046,0.443098,0.601596", \ - "0.274749,0.284017,0.300344,0.329718,0.380787,0.470830,0.629387", \ - "0.317526,0.326828,0.343346,0.372380,0.423597,0.513312,0.671604", \ - "0.376458,0.386406,0.403903,0.433342,0.484209,0.574070,0.732144", \ - "0.444739,0.455771,0.475034,0.508070,0.563728,0.656167,0.813868"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.019849,0.021043,0.023152,0.026888,0.033501,0.045224,0.066082", \ - "0.019837,0.021034,0.023143,0.026885,0.033493,0.045220,0.066064", \ - "0.019924,0.021070,0.023115,0.026776,0.033411,0.045199,0.066072", \ - "0.024954,0.026104,0.028084,0.031501,0.037430,0.047643,0.066828", \ - "0.034588,0.035829,0.037982,0.041600,0.047680,0.058185,0.076152", \ - "0.049061,0.050545,0.053172,0.057257,0.063800,0.074515,0.092595", \ - "0.067942,0.069738,0.072859,0.077932,0.085639,0.097435,0.115964"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.161422,0.170090,0.185317,0.212381,0.259368,0.340735,0.482367", \ - "0.161430,0.170069,0.185339,0.212405,0.259308,0.340706,0.482351", \ - "0.161440,0.170088,0.185333,0.212364,0.259311,0.340720,0.482378", \ - "0.161419,0.170059,0.185392,0.212271,0.259357,0.340736,0.482367", \ - "0.161767,0.170275,0.185466,0.212265,0.259291,0.340735,0.482365", \ - "0.174215,0.181615,0.194853,0.218995,0.262299,0.340764,0.482341", \ - "0.199507,0.206712,0.219433,0.241881,0.279668,0.350927,0.483865"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.029479,0.030717,0.032881,0.036640,0.043130,0.054317,0.073676", \ - "0.035099,0.036340,0.038506,0.042265,0.048759,0.059949,0.079315", \ - "0.053121,0.054368,0.056517,0.060237,0.066684,0.077861,0.097241", \ - "0.079145,0.080860,0.083668,0.088659,0.096802,0.109814,0.130275", \ - "0.105286,0.107742,0.111781,0.118708,0.130125,0.147631,0.174134", \ - "0.127285,0.130764,0.136196,0.145932,0.161618,0.185523,0.220517", \ - "0.142223,0.146890,0.154248,0.167060,0.187886,0.219503,0.265204"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.245832,0.255007,0.271344,0.300318,0.351132,0.440458,0.598156", \ - "0.247707,0.256941,0.273390,0.302334,0.353447,0.442947,0.600822", \ - "0.261127,0.270383,0.286884,0.316024,0.367245,0.456951,0.615312", \ - "0.289317,0.298523,0.314668,0.343693,0.394859,0.484498,0.642798", \ - "0.332091,0.341287,0.357665,0.386486,0.437581,0.526913,0.684952", \ - "0.392071,0.401787,0.418717,0.447520,0.498174,0.587698,0.745474", \ - "0.462090,0.472825,0.491632,0.523903,0.578641,0.669832,0.827208"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.015898,0.016828,0.018463,0.021356,0.026454,0.035445,0.051422", \ - "0.015888,0.016816,0.018454,0.021347,0.026442,0.035440,0.051418", \ - "0.016314,0.017141,0.018641,0.021363,0.026309,0.035362,0.051404", \ - "0.023044,0.023896,0.025415,0.027922,0.032268,0.039678,0.053143", \ - "0.034321,0.035348,0.037164,0.040108,0.044850,0.052730,0.065896", \ - "0.049920,0.051195,0.053557,0.057169,0.062803,0.071670,0.085640", \ - "0.069823,0.071418,0.074241,0.078803,0.085688,0.096176,0.111850"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.173907,0.182642,0.197974,0.225207,0.272470,0.354113,0.495933", \ - "0.173876,0.182683,0.197927,0.225231,0.272431,0.354114,0.495918", \ - "0.173904,0.182642,0.197935,0.225244,0.272423,0.354075,0.495935", \ - "0.173905,0.182647,0.197933,0.225223,0.272427,0.354082,0.495925", \ - "0.174070,0.182774,0.197982,0.225216,0.272405,0.354087,0.495911", \ - "0.184827,0.192512,0.206008,0.230740,0.274672,0.354096,0.495940", \ - "0.210219,0.217472,0.230247,0.252163,0.290827,0.363172,0.497000"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.030852,0.032357,0.034997,0.039598,0.047605,0.061540,0.085899", \ - "0.036446,0.037953,0.040593,0.045201,0.053211,0.067151,0.091516", \ - "0.052810,0.054371,0.057062,0.061692,0.069729,0.083697,0.108102", \ - "0.075022,0.077062,0.080408,0.086379,0.096084,0.111751,0.137039", \ - "0.096863,0.099783,0.104518,0.112543,0.125575,0.145708,0.176415", \ - "0.113713,0.117876,0.124281,0.135659,0.153519,0.180255,0.219220", \ - "0.122679,0.128267,0.137099,0.151984,0.175765,0.211059,0.261201"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.226585,0.235821,0.252171,0.281027,0.331779,0.421309,0.578972", \ - "0.228267,0.237556,0.253942,0.282923,0.333869,0.423467,0.581235", \ - "0.241836,0.251165,0.267628,0.296640,0.347871,0.437698,0.595906", \ - "0.270118,0.279363,0.295488,0.324458,0.375549,0.465443,0.623686", \ - "0.312899,0.322180,0.338570,0.367383,0.418276,0.507859,0.665945", \ - "0.371389,0.381393,0.398850,0.428592,0.479355,0.568845,0.726487", \ - "0.438979,0.450087,0.469396,0.502502,0.558309,0.651103,0.808221"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.016246,0.017441,0.019540,0.023262,0.029864,0.041555,0.062355", \ - "0.016216,0.017408,0.019516,0.023238,0.029846,0.041553,0.062364", \ - "0.016903,0.017993,0.019941,0.023468,0.029875,0.041541,0.062352", \ - "0.022628,0.023740,0.025725,0.029077,0.034895,0.044998,0.063637", \ - "0.033191,0.034401,0.036544,0.040089,0.045994,0.056178,0.073845", \ - "0.048502,0.049991,0.052602,0.056664,0.063080,0.073501,0.091006", \ - "0.068205,0.070014,0.073225,0.078254,0.085809,0.097334,0.115321"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.123144,0.131388,0.146264,0.172406,0.217768,0.297377,0.437707", \ - "0.123143,0.131388,0.146265,0.172371,0.217834,0.297379,0.437692", \ - "0.123141,0.131388,0.146267,0.172459,0.217852,0.297449,0.437696", \ - "0.123141,0.131385,0.146261,0.172358,0.217789,0.297373,0.437694", \ - "0.123598,0.131655,0.146368,0.172334,0.217742,0.297449,0.437700", \ - "0.136448,0.143441,0.156189,0.179027,0.220801,0.297436,0.437682", \ - "0.155761,0.163334,0.176928,0.199982,0.238115,0.307536,0.439238"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.025748,0.026930,0.029002,0.032617,0.038897,0.049811,0.068850", \ - "0.031377,0.032559,0.034633,0.038249,0.044529,0.055443,0.074486", \ - "0.048934,0.050212,0.052408,0.056115,0.062407,0.073340,0.092411", \ - "0.072205,0.074080,0.077105,0.082434,0.090980,0.104400,0.125249", \ - "0.093935,0.096744,0.101305,0.108972,0.121314,0.139948,0.167459", \ - "0.110164,0.114174,0.120410,0.131441,0.148778,0.174559,0.211473", \ - "0.118217,0.123618,0.132247,0.146814,0.170051,0.204484,0.253092"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.241167,0.250330,0.266552,0.295235,0.345805,0.434952,0.592284", \ - "0.243035,0.252246,0.268518,0.297316,0.348131,0.437419,0.594956", \ - "0.256433,0.265683,0.282009,0.310847,0.361781,0.451521,0.609431", \ - "0.284634,0.293841,0.309812,0.338651,0.389509,0.478974,0.636955", \ - "0.327415,0.336610,0.352835,0.381381,0.432031,0.521339,0.679088", \ - "0.387042,0.396793,0.413841,0.442706,0.493126,0.582228,0.739650", \ - "0.456396,0.467191,0.485993,0.518378,0.573255,0.664690,0.821425"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013082,0.014002,0.015645,0.018533,0.023620,0.032600,0.048556", \ - "0.013042,0.013970,0.015607,0.018497,0.023593,0.032594,0.048558", \ - "0.014273,0.015042,0.016447,0.018997,0.023736,0.032574,0.048553", \ - "0.021546,0.022397,0.023917,0.026427,0.030716,0.038044,0.051061", \ - "0.033465,0.034485,0.036301,0.039254,0.043972,0.051715,0.064634", \ - "0.049802,0.051072,0.053418,0.057000,0.062593,0.071334,0.085032", \ - "0.070697,0.072238,0.074974,0.079525,0.086247,0.096520,0.111880"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.131237,0.139509,0.154431,0.180643,0.226568,0.306022,0.446414", \ - "0.131241,0.139507,0.154426,0.180643,0.226390,0.306123,0.446410", \ - "0.131237,0.139509,0.154424,0.180734,0.226295,0.306039,0.446414", \ - "0.131236,0.139508,0.154423,0.180627,0.226375,0.306079,0.446418", \ - "0.131485,0.139663,0.154481,0.180695,0.226217,0.306075,0.446409", \ - "0.142679,0.149825,0.162914,0.186255,0.228484,0.306099,0.446430", \ - "0.162481,0.170007,0.183541,0.206654,0.244600,0.315077,0.447583"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.025748,0.026930,0.029002,0.032617,0.038897,0.049811,0.068850", \ - "0.031377,0.032559,0.034633,0.038249,0.044529,0.055443,0.074486", \ - "0.048934,0.050212,0.052408,0.056115,0.062407,0.073340,0.092411", \ - "0.072205,0.074080,0.077105,0.082434,0.090980,0.104400,0.125249", \ - "0.093935,0.096744,0.101305,0.108972,0.121314,0.139948,0.167459", \ - "0.110164,0.114174,0.120410,0.131441,0.148778,0.174559,0.211473", \ - "0.118217,0.123618,0.132247,0.146814,0.170051,0.204484,0.253092"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.241167,0.250330,0.266552,0.295235,0.345805,0.434952,0.592284", \ - "0.243035,0.252246,0.268518,0.297316,0.348131,0.437419,0.594956", \ - "0.256433,0.265683,0.282009,0.310847,0.361781,0.451521,0.609431", \ - "0.284634,0.293841,0.309812,0.338651,0.389509,0.478974,0.636955", \ - "0.327415,0.336610,0.352835,0.381381,0.432031,0.521339,0.679088", \ - "0.387042,0.396793,0.413841,0.442706,0.493126,0.582228,0.739650", \ - "0.456396,0.467191,0.485993,0.518378,0.573255,0.664690,0.821425"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013082,0.014002,0.015645,0.018533,0.023620,0.032600,0.048556", \ - "0.013042,0.013970,0.015607,0.018497,0.023593,0.032594,0.048558", \ - "0.014273,0.015042,0.016447,0.018997,0.023736,0.032574,0.048553", \ - "0.021546,0.022397,0.023917,0.026427,0.030716,0.038044,0.051061", \ - "0.033465,0.034485,0.036301,0.039254,0.043972,0.051715,0.064634", \ - "0.049802,0.051072,0.053418,0.057000,0.062593,0.071334,0.085032", \ - "0.070697,0.072238,0.074974,0.079525,0.086247,0.096520,0.111880"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.131237,0.139509,0.154431,0.180643,0.226568,0.306022,0.446414", \ - "0.131241,0.139507,0.154426,0.180643,0.226390,0.306123,0.446410", \ - "0.131237,0.139509,0.154424,0.180734,0.226295,0.306039,0.446414", \ - "0.131236,0.139508,0.154423,0.180627,0.226375,0.306079,0.446418", \ - "0.131485,0.139663,0.154481,0.180695,0.226217,0.306075,0.446409", \ - "0.142679,0.149825,0.162914,0.186255,0.228484,0.306099,0.446430", \ - "0.162481,0.170007,0.183541,0.206654,0.244600,0.315077,0.447583"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.024289,0.025363,0.027247,0.030536,0.036251,0.046179,0.063491", \ - "0.029928,0.031003,0.032887,0.036176,0.041889,0.051816,0.069129", \ - "0.047996,0.049181,0.051213,0.054636,0.060367,0.070299,0.087637", \ - "0.071592,0.073410,0.076323,0.081469,0.089661,0.102400,0.121900", \ - "0.093256,0.096014,0.100471,0.107992,0.120082,0.138275,0.164898", \ - "0.109280,0.113257,0.119377,0.130194,0.147258,0.172640,0.208897", \ - "0.117074,0.122442,0.130943,0.145141,0.168079,0.202055,0.250046"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.253840,0.262957,0.279093,0.307584,0.358156,0.447032,0.604184", \ - "0.255752,0.264923,0.281154,0.309771,0.360442,0.449630,0.607014", \ - "0.269116,0.278309,0.294572,0.323380,0.374093,0.463641,0.621375", \ - "0.297249,0.306409,0.322307,0.350924,0.401662,0.491052,0.648828", \ - "0.339983,0.349118,0.365343,0.393790,0.444219,0.533377,0.690916", \ - "0.400381,0.409965,0.426500,0.455007,0.505252,0.594238,0.751434", \ - "0.471154,0.481719,0.500182,0.531986,0.586142,0.676632,0.833164"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.012285,0.013121,0.014616,0.017222,0.021805,0.029913,0.044284", \ - "0.012248,0.013082,0.014566,0.017177,0.021787,0.029898,0.044283", \ - "0.013727,0.014397,0.015600,0.017841,0.021996,0.029863,0.044272", \ - "0.021744,0.022498,0.023869,0.026106,0.029866,0.036286,0.047441", \ - "0.034303,0.035230,0.036914,0.039637,0.043968,0.051002,0.062429", \ - "0.051347,0.052488,0.054618,0.057981,0.063164,0.071358,0.083997", \ - "0.073060,0.074456,0.076970,0.081125,0.087396,0.097069,0.111577"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.138382,0.146660,0.161576,0.187955,0.233690,0.313417,0.453768", \ - "0.138384,0.146660,0.161575,0.187927,0.233596,0.313420,0.453766", \ - "0.138382,0.146660,0.161591,0.187966,0.233596,0.313463,0.453776", \ - "0.138382,0.146659,0.161588,0.187931,0.233597,0.313417,0.453770", \ - "0.138516,0.146738,0.161599,0.187866,0.233553,0.313413,0.453777", \ - "0.148228,0.155503,0.168824,0.192588,0.235172,0.313389,0.453769", \ - "0.168162,0.175653,0.189096,0.212111,0.250145,0.321446,0.454600"); - } - } - - timing () { - - related_pin : "B3"; - when : "!A1 & !A2 & A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.038271,0.040058,0.043182,0.048565,0.057730,0.073258,0.099560", \ - "0.043610,0.045390,0.048509,0.053875,0.063031,0.078544,0.104833", \ - "0.060427,0.062145,0.065164,0.070413,0.079454,0.094899,0.121158", \ - "0.087632,0.089579,0.092911,0.098766,0.108465,0.124275,0.150296", \ - "0.118177,0.120667,0.124672,0.131871,0.143765,0.162812,0.192737", \ - "0.147702,0.150904,0.156076,0.165317,0.180529,0.204226,0.240439", \ - "0.172368,0.176538,0.183229,0.195147,0.214556,0.244589,0.289348"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.243012,0.252281,0.268723,0.297856,0.349203,0.438440,0.596427", \ - "0.246403,0.255700,0.272296,0.301572,0.352774,0.442295,0.600448", \ - "0.262593,0.271932,0.288571,0.318070,0.369271,0.459261,0.617686", \ - "0.290848,0.300117,0.316571,0.345896,0.397173,0.487114,0.645753", \ - "0.329809,0.339094,0.355391,0.384338,0.435585,0.525429,0.683913", \ - "0.379204,0.388926,0.406013,0.435277,0.486210,0.576249,0.734322", \ - "0.433183,0.443743,0.462356,0.494313,0.548827,0.641176,0.799352"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.025345,0.026701,0.029039,0.033125,0.040151,0.052264,0.073326", \ - "0.025161,0.026524,0.028887,0.032970,0.040028,0.052167,0.073279", \ - "0.024660,0.025986,0.028307,0.032409,0.039605,0.051937,0.073177", \ - "0.029531,0.030724,0.032753,0.036328,0.042401,0.053127,0.073123", \ - "0.038780,0.040026,0.042239,0.045886,0.052113,0.062864,0.081065", \ - "0.052541,0.053991,0.056585,0.060600,0.067168,0.078097,0.096629", \ - "0.070601,0.072308,0.075331,0.080249,0.087823,0.099695,0.118625"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.164009,0.172874,0.188616,0.216442,0.265015,0.349723,0.498646", \ - "0.164012,0.172876,0.188611,0.216416,0.264956,0.349764,0.498681", \ - "0.164015,0.172862,0.188606,0.216499,0.264936,0.349763,0.498675", \ - "0.164015,0.172865,0.188656,0.216449,0.264917,0.349738,0.498632", \ - "0.164122,0.172946,0.188591,0.216429,0.264892,0.349721,0.498627", \ - "0.172315,0.180316,0.194664,0.220538,0.266742,0.349806,0.498678", \ - "0.192475,0.200277,0.214150,0.238435,0.280448,0.357831,0.500214"); - } - } - - timing () { - - related_pin : "B3"; - when : "!A1 & A2 & !A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.036587,0.038280,0.041234,0.046347,0.055117,0.070099,0.095719", \ - "0.041983,0.043666,0.046611,0.051701,0.060453,0.075405,0.101001", \ - "0.058665,0.060302,0.063175,0.068181,0.076849,0.091749,0.117320", \ - "0.084508,0.086435,0.089734,0.095516,0.105126,0.120734,0.146348", \ - "0.112754,0.115245,0.119372,0.126723,0.138724,0.157871,0.187853", \ - "0.138769,0.142188,0.147531,0.157209,0.172981,0.197249,0.234074", \ - "0.159001,0.163475,0.170644,0.183154,0.203564,0.234824,0.280895"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.242976,0.252256,0.268724,0.297800,0.349191,0.438430,0.596415", \ - "0.246398,0.255698,0.272293,0.301640,0.352768,0.442283,0.600432", \ - "0.262588,0.271911,0.288569,0.318029,0.369263,0.459248,0.617669", \ - "0.290843,0.300101,0.316541,0.345911,0.397163,0.487102,0.645735", \ - "0.329804,0.339089,0.355419,0.384305,0.435576,0.525417,0.683894", \ - "0.379199,0.388920,0.406022,0.435271,0.486202,0.576235,0.734304", \ - "0.433176,0.443720,0.462306,0.494304,0.548817,0.641165,0.799334"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022696,0.023974,0.026221,0.030150,0.036998,0.048934,0.069876", \ - "0.022503,0.023785,0.026051,0.029991,0.036860,0.048823,0.069792", \ - "0.022209,0.023456,0.025660,0.029582,0.036538,0.048642,0.069725", \ - "0.027297,0.028455,0.030488,0.034000,0.040026,0.050473,0.070078", \ - "0.036947,0.038191,0.040381,0.043972,0.050137,0.060738,0.078804", \ - "0.051284,0.052755,0.055348,0.059379,0.065900,0.076684,0.094908", \ - "0.069949,0.071694,0.074753,0.079701,0.087277,0.099040,0.117683"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.161431,0.170063,0.185356,0.212382,0.259361,0.340710,0.482362", \ - "0.161418,0.170070,0.185382,0.212414,0.259325,0.340711,0.482378", \ - "0.161441,0.170087,0.185365,0.212244,0.259316,0.340747,0.482374", \ - "0.161439,0.170086,0.185380,0.212396,0.259318,0.340709,0.482375", \ - "0.161526,0.170135,0.185331,0.212372,0.259293,0.340707,0.482434", \ - "0.169718,0.177508,0.191499,0.216424,0.261218,0.340789,0.482361", \ - "0.188978,0.196685,0.210161,0.233987,0.274883,0.348890,0.483996"); - } - } - - timing () { - - related_pin : "B3"; - when : "!A1 & A2 & A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.029311,0.030636,0.032950,0.036964,0.043855,0.055627,0.075741", \ - "0.034830,0.036149,0.038450,0.042442,0.049309,0.061050,0.081131", \ - "0.053111,0.054399,0.056624,0.060481,0.067199,0.078830,0.098857", \ - "0.080474,0.082182,0.085061,0.090079,0.098275,0.111409,0.132063", \ - "0.109005,0.111406,0.115325,0.122206,0.133371,0.150701,0.177054", \ - "0.134445,0.137690,0.142953,0.152253,0.167469,0.190704,0.225013", \ - "0.153578,0.157942,0.164945,0.177142,0.197061,0.227487,0.271932"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.257591,0.266821,0.283118,0.312231,0.362923,0.452163,0.609943", \ - "0.261187,0.270420,0.286866,0.315877,0.366981,0.456338,0.614284", \ - "0.277218,0.286478,0.302985,0.332095,0.383460,0.472998,0.631380", \ - "0.305393,0.314606,0.330908,0.359955,0.411475,0.500888,0.659183", \ - "0.344340,0.353533,0.369769,0.398656,0.449556,0.539188,0.697130", \ - "0.394396,0.403923,0.420621,0.449442,0.500141,0.589724,0.747650", \ - "0.449673,0.459995,0.478192,0.509746,0.563536,0.654839,0.812613"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.017691,0.018712,0.020493,0.023582,0.028933,0.038198,0.054341", \ - "0.017521,0.018542,0.020316,0.023418,0.028783,0.038067,0.054256", \ - "0.017745,0.018638,0.020246,0.023135,0.028369,0.037782,0.054120", \ - "0.024849,0.025710,0.027213,0.029755,0.034145,0.041597,0.055378", \ - "0.036344,0.037364,0.039148,0.042008,0.046725,0.054589,0.067737", \ - "0.051943,0.053181,0.055502,0.059015,0.064538,0.073320,0.087252", \ - "0.071666,0.073176,0.075942,0.080390,0.087137,0.097493,0.113112"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.173864,0.182624,0.197973,0.225342,0.272514,0.354071,0.495923", \ - "0.173873,0.182632,0.197957,0.225255,0.272423,0.354061,0.495928", \ - "0.173904,0.182662,0.197923,0.225251,0.272475,0.354046,0.495910", \ - "0.173903,0.182659,0.197977,0.225244,0.272512,0.354099,0.495932", \ - "0.173953,0.182701,0.198021,0.225270,0.272500,0.354133,0.495926", \ - "0.180990,0.189055,0.203130,0.228792,0.273898,0.354081,0.495929", \ - "0.200352,0.208058,0.221480,0.245592,0.286575,0.361451,0.497200"); - } - } - - timing () { - - related_pin : "B3"; - when : "A1 & !A2 & !A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.030630,0.032274,0.035154,0.040157,0.048783,0.063585,0.088987", \ - "0.036082,0.037715,0.040576,0.045552,0.054146,0.068908,0.094276", \ - "0.052777,0.054411,0.057231,0.062118,0.070604,0.085285,0.110609", \ - "0.076537,0.078598,0.082056,0.088091,0.097948,0.113893,0.139637", \ - "0.101188,0.104030,0.108597,0.116539,0.129376,0.149319,0.180035", \ - "0.122179,0.126081,0.132184,0.143038,0.160192,0.186106,0.224396", \ - "0.136249,0.141335,0.149625,0.163639,0.186194,0.219990,0.268613"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.238397,0.247630,0.263977,0.292738,0.343633,0.433121,0.590761", \ - "0.241758,0.251060,0.267432,0.296386,0.347353,0.436914,0.594753", \ - "0.257939,0.267260,0.283701,0.312743,0.364005,0.453784,0.611990", \ - "0.286198,0.295464,0.311759,0.340807,0.392010,0.481809,0.640024", \ - "0.325177,0.334446,0.350630,0.379272,0.430440,0.520096,0.678188", \ - "0.374294,0.384043,0.401091,0.430524,0.481334,0.570823,0.728630", \ - "0.427763,0.438345,0.456907,0.488867,0.543555,0.636050,0.793691"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.018638,0.019977,0.022304,0.026337,0.033304,0.045322,0.066262", \ - "0.018406,0.019749,0.022080,0.026118,0.033114,0.045177,0.066172", \ - "0.018864,0.020061,0.022203,0.026029,0.032860,0.044991,0.066081", \ - "0.025031,0.026180,0.028180,0.031611,0.037547,0.047809,0.066879", \ - "0.035795,0.036990,0.039128,0.042617,0.048535,0.058752,0.076524", \ - "0.051040,0.052458,0.055005,0.058908,0.065239,0.075643,0.093281", \ - "0.070448,0.072173,0.075228,0.080104,0.087486,0.098920,0.116995"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.123142,0.131397,0.146297,0.172359,0.217812,0.297372,0.437704", \ - "0.123141,0.131403,0.146303,0.172409,0.217824,0.297448,0.437702", \ - "0.123144,0.131401,0.146304,0.172381,0.217877,0.297447,0.437709", \ - "0.123143,0.131401,0.146295,0.172410,0.217961,0.297391,0.437685", \ - "0.123282,0.131487,0.146330,0.172337,0.217889,0.297366,0.437672", \ - "0.131686,0.139163,0.152615,0.176563,0.219553,0.297432,0.437694", \ - "0.146239,0.154136,0.168232,0.192368,0.233060,0.305381,0.439369"); - } - } - - timing () { - - related_pin : "B3"; - when : "A1 & !A2 & A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.025159,0.026422,0.028644,0.032517,0.039231,0.050797,0.070687", \ - "0.030725,0.031981,0.034187,0.038035,0.044715,0.056237,0.076085", \ - "0.048670,0.049991,0.052266,0.056105,0.062656,0.074056,0.093830", \ - "0.073433,0.075301,0.078419,0.083762,0.092363,0.105897,0.126971", \ - "0.098016,0.100731,0.105136,0.112652,0.124730,0.143103,0.170429", \ - "0.118251,0.122054,0.127966,0.138509,0.155182,0.180080,0.216171", \ - "0.131303,0.136388,0.144301,0.158096,0.180125,0.213085,0.260178"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.252924,0.262130,0.278369,0.306987,0.357523,0.446715,0.604073", \ - "0.256513,0.265723,0.282012,0.310830,0.361616,0.450933,0.608440", \ - "0.272536,0.281786,0.298106,0.327033,0.377834,0.467584,0.625517", \ - "0.300720,0.309924,0.326107,0.354899,0.405710,0.495289,0.653322", \ - "0.339659,0.348853,0.364897,0.393376,0.444056,0.533558,0.691293", \ - "0.389498,0.399051,0.415822,0.444605,0.495103,0.584311,0.741792", \ - "0.444284,0.454627,0.472788,0.504258,0.558152,0.649660,0.806824"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.014472,0.015523,0.017340,0.020508,0.025954,0.035325,0.051530", \ - "0.014301,0.015342,0.017161,0.020323,0.025783,0.035172,0.051433", \ - "0.015559,0.016385,0.017891,0.020633,0.025669,0.034920,0.051283", \ - "0.023506,0.024358,0.025846,0.028367,0.032677,0.040011,0.053267", \ - "0.035802,0.036787,0.038550,0.041380,0.045983,0.053636,0.066498", \ - "0.052132,0.053342,0.055590,0.059016,0.064436,0.073041,0.086647", \ - "0.072683,0.074148,0.076792,0.081174,0.087737,0.097843,0.113106"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.131238,0.139518,0.154465,0.180699,0.226299,0.306080,0.446419", \ - "0.131241,0.139517,0.154449,0.180677,0.226353,0.306053,0.446442", \ - "0.131241,0.139520,0.154446,0.180675,0.226276,0.306063,0.446411", \ - "0.131240,0.139520,0.154462,0.180703,0.226300,0.306078,0.446439", \ - "0.131320,0.139569,0.154460,0.180654,0.226243,0.306087,0.446420", \ - "0.138623,0.146201,0.159932,0.184197,0.227626,0.306094,0.446425", \ - "0.153520,0.161379,0.175423,0.199593,0.240146,0.313218,0.447766"); - } - } - - timing () { - - related_pin : "B3"; - when : "A1 & A2 & !A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.025159,0.026422,0.028644,0.032517,0.039231,0.050797,0.070687", \ - "0.030725,0.031981,0.034187,0.038035,0.044715,0.056237,0.076085", \ - "0.048670,0.049991,0.052266,0.056105,0.062656,0.074056,0.093830", \ - "0.073433,0.075301,0.078419,0.083762,0.092363,0.105897,0.126971", \ - "0.098016,0.100731,0.105136,0.112652,0.124730,0.143103,0.170429", \ - "0.118251,0.122054,0.127966,0.138509,0.155182,0.180080,0.216171", \ - "0.131303,0.136388,0.144301,0.158096,0.180125,0.213085,0.260178"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.252924,0.262130,0.278369,0.306987,0.357523,0.446715,0.604073", \ - "0.256513,0.265723,0.282012,0.310830,0.361616,0.450933,0.608440", \ - "0.272536,0.281786,0.298106,0.327033,0.377834,0.467584,0.625517", \ - "0.300720,0.309924,0.326107,0.354899,0.405710,0.495289,0.653322", \ - "0.339659,0.348853,0.364897,0.393376,0.444056,0.533558,0.691293", \ - "0.389498,0.399051,0.415822,0.444605,0.495103,0.584311,0.741792", \ - "0.444284,0.454627,0.472788,0.504258,0.558152,0.649660,0.806824"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.014472,0.015523,0.017340,0.020508,0.025954,0.035325,0.051530", \ - "0.014301,0.015342,0.017161,0.020323,0.025783,0.035172,0.051433", \ - "0.015559,0.016385,0.017891,0.020633,0.025669,0.034920,0.051283", \ - "0.023506,0.024358,0.025846,0.028367,0.032677,0.040011,0.053267", \ - "0.035802,0.036787,0.038550,0.041380,0.045983,0.053636,0.066498", \ - "0.052132,0.053342,0.055590,0.059016,0.064436,0.073041,0.086647", \ - "0.072683,0.074148,0.076792,0.081174,0.087737,0.097843,0.113106"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.131238,0.139518,0.154465,0.180699,0.226299,0.306080,0.446419", \ - "0.131241,0.139517,0.154449,0.180677,0.226353,0.306053,0.446442", \ - "0.131241,0.139520,0.154446,0.180675,0.226276,0.306063,0.446411", \ - "0.131240,0.139520,0.154462,0.180703,0.226300,0.306078,0.446439", \ - "0.131320,0.139569,0.154460,0.180654,0.226243,0.306087,0.446420", \ - "0.138623,0.146201,0.159932,0.184197,0.227626,0.306094,0.446425", \ - "0.153520,0.161379,0.175423,0.199593,0.240146,0.313218,0.447766"); - } - } - - timing () { - - related_pin : "B3"; - when : "A1 & A2 & A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.023648,0.024787,0.026791,0.030289,0.036370,0.046869,0.064953", \ - "0.029244,0.030377,0.032367,0.035843,0.041890,0.052347,0.070385", \ - "0.047699,0.048921,0.051021,0.054549,0.060479,0.070802,0.088750", \ - "0.072743,0.074549,0.077555,0.082689,0.090928,0.103748,0.123401", \ - "0.097235,0.099871,0.104208,0.111561,0.123393,0.141309,0.167683", \ - "0.117279,0.121002,0.126792,0.137153,0.153536,0.178020,0.213473", \ - "0.129970,0.134888,0.142764,0.156212,0.178002,0.210516,0.257005"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.265596,0.274708,0.290882,0.319302,0.369925,0.458872,0.615991", \ - "0.269225,0.278414,0.294632,0.323234,0.373955,0.463170,0.620522", \ - "0.285204,0.294393,0.310663,0.339348,0.390295,0.479680,0.637466", \ - "0.313327,0.322478,0.338588,0.367230,0.418005,0.507387,0.665172", \ - "0.352232,0.361367,0.377400,0.405712,0.456371,0.545546,0.703080", \ - "0.402549,0.411974,0.428394,0.456913,0.507184,0.596339,0.753571", \ - "0.458415,0.468587,0.486490,0.517604,0.570932,0.661642,0.818554"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013426,0.014362,0.016003,0.018861,0.023801,0.032280,0.046935", \ - "0.013260,0.014201,0.015837,0.018700,0.023645,0.032137,0.046827", \ - "0.014875,0.015570,0.016850,0.019214,0.023626,0.031863,0.046664", \ - "0.023607,0.024357,0.025700,0.027908,0.031643,0.038021,0.049328", \ - "0.036570,0.037454,0.039062,0.041688,0.045874,0.052765,0.064085", \ - "0.053575,0.054664,0.056741,0.059904,0.064934,0.072980,0.085465", \ - "0.074926,0.076241,0.078644,0.082706,0.088803,0.098314,0.112710"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.138383,0.146668,0.161606,0.187985,0.233691,0.313389,0.453762", \ - "0.138384,0.146670,0.161615,0.187980,0.233823,0.313391,0.453761", \ - "0.138381,0.146673,0.161607,0.187979,0.233684,0.313419,0.453777", \ - "0.138381,0.146672,0.161615,0.187975,0.233812,0.313392,0.453762", \ - "0.138424,0.146692,0.161603,0.187956,0.233727,0.313402,0.453779", \ - "0.144770,0.152420,0.166295,0.190892,0.234636,0.313385,0.453755", \ - "0.159770,0.167591,0.181617,0.205701,0.246133,0.319823,0.454838"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.706064,0.716988,0.733567,0.753613,0.780971,0.835495,0.879998", \ - "0.708628,0.722646,0.743110,0.772188,0.808922,0.858668,0.906309", \ - "0.740086,0.746885,0.761798,0.785629,0.824879,0.873704,0.935223", \ - "0.845664,0.845541,0.847077,0.853629,0.865739,0.901926,0.948307", \ - "0.982184,0.984656,0.976674,0.975893,0.983501,0.983800,0.988388", \ - "1.156092,1.155067,1.149931,1.146008,1.138731,1.123315,1.106338", \ - "1.389471,1.390083,1.382742,1.371060,1.354136,1.322139,1.277156"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.063570,3.068962,3.075137,3.087966,3.092609,3.100450,3.111189", \ - "3.000296,3.007723,3.021994,3.043933,3.066824,3.086206,3.107403", \ - "2.939690,2.942527,2.951339,2.966300,2.981740,3.023457,3.036096", \ - "2.980954,2.978929,2.980002,2.976940,2.989252,3.000615,3.003607", \ - "3.110815,3.106134,3.102026,3.090289,3.075497,3.051991,3.052040", \ - "3.324635,3.316114,3.306087,3.287495,3.246073,3.205653,3.166205", \ - "3.632741,3.619253,3.595664,3.567677,3.525263,3.457950,3.377621"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.566922,0.568108,0.567542,0.575489,0.583093,0.596109,0.615349", \ - "0.567308,0.572077,0.577577,0.587102,0.597473,0.619495,0.640212", \ - "0.576098,0.577942,0.584986,0.598380,0.612143,0.636368,0.661805", \ - "0.640086,0.640991,0.636965,0.637090,0.629641,0.641742,0.671635", \ - "0.746223,0.748261,0.739996,0.738406,0.725891,0.713622,0.697893", \ - "0.909756,0.904792,0.894555,0.885964,0.868169,0.842637,0.808186", \ - "1.136630,1.135147,1.125568,1.101548,1.077236,1.032442,0.975022"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.655673,2.661325,2.667316,2.667781,2.671655,2.688278,2.685484", \ - "2.592393,2.600731,2.614120,2.623891,2.646567,2.654380,2.681828", \ - "2.531755,2.536669,2.540825,2.557295,2.560557,2.592148,2.629674", \ - "2.572903,2.573123,2.566388,2.563233,2.568999,2.569187,2.576102", \ - "2.702830,2.697439,2.688678,2.670423,2.656272,2.637503,2.626280", \ - "2.916865,2.905140,2.899072,2.873579,2.825633,2.791881,2.739983", \ - "3.215120,3.211924,3.192446,3.146529,3.103322,3.026517,2.950001"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.559467,0.564412,0.565574,0.571521,0.577008,0.586049,0.602525", \ - "0.560886,0.564481,0.570444,0.582300,0.594094,0.608775,0.626794", \ - "0.571938,0.572403,0.580865,0.590602,0.602080,0.622543,0.647673", \ - "0.649569,0.653001,0.646477,0.643606,0.641880,0.642397,0.662664", \ - "0.757926,0.757802,0.753007,0.750522,0.742246,0.730854,0.712684", \ - "0.916020,0.913324,0.911128,0.897152,0.885455,0.859484,0.831060", \ - "1.146185,1.143398,1.136411,1.119869,1.094315,1.052738,0.997401"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.674250,2.678121,2.686527,2.687675,2.697038,2.706912,2.704592", \ - "2.599544,2.608213,2.620839,2.630864,2.656797,2.665047,2.691289", \ - "2.545866,2.546034,2.557143,2.562787,2.570460,2.600327,2.616486", \ - "2.593266,2.591329,2.591085,2.582087,2.586627,2.584228,2.586313", \ - "2.726288,2.722253,2.714639,2.691375,2.677538,2.657169,2.644919", \ - "2.943266,2.934140,2.923926,2.897662,2.851702,2.815753,2.763108", \ - "3.249861,3.241659,3.220735,3.190056,3.133038,3.055802,2.979515"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.272446,0.266554,0.261694,0.250879,0.242986,0.238205,0.238017", \ - "0.265757,0.261448,0.263685,0.259751,0.259944,0.260639,0.262997", \ - "0.247893,0.250016,0.251578,0.251615,0.262915,0.270537,0.279220", \ - "0.307070,0.304409,0.295783,0.292630,0.277672,0.279820,0.288189", \ - "0.407306,0.403648,0.399615,0.386757,0.368608,0.346603,0.317699", \ - "0.577070,0.569151,0.558710,0.541279,0.511650,0.470850,0.424965", \ - "0.822046,0.810862,0.792687,0.766666,0.728288,0.667320,0.593539"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.185925,2.188769,2.195579,2.205361,2.208437,2.221752,2.225568", \ - "2.121937,2.130210,2.141149,2.161449,2.180757,2.187665,2.210234", \ - "2.062217,2.065828,2.074247,2.078624,2.097772,2.125749,2.157991", \ - "2.104559,2.103686,2.096465,2.094375,2.087506,2.103190,2.125249", \ - "2.235305,2.231125,2.221804,2.208397,2.193595,2.173407,2.155005", \ - "2.450172,2.440868,2.428572,2.397950,2.362091,2.327029,2.269482", \ - "2.662840,2.668536,2.682486,2.692937,2.644401,2.559093,2.482146"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.294611,0.289185,0.282744,0.270572,0.256872,0.242185,0.238362", \ - "0.280613,0.282383,0.279754,0.275027,0.270720,0.262176,0.262587", \ - "0.265213,0.263330,0.263687,0.265810,0.272204,0.275802,0.280288", \ - "0.321551,0.318742,0.319327,0.312895,0.304699,0.289732,0.294614", \ - "0.418781,0.417389,0.410755,0.403953,0.390802,0.370111,0.340979", \ - "0.590574,0.581829,0.573162,0.554719,0.526939,0.492258,0.452168", \ - "0.831744,0.820873,0.803541,0.784044,0.741144,0.689592,0.619650"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.182669,2.186975,2.190126,2.202526,2.204291,2.219098,2.210917", \ - "2.106932,2.112333,2.123317,2.144531,2.151458,2.175700,2.198481", \ - "2.051625,2.057058,2.058930,2.076452,2.085245,2.111252,2.142087", \ - "2.102483,2.100412,2.098895,2.092033,2.082091,2.095208,2.092509", \ - "2.236489,2.230926,2.225425,2.208497,2.192878,2.171457,2.149822", \ - "2.457510,2.446562,2.433368,2.404482,2.365703,2.329727,2.270105", \ - "2.673183,2.678208,2.687975,2.705498,2.651261,2.565514,2.487579"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.294611,0.289185,0.282744,0.270572,0.256872,0.242185,0.238362", \ - "0.280613,0.282383,0.279754,0.275027,0.270720,0.262176,0.262587", \ - "0.265213,0.263330,0.263687,0.265810,0.272204,0.275802,0.280288", \ - "0.321551,0.318742,0.319327,0.312895,0.304699,0.289732,0.294614", \ - "0.418781,0.417389,0.410755,0.403953,0.390802,0.370111,0.340979", \ - "0.590574,0.581829,0.573162,0.554719,0.526939,0.492258,0.452168", \ - "0.831744,0.820873,0.803541,0.784044,0.741144,0.689592,0.619650"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.182669,2.186975,2.190126,2.202526,2.204291,2.219098,2.210917", \ - "2.106932,2.112333,2.123317,2.144531,2.151458,2.175700,2.198481", \ - "2.051625,2.057058,2.058930,2.076452,2.085245,2.111252,2.142087", \ - "2.102483,2.100412,2.098895,2.092033,2.082091,2.095208,2.092509", \ - "2.236489,2.230926,2.225425,2.208497,2.192878,2.171457,2.149822", \ - "2.457510,2.446562,2.433368,2.404482,2.365703,2.329727,2.270105", \ - "2.673183,2.678208,2.687975,2.705498,2.651261,2.565514,2.487579"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.302652,0.294528,0.291524,0.279079,0.262155,0.250668,0.240936", \ - "0.291881,0.284751,0.281632,0.282735,0.272813,0.268990,0.264723", \ - "0.275996,0.275680,0.271385,0.273918,0.273831,0.276538,0.283860", \ - "0.328841,0.325647,0.318445,0.322558,0.314222,0.297596,0.296998", \ - "0.426881,0.421804,0.412606,0.408405,0.393901,0.374903,0.350022", \ - "0.589811,0.585583,0.576457,0.554145,0.532470,0.503389,0.462289", \ - "0.834157,0.822466,0.809232,0.785519,0.748561,0.697946,0.628106"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.180126,2.182621,2.189651,2.199007,2.202379,2.217072,2.208931", \ - "2.098488,2.107728,2.118269,2.136338,2.145658,2.169881,2.192876", \ - "2.049405,2.053304,2.057976,2.063939,2.080776,2.105839,2.121546", \ - "2.102079,2.101950,2.098885,2.096354,2.080610,2.093497,2.088744", \ - "2.237036,2.233392,2.223130,2.205643,2.193087,2.171633,2.148585", \ - "2.459006,2.449191,2.438523,2.412511,2.367026,2.330951,2.270722", \ - "2.675673,2.682453,2.693949,2.702172,2.653925,2.567997,2.489815"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.039287,1.043627,1.054075,1.086888,1.124785,1.183504,1.248559", \ - "1.031033,1.043591,1.063459,1.093486,1.123064,1.190973,1.252792", \ - "1.022767,1.027701,1.051502,1.072949,1.123094,1.178436,1.237159", \ - "1.094104,1.096635,1.099098,1.111923,1.131201,1.187999,1.241986", \ - "1.236304,1.241601,1.232418,1.237781,1.244188,1.250911,1.271514", \ - "1.416124,1.419575,1.413980,1.412657,1.409616,1.403512,1.390089", \ - "1.652294,1.650633,1.653061,1.639261,1.627987,1.606380,1.570368"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.639190,3.642598,3.651652,3.648461,3.653768,3.661038,3.671370", \ - "3.600100,3.604433,3.617006,3.627039,3.640945,3.653449,3.671429", \ - "3.535303,3.540308,3.549725,3.555458,3.586612,3.607186,3.634334", \ - "3.509085,3.507971,3.514868,3.522621,3.534155,3.550961,3.576971", \ - "3.514400,3.513199,3.516030,3.521447,3.526151,3.538485,3.538581", \ - "3.574069,3.571681,3.574641,3.565181,3.563745,3.562315,3.554754", \ - "3.729525,3.723001,3.718990,3.702372,3.682376,3.660134,3.627605"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.854330,0.864564,0.877030,0.892646,0.909946,0.937892,0.969722", \ - "0.849523,0.852429,0.862771,0.882954,0.905820,0.932704,0.974309", \ - "0.833682,0.836396,0.846850,0.875677,0.892830,0.928036,0.964377", \ - "0.888828,0.886853,0.885264,0.887120,0.893492,0.924776,0.964105", \ - "1.007589,1.003304,0.993200,1.000484,0.993883,0.981969,0.990757", \ - "1.169821,1.166771,1.163512,1.151473,1.141281,1.122945,1.095631", \ - "1.400732,1.397334,1.393427,1.374854,1.355377,1.318329,1.269112"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.230423,3.234486,3.240629,3.233784,3.251901,3.247728,3.245103", \ - "3.192278,3.196628,3.205871,3.218596,3.221189,3.241249,3.245368", \ - "3.124547,3.130732,3.135843,3.151033,3.166742,3.176026,3.208859", \ - "3.101178,3.098898,3.105637,3.100807,3.114771,3.138233,3.151119", \ - "3.105709,3.106846,3.106767,3.097308,3.106762,3.105801,3.131729", \ - "3.167216,3.164800,3.168167,3.156981,3.143616,3.130828,3.148592", \ - "3.319236,3.315835,3.308428,3.276408,3.262961,3.228154,3.201473"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.803751,0.822834,0.831629,0.841698,0.872437,0.914451,0.948352", \ - "0.808369,0.806210,0.819198,0.851452,0.879728,0.911714,0.947562", \ - "0.802783,0.811691,0.822023,0.835747,0.857617,0.898511,0.938095", \ - "0.891171,0.889054,0.886600,0.885096,0.887602,0.902304,0.940352", \ - "1.010302,1.012318,1.001615,1.004097,1.003684,0.995992,0.981219", \ - "1.177621,1.171648,1.166651,1.161094,1.151863,1.131873,1.109470", \ - "1.407144,1.404307,1.397975,1.389436,1.361551,1.332353,1.284210"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.249214,3.251600,3.255889,3.254478,3.252831,3.267189,3.263960", \ - "3.200840,3.206266,3.216111,3.226737,3.231964,3.252391,3.257207", \ - "3.140491,3.144183,3.154725,3.157711,3.179111,3.186252,3.195939", \ - "3.120315,3.120691,3.125249,3.118605,3.131167,3.153567,3.162626", \ - "3.126497,3.126815,3.128489,3.116780,3.126143,3.124835,3.147143", \ - "3.189187,3.186875,3.188566,3.181478,3.164661,3.151079,3.166026", \ - "3.346257,3.337573,3.329041,3.310741,3.283301,3.250803,3.221936"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.521489,0.525598,0.537382,0.542094,0.562739,0.571627,0.596765", \ - "0.515852,0.520883,0.528400,0.543613,0.558881,0.567312,0.593942", \ - "0.495399,0.504473,0.509751,0.522983,0.544724,0.559793,0.586180", \ - "0.555344,0.551374,0.550751,0.542045,0.540213,0.556608,0.584305", \ - "0.667338,0.663316,0.650782,0.647549,0.638802,0.619749,0.602732", \ - "0.833339,0.827406,0.819398,0.804654,0.787531,0.757020,0.715388", \ - "1.074831,1.070896,1.060113,1.035887,1.002139,0.952822,0.887824"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.761163,2.764178,2.766661,2.767159,2.770566,2.781848,2.773268", \ - "2.719065,2.724647,2.738249,2.738714,2.755737,2.775507,2.773440", \ - "2.654636,2.661339,2.666300,2.669195,2.691836,2.709097,2.736903", \ - "2.632064,2.630307,2.633009,2.628179,2.650356,2.672580,2.679154", \ - "2.637345,2.637738,2.637260,2.632621,2.643426,2.641135,2.659824", \ - "2.698889,2.699710,2.699622,2.680939,2.679345,2.665096,2.676993", \ - "2.771426,2.780976,2.799506,2.827891,2.797388,2.760569,2.732235"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.499602,0.507164,0.509710,0.524967,0.543625,0.564436,0.579334", \ - "0.487981,0.500065,0.508394,0.518717,0.540530,0.560500,0.577260", \ - "0.479325,0.489777,0.490791,0.506697,0.525900,0.551111,0.574207", \ - "0.562043,0.562645,0.563797,0.556361,0.548939,0.547691,0.570808", \ - "0.676903,0.671561,0.665005,0.656061,0.653592,0.634236,0.612176", \ - "0.841450,0.840655,0.828793,0.817918,0.799987,0.771813,0.732325", \ - "1.085065,1.076759,1.066804,1.047644,1.017488,0.970069,0.910750"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.757717,2.758699,2.759880,2.761367,2.766335,2.778677,2.769723", \ - "2.708716,2.712298,2.723167,2.734996,2.744641,2.764627,2.762420", \ - "2.648712,2.652915,2.656909,2.664024,2.673235,2.696595,2.722669", \ - "2.626132,2.626847,2.632271,2.635223,2.644981,2.644949,2.668360", \ - "2.635910,2.637371,2.632219,2.627763,2.641216,2.637649,2.653224", \ - "2.698211,2.696927,2.694418,2.684115,2.678191,2.662779,2.673235", \ - "2.772604,2.781577,2.807196,2.828541,2.797460,2.759881,2.730295"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.499602,0.507164,0.509710,0.524967,0.543625,0.564436,0.579334", \ - "0.487981,0.500065,0.508394,0.518717,0.540530,0.560500,0.577260", \ - "0.479325,0.489777,0.490791,0.506697,0.525900,0.551111,0.574207", \ - "0.562043,0.562645,0.563797,0.556361,0.548939,0.547691,0.570808", \ - "0.676903,0.671561,0.665005,0.656061,0.653592,0.634236,0.612176", \ - "0.841450,0.840655,0.828793,0.817918,0.799987,0.771813,0.732325", \ - "1.085065,1.076759,1.066804,1.047644,1.017488,0.970069,0.910750"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.757717,2.758699,2.759880,2.761367,2.766335,2.778677,2.769723", \ - "2.708716,2.712298,2.723167,2.734996,2.744641,2.764627,2.762420", \ - "2.648712,2.652915,2.656909,2.664024,2.673235,2.696595,2.722669", \ - "2.626132,2.626847,2.632271,2.635223,2.644981,2.644949,2.668360", \ - "2.635910,2.637371,2.632219,2.627763,2.641216,2.637649,2.653224", \ - "2.698211,2.696927,2.694418,2.684115,2.678191,2.662779,2.673235", \ - "2.772604,2.781577,2.807196,2.828541,2.797460,2.759881,2.730295"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.483418,0.492597,0.502460,0.512256,0.533919,0.549403,0.571976", \ - "0.474944,0.489165,0.500522,0.509565,0.524023,0.553810,0.575256", \ - "0.479340,0.486376,0.488615,0.499731,0.521023,0.541396,0.566249", \ - "0.564272,0.567674,0.563414,0.564691,0.559386,0.546911,0.563181", \ - "0.677311,0.674502,0.671564,0.663318,0.657758,0.636970,0.619342", \ - "0.844992,0.838738,0.835743,0.821009,0.802006,0.777536,0.740952", \ - "1.084427,1.078944,1.070791,1.051184,1.019134,0.976943,0.918266"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.752374,2.756781,2.758920,2.765299,2.764105,2.776487,2.767743", \ - "2.702801,2.706229,2.713979,2.719722,2.739370,2.759387,2.757174", \ - "2.646741,2.647259,2.655209,2.658721,2.671917,2.691973,2.717399", \ - "2.625131,2.626525,2.626721,2.632721,2.643591,2.642467,2.664588", \ - "2.635575,2.634906,2.637134,2.632551,2.640523,2.646433,2.651346", \ - "2.698150,2.696052,2.693670,2.688209,2.677026,2.662407,2.672251", \ - "2.773205,2.783044,2.805580,2.829095,2.797748,2.759944,2.730005"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.211320,1.234497,1.259801,1.284973,1.354691,1.424606,1.500319", \ - "1.180429,1.184824,1.222494,1.253212,1.319190,1.385561,1.479889", \ - "1.144032,1.165156,1.185407,1.219450,1.271890,1.345571,1.439715", \ - "1.215035,1.212846,1.217702,1.246914,1.274942,1.336905,1.422786", \ - "1.384673,1.385499,1.386288,1.387820,1.403470,1.417075,1.463181", \ - "1.578522,1.580050,1.580547,1.583305,1.584657,1.582538,1.583778", \ - "1.836871,1.840412,1.834285,1.835839,1.821811,1.805001,1.780115"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.160682,4.162670,4.166963,4.171626,4.187568,4.194194,4.186864", \ - "4.120183,4.124805,4.135742,4.153487,4.167178,4.181730,4.199354", \ - "4.056478,4.061344,4.071494,4.085188,4.103859,4.125280,4.151660", \ - "4.026994,4.026635,4.035914,4.045186,4.050088,4.067070,4.092695", \ - "4.020957,4.021366,4.015569,4.019189,4.029634,4.042511,4.061827", \ - "4.045142,4.043395,4.047889,4.053109,4.041084,4.047885,4.064111", \ - "4.127639,4.124756,4.123517,4.122940,4.113076,4.108566,4.090809"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.003996,1.014392,1.030458,1.065685,1.111446,1.165266,1.226520", \ - "0.964571,0.976810,1.002754,1.032236,1.068639,1.118994,1.188981", \ - "0.935828,0.948442,0.965675,1.000781,1.042963,1.096528,1.149080", \ - "1.001005,1.002948,0.995029,1.010836,1.034245,1.080349,1.147067", \ - "1.146866,1.149619,1.145823,1.148912,1.151299,1.150152,1.166318", \ - "1.329841,1.330946,1.331524,1.323746,1.319258,1.302616,1.289112", \ - "1.587293,1.585287,1.582654,1.570477,1.551467,1.518099,1.479747"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.752932,3.754760,3.756576,3.765650,3.767289,3.762771,3.760517", \ - "3.712288,3.716292,3.724205,3.732245,3.747817,3.768705,3.772452", \ - "3.645718,3.651739,3.658797,3.661946,3.684465,3.712171,3.726355", \ - "3.619095,3.617502,3.619928,3.619074,3.630412,3.653735,3.665872", \ - "3.612892,3.613764,3.610588,3.615982,3.609296,3.627642,3.636072", \ - "3.636156,3.637843,3.637574,3.629252,3.619760,3.634099,3.637920", \ - "3.707528,3.714689,3.712266,3.698895,3.690818,3.676817,3.664899"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.906179,0.916465,0.950426,0.979750,1.040746,1.104997,1.180350", \ - "0.876854,0.893006,0.916928,0.947661,1.006110,1.067469,1.142075", \ - "0.867481,0.864490,0.891180,0.916619,0.976795,1.034303,1.098861", \ - "0.983073,0.980046,0.983596,0.997453,1.006446,1.035866,1.098023", \ - "1.142105,1.144358,1.138120,1.134567,1.145100,1.148607,1.148136", \ - "1.330966,1.327873,1.330868,1.322690,1.317845,1.306564,1.293500", \ - "1.589379,1.587993,1.581010,1.570097,1.557454,1.529614,1.489924"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.771725,3.774235,3.776925,3.774588,3.787362,3.784275,3.779817", \ - "3.723834,3.727728,3.739543,3.743111,3.757675,3.761090,3.785413", \ - "3.661820,3.666458,3.674819,3.683589,3.695250,3.703868,3.733511", \ - "3.638832,3.637512,3.638410,3.639942,3.647492,3.669203,3.679011", \ - "3.633657,3.635243,3.632356,3.630630,3.629482,3.647361,3.650885", \ - "3.657656,3.658829,3.664457,3.651374,3.641729,3.654097,3.660495", \ - "3.733685,3.739798,3.738289,3.722663,3.711898,3.698185,3.684541"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.641124,0.651941,0.666561,0.703464,0.731926,0.788974,0.840654", \ - "0.605206,0.616454,0.627567,0.659801,0.710822,0.758835,0.808459", \ - "0.584072,0.589536,0.607140,0.634688,0.672635,0.719272,0.763830", \ - "0.663525,0.659528,0.657993,0.668844,0.675884,0.716080,0.766046", \ - "0.809058,0.806010,0.803604,0.795460,0.797564,0.787420,0.790956", \ - "0.998762,0.994587,0.990212,0.982644,0.965939,0.939950,0.910716", \ - "1.264306,1.260329,1.245799,1.225968,1.202978,1.157830,1.099040"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.283628,3.283776,3.291537,3.297127,3.304086,3.296823,3.308891", \ - "3.242216,3.248734,3.258093,3.262419,3.283648,3.303354,3.301631", \ - "3.175803,3.182195,3.191105,3.188251,3.221769,3.226463,3.253875", \ - "3.149930,3.149209,3.149126,3.157024,3.166137,3.187766,3.193877", \ - "3.144250,3.141734,3.134757,3.132824,3.145043,3.164207,3.164160", \ - "3.169153,3.169630,3.165694,3.150405,3.155651,3.168641,3.166601", \ - "3.154704,3.171603,3.203909,3.245126,3.227839,3.208142,3.195920"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.555446,0.559628,0.595593,0.617916,0.675830,0.734432,0.800521", \ - "0.531593,0.537609,0.562619,0.596015,0.648598,0.701820,0.764185", \ - "0.531996,0.543278,0.545239,0.585713,0.619043,0.667894,0.731135", \ - "0.659140,0.656496,0.654527,0.663790,0.665168,0.683242,0.728919", \ - "0.810848,0.807009,0.802234,0.800415,0.796323,0.786323,0.777903", \ - "1.004994,1.000185,0.992913,0.983259,0.969706,0.949126,0.918890", \ - "1.269242,1.261864,1.251139,1.235915,1.211970,1.167338,1.113496"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.276969,3.283308,3.288179,3.289822,3.300533,3.307440,3.305315", \ - "3.228567,3.236237,3.242557,3.258139,3.272136,3.272155,3.290470", \ - "3.170007,3.173401,3.178846,3.182737,3.191792,3.213868,3.240045", \ - "3.147191,3.146027,3.152605,3.149575,3.161156,3.180350,3.183365", \ - "3.142806,3.140878,3.136817,3.132633,3.142428,3.160082,3.165084", \ - "3.168036,3.166630,3.167857,3.162207,3.154104,3.165571,3.162548", \ - "3.154228,3.168191,3.202068,3.244273,3.226617,3.206345,3.193116"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.555446,0.559628,0.595593,0.617916,0.675830,0.734432,0.800521", \ - "0.531593,0.537609,0.562619,0.596015,0.648598,0.701820,0.764185", \ - "0.531996,0.543278,0.545239,0.585713,0.619043,0.667894,0.731135", \ - "0.659140,0.656496,0.654527,0.663790,0.665168,0.683242,0.728919", \ - "0.810848,0.807009,0.802234,0.800415,0.796323,0.786323,0.777903", \ - "1.004994,1.000185,0.992913,0.983259,0.969706,0.949126,0.918890", \ - "1.269242,1.261864,1.251139,1.235915,1.211970,1.167338,1.113496"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.276969,3.283308,3.288179,3.289822,3.300533,3.307440,3.305315", \ - "3.228567,3.236237,3.242557,3.258139,3.272136,3.272155,3.290470", \ - "3.170007,3.173401,3.178846,3.182737,3.191792,3.213868,3.240045", \ - "3.147191,3.146027,3.152605,3.149575,3.161156,3.180350,3.183365", \ - "3.142806,3.140878,3.136817,3.132633,3.142428,3.160082,3.165084", \ - "3.168036,3.166630,3.167857,3.162207,3.154104,3.165571,3.162548", \ - "3.154228,3.168191,3.202068,3.244273,3.226617,3.206345,3.193116"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.514338,0.531964,0.560890,0.588822,0.642896,0.716617,0.780004", \ - "0.488342,0.503352,0.538280,0.570369,0.613816,0.684952,0.749484", \ - "0.510880,0.520449,0.527613,0.561348,0.598884,0.647202,0.717553", \ - "0.660349,0.652609,0.649159,0.649627,0.662228,0.663984,0.718507", \ - "0.807058,0.810064,0.802489,0.803458,0.798220,0.790468,0.781211", \ - "1.004741,0.997972,0.997052,0.982573,0.965430,0.945779,0.922188", \ - "1.268707,1.265034,1.255124,1.240291,1.207901,1.172799,1.119262"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.274890,3.277194,3.279437,3.279751,3.279536,3.291407,3.303394", \ - "3.224064,3.230032,3.237073,3.243037,3.247512,3.278955,3.285382", \ - "3.167927,3.171625,3.174402,3.177085,3.188269,3.209334,3.234634", \ - "3.143677,3.145395,3.146507,3.146319,3.159487,3.177833,3.179765", \ - "3.142465,3.142872,3.135063,3.138114,3.141576,3.159022,3.163392", \ - "3.167835,3.168144,3.165998,3.154655,3.153515,3.165647,3.161507", \ - "3.158104,3.168163,3.197673,3.244216,3.226468,3.206033,3.192528"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.704249,0.712562,0.730508,0.756162,0.778300,0.824931,0.884582", \ - "0.709377,0.719493,0.733903,0.770318,0.811312,0.860127,0.908477", \ - "0.707420,0.715197,0.738312,0.767834,0.810012,0.870254,0.919892", \ - "0.748903,0.756207,0.769042,0.784494,0.805104,0.863011,0.919577", \ - "0.825836,0.828413,0.833584,0.848867,0.864937,0.892307,0.922911", \ - "0.919361,0.920941,0.922372,0.928832,0.944382,0.957481,0.978041", \ - "1.050583,1.053410,1.052105,1.050752,1.056134,1.057841,1.066043"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.531497,4.536345,4.545041,4.556742,4.567319,4.603315,4.616531", \ - "4.478140,4.483095,4.495725,4.517947,4.535829,4.560081,4.594015", \ - "4.416310,4.421223,4.434889,4.450412,4.482989,4.510705,4.550969", \ - "4.433930,4.437598,4.442949,4.449116,4.474439,4.495017,4.529765", \ - "4.537654,4.536758,4.540671,4.544868,4.547067,4.560197,4.563603", \ - "4.722519,4.722887,4.719193,4.712205,4.707038,4.689399,4.695703", \ - "4.999833,4.995560,4.987532,4.977967,4.951620,4.931922,4.897825"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.561839,0.562493,0.565668,0.570380,0.583091,0.598030,0.615040", \ - "0.566583,0.570678,0.576140,0.588434,0.599237,0.620417,0.642506", \ - "0.545685,0.557266,0.564443,0.582114,0.594902,0.627760,0.658094", \ - "0.557676,0.560352,0.567100,0.570767,0.583621,0.608430,0.645680", \ - "0.595368,0.595955,0.601979,0.606883,0.616574,0.629427,0.636368", \ - "0.663027,0.662299,0.660065,0.666172,0.672472,0.675433,0.684173", \ - "0.780877,0.781557,0.779258,0.776052,0.772106,0.767964,0.761555"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.091487,4.098163,4.106262,4.123599,4.127987,4.156405,4.177573", \ - "4.040992,4.047206,4.055744,4.074532,4.097616,4.113423,4.155497", \ - "3.975662,3.982068,3.997822,4.014145,4.044700,4.064632,4.113057", \ - "3.995712,3.998321,4.005196,4.015640,4.035882,4.066807,4.091764", \ - "4.096521,4.096691,4.098047,4.100785,4.107436,4.113014,4.124559", \ - "4.282909,4.280941,4.279594,4.274283,4.268088,4.259533,4.257629", \ - "4.560086,4.555479,4.548832,4.542613,4.512674,4.484666,4.446976"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.558124,0.558463,0.566356,0.571334,0.579346,0.590002,0.601529", \ - "0.561212,0.566747,0.574271,0.584250,0.597467,0.612637,0.632777", \ - "0.546096,0.552371,0.560961,0.574760,0.596783,0.618273,0.645323", \ - "0.561663,0.565910,0.574130,0.574984,0.588187,0.609701,0.638073", \ - "0.600224,0.599925,0.605106,0.610835,0.621267,0.635618,0.639156", \ - "0.670744,0.671890,0.668728,0.674847,0.674701,0.682455,0.691294", \ - "0.795677,0.793930,0.791786,0.787172,0.781375,0.775161,0.769020"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.378285,4.382913,4.391177,4.402624,4.415441,4.443081,4.462602", \ - "4.325552,4.331462,4.339934,4.361285,4.385265,4.401610,4.441704", \ - "4.262939,4.268741,4.279789,4.293276,4.312186,4.348979,4.396272", \ - "4.279336,4.283499,4.289355,4.301942,4.321340,4.331639,4.375009", \ - "4.378697,4.381207,4.381062,4.383629,4.396444,4.399546,4.407665", \ - "4.563097,4.563296,4.559367,4.551750,4.553622,4.547012,4.544438", \ - "4.836748,4.833788,4.827694,4.826129,4.795905,4.772137,4.735417"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.261776,0.257618,0.256001,0.243990,0.238161,0.237204,0.233511", \ - "0.257108,0.257698,0.257298,0.257505,0.259410,0.257827,0.264978", \ - "0.216785,0.219985,0.223530,0.233998,0.250515,0.265177,0.276609", \ - "0.207390,0.208874,0.215965,0.216783,0.223382,0.242280,0.264366", \ - "0.227540,0.229345,0.234974,0.240439,0.249000,0.251159,0.249159", \ - "0.295672,0.293622,0.293712,0.294966,0.295395,0.297442,0.294253", \ - "0.422537,0.418928,0.415693,0.407450,0.397445,0.385789,0.370925"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.586374,3.595026,3.604948,3.626943,3.646712,3.677573,3.696344", \ - "3.535522,3.542975,3.553442,3.573146,3.598453,3.631066,3.674092", \ - "3.469682,3.477730,3.492155,3.510949,3.543140,3.582773,3.631468", \ - "3.490158,3.494941,3.499494,3.519153,3.532219,3.565301,3.610340", \ - "3.590012,3.593543,3.601152,3.605242,3.609489,3.633366,3.643186", \ - "3.777357,3.777499,3.781233,3.776749,3.768378,3.782436,3.777719", \ - "4.050177,4.053153,4.052246,4.035811,4.008740,4.007489,3.967297"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.269063,0.268991,0.260991,0.256647,0.246462,0.241808,0.237572", \ - "0.263071,0.261911,0.265435,0.264066,0.262562,0.260355,0.267057", \ - "0.220702,0.227980,0.230731,0.243962,0.254664,0.266788,0.279814", \ - "0.213053,0.217032,0.219362,0.227142,0.235149,0.245635,0.265925", \ - "0.232960,0.235266,0.237416,0.245387,0.255195,0.263256,0.263444", \ - "0.302738,0.301599,0.302162,0.301096,0.300240,0.305596,0.306163", \ - "0.434884,0.431628,0.427184,0.414901,0.404645,0.393479,0.379981"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.849841,3.855248,3.868680,3.881672,3.909592,3.938791,3.956438", \ - "3.796486,3.803128,3.816597,3.839562,3.862331,3.895676,3.937777", \ - "3.733616,3.740201,3.753523,3.779941,3.806174,3.844072,3.892666", \ - "3.749999,3.755007,3.764470,3.783961,3.794408,3.826287,3.869645", \ - "3.849166,3.852635,3.860030,3.868412,3.872161,3.894893,3.903603", \ - "4.033659,4.035037,4.035164,4.037862,4.031496,4.024489,4.039552", \ - "4.309848,4.308907,4.307253,4.292235,4.269861,4.269849,4.230394"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.269063,0.268991,0.260991,0.256647,0.246462,0.241808,0.237572", \ - "0.263071,0.261911,0.265435,0.264066,0.262562,0.260355,0.267057", \ - "0.220702,0.227980,0.230731,0.243962,0.254664,0.266788,0.279814", \ - "0.213053,0.217032,0.219362,0.227142,0.235149,0.245635,0.265925", \ - "0.232960,0.235266,0.237416,0.245387,0.255195,0.263256,0.263444", \ - "0.302738,0.301599,0.302162,0.301096,0.300240,0.305596,0.306163", \ - "0.434884,0.431628,0.427184,0.414901,0.404645,0.393479,0.379981"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.849841,3.855248,3.868680,3.881672,3.909592,3.938791,3.956438", \ - "3.796486,3.803128,3.816597,3.839562,3.862331,3.895676,3.937777", \ - "3.733616,3.740201,3.753523,3.779941,3.806174,3.844072,3.892666", \ - "3.749999,3.755007,3.764470,3.783961,3.794408,3.826287,3.869645", \ - "3.849166,3.852635,3.860030,3.868412,3.872161,3.894893,3.903603", \ - "4.033659,4.035037,4.035164,4.037862,4.031496,4.024489,4.039552", \ - "4.309848,4.308907,4.307253,4.292235,4.269861,4.269849,4.230394"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.270060,0.267393,0.264157,0.258946,0.251215,0.242866,0.238908", \ - "0.264020,0.264393,0.267433,0.262978,0.266725,0.263070,0.265263", \ - "0.223750,0.229287,0.235352,0.243343,0.256851,0.267605,0.278877", \ - "0.213826,0.216335,0.220363,0.229814,0.237953,0.247877,0.266033", \ - "0.234711,0.234935,0.238368,0.245422,0.255472,0.265457,0.268107", \ - "0.302707,0.304985,0.303552,0.303337,0.301878,0.306357,0.308606", \ - "0.438978,0.437010,0.431508,0.419691,0.411447,0.398387,0.384994"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.081438,4.090289,4.097078,4.114018,4.132784,4.162316,4.199663", \ - "4.032802,4.039242,4.051965,4.072958,4.105841,4.140919,4.182325", \ - "3.969568,3.975993,3.987311,4.011326,4.029886,4.086960,4.136016", \ - "3.980926,3.989420,3.997779,4.011471,4.037490,4.069295,4.112062", \ - "4.082062,4.085546,4.090593,4.101896,4.114467,4.117055,4.145780", \ - "4.260627,4.262321,4.264381,4.263777,4.252192,4.266470,4.260536", \ - "4.533758,4.532730,4.530624,4.517851,4.508738,4.490651,4.472092"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.033646,1.048936,1.069918,1.084576,1.138377,1.183197,1.252956", \ - "1.032901,1.040623,1.057752,1.086392,1.117672,1.179753,1.246043", \ - "1.013395,1.023079,1.042695,1.063838,1.107456,1.179144,1.234304", \ - "1.027385,1.037892,1.041540,1.061571,1.111132,1.158705,1.229402", \ - "1.116417,1.117901,1.121796,1.132264,1.154648,1.179134,1.220742", \ - "1.215918,1.215238,1.215273,1.224531,1.236305,1.254986,1.280078", \ - "1.345976,1.345100,1.349668,1.346670,1.355303,1.361192,1.371598"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.091186,5.094882,5.104195,5.114350,5.142987,5.161371,5.174288", \ - "5.057146,5.061776,5.067068,5.084416,5.100583,5.121010,5.153294", \ - "5.012199,5.015729,5.027882,5.038756,5.066444,5.090880,5.127656", \ - "4.989890,4.992486,5.001911,5.014115,5.028357,5.069129,5.106733", \ - "4.990333,4.994478,5.002905,5.008715,5.026191,5.064050,5.080278", \ - "5.031113,5.034470,5.040404,5.049506,5.050270,5.087576,5.098227", \ - "5.150356,5.151227,5.152305,5.155495,5.151127,5.152117,5.170040"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.861001,0.865462,0.866241,0.892428,0.916626,0.944235,0.970675", \ - "0.850170,0.856395,0.865525,0.890277,0.906261,0.935758,0.965075", \ - "0.829058,0.835849,0.848190,0.862296,0.889373,0.921700,0.965034", \ - "0.832498,0.836421,0.837319,0.853501,0.874363,0.909724,0.939655", \ - "0.888062,0.891598,0.889657,0.895161,0.907029,0.916584,0.938504", \ - "0.961602,0.964947,0.964223,0.963911,0.967734,0.980741,0.984272", \ - "1.079069,1.075840,1.075268,1.072888,1.076045,1.073387,1.072099"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.651264,4.657324,4.667596,4.673776,4.687318,4.715172,4.754976", \ - "4.617197,4.623172,4.635602,4.643351,4.661478,4.691991,4.714465", \ - "4.571471,4.577504,4.587138,4.605339,4.627694,4.663372,4.688722", \ - "4.548730,4.554640,4.561230,4.573560,4.588870,4.622881,4.668628", \ - "4.548515,4.552644,4.564821,4.570591,4.586816,4.618304,4.641117", \ - "4.588553,4.590937,4.601176,4.609719,4.610312,4.641116,4.659301", \ - "4.707341,4.708974,4.712430,4.720965,4.711869,4.722412,4.731742"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.839080,0.839483,0.854151,0.863599,0.888027,0.923246,0.956241", \ - "0.835736,0.840915,0.846955,0.858106,0.889323,0.915735,0.953343", \ - "0.815697,0.815362,0.822905,0.843919,0.868035,0.902090,0.947542", \ - "0.836470,0.836302,0.840954,0.847784,0.854170,0.886109,0.933518", \ - "0.892959,0.893208,0.894585,0.901429,0.903797,0.920553,0.927414", \ - "0.968086,0.972172,0.972212,0.969936,0.978110,0.983874,0.987902", \ - "1.090551,1.089598,1.087810,1.085888,1.079609,1.080029,1.074776"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.938813,4.943352,4.953046,4.967322,4.971964,5.000758,5.017319", \ - "4.906531,4.909880,4.920912,4.937537,4.951460,4.983058,5.003921", \ - "4.856036,4.863649,4.874301,4.888361,4.915750,4.930333,4.974991", \ - "4.835186,4.837504,4.843191,4.861078,4.874743,4.907029,4.952883", \ - "4.831343,4.836963,4.844751,4.855097,4.879140,4.900294,4.931633", \ - "4.872858,4.876123,4.883038,4.889196,4.894174,4.923811,4.942620", \ - "4.989811,4.989263,4.994185,4.995125,4.992496,4.986953,5.014221"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.528220,0.529364,0.537211,0.548368,0.561166,0.577064,0.590792", \ - "0.521362,0.524773,0.533313,0.546485,0.554878,0.577934,0.595515", \ - "0.489176,0.497359,0.509262,0.513103,0.536845,0.559216,0.584668", \ - "0.491930,0.495506,0.497066,0.500261,0.510257,0.533309,0.567465", \ - "0.534361,0.534619,0.532871,0.541698,0.546615,0.546176,0.552133", \ - "0.600957,0.600910,0.597865,0.599797,0.600802,0.603202,0.599591", \ - "0.718380,0.714853,0.713416,0.709365,0.704385,0.693955,0.681691"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.146455,4.154790,4.167566,4.186439,4.203389,4.234016,4.274010", \ - "4.112018,4.119674,4.134477,4.155223,4.180598,4.212639,4.233337", \ - "4.066231,4.073624,4.088265,4.100814,4.127904,4.163277,4.207729", \ - "4.042670,4.050845,4.061519,4.073211,4.106179,4.141471,4.188179", \ - "4.042116,4.048414,4.061052,4.071819,4.103730,4.136968,4.180752", \ - "4.082050,4.090239,4.096263,4.107918,4.129350,4.161102,4.178172", \ - "4.204077,4.208292,4.211058,4.218751,4.208585,4.224559,4.251570"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.515438,0.518910,0.528020,0.537768,0.549667,0.564008,0.584791", \ - "0.511830,0.516780,0.524139,0.532312,0.548946,0.567062,0.585747", \ - "0.484411,0.486774,0.496017,0.508442,0.526064,0.552986,0.570785", \ - "0.498890,0.501495,0.499892,0.507154,0.508514,0.531999,0.558417", \ - "0.538822,0.538973,0.540060,0.545428,0.550804,0.553009,0.550535", \ - "0.606204,0.607695,0.603693,0.607356,0.608380,0.609711,0.608048", \ - "0.728149,0.727196,0.724769,0.717909,0.710153,0.700659,0.691995"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.410602,4.415604,4.429487,4.447602,4.467929,4.495680,4.534165", \ - "4.377947,4.384113,4.398908,4.416566,4.446679,4.478778,4.498069", \ - "4.326713,4.334971,4.346422,4.363548,4.390911,4.425632,4.470724", \ - "4.305747,4.309928,4.321870,4.342209,4.368624,4.402889,4.447895", \ - "4.301125,4.310525,4.321503,4.341428,4.364802,4.395825,4.417181", \ - "4.343073,4.348071,4.352673,4.360411,4.389979,4.420406,4.436395", \ - "4.461446,4.465296,4.470479,4.467191,4.467283,4.483852,4.509633"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.515438,0.518910,0.528020,0.537768,0.549667,0.564008,0.584791", \ - "0.511830,0.516780,0.524139,0.532312,0.548946,0.567062,0.585747", \ - "0.484411,0.486774,0.496017,0.508442,0.526064,0.552986,0.570785", \ - "0.498890,0.501495,0.499892,0.507154,0.508514,0.531999,0.558417", \ - "0.538822,0.538973,0.540060,0.545428,0.550804,0.553009,0.550535", \ - "0.606204,0.607695,0.603693,0.607356,0.608380,0.609711,0.608048", \ - "0.728149,0.727196,0.724769,0.717909,0.710153,0.700659,0.691995"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.410602,4.415604,4.429487,4.447602,4.467929,4.495680,4.534165", \ - "4.377947,4.384113,4.398908,4.416566,4.446679,4.478778,4.498069", \ - "4.326713,4.334971,4.346422,4.363548,4.390911,4.425632,4.470724", \ - "4.305747,4.309928,4.321870,4.342209,4.368624,4.402889,4.447895", \ - "4.301125,4.310525,4.321503,4.341428,4.364802,4.395825,4.417181", \ - "4.343073,4.348071,4.352673,4.360411,4.389979,4.420406,4.436395", \ - "4.461446,4.465296,4.470479,4.467191,4.467283,4.483852,4.509633"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.509955,0.516048,0.524675,0.530362,0.545386,0.560920,0.584343", \ - "0.506275,0.512765,0.514456,0.532224,0.537294,0.563616,0.576163", \ - "0.484843,0.483557,0.498476,0.506054,0.523525,0.547656,0.565739", \ - "0.499437,0.501010,0.502573,0.508663,0.509773,0.526658,0.551068", \ - "0.540114,0.542519,0.541620,0.547084,0.548163,0.555109,0.550883", \ - "0.611991,0.611193,0.609732,0.607924,0.610963,0.610366,0.607305", \ - "0.732254,0.732718,0.727881,0.718520,0.712622,0.705383,0.693435"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.642533,4.650289,4.662428,4.676755,4.690344,4.718999,4.756128", \ - "4.610546,4.619037,4.630196,4.646214,4.670903,4.702838,4.742668", \ - "4.562319,4.571340,4.584211,4.602797,4.634392,4.669708,4.714098", \ - "4.537155,4.546165,4.555763,4.577138,4.591124,4.625325,4.669413", \ - "4.535575,4.541218,4.556787,4.567409,4.587564,4.617742,4.659476", \ - "4.572545,4.577907,4.586302,4.593874,4.612024,4.641541,4.677569", \ - "4.689824,4.691692,4.698912,4.700127,4.706741,4.724912,4.729392"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "!A1 & !A2 & A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.222461,1.236090,1.259330,1.288385,1.342247,1.411172,1.514109", \ - "1.181848,1.201266,1.219421,1.264250,1.319011,1.390631,1.470951", \ - "1.139423,1.161575,1.187200,1.225681,1.276523,1.348843,1.442240", \ - "1.162007,1.171112,1.183143,1.224408,1.260248,1.340966,1.414519", \ - "1.278156,1.278644,1.290485,1.303408,1.330655,1.362187,1.426429", \ - "1.399908,1.403389,1.396642,1.406997,1.426184,1.451730,1.487276", \ - "1.543941,1.545457,1.550527,1.548161,1.559440,1.575777,1.593684"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.613208,5.615257,5.624869,5.642407,5.659210,5.676356,5.707467", \ - "5.576440,5.580880,5.589294,5.607931,5.628068,5.647400,5.680780", \ - "5.532197,5.535006,5.546517,5.570597,5.584527,5.609295,5.645341", \ - "5.507757,5.511813,5.516054,5.529678,5.561211,5.585111,5.622539", \ - "5.503404,5.507279,5.510915,5.521997,5.546593,5.569365,5.604888", \ - "5.517428,5.521487,5.529302,5.540492,5.555610,5.580169,5.612382", \ - "5.579316,5.579339,5.585857,5.596457,5.601681,5.611380,5.641764"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "!A1 & A2 & !A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.006495,1.021995,1.027701,1.064439,1.103855,1.161013,1.231869", \ - "0.976830,0.988561,1.003597,1.036814,1.074329,1.119582,1.191723", \ - "0.933826,0.949047,0.965707,0.983132,1.040025,1.081867,1.151465", \ - "0.947485,0.957992,0.964378,0.977929,1.019281,1.066836,1.134829", \ - "1.045649,1.048418,1.051545,1.061519,1.078782,1.095985,1.136723", \ - "1.145693,1.150551,1.144314,1.154822,1.168110,1.178441,1.193711", \ - "1.281388,1.282393,1.284184,1.285186,1.285434,1.289600,1.291699"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.173127,5.176728,5.189826,5.202239,5.221225,5.229230,5.269519", \ - "5.139652,5.141649,5.153360,5.168034,5.190403,5.201073,5.241966", \ - "5.091969,5.099151,5.107255,5.124900,5.146626,5.181367,5.206293", \ - "5.066731,5.073074,5.082497,5.089912,5.123062,5.137962,5.184380", \ - "5.061595,5.068859,5.074442,5.084244,5.108430,5.122256,5.166942", \ - "5.074800,5.081324,5.086463,5.100489,5.116429,5.133669,5.173951", \ - "5.136272,5.137433,5.146918,5.151336,5.161861,5.181083,5.202515"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "!A1 & A2 & A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.954880,0.965235,0.987802,1.011048,1.057991,1.124548,1.190995", \ - "0.923150,0.934696,0.954790,0.988937,1.031672,1.082892,1.153354", \ - "0.892505,0.901617,0.912710,0.952708,0.992514,1.044246,1.115991", \ - "0.943504,0.946591,0.940868,0.961490,0.983295,1.035226,1.096867", \ - "1.047618,1.044425,1.045088,1.056094,1.073299,1.084338,1.108802", \ - "1.156259,1.154952,1.151138,1.153283,1.161292,1.176686,1.182104", \ - "1.292925,1.292897,1.293752,1.292129,1.289445,1.290845,1.289048"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.457001,5.464131,5.474320,5.483628,5.505553,5.513997,5.551066", \ - "5.425569,5.432007,5.444005,5.458771,5.478538,5.488895,5.531112", \ - "5.379234,5.382082,5.392840,5.405140,5.434540,5.465385,5.499792", \ - "5.353094,5.355868,5.362972,5.374198,5.392709,5.423224,5.468812", \ - "5.347633,5.349664,5.360289,5.365007,5.394462,5.407312,5.448854", \ - "5.360852,5.364422,5.372757,5.379255,5.400386,5.415035,5.455490", \ - "5.418475,5.422633,5.423943,5.440086,5.444238,5.446606,5.485596"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "A1 & !A2 & !A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.647997,0.654709,0.667959,0.706812,0.735521,0.787766,0.845538", \ - "0.609796,0.627049,0.645865,0.672662,0.699689,0.749484,0.806000", \ - "0.577560,0.582895,0.608107,0.636249,0.665240,0.715897,0.765976", \ - "0.604445,0.611461,0.618604,0.622092,0.645586,0.699425,0.748575", \ - "0.696597,0.695253,0.695311,0.711799,0.719919,0.725075,0.742081", \ - "0.792308,0.792133,0.789707,0.794841,0.802062,0.803003,0.806640", \ - "0.924619,0.926651,0.925059,0.923066,0.913621,0.911820,0.905348"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.668948,4.674829,4.687054,4.698043,4.718146,4.748268,4.788027", \ - "4.631349,4.640610,4.656136,4.675020,4.688099,4.720256,4.760929", \ - "4.586523,4.592382,4.610911,4.629024,4.648858,4.679954,4.724622", \ - "4.560742,4.567423,4.582032,4.599652,4.623691,4.657210,4.703383", \ - "4.555082,4.563456,4.571246,4.584005,4.608110,4.640972,4.685603", \ - "4.567888,4.576361,4.584372,4.602791,4.616311,4.651245,4.692719", \ - "4.620831,4.632154,4.647081,4.658315,4.657472,4.683710,4.722980"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "A1 & !A2 & A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.602462,0.616274,0.634801,0.660199,0.709377,0.758969,0.811646", \ - "0.574556,0.584181,0.602122,0.623742,0.664420,0.715253,0.779977", \ - "0.546934,0.556828,0.573610,0.589441,0.624615,0.686748,0.741199", \ - "0.606941,0.607565,0.614074,0.622308,0.629201,0.669666,0.725438", \ - "0.698217,0.698867,0.701221,0.703621,0.712555,0.720754,0.727662", \ - "0.801712,0.798995,0.800858,0.795743,0.802264,0.800603,0.807444", \ - "0.937829,0.935368,0.935819,0.926427,0.922326,0.917396,0.908843"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.928590,4.937661,4.946749,4.962784,4.980600,5.010141,5.048106", \ - "4.896922,4.904542,4.915328,4.938901,4.953960,4.985064,5.025546", \ - "4.850395,4.855192,4.867744,4.893956,4.907464,4.942401,4.987035", \ - "4.823704,4.831404,4.838981,4.861725,4.883678,4.918018,4.963717", \ - "4.817668,4.822062,4.829204,4.839773,4.868187,4.901428,4.943526", \ - "4.829930,4.833906,4.846767,4.858548,4.876550,4.911500,4.950904", \ - "4.888794,4.892742,4.901676,4.913515,4.917015,4.942938,4.980749"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "A1 & A2 & !A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.602462,0.616274,0.634801,0.660199,0.709377,0.758969,0.811646", \ - "0.574556,0.584181,0.602122,0.623742,0.664420,0.715253,0.779977", \ - "0.546934,0.556828,0.573610,0.589441,0.624615,0.686748,0.741199", \ - "0.606941,0.607565,0.614074,0.622308,0.629201,0.669666,0.725438", \ - "0.698217,0.698867,0.701221,0.703621,0.712555,0.720754,0.727662", \ - "0.801712,0.798995,0.800858,0.795743,0.802264,0.800603,0.807444", \ - "0.937829,0.935368,0.935819,0.926427,0.922326,0.917396,0.908843"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.928590,4.937661,4.946749,4.962784,4.980600,5.010141,5.048106", \ - "4.896922,4.904542,4.915328,4.938901,4.953960,4.985064,5.025546", \ - "4.850395,4.855192,4.867744,4.893956,4.907464,4.942401,4.987035", \ - "4.823704,4.831404,4.838981,4.861725,4.883678,4.918018,4.963717", \ - "4.817668,4.822062,4.829204,4.839773,4.868187,4.901428,4.943526", \ - "4.829930,4.833906,4.846767,4.858548,4.876550,4.911500,4.950904", \ - "4.888794,4.892742,4.901676,4.913515,4.917015,4.942938,4.980749"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "A1 & A2 & A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.593764,0.602503,0.628604,0.651412,0.695293,0.741513,0.801589", \ - "0.566298,0.579927,0.594566,0.615154,0.652663,0.710295,0.766436", \ - "0.534996,0.552187,0.557237,0.591553,0.625258,0.674859,0.725489", \ - "0.609519,0.609405,0.615221,0.615199,0.629455,0.659521,0.711331", \ - "0.702296,0.705607,0.701693,0.712356,0.708426,0.721668,0.724406", \ - "0.803263,0.802968,0.799310,0.797406,0.806230,0.808071,0.807897", \ - "0.945510,0.943089,0.943433,0.935196,0.926142,0.921499,0.909524"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.164106,5.168996,5.178718,5.200403,5.224218,5.252984,5.291071", \ - "5.132959,5.140338,5.154389,5.165234,5.198885,5.229514,5.270222", \ - "5.082485,5.092045,5.105863,5.129569,5.151411,5.186220,5.230867", \ - "5.055046,5.063948,5.071025,5.089063,5.127210,5.160011,5.196224", \ - "5.048812,5.056498,5.062053,5.077486,5.111579,5.143267,5.164228", \ - "5.060551,5.067235,5.078151,5.087850,5.119279,5.153073,5.184815", \ - "5.120137,5.123645,5.135755,5.142640,5.157818,5.184668,5.221979"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI211_X1 - Cell Description : Combinational cell (OAI211_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI211_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 12.781289; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 3.115259; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 3.481155; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 3.481155; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 2.499518; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 9.366839; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 13.813919; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 13.813937; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 13.164285; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 4.598978; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 8.335329; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 8.335339; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 7.456478; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 34.797559; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 24.465084; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 25.831042; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 27.944744; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.583707; - fall_capacitance : 1.460373; - rise_capacitance : 1.583707; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.600825; - fall_capacitance : 1.435430; - rise_capacitance : 1.600825; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.564390; - fall_capacitance : 1.395954; - rise_capacitance : 1.564390; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.505422; - fall_capacitance : 1.488940; - rise_capacitance : 1.505422; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.538280; - function : "!(((C1 | C2) & A) & B)"; - - timing () { - - related_pin : "A"; - when : "B & !C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.046912,0.050364,0.056618,0.068899,0.092979,0.140428,0.234534", \ - "0.051521,0.054999,0.061297,0.073639,0.097794,0.145304,0.239442", \ - "0.066527,0.070004,0.076319,0.088716,0.112982,0.160638,0.254882", \ - "0.090651,0.094824,0.102089,0.115961,0.140867,0.188641,0.283107", \ - "0.115293,0.120892,0.130381,0.148034,0.178835,0.231704,0.326932", \ - "0.135754,0.143224,0.155608,0.178823,0.218181,0.282256,0.387575", \ - "0.149896,0.159546,0.175620,0.205021,0.254836,0.333838,0.456811"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.046674,0.050491,0.057466,0.071278,0.098693,0.153155,0.261425", \ - "0.051211,0.055057,0.062109,0.076063,0.103572,0.158128,0.266528", \ - "0.069057,0.072890,0.079940,0.093898,0.121506,0.176187,0.284739", \ - "0.103471,0.108120,0.116149,0.130737,0.157795,0.211984,0.320295", \ - "0.142373,0.148788,0.159686,0.179917,0.214087,0.269810,0.377005", \ - "0.184036,0.192310,0.206508,0.232245,0.276249,0.347189,0.458443", \ - "0.228502,0.238632,0.256116,0.287813,0.341967,0.429533,0.564018"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.025383,0.028115,0.033140,0.043199,0.063285,0.103513,0.184065", \ - "0.025378,0.028112,0.033140,0.043184,0.063289,0.103516,0.184037", \ - "0.025114,0.027857,0.032961,0.043095,0.063264,0.103534,0.184037", \ - "0.031219,0.033635,0.038072,0.046567,0.064368,0.103441,0.184011", \ - "0.043354,0.045930,0.050507,0.059103,0.075791,0.108962,0.184118", \ - "0.060617,0.063635,0.069077,0.078489,0.095435,0.127616,0.193052", \ - "0.081689,0.085386,0.091939,0.103065,0.121999,0.154842,0.217587"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.034388,0.038164,0.045055,0.058794,0.086148,0.140564,0.247324", \ - "0.034395,0.038148,0.045032,0.058777,0.086161,0.140526,0.247345", \ - "0.034284,0.038083,0.044998,0.058757,0.086177,0.140507,0.247313", \ - "0.045834,0.048175,0.052673,0.062975,0.086929,0.140442,0.247309", \ - "0.067106,0.070354,0.076090,0.085820,0.103149,0.145041,0.247236", \ - "0.092873,0.096931,0.104048,0.116249,0.136958,0.171548,0.253679", \ - "0.122899,0.127700,0.136376,0.151052,0.175951,0.216384,0.284527"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & !C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.039055,0.042244,0.048129,0.059862,0.083260,0.129994,0.223405", \ - "0.043553,0.046806,0.052751,0.064572,0.088056,0.134861,0.228319", \ - "0.058245,0.061563,0.067598,0.079547,0.103210,0.150181,0.243777", \ - "0.078691,0.083205,0.090964,0.105282,0.130885,0.178157,0.272003", \ - "0.097217,0.103671,0.114427,0.133676,0.166151,0.220400,0.315757", \ - "0.109921,0.118762,0.133181,0.159142,0.201788,0.268701,0.375851", \ - "0.115864,0.127216,0.145881,0.179088,0.233518,0.317095,0.443344"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.045542,0.049352,0.056332,0.070157,0.097543,0.151920,0.260137", \ - "0.050063,0.053922,0.060985,0.074917,0.102426,0.156928,0.265195", \ - "0.067942,0.071777,0.078826,0.092763,0.120362,0.174981,0.283430", \ - "0.102006,0.106745,0.114884,0.129636,0.156664,0.210809,0.319011", \ - "0.140304,0.146828,0.157862,0.178260,0.212692,0.268646,0.375730", \ - "0.181289,0.189676,0.204062,0.230016,0.274398,0.345729,0.457176", \ - "0.225013,0.235274,0.252988,0.284970,0.339583,0.427621,0.562539"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.019604,0.022319,0.027369,0.037439,0.057575,0.097794,0.178155", \ - "0.019602,0.022324,0.027360,0.037442,0.057577,0.097776,0.178157", \ - "0.020232,0.022720,0.027458,0.037457,0.057580,0.097777,0.178147", \ - "0.027885,0.030207,0.034511,0.042906,0.059800,0.097774,0.178131", \ - "0.041358,0.043924,0.048395,0.056751,0.072813,0.105038,0.178561", \ - "0.059629,0.062697,0.068111,0.077340,0.093827,0.124903,0.188862", \ - "0.081734,0.085386,0.091835,0.102864,0.121397,0.153344,0.214632"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.030720,0.034248,0.040784,0.053862,0.079920,0.132014,0.235885", \ - "0.030731,0.034254,0.040786,0.053851,0.079907,0.132016,0.235886", \ - "0.030625,0.034209,0.040758,0.053824,0.079899,0.131971,0.235891", \ - "0.041971,0.044468,0.048694,0.058193,0.080625,0.131965,0.235872", \ - "0.060500,0.063827,0.069751,0.079849,0.097162,0.136646,0.235771", \ - "0.081658,0.086076,0.093922,0.106862,0.128346,0.163214,0.242306", \ - "0.105280,0.110859,0.120711,0.136889,0.163412,0.205257,0.273233"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.035494,0.038248,0.043311,0.053407,0.073541,0.113763,0.194148", \ - "0.040055,0.042852,0.047974,0.058143,0.078355,0.118636,0.199065", \ - "0.055844,0.058717,0.063929,0.074221,0.094592,0.135020,0.215564", \ - "0.077418,0.081730,0.088954,0.102108,0.125002,0.165919,0.246706", \ - "0.095926,0.102246,0.112692,0.131265,0.162268,0.212002,0.295091", \ - "0.108263,0.116900,0.130985,0.156346,0.197975,0.262246,0.360530", \ - "0.113565,0.124652,0.142967,0.175435,0.228868,0.310629,0.431220"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.049150,0.052947,0.059899,0.073680,0.101011,0.155324,0.263484", \ - "0.053699,0.057554,0.064587,0.078486,0.105970,0.160429,0.268709", \ - "0.071511,0.075309,0.082357,0.096277,0.123839,0.178442,0.286894", \ - "0.106472,0.110992,0.118830,0.133046,0.160069,0.214182,0.322381", \ - "0.146462,0.152677,0.163296,0.182992,0.216633,0.272000,0.379071", \ - "0.189210,0.197237,0.211045,0.236245,0.279533,0.349728,0.460520", \ - "0.234795,0.244623,0.261707,0.292635,0.346048,0.432689,0.566328"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.017595,0.019922,0.024219,0.032807,0.049898,0.083992,0.152159", \ - "0.017593,0.019923,0.024212,0.032804,0.049885,0.084007,0.152164", \ - "0.018446,0.020489,0.024415,0.032817,0.049885,0.083995,0.152156", \ - "0.027579,0.029499,0.033008,0.039715,0.053028,0.084126,0.152134", \ - "0.042344,0.044614,0.048555,0.055818,0.068950,0.094209,0.153183", \ - "0.061587,0.064330,0.069224,0.077744,0.092412,0.118155,0.167810", \ - "0.084761,0.088012,0.093854,0.104080,0.121287,0.149756,0.199553"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.032400,0.035955,0.042511,0.055629,0.081800,0.133936,0.237920", \ - "0.032381,0.035956,0.042511,0.055619,0.081795,0.133945,0.237927", \ - "0.032347,0.035910,0.042488,0.055607,0.081781,0.133948,0.237932", \ - "0.042489,0.044833,0.049339,0.059266,0.082429,0.133900,0.237919", \ - "0.061189,0.064473,0.070340,0.080411,0.097821,0.138089,0.237843", \ - "0.082626,0.086955,0.094626,0.107502,0.128999,0.163848,0.243871", \ - "0.106584,0.112024,0.121623,0.137740,0.164108,0.205838,0.274157"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.049252,0.052705,0.058958,0.071238,0.095317,0.142766,0.236881", \ - "0.054090,0.057569,0.063868,0.076208,0.100358,0.147866,0.242002", \ - "0.067439,0.070923,0.077254,0.089653,0.113919,0.161560,0.255816", \ - "0.087230,0.091155,0.098123,0.111443,0.136350,0.184099,0.278479", \ - "0.108664,0.113419,0.121667,0.137248,0.165646,0.217270,0.312513", \ - "0.127972,0.134128,0.144392,0.163641,0.197628,0.256078,0.359022", \ - "0.141325,0.149430,0.162712,0.187162,0.228888,0.297362,0.411589"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.052249,0.056164,0.063300,0.077383,0.105124,0.159921,0.268681", \ - "0.056842,0.060778,0.067941,0.082064,0.109873,0.164747,0.273534", \ - "0.074857,0.078792,0.085990,0.100161,0.128019,0.182946,0.291834", \ - "0.111052,0.115448,0.122998,0.137001,0.164410,0.218955,0.327546", \ - "0.153565,0.159586,0.169860,0.188943,0.221842,0.276823,0.384425", \ - "0.199153,0.206858,0.220222,0.244620,0.286894,0.355715,0.465890", \ - "0.247716,0.257187,0.273598,0.303674,0.355627,0.440546,0.572478"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.025385,0.028119,0.033147,0.043203,0.063286,0.103520,0.184065", \ - "0.025383,0.028113,0.033139,0.043204,0.063282,0.103516,0.184036", \ - "0.025201,0.027949,0.033017,0.043143,0.063264,0.103517,0.184047", \ - "0.029076,0.031690,0.036450,0.045728,0.064267,0.103454,0.184014", \ - "0.036426,0.039091,0.043997,0.053518,0.072039,0.108245,0.184443", \ - "0.049237,0.051913,0.056919,0.066305,0.084650,0.120709,0.192232", \ - "0.067551,0.070564,0.076007,0.085679,0.103496,0.138598,0.209418"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.038383,0.042128,0.049013,0.062739,0.090128,0.144434,0.251370", \ - "0.038386,0.042133,0.048990,0.062714,0.090108,0.144450,0.251372", \ - "0.038238,0.042039,0.048956,0.062691,0.090084,0.144442,0.251403", \ - "0.046268,0.048841,0.054046,0.065225,0.090342,0.144444,0.251346", \ - "0.067186,0.070399,0.076190,0.085995,0.103987,0.147755,0.251331", \ - "0.092241,0.096283,0.103445,0.115791,0.136860,0.172105,0.256600", \ - "0.121230,0.126098,0.134933,0.149962,0.175250,0.216167,0.285716"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.041393,0.044586,0.050465,0.062194,0.085600,0.132328,0.225742", \ - "0.046127,0.049366,0.055319,0.067132,0.090618,0.137425,0.230880", \ - "0.059164,0.062472,0.068510,0.080455,0.104122,0.151090,0.244681", \ - "0.076830,0.080816,0.087843,0.101245,0.126343,0.173560,0.267324", \ - "0.094586,0.099792,0.108561,0.124774,0.153890,0.206100,0.301353", \ - "0.107801,0.114913,0.126636,0.147713,0.183423,0.243387,0.347314", \ - "0.113286,0.122847,0.138447,0.166007,0.211328,0.282670,0.398698"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.051072,0.054990,0.062134,0.076214,0.103963,0.158721,0.267377", \ - "0.055645,0.059590,0.066785,0.080912,0.108717,0.163543,0.272248", \ - "0.073687,0.077618,0.084831,0.099017,0.126853,0.181751,0.290514", \ - "0.109676,0.114141,0.121770,0.135878,0.163276,0.217748,0.326246", \ - "0.151613,0.157748,0.168093,0.187384,0.220540,0.275640,0.383122", \ - "0.196563,0.204397,0.217919,0.242469,0.285068,0.354296,0.464613", \ - "0.244450,0.254033,0.270644,0.300972,0.353309,0.438673,0.571034"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.019602,0.022320,0.027370,0.037452,0.057591,0.097788,0.178151", \ - "0.019601,0.022318,0.027368,0.037451,0.057564,0.097794,0.178154", \ - "0.019928,0.022516,0.027403,0.037434,0.057584,0.097781,0.178147", \ - "0.024432,0.027029,0.031793,0.041144,0.059349,0.097835,0.178128", \ - "0.032992,0.035572,0.040315,0.049544,0.067830,0.103734,0.178879", \ - "0.047473,0.050122,0.054974,0.063885,0.081359,0.116745,0.187585", \ - "0.067471,0.070454,0.075750,0.085077,0.101942,0.135528,0.205271"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.034388,0.037934,0.044476,0.057523,0.083632,0.135742,0.239816", \ - "0.034360,0.037915,0.044484,0.057536,0.083636,0.135752,0.239840", \ - "0.034228,0.037823,0.044409,0.057506,0.083603,0.135756,0.239809", \ - "0.042604,0.044963,0.049764,0.060112,0.083957,0.135707,0.239790", \ - "0.060781,0.064090,0.070022,0.080166,0.097851,0.139167,0.239757", \ - "0.081656,0.086018,0.093775,0.106753,0.128369,0.163637,0.245090", \ - "0.104851,0.110365,0.120121,0.136458,0.163053,0.205175,0.274365"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.037909,0.040662,0.045723,0.055818,0.075959,0.116176,0.196562", \ - "0.042727,0.045512,0.050631,0.060804,0.081012,0.121289,0.201718", \ - "0.056229,0.059090,0.064288,0.074572,0.094939,0.135367,0.215911", \ - "0.074784,0.078385,0.084721,0.096671,0.118792,0.159727,0.240436", \ - "0.093345,0.098223,0.106537,0.121633,0.148184,0.194849,0.277905", \ - "0.106795,0.113643,0.125067,0.145328,0.179252,0.234548,0.327519", \ - "0.112293,0.121626,0.136921,0.163743,0.207819,0.275716,0.382153"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.055245,0.059114,0.066199,0.080178,0.107789,0.162414,0.270945", \ - "0.059858,0.063752,0.070865,0.084908,0.112590,0.167290,0.275862", \ - "0.077916,0.081781,0.088944,0.103014,0.130733,0.185502,0.294133", \ - "0.114414,0.118672,0.125991,0.139807,0.167117,0.221495,0.329841", \ - "0.158062,0.163909,0.173918,0.192481,0.224780,0.279394,0.386761", \ - "0.204782,0.212272,0.225278,0.249068,0.290559,0.358658,0.468282", \ - "0.254523,0.263704,0.279737,0.309023,0.360116,0.444111,0.575104"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.017598,0.019922,0.024217,0.032789,0.049874,0.083992,0.152155", \ - "0.017605,0.019925,0.024220,0.032800,0.049888,0.083994,0.152150", \ - "0.018093,0.020264,0.024337,0.032790,0.049879,0.084005,0.152152", \ - "0.023116,0.025323,0.029342,0.037164,0.052319,0.084320,0.152142", \ - "0.032755,0.034941,0.038975,0.046725,0.061875,0.091774,0.153647", \ - "0.048366,0.050709,0.054808,0.062543,0.077112,0.106220,0.164882", \ - "0.069397,0.072008,0.076679,0.084936,0.099476,0.127289,0.184531"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.035829,0.039462,0.046045,0.059219,0.085475,0.137755,0.241987", \ - "0.035826,0.039427,0.046032,0.059234,0.085475,0.137776,0.241996", \ - "0.035770,0.039387,0.046011,0.059230,0.085477,0.137768,0.241951", \ - "0.042821,0.045368,0.050480,0.061330,0.085563,0.137753,0.241932", \ - "0.061390,0.064699,0.070493,0.080649,0.098567,0.140737,0.241973", \ - "0.082621,0.086916,0.094481,0.107386,0.129008,0.164470,0.246901", \ - "0.106200,0.111610,0.121131,0.137328,0.163829,0.205868,0.275467"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0284638,0.0316701,0.0375627,0.0493030,0.0726976,0.119416,0.212783", \ - "0.0326895,0.0359335,0.0419128,0.0537484,0.0772517,0.124054,0.217476", \ - "0.0476639,0.0511075,0.0569530,0.0687502,0.0923193,0.139195,0.232694", \ - "0.0648330,0.0701545,0.0792793,0.0952949,0.121814,0.168304,0.261537", \ - "0.0763823,0.0839431,0.0965837,0.119067,0.155975,0.213698,0.307416", \ - "0.0810438,0.0909290,0.107446,0.136680,0.184933,0.259825,0.372337", \ - "0.0779810,0.0902399,0.110855,0.147214,0.207218,0.300528,0.439632"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0755301,0.0842216,0.100191,0.131543,0.193933,0.318061,0.565784", \ - "0.0772194,0.0861169,0.102378,0.134353,0.197364,0.322046,0.570196", \ - "0.0904080,0.0990632,0.115106,0.146980,0.210319,0.335689,0.584544", \ - "0.123423,0.131914,0.147001,0.177808,0.239961,0.364699,0.613471", \ - "0.164176,0.175651,0.195190,0.230211,0.291300,0.413894,0.661124", \ - "0.208881,0.223051,0.247274,0.290628,0.364105,0.487076,0.731442", \ - "0.258103,0.274860,0.303664,0.355252,0.443109,0.585279,0.827529"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0195765,0.0223122,0.0273550,0.0374508,0.0575640,0.0977816,0.178149", \ - "0.0195624,0.0223071,0.0273608,0.0374393,0.0575751,0.0977741,0.178133", \ - "0.0215073,0.0235779,0.0278202,0.0372452,0.0575689,0.0977669,0.178138", \ - "0.0351680,0.0374236,0.0412321,0.0483665,0.0623013,0.0977962,0.178121", \ - "0.0535671,0.0565301,0.0617536,0.0703911,0.0853331,0.111965,0.178909", \ - "0.0759638,0.0797561,0.0864485,0.0975169,0.115750,0.145672,0.198669", \ - "0.102286,0.107040,0.115235,0.128813,0.151180,0.186578,0.243188"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0551555,0.0628636,0.0771914,0.105321,0.161821,0.274492,0.499259", \ - "0.0551618,0.0628647,0.0771578,0.105328,0.161816,0.274432,0.499258", \ - "0.0551111,0.0628425,0.0770420,0.105288,0.161782,0.274499,0.499253", \ - "0.0616638,0.0673805,0.0789973,0.105105,0.161680,0.274500,0.499253", \ - "0.0827249,0.0887713,0.0994032,0.117953,0.164075,0.274443,0.499254", \ - "0.106245,0.113356,0.125974,0.147970,0.186464,0.278163,0.499247", \ - "0.132719,0.141029,0.155807,0.181536,0.225988,0.304214,0.500093"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0359742,0.0394012,0.0456567,0.0579023,0.0819373,0.129333,0.223360", \ - "0.0396587,0.0431053,0.0493681,0.0616453,0.0856989,0.133114,0.227173", \ - "0.0544869,0.0577682,0.0640111,0.0763224,0.100401,0.147809,0.241864", \ - "0.0772303,0.0818843,0.0900508,0.104822,0.129901,0.176906,0.270642", \ - "0.0954295,0.102013,0.112874,0.133455,0.167880,0.223182,0.316587", \ - "0.107307,0.115877,0.130330,0.156748,0.201405,0.272702,0.382130", \ - "0.112062,0.122653,0.140713,0.173436,0.228878,0.317358,0.452198"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0896632,0.0982513,0.114011,0.145262,0.207517,0.331540,0.579215", \ - "0.0940608,0.102805,0.118834,0.150498,0.213233,0.337638,0.585622", \ - "0.110427,0.119149,0.135193,0.167028,0.230199,0.355325,0.603919", \ - "0.140196,0.148732,0.164408,0.195912,0.258804,0.384036,0.633127", \ - "0.177574,0.187998,0.206224,0.240278,0.303038,0.427912,0.676889", \ - "0.219292,0.231699,0.253345,0.293065,0.364040,0.490686,0.739239", \ - "0.266317,0.280979,0.306190,0.352098,0.432779,0.572367,0.822172"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0256459,0.0283121,0.0332734,0.0432357,0.0632852,0.103508,0.184053", \ - "0.0256465,0.0283307,0.0332737,0.0432479,0.0632831,0.103530,0.184094", \ - "0.0257715,0.0282594,0.0330416,0.0432162,0.0633396,0.103508,0.184077", \ - "0.0379819,0.0402101,0.0440493,0.0511637,0.0662978,0.103494,0.184000", \ - "0.0559524,0.0588609,0.0640991,0.0726430,0.0876447,0.115115,0.184502", \ - "0.0774840,0.0813227,0.0881314,0.0992195,0.117595,0.147754,0.202087", \ - "0.102235,0.107119,0.115565,0.129568,0.152397,0.188248,0.245360"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0551509,0.0628643,0.0771261,0.105349,0.161805,0.274463,0.499252", \ - "0.0551564,0.0628685,0.0771515,0.105319,0.161848,0.274433,0.499250", \ - "0.0551545,0.0628661,0.0770828,0.105314,0.161808,0.274502,0.499258", \ - "0.0571630,0.0640833,0.0772925,0.105219,0.161700,0.274426,0.499258", \ - "0.0696524,0.0763675,0.0883278,0.111421,0.162710,0.274434,0.499267", \ - "0.0859499,0.0929279,0.105882,0.129837,0.175826,0.276735,0.499275", \ - "0.106567,0.113769,0.127379,0.152193,0.200219,0.292564,0.500329"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.777264,0.788409,0.792924,0.802890,0.824455,0.842660,0.862491", \ - "0.754008,0.763609,0.777500,0.790549,0.814673,0.837734,0.862006", \ - "0.704810,0.714579,0.731627,0.757214,0.783281,0.818699,0.850216", \ - "0.678534,0.685127,0.699909,0.724058,0.756134,0.793543,0.829047", \ - "0.748587,0.745180,0.742904,0.736422,0.741993,0.776338,0.813739", \ - "0.860320,0.855251,0.841467,0.833823,0.812657,0.796446,0.818914", \ - "1.046472,1.035234,1.018144,0.992950,0.953818,0.902278,0.862706"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("3.490947,3.496472,3.497952,3.515438,3.533814,3.546340,3.587806", \ - "3.436083,3.446544,3.452209,3.470718,3.506939,3.511431,3.558358", \ - "3.373022,3.375638,3.383512,3.389258,3.468370,3.502783,3.561617", \ - "3.412520,3.414404,3.420650,3.420792,3.454260,3.475205,3.535145", \ - "3.560710,3.563536,3.543224,3.548553,3.539511,3.534400,3.511359", \ - "3.726572,3.727925,3.738393,3.742176,3.726981,3.666160,3.604682", \ - "4.042274,4.037283,4.039164,4.013902,4.011388,3.960923,3.814198"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.444458,0.444871,0.443306,0.447413,0.445378,0.448044,0.446764", \ - "0.410271,0.414458,0.423396,0.425770,0.432086,0.439397,0.442204", \ - "0.344968,0.355166,0.361797,0.381719,0.400446,0.421116,0.430209", \ - "0.327491,0.322250,0.324104,0.344285,0.367587,0.392434,0.414185", \ - "0.395515,0.389132,0.380126,0.369074,0.351515,0.374996,0.398216", \ - "0.514867,0.506351,0.490265,0.466195,0.432123,0.394403,0.404673", \ - "0.721351,0.703112,0.681064,0.633854,0.576180,0.508533,0.448010"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("3.005612,3.016749,3.027278,3.040327,3.032948,3.089306,3.120889", \ - "2.958384,2.963662,2.984729,2.971446,2.993231,3.055839,3.089062", \ - "2.887455,2.893742,2.905820,2.945185,2.974816,2.984066,3.028026", \ - "2.936904,2.936899,2.933200,2.958125,2.953541,3.020997,3.068084", \ - "3.021302,3.036700,3.060845,3.087971,3.036060,3.079488,3.043108", \ - "3.193611,3.206313,3.222515,3.227742,3.281465,3.209283,3.135549", \ - "3.514221,3.518386,3.516900,3.521368,3.511012,3.501077,3.344034"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.441552,0.442110,0.443402,0.446638,0.443195,0.445392,0.446708", \ - "0.412188,0.415768,0.418904,0.428503,0.432345,0.443356,0.446029", \ - "0.347119,0.354537,0.367968,0.380240,0.395753,0.422370,0.433410", \ - "0.329979,0.327160,0.324525,0.345253,0.368146,0.393261,0.414550", \ - "0.394949,0.390993,0.383114,0.372616,0.355018,0.377187,0.400264", \ - "0.517597,0.509150,0.493136,0.471414,0.439776,0.400923,0.405224", \ - "0.729282,0.712412,0.688566,0.645280,0.586961,0.518424,0.454360"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("3.245382,3.248624,3.262332,3.263409,3.269519,3.324935,3.356323", \ - "3.199356,3.206296,3.224668,3.232214,3.233520,3.296036,3.362310", \ - "3.125140,3.131568,3.142512,3.154161,3.211861,3.253365,3.269590", \ - "3.161897,3.172252,3.163124,3.185118,3.188439,3.255720,3.305238", \ - "3.262287,3.270641,3.291797,3.285730,3.270741,3.314906,3.329628", \ - "3.433455,3.438105,3.453032,3.481493,3.516681,3.445399,3.373674", \ - "3.748283,3.749130,3.763286,3.761005,3.765512,3.738428,3.584047"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.780884,0.787449,0.789868,0.802565,0.824044,0.846332,0.864371", \ - "0.756505,0.761374,0.773185,0.786980,0.816673,0.838176,0.859929", \ - "0.704416,0.716197,0.729562,0.750279,0.785332,0.813926,0.849095", \ - "0.675039,0.681082,0.693612,0.715403,0.752026,0.792045,0.827612", \ - "0.731507,0.732649,0.731950,0.732940,0.731806,0.767841,0.809283", \ - "0.817371,0.812440,0.807985,0.802108,0.800699,0.778450,0.810035", \ - "0.959012,0.954431,0.944786,0.926517,0.906595,0.874840,0.838821"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("3.911324,3.912688,3.917030,3.936313,3.976848,3.999017,4.057341", \ - "3.860288,3.873856,3.889743,3.901094,3.953607,3.956895,4.016652", \ - "3.812304,3.818968,3.828097,3.835792,3.905714,3.955782,4.024288", \ - "3.854974,3.857730,3.857197,3.877943,3.914279,3.940379,4.004929", \ - "4.009450,4.006033,4.000665,3.994883,4.000976,4.005921,3.991336", \ - "4.186645,4.191788,4.207767,4.227225,4.193087,4.141701,4.154763", \ - "4.499738,4.499160,4.504922,4.502596,4.501920,4.434874,4.369476"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.442865,0.444090,0.440973,0.446096,0.443680,0.447460,0.448495", \ - "0.412429,0.416777,0.417959,0.428719,0.436936,0.441658,0.444193", \ - "0.344741,0.353397,0.367872,0.381182,0.399148,0.421536,0.432932", \ - "0.324495,0.324037,0.318433,0.331280,0.363296,0.390159,0.412105", \ - "0.378531,0.374983,0.366828,0.361474,0.344625,0.366338,0.393530", \ - "0.461844,0.454908,0.447524,0.432825,0.413295,0.382242,0.393081", \ - "0.615060,0.606282,0.587005,0.562702,0.527905,0.479406,0.422879"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("3.397295,3.408041,3.422580,3.432312,3.469500,3.540303,3.589543", \ - "3.354176,3.366525,3.383029,3.420219,3.423651,3.497727,3.550519", \ - "3.297817,3.309963,3.338929,3.380376,3.416582,3.433760,3.488360", \ - "3.353151,3.360349,3.365518,3.399012,3.406093,3.480899,3.536239", \ - "3.464529,3.479747,3.507358,3.523931,3.494051,3.547617,3.523631", \ - "3.638266,3.652007,3.676922,3.698132,3.742844,3.682127,3.619053", \ - "3.962125,3.967975,3.977741,3.972827,3.990626,3.977698,3.834000"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.444267,0.441774,0.442844,0.448078,0.448220,0.448912,0.448652", \ - "0.414482,0.414374,0.421365,0.430307,0.434322,0.442039,0.443983", \ - "0.349220,0.353685,0.365557,0.381861,0.405439,0.421855,0.433034", \ - "0.328463,0.326550,0.324264,0.338240,0.361180,0.392938,0.414589", \ - "0.376171,0.376293,0.371271,0.362556,0.354602,0.367023,0.397246", \ - "0.459137,0.455048,0.445801,0.435154,0.419066,0.392111,0.393719", \ - "0.614192,0.605227,0.590154,0.562194,0.531215,0.487048,0.431933"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("3.656467,3.666045,3.673939,3.690264,3.719367,3.786917,3.833196", \ - "3.614351,3.625110,3.639480,3.680137,3.683202,3.746544,3.794831", \ - "3.557194,3.567955,3.599090,3.603140,3.667728,3.682940,3.772388", \ - "3.608593,3.615410,3.612805,3.632152,3.656017,3.730144,3.781984", \ - "3.726308,3.743780,3.768216,3.736189,3.744992,3.798133,3.769310", \ - "3.898183,3.911143,3.925179,3.951442,3.992474,3.934347,3.936744", \ - "4.214504,4.223161,4.237985,4.228845,4.233353,4.229534,4.154752"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.459846,0.457646,0.462111,0.457717,0.466141,0.468826,0.468289", \ - "0.457605,0.457969,0.462800,0.475970,0.482267,0.489789,0.493657", \ - "0.423860,0.431106,0.440466,0.457473,0.476197,0.492763,0.503737", \ - "0.463703,0.454409,0.443791,0.445002,0.466039,0.485670,0.501029", \ - "0.592379,0.578558,0.562697,0.534578,0.497275,0.502828,0.511016", \ - "0.796299,0.776401,0.747578,0.703025,0.642906,0.569614,0.552336", \ - "1.084861,1.066969,1.028812,0.965849,0.868046,0.753417,0.648080"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("2.121278,2.128181,2.129724,2.135139,2.134434,2.159344,2.145494", \ - "2.066948,2.079317,2.094099,2.111602,2.127389,2.162623,2.145275", \ - "2.003647,2.014529,2.025689,2.043758,2.081442,2.100996,2.129049", \ - "2.048239,2.044836,2.033112,2.036070,2.057845,2.070370,2.100533", \ - "2.191150,2.181420,2.158444,2.142232,2.103809,2.089793,2.101997", \ - "2.340196,2.348970,2.374583,2.335404,2.268740,2.225936,2.175932", \ - "2.606625,2.605686,2.611209,2.612775,2.567266,2.440411,2.345296"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.769390,0.773822,0.779532,0.790744,0.807969,0.824597,0.842913", \ - "0.733375,0.736690,0.743315,0.753574,0.774953,0.792532,0.811333", \ - "0.685229,0.693871,0.705531,0.725343,0.742730,0.766821,0.787341", \ - "0.705651,0.699230,0.705972,0.713476,0.733148,0.755426,0.781396", \ - "0.842987,0.837368,0.823508,0.799687,0.776238,0.784097,0.797690", \ - "1.045556,1.033830,1.016594,0.978819,0.926200,0.859743,0.846090", \ - "1.331608,1.315859,1.291210,1.238783,1.157902,1.053159,0.953592"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("2.696847,2.699793,2.702602,2.688589,2.708215,2.708052,2.706306", \ - "2.665966,2.672287,2.686930,2.695068,2.698324,2.728502,2.740717", \ - "2.591219,2.602464,2.605695,2.617236,2.665084,2.679926,2.703060", \ - "2.562563,2.563619,2.565282,2.585165,2.585958,2.633313,2.666906", \ - "2.588306,2.588146,2.584593,2.580759,2.592087,2.600368,2.629329", \ - "2.579120,2.603158,2.637411,2.659573,2.624789,2.609921,2.623212", \ - "2.682703,2.689917,2.707255,2.742748,2.747541,2.702679,2.677600"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI211_X2 - Cell Description : Combinational cell (OAI211_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI211_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 25.558599; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 6.221987; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 6.953147; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 6.953146; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 4.989821; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 18.725953; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 27.618865; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 27.618865; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 26.319594; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 9.189977; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 16.661415; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 16.661417; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 14.903541; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 69.594815; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 48.944665; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 51.676485; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 55.903890; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.271483; - fall_capacitance : 3.022894; - rise_capacitance : 3.271483; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.096451; - fall_capacitance : 2.768978; - rise_capacitance : 3.096451; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.940936; - fall_capacitance : 2.608712; - rise_capacitance : 2.940936; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.119275; - fall_capacitance : 3.083871; - rise_capacitance : 3.119275; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 50.802090; - function : "!(((C1 | C2) & A) & B)"; - - timing () { - - related_pin : "A"; - when : "B & !C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.046319,0.051177,0.057386,0.069578,0.093509,0.140690,0.234299", \ - "0.050920,0.055826,0.062076,0.074329,0.098327,0.145562,0.239215", \ - "0.065912,0.070808,0.077065,0.089379,0.113491,0.160863,0.254596", \ - "0.089848,0.095765,0.102971,0.116583,0.141334,0.188879,0.282808", \ - "0.114272,0.122171,0.131600,0.148962,0.179382,0.231923,0.326611", \ - "0.134454,0.145006,0.157344,0.180261,0.219118,0.282542,0.387243", \ - "0.148396,0.161909,0.177753,0.206818,0.256031,0.334322,0.456463"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.045824,0.051189,0.058102,0.071815,0.099018,0.153082,0.260789", \ - "0.050340,0.055771,0.062759,0.076592,0.103925,0.158085,0.265851", \ - "0.068211,0.073669,0.080654,0.094488,0.121890,0.176188,0.284094", \ - "0.102396,0.108981,0.117039,0.131350,0.158221,0.212039,0.319682", \ - "0.140879,0.149970,0.160914,0.180748,0.214609,0.269934,0.376448", \ - "0.182103,0.193745,0.207844,0.233412,0.276933,0.347296,0.457935", \ - "0.226129,0.240383,0.257711,0.288974,0.342644,0.429601,0.563358"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.025076,0.028912,0.033910,0.043912,0.063884,0.103878,0.183993", \ - "0.025080,0.028912,0.033909,0.043903,0.063882,0.103882,0.183991", \ - "0.024811,0.028670,0.033745,0.043833,0.063866,0.103873,0.183945", \ - "0.030970,0.034362,0.038754,0.047137,0.064936,0.103829,0.183910", \ - "0.043116,0.046674,0.051166,0.059680,0.076241,0.109308,0.184064", \ - "0.060323,0.064537,0.069819,0.079067,0.095861,0.127835,0.192991", \ - "0.081282,0.086453,0.092832,0.103795,0.122481,0.155098,0.217449"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.033760,0.039031,0.045865,0.059512,0.086719,0.140712,0.246987", \ - "0.033758,0.039021,0.045844,0.059531,0.086754,0.140721,0.246979", \ - "0.033678,0.038981,0.045841,0.059512,0.086717,0.140716,0.246942", \ - "0.045562,0.048824,0.053300,0.063582,0.087512,0.140699,0.246940", \ - "0.066782,0.071231,0.076749,0.086355,0.103593,0.145310,0.246896", \ - "0.092442,0.098066,0.104989,0.116933,0.137410,0.171734,0.253407", \ - "0.122527,0.129259,0.137485,0.151978,0.176584,0.216666,0.284353"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & !C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.038510,0.043026,0.048879,0.060554,0.083836,0.130348,0.223318", \ - "0.043017,0.047598,0.053512,0.065267,0.088634,0.135214,0.228230", \ - "0.057631,0.062306,0.068305,0.080190,0.103740,0.150483,0.243628", \ - "0.077824,0.084218,0.091847,0.105956,0.131360,0.178414,0.271817", \ - "0.096085,0.105180,0.115749,0.134717,0.166826,0.220691,0.315556", \ - "0.108441,0.120872,0.135111,0.160714,0.202793,0.269066,0.375603", \ - "0.114136,0.130051,0.148326,0.181120,0.234815,0.317624,0.443066"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.044709,0.050071,0.056984,0.070699,0.097887,0.151896,0.259477", \ - "0.049202,0.054648,0.061636,0.075468,0.102783,0.156903,0.264551", \ - "0.067098,0.072556,0.079540,0.093375,0.120751,0.175018,0.282823", \ - "0.100917,0.107613,0.115765,0.130240,0.157115,0.210864,0.318401", \ - "0.138788,0.147986,0.159032,0.179113,0.213244,0.268777,0.375168", \ - "0.179324,0.191149,0.205296,0.231158,0.275117,0.345880,0.456680", \ - "0.222596,0.237075,0.254523,0.286142,0.340292,0.427709,0.561905"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.019321,0.023150,0.028174,0.038200,0.058224,0.098234,0.178207", \ - "0.019324,0.023147,0.028168,0.038201,0.058229,0.098231,0.178199", \ - "0.020016,0.023507,0.028252,0.038213,0.058229,0.098229,0.178199", \ - "0.027672,0.030930,0.035168,0.043552,0.060425,0.098240,0.178190", \ - "0.041168,0.044695,0.049103,0.057361,0.073284,0.105440,0.178646", \ - "0.059370,0.063630,0.068889,0.077987,0.094302,0.125220,0.188949", \ - "0.081326,0.086448,0.092756,0.103515,0.121894,0.153603,0.214593"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.030142,0.035101,0.041584,0.054544,0.080468,0.132164,0.235426", \ - "0.030123,0.035100,0.041569,0.054539,0.080443,0.132175,0.235402", \ - "0.030098,0.035050,0.041561,0.054547,0.080463,0.132163,0.235419", \ - "0.041681,0.045145,0.049287,0.058820,0.081172,0.132161,0.235381", \ - "0.060127,0.064748,0.070469,0.080372,0.097566,0.136895,0.235333", \ - "0.081217,0.087403,0.094916,0.107567,0.128858,0.163407,0.241969", \ - "0.104725,0.112534,0.121964,0.137888,0.164041,0.205488,0.273058"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.035000,0.038885,0.043919,0.053962,0.073996,0.114007,0.193982", \ - "0.039573,0.043504,0.048592,0.058706,0.078809,0.118883,0.198897", \ - "0.055304,0.059361,0.064530,0.074766,0.095024,0.135249,0.215381", \ - "0.076637,0.082698,0.089801,0.102758,0.125452,0.166113,0.246492", \ - "0.094835,0.103742,0.113992,0.132316,0.162937,0.212234,0.294874", \ - "0.106850,0.118954,0.132885,0.157911,0.198951,0.262675,0.360308", \ - "0.111920,0.127508,0.145443,0.177500,0.230194,0.311201,0.431005"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.048278,0.053618,0.060513,0.074195,0.101341,0.155300,0.262774", \ - "0.052823,0.058236,0.065209,0.079012,0.106310,0.160407,0.268001", \ - "0.070637,0.076063,0.083037,0.096840,0.124201,0.178451,0.286215", \ - "0.105388,0.111781,0.119607,0.133630,0.160488,0.214231,0.321727", \ - "0.144954,0.153751,0.164354,0.183810,0.217093,0.272089,0.378478", \ - "0.187259,0.198584,0.212251,0.237241,0.280185,0.349871,0.459986", \ - "0.232391,0.246266,0.263132,0.293742,0.346650,0.432728,0.565659"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.017339,0.020614,0.024885,0.033407,0.050415,0.084343,0.152151", \ - "0.017341,0.020618,0.024901,0.033410,0.050403,0.084352,0.152141", \ - "0.018244,0.021133,0.025065,0.033423,0.050402,0.084337,0.152154", \ - "0.027393,0.030076,0.033552,0.040207,0.053490,0.084480,0.152135", \ - "0.042151,0.045311,0.049235,0.056339,0.069353,0.094471,0.153197", \ - "0.061316,0.065165,0.069958,0.078326,0.092807,0.118359,0.167786", \ - "0.084341,0.088909,0.094666,0.104665,0.121713,0.149945,0.199507"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.031796,0.036783,0.043311,0.056334,0.082322,0.134105,0.237369", \ - "0.031782,0.036778,0.043302,0.056330,0.082315,0.134112,0.237383", \ - "0.031735,0.036767,0.043294,0.056348,0.082321,0.134121,0.237374", \ - "0.042167,0.045520,0.049937,0.059897,0.083001,0.134100,0.237362", \ - "0.060806,0.065367,0.070998,0.080889,0.098196,0.138316,0.237373", \ - "0.082154,0.088219,0.095557,0.108139,0.129352,0.164027,0.243516", \ - "0.105992,0.113616,0.122882,0.138710,0.164718,0.206049,0.273938"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.048701,0.053562,0.059770,0.071963,0.095894,0.143074,0.236657", \ - "0.053535,0.058438,0.064686,0.076938,0.100934,0.148172,0.241797", \ - "0.066855,0.071759,0.078035,0.090354,0.114467,0.161837,0.255573", \ - "0.086510,0.092051,0.098936,0.112124,0.136859,0.184314,0.278196", \ - "0.107778,0.114511,0.122658,0.138062,0.166195,0.217460,0.312185", \ - "0.126854,0.135495,0.145722,0.164711,0.198257,0.256324,0.358662", \ - "0.139977,0.151303,0.164453,0.188659,0.229899,0.297733,0.411252"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.051491,0.056988,0.064052,0.078019,0.105571,0.160034,0.268110", \ - "0.056069,0.061604,0.068703,0.082721,0.110334,0.164849,0.272992", \ - "0.074092,0.079692,0.086818,0.100863,0.128513,0.183087,0.291311", \ - "0.110149,0.116361,0.123911,0.137708,0.164929,0.219127,0.327026", \ - "0.152288,0.160800,0.171001,0.189888,0.222386,0.277095,0.383961", \ - "0.197475,0.208370,0.221551,0.245718,0.287582,0.355979,0.465523", \ - "0.245630,0.258975,0.275225,0.304904,0.356359,0.440737,0.571945"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.025089,0.028915,0.033913,0.043909,0.063887,0.103881,0.183944", \ - "0.025080,0.028913,0.033913,0.043906,0.063887,0.103875,0.183946", \ - "0.024894,0.028754,0.033799,0.043864,0.063878,0.103885,0.183940", \ - "0.028810,0.032478,0.037207,0.046377,0.064870,0.103839,0.183908", \ - "0.036173,0.039904,0.044741,0.054171,0.072591,0.108593,0.184377", \ - "0.049001,0.052785,0.057657,0.066944,0.085069,0.121042,0.192184", \ - "0.067335,0.071526,0.076865,0.086326,0.103971,0.138825,0.209316"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.037790,0.043016,0.049820,0.063484,0.090682,0.144723,0.251034", \ - "0.037778,0.043010,0.049819,0.063491,0.090682,0.144715,0.251054", \ - "0.037633,0.042947,0.049792,0.063474,0.090705,0.144715,0.251050", \ - "0.046028,0.049597,0.054692,0.065842,0.090903,0.144725,0.251020", \ - "0.066825,0.071283,0.076774,0.086466,0.104409,0.147958,0.251012", \ - "0.091796,0.097405,0.104412,0.116447,0.137245,0.172338,0.256369", \ - "0.120784,0.127653,0.136071,0.150891,0.175918,0.216470,0.285588"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.040902,0.045409,0.051261,0.062934,0.086221,0.132728,0.225701", \ - "0.045636,0.050208,0.056125,0.067877,0.091245,0.137822,0.230837", \ - "0.058630,0.063296,0.069290,0.081171,0.104717,0.151459,0.244606", \ - "0.076111,0.081711,0.088660,0.101948,0.126881,0.173886,0.267217", \ - "0.093675,0.100966,0.109586,0.125589,0.154501,0.206362,0.301167", \ - "0.106527,0.116558,0.128085,0.148850,0.184172,0.243744,0.347066", \ - "0.111667,0.125154,0.140408,0.167726,0.212411,0.283087,0.398492"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.050314,0.055825,0.062905,0.076877,0.104429,0.158840,0.266811", \ - "0.054884,0.060438,0.067555,0.081578,0.109176,0.163651,0.271675", \ - "0.072922,0.078529,0.085670,0.099718,0.127359,0.181906,0.289990", \ - "0.108763,0.115055,0.122704,0.136591,0.163797,0.217930,0.325739", \ - "0.150317,0.158940,0.169293,0.188351,0.221089,0.275862,0.382685", \ - "0.194862,0.205912,0.219224,0.243640,0.285821,0.354554,0.464256", \ - "0.242341,0.255862,0.272237,0.302152,0.354038,0.438874,0.570496"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.019330,0.023151,0.028168,0.038202,0.058228,0.098246,0.178206", \ - "0.019320,0.023152,0.028170,0.038211,0.058225,0.098244,0.178201", \ - "0.019683,0.023321,0.028207,0.038200,0.058229,0.098233,0.178195", \ - "0.024191,0.027845,0.032576,0.041866,0.060002,0.098303,0.178192", \ - "0.032806,0.036381,0.041073,0.050258,0.068438,0.104172,0.178969", \ - "0.047334,0.051010,0.055774,0.064556,0.081904,0.117143,0.187678", \ - "0.067314,0.071460,0.076629,0.085728,0.102390,0.135836,0.205294"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.033823,0.038779,0.045261,0.058251,0.084220,0.135979,0.239358", \ - "0.033810,0.038790,0.045264,0.058249,0.084212,0.135981,0.239354", \ - "0.033644,0.038701,0.045236,0.058238,0.084185,0.135998,0.239344", \ - "0.042350,0.045651,0.050370,0.060769,0.084500,0.135968,0.239336", \ - "0.060446,0.065020,0.070691,0.080628,0.098205,0.139430,0.239337", \ - "0.081214,0.087318,0.094685,0.107420,0.128795,0.163858,0.244812", \ - "0.104284,0.112007,0.121387,0.137365,0.163721,0.205451,0.274170"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.037466,0.041344,0.046379,0.056419,0.076452,0.116463,0.196439", \ - "0.042268,0.046206,0.051296,0.061407,0.081510,0.121581,0.201595", \ - "0.055744,0.059777,0.064939,0.075162,0.095420,0.135639,0.215769", \ - "0.074128,0.079206,0.085452,0.097293,0.119252,0.159966,0.240266", \ - "0.092429,0.099367,0.107525,0.122368,0.148751,0.195075,0.277705", \ - "0.105557,0.115285,0.126500,0.146528,0.179992,0.234845,0.327275", \ - "0.110770,0.123848,0.138839,0.165508,0.208923,0.276121,0.381939"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.054453,0.059909,0.066920,0.080804,0.108231,0.162518,0.270359", \ - "0.059044,0.064544,0.071596,0.085531,0.113032,0.167383,0.275273", \ - "0.077086,0.082647,0.089716,0.103682,0.131204,0.185628,0.293599", \ - "0.113505,0.119503,0.126838,0.140460,0.167641,0.221661,0.329341", \ - "0.156760,0.165007,0.174901,0.193369,0.225304,0.279608,0.386273", \ - "0.203078,0.213659,0.226506,0.250084,0.291251,0.358863,0.467897", \ - "0.252410,0.265371,0.281179,0.310175,0.360806,0.444265,0.574551"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.017343,0.020619,0.024896,0.033419,0.050419,0.084342,0.152149", \ - "0.017343,0.020619,0.024888,0.033426,0.050419,0.084339,0.152141", \ - "0.017876,0.020936,0.024989,0.033420,0.050408,0.084353,0.152150", \ - "0.022919,0.026004,0.029973,0.037744,0.052819,0.084664,0.152135", \ - "0.032599,0.035654,0.039608,0.047294,0.062359,0.092108,0.153671", \ - "0.048234,0.051499,0.055580,0.063140,0.077547,0.106526,0.164903", \ - "0.069227,0.072890,0.077479,0.085529,0.099904,0.127532,0.184504"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.035271,0.040282,0.046844,0.059963,0.086054,0.138004,0.241526", \ - "0.035272,0.040279,0.046847,0.059954,0.086057,0.137998,0.241523", \ - "0.035215,0.040273,0.046834,0.059959,0.086052,0.138010,0.241520", \ - "0.042564,0.046125,0.051132,0.061975,0.086147,0.138009,0.241515", \ - "0.061070,0.065592,0.071206,0.081144,0.098988,0.140955,0.241521", \ - "0.082170,0.088165,0.095436,0.108099,0.129402,0.164630,0.246578", \ - "0.105608,0.113191,0.122358,0.138207,0.164426,0.206135,0.275262"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0284261,0.0329542,0.0388148,0.0504949,0.0737836,0.120275,0.213198", \ - "0.0326348,0.0372318,0.0431689,0.0549382,0.0783243,0.124898,0.217882", \ - "0.0475648,0.0524087,0.0581891,0.0699532,0.0933866,0.140045,0.233089", \ - "0.0645446,0.0720910,0.0810463,0.0967554,0.122925,0.169171,0.261965", \ - "0.0758899,0.0865113,0.0990648,0.121088,0.157483,0.214649,0.307874", \ - "0.0803440,0.0942163,0.110412,0.139281,0.186809,0.261046,0.372843", \ - "0.0770685,0.0942651,0.114416,0.150135,0.209379,0.301874,0.440142"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0746042,0.0868426,0.102628,0.133821,0.195798,0.319215,0.565535", \ - "0.0762470,0.0887906,0.104906,0.136633,0.199242,0.323208,0.569939", \ - "0.0894262,0.101714,0.117646,0.149325,0.212206,0.336842,0.584303", \ - "0.122418,0.134354,0.149536,0.180173,0.241936,0.365905,0.613297", \ - "0.162850,0.178958,0.198249,0.232717,0.293275,0.415165,0.661032", \ - "0.207244,0.227141,0.250924,0.293606,0.366231,0.488229,0.731211", \ - "0.256167,0.279703,0.307954,0.358727,0.445519,0.586479,0.827239"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0192985,0.0231466,0.0281809,0.0382092,0.0582364,0.0982280,0.178189", \ - "0.0192835,0.0231481,0.0281701,0.0381996,0.0582267,0.0982299,0.178188", \ - "0.0213061,0.0242617,0.0285439,0.0380207,0.0582234,0.0982264,0.178185", \ - "0.0349078,0.0380051,0.0417587,0.0488246,0.0627800,0.0982363,0.178188", \ - "0.0531961,0.0573606,0.0623405,0.0708226,0.0857069,0.112219,0.178990", \ - "0.0754922,0.0808335,0.0873708,0.0980806,0.116155,0.145879,0.198672", \ - "0.101680,0.108330,0.116350,0.129779,0.151810,0.186890,0.243209"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0543862,0.0652227,0.0792712,0.107252,0.163289,0.275278,0.499053", \ - "0.0543871,0.0652233,0.0792310,0.107245,0.163319,0.275270,0.499041", \ - "0.0543314,0.0652115,0.0792312,0.107255,0.163257,0.275284,0.499042", \ - "0.0611563,0.0692593,0.0809340,0.107147,0.163263,0.275276,0.499051", \ - "0.0821849,0.0905422,0.100713,0.119388,0.165555,0.275232,0.499035", \ - "0.105606,0.115559,0.127787,0.149416,0.187707,0.278929,0.499036", \ - "0.131968,0.143607,0.157966,0.183276,0.227191,0.305091,0.499896"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0358250,0.0406774,0.0468711,0.0590338,0.0829242,0.130061,0.223554", \ - "0.0395037,0.0443692,0.0505821,0.0627666,0.0866757,0.133816,0.227350", \ - "0.0543368,0.0590558,0.0652725,0.0774775,0.101385,0.148515,0.242015", \ - "0.0768953,0.0835290,0.0916294,0.106098,0.130883,0.177653,0.270864", \ - "0.0949213,0.104181,0.115155,0.135247,0.169209,0.224012,0.316832", \ - "0.106609,0.118658,0.132843,0.158942,0.203068,0.273700,0.382421", \ - "0.111170,0.126054,0.143757,0.175960,0.230710,0.318416,0.452407"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0887055,0.100809,0.116428,0.147492,0.209344,0.332660,0.578922", \ - "0.0930627,0.105417,0.121303,0.152745,0.215033,0.338763,0.585328", \ - "0.109436,0.121732,0.137654,0.169277,0.232028,0.356410,0.603623", \ - "0.139164,0.151224,0.166809,0.198147,0.260637,0.385160,0.632791", \ - "0.176353,0.191044,0.208769,0.242455,0.304834,0.428984,0.676530", \ - "0.217812,0.235248,0.256556,0.295601,0.365845,0.491658,0.738833", \ - "0.264568,0.285172,0.309924,0.355152,0.434992,0.573582,0.821835"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0253331,0.0290903,0.0340412,0.0439612,0.0638982,0.103903,0.183939", \ - "0.0253342,0.0290836,0.0340362,0.0439619,0.0638965,0.103890,0.183984", \ - "0.0255290,0.0290377,0.0338182,0.0439582,0.0639409,0.103877,0.183934", \ - "0.0377162,0.0407791,0.0445075,0.0515771,0.0667645,0.103882,0.183917", \ - "0.0556032,0.0596694,0.0646027,0.0730259,0.0879559,0.115370,0.184367", \ - "0.0770243,0.0824000,0.0889828,0.0997824,0.117958,0.147907,0.202004", \ - "0.101620,0.108445,0.116750,0.130479,0.152969,0.188502,0.245270"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0543791,0.0652283,0.0792261,0.107256,0.163303,0.275276,0.499052", \ - "0.0543805,0.0652317,0.0792357,0.107273,0.163302,0.275286,0.499051", \ - "0.0543827,0.0652286,0.0792426,0.107249,0.163309,0.275268,0.499047", \ - "0.0564820,0.0662687,0.0794393,0.107236,0.163275,0.275289,0.499047", \ - "0.0690204,0.0783844,0.0900205,0.113173,0.164300,0.275250,0.499043", \ - "0.0853017,0.0950650,0.107515,0.131332,0.177175,0.277594,0.499082", \ - "0.105917,0.116019,0.129124,0.153745,0.201471,0.293484,0.500079"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.693670,1.708090,1.731634,1.760206,1.781404,1.822445,1.869040", \ - "1.647669,1.671105,1.688913,1.732126,1.774151,1.813772,1.864330", \ - "1.551195,1.582159,1.609275,1.657867,1.701354,1.768494,1.831656", \ - "1.499532,1.517934,1.548464,1.573991,1.647654,1.726986,1.798183", \ - "1.637624,1.629326,1.619874,1.617840,1.628263,1.695909,1.769036", \ - "1.868621,1.846493,1.826117,1.800336,1.770542,1.732847,1.779230", \ - "2.241926,2.219240,2.174221,2.128760,2.045531,1.951055,1.869013"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("7.000565,7.016559,7.038717,7.075330,7.060834,7.086961,7.210906", \ - "6.910786,6.936423,6.948612,7.003678,7.015787,7.021451,7.151588", \ - "6.763984,6.800050,6.816447,6.868385,6.942657,7.008662,7.032906", \ - "6.860619,6.862877,6.857486,6.893427,6.907762,6.957975,7.110091", \ - "7.140389,7.161630,7.135390,7.123650,7.123145,7.081528,7.069065", \ - "7.482247,7.482322,7.504693,7.529593,7.484818,7.342424,7.259925", \ - "8.110061,8.106170,8.092254,8.052431,8.062873,7.916223,7.670864"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.029362,1.027094,1.032938,1.027953,1.027308,1.034214,1.033187", \ - "0.960205,0.977974,0.982771,1.002529,1.002348,1.020442,1.024297", \ - "0.830740,0.857791,0.873858,0.906927,0.941296,0.978726,1.000276", \ - "0.801053,0.790898,0.799830,0.829757,0.871365,0.923244,0.969965", \ - "0.934953,0.922053,0.902027,0.873022,0.846996,0.888642,0.937285", \ - "1.181615,1.147404,1.112127,1.070591,1.009914,0.930022,0.947880", \ - "1.596855,1.552424,1.496560,1.412470,1.300159,1.160409,1.039757"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("6.049189,6.053348,6.064129,6.075215,6.139088,6.177966,6.276823", \ - "5.935631,5.969370,6.010576,6.036932,6.097703,6.110720,6.215886", \ - "5.794538,5.835217,5.859775,5.891994,5.942329,6.101132,6.098020", \ - "5.895547,5.913697,5.906042,5.918588,5.905294,6.049620,6.046178", \ - "6.065137,6.096340,6.172674,6.173763,6.194131,6.173467,6.133825", \ - "6.422019,6.436294,6.470501,6.495659,6.561047,6.433044,6.401690", \ - "7.058461,7.061200,7.048397,7.063807,7.061174,7.005152,6.734700"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.022676,1.030117,1.027784,1.036882,1.030318,1.031032,1.036490", \ - "0.964539,0.970353,0.986481,0.993052,1.016339,1.027036,1.032883", \ - "0.834467,0.860950,0.881043,0.905959,0.949746,0.984471,1.007329", \ - "0.803670,0.796868,0.793806,0.830685,0.878051,0.925647,0.969301", \ - "0.937833,0.926446,0.908564,0.880481,0.855148,0.893944,0.940970", \ - "1.186095,1.159764,1.122941,1.085842,1.019092,0.947514,0.951932", \ - "1.618199,1.568402,1.516760,1.435845,1.314523,1.180024,1.052410"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("6.515746,6.523883,6.551135,6.510489,6.535006,6.674678,6.744659", \ - "6.415179,6.440515,6.476879,6.498311,6.576590,6.621246,6.697500", \ - "6.267193,6.298577,6.323324,6.388491,6.413828,6.575866,6.574728", \ - "6.361365,6.372940,6.360665,6.413233,6.459937,6.519169,6.516325", \ - "6.542767,6.586591,6.615804,6.649801,6.655776,6.640763,6.602703", \ - "6.897493,6.905802,6.917580,6.980571,7.022616,6.908376,6.796125", \ - "7.522677,7.531846,7.534949,7.541148,7.513551,7.477439,7.213086"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.701260,1.710734,1.734574,1.760919,1.794398,1.831868,1.869104", \ - "1.652096,1.670046,1.697321,1.719195,1.767619,1.813466,1.859402", \ - "1.555732,1.582486,1.607496,1.647351,1.695841,1.780566,1.838416", \ - "1.494358,1.511693,1.535662,1.577770,1.645704,1.722889,1.795473", \ - "1.610173,1.608055,1.607416,1.610700,1.598126,1.677579,1.758682", \ - "1.776335,1.766438,1.760229,1.748648,1.733554,1.695890,1.760922", \ - "2.066362,2.053643,2.027901,1.999502,1.959470,1.895026,1.819317"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("7.847665,7.858769,7.875984,7.868401,7.944690,7.999618,8.147614", \ - "7.756023,7.780882,7.813437,7.802144,7.856798,7.914984,8.067703", \ - "7.644002,7.677290,7.695516,7.710173,7.840005,7.916102,8.014092", \ - "7.749430,7.754387,7.750694,7.792995,7.824267,7.887664,8.048414", \ - "8.042658,8.053545,8.032424,8.021954,7.997049,8.029584,8.026640", \ - "8.401508,8.416766,8.431600,8.441948,8.380631,8.296377,8.358532", \ - "9.035584,9.034572,9.014375,9.030360,8.990937,8.874090,8.779872"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.026294,1.029950,1.029288,1.036703,1.035852,1.037864,1.037132", \ - "0.964785,0.977261,0.984285,0.995270,1.008482,1.026064,1.030578", \ - "0.828937,0.853085,0.871878,0.898759,0.941200,0.984515,1.006212", \ - "0.797620,0.782976,0.781997,0.811207,0.862638,0.918382,0.964280", \ - "0.898992,0.892512,0.879626,0.864540,0.830745,0.878417,0.927009", \ - "1.068735,1.054102,1.040460,1.005152,0.970669,0.903788,0.927278", \ - "1.382402,1.350949,1.319226,1.270339,1.193905,1.100309,0.987579"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("6.834130,6.869126,6.896537,6.959807,7.038631,7.083101,7.209741", \ - "6.728500,6.786541,6.812292,6.887003,6.959585,7.027215,7.127043", \ - "6.634402,6.681095,6.731619,6.769708,6.827041,7.002063,7.014431", \ - "6.730300,6.752071,6.785634,6.809407,6.857057,6.970836,7.111314", \ - "6.951776,7.009117,7.071268,7.051868,7.101864,7.108441,7.087514", \ - "7.309991,7.346956,7.345437,7.432229,7.478287,7.382590,7.291552", \ - "7.952257,7.973761,7.976329,7.965973,8.020595,7.957499,7.712235"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.029549,1.028035,1.031517,1.024587,1.031827,1.036874,1.038141", \ - "0.967799,0.975627,0.982561,1.001204,1.004987,1.020918,1.028465", \ - "0.835435,0.857150,0.873563,0.902495,0.947401,0.982344,1.006686", \ - "0.800208,0.796705,0.788335,0.816922,0.871315,0.924746,0.969688", \ - "0.897806,0.890065,0.882413,0.873022,0.845782,0.873991,0.935097", \ - "1.068692,1.051314,1.040270,1.016526,0.975753,0.928710,0.928480", \ - "1.379788,1.355751,1.319489,1.270040,1.201552,1.115985,1.006221"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("7.348879,7.377575,7.396414,7.406296,7.480930,7.574541,7.696630", \ - "7.244848,7.277154,7.328156,7.372497,7.459245,7.493393,7.620340", \ - "7.148316,7.191724,7.215520,7.306618,7.336273,7.498409,7.507060", \ - "7.236786,7.258208,7.285494,7.290883,7.391418,7.506110,7.603181", \ - "7.471077,7.514040,7.569446,7.576079,7.601402,7.634274,7.580231", \ - "7.825235,7.853249,7.885904,7.919927,7.973421,7.967287,7.922947", \ - "8.465642,8.482227,8.473471,8.474920,8.502163,8.503982,8.350115"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.060734,1.056502,1.056812,1.071359,1.076304,1.071772,1.079328", \ - "1.050654,1.059908,1.077811,1.096977,1.099061,1.124823,1.132018", \ - "0.981902,1.008134,1.026615,1.047603,1.084712,1.121172,1.151199", \ - "1.066644,1.039774,1.024970,1.029945,1.069634,1.111630,1.140191", \ - "1.326959,1.286075,1.260335,1.202732,1.132624,1.142958,1.160056", \ - "1.737071,1.679420,1.633365,1.534548,1.417407,1.279757,1.244150", \ - "2.316362,2.250260,2.186283,2.054853,1.868237,1.640567,1.432587"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("4.328977,4.345188,4.345502,4.368569,4.356307,4.360377,4.358632", \ - "4.218584,4.249480,4.276353,4.313399,4.359380,4.368459,4.381381", \ - "4.100880,4.118155,4.140323,4.178125,4.252417,4.307335,4.349411", \ - "4.183250,4.173321,4.178808,4.163149,4.207599,4.247344,4.294600", \ - "4.469163,4.439044,4.421917,4.375045,4.302219,4.289578,4.299828", \ - "4.769746,4.796073,4.824577,4.748209,4.630919,4.496212,4.443396", \ - "5.299791,5.300329,5.290631,5.295610,5.158735,4.990024,4.736058"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.674079,1.678729,1.705400,1.729738,1.748482,1.789397,1.825433", \ - "1.602311,1.617475,1.619394,1.653758,1.682510,1.721776,1.762639", \ - "1.516139,1.535140,1.543474,1.573177,1.628470,1.670700,1.714972", \ - "1.549292,1.536686,1.548214,1.585697,1.625713,1.661988,1.702558", \ - "1.826680,1.807703,1.782252,1.731444,1.692081,1.717783,1.732862", \ - "2.234856,2.199750,2.159388,2.087710,1.986976,1.857616,1.831511", \ - "2.809392,2.762454,2.701366,2.603927,2.449768,2.240825,2.047585"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("5.480745,5.498651,5.491435,5.512754,5.503499,5.505973,5.503465", \ - "5.417328,5.445015,5.460818,5.479374,5.482875,5.500363,5.507249", \ - "5.276245,5.292994,5.322356,5.325338,5.422042,5.463265,5.496279", \ - "5.211367,5.222755,5.216056,5.259192,5.302283,5.372731,5.423939", \ - "5.262823,5.257594,5.249105,5.246738,5.274158,5.305302,5.348862", \ - "5.243222,5.305427,5.387010,5.394411,5.337563,5.324121,5.334992", \ - "5.455329,5.473025,5.505863,5.562485,5.580443,5.499540,5.445631"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI211_X4 - Cell Description : Combinational cell (OAI211_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI211_X4) { - - drive_strength : 4; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 51.117114; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 12.443955; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 13.906239; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 13.906260; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 9.979611; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 37.451850; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 55.237715; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 55.237734; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 52.639085; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 18.379982; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 33.322815; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 33.322836; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 29.807166; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 139.188680; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 97.889235; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 103.352875; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 111.807780; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.260952; - fall_capacitance : 5.779745; - rise_capacitance : 6.260952; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.326381; - fall_capacitance : 5.684722; - rise_capacitance : 6.326381; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.090571; - fall_capacitance : 5.427213; - rise_capacitance : 6.090571; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.229122; - fall_capacitance : 6.160724; - rise_capacitance : 6.229122; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 101.443100; - function : "!(((C1 | C2) & A) & B)"; - - timing () { - - related_pin : "A"; - when : "B & !C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.046142,0.051745,0.057969,0.070186,0.094170,0.141458,0.235256", \ - "0.050745,0.056397,0.062664,0.074939,0.098989,0.146334,0.240169", \ - "0.065751,0.071383,0.077666,0.090008,0.114181,0.161658,0.255594", \ - "0.089571,0.096373,0.103601,0.117162,0.141969,0.189625,0.283782", \ - "0.113870,0.123000,0.132396,0.149688,0.180051,0.232552,0.327489", \ - "0.133818,0.145971,0.158467,0.181258,0.219938,0.283246,0.388006", \ - "0.147517,0.163073,0.178939,0.208018,0.257044,0.335135,0.457198"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.045635,0.051814,0.058743,0.072495,0.099763,0.153925,0.261768", \ - "0.050150,0.056407,0.063412,0.077269,0.104661,0.158938,0.266854", \ - "0.068021,0.074339,0.081327,0.095183,0.122639,0.177042,0.285120", \ - "0.102119,0.109778,0.117735,0.132002,0.158931,0.212880,0.320712", \ - "0.140496,0.150928,0.161877,0.181598,0.215301,0.270689,0.377466", \ - "0.181576,0.194996,0.209056,0.234472,0.277967,0.348234,0.458945", \ - "0.225475,0.241877,0.259120,0.290361,0.343924,0.430733,0.564444"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.024866,0.029277,0.034286,0.044297,0.064329,0.104439,0.184695", \ - "0.024862,0.029275,0.034290,0.044302,0.064331,0.104428,0.184688", \ - "0.024603,0.029040,0.034128,0.044228,0.064319,0.104433,0.184679", \ - "0.030736,0.034615,0.038989,0.047435,0.065350,0.104383,0.184675", \ - "0.042849,0.046870,0.051353,0.059890,0.076491,0.109771,0.184811", \ - "0.059965,0.064793,0.069971,0.079212,0.096036,0.128107,0.193622", \ - "0.080864,0.086783,0.093084,0.103946,0.122629,0.155276,0.217871"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.033492,0.039525,0.046380,0.060098,0.087394,0.141549,0.248049", \ - "0.033491,0.039527,0.046377,0.060083,0.087395,0.141551,0.248044", \ - "0.033407,0.039498,0.046373,0.060089,0.087382,0.141550,0.248044", \ - "0.045330,0.049049,0.053566,0.064007,0.088017,0.141552,0.248051", \ - "0.066487,0.071530,0.076865,0.086553,0.103905,0.145985,0.248036", \ - "0.092086,0.098394,0.105169,0.117134,0.137743,0.172230,0.254399", \ - "0.122056,0.129694,0.137888,0.152325,0.176969,0.217127,0.285164"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & !C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.038341,0.043547,0.049418,0.061119,0.084464,0.131088,0.224277", \ - "0.042849,0.048125,0.054057,0.065842,0.089269,0.135957,0.229194", \ - "0.057459,0.062850,0.068868,0.080791,0.104398,0.151250,0.244612", \ - "0.077533,0.084860,0.092501,0.106585,0.131970,0.179155,0.272769", \ - "0.095552,0.106067,0.116603,0.135488,0.167504,0.221346,0.316407", \ - "0.107659,0.121961,0.136297,0.161736,0.203626,0.269729,0.376352", \ - "0.113056,0.131377,0.149777,0.182400,0.235887,0.318453,0.443798"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.044508,0.050695,0.057627,0.071378,0.098629,0.152739,0.260490", \ - "0.049012,0.055282,0.062293,0.076146,0.103525,0.157749,0.265575", \ - "0.066901,0.073222,0.080211,0.094063,0.121497,0.175860,0.283856", \ - "0.100639,0.108416,0.116477,0.130904,0.157818,0.211702,0.319437", \ - "0.138400,0.148962,0.160075,0.180001,0.213959,0.269522,0.376195", \ - "0.178785,0.192388,0.206590,0.232304,0.276132,0.346786,0.457680", \ - "0.221928,0.238579,0.255947,0.287524,0.341546,0.428853,0.562984"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.019067,0.023487,0.028528,0.038585,0.058662,0.098768,0.178937", \ - "0.019073,0.023493,0.028530,0.038587,0.058663,0.098770,0.178944", \ - "0.019784,0.023820,0.028603,0.038584,0.058662,0.098767,0.178940", \ - "0.027396,0.031144,0.035382,0.043819,0.060804,0.098779,0.178932", \ - "0.040835,0.044879,0.049301,0.057531,0.073534,0.105862,0.179381", \ - "0.058980,0.063864,0.069025,0.078121,0.094427,0.125484,0.189560", \ - "0.080895,0.086757,0.092927,0.103678,0.122008,0.153769,0.215003"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.029845,0.035550,0.042059,0.055059,0.081025,0.132872,0.236354", \ - "0.029844,0.035545,0.042049,0.055061,0.081047,0.132871,0.236347", \ - "0.029821,0.035516,0.042046,0.055055,0.081037,0.132879,0.236358", \ - "0.041418,0.045330,0.049516,0.059162,0.081762,0.132884,0.236344", \ - "0.059766,0.065049,0.070608,0.080575,0.097801,0.137451,0.236325", \ - "0.080761,0.087798,0.095089,0.107758,0.129063,0.163745,0.242810", \ - "0.104139,0.113117,0.122381,0.138178,0.164384,0.205850,0.273724"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.034861,0.039339,0.044387,0.054453,0.074532,0.114625,0.194766", \ - "0.039420,0.043964,0.049066,0.059200,0.079351,0.119504,0.199681", \ - "0.055152,0.059827,0.065014,0.075277,0.095584,0.135887,0.216176", \ - "0.076336,0.083295,0.090407,0.103331,0.125976,0.166717,0.247256", \ - "0.094323,0.104589,0.114827,0.133061,0.163551,0.212774,0.295534", \ - "0.106101,0.120026,0.134027,0.158913,0.199786,0.263309,0.360917", \ - "0.110875,0.128791,0.146759,0.178716,0.231216,0.312016,0.431652"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.048106,0.054262,0.061172,0.074888,0.102096,0.156157,0.263840", \ - "0.052641,0.058890,0.065881,0.079713,0.107066,0.161262,0.269052", \ - "0.070454,0.076748,0.083729,0.097552,0.124971,0.179313,0.287282", \ - "0.105132,0.112550,0.120319,0.134293,0.161200,0.215076,0.322796", \ - "0.144574,0.154713,0.165370,0.184701,0.217850,0.272883,0.379511", \ - "0.186780,0.199803,0.213446,0.238398,0.281205,0.350794,0.461016", \ - "0.231800,0.247753,0.264521,0.295105,0.347904,0.433888,0.566744"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.017140,0.020918,0.025207,0.033755,0.050779,0.084796,0.152764", \ - "0.017145,0.020919,0.025208,0.033739,0.050779,0.084796,0.152770", \ - "0.018060,0.021398,0.025350,0.033755,0.050784,0.084798,0.152766", \ - "0.027161,0.030259,0.033708,0.040390,0.053789,0.084926,0.152761", \ - "0.041858,0.045492,0.049396,0.056490,0.069532,0.094775,0.153805", \ - "0.060985,0.065402,0.070125,0.078450,0.092925,0.118543,0.168231", \ - "0.083982,0.089222,0.094881,0.104843,0.121845,0.150088,0.199777"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.031498,0.037244,0.043799,0.056880,0.082931,0.134847,0.238367", \ - "0.031513,0.037247,0.043799,0.056885,0.082918,0.134851,0.238369", \ - "0.031460,0.037238,0.043792,0.056872,0.082945,0.134839,0.238365", \ - "0.041923,0.045717,0.050190,0.060253,0.083423,0.134846,0.238367", \ - "0.060455,0.065661,0.071222,0.081143,0.098499,0.138934,0.238364", \ - "0.081736,0.088626,0.095842,0.108428,0.129638,0.164426,0.244391", \ - "0.105446,0.114227,0.123318,0.138972,0.164995,0.206433,0.274614"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.048506,0.054116,0.060338,0.072554,0.096537,0.143824,0.237615", \ - "0.053331,0.058985,0.065252,0.077527,0.101576,0.148922,0.242756", \ - "0.066649,0.072309,0.078598,0.090942,0.115112,0.162592,0.256529", \ - "0.086274,0.092636,0.099513,0.112709,0.137476,0.185054,0.279149", \ - "0.107466,0.115193,0.123306,0.138590,0.166844,0.218155,0.313084", \ - "0.126327,0.136333,0.146586,0.165545,0.198935,0.257023,0.359485", \ - "0.139276,0.152323,0.165535,0.189722,0.230743,0.298430,0.412022"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.051263,0.057601,0.064679,0.078681,0.106290,0.160859,0.269123", \ - "0.055841,0.062216,0.069337,0.083383,0.111051,0.165665,0.273977", \ - "0.073876,0.080341,0.087476,0.101541,0.129243,0.183921,0.292294", \ - "0.109864,0.117026,0.124583,0.138366,0.165644,0.219946,0.328062", \ - "0.151887,0.161674,0.171951,0.190713,0.223135,0.277874,0.384960", \ - "0.196950,0.209483,0.222630,0.246781,0.288528,0.356864,0.466519", \ - "0.244989,0.260335,0.276516,0.306084,0.357514,0.441799,0.572977"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.024869,0.029276,0.034286,0.044302,0.064333,0.104430,0.184689", \ - "0.024863,0.029279,0.034289,0.044299,0.064336,0.104437,0.184688", \ - "0.024689,0.029121,0.034188,0.044257,0.064328,0.104426,0.184682", \ - "0.028578,0.032795,0.037524,0.046713,0.065284,0.104395,0.184670", \ - "0.035905,0.040172,0.045014,0.054455,0.072952,0.109079,0.185121", \ - "0.048689,0.052987,0.057826,0.067125,0.085339,0.121458,0.192851", \ - "0.066957,0.071760,0.077020,0.086437,0.104134,0.139126,0.209896"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.037542,0.043530,0.050377,0.064086,0.091357,0.145560,0.252138", \ - "0.037520,0.043524,0.050378,0.064080,0.091369,0.145555,0.252148", \ - "0.037367,0.043469,0.050356,0.064083,0.091370,0.145554,0.252141", \ - "0.045849,0.049891,0.055053,0.066330,0.091440,0.145555,0.252148", \ - "0.066542,0.071584,0.076972,0.086740,0.104833,0.148763,0.252142", \ - "0.091430,0.097770,0.104652,0.116725,0.137568,0.172881,0.257393", \ - "0.120336,0.128110,0.136474,0.151234,0.176287,0.216938,0.286406"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.040712,0.045913,0.051785,0.063486,0.086829,0.133453,0.226643", \ - "0.045429,0.050713,0.056644,0.068429,0.091856,0.138546,0.231779", \ - "0.058426,0.063801,0.069815,0.081729,0.105332,0.152187,0.245552", \ - "0.075862,0.082279,0.089239,0.102534,0.127497,0.174606,0.268147", \ - "0.093297,0.101680,0.110275,0.126200,0.155168,0.207061,0.302065", \ - "0.105922,0.117479,0.129009,0.149706,0.184908,0.244458,0.347878", \ - "0.110827,0.126314,0.141617,0.168844,0.213316,0.283817,0.399244"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.050074,0.056435,0.063533,0.077533,0.105137,0.159666,0.267830", \ - "0.054654,0.061052,0.068184,0.082240,0.109895,0.164475,0.272680", \ - "0.072698,0.079191,0.086325,0.100391,0.128086,0.182727,0.291010", \ - "0.108473,0.115727,0.123377,0.137239,0.164498,0.218764,0.326775", \ - "0.149901,0.159835,0.170229,0.189192,0.221847,0.276698,0.383683", \ - "0.194323,0.207030,0.220324,0.244735,0.286787,0.355433,0.465243", \ - "0.241679,0.257229,0.273553,0.303440,0.355230,0.439964,0.571539"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.019073,0.023492,0.028525,0.038585,0.058660,0.098777,0.178937", \ - "0.019067,0.023492,0.028523,0.038585,0.058658,0.098772,0.178939", \ - "0.019452,0.023649,0.028560,0.038581,0.058659,0.098776,0.178942", \ - "0.023919,0.028129,0.032874,0.042185,0.060392,0.098828,0.178932", \ - "0.032472,0.036604,0.041287,0.050534,0.068773,0.104628,0.179709", \ - "0.046986,0.051204,0.055886,0.064703,0.082141,0.117530,0.188326", \ - "0.066898,0.071653,0.076804,0.085794,0.102544,0.136120,0.205867"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.033552,0.039230,0.045746,0.058773,0.084803,0.136702,0.240314", \ - "0.033546,0.039227,0.045745,0.058786,0.084778,0.136711,0.240323", \ - "0.033367,0.039177,0.045728,0.058767,0.084796,0.136695,0.240325", \ - "0.042136,0.045904,0.050671,0.061173,0.084911,0.136701,0.240329", \ - "0.060116,0.065309,0.070903,0.080876,0.098534,0.140020,0.240334", \ - "0.080771,0.087723,0.094997,0.107661,0.129069,0.164252,0.245681", \ - "0.103718,0.112613,0.121807,0.137686,0.163990,0.205825,0.274847"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.037303,0.041782,0.046830,0.056895,0.076972,0.117067,0.197207", \ - "0.042102,0.046644,0.051746,0.061880,0.082030,0.122182,0.202361", \ - "0.055564,0.060212,0.065389,0.075640,0.095941,0.136239,0.216531", \ - "0.073891,0.079708,0.085957,0.097792,0.119767,0.160542,0.241006", \ - "0.092089,0.100050,0.108146,0.122931,0.149334,0.195646,0.278424", \ - "0.105006,0.116186,0.127406,0.147297,0.180658,0.235447,0.327904", \ - "0.109957,0.125003,0.140055,0.166555,0.209748,0.276772,0.382547"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.054240,0.060537,0.067566,0.081478,0.108964,0.163364,0.271393", \ - "0.058845,0.065179,0.072251,0.086214,0.113766,0.168223,0.276310", \ - "0.076908,0.083313,0.090395,0.104373,0.131953,0.186475,0.294640", \ - "0.113239,0.120164,0.127517,0.141149,0.168368,0.222490,0.330391", \ - "0.156393,0.165871,0.175872,0.194218,0.226060,0.280392,0.387300", \ - "0.202606,0.214771,0.227566,0.251192,0.292228,0.359725,0.468906", \ - "0.251826,0.266731,0.282473,0.311418,0.361983,0.445330,0.575593"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.017146,0.020916,0.025208,0.033748,0.050787,0.084796,0.152763", \ - "0.017140,0.020918,0.025200,0.033737,0.050783,0.084794,0.152769", \ - "0.017686,0.021219,0.025286,0.033759,0.050779,0.084798,0.152765", \ - "0.022692,0.026249,0.030231,0.038022,0.053145,0.085108,0.152767", \ - "0.032341,0.035834,0.039788,0.047499,0.062628,0.092473,0.154261", \ - "0.047934,0.051666,0.055734,0.063272,0.077733,0.106822,0.165410", \ - "0.068868,0.073083,0.077597,0.085625,0.100015,0.127728,0.184943"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.035007,0.040753,0.047351,0.060496,0.086665,0.138741,0.242505", \ - "0.034984,0.040752,0.047345,0.060491,0.086669,0.138745,0.242517", \ - "0.034947,0.040743,0.047347,0.060494,0.086661,0.138751,0.242505", \ - "0.042348,0.046422,0.051466,0.062438,0.086698,0.138744,0.242509", \ - "0.060711,0.065879,0.071435,0.081395,0.099334,0.141638,0.242516", \ - "0.081753,0.088575,0.095760,0.108350,0.129698,0.165040,0.247474", \ - "0.105075,0.113806,0.122814,0.138571,0.164750,0.206488,0.275959"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0280728,0.0332998,0.0391781,0.0508882,0.0742340,0.120841,0.213989", \ - "0.0322821,0.0375919,0.0435401,0.0553418,0.0787834,0.125473,0.218671", \ - "0.0471799,0.0527967,0.0585745,0.0703644,0.0938618,0.140627,0.233895", \ - "0.0639763,0.0727656,0.0816786,0.0973192,0.123428,0.169772,0.262790", \ - "0.0751027,0.0873650,0.0999334,0.121932,0.158221,0.215354,0.308735", \ - "0.0793197,0.0953089,0.111612,0.140330,0.187834,0.261988,0.373817", \ - "0.0758024,0.0956190,0.115742,0.151456,0.210599,0.303049,0.441380"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0735983,0.0876870,0.103434,0.134628,0.196610,0.320082,0.566545", \ - "0.0752353,0.0896684,0.105757,0.137479,0.200069,0.324101,0.570959", \ - "0.0884591,0.102635,0.118537,0.150204,0.213091,0.337771,0.585355", \ - "0.121372,0.135232,0.150394,0.181049,0.242848,0.366844,0.614396", \ - "0.161493,0.180040,0.199308,0.233610,0.294163,0.416117,0.662143", \ - "0.205561,0.228462,0.252268,0.294839,0.367244,0.489232,0.732347", \ - "0.254169,0.281257,0.309413,0.360086,0.446700,0.587445,0.828259"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0190509,0.0234934,0.0285236,0.0385812,0.0586626,0.0987652,0.178937", \ - "0.0190425,0.0234893,0.0285290,0.0385810,0.0586644,0.0987728,0.178940", \ - "0.0211321,0.0245221,0.0288493,0.0383927,0.0586589,0.0987707,0.178940", \ - "0.0347002,0.0381975,0.0419652,0.0490203,0.0630819,0.0987634,0.178931", \ - "0.0529094,0.0576871,0.0625266,0.0710441,0.0859298,0.112566,0.179696", \ - "0.0751203,0.0812910,0.0876089,0.0983671,0.116426,0.146176,0.199187", \ - "0.101205,0.108867,0.116842,0.130132,0.152153,0.187253,0.243672"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0535232,0.0658984,0.0798937,0.107932,0.163952,0.275934,0.499763", \ - "0.0535240,0.0658963,0.0798971,0.107936,0.163951,0.275934,0.499762", \ - "0.0534672,0.0658848,0.0798964,0.107935,0.163929,0.275933,0.499757", \ - "0.0605524,0.0698351,0.0814930,0.107838,0.163918,0.275917,0.499781", \ - "0.0814879,0.0910048,0.100949,0.119878,0.166164,0.275901,0.499774", \ - "0.104797,0.116221,0.128193,0.149767,0.188135,0.279558,0.499755", \ - "0.131011,0.144404,0.158614,0.183775,0.227613,0.305619,0.500567"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0354816,0.0410749,0.0472810,0.0594725,0.0834183,0.130658,0.224368", \ - "0.0391492,0.0447770,0.0509996,0.0632109,0.0871723,0.134425,0.228145", \ - "0.0540142,0.0595074,0.0657208,0.0779510,0.101897,0.149129,0.242843", \ - "0.0764441,0.0842137,0.0922521,0.106667,0.131408,0.178288,0.271698", \ - "0.0943004,0.104978,0.116086,0.136084,0.169966,0.224733,0.317718", \ - "0.105801,0.119689,0.133984,0.160016,0.204077,0.274677,0.383416", \ - "0.110175,0.127324,0.144961,0.177200,0.231928,0.319600,0.453642"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0877467,0.101664,0.117275,0.148342,0.210190,0.333567,0.579971", \ - "0.0921141,0.106300,0.122171,0.153609,0.215902,0.339688,0.586382", \ - "0.108477,0.122629,0.138532,0.170165,0.232915,0.357353,0.604686", \ - "0.138236,0.152064,0.167693,0.199033,0.261572,0.386097,0.633871", \ - "0.175195,0.192016,0.209655,0.243331,0.305742,0.429880,0.677594", \ - "0.216403,0.236458,0.257547,0.296493,0.366701,0.492572,0.739816", \ - "0.262873,0.286589,0.311211,0.356305,0.435944,0.574435,0.822772"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0251258,0.0294448,0.0344044,0.0443597,0.0643502,0.104445,0.184683", \ - "0.0251210,0.0294409,0.0344076,0.0443600,0.0643470,0.104436,0.184684", \ - "0.0253268,0.0293608,0.0341722,0.0443689,0.0643875,0.104429,0.184686", \ - "0.0375218,0.0409823,0.0447102,0.0517855,0.0671240,0.104438,0.184667", \ - "0.0553347,0.0599931,0.0647512,0.0732466,0.0881930,0.115715,0.185098", \ - "0.0766843,0.0828584,0.0892051,0.100000,0.118204,0.148221,0.202538", \ - "0.101147,0.109022,0.117201,0.130855,0.153326,0.188864,0.245732"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0535200,0.0658944,0.0798958,0.107921,0.163945,0.275929,0.499754", \ - "0.0535210,0.0658936,0.0798983,0.107914,0.163947,0.275935,0.499759", \ - "0.0535237,0.0658885,0.0798806,0.107927,0.163934,0.275932,0.499754", \ - "0.0557319,0.0669219,0.0801254,0.107921,0.163941,0.275949,0.499766", \ - "0.0682680,0.0788911,0.0905095,0.113797,0.164979,0.275899,0.499753", \ - "0.0845284,0.0956436,0.107918,0.131825,0.177757,0.278274,0.499754", \ - "0.105118,0.116709,0.129533,0.154160,0.201904,0.294097,0.500736"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("3.395361,3.431685,3.469198,3.517434,3.564439,3.662086,3.734732", \ - "3.299192,3.352065,3.386253,3.440406,3.545907,3.630304,3.716227", \ - "3.105660,3.168948,3.229359,3.286539,3.432112,3.555545,3.668676", \ - "2.999281,3.029464,3.088022,3.169563,3.280224,3.432880,3.603156", \ - "3.280290,3.261649,3.249912,3.225439,3.243385,3.381736,3.543586", \ - "3.729712,3.685653,3.662451,3.604892,3.523045,3.448836,3.564021", \ - "4.478404,4.416812,4.353589,4.230746,4.086878,3.888027,3.742358"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("14.188460,14.223500,14.258220,14.245140,14.208820,14.477440,14.423520", \ - "13.966620,14.022950,14.082300,14.176690,14.281780,14.349720,14.559730", \ - "13.715490,13.801120,13.831420,13.940330,13.985900,14.085680,14.331300", \ - "13.879650,13.909660,13.910800,13.902060,13.985680,14.226010,14.235520", \ - "14.473380,14.492340,14.475770,14.369410,14.459430,14.463690,14.410050", \ - "15.131790,15.145700,15.158710,15.266750,15.227790,15.001370,14.915430", \ - "16.395110,16.370780,16.344430,16.303420,16.228890,16.148080,15.624980"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("2.055046,2.054504,2.064079,2.059198,2.052279,2.076667,2.071978", \ - "1.925192,1.938393,1.967688,2.001752,2.025468,2.044802,2.054243", \ - "1.664889,1.716657,1.753234,1.826589,1.901399,1.963765,2.006402", \ - "1.595795,1.567819,1.574089,1.649888,1.730658,1.837524,1.938326", \ - "1.865961,1.832562,1.806662,1.756356,1.694470,1.772973,1.878353", \ - "2.358376,2.292109,2.225085,2.133368,2.006671,1.850772,1.899699", \ - "3.191324,3.074224,2.978929,2.815951,2.593145,2.314515,2.082246"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("12.254170,12.318140,12.296940,12.414860,12.491420,12.417850,12.557460", \ - "12.061010,12.112180,12.194830,12.196480,12.392700,12.537950,12.438180", \ - "11.779880,11.859210,11.944690,12.062480,12.220410,12.269210,12.468690", \ - "11.983940,12.005110,12.034550,12.006800,12.147650,12.200080,12.516450", \ - "12.291050,12.393990,12.461030,12.458520,12.518310,12.407100,12.546840", \ - "13.015200,13.036000,13.118240,13.196830,13.352920,13.188760,13.007450", \ - "14.295240,14.294790,14.304410,14.320580,14.328990,14.081950,13.760640"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("2.048962,2.052196,2.061260,2.052880,2.073004,2.075852,2.075031", \ - "1.930506,1.953278,1.964276,1.991895,2.022173,2.045794,2.063494", \ - "1.669691,1.719214,1.767754,1.812263,1.887042,1.969892,2.005753", \ - "1.599393,1.588140,1.586193,1.644534,1.740024,1.856048,1.939556", \ - "1.871671,1.844664,1.813224,1.768612,1.707865,1.790049,1.872536", \ - "2.369345,2.305140,2.242144,2.157860,2.034841,1.886687,1.912859", \ - "3.219831,3.110754,3.006867,2.842644,2.622797,2.355110,2.098520"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("13.207000,13.234240,13.248130,13.316440,13.386190,13.361730,13.579420", \ - "12.986040,13.071930,13.103960,13.198710,13.247390,13.501250,13.609040", \ - "12.727400,12.801140,12.883690,12.952890,13.166400,13.220080,13.453870", \ - "12.881490,12.911560,12.968310,12.897680,13.079540,13.104820,13.472380", \ - "13.245670,13.347920,13.419250,13.426270,13.401730,13.348120,13.485740", \ - "13.938000,13.988290,14.031410,14.104520,14.143310,14.132350,13.887880", \ - "15.224850,15.245350,15.244330,15.244020,15.230280,15.178190,14.747990"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("3.383662,3.430384,3.465496,3.500285,3.573339,3.646733,3.724773", \ - "3.284580,3.351331,3.388441,3.458159,3.519653,3.613498,3.723615", \ - "3.102396,3.168512,3.228042,3.304308,3.431083,3.549038,3.664387", \ - "2.993772,3.022559,3.049688,3.159796,3.272355,3.446941,3.598175", \ - "3.210597,3.218589,3.223131,3.197014,3.184556,3.341997,3.525468", \ - "3.543164,3.535329,3.504052,3.502113,3.468039,3.388189,3.511017", \ - "4.125431,4.085553,4.052342,4.000903,3.898684,3.773419,3.643734"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("15.873140,15.901750,15.961730,15.921400,16.164110,16.305560,16.305840", \ - "15.667190,15.748940,15.804730,15.791940,16.035520,16.137530,16.396860", \ - "15.478420,15.559010,15.593380,15.621190,15.781620,16.068310,16.178240", \ - "15.655470,15.696200,15.713030,15.798420,15.940030,16.087630,16.117340", \ - "16.276400,16.250940,16.273390,16.271660,16.313840,16.366660,16.327650", \ - "16.967560,16.997500,17.022180,17.102160,17.081630,16.909930,16.745010", \ - "18.243270,18.220030,18.207130,18.163410,18.186220,18.065130,17.588310"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("2.056810,2.054389,2.064198,2.062834,2.058146,2.069385,2.066903", \ - "1.933367,1.950665,1.963542,1.993462,2.015419,2.043628,2.046772", \ - "1.661942,1.711553,1.758472,1.814437,1.893033,1.964013,2.011579", \ - "1.590655,1.564437,1.568219,1.625876,1.719428,1.845643,1.934108", \ - "1.802508,1.769450,1.759209,1.715163,1.657991,1.749808,1.859499", \ - "2.133577,2.096061,2.058826,2.013734,1.928256,1.804483,1.845041", \ - "2.760344,2.695481,2.629446,2.538236,2.385719,2.196079,1.978609"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("13.820730,13.916760,13.933160,14.070510,14.191680,14.380530,14.494470", \ - "13.647130,13.731000,13.779390,13.928530,14.075070,14.312840,14.265160", \ - "13.424870,13.536430,13.633140,13.705420,13.858410,14.127070,14.350020", \ - "13.651550,13.673020,13.750500,13.831380,13.957030,14.104390,14.243960", \ - "14.079170,14.155700,14.299080,14.299870,14.299920,14.296090,14.554250", \ - "14.787450,14.840070,14.888910,14.972510,15.111930,15.082120,14.911660", \ - "16.079650,16.101990,16.094040,16.121760,16.131820,15.987300,15.850430"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("2.040251,2.055798,2.061694,2.051519,2.058084,2.061923,2.066828", \ - "1.917480,1.951905,1.975793,1.983325,2.022156,2.047146,2.062213", \ - "1.657802,1.717414,1.759903,1.808431,1.891122,1.954301,2.013246", \ - "1.603185,1.588663,1.577811,1.642504,1.745728,1.848232,1.936571", \ - "1.802169,1.775412,1.754464,1.739614,1.696024,1.760424,1.861159", \ - "2.134750,2.093740,2.071465,2.016315,1.953720,1.845849,1.847631", \ - "2.753657,2.694738,2.632341,2.540653,2.404321,2.219921,2.007574"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("14.852480,14.915840,14.976900,15.042330,15.186280,15.366240,15.406630", \ - "14.681350,14.757110,14.844550,14.933950,15.014260,15.307140,15.514880", \ - "14.456450,14.555920,14.632530,14.808550,14.992400,15.065540,15.325550", \ - "14.665950,14.716350,14.783110,14.813400,15.030890,15.005800,15.229970", \ - "15.097210,15.227420,15.296290,15.291010,15.348540,15.343280,15.444760", \ - "15.819110,15.855670,15.929930,16.060130,16.210230,16.090830,15.873610", \ - "17.082010,17.106460,17.120310,17.143680,17.209840,17.164860,16.888760"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("2.110908,2.128704,2.127178,2.133178,2.131357,2.160528,2.158545", \ - "2.088791,2.133223,2.151049,2.181616,2.224011,2.247683,2.260176", \ - "1.969845,2.021370,2.056563,2.126811,2.182680,2.259031,2.299778", \ - "2.131125,2.087311,2.049454,2.059217,2.127079,2.218038,2.286816", \ - "2.656430,2.566065,2.511316,2.395615,2.265980,2.279668,2.317629", \ - "3.469281,3.353791,3.249279,3.082798,2.833156,2.547210,2.485024", \ - "4.635609,4.499680,4.358563,4.108171,3.733981,3.276621,2.869005"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("8.790999,8.824837,8.827030,8.806654,8.910998,8.915593,8.900278", \ - "8.569435,8.637458,8.692907,8.716595,8.883925,8.932986,8.946756", \ - "8.320240,8.379173,8.425243,8.452191,8.589216,8.688525,8.884759", \ - "8.504360,8.496861,8.505766,8.433121,8.500638,8.570439,8.650330", \ - "9.078240,9.004544,8.951909,8.861523,8.805161,8.655706,8.661976", \ - "9.664333,9.733662,9.824932,9.619321,9.466172,9.198609,8.977259", \ - "10.736420,10.725460,10.686650,10.728450,10.531800,10.086350,9.658265"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("3.334352,3.373499,3.410730,3.458534,3.517454,3.574600,3.659682", \ - "3.205742,3.223058,3.250891,3.303803,3.383889,3.434753,3.533676", \ - "3.015944,3.090220,3.106202,3.194569,3.265119,3.332329,3.421832", \ - "3.109490,3.076967,3.097590,3.158101,3.246851,3.312825,3.403908", \ - "3.656067,3.605647,3.557335,3.465976,3.380828,3.425938,3.463686", \ - "4.476992,4.392414,4.293756,4.174651,3.969489,3.725687,3.669599", \ - "5.615786,5.504460,5.404776,5.207031,4.898782,4.479072,4.097417"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("11.094420,11.124480,11.111530,11.118090,11.084730,11.081090,11.059310", \ - "10.967450,11.020790,11.054140,11.042340,11.163540,11.194750,11.197230", \ - "10.669570,10.720690,10.781970,10.839960,10.918780,11.081800,11.085380", \ - "10.555860,10.583380,10.577400,10.612380,10.728270,10.818950,10.903300", \ - "10.661850,10.658040,10.597080,10.628550,10.638810,10.681150,10.865830", \ - "10.619430,10.755150,10.883930,10.895540,10.773060,10.842620,10.847430", \ - "11.036040,11.093630,11.131570,11.264280,11.351540,11.070530,11.069360"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI221_X1 - Cell Description : Combinational cell (OAI221_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI221_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 18.292597; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 3.535748; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 4.657865; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 4.657875; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 3.728475; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 9.384755; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 13.830617; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 13.830627; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 13.180954; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 9.384765; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 13.830636; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 13.830637; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 13.180963; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 14.454934; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 18.901622; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 18.901633; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 18.252037; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 6.922089; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 14.969587; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 14.969683; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 14.215932; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 34.823114; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 23.122705; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 24.488701; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 26.602470; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 34.823209; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 24.488720; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 25.854620; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 27.968351; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 39.905101; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 26.605994; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 27.972180; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 30.086491; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.596769; - fall_capacitance : 1.467402; - rise_capacitance : 1.596769; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.593512; - fall_capacitance : 1.380062; - rise_capacitance : 1.593512; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.562731; - fall_capacitance : 1.484220; - rise_capacitance : 1.562731; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.534937; - fall_capacitance : 1.367999; - rise_capacitance : 1.534937; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.536704; - fall_capacitance : 1.521097; - rise_capacitance : 1.536704; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 22.146260; - function : "!(((C1 | C2) & A) & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.055019,0.057968,0.063728,0.074861,0.096399,0.138122,0.219624", \ - "0.059682,0.062652,0.068427,0.079623,0.101217,0.142989,0.224514", \ - "0.074678,0.077653,0.083438,0.094665,0.116330,0.158218,0.239824", \ - "0.100894,0.104203,0.110541,0.122588,0.144328,0.186272,0.268052", \ - "0.130019,0.134228,0.141958,0.156782,0.183287,0.229365,0.311819", \ - "0.156569,0.162144,0.172088,0.191102,0.224325,0.279845,0.371973", \ - "0.177683,0.184731,0.197637,0.221658,0.263381,0.331396,0.439289"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.046874,0.050021,0.056221,0.068429,0.092442,0.139747,0.232862", \ - "0.051494,0.054687,0.060955,0.073260,0.097382,0.144754,0.238044", \ - "0.069428,0.072562,0.078853,0.091165,0.115351,0.162830,0.256275", \ - "0.103929,0.107770,0.114991,0.128109,0.151721,0.198718,0.291866", \ - "0.143028,0.148324,0.158110,0.176177,0.207016,0.256788,0.348748", \ - "0.184940,0.191757,0.204595,0.227612,0.267223,0.331356,0.430614", \ - "0.229688,0.238034,0.253881,0.282173,0.330901,0.410084,0.532187"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.030653,0.032937,0.037432,0.046354,0.063997,0.098970,0.168331", \ - "0.030657,0.032931,0.037450,0.046343,0.064002,0.098967,0.168293", \ - "0.030325,0.032674,0.037275,0.046265,0.064000,0.098963,0.168258", \ - "0.034739,0.036823,0.040846,0.048501,0.064607,0.098854,0.168262", \ - "0.045685,0.047857,0.052073,0.059962,0.075097,0.104313,0.168620", \ - "0.062230,0.064722,0.069574,0.078135,0.093552,0.122414,0.178814", \ - "0.082788,0.085841,0.091652,0.101744,0.118927,0.148649,0.203714"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.038897,0.042221,0.048765,0.061702,0.087123,0.136656,0.231088", \ - "0.038910,0.042201,0.048777,0.061742,0.087103,0.136598,0.231082", \ - "0.038832,0.042172,0.048713,0.061687,0.087114,0.136580,0.231047", \ - "0.050851,0.052685,0.056910,0.066556,0.088281,0.136553,0.231070", \ - "0.076870,0.079117,0.083664,0.091734,0.106624,0.143312,0.231001", \ - "0.110337,0.112727,0.117674,0.126885,0.143791,0.173183,0.241758", \ - "0.150464,0.152805,0.157828,0.168060,0.187150,0.220665,0.277679"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.046006,0.048866,0.054452,0.065321,0.086441,0.127652,0.208548", \ - "0.050618,0.053502,0.059125,0.070055,0.091243,0.132511,0.213450", \ - "0.065542,0.068416,0.074050,0.085014,0.106305,0.147704,0.228803", \ - "0.089480,0.092965,0.099526,0.111927,0.134166,0.175691,0.256975", \ - "0.113933,0.118663,0.127162,0.143092,0.170833,0.217995,0.300671", \ - "0.134272,0.140527,0.151725,0.172667,0.208354,0.266202,0.360012", \ - "0.148403,0.156443,0.170891,0.197465,0.242647,0.314528,0.425483"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.045740,0.048892,0.055098,0.067304,0.091277,0.138500,0.231593", \ - "0.050356,0.053553,0.059827,0.072145,0.096238,0.143594,0.236801", \ - "0.068296,0.071441,0.077737,0.090031,0.114228,0.161650,0.255014", \ - "0.102471,0.106368,0.113674,0.126965,0.150606,0.197542,0.290604", \ - "0.140943,0.146330,0.156224,0.174536,0.205601,0.255638,0.347511", \ - "0.182158,0.189079,0.202047,0.225337,0.265309,0.329835,0.429375", \ - "0.226166,0.234643,0.250581,0.279254,0.328458,0.408089,0.530637"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.025362,0.027621,0.032093,0.040960,0.058527,0.093394,0.162518", \ - "0.025361,0.027622,0.032100,0.040961,0.058534,0.093384,0.162524", \ - "0.025125,0.027370,0.031889,0.040850,0.058497,0.093382,0.162572", \ - "0.031294,0.033306,0.037239,0.044813,0.060054,0.093302,0.162511", \ - "0.043495,0.045645,0.049739,0.057378,0.072014,0.100478,0.163263", \ - "0.060769,0.063297,0.068212,0.076666,0.091692,0.119703,0.174906", \ - "0.081789,0.084880,0.090795,0.100930,0.117964,0.147029,0.200897"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.033930,0.037032,0.043174,0.055328,0.079313,0.126535,0.218692", \ - "0.033917,0.037029,0.043183,0.055305,0.079278,0.126521,0.218725", \ - "0.033848,0.036981,0.043157,0.055311,0.079304,0.126499,0.218659", \ - "0.045796,0.047781,0.051640,0.060415,0.080567,0.126463,0.218668", \ - "0.067127,0.069788,0.075018,0.083804,0.099028,0.133420,0.218605", \ - "0.092919,0.096285,0.102765,0.113720,0.132424,0.163268,0.229506", \ - "0.123035,0.127026,0.134836,0.148072,0.170546,0.207039,0.265616"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.041054,0.043522,0.048347,0.057736,0.075960,0.111486,0.181168", \ - "0.045713,0.048202,0.053069,0.062501,0.080787,0.116359,0.186100", \ - "0.061858,0.064337,0.069199,0.078658,0.097015,0.132708,0.202568", \ - "0.087545,0.090774,0.096765,0.107967,0.127832,0.163623,0.233645", \ - "0.112291,0.116820,0.125122,0.140365,0.166565,0.209795,0.282013", \ - "0.132063,0.138248,0.149171,0.169640,0.204421,0.259904,0.346344", \ - "0.145498,0.153367,0.167583,0.193567,0.237982,0.308287,0.414562"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.049271,0.052420,0.058605,0.070765,0.094720,0.141853,0.234983", \ - "0.053928,0.057117,0.063373,0.075647,0.099747,0.147044,0.240246", \ - "0.071776,0.074926,0.081200,0.093486,0.117624,0.165044,0.258448", \ - "0.106801,0.110559,0.117562,0.130382,0.153959,0.200879,0.293951", \ - "0.146914,0.152106,0.161633,0.179284,0.209608,0.258952,0.350802", \ - "0.189878,0.196499,0.208947,0.231524,0.270566,0.334015,0.432698", \ - "0.235691,0.243833,0.259192,0.286972,0.334968,0.413342,0.534605"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.022547,0.024473,0.028291,0.035838,0.050721,0.080293,0.138914", \ - "0.022545,0.024473,0.028283,0.035821,0.050730,0.080284,0.138943", \ - "0.022418,0.024257,0.028048,0.035678,0.050682,0.080296,0.138969", \ - "0.030032,0.031657,0.034823,0.040923,0.053013,0.080322,0.138892", \ - "0.043916,0.045773,0.049371,0.055879,0.067793,0.090358,0.140455", \ - "0.062202,0.064503,0.068965,0.076787,0.090073,0.113363,0.156743", \ - "0.084173,0.087000,0.092435,0.101923,0.117739,0.143772,0.188128"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.035653,0.038793,0.044966,0.057133,0.081200,0.128476,0.220796", \ - "0.035653,0.038795,0.044963,0.057180,0.081201,0.128488,0.220727", \ - "0.035613,0.038764,0.044933,0.057139,0.081224,0.128456,0.220743", \ - "0.046118,0.048025,0.052262,0.061456,0.082228,0.128466,0.220728", \ - "0.067533,0.070198,0.075363,0.084236,0.099550,0.134781,0.220640", \ - "0.093317,0.096632,0.103101,0.114154,0.132871,0.163725,0.230894", \ - "0.123342,0.127322,0.135148,0.148453,0.170955,0.207506,0.266302"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.046006,0.048866,0.054452,0.065321,0.086441,0.127652,0.208548", \ - "0.050618,0.053502,0.059125,0.070055,0.091243,0.132511,0.213450", \ - "0.065542,0.068416,0.074050,0.085014,0.106305,0.147704,0.228803", \ - "0.089480,0.092965,0.099526,0.111927,0.134166,0.175691,0.256975", \ - "0.113933,0.118663,0.127162,0.143092,0.170833,0.217995,0.300671", \ - "0.134272,0.140527,0.151725,0.172667,0.208354,0.266202,0.360012", \ - "0.148403,0.156443,0.170891,0.197465,0.242647,0.314528,0.425483"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.045740,0.048892,0.055098,0.067304,0.091277,0.138500,0.231593", \ - "0.050356,0.053553,0.059827,0.072145,0.096238,0.143594,0.236801", \ - "0.068296,0.071441,0.077737,0.090031,0.114228,0.161650,0.255014", \ - "0.102471,0.106368,0.113674,0.126965,0.150606,0.197542,0.290604", \ - "0.140943,0.146330,0.156224,0.174536,0.205601,0.255638,0.347511", \ - "0.182158,0.189079,0.202047,0.225337,0.265309,0.329835,0.429375", \ - "0.226166,0.234643,0.250581,0.279254,0.328458,0.408089,0.530637"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.025362,0.027621,0.032093,0.040960,0.058527,0.093394,0.162518", \ - "0.025361,0.027622,0.032100,0.040961,0.058534,0.093384,0.162524", \ - "0.025125,0.027370,0.031889,0.040850,0.058497,0.093382,0.162572", \ - "0.031294,0.033306,0.037239,0.044813,0.060054,0.093302,0.162511", \ - "0.043495,0.045645,0.049739,0.057378,0.072014,0.100478,0.163263", \ - "0.060769,0.063297,0.068212,0.076666,0.091692,0.119703,0.174906", \ - "0.081789,0.084880,0.090795,0.100930,0.117964,0.147029,0.200897"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.033930,0.037032,0.043174,0.055328,0.079313,0.126535,0.218692", \ - "0.033917,0.037029,0.043183,0.055305,0.079278,0.126521,0.218725", \ - "0.033848,0.036981,0.043157,0.055311,0.079304,0.126499,0.218659", \ - "0.045796,0.047781,0.051640,0.060415,0.080567,0.126463,0.218668", \ - "0.067127,0.069788,0.075018,0.083804,0.099028,0.133420,0.218605", \ - "0.092919,0.096285,0.102765,0.113720,0.132424,0.163268,0.229506", \ - "0.123035,0.127026,0.134836,0.148072,0.170546,0.207039,0.265616"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.038191,0.040840,0.046081,0.056449,0.076934,0.117476,0.197775", \ - "0.042706,0.045389,0.050696,0.061142,0.081717,0.122334,0.202686", \ - "0.057234,0.059997,0.065387,0.075964,0.096702,0.137495,0.217986", \ - "0.077466,0.081240,0.088278,0.101177,0.124060,0.165387,0.246139", \ - "0.095749,0.101205,0.110962,0.128432,0.157918,0.206538,0.289744", \ - "0.108354,0.115755,0.128793,0.152348,0.191342,0.252207,0.348092", \ - "0.114300,0.123798,0.140699,0.170826,0.220473,0.296983,0.411548"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.044623,0.047771,0.053989,0.066186,0.090143,0.137300,0.230328", \ - "0.049218,0.052415,0.058700,0.071011,0.095102,0.142394,0.235540", \ - "0.067173,0.070340,0.076608,0.088912,0.113070,0.160494,0.253767", \ - "0.100978,0.104960,0.112354,0.125767,0.149501,0.196375,0.289364", \ - "0.138861,0.144336,0.154354,0.172823,0.204153,0.254505,0.346269", \ - "0.179374,0.186406,0.199464,0.223047,0.263364,0.328321,0.428156", \ - "0.222630,0.231244,0.247361,0.276366,0.325966,0.406083,0.529086"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.019615,0.021864,0.026353,0.035263,0.052882,0.087775,0.156849", \ - "0.019615,0.021862,0.026353,0.035277,0.052879,0.087763,0.156870", \ - "0.020328,0.022378,0.026535,0.035268,0.052890,0.087763,0.156864", \ - "0.028015,0.029920,0.033779,0.041190,0.055790,0.087993,0.156829", \ - "0.041591,0.043709,0.047717,0.055183,0.069213,0.096989,0.158174", \ - "0.059893,0.062428,0.067323,0.075666,0.090263,0.117294,0.171382", \ - "0.081892,0.084938,0.090782,0.100799,0.117525,0.145804,0.198367"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.030305,0.033228,0.039041,0.050566,0.073369,0.118562,0.207833", \ - "0.030275,0.033214,0.039026,0.050542,0.073393,0.118534,0.207923", \ - "0.030257,0.033173,0.039013,0.050537,0.073365,0.118567,0.207881", \ - "0.041910,0.043975,0.047764,0.055817,0.074745,0.118493,0.207852", \ - "0.060420,0.063225,0.068603,0.077697,0.093276,0.125639,0.207719", \ - "0.081593,0.085315,0.092414,0.104269,0.123693,0.155118,0.218781", \ - "0.105237,0.109873,0.118802,0.133608,0.157675,0.195641,0.254896"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.034608,0.036883,0.041395,0.050309,0.067930,0.102799,0.171856", \ - "0.039174,0.041492,0.046058,0.055046,0.072740,0.107669,0.176773", \ - "0.054890,0.057283,0.061941,0.071047,0.088899,0.123989,0.193212", \ - "0.076270,0.079886,0.086448,0.098330,0.118921,0.154825,0.224293", \ - "0.094587,0.099880,0.109359,0.126249,0.154468,0.199448,0.272578", \ - "0.106748,0.113972,0.126671,0.149738,0.187839,0.246597,0.335525", \ - "0.112101,0.121378,0.137950,0.167402,0.216133,0.291064,0.401383"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.048151,0.051293,0.057479,0.069644,0.093572,0.140682,0.233700", \ - "0.052795,0.055986,0.062243,0.074519,0.098592,0.145846,0.238957", \ - "0.070670,0.073806,0.080079,0.092356,0.116479,0.163855,0.257170", \ - "0.105379,0.109212,0.116298,0.129246,0.152844,0.199699,0.292680", \ - "0.144915,0.150185,0.159837,0.177637,0.208215,0.257797,0.349556", \ - "0.187227,0.193955,0.206587,0.229288,0.268648,0.332528,0.431451", \ - "0.232331,0.240584,0.256099,0.284127,0.332538,0.411366,0.533063"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.017575,0.019505,0.023322,0.030892,0.045845,0.075432,0.133996", \ - "0.017569,0.019499,0.023334,0.030891,0.045858,0.075436,0.133993", \ - "0.018490,0.020174,0.023636,0.030932,0.045865,0.075430,0.134000", \ - "0.027660,0.029273,0.032395,0.038349,0.049837,0.075957,0.133990", \ - "0.042501,0.044397,0.047955,0.054472,0.066037,0.088010,0.136357", \ - "0.061754,0.064039,0.068509,0.076181,0.089281,0.112005,0.154514", \ - "0.084796,0.087518,0.092820,0.102092,0.117636,0.143195,0.186694"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.031939,0.034908,0.040734,0.052310,0.075211,0.120434,0.209852", \ - "0.031957,0.034907,0.040742,0.052310,0.075217,0.120422,0.209807", \ - "0.031900,0.034864,0.040729,0.052302,0.075200,0.120412,0.209841", \ - "0.042404,0.044433,0.048339,0.056774,0.076247,0.120405,0.209773", \ - "0.061123,0.063877,0.069192,0.078301,0.093807,0.126894,0.209712", \ - "0.082510,0.086137,0.093172,0.104871,0.124293,0.155673,0.220124", \ - "0.106452,0.110997,0.119745,0.134364,0.158321,0.196197,0.255540"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.037350,0.039721,0.044345,0.053324,0.070742,0.104668,0.171231", \ - "0.042316,0.044704,0.049360,0.058388,0.075860,0.109836,0.176408", \ - "0.057757,0.060117,0.064752,0.073768,0.091303,0.125385,0.192051", \ - "0.080570,0.083641,0.089374,0.100127,0.119342,0.153893,0.220769", \ - "0.103420,0.107699,0.115373,0.129521,0.154019,0.195113,0.265116", \ - "0.122093,0.127852,0.138137,0.157022,0.189134,0.240550,0.322448", \ - "0.134590,0.142024,0.155400,0.179701,0.220795,0.285543,0.383979"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.045611,0.048761,0.054968,0.067173,0.091147,0.138376,0.231503", \ - "0.050075,0.053269,0.059544,0.071842,0.095944,0.143311,0.236537", \ - "0.068086,0.071238,0.077501,0.089800,0.113928,0.161338,0.254752", \ - "0.102408,0.106314,0.113587,0.126836,0.150482,0.197316,0.290362", \ - "0.141060,0.146420,0.156363,0.174590,0.205605,0.255567,0.347351", \ - "0.182573,0.189459,0.202397,0.225646,0.265522,0.329939,0.429352", \ - "0.227045,0.235497,0.251393,0.279929,0.329005,0.408469,0.530860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.020793,0.022668,0.026377,0.033719,0.048234,0.077027,0.134224", \ - "0.020783,0.022663,0.026373,0.033706,0.048224,0.077018,0.134173", \ - "0.020979,0.022724,0.026261,0.033532,0.048169,0.077022,0.134157", \ - "0.027424,0.029116,0.032402,0.038776,0.051017,0.077433,0.134140", \ - "0.039205,0.041013,0.044537,0.051001,0.063239,0.086983,0.136552", \ - "0.055667,0.057860,0.062099,0.069428,0.082186,0.105691,0.151583", \ - "0.075882,0.078594,0.083750,0.092644,0.107316,0.131942,0.177053"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.033931,0.037041,0.043176,0.055314,0.079305,0.126536,0.218749", \ - "0.033915,0.037029,0.043184,0.055341,0.079285,0.126546,0.218779", \ - "0.033873,0.036971,0.043151,0.055305,0.079288,0.126493,0.218743", \ - "0.045835,0.047816,0.051662,0.060402,0.080623,0.126445,0.218699", \ - "0.067056,0.069724,0.074913,0.083776,0.099028,0.133444,0.218613", \ - "0.092658,0.095975,0.102434,0.113530,0.132289,0.163205,0.229513", \ - "0.122400,0.126381,0.134180,0.147636,0.170169,0.206811,0.265538"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.031381,0.033560,0.037868,0.046385,0.063227,0.096549,0.162551", \ - "0.036263,0.038476,0.042838,0.051420,0.068331,0.101711,0.167754", \ - "0.051054,0.053461,0.057959,0.066659,0.083712,0.117231,0.183390", \ - "0.070248,0.073599,0.079802,0.091053,0.110856,0.145625,0.212049", \ - "0.087311,0.092196,0.101006,0.116694,0.142881,0.185401,0.256301", \ - "0.098621,0.105452,0.117386,0.138919,0.174088,0.228348,0.312246", \ - "0.103176,0.112028,0.127783,0.155397,0.200880,0.269986,0.371850"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.044496,0.047646,0.053850,0.066048,0.090013,0.137199,0.230203", \ - "0.048942,0.052141,0.058418,0.070722,0.094810,0.142100,0.235216", \ - "0.066971,0.070132,0.076379,0.088665,0.112792,0.160162,0.253433", \ - "0.100915,0.104897,0.112263,0.125664,0.149330,0.196150,0.289080", \ - "0.138978,0.144419,0.154484,0.172887,0.204157,0.254427,0.346109", \ - "0.179791,0.186804,0.199840,0.223360,0.263581,0.328420,0.428124", \ - "0.223539,0.232114,0.248169,0.277059,0.326526,0.406464,0.529293"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.016025,0.017884,0.021595,0.028949,0.043521,0.072391,0.129539", \ - "0.016022,0.017881,0.021590,0.028958,0.043517,0.072389,0.129534", \ - "0.017308,0.018914,0.022225,0.029068,0.043513,0.072383,0.129540", \ - "0.024835,0.026431,0.029632,0.035815,0.047879,0.073380,0.129525", \ - "0.037811,0.039630,0.043135,0.049345,0.061153,0.084281,0.132752", \ - "0.055347,0.057537,0.061788,0.068945,0.081297,0.103962,0.148964", \ - "0.076600,0.079260,0.084347,0.093061,0.107363,0.131251,0.175190"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.030292,0.033235,0.039029,0.050577,0.073366,0.118576,0.207870", \ - "0.030280,0.033227,0.039042,0.050568,0.073374,0.118555,0.207888", \ - "0.030284,0.033170,0.038999,0.050555,0.073363,0.118535,0.207831", \ - "0.041949,0.044009,0.047795,0.055863,0.074710,0.118505,0.207830", \ - "0.060370,0.063141,0.068526,0.077672,0.093273,0.125658,0.207726", \ - "0.081353,0.085033,0.092098,0.104039,0.123560,0.155050,0.218760", \ - "0.104713,0.109364,0.118228,0.133194,0.157341,0.195422,0.254821"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.027758,0.029557,0.033122,0.040166,0.054091,0.081651,0.136233", \ - "0.032732,0.034561,0.038169,0.045269,0.059252,0.086862,0.141475", \ - "0.048795,0.050861,0.054753,0.061981,0.076094,0.103833,0.158550", \ - "0.068963,0.072123,0.077915,0.088287,0.105974,0.135707,0.190693", \ - "0.085942,0.090664,0.099229,0.114359,0.139314,0.178518,0.240340", \ - "0.096800,0.103414,0.115145,0.136045,0.170342,0.222600,0.300075", \ - "0.100723,0.109355,0.124843,0.151661,0.196261,0.263777,0.361565"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.048028,0.051173,0.057357,0.069519,0.093446,0.140554,0.233563", \ - "0.052499,0.055681,0.061946,0.074223,0.098293,0.145554,0.238703", \ - "0.070454,0.073586,0.079844,0.092092,0.116180,0.163546,0.256825", \ - "0.105331,0.109137,0.116199,0.129157,0.152682,0.199499,0.292379", \ - "0.145020,0.150280,0.159956,0.177739,0.208220,0.257731,0.349396", \ - "0.187628,0.194339,0.206931,0.229594,0.268861,0.332643,0.431455", \ - "0.233212,0.241429,0.256887,0.284866,0.333120,0.411746,0.533286"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.013757,0.015291,0.018328,0.024344,0.036217,0.059723,0.106280", \ - "0.013761,0.015291,0.018323,0.024328,0.036215,0.059734,0.106278", \ - "0.015483,0.016711,0.019253,0.024645,0.036221,0.059716,0.106283", \ - "0.024436,0.025744,0.028302,0.033138,0.042321,0.061514,0.106260", \ - "0.038476,0.040088,0.043103,0.048564,0.058141,0.075815,0.111711", \ - "0.056858,0.058829,0.062622,0.069188,0.080163,0.098913,0.133124", \ - "0.079099,0.081454,0.085984,0.094027,0.107166,0.128501,0.164184"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.031960,0.034923,0.040755,0.052315,0.075211,0.120435,0.209823", \ - "0.031948,0.034892,0.040749,0.052308,0.075218,0.120424,0.209860", \ - "0.031902,0.034864,0.040738,0.052302,0.075204,0.120426,0.209842", \ - "0.042451,0.044477,0.048322,0.056806,0.076332,0.120432,0.209760", \ - "0.061050,0.063822,0.069094,0.078242,0.093808,0.126917,0.209716", \ - "0.082284,0.085917,0.092825,0.104700,0.124164,0.155629,0.220124", \ - "0.105946,0.110502,0.119199,0.133955,0.157967,0.195952,0.255425"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.050204,0.053061,0.058643,0.069510,0.090626,0.131821,0.212703", \ - "0.055753,0.058636,0.064267,0.075192,0.096377,0.137638,0.218564", \ - "0.069994,0.072901,0.078562,0.089568,0.110877,0.152268,0.233356", \ - "0.090379,0.093646,0.099835,0.111669,0.133687,0.175165,0.256369", \ - "0.112311,0.116244,0.123576,0.137497,0.162674,0.208026,0.290559", \ - "0.131912,0.137047,0.146260,0.163480,0.193782,0.245702,0.336039", \ - "0.145305,0.152044,0.163944,0.185965,0.223582,0.285075,0.386279"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.130143,0.137535,0.152237,0.180845,0.236902,0.346199,0.561187", \ - "0.132107,0.139534,0.154277,0.183019,0.239085,0.348625,0.563677", \ - "0.145258,0.152717,0.167472,0.196343,0.252681,0.362534,0.577880", \ - "0.176759,0.184078,0.198396,0.226815,0.282760,0.392378,0.607549", \ - "0.229810,0.237351,0.251395,0.278915,0.333644,0.442007,0.656114", \ - "0.292071,0.301370,0.319017,0.351450,0.409130,0.515239,0.727207", \ - "0.358656,0.369746,0.390830,0.429697,0.498505,0.614931,0.823844"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.025370,0.027631,0.032100,0.040958,0.058530,0.093400,0.162522", \ - "0.025384,0.027645,0.032105,0.040963,0.058535,0.093381,0.162535", \ - "0.025213,0.027500,0.032004,0.040911,0.058524,0.093390,0.162578", \ - "0.028754,0.030921,0.035181,0.043397,0.059590,0.093325,0.162481", \ - "0.035841,0.038065,0.042439,0.050901,0.067218,0.098779,0.163403", \ - "0.048372,0.050615,0.055067,0.063465,0.079607,0.111045,0.172648", \ - "0.066648,0.069151,0.074016,0.082696,0.098462,0.128950,0.189842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.097509,0.104653,0.118860,0.146511,0.200127,0.302321,0.499366", \ - "0.097500,0.104620,0.118837,0.146538,0.199979,0.302321,0.499363", \ - "0.097525,0.104656,0.118797,0.146480,0.199905,0.302355,0.499350", \ - "0.097404,0.104599,0.118764,0.146308,0.199880,0.302328,0.499389", \ - "0.106444,0.112317,0.124295,0.148626,0.199655,0.302330,0.499358", \ - "0.135277,0.140910,0.151787,0.171110,0.212395,0.303509,0.499348", \ - "0.169119,0.175479,0.187735,0.209802,0.248723,0.323993,0.500130"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.042380,0.045023,0.050272,0.060638,0.081122,0.121648,0.201923", \ - "0.047833,0.050515,0.055825,0.066277,0.086849,0.127462,0.207786", \ - "0.061684,0.064457,0.069891,0.080500,0.101266,0.142052,0.222526", \ - "0.079946,0.083273,0.089544,0.101471,0.123697,0.164870,0.245545", \ - "0.098265,0.102553,0.110431,0.124879,0.150857,0.196878,0.279667", \ - "0.111890,0.117812,0.128303,0.147270,0.179349,0.232895,0.324315", \ - "0.117225,0.125222,0.139362,0.164242,0.205421,0.269949,0.373229"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.127279,0.134681,0.149253,0.177867,0.233687,0.343038,0.557580", \ - "0.129240,0.136691,0.151331,0.180038,0.236050,0.345465,0.560101", \ - "0.142379,0.149866,0.164561,0.193367,0.249583,0.359369,0.574308", \ - "0.173926,0.181261,0.195535,0.223906,0.279752,0.389212,0.603981", \ - "0.226837,0.234457,0.248672,0.276110,0.330743,0.438883,0.652505", \ - "0.288257,0.297664,0.315457,0.348137,0.406205,0.512177,0.723636", \ - "0.353936,0.365150,0.386375,0.425571,0.494854,0.611762,0.820386"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.019618,0.021862,0.026351,0.035271,0.052890,0.087761,0.156843", \ - "0.019612,0.021860,0.026362,0.035256,0.052883,0.087786,0.156840", \ - "0.019777,0.021956,0.026380,0.035253,0.052883,0.087758,0.156848", \ - "0.024065,0.026216,0.030486,0.038792,0.054759,0.087957,0.156820", \ - "0.032311,0.034448,0.038686,0.046935,0.063027,0.094475,0.158216", \ - "0.046508,0.048725,0.053100,0.061049,0.076450,0.107202,0.168408", \ - "0.066425,0.068913,0.073714,0.082056,0.097036,0.126145,0.185979"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.080710,0.087267,0.100473,0.126164,0.176568,0.275654,0.470797", \ - "0.080711,0.087265,0.100471,0.126148,0.176557,0.275655,0.470805", \ - "0.080697,0.087260,0.100476,0.126113,0.176484,0.275680,0.470816", \ - "0.080594,0.087233,0.100454,0.125986,0.176434,0.275646,0.470800", \ - "0.090306,0.095481,0.106322,0.128539,0.176273,0.275628,0.470794", \ - "0.114154,0.119986,0.131261,0.151021,0.189183,0.276830,0.470786", \ - "0.140377,0.147309,0.160674,0.184027,0.224180,0.297465,0.471679"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.038834,0.041116,0.045625,0.054538,0.072155,0.107014,0.176056", \ - "0.044296,0.046616,0.051180,0.060167,0.077861,0.112787,0.181872", \ - "0.058555,0.060946,0.065620,0.074741,0.092611,0.127692,0.196900", \ - "0.077643,0.080653,0.086295,0.096946,0.116524,0.152290,0.221671", \ - "0.096720,0.100771,0.108218,0.121665,0.145409,0.186686,0.259245", \ - "0.110579,0.116292,0.126547,0.144719,0.175336,0.224909,0.307092", \ - "0.115934,0.123684,0.137501,0.161724,0.201898,0.263632,0.359046"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.136731,0.144062,0.158522,0.186894,0.242493,0.351527,0.565761", \ - "0.138737,0.146107,0.160602,0.189145,0.244884,0.354056,0.568395", \ - "0.151886,0.159319,0.173861,0.202433,0.258447,0.367955,0.582643", \ - "0.183391,0.190670,0.204769,0.232985,0.288595,0.397792,0.612261", \ - "0.236615,0.243946,0.257709,0.285106,0.339551,0.447513,0.660779", \ - "0.300264,0.309322,0.326525,0.358335,0.414939,0.520827,0.732021", \ - "0.368220,0.379023,0.399584,0.437631,0.505383,0.620552,0.828867"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.017569,0.019504,0.023327,0.030891,0.045846,0.075422,0.133989", \ - "0.017571,0.019502,0.023326,0.030895,0.045872,0.075431,0.133994", \ - "0.017901,0.019719,0.023392,0.030905,0.045844,0.075435,0.133983", \ - "0.022690,0.024520,0.028125,0.035081,0.048417,0.075930,0.133969", \ - "0.032011,0.033841,0.037448,0.044404,0.057727,0.083866,0.136316", \ - "0.047372,0.049313,0.053028,0.059959,0.072816,0.098151,0.148790", \ - "0.068325,0.070517,0.074750,0.082245,0.095185,0.119369,0.168403"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.084915,0.091538,0.104860,0.130688,0.181259,0.280693,0.476058", \ - "0.084915,0.091540,0.104830,0.130768,0.181290,0.280697,0.476054", \ - "0.084912,0.091529,0.104844,0.130655,0.181190,0.280639,0.476061", \ - "0.084904,0.091536,0.104823,0.130567,0.181138,0.280681,0.476052", \ - "0.092794,0.098254,0.109462,0.132316,0.181057,0.280666,0.476051", \ - "0.116861,0.122601,0.133713,0.153221,0.192477,0.281533,0.476053", \ - "0.143697,0.150495,0.163572,0.186728,0.226667,0.300882,0.476730"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.058748,0.061679,0.067407,0.078539,0.100034,0.141737,0.223179", \ - "0.063664,0.066617,0.072339,0.083471,0.104983,0.146686,0.228122", \ - "0.077732,0.080679,0.086428,0.097578,0.119135,0.160877,0.242368", \ - "0.099331,0.102507,0.108546,0.120207,0.142070,0.183892,0.265425", \ - "0.123832,0.127575,0.134652,0.148014,0.172560,0.217367,0.299781", \ - "0.147638,0.152273,0.160719,0.176855,0.205916,0.256570,0.345905", \ - "0.166804,0.172775,0.183486,0.203489,0.238658,0.297925,0.397435"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.143516,0.150913,0.165654,0.194206,0.250161,0.359539,0.574484", \ - "0.147810,0.155244,0.169927,0.198588,0.254566,0.363973,0.578990", \ - "0.165406,0.172873,0.187618,0.216401,0.272445,0.382091,0.597213", \ - "0.195446,0.202899,0.217538,0.246184,0.302235,0.412026,0.627315", \ - "0.240265,0.247893,0.262699,0.291249,0.347113,0.456842,0.672060", \ - "0.293761,0.302532,0.319528,0.351479,0.410332,0.519852,0.735159", \ - "0.354090,0.364109,0.383424,0.419446,0.485339,0.603041,0.818434"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.030651,0.032932,0.037445,0.046338,0.063994,0.098965,0.168288", \ - "0.030658,0.032951,0.037450,0.046356,0.064009,0.098963,0.168272", \ - "0.030567,0.032863,0.037400,0.046342,0.063990,0.098968,0.168287", \ - "0.033417,0.035608,0.039863,0.048122,0.064803,0.099002,0.168252", \ - "0.039887,0.042175,0.046652,0.055342,0.071739,0.103524,0.169021", \ - "0.051088,0.053410,0.058065,0.066750,0.083501,0.115392,0.177402", \ - "0.068089,0.070605,0.075636,0.084553,0.100952,0.132560,0.194245"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.097464,0.104626,0.118901,0.146535,0.199901,0.302349,0.499370", \ - "0.097542,0.104680,0.118763,0.146578,0.200042,0.302350,0.499364", \ - "0.097471,0.104682,0.118785,0.146588,0.199911,0.302331,0.499348", \ - "0.097454,0.104628,0.118737,0.146353,0.199905,0.302351,0.499362", \ - "0.101929,0.108411,0.121442,0.147537,0.199812,0.302335,0.499370", \ - "0.119709,0.125850,0.138059,0.161013,0.207184,0.303238,0.499368", \ - "0.142017,0.148389,0.161164,0.185067,0.229684,0.315985,0.500288"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.049803,0.052649,0.058215,0.069070,0.090184,0.131396,0.212347", \ - "0.054717,0.057565,0.063143,0.074006,0.095125,0.136347,0.217297", \ - "0.068704,0.071581,0.077184,0.088083,0.109260,0.150532,0.231513", \ - "0.088877,0.092131,0.098250,0.110017,0.132014,0.173477,0.254553", \ - "0.110719,0.114666,0.122006,0.135807,0.160997,0.206291,0.288843", \ - "0.129949,0.135157,0.144446,0.161733,0.192064,0.243987,0.334279", \ - "0.142708,0.149520,0.161591,0.183820,0.221676,0.283294,0.384533"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.140673,0.148074,0.162630,0.191230,0.247042,0.356402,0.570924", \ - "0.144945,0.152392,0.167000,0.195569,0.251434,0.360834,0.575379", \ - "0.162550,0.170016,0.184667,0.213333,0.269399,0.378894,0.593643", \ - "0.192591,0.200038,0.214602,0.243222,0.299216,0.408879,0.623716", \ - "0.237284,0.245000,0.259866,0.288402,0.344171,0.453650,0.668493", \ - "0.290304,0.299146,0.316153,0.348238,0.407322,0.516772,0.731586", \ - "0.350055,0.360162,0.379443,0.415699,0.481859,0.599793,0.814866"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.025418,0.027682,0.032155,0.041016,0.058609,0.093500,0.162710", \ - "0.025404,0.027665,0.032147,0.041015,0.058598,0.093498,0.162690", \ - "0.025468,0.027705,0.032159,0.041026,0.058609,0.093498,0.162680", \ - "0.029058,0.031221,0.035483,0.043736,0.059993,0.093622,0.162668", \ - "0.036248,0.038474,0.042841,0.051316,0.067577,0.099150,0.163794", \ - "0.048880,0.051133,0.055599,0.063962,0.080053,0.111454,0.173050", \ - "0.067133,0.069664,0.074639,0.083272,0.098996,0.129452,0.190311"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.080708,0.087267,0.100515,0.126151,0.176553,0.275654,0.470808", \ - "0.080695,0.087266,0.100569,0.126126,0.176517,0.275653,0.470799", \ - "0.080707,0.087264,0.100567,0.126097,0.176523,0.275649,0.470792", \ - "0.080669,0.087249,0.100526,0.126018,0.176449,0.275646,0.470799", \ - "0.085433,0.091324,0.103285,0.127248,0.176274,0.275660,0.470804", \ - "0.099993,0.106062,0.118249,0.140618,0.183883,0.276506,0.470800", \ - "0.117423,0.123947,0.137111,0.160940,0.205126,0.289218,0.471774"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.044884,0.047342,0.052153,0.061521,0.079719,0.115221,0.184872", \ - "0.049876,0.052341,0.057160,0.066529,0.084740,0.120240,0.189909", \ - "0.064252,0.066734,0.071570,0.080979,0.099235,0.134785,0.204496", \ - "0.085458,0.088364,0.093816,0.104272,0.123597,0.159469,0.229255", \ - "0.108323,0.112015,0.118867,0.131514,0.154495,0.194892,0.266973", \ - "0.128054,0.132996,0.141996,0.158522,0.187067,0.234907,0.315807", \ - "0.140693,0.147327,0.159222,0.180854,0.217533,0.276046,0.369184"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.150138,0.157460,0.171898,0.200254,0.255855,0.364833,0.579111", \ - "0.154489,0.161851,0.176323,0.204726,0.260360,0.369398,0.583718", \ - "0.172088,0.179476,0.193981,0.222491,0.278278,0.387546,0.602004", \ - "0.202115,0.209480,0.223903,0.252350,0.308073,0.417381,0.632019", \ - "0.247131,0.254576,0.269143,0.297495,0.353013,0.462225,0.676738", \ - "0.301556,0.310151,0.326752,0.358246,0.416322,0.525356,0.739777", \ - "0.362951,0.372738,0.391577,0.427012,0.491980,0.608672,0.823178"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.022596,0.024515,0.028323,0.035847,0.050751,0.080297,0.138924", \ - "0.022580,0.024498,0.028300,0.035840,0.050736,0.080282,0.138941", \ - "0.022714,0.024587,0.028330,0.035854,0.050737,0.080287,0.138952", \ - "0.026886,0.028705,0.032291,0.039205,0.052679,0.080658,0.138896", \ - "0.035184,0.037039,0.040722,0.047802,0.061386,0.087660,0.140869", \ - "0.049169,0.051127,0.054938,0.062046,0.075440,0.101481,0.152537", \ - "0.068549,0.070781,0.075095,0.082881,0.096367,0.121681,0.171765"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.084913,0.091544,0.104874,0.130711,0.181250,0.280660,0.476061", \ - "0.084910,0.091532,0.104867,0.130736,0.181267,0.280650,0.476060", \ - "0.084910,0.091529,0.104874,0.130703,0.181256,0.280633,0.476051", \ - "0.084903,0.091538,0.104865,0.130553,0.181159,0.280660,0.476050", \ - "0.088820,0.094874,0.107068,0.131452,0.181051,0.280674,0.476051", \ - "0.103320,0.109395,0.121467,0.143875,0.187853,0.281317,0.476052", \ - "0.120906,0.127427,0.140450,0.164460,0.208723,0.293340,0.476846"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.036338,0.039207,0.044809,0.055692,0.076834,0.118075,0.199009", \ - "0.040679,0.043573,0.049229,0.060184,0.081406,0.122713,0.203748", \ - "0.056110,0.058844,0.064366,0.075265,0.096509,0.137868,0.218953", \ - "0.079468,0.083310,0.090492,0.103733,0.126214,0.167001,0.247783", \ - "0.098157,0.103552,0.113244,0.131605,0.162597,0.212445,0.293765", \ - "0.110254,0.117311,0.130232,0.153889,0.194089,0.258603,0.357700", \ - "0.114785,0.123546,0.139747,0.169109,0.219119,0.299364,0.421913"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.078226,0.085449,0.099689,0.127454,0.182294,0.290195,0.503597", \ - "0.079900,0.087293,0.101841,0.130150,0.185540,0.294067,0.507890", \ - "0.093036,0.100241,0.114548,0.142777,0.198375,0.307530,0.522071", \ - "0.126234,0.133045,0.146481,0.173755,0.228252,0.336654,0.550976", \ - "0.167967,0.177352,0.194811,0.226018,0.279844,0.386115,0.598881", \ - "0.213703,0.225304,0.246970,0.285571,0.351391,0.459793,0.669728", \ - "0.263993,0.277727,0.303495,0.349418,0.428053,0.556067,0.766563"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.025386,0.027650,0.032120,0.040996,0.058584,0.093482,0.162670", \ - "0.025414,0.027674,0.032146,0.041009,0.058583,0.093469,0.162754", \ - "0.025133,0.027238,0.031565,0.040699,0.058538,0.093465,0.162714", \ - "0.036935,0.038835,0.042322,0.048800,0.061750,0.093418,0.162637", \ - "0.054663,0.057130,0.061910,0.069694,0.083287,0.107171,0.164011", \ - "0.076443,0.079615,0.085699,0.095774,0.112472,0.139702,0.186027", \ - "0.102119,0.106085,0.113563,0.126080,0.146618,0.179053,0.230239"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.067963,0.075098,0.089138,0.116468,0.169858,0.271828,0.467726", \ - "0.067963,0.075126,0.089107,0.116492,0.169810,0.271765,0.467730", \ - "0.067925,0.075069,0.089043,0.116462,0.169738,0.271815,0.467700", \ - "0.073878,0.079384,0.091149,0.116315,0.169767,0.271815,0.467702", \ - "0.099477,0.104186,0.112812,0.130485,0.173445,0.271761,0.467738", \ - "0.130732,0.135910,0.146119,0.164731,0.198483,0.278506,0.467746", \ - "0.167701,0.173084,0.184039,0.204490,0.241886,0.309132,0.471685"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.028473,0.031134,0.036390,0.046760,0.067248,0.107780,0.188044", \ - "0.032705,0.035401,0.040729,0.051201,0.071794,0.112412,0.192727", \ - "0.047713,0.050560,0.055819,0.066217,0.086852,0.127544,0.207941", \ - "0.064883,0.069368,0.077559,0.092065,0.116137,0.156721,0.236831", \ - "0.076453,0.082752,0.094204,0.114518,0.148117,0.200654,0.282923", \ - "0.081136,0.089373,0.104273,0.130796,0.174613,0.242976,0.345482", \ - "0.078096,0.088311,0.106954,0.139867,0.194399,0.279556,0.406629"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.075640,0.082847,0.097071,0.124838,0.179495,0.287222,0.500129", \ - "0.077281,0.084659,0.099236,0.127481,0.182774,0.291025,0.504422", \ - "0.090463,0.097630,0.111955,0.140109,0.195603,0.304539,0.518611", \ - "0.123492,0.130606,0.143973,0.171153,0.225479,0.333584,0.547500", \ - "0.164270,0.173826,0.191352,0.223062,0.277174,0.383159,0.595425", \ - "0.208984,0.220779,0.242687,0.281710,0.348124,0.456910,0.666299", \ - "0.258221,0.272171,0.298152,0.344665,0.424015,0.552700,0.763185"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.019583,0.021850,0.026344,0.035264,0.052893,0.087755,0.156855", \ - "0.019561,0.021843,0.026354,0.035265,0.052880,0.087767,0.156838", \ - "0.021506,0.023209,0.026920,0.035123,0.052878,0.087753,0.156841", \ - "0.035189,0.037084,0.040488,0.046858,0.058870,0.088209,0.156820", \ - "0.053600,0.056080,0.060868,0.068620,0.082041,0.105345,0.159325", \ - "0.076001,0.079172,0.085283,0.095275,0.111815,0.138702,0.184076", \ - "0.102332,0.106279,0.113708,0.126138,0.146401,0.178506,0.229146"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.055210,0.061598,0.074363,0.099233,0.148669,0.246418,0.439650", \ - "0.055215,0.061600,0.074375,0.099272,0.148716,0.246367,0.439651", \ - "0.055165,0.061579,0.074259,0.099163,0.148596,0.246404,0.439646", \ - "0.061702,0.066416,0.076609,0.099176,0.148531,0.246362,0.439654", \ - "0.082806,0.087783,0.097449,0.113631,0.152549,0.246303,0.439655", \ - "0.106309,0.112228,0.123667,0.143513,0.177597,0.253206,0.439655", \ - "0.132778,0.139688,0.153035,0.176215,0.216208,0.283945,0.443751"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.024521,0.026706,0.031023,0.039554,0.056391,0.089708,0.155675", \ - "0.028930,0.031140,0.035522,0.044124,0.061046,0.094438,0.160451", \ - "0.044136,0.046700,0.051472,0.060015,0.076935,0.110355,0.176411", \ - "0.059920,0.064056,0.071557,0.084799,0.106547,0.141240,0.206944", \ - "0.070119,0.076012,0.086607,0.105412,0.136219,0.183913,0.255660", \ - "0.073350,0.081113,0.095123,0.119822,0.160477,0.223226,0.316120", \ - "0.068643,0.078330,0.095960,0.126883,0.177852,0.256704,0.373012"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.075637,0.082826,0.097038,0.124820,0.179496,0.287228,0.500157", \ - "0.077009,0.084380,0.098928,0.127234,0.182520,0.290828,0.504258", \ - "0.090150,0.097289,0.111581,0.139696,0.195137,0.304059,0.518196", \ - "0.123402,0.130523,0.143865,0.170946,0.225174,0.333167,0.547043", \ - "0.164405,0.173945,0.191498,0.223089,0.277167,0.382993,0.595115", \ - "0.209474,0.221243,0.243076,0.282133,0.348372,0.457035,0.666374", \ - "0.259226,0.273127,0.299026,0.345430,0.424645,0.553154,0.763472"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.016005,0.017877,0.021601,0.028955,0.043519,0.072374,0.129528", \ - "0.016003,0.017872,0.021590,0.028949,0.043522,0.072386,0.129543", \ - "0.019430,0.020614,0.023283,0.029418,0.043506,0.072383,0.129525", \ - "0.032775,0.034430,0.037441,0.042838,0.052533,0.074366,0.129512", \ - "0.050714,0.052909,0.057172,0.064068,0.075733,0.095429,0.135625", \ - "0.072684,0.075544,0.080966,0.090042,0.104674,0.128102,0.166254", \ - "0.098631,0.102235,0.108942,0.120227,0.138442,0.166778,0.210688"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.055217,0.061566,0.074348,0.099224,0.148687,0.246417,0.439681", \ - "0.055219,0.061566,0.074345,0.099308,0.148666,0.246399,0.439671", \ - "0.055164,0.061545,0.074266,0.099239,0.148604,0.246412,0.439684", \ - "0.061741,0.066434,0.076666,0.099131,0.148536,0.246373,0.439674", \ - "0.082683,0.087669,0.097363,0.113609,0.152537,0.246316,0.439680", \ - "0.106035,0.111933,0.123366,0.143310,0.177483,0.253194,0.439812", \ - "0.132225,0.139136,0.152430,0.175807,0.215882,0.283760,0.443742"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.044944,0.047872,0.053606,0.064709,0.086202,0.127881,0.209290", \ - "0.048664,0.051606,0.057343,0.068458,0.089968,0.131668,0.213079", \ - "0.063324,0.066227,0.071978,0.083134,0.104663,0.146368,0.227809", \ - "0.090179,0.093671,0.100241,0.112593,0.134110,0.175472,0.256628", \ - "0.114440,0.119268,0.127973,0.144841,0.173980,0.221795,0.302627", \ - "0.132727,0.139036,0.150620,0.172318,0.209852,0.271255,0.367500", \ - "0.144000,0.151817,0.166347,0.193199,0.239756,0.315950,0.434547"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.092346,0.099485,0.113575,0.141181,0.195837,0.303681,0.517010", \ - "0.096717,0.104004,0.118348,0.146325,0.201396,0.309692,0.523313", \ - "0.113097,0.120338,0.134698,0.162871,0.218341,0.327231,0.541480", \ - "0.142797,0.149870,0.163892,0.191744,0.246927,0.355934,0.570607", \ - "0.180834,0.189426,0.205712,0.235903,0.291233,0.399780,0.614341", \ - "0.223290,0.233517,0.252769,0.288144,0.351264,0.462595,0.676749", \ - "0.271193,0.283245,0.305830,0.346618,0.418515,0.542557,0.759698"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.030690,0.032964,0.037454,0.046354,0.064003,0.098964,0.168279", \ - "0.030726,0.032993,0.037470,0.046363,0.064017,0.098977,0.168278", \ - "0.030170,0.032479,0.037143,0.046381,0.064010,0.098979,0.168316", \ - "0.039705,0.041555,0.045108,0.051544,0.065854,0.098872,0.168261", \ - "0.057269,0.059668,0.064347,0.072050,0.085609,0.110141,0.169107", \ - "0.078760,0.081882,0.087824,0.097784,0.114492,0.141793,0.188986", \ - "0.103619,0.107560,0.115010,0.127545,0.148198,0.180814,0.232278"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.067962,0.075088,0.089149,0.116431,0.169813,0.271837,0.467732", \ - "0.067968,0.075129,0.089144,0.116470,0.169793,0.271774,0.467699", \ - "0.067987,0.075113,0.089120,0.116479,0.169766,0.271786,0.467756", \ - "0.069714,0.076207,0.089355,0.116435,0.169753,0.271824,0.467734", \ - "0.084720,0.090462,0.101163,0.123301,0.171565,0.271770,0.467735", \ - "0.105789,0.111460,0.122844,0.144653,0.186331,0.275899,0.467695", \ - "0.133015,0.138359,0.149513,0.171136,0.213488,0.294603,0.470802"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.035930,0.038774,0.044354,0.055198,0.076282,0.117444,0.198249", \ - "0.039616,0.042468,0.048066,0.058938,0.080045,0.121209,0.202041", \ - "0.054476,0.057180,0.062724,0.073617,0.094743,0.135910,0.216759", \ - "0.077203,0.081094,0.088366,0.101721,0.124361,0.165076,0.245611", \ - "0.095402,0.100863,0.110629,0.129161,0.160368,0.210402,0.291738", \ - "0.107281,0.114413,0.127415,0.151263,0.191648,0.256338,0.355574", \ - "0.112036,0.120849,0.137115,0.166594,0.216720,0.297051,0.419658"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.089759,0.096884,0.110930,0.138555,0.193070,0.300694,0.513532", \ - "0.094102,0.101363,0.115669,0.143683,0.198638,0.306648,0.519857", \ - "0.110479,0.117720,0.132031,0.160175,0.215533,0.324178,0.538036", \ - "0.140242,0.147350,0.161291,0.189090,0.244155,0.352913,0.567110", \ - "0.177644,0.186315,0.202697,0.233103,0.288511,0.396796,0.610874", \ - "0.219367,0.229684,0.249140,0.284787,0.348198,0.459672,0.673335", \ - "0.266416,0.278625,0.301317,0.342604,0.414914,0.539285,0.756301"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.025633,0.027836,0.032242,0.041028,0.058556,0.093419,0.162506", \ - "0.025614,0.027831,0.032241,0.041027,0.058553,0.093375,0.162508", \ - "0.025748,0.027800,0.032019,0.040905,0.058608,0.093380,0.162526", \ - "0.037980,0.039828,0.043314,0.049631,0.062431,0.093578,0.162492", \ - "0.055951,0.058392,0.063172,0.070816,0.084315,0.107960,0.164211", \ - "0.077485,0.080668,0.086846,0.096939,0.113583,0.140675,0.186730", \ - "0.102240,0.106293,0.113939,0.126692,0.147465,0.179990,0.231059"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.055206,0.061604,0.074351,0.099237,0.148653,0.246405,0.439650", \ - "0.055207,0.061609,0.074356,0.099225,0.148649,0.246362,0.439647", \ - "0.055208,0.061604,0.074320,0.099202,0.148645,0.246365,0.439656", \ - "0.057211,0.062951,0.074626,0.099161,0.148546,0.246407,0.439654", \ - "0.069685,0.075274,0.086115,0.106235,0.150352,0.246306,0.439656", \ - "0.085993,0.091799,0.103472,0.124753,0.165208,0.250384,0.439642", \ - "0.106616,0.112605,0.124835,0.146851,0.189181,0.269122,0.442842"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.030159,0.032532,0.037157,0.046131,0.063520,0.097399,0.163880", \ - "0.034140,0.036515,0.041144,0.050133,0.067542,0.101435,0.167921", \ - "0.050027,0.052368,0.056830,0.065748,0.083127,0.117007,0.183460", \ - "0.070988,0.074569,0.081243,0.093361,0.113769,0.147848,0.213964", \ - "0.087463,0.092563,0.101614,0.118710,0.147237,0.192571,0.262668", \ - "0.097584,0.104279,0.116487,0.138484,0.175898,0.235250,0.325074", \ - "0.100419,0.108745,0.124058,0.151660,0.198371,0.272621,0.384727"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.089749,0.096851,0.110946,0.138557,0.193068,0.300694,0.513590", \ - "0.093868,0.101126,0.115432,0.143453,0.198433,0.306484,0.519702", \ - "0.110224,0.117426,0.131695,0.159800,0.215133,0.323776,0.537673", \ - "0.140138,0.147233,0.161140,0.188872,0.243879,0.352517,0.566680", \ - "0.177591,0.186251,0.202665,0.233001,0.288356,0.396540,0.610519", \ - "0.219411,0.229714,0.249155,0.284776,0.348169,0.459554,0.673098", \ - "0.266760,0.278933,0.301639,0.342822,0.415034,0.539374,0.756231"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.021091,0.022928,0.026573,0.033832,0.048273,0.077011,0.134182", \ - "0.021032,0.022879,0.026534,0.033821,0.048268,0.077015,0.134176", \ - "0.022260,0.023780,0.026959,0.033728,0.048289,0.077025,0.134153", \ - "0.035124,0.036743,0.039705,0.045031,0.054887,0.078190,0.134163", \ - "0.052602,0.054750,0.059000,0.065847,0.077497,0.097277,0.139107", \ - "0.073635,0.076525,0.082060,0.091190,0.105988,0.129609,0.167941", \ - "0.097937,0.101656,0.108673,0.120301,0.138934,0.167772,0.212083"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.055210,0.061569,0.074343,0.099254,0.148655,0.246411,0.439673", \ - "0.055210,0.061572,0.074337,0.099240,0.148666,0.246408,0.439671", \ - "0.055209,0.061571,0.074328,0.099219,0.148658,0.246380,0.439683", \ - "0.057231,0.062949,0.074632,0.099152,0.148556,0.246414,0.439685", \ - "0.069720,0.075279,0.086123,0.106240,0.150376,0.246317,0.439680", \ - "0.085993,0.091713,0.103333,0.124717,0.165198,0.250411,0.439673", \ - "0.106494,0.112437,0.124606,0.146812,0.189156,0.269202,0.442873"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.137666,1.143893,1.146894,1.174206,1.194355,1.235404,1.269321", \ - "1.113972,1.120483,1.136059,1.154411,1.180784,1.226505,1.269654", \ - "1.078660,1.088077,1.096948,1.127373,1.165213,1.207637,1.256887", \ - "1.049915,1.060153,1.071038,1.106469,1.134184,1.186400,1.237726", \ - "1.108750,1.109256,1.104348,1.113616,1.129320,1.173740,1.219399", \ - "1.218432,1.214745,1.214316,1.206831,1.200104,1.191328,1.228382", \ - "1.397892,1.391250,1.384614,1.366659,1.343209,1.311319,1.278849"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.901181,3.903601,3.902069,3.902930,3.938963,3.925119,3.934734", \ - "3.860047,3.865726,3.876285,3.882372,3.905211,3.951431,3.971599", \ - "3.792730,3.799544,3.817168,3.841651,3.830483,3.884354,3.912425", \ - "3.840473,3.836613,3.834157,3.841271,3.864645,3.858995,3.886224", \ - "3.984656,3.980125,3.975628,3.948899,3.956687,3.921828,3.928780", \ - "4.184382,4.189191,4.182375,4.197447,4.156688,4.123259,4.030697", \ - "4.498933,4.491757,4.479199,4.471400,4.442902,4.372860,4.254021"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.782436,0.785432,0.792902,0.801165,0.823761,0.840557,0.858058", \ - "0.754314,0.763179,0.767853,0.788077,0.811884,0.833207,0.857601", \ - "0.706107,0.718840,0.727044,0.751298,0.771951,0.813817,0.844414", \ - "0.682364,0.688912,0.700192,0.715651,0.750149,0.784914,0.821527", \ - "0.751161,0.749060,0.745153,0.740823,0.739676,0.772952,0.806419", \ - "0.867780,0.861180,0.851118,0.839009,0.823747,0.798067,0.815050", \ - "1.060010,1.050343,1.037285,1.010521,0.971387,0.920351,0.867490"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.419543,3.428556,3.433380,3.447691,3.424568,3.459961,3.464640", \ - "3.376991,3.388089,3.403550,3.424791,3.445626,3.442836,3.504381", \ - "3.310098,3.322041,3.336102,3.334635,3.369672,3.422096,3.442989", \ - "3.358241,3.360813,3.366290,3.355013,3.351150,3.395445,3.454935", \ - "3.506314,3.509181,3.492975,3.474857,3.497755,3.459615,3.460403", \ - "3.667763,3.669412,3.680862,3.675805,3.697455,3.658462,3.561186", \ - "3.987123,3.980730,3.981077,3.970671,3.950502,3.909468,3.784236"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.776186,0.781816,0.788379,0.798995,0.811225,0.838177,0.857011", \ - "0.753098,0.760091,0.768027,0.787313,0.806891,0.821845,0.851791", \ - "0.707806,0.713438,0.724399,0.748498,0.776993,0.808752,0.837274", \ - "0.680882,0.683295,0.696225,0.713548,0.742532,0.780239,0.816641", \ - "0.752208,0.752987,0.747088,0.744361,0.741138,0.769628,0.802735", \ - "0.873352,0.868009,0.860068,0.846134,0.828203,0.806004,0.817255", \ - "1.066986,1.061102,1.043162,1.018893,0.977031,0.929011,0.871132"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.656069,3.658466,3.672831,3.651035,3.684482,3.694350,3.744996", \ - "3.615423,3.620594,3.636785,3.657641,3.684404,3.669981,3.744121", \ - "3.544277,3.548580,3.567953,3.590367,3.604299,3.657628,3.705981", \ - "3.588898,3.588712,3.590266,3.598956,3.584383,3.629153,3.692159", \ - "3.742369,3.737814,3.728952,3.712347,3.728985,3.693651,3.712026", \ - "3.903265,3.902140,3.915623,3.929207,3.928375,3.879468,3.796367", \ - "4.218405,4.212752,4.210465,4.189504,4.176542,4.144596,4.022447"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.782436,0.785432,0.792902,0.801165,0.823761,0.840557,0.858058", \ - "0.754314,0.763179,0.767853,0.788077,0.811884,0.833207,0.857601", \ - "0.706107,0.718840,0.727044,0.751298,0.771951,0.813817,0.844414", \ - "0.682364,0.688912,0.700192,0.715651,0.750149,0.784914,0.821527", \ - "0.751161,0.749060,0.745153,0.740823,0.739676,0.772952,0.806419", \ - "0.867780,0.861180,0.851118,0.839009,0.823747,0.798067,0.815050", \ - "1.060010,1.050343,1.037285,1.010521,0.971387,0.920351,0.867490"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.419543,3.428556,3.433380,3.447691,3.424568,3.459961,3.464640", \ - "3.376991,3.388089,3.403550,3.424791,3.445626,3.442836,3.504381", \ - "3.310098,3.322041,3.336102,3.334635,3.369672,3.422096,3.442989", \ - "3.358241,3.360813,3.366290,3.355013,3.351150,3.395445,3.454935", \ - "3.506314,3.509181,3.492975,3.474857,3.497755,3.459615,3.460403", \ - "3.667763,3.669412,3.680862,3.675805,3.697455,3.658462,3.561186", \ - "3.987123,3.980730,3.981077,3.970671,3.950502,3.909468,3.784236"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.444868,0.444958,0.443444,0.444152,0.441581,0.449784,0.445909", \ - "0.414310,0.416327,0.422286,0.423016,0.435916,0.438831,0.444600", \ - "0.345698,0.352243,0.365723,0.378852,0.395672,0.416520,0.431320", \ - "0.331036,0.327414,0.323252,0.337293,0.359031,0.389464,0.409115", \ - "0.399694,0.395982,0.386414,0.375898,0.354128,0.373509,0.394020", \ - "0.524526,0.516258,0.500123,0.476248,0.445276,0.405383,0.402516", \ - "0.734293,0.723037,0.695781,0.656241,0.598799,0.528682,0.456277"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("2.945247,2.953232,2.948706,2.949860,2.984037,3.007407,2.999063", \ - "2.893120,2.909399,2.918050,2.924198,2.948651,2.977779,3.040427", \ - "2.834473,2.845046,2.860638,2.865228,2.868895,2.971476,2.979229", \ - "2.884742,2.886396,2.879486,2.863034,2.910935,2.943425,2.973444", \ - "2.960514,2.974922,3.001825,2.989978,3.000139,3.008343,2.994599", \ - "3.137750,3.151161,3.167247,3.171342,3.218167,3.148574,3.127997", \ - "3.461729,3.462433,3.463765,3.465845,3.463474,3.453200,3.315990"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.441358,0.442929,0.441877,0.440540,0.444109,0.448324,0.447662", \ - "0.411849,0.416040,0.416933,0.419163,0.429457,0.439834,0.442435", \ - "0.347759,0.355081,0.365791,0.380453,0.393757,0.416463,0.428185", \ - "0.332975,0.329052,0.327273,0.339978,0.356624,0.386823,0.412024", \ - "0.401436,0.397180,0.390307,0.378031,0.361769,0.372314,0.397745", \ - "0.527141,0.519142,0.505900,0.480409,0.450641,0.414961,0.406284", \ - "0.744996,0.730912,0.706425,0.666237,0.607556,0.540255,0.467569"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.174927,3.181898,3.178627,3.202822,3.218569,3.241410,3.234876", \ - "3.131143,3.143721,3.159451,3.167682,3.186059,3.215697,3.278439", \ - "3.060126,3.071638,3.081429,3.108230,3.151615,3.204330,3.216691", \ - "3.107327,3.114199,3.106803,3.113093,3.143488,3.175630,3.222182", \ - "3.197874,3.212937,3.236284,3.243905,3.232339,3.240776,3.228006", \ - "3.374225,3.379691,3.394950,3.419946,3.452129,3.382565,3.330252", \ - "3.692594,3.690930,3.704555,3.700495,3.685170,3.708834,3.553832"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.768021,0.775306,0.777545,0.797204,0.814627,0.833842,0.851408", \ - "0.742491,0.751439,0.760442,0.772170,0.805174,0.824117,0.851460", \ - "0.693040,0.703245,0.714236,0.737167,0.773318,0.803188,0.837975", \ - "0.692741,0.692391,0.694785,0.707611,0.739455,0.779578,0.816479", \ - "0.759421,0.761623,0.758436,0.753089,0.746529,0.766800,0.806203", \ - "0.877139,0.873547,0.867484,0.851581,0.838889,0.816447,0.817412", \ - "1.072399,1.065388,1.051269,1.025218,0.987930,0.941586,0.886386"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.412500,3.422942,3.419522,3.422132,3.417717,3.453575,3.459606", \ - "3.361364,3.366240,3.381369,3.406862,3.430125,3.416887,3.490521", \ - "3.297459,3.301167,3.322668,3.329370,3.354059,3.405752,3.428664", \ - "3.355117,3.353939,3.350451,3.370618,3.343660,3.383163,3.446420", \ - "3.509094,3.513484,3.496755,3.479252,3.496893,3.454757,3.451096", \ - "3.677140,3.681576,3.690112,3.684103,3.703747,3.650919,3.588459", \ - "4.004345,4.003109,4.004619,3.986790,3.964797,3.921345,3.792379"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.444134,0.440732,0.445675,0.447582,0.444371,0.445565,0.448131", \ - "0.412629,0.417455,0.414639,0.425350,0.436940,0.441969,0.442685", \ - "0.347119,0.355752,0.361540,0.376099,0.396870,0.419524,0.429633", \ - "0.349103,0.344969,0.343326,0.344353,0.362992,0.384823,0.411150", \ - "0.414697,0.411681,0.406312,0.388301,0.374537,0.369929,0.396733", \ - "0.538689,0.532060,0.516779,0.492636,0.463284,0.429097,0.407605", \ - "0.752605,0.737028,0.716874,0.674224,0.618861,0.553241,0.481300"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("2.929036,2.939358,2.941816,2.950728,2.977277,3.001923,2.992093", \ - "2.877745,2.890534,2.902357,2.895211,2.939197,2.963157,3.024333", \ - "2.813753,2.825740,2.835256,2.845700,2.905921,2.929488,2.962143", \ - "2.881542,2.879568,2.880958,2.872004,2.901550,2.931193,2.936381", \ - "2.963341,2.975299,2.991850,3.024012,2.999264,3.003222,2.985150", \ - "3.154381,3.157387,3.174802,3.178460,3.224277,3.151422,3.131081", \ - "3.478653,3.480940,3.480175,3.481306,3.477514,3.465113,3.323521"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.440175,0.443930,0.443713,0.446865,0.447181,0.445629,0.447536", \ - "0.410682,0.413266,0.421185,0.421401,0.437061,0.438962,0.446013", \ - "0.347414,0.356878,0.363988,0.376749,0.399339,0.420146,0.432289", \ - "0.349414,0.349625,0.346667,0.344012,0.366385,0.387671,0.409506", \ - "0.415454,0.411921,0.406693,0.397677,0.381146,0.373177,0.400686", \ - "0.544530,0.536822,0.521319,0.498175,0.469208,0.439607,0.410233", \ - "0.760319,0.747107,0.721624,0.681102,0.629508,0.566113,0.496769"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.165593,3.175592,3.185779,3.186370,3.211987,3.234738,3.227877", \ - "3.115201,3.127921,3.135325,3.159218,3.170788,3.200625,3.265366", \ - "3.047730,3.058981,3.077298,3.098340,3.087557,3.124823,3.199223", \ - "3.103249,3.110023,3.108646,3.111960,3.134084,3.164770,3.169379", \ - "3.200909,3.215419,3.238832,3.236043,3.231487,3.236230,3.218535", \ - "3.383561,3.388771,3.410242,3.428116,3.458721,3.386627,3.328931", \ - "3.709688,3.714527,3.719645,3.716440,3.699607,3.726728,3.608799"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.800389,0.801615,0.812830,0.825906,0.843581,0.862522,0.878755", \ - "0.807735,0.810660,0.825221,0.839700,0.863091,0.881740,0.908044", \ - "0.773521,0.783571,0.799618,0.819893,0.843106,0.884413,0.912044", \ - "0.735839,0.741700,0.749863,0.776551,0.812489,0.856597,0.899131", \ - "0.773208,0.775673,0.776400,0.785369,0.790102,0.831946,0.879053", \ - "0.829608,0.831830,0.835292,0.834569,0.843530,0.838787,0.870680", \ - "0.948333,0.943184,0.938940,0.934750,0.929462,0.918981,0.894969"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.151646,4.157998,4.170609,4.177908,4.220820,4.235525,4.294772", \ - "4.104996,4.111737,4.128531,4.146723,4.162292,4.209253,4.269979", \ - "4.045997,4.053383,4.070434,4.097326,4.129126,4.184954,4.251273", \ - "4.063484,4.069411,4.072318,4.097171,4.117292,4.170249,4.237245", \ - "4.169901,4.172538,4.177183,4.175054,4.194429,4.203073,4.256282", \ - "4.377577,4.375271,4.378799,4.361563,4.331497,4.346524,4.346121", \ - "4.654628,4.660785,4.678990,4.652900,4.618801,4.568143,4.507450"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.462345,0.464043,0.464190,0.465047,0.462933,0.469160,0.467257", \ - "0.463234,0.463081,0.471197,0.478210,0.485752,0.489189,0.495686", \ - "0.407695,0.417140,0.427472,0.447777,0.464209,0.487861,0.499385", \ - "0.369593,0.370620,0.372203,0.392763,0.423791,0.458896,0.484562", \ - "0.402188,0.403408,0.404859,0.405126,0.404618,0.430113,0.464354", \ - "0.465013,0.463332,0.458708,0.456904,0.452015,0.441368,0.455484", \ - "0.586064,0.582523,0.572307,0.562579,0.541363,0.518784,0.483254"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.637585,3.645001,3.663891,3.683185,3.727248,3.773645,3.829791", \ - "3.590128,3.598565,3.619822,3.650174,3.669751,3.747611,3.805778", \ - "3.530533,3.544585,3.565532,3.598353,3.636251,3.692299,3.755147", \ - "3.548848,3.556097,3.565913,3.583934,3.625601,3.677858,3.741123", \ - "3.656628,3.660696,3.667979,3.678225,3.702374,3.709770,3.759451", \ - "3.867527,3.867715,3.866899,3.869499,3.838889,3.854586,3.849920", \ - "4.088362,4.103602,4.124512,4.167766,4.128105,4.076382,4.044351"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.464028,0.461201,0.464278,0.467075,0.465937,0.470058,0.469203", \ - "0.460746,0.465000,0.466096,0.477774,0.479962,0.490066,0.493514", \ - "0.409179,0.417937,0.431351,0.444995,0.471811,0.488368,0.501090", \ - "0.375162,0.376857,0.376686,0.389705,0.427363,0.459501,0.484874", \ - "0.400504,0.402341,0.407352,0.412819,0.413156,0.431228,0.464298", \ - "0.464330,0.461728,0.458960,0.459253,0.455426,0.449636,0.453921", \ - "0.589450,0.582577,0.574371,0.563276,0.546950,0.525410,0.495212"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.891887,3.903704,3.920593,3.938184,3.970427,4.015114,4.069065", \ - "3.845521,3.858247,3.877600,3.901271,3.944065,3.991159,4.047538", \ - "3.791426,3.800173,3.819020,3.841314,3.894612,3.965884,4.029453", \ - "3.804548,3.816232,3.828558,3.839655,3.869273,3.952051,4.014447", \ - "3.915136,3.919325,3.924167,3.936205,3.947013,3.985343,4.032994", \ - "4.121944,4.125253,4.127965,4.116756,4.114827,4.100648,4.125849", \ - "4.352183,4.364726,4.384346,4.421304,4.372218,4.323368,4.294699"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.120645,1.128632,1.142617,1.157897,1.185600,1.209742,1.251171", \ - "1.089089,1.097456,1.107211,1.124149,1.151668,1.185119,1.218402", \ - "1.050640,1.059566,1.070048,1.093529,1.118319,1.152292,1.194236", \ - "1.024965,1.034755,1.047241,1.061078,1.091614,1.134986,1.181012", \ - "1.068942,1.074193,1.078291,1.085717,1.088883,1.123661,1.170814", \ - "1.139211,1.139232,1.143630,1.147930,1.153485,1.142287,1.173768", \ - "1.247727,1.245468,1.245093,1.242430,1.239070,1.234760,1.207048"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.710121,4.716276,4.729960,4.748617,4.760559,4.805862,4.862891", \ - "4.673810,4.679949,4.696496,4.716931,4.754068,4.771498,4.830778", \ - "4.635525,4.642361,4.661370,4.682026,4.709888,4.760746,4.823984", \ - "4.619373,4.626420,4.636126,4.648245,4.685776,4.739795,4.805050", \ - "4.622662,4.628622,4.642804,4.663875,4.674846,4.726528,4.788235", \ - "4.683440,4.688216,4.698939,4.715460,4.730451,4.771627,4.798160", \ - "4.780118,4.793240,4.826357,4.825961,4.841590,4.827567,4.861239"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.766605,0.773502,0.782288,0.790951,0.800946,0.824541,0.839274", \ - "0.736595,0.737243,0.746976,0.758890,0.774098,0.791121,0.807045", \ - "0.691392,0.698580,0.702744,0.722397,0.736314,0.762921,0.781970", \ - "0.656051,0.663378,0.671258,0.685554,0.716804,0.740937,0.768912", \ - "0.708785,0.711203,0.712823,0.711797,0.700171,0.725085,0.760568", \ - "0.774738,0.773633,0.769729,0.772532,0.765390,0.745067,0.764386", \ - "0.883768,0.881601,0.877515,0.869127,0.857850,0.836776,0.794018"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.195697,4.203083,4.226468,4.248539,4.267590,4.312702,4.400225", \ - "4.158868,4.171539,4.193001,4.213895,4.261550,4.309286,4.365857", \ - "4.120349,4.133903,4.151527,4.181735,4.218822,4.268354,4.327818", \ - "4.099444,4.112774,4.125126,4.149156,4.193821,4.247145,4.308439", \ - "4.108204,4.120394,4.134630,4.158977,4.182259,4.232804,4.324789", \ - "4.173677,4.180616,4.195801,4.214970,4.240177,4.279523,4.334235", \ - "4.215769,4.231553,4.276091,4.336644,4.351869,4.364572,4.397135"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.765610,0.770373,0.775144,0.788610,0.793281,0.815313,0.833818", \ - "0.729806,0.734980,0.736982,0.749478,0.767527,0.780812,0.806857", \ - "0.688043,0.694512,0.703498,0.714477,0.735150,0.753887,0.775897", \ - "0.657527,0.659833,0.668061,0.687157,0.704051,0.736106,0.760453", \ - "0.710190,0.711805,0.713860,0.707719,0.707481,0.724698,0.752496", \ - "0.776267,0.776009,0.771058,0.773563,0.769086,0.752498,0.759634", \ - "0.886575,0.882858,0.878237,0.871079,0.860320,0.840002,0.803686"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.455303,4.462095,4.484164,4.509159,4.540079,4.584810,4.639444", \ - "4.420037,4.432183,4.445328,4.469990,4.506800,4.552693,4.608028", \ - "4.381333,4.394083,4.411487,4.429949,4.487205,4.543592,4.602974", \ - "4.359817,4.372533,4.389781,4.406340,4.437301,4.519827,4.582658", \ - "4.367141,4.378940,4.391813,4.407515,4.455552,4.505757,4.565436", \ - "4.426826,4.437798,4.451081,4.462813,4.483073,4.521750,4.599505", \ - "4.478218,4.493735,4.532670,4.586163,4.594314,4.606214,4.637380"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.796814,0.802411,0.810168,0.817574,0.832060,0.860106,0.881819", \ - "0.797926,0.807123,0.812234,0.838189,0.861040,0.883193,0.907063", \ - "0.786646,0.791168,0.806098,0.823929,0.854405,0.886233,0.916026", \ - "0.805903,0.802316,0.801583,0.819736,0.844577,0.879660,0.911278", \ - "0.925620,0.924024,0.914918,0.898654,0.878634,0.898701,0.919712", \ - "1.115439,1.104681,1.090829,1.066169,1.024229,0.972436,0.963719", \ - "1.387384,1.374367,1.351481,1.310724,1.246126,1.158855,1.065401"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("2.587707,2.592914,2.601864,2.609639,2.601361,2.620753,2.611779", \ - "2.533255,2.542650,2.563623,2.581275,2.590874,2.621196,2.621267", \ - "2.473155,2.480238,2.490779,2.505958,2.541941,2.558213,2.601014", \ - "2.511865,2.511099,2.501958,2.509839,2.521353,2.533375,2.571289", \ - "2.653918,2.648612,2.638580,2.596103,2.571766,2.581242,2.576370", \ - "2.891700,2.877055,2.857071,2.806646,2.744802,2.691805,2.656771", \ - "3.133602,3.130204,3.120352,3.117120,3.044982,2.918098,2.811662"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.457711,0.457445,0.462767,0.463425,0.466727,0.467535,0.466593", \ - "0.457542,0.460849,0.464218,0.471211,0.483982,0.491160,0.495439", \ - "0.423830,0.427458,0.436061,0.454112,0.470378,0.489601,0.504147", \ - "0.463484,0.455009,0.441764,0.446829,0.458692,0.481953,0.496790", \ - "0.591907,0.580087,0.565441,0.538709,0.503209,0.501071,0.507880", \ - "0.795669,0.780963,0.752794,0.713682,0.653535,0.583250,0.553626", \ - "1.087790,1.070037,1.035502,0.978887,0.888008,0.775675,0.659057"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("2.125071,2.132303,2.137251,2.146927,2.156096,2.139759,2.152589", \ - "2.068546,2.080083,2.097500,2.117161,2.146748,2.140282,2.161864", \ - "2.009696,2.015280,2.020865,2.036790,2.069382,2.106813,2.142758", \ - "2.049896,2.045677,2.047350,2.033432,2.048699,2.081279,2.113199", \ - "2.193777,2.185380,2.169489,2.128729,2.127593,2.117375,2.085113", \ - "2.341685,2.354383,2.367382,2.347596,2.298578,2.241471,2.165577", \ - "2.610954,2.604599,2.611069,2.601286,2.576118,2.465222,2.353085"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.459458,0.461523,0.462174,0.465964,0.468440,0.467034,0.470928", \ - "0.453392,0.461792,0.461744,0.473765,0.482924,0.487479,0.495697", \ - "0.428068,0.432726,0.442343,0.455939,0.473706,0.488865,0.504078", \ - "0.477538,0.471479,0.463344,0.448512,0.461686,0.478881,0.499808", \ - "0.605929,0.594933,0.575750,0.558327,0.522960,0.505142,0.510853", \ - "0.811030,0.795885,0.772548,0.730802,0.675957,0.606942,0.561659", \ - "1.098823,1.082904,1.051735,0.996613,0.911606,0.800855,0.684111"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("2.123333,2.130773,2.139677,2.136827,2.155391,2.138757,2.151780", \ - "2.062378,2.072472,2.087839,2.095356,2.111647,2.135478,2.157225", \ - "1.997827,2.003364,2.017073,2.031683,2.059352,2.096787,2.133528", \ - "2.047405,2.042825,2.041233,2.024922,2.041502,2.067004,2.070202", \ - "2.193626,2.186875,2.173718,2.131866,2.125896,2.096109,2.077175", \ - "2.347043,2.359411,2.382572,2.370168,2.301880,2.242444,2.196370", \ - "2.621783,2.619462,2.620483,2.623701,2.584266,2.471913,2.356330"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.117836,1.129123,1.137218,1.160019,1.176867,1.216696,1.249644", \ - "1.082616,1.094095,1.099656,1.123440,1.143877,1.184488,1.217863", \ - "1.044546,1.056021,1.069927,1.085444,1.116706,1.158955,1.194486", \ - "1.055360,1.059769,1.065345,1.081467,1.115672,1.146028,1.188077", \ - "1.180486,1.178302,1.172816,1.156617,1.157894,1.182217,1.206849", \ - "1.373141,1.366221,1.352871,1.335607,1.300387,1.255782,1.260640", \ - "1.644301,1.637557,1.621511,1.588371,1.534754,1.453406,1.370111"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.162995,3.167633,3.170194,3.183092,3.173738,3.193023,3.183877", \ - "3.131797,3.138795,3.151165,3.150316,3.162119,3.188047,3.183855", \ - "3.061316,3.066574,3.078063,3.089840,3.126036,3.137122,3.177007", \ - "3.027551,3.034658,3.029812,3.042776,3.049639,3.089741,3.138346", \ - "3.048838,3.050607,3.049283,3.042273,3.056213,3.071373,3.099653", \ - "3.134467,3.136530,3.127833,3.115596,3.095447,3.105152,3.096501", \ - "3.209918,3.216383,3.224165,3.246331,3.219797,3.196023,3.159767"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.769860,0.769302,0.772387,0.789610,0.796827,0.821585,0.838815", \ - "0.727984,0.736166,0.744434,0.749739,0.763749,0.789177,0.807780", \ - "0.685607,0.693437,0.697473,0.722892,0.738661,0.763176,0.781836", \ - "0.707368,0.701712,0.699800,0.720072,0.737395,0.751253,0.776051", \ - "0.843156,0.838360,0.823594,0.802070,0.777737,0.782902,0.796132", \ - "1.045650,1.034732,1.015785,0.987776,0.936012,0.868871,0.851982", \ - "1.331651,1.321215,1.293578,1.248845,1.176026,1.074174,0.964081"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("2.699357,2.701382,2.711123,2.705768,2.707696,2.712121,2.724956", \ - "2.667498,2.676226,2.679006,2.679637,2.717293,2.728827,2.725315", \ - "2.597290,2.600750,2.613282,2.614893,2.653247,2.686038,2.717612", \ - "2.563724,2.568818,2.569292,2.586138,2.604463,2.639509,2.651188", \ - "2.589841,2.587479,2.576432,2.574388,2.582803,2.607780,2.641995", \ - "2.585869,2.599619,2.636488,2.656541,2.619751,2.619934,2.639068", \ - "2.684297,2.689267,2.704487,2.732263,2.775310,2.711728,2.697840"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.755762,0.757581,0.764688,0.767067,0.793847,0.810609,0.834343", \ - "0.717989,0.721235,0.727358,0.742181,0.765234,0.778556,0.801852", \ - "0.677353,0.682960,0.694878,0.707436,0.734042,0.749280,0.776772", \ - "0.715192,0.713800,0.703982,0.706868,0.731918,0.751812,0.770513", \ - "0.854585,0.847628,0.830749,0.814861,0.787303,0.782135,0.789673", \ - "1.057368,1.047354,1.024846,0.999794,0.953065,0.887799,0.852064", \ - "1.347001,1.331491,1.306974,1.262107,1.193744,1.094697,0.977094"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("2.698791,2.702092,2.709533,2.703676,2.700147,2.711383,2.724156", \ - "2.662136,2.669839,2.676689,2.689059,2.712318,2.702305,2.720782", \ - "2.586834,2.594476,2.603792,2.615764,2.644662,2.677851,2.709234", \ - "2.561060,2.565912,2.568616,2.557983,2.598348,2.630450,2.636880", \ - "2.588551,2.585135,2.576574,2.582865,2.578845,2.601626,2.633813", \ - "2.585721,2.600615,2.636724,2.641094,2.617930,2.616229,2.632742", \ - "2.691399,2.697339,2.711962,2.733737,2.775809,2.711740,2.694241"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI221_X2 - Cell Description : Combinational cell (OAI221_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI221_X2) { - - drive_strength : 2; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 36.593315; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 7.078792; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 9.321709; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 9.321710; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 7.462853; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 18.777253; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 27.670167; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 27.670168; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 26.370899; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 18.777253; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 27.670167; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 27.670168; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 26.370899; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 28.917591; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 37.812272; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 37.812274; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 36.513101; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 13.844198; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 29.939651; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 29.939651; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 28.432433; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 69.646020; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 46.259870; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 48.991880; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 53.219380; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 69.646210; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 48.991880; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 51.723700; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 55.951105; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 79.810070; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 53.226410; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 55.958895; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 60.187449; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.475169; - fall_capacitance : 3.220802; - rise_capacitance : 3.475169; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.340892; - fall_capacitance : 2.910516; - rise_capacitance : 3.340892; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.037239; - fall_capacitance : 2.874075; - rise_capacitance : 3.037239; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.936113; - fall_capacitance : 2.610084; - rise_capacitance : 2.936113; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.144113; - fall_capacitance : 3.113541; - rise_capacitance : 3.144113; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 43.854920; - function : "!(((C1 | C2) & A) & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.055882,0.060012,0.065537,0.076356,0.097478,0.138809,0.220231", \ - "0.060562,0.064718,0.070264,0.081127,0.102302,0.143685,0.225154", \ - "0.075655,0.079800,0.085365,0.096258,0.117522,0.159017,0.240586", \ - "0.101956,0.106567,0.112594,0.124209,0.145464,0.187040,0.268802", \ - "0.131236,0.137123,0.144581,0.158783,0.184604,0.230103,0.312563", \ - "0.158034,0.165769,0.175302,0.193687,0.226014,0.280758,0.372669", \ - "0.179312,0.189113,0.201383,0.224489,0.265189,0.332394,0.440080"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.047707,0.052107,0.058091,0.069955,0.093528,0.140312,0.233445", \ - "0.052318,0.056780,0.062826,0.074796,0.098477,0.145373,0.238638", \ - "0.070183,0.074666,0.080734,0.092721,0.116444,0.163474,0.256881", \ - "0.104926,0.110246,0.117140,0.129631,0.152873,0.199409,0.292465", \ - "0.144487,0.151789,0.161127,0.178420,0.208391,0.257493,0.349409", \ - "0.186817,0.196197,0.208279,0.230484,0.268985,0.332245,0.431291", \ - "0.232005,0.243523,0.258439,0.285579,0.332984,0.411108,0.532905"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.030799,0.033969,0.038304,0.046986,0.064331,0.098958,0.168208", \ - "0.030798,0.033967,0.038302,0.046994,0.064315,0.098963,0.168217", \ - "0.030503,0.033756,0.038168,0.046932,0.064303,0.098949,0.168212", \ - "0.034750,0.037623,0.041431,0.048973,0.064883,0.098866,0.168201", \ - "0.045639,0.048582,0.052576,0.060250,0.075112,0.104159,0.168551", \ - "0.062120,0.065537,0.070134,0.078350,0.093458,0.122093,0.178591", \ - "0.082751,0.086899,0.092375,0.102096,0.118869,0.148272,0.203391"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.039536,0.044137,0.050468,0.063050,0.087992,0.136973,0.231408", \ - "0.039526,0.044136,0.050467,0.063048,0.087969,0.136974,0.231429", \ - "0.039454,0.044114,0.050434,0.063036,0.087973,0.136982,0.231450", \ - "0.050930,0.053617,0.057873,0.067459,0.089065,0.136968,0.231401", \ - "0.076950,0.079998,0.084305,0.092149,0.106875,0.143556,0.231385", \ - "0.110304,0.113601,0.118437,0.127389,0.143914,0.173100,0.241964", \ - "0.150350,0.153832,0.158904,0.168812,0.187453,0.220631,0.277726"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.046854,0.050850,0.056220,0.066779,0.087502,0.128315,0.209179", \ - "0.051473,0.055501,0.060912,0.071525,0.092314,0.133193,0.214095", \ - "0.066492,0.070517,0.075924,0.086586,0.107478,0.148489,0.229507", \ - "0.090584,0.095444,0.101705,0.113619,0.135333,0.176455,0.257693", \ - "0.115355,0.121824,0.130017,0.145206,0.172149,0.218757,0.301392", \ - "0.135891,0.144564,0.155264,0.175373,0.210080,0.267117,0.360721", \ - "0.150152,0.161295,0.175031,0.200592,0.244637,0.315567,0.426258"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.046568,0.050984,0.056966,0.068836,0.092388,0.139144,0.232199", \ - "0.051177,0.055663,0.061693,0.073662,0.097340,0.144224,0.237359", \ - "0.069052,0.073548,0.079603,0.091585,0.115306,0.162306,0.255607", \ - "0.103478,0.108890,0.115871,0.128537,0.151753,0.198230,0.291255", \ - "0.142386,0.149812,0.159321,0.176792,0.206937,0.256350,0.348166", \ - "0.184058,0.193591,0.205818,0.228254,0.267140,0.330752,0.430052", \ - "0.228511,0.240207,0.255262,0.282717,0.330582,0.409145,0.531370"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.025504,0.028649,0.032969,0.041603,0.058842,0.093354,0.162453", \ - "0.025496,0.028649,0.032963,0.041604,0.058855,0.093368,0.162461", \ - "0.025250,0.028423,0.032798,0.041502,0.058822,0.093353,0.162432", \ - "0.031258,0.034051,0.037850,0.045165,0.060272,0.093303,0.162424", \ - "0.043359,0.046264,0.050180,0.057613,0.071983,0.100294,0.163185", \ - "0.060606,0.064065,0.068682,0.076834,0.091571,0.119342,0.174687", \ - "0.081693,0.085922,0.091462,0.101193,0.117833,0.146628,0.200529"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.034482,0.038817,0.044720,0.056534,0.080041,0.126786,0.218968", \ - "0.034478,0.038828,0.044708,0.056507,0.080050,0.126795,0.218981", \ - "0.034382,0.038770,0.044702,0.056521,0.080038,0.126800,0.218985", \ - "0.045951,0.048587,0.052411,0.061174,0.081222,0.126777,0.218971", \ - "0.067264,0.070940,0.075720,0.084207,0.099180,0.133555,0.218912", \ - "0.093044,0.097657,0.103727,0.114246,0.132553,0.163139,0.229665", \ - "0.123178,0.128699,0.135936,0.148755,0.170791,0.206948,0.265600"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.041838,0.045294,0.049931,0.059050,0.076932,0.112114,0.181767", \ - "0.046499,0.049983,0.054653,0.063820,0.081757,0.116989,0.186679", \ - "0.062679,0.066139,0.070809,0.080001,0.098023,0.133378,0.203162", \ - "0.088551,0.093011,0.098722,0.109458,0.128797,0.164275,0.234258", \ - "0.113596,0.119885,0.127770,0.142372,0.167832,0.210443,0.282587", \ - "0.133588,0.142132,0.152594,0.172265,0.206066,0.260776,0.346968", \ - "0.147180,0.158048,0.171564,0.196623,0.239843,0.309237,0.415301"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.050170,0.054569,0.060520,0.072371,0.095871,0.142566,0.235551", \ - "0.054819,0.059277,0.065297,0.077251,0.100889,0.147739,0.240824", \ - "0.072636,0.077108,0.083148,0.095104,0.118797,0.165767,0.259042", \ - "0.107850,0.113030,0.119749,0.131920,0.155139,0.201611,0.294591", \ - "0.148437,0.155536,0.164607,0.181543,0.211001,0.259757,0.351505", \ - "0.191853,0.201005,0.212785,0.234483,0.272396,0.334974,0.433402", \ - "0.238131,0.249349,0.263838,0.290494,0.337184,0.414454,0.535394"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.022705,0.025392,0.029060,0.036387,0.051022,0.080308,0.138912", \ - "0.022701,0.025387,0.029065,0.036388,0.051027,0.080310,0.138911", \ - "0.022538,0.025137,0.028846,0.036276,0.050993,0.080314,0.138901", \ - "0.030006,0.032248,0.035294,0.041207,0.053154,0.080332,0.138891", \ - "0.043812,0.046368,0.049785,0.056069,0.067751,0.090113,0.140396", \ - "0.062105,0.065271,0.069512,0.076944,0.089980,0.113038,0.156478", \ - "0.084157,0.088031,0.093134,0.102243,0.117680,0.143412,0.187816"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.036234,0.040591,0.046516,0.058380,0.081982,0.128823,0.221036", \ - "0.036229,0.040587,0.046521,0.058396,0.082004,0.128818,0.221028", \ - "0.036191,0.040572,0.046516,0.058396,0.081980,0.128818,0.221038", \ - "0.046264,0.048993,0.053160,0.062252,0.082904,0.128829,0.221027", \ - "0.067710,0.071382,0.076160,0.084619,0.099725,0.135027,0.221015", \ - "0.093521,0.098129,0.104098,0.114718,0.133014,0.163647,0.231134", \ - "0.123602,0.129134,0.136346,0.149240,0.171276,0.207471,0.266354"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.046854,0.050850,0.056220,0.066779,0.087502,0.128315,0.209179", \ - "0.051473,0.055501,0.060912,0.071525,0.092314,0.133193,0.214095", \ - "0.066492,0.070517,0.075924,0.086586,0.107478,0.148489,0.229507", \ - "0.090584,0.095444,0.101705,0.113619,0.135333,0.176455,0.257693", \ - "0.115355,0.121824,0.130017,0.145206,0.172149,0.218757,0.301392", \ - "0.135891,0.144564,0.155264,0.175373,0.210080,0.267117,0.360721", \ - "0.150152,0.161295,0.175031,0.200592,0.244637,0.315567,0.426258"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.046568,0.050984,0.056966,0.068836,0.092388,0.139144,0.232199", \ - "0.051177,0.055663,0.061693,0.073662,0.097340,0.144224,0.237359", \ - "0.069052,0.073548,0.079603,0.091585,0.115306,0.162306,0.255607", \ - "0.103478,0.108890,0.115871,0.128537,0.151753,0.198230,0.291255", \ - "0.142386,0.149812,0.159321,0.176792,0.206937,0.256350,0.348166", \ - "0.184058,0.193591,0.205818,0.228254,0.267140,0.330752,0.430052", \ - "0.228511,0.240207,0.255262,0.282717,0.330582,0.409145,0.531370"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.025504,0.028649,0.032969,0.041603,0.058842,0.093354,0.162453", \ - "0.025496,0.028649,0.032963,0.041604,0.058855,0.093368,0.162461", \ - "0.025250,0.028423,0.032798,0.041502,0.058822,0.093353,0.162432", \ - "0.031258,0.034051,0.037850,0.045165,0.060272,0.093303,0.162424", \ - "0.043359,0.046264,0.050180,0.057613,0.071983,0.100294,0.163185", \ - "0.060606,0.064065,0.068682,0.076834,0.091571,0.119342,0.174687", \ - "0.081693,0.085922,0.091462,0.101193,0.117833,0.146628,0.200529"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.034482,0.038817,0.044720,0.056534,0.080041,0.126786,0.218968", \ - "0.034478,0.038828,0.044708,0.056507,0.080050,0.126795,0.218981", \ - "0.034382,0.038770,0.044702,0.056521,0.080038,0.126800,0.218985", \ - "0.045951,0.048587,0.052411,0.061174,0.081222,0.126777,0.218971", \ - "0.067264,0.070940,0.075720,0.084207,0.099180,0.133555,0.218912", \ - "0.093044,0.097657,0.103727,0.114246,0.132553,0.163139,0.229665", \ - "0.123178,0.128699,0.135936,0.148755,0.170791,0.206948,0.265600"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.039019,0.042727,0.047782,0.057862,0.077970,0.118117,0.198359", \ - "0.043531,0.047301,0.052414,0.062569,0.082762,0.122983,0.203271", \ - "0.058176,0.062030,0.067221,0.077502,0.097850,0.138249,0.218676", \ - "0.078699,0.083919,0.090518,0.102873,0.125249,0.166120,0.246822", \ - "0.097362,0.104809,0.114020,0.130669,0.159308,0.207347,0.290440", \ - "0.110161,0.120363,0.132718,0.155330,0.193140,0.253105,0.348809", \ - "0.116250,0.129301,0.145335,0.174215,0.222578,0.298036,0.412320"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.045446,0.049873,0.055846,0.067720,0.091254,0.137974,0.230953", \ - "0.050038,0.054522,0.060573,0.072542,0.096200,0.143031,0.236099", \ - "0.067944,0.072431,0.078482,0.090468,0.114175,0.161131,0.254352", \ - "0.102025,0.107504,0.114580,0.127386,0.150600,0.197062,0.289959", \ - "0.140316,0.147875,0.157434,0.175112,0.205550,0.255209,0.346923", \ - "0.181303,0.190994,0.203295,0.225974,0.265231,0.329229,0.428822", \ - "0.225023,0.236889,0.252076,0.279809,0.328097,0.407156,0.529824"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.019752,0.022890,0.027221,0.035885,0.053190,0.087733,0.156753", \ - "0.019744,0.022887,0.027223,0.035896,0.053180,0.087726,0.156744", \ - "0.020365,0.023258,0.027333,0.035901,0.053184,0.087731,0.156743", \ - "0.027904,0.030580,0.034264,0.041525,0.055960,0.087941,0.156745", \ - "0.041357,0.044261,0.048127,0.055328,0.069157,0.096782,0.158062", \ - "0.059613,0.063100,0.067722,0.075770,0.090094,0.116943,0.171115", \ - "0.081700,0.085892,0.091372,0.100967,0.117297,0.145350,0.197968"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.030806,0.034902,0.040483,0.051686,0.074096,0.118771,0.208019", \ - "0.030802,0.034891,0.040483,0.051685,0.074071,0.118774,0.208010", \ - "0.030718,0.034834,0.040458,0.051688,0.074092,0.118781,0.207987", \ - "0.042076,0.044914,0.048478,0.056542,0.075293,0.118759,0.207958", \ - "0.060636,0.064410,0.069432,0.078160,0.093403,0.125708,0.207913", \ - "0.081883,0.086952,0.093557,0.104754,0.123790,0.154964,0.218845", \ - "0.105569,0.111950,0.120272,0.134425,0.157955,0.195515,0.254841"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.035371,0.038566,0.042913,0.051587,0.068884,0.103419,0.172435", \ - "0.039947,0.043190,0.047585,0.056322,0.073695,0.108289,0.177351", \ - "0.055715,0.059051,0.063521,0.072376,0.089889,0.124645,0.193832", \ - "0.077422,0.082348,0.088523,0.099909,0.119968,0.155451,0.224895", \ - "0.096049,0.103318,0.112254,0.128392,0.155785,0.200152,0.273162", \ - "0.108506,0.118411,0.130563,0.152608,0.189534,0.247468,0.336168", \ - "0.113938,0.126719,0.142410,0.170672,0.218123,0.292067,0.402097"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.049034,0.053443,0.059402,0.071244,0.094744,0.141396,0.234284", \ - "0.053675,0.058141,0.064174,0.076123,0.099757,0.146556,0.239563", \ - "0.071508,0.075981,0.082019,0.093982,0.117662,0.164588,0.257771", \ - "0.106458,0.111713,0.118510,0.130811,0.154032,0.200450,0.293335", \ - "0.146463,0.153656,0.162840,0.179946,0.209589,0.258602,0.350269", \ - "0.189213,0.198493,0.210344,0.232285,0.270545,0.333470,0.432165", \ - "0.234794,0.246163,0.260809,0.287682,0.334751,0.412473,0.533858"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.017713,0.020408,0.024110,0.031475,0.046147,0.075448,0.133967", \ - "0.017715,0.020409,0.024105,0.031470,0.046155,0.075443,0.133973", \ - "0.018525,0.020926,0.024310,0.031498,0.046142,0.075442,0.133973", \ - "0.027565,0.029767,0.032791,0.038576,0.049927,0.075947,0.133972", \ - "0.042315,0.044915,0.048362,0.054603,0.066008,0.087807,0.136282", \ - "0.061555,0.064718,0.068908,0.076296,0.089143,0.111682,0.154231", \ - "0.084705,0.088454,0.093437,0.102321,0.117494,0.142781,0.186348"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.032473,0.036578,0.042204,0.053494,0.075938,0.120705,0.209975", \ - "0.032468,0.036573,0.042208,0.053482,0.075934,0.120718,0.209977", \ - "0.032427,0.036557,0.042204,0.053464,0.075940,0.120726,0.209972", \ - "0.042579,0.045289,0.049102,0.057531,0.076934,0.120719,0.209987", \ - "0.061346,0.065067,0.070018,0.078712,0.093896,0.127081,0.209958", \ - "0.082842,0.087828,0.094246,0.105419,0.124386,0.155544,0.220235", \ - "0.106856,0.113120,0.121226,0.135273,0.158653,0.196126,0.255511"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.038009,0.041321,0.045767,0.054492,0.071587,0.105204,0.171728", \ - "0.042997,0.046336,0.050812,0.059582,0.076731,0.110393,0.176952", \ - "0.058529,0.061833,0.066278,0.075058,0.092272,0.126039,0.192703", \ - "0.081524,0.085784,0.091255,0.101572,0.120346,0.154550,0.221403", \ - "0.104678,0.110545,0.117905,0.131433,0.155217,0.195794,0.265750", \ - "0.123591,0.131544,0.141323,0.159524,0.190710,0.241323,0.323067", \ - "0.136228,0.146475,0.159193,0.182595,0.222692,0.286550,0.384725"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.046429,0.050850,0.056824,0.068703,0.092245,0.139001,0.232075", \ - "0.050889,0.055370,0.061401,0.073370,0.097039,0.143912,0.237068", \ - "0.068859,0.073343,0.079375,0.091339,0.115031,0.161999,0.255297", \ - "0.103410,0.108800,0.115762,0.128436,0.151568,0.198025,0.290970", \ - "0.142490,0.149927,0.159345,0.176804,0.206928,0.256275,0.348008", \ - "0.184445,0.193961,0.206165,0.228551,0.267340,0.330854,0.430042", \ - "0.229390,0.241032,0.255996,0.283398,0.331150,0.409525,0.531570"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.020919,0.023525,0.027104,0.034240,0.048494,0.077032,0.134169", \ - "0.020906,0.023528,0.027105,0.034242,0.048497,0.077028,0.134156", \ - "0.021045,0.023502,0.026927,0.034087,0.048446,0.077021,0.134155", \ - "0.027374,0.029718,0.032865,0.039058,0.051156,0.077421,0.134137", \ - "0.039067,0.041543,0.044869,0.051161,0.063198,0.086821,0.136508", \ - "0.055489,0.058493,0.062498,0.069502,0.082043,0.105364,0.151378", \ - "0.075725,0.079449,0.084285,0.092800,0.107088,0.131501,0.176694"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.034488,0.038831,0.044704,0.056522,0.080039,0.126790,0.218987", \ - "0.034482,0.038828,0.044712,0.056519,0.080058,0.126792,0.218989", \ - "0.034379,0.038768,0.044686,0.056511,0.080049,0.126802,0.218985", \ - "0.045991,0.048638,0.052463,0.061193,0.081187,0.126785,0.218963", \ - "0.067199,0.070864,0.075669,0.084164,0.099177,0.133582,0.218929", \ - "0.092776,0.097399,0.103425,0.114054,0.132414,0.163084,0.229668", \ - "0.122573,0.128128,0.135303,0.148288,0.170435,0.206713,0.265494"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.032029,0.035075,0.039230,0.047518,0.064048,0.097053,0.163025", \ - "0.036933,0.040026,0.044226,0.052578,0.069176,0.102243,0.168252", \ - "0.051865,0.055168,0.059458,0.067912,0.084652,0.117862,0.183989", \ - "0.071297,0.075937,0.081776,0.092545,0.111871,0.146266,0.212649", \ - "0.088706,0.095482,0.103817,0.118682,0.144106,0.186078,0.256900", \ - "0.100285,0.109654,0.121008,0.141590,0.175722,0.229144,0.312889", \ - "0.104996,0.117156,0.132047,0.158646,0.202861,0.271014,0.372587"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.045310,0.049727,0.055708,0.067585,0.091117,0.137837,0.230822", \ - "0.049753,0.054233,0.060280,0.072249,0.095909,0.142733,0.235816", \ - "0.067739,0.072225,0.078270,0.090220,0.113895,0.160827,0.254032", \ - "0.101958,0.107427,0.114479,0.127291,0.150453,0.196854,0.289720", \ - "0.140422,0.147967,0.157496,0.175155,0.205530,0.255139,0.346768", \ - "0.181710,0.191371,0.203692,0.226303,0.265447,0.329329,0.428810", \ - "0.225918,0.237731,0.252873,0.280501,0.328674,0.407548,0.530027"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.016140,0.018735,0.022312,0.029475,0.043776,0.072356,0.129492", \ - "0.016139,0.018732,0.022322,0.029474,0.043785,0.072362,0.129486", \ - "0.017299,0.019595,0.022822,0.029549,0.043775,0.072359,0.129487", \ - "0.024708,0.026940,0.030030,0.036068,0.047963,0.073338,0.129482", \ - "0.037580,0.040052,0.043350,0.049465,0.061054,0.084091,0.132657", \ - "0.055036,0.058067,0.062039,0.068962,0.081117,0.103621,0.148718", \ - "0.076369,0.080033,0.084790,0.093102,0.107079,0.130795,0.174819"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.030811,0.034896,0.040481,0.051690,0.074096,0.118772,0.208018", \ - "0.030805,0.034891,0.040477,0.051700,0.074082,0.118773,0.207996", \ - "0.030735,0.034836,0.040461,0.051676,0.074089,0.118786,0.207991", \ - "0.042114,0.044942,0.048533,0.056573,0.075322,0.118766,0.207972", \ - "0.060572,0.064356,0.069353,0.078132,0.093402,0.125731,0.207926", \ - "0.081643,0.086701,0.093253,0.104566,0.123666,0.154905,0.218848", \ - "0.105056,0.111457,0.119722,0.134003,0.157597,0.195286,0.254730"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.028339,0.030865,0.034303,0.041157,0.054832,0.082135,0.136704", \ - "0.033329,0.035891,0.039366,0.046272,0.060004,0.087358,0.141958", \ - "0.049492,0.052347,0.056007,0.063025,0.076881,0.104362,0.159068", \ - "0.069912,0.074306,0.079736,0.089637,0.106855,0.136234,0.191209", \ - "0.087247,0.093799,0.101874,0.116233,0.140479,0.179113,0.240822", \ - "0.098404,0.107502,0.118616,0.138648,0.171864,0.223342,0.300659", \ - "0.102411,0.114287,0.128894,0.154852,0.198119,0.264727,0.362256"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.048907,0.053304,0.059268,0.071109,0.094606,0.141267,0.234156", \ - "0.053385,0.057840,0.063871,0.075820,0.099456,0.146257,0.239271", \ - "0.071298,0.075755,0.081776,0.093716,0.117362,0.164271,0.257442", \ - "0.106388,0.111634,0.118390,0.130709,0.153862,0.200222,0.293046", \ - "0.146570,0.153750,0.162927,0.179996,0.209594,0.258545,0.350111", \ - "0.189606,0.198859,0.210753,0.232580,0.270765,0.333585,0.432166", \ - "0.235660,0.246981,0.261559,0.288356,0.335307,0.412873,0.534074"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.013868,0.016008,0.018935,0.024783,0.036462,0.059750,0.106295", \ - "0.013872,0.016015,0.018939,0.024784,0.036463,0.059758,0.106304", \ - "0.015469,0.017212,0.019723,0.025028,0.036460,0.059753,0.106305", \ - "0.024312,0.026152,0.028600,0.033293,0.042371,0.061482,0.106287", \ - "0.038276,0.040476,0.043382,0.048657,0.058036,0.075625,0.111663", \ - "0.056617,0.059336,0.062909,0.069204,0.080002,0.098601,0.132877", \ - "0.078966,0.082198,0.086476,0.094097,0.106954,0.128137,0.163850"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.032475,0.036587,0.042209,0.053467,0.075934,0.120724,0.209980", \ - "0.032460,0.036585,0.042204,0.053489,0.075938,0.120716,0.209989", \ - "0.032419,0.036557,0.042200,0.053471,0.075942,0.120716,0.209980", \ - "0.042617,0.045333,0.049126,0.057574,0.076954,0.120713,0.209978", \ - "0.061299,0.065021,0.069939,0.078691,0.093909,0.127111,0.209973", \ - "0.082624,0.087608,0.094029,0.105270,0.124262,0.155481,0.220232", \ - "0.106367,0.112638,0.120732,0.134859,0.158315,0.195879,0.255395"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.050714,0.054711,0.060080,0.070637,0.091356,0.132157,0.213009", \ - "0.056239,0.060268,0.065676,0.076289,0.097074,0.137943,0.218838", \ - "0.070405,0.074459,0.079901,0.090592,0.111500,0.152504,0.233520", \ - "0.090819,0.095359,0.101278,0.112726,0.134282,0.175393,0.256574", \ - "0.112780,0.118266,0.125301,0.138684,0.163268,0.208184,0.290701", \ - "0.132529,0.139604,0.148418,0.164929,0.194493,0.245840,0.336123", \ - "0.146066,0.155338,0.166762,0.187935,0.224572,0.285269,0.386368"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.130803,0.141167,0.155254,0.182975,0.237882,0.346234,0.561096", \ - "0.132833,0.143245,0.157361,0.185198,0.240140,0.348682,0.563613", \ - "0.145958,0.156435,0.170642,0.198633,0.253855,0.362661,0.577882", \ - "0.177484,0.187737,0.201478,0.229270,0.284019,0.392542,0.607642", \ - "0.230549,0.241030,0.254384,0.281159,0.334999,0.442275,0.656229", \ - "0.292994,0.305892,0.322703,0.354029,0.410332,0.515383,0.727186", \ - "0.359782,0.375160,0.395206,0.432731,0.499984,0.615094,0.823797"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.025512,0.028657,0.032968,0.041594,0.058845,0.093354,0.162465", \ - "0.025516,0.028662,0.032974,0.041605,0.058851,0.093356,0.162466", \ - "0.025361,0.028539,0.032888,0.041554,0.058832,0.093363,0.162458", \ - "0.028868,0.031876,0.035969,0.043931,0.059878,0.093332,0.162426", \ - "0.035884,0.038969,0.043170,0.051410,0.067442,0.098728,0.163358", \ - "0.048381,0.051483,0.055732,0.063847,0.079675,0.110924,0.172554", \ - "0.066624,0.070047,0.074672,0.083019,0.098455,0.128702,0.189672"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.098091,0.108030,0.121660,0.148466,0.201063,0.302426,0.499203", \ - "0.098108,0.108036,0.121674,0.148489,0.201020,0.302404,0.499193", \ - "0.098056,0.108029,0.121660,0.148493,0.201014,0.302424,0.499190", \ - "0.098055,0.108050,0.121582,0.148489,0.200945,0.302401,0.499185", \ - "0.106942,0.115198,0.126794,0.150600,0.200859,0.302372,0.499184", \ - "0.135736,0.143483,0.153662,0.172474,0.213365,0.303606,0.499213", \ - "0.169616,0.178411,0.189979,0.211357,0.249465,0.324137,0.499924"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.042881,0.046584,0.051638,0.061720,0.081821,0.121962,0.202180", \ - "0.048303,0.052062,0.057172,0.067333,0.087524,0.127742,0.208011", \ - "0.062090,0.065960,0.071182,0.081488,0.101864,0.142267,0.222685", \ - "0.080405,0.085003,0.090980,0.102558,0.124286,0.165085,0.245718", \ - "0.098858,0.104770,0.112219,0.126096,0.151480,0.197062,0.279797", \ - "0.112618,0.120739,0.130718,0.148852,0.180156,0.233048,0.324393", \ - "0.118161,0.129149,0.142501,0.166407,0.206526,0.270178,0.373319"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.127963,0.138352,0.152356,0.180101,0.234862,0.343103,0.557548", \ - "0.129967,0.140397,0.154474,0.182315,0.237206,0.345550,0.560097", \ - "0.143100,0.153584,0.167740,0.195755,0.250854,0.359552,0.574348", \ - "0.174645,0.184929,0.198647,0.226376,0.281095,0.389418,0.604115", \ - "0.227586,0.238163,0.251655,0.278369,0.332145,0.439175,0.652696", \ - "0.289201,0.302252,0.319155,0.350743,0.407429,0.512341,0.723657", \ - "0.355072,0.370640,0.390845,0.428613,0.496309,0.611937,0.820317"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.019757,0.022891,0.027225,0.035885,0.053181,0.087722,0.156747", \ - "0.019753,0.022888,0.027220,0.035886,0.053177,0.087726,0.156751", \ - "0.019891,0.022966,0.027258,0.035892,0.053192,0.087729,0.156736", \ - "0.024154,0.027154,0.031263,0.039339,0.055032,0.087916,0.156739", \ - "0.032333,0.035271,0.039379,0.047394,0.063243,0.094406,0.158131", \ - "0.046458,0.049501,0.053618,0.061419,0.076532,0.107072,0.168276", \ - "0.066322,0.069745,0.074275,0.082383,0.097017,0.125891,0.185781"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.081240,0.090425,0.103028,0.127852,0.177198,0.275384,0.470480", \ - "0.081245,0.090426,0.103023,0.127809,0.177251,0.275343,0.470467", \ - "0.081236,0.090423,0.103019,0.127801,0.177180,0.275400,0.470455", \ - "0.081136,0.090408,0.102971,0.127752,0.177154,0.275365,0.470479", \ - "0.090689,0.098007,0.108466,0.130109,0.177044,0.275325,0.470457", \ - "0.114594,0.122661,0.133226,0.152207,0.189943,0.276618,0.470466", \ - "0.140893,0.150488,0.163012,0.185448,0.224743,0.297458,0.471264"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.039280,0.042464,0.046812,0.055484,0.072776,0.107304,0.176304", \ - "0.044709,0.047945,0.052344,0.061080,0.078454,0.113045,0.182090", \ - "0.058893,0.062233,0.066724,0.075583,0.093121,0.127874,0.197045", \ - "0.078040,0.082194,0.087560,0.097869,0.117018,0.152442,0.221807", \ - "0.097233,0.102835,0.109886,0.122793,0.145967,0.186814,0.259327", \ - "0.111285,0.119131,0.128824,0.146284,0.176055,0.225043,0.307137", \ - "0.116842,0.127503,0.140598,0.163997,0.202970,0.263858,0.359088"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.137512,0.147776,0.161646,0.189219,0.243721,0.351631,0.565784", \ - "0.139535,0.149867,0.163820,0.191491,0.246138,0.354173,0.568442", \ - "0.152710,0.163082,0.177101,0.204940,0.259775,0.368132,0.582731", \ - "0.184184,0.194386,0.207997,0.235545,0.290015,0.398042,0.612451", \ - "0.237434,0.247530,0.260807,0.287480,0.341041,0.447820,0.661061", \ - "0.301279,0.313853,0.330202,0.360868,0.416193,0.521065,0.732086", \ - "0.369440,0.384444,0.404005,0.440720,0.506898,0.620767,0.828846"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.017721,0.020407,0.024103,0.031464,0.046141,0.075444,0.133973", \ - "0.017720,0.020408,0.024099,0.031463,0.046156,0.075457,0.133967", \ - "0.018019,0.020573,0.024162,0.031464,0.046147,0.075450,0.133965", \ - "0.022784,0.025334,0.028795,0.035569,0.048657,0.075944,0.133956", \ - "0.032053,0.034564,0.038019,0.044745,0.057915,0.083837,0.136292", \ - "0.047362,0.050044,0.053599,0.060295,0.072885,0.098056,0.148723", \ - "0.068291,0.071325,0.075327,0.082505,0.095155,0.119166,0.168262"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.085530,0.094801,0.107462,0.132453,0.182068,0.280442,0.475831", \ - "0.085530,0.094800,0.107453,0.132452,0.182078,0.280435,0.475847", \ - "0.085526,0.094798,0.107472,0.132412,0.182049,0.280432,0.475852", \ - "0.085518,0.094800,0.107427,0.132402,0.182020,0.280464,0.475845", \ - "0.093281,0.100971,0.111758,0.134051,0.181962,0.280418,0.475857", \ - "0.117374,0.125344,0.135755,0.154528,0.193267,0.281416,0.475858", \ - "0.144321,0.153744,0.166031,0.188310,0.227303,0.300902,0.476456"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.059339,0.063439,0.068947,0.079738,0.100837,0.142143,0.223523", \ - "0.064219,0.068326,0.073842,0.084645,0.105753,0.147059,0.228453", \ - "0.078231,0.082350,0.087872,0.098698,0.119845,0.161201,0.242629", \ - "0.099826,0.104254,0.110035,0.121332,0.142753,0.184188,0.265698", \ - "0.124389,0.129552,0.136344,0.149269,0.173203,0.217602,0.299999", \ - "0.148260,0.154695,0.162761,0.178259,0.206661,0.256740,0.346055", \ - "0.167548,0.175786,0.186054,0.205397,0.239691,0.298251,0.397573"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.144294,0.154637,0.168685,0.196452,0.251285,0.359724,0.574501", \ - "0.148609,0.158978,0.173044,0.200791,0.255640,0.364162,0.578962", \ - "0.166211,0.176626,0.190769,0.218605,0.273593,0.382216,0.597230", \ - "0.196243,0.206653,0.220660,0.248462,0.303459,0.412236,0.627317", \ - "0.241100,0.251694,0.265824,0.293407,0.348257,0.456892,0.672040", \ - "0.294689,0.306902,0.323139,0.354059,0.411688,0.520044,0.735103", \ - "0.355163,0.369088,0.387473,0.422344,0.486792,0.603283,0.818462"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.030803,0.033968,0.038307,0.046992,0.064326,0.098961,0.168212", \ - "0.030799,0.033963,0.038311,0.047001,0.064331,0.098952,0.168212", \ - "0.030722,0.033912,0.038282,0.046982,0.064322,0.098958,0.168211", \ - "0.033547,0.036567,0.040660,0.048697,0.065093,0.098993,0.168206", \ - "0.039984,0.043131,0.047434,0.055822,0.071977,0.103486,0.168982", \ - "0.051149,0.054340,0.058758,0.067207,0.083615,0.115263,0.177318", \ - "0.068119,0.071555,0.076271,0.084869,0.100982,0.132324,0.194073"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.098108,0.108076,0.121680,0.148545,0.201035,0.302451,0.499202", \ - "0.098106,0.108071,0.121670,0.148447,0.201004,0.302448,0.499193", \ - "0.098103,0.108049,0.121685,0.148471,0.201004,0.302402,0.499186", \ - "0.098032,0.108048,0.121678,0.148476,0.200960,0.302443,0.499188", \ - "0.102417,0.111547,0.124165,0.149517,0.200927,0.302404,0.499186", \ - "0.120213,0.128834,0.140353,0.162560,0.208308,0.303353,0.499208", \ - "0.142507,0.151471,0.163483,0.186802,0.230589,0.316100,0.500118"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.050357,0.054343,0.059698,0.070238,0.090950,0.131766,0.212673", \ - "0.055247,0.059234,0.064594,0.075143,0.095860,0.136684,0.217602", \ - "0.069186,0.073194,0.078576,0.089166,0.109939,0.150817,0.231753", \ - "0.089382,0.093898,0.099766,0.111161,0.132690,0.173759,0.254783", \ - "0.111260,0.116739,0.123762,0.137078,0.161652,0.206530,0.289026", \ - "0.130642,0.137794,0.146665,0.163251,0.192830,0.244180,0.334394", \ - "0.143555,0.152955,0.164481,0.185896,0.222745,0.283554,0.384666"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.141418,0.151786,0.165797,0.193534,0.248276,0.356498,0.570960", \ - "0.145747,0.156130,0.170147,0.197921,0.252712,0.360960,0.575424", \ - "0.163345,0.173779,0.187871,0.215721,0.270641,0.379070,0.593698", \ - "0.193391,0.203815,0.217768,0.245589,0.300504,0.409013,0.623799", \ - "0.238120,0.248853,0.263082,0.290575,0.345334,0.453731,0.668518", \ - "0.291235,0.303550,0.319817,0.350885,0.408691,0.516969,0.731554", \ - "0.351141,0.365191,0.383622,0.418664,0.483378,0.600057,0.814987"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.025561,0.028705,0.033020,0.041651,0.058905,0.093461,0.162633", \ - "0.025544,0.028695,0.033018,0.041652,0.058899,0.093446,0.162637", \ - "0.025608,0.028726,0.033028,0.041663,0.058915,0.093452,0.162593", \ - "0.029155,0.032174,0.036267,0.044285,0.060278,0.093600,0.162563", \ - "0.036293,0.039368,0.043574,0.051800,0.067787,0.099070,0.163707", \ - "0.048890,0.051976,0.056235,0.064353,0.080132,0.111328,0.172929", \ - "0.067111,0.070566,0.075205,0.083574,0.098999,0.129205,0.190106"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.081230,0.090431,0.103032,0.127849,0.177192,0.275342,0.470473", \ - "0.081237,0.090430,0.103007,0.127846,0.177212,0.275346,0.470462", \ - "0.081232,0.090432,0.103028,0.127803,0.177194,0.275328,0.470482", \ - "0.081208,0.090409,0.102954,0.127804,0.177164,0.275340,0.470463", \ - "0.085882,0.094148,0.105678,0.128937,0.177113,0.275319,0.470469", \ - "0.100480,0.108930,0.120361,0.142038,0.184677,0.276330,0.470466", \ - "0.117926,0.127018,0.139339,0.162492,0.205802,0.289207,0.471477"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.045381,0.048822,0.053448,0.062546,0.080405,0.115559,0.185183", \ - "0.050344,0.053792,0.058420,0.067526,0.085390,0.120553,0.190187", \ - "0.064660,0.068122,0.072774,0.081913,0.099826,0.135042,0.204698", \ - "0.085894,0.089924,0.095164,0.105255,0.124179,0.159705,0.229469", \ - "0.108823,0.113937,0.120463,0.132634,0.155051,0.195053,0.267101", \ - "0.128666,0.135584,0.144158,0.159981,0.187820,0.235040,0.315889", \ - "0.141515,0.150714,0.162034,0.182915,0.218607,0.276306,0.369274"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.150965,0.161230,0.175101,0.202666,0.257159,0.365029,0.579174", \ - "0.155359,0.165644,0.179551,0.207154,0.261699,0.369616,0.583814", \ - "0.172981,0.183307,0.197266,0.224940,0.279637,0.387747,0.602140", \ - "0.203003,0.213317,0.227106,0.254767,0.309439,0.417640,0.632160", \ - "0.248039,0.258401,0.272439,0.299748,0.354267,0.462343,0.676834", \ - "0.302583,0.314551,0.330390,0.360881,0.417755,0.525610,0.739844", \ - "0.364124,0.377749,0.395723,0.429955,0.493543,0.609006,0.823363"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.022744,0.025427,0.029094,0.036421,0.051041,0.080308,0.138916", \ - "0.022724,0.025410,0.029076,0.036406,0.051038,0.080313,0.138927", \ - "0.022859,0.025470,0.029108,0.036414,0.051049,0.080312,0.138907", \ - "0.026998,0.029533,0.032967,0.039685,0.052928,0.080672,0.138896", \ - "0.035250,0.037834,0.041360,0.048252,0.061568,0.087647,0.140857", \ - "0.049219,0.051894,0.055535,0.062409,0.075550,0.101373,0.152480", \ - "0.068582,0.071656,0.075763,0.083156,0.096390,0.121466,0.171610"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.085526,0.094802,0.107465,0.132447,0.182073,0.280435,0.475857", \ - "0.085528,0.094803,0.107456,0.132461,0.182067,0.280435,0.475857", \ - "0.085526,0.094802,0.107464,0.132482,0.182071,0.280438,0.475828", \ - "0.085520,0.094800,0.107451,0.132412,0.182029,0.280441,0.475844", \ - "0.089357,0.097844,0.109555,0.133238,0.181988,0.280427,0.475840", \ - "0.103887,0.112347,0.123785,0.145391,0.188737,0.281228,0.475830", \ - "0.121506,0.130583,0.142879,0.166033,0.209460,0.293418,0.476676"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.036342,0.040346,0.045731,0.056304,0.077045,0.117880,0.198767", \ - "0.040698,0.044755,0.050178,0.060816,0.081635,0.122538,0.203481", \ - "0.056129,0.059989,0.065342,0.075949,0.096770,0.137720,0.218719", \ - "0.079639,0.085001,0.091935,0.104591,0.126538,0.166911,0.247628", \ - "0.098442,0.105960,0.115345,0.132930,0.163123,0.212429,0.293651", \ - "0.110649,0.120451,0.132721,0.155491,0.194842,0.258633,0.357658", \ - "0.115286,0.127446,0.142809,0.171055,0.219897,0.299310,0.421799"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.078326,0.088380,0.102034,0.129012,0.182686,0.289578,0.502709", \ - "0.080011,0.090349,0.104289,0.131729,0.186001,0.293455,0.507035", \ - "0.093183,0.103299,0.117072,0.144496,0.198945,0.306973,0.521300", \ - "0.126377,0.135883,0.148992,0.175494,0.228905,0.336121,0.550273", \ - "0.168132,0.181195,0.197786,0.227881,0.280582,0.385735,0.598248", \ - "0.213925,0.230005,0.250537,0.287774,0.352147,0.459402,0.669010", \ - "0.264257,0.283289,0.307713,0.351993,0.428890,0.555531,0.765766"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.025535,0.028685,0.032995,0.041640,0.058892,0.093440,0.162579", \ - "0.025572,0.028706,0.033016,0.041645,0.058895,0.093439,0.162595", \ - "0.025204,0.028178,0.032425,0.041358,0.058856,0.093435,0.162590", \ - "0.036938,0.039506,0.042798,0.049095,0.061934,0.093383,0.162550", \ - "0.054699,0.058073,0.062414,0.069954,0.083295,0.107034,0.163946", \ - "0.076489,0.080834,0.086586,0.096194,0.112496,0.139473,0.185861", \ - "0.102180,0.107626,0.114734,0.126751,0.146794,0.178887,0.230072"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.068200,0.078077,0.091514,0.118127,0.170464,0.271438,0.467036", \ - "0.068152,0.078087,0.091531,0.118143,0.170459,0.271443,0.467036", \ - "0.068147,0.078084,0.091485,0.118182,0.170436,0.271420,0.467028", \ - "0.074059,0.081895,0.093324,0.117995,0.170443,0.271407,0.467040", \ - "0.099655,0.106244,0.113920,0.131627,0.174158,0.271364,0.467026", \ - "0.130969,0.138105,0.147762,0.165757,0.198943,0.278258,0.467023", \ - "0.168035,0.175553,0.185938,0.205862,0.242424,0.308929,0.471021"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.028462,0.032188,0.037250,0.047342,0.067448,0.107587,0.187788", \ - "0.032713,0.036494,0.041624,0.051801,0.072012,0.112232,0.192493", \ - "0.047783,0.051748,0.056728,0.066845,0.087090,0.127393,0.207725", \ - "0.065095,0.071306,0.079108,0.093005,0.116448,0.156607,0.236662", \ - "0.076796,0.085518,0.096439,0.115969,0.148683,0.200594,0.282781", \ - "0.081597,0.092995,0.107096,0.132685,0.175439,0.243007,0.345421", \ - "0.078668,0.092795,0.110414,0.142017,0.195260,0.279508,0.406503"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.075713,0.085788,0.099430,0.126397,0.179973,0.286604,0.499326", \ - "0.077388,0.087702,0.101647,0.129090,0.183278,0.290483,0.503665", \ - "0.090586,0.100698,0.114457,0.141827,0.196211,0.304015,0.517923", \ - "0.123642,0.133427,0.146475,0.172898,0.226174,0.333195,0.546880", \ - "0.164450,0.177710,0.194461,0.225020,0.277925,0.382815,0.594865", \ - "0.209208,0.225563,0.246313,0.284021,0.348933,0.456526,0.665630", \ - "0.258485,0.277830,0.302479,0.347299,0.424836,0.552185,0.762465"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.019720,0.022884,0.027220,0.035887,0.053188,0.087720,0.156750", \ - "0.019692,0.022877,0.027217,0.035895,0.053186,0.087733,0.156738", \ - "0.021520,0.023957,0.027633,0.035686,0.053168,0.087724,0.156747", \ - "0.035222,0.037722,0.040978,0.047154,0.058953,0.088154,0.156733", \ - "0.053603,0.056984,0.061373,0.068880,0.082067,0.105168,0.159192", \ - "0.076013,0.080388,0.086161,0.095673,0.111827,0.138471,0.183866", \ - "0.102342,0.107798,0.114794,0.126773,0.146578,0.178321,0.228965"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.055408,0.064315,0.076467,0.100640,0.149014,0.245731,0.438908", \ - "0.055413,0.064312,0.076468,0.100608,0.149034,0.245726,0.438921", \ - "0.055365,0.064297,0.076431,0.100615,0.149009,0.245687,0.438924", \ - "0.061875,0.068551,0.078498,0.100509,0.148952,0.245718,0.438965", \ - "0.082998,0.089871,0.098884,0.114658,0.152946,0.245646,0.438965", \ - "0.106577,0.114726,0.125453,0.144491,0.177920,0.252757,0.438911", \ - "0.133104,0.142675,0.155251,0.177592,0.216611,0.283621,0.443097"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.024547,0.027608,0.031769,0.040066,0.056598,0.089598,0.155539", \ - "0.028968,0.032078,0.036292,0.044658,0.061269,0.094338,0.160324", \ - "0.044229,0.047816,0.052299,0.060562,0.077178,0.110276,0.176301", \ - "0.060150,0.065861,0.073008,0.085689,0.106885,0.141181,0.206869", \ - "0.070469,0.078614,0.088740,0.106822,0.136829,0.183937,0.255604", \ - "0.073809,0.084538,0.097774,0.121613,0.161282,0.223301,0.316145", \ - "0.069208,0.082600,0.099257,0.128952,0.178722,0.256745,0.373009"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.075710,0.085770,0.099424,0.126390,0.180001,0.286616,0.499371", \ - "0.077122,0.087430,0.101378,0.128841,0.183047,0.290284,0.503489", \ - "0.090283,0.100360,0.114081,0.141411,0.195731,0.303557,0.517504", \ - "0.123552,0.133340,0.146361,0.172699,0.225871,0.332772,0.546419", \ - "0.164589,0.177830,0.194531,0.225028,0.277915,0.382653,0.594548", \ - "0.209698,0.226016,0.246765,0.284368,0.349182,0.456653,0.665677", \ - "0.259490,0.278769,0.303352,0.348070,0.425463,0.552639,0.762755"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.016117,0.018732,0.022313,0.029472,0.043784,0.072355,0.129482", \ - "0.016118,0.018728,0.022320,0.029478,0.043776,0.072364,0.129490", \ - "0.019450,0.021125,0.023797,0.029855,0.043757,0.072363,0.129481", \ - "0.032784,0.035011,0.037847,0.043093,0.052593,0.074311,0.129479", \ - "0.050731,0.053724,0.057649,0.064290,0.075761,0.095288,0.135533", \ - "0.072695,0.076619,0.081816,0.090362,0.104652,0.127910,0.166098", \ - "0.098637,0.103603,0.110013,0.120860,0.138542,0.166600,0.210527"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.055417,0.064284,0.076456,0.100663,0.149057,0.245715,0.438940", \ - "0.055416,0.064285,0.076466,0.100618,0.149043,0.245745,0.438985", \ - "0.055366,0.064271,0.076438,0.100639,0.148974,0.245708,0.438935", \ - "0.061916,0.068576,0.078528,0.100516,0.148963,0.245738,0.438988", \ - "0.082917,0.089746,0.098768,0.114620,0.152959,0.245652,0.438988", \ - "0.106315,0.114449,0.125190,0.144323,0.177814,0.252743,0.439014", \ - "0.132559,0.142121,0.154696,0.177175,0.216293,0.283439,0.443084"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.044935,0.049036,0.054534,0.065316,0.086405,0.127692,0.209056", \ - "0.048655,0.052770,0.058289,0.069096,0.090197,0.131493,0.212860", \ - "0.063316,0.067436,0.072998,0.083825,0.104940,0.146227,0.227591", \ - "0.090307,0.095191,0.101561,0.113400,0.134391,0.175392,0.256481", \ - "0.114655,0.121417,0.129875,0.146153,0.174509,0.221753,0.302568", \ - "0.133049,0.141839,0.152908,0.173788,0.210528,0.271342,0.367492", \ - "0.144423,0.155299,0.169142,0.195024,0.240494,0.315879,0.434440"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.092371,0.102349,0.115855,0.142745,0.196283,0.303007,0.516104", \ - "0.096806,0.106952,0.120730,0.147901,0.201869,0.309046,0.522475", \ - "0.113193,0.123344,0.137101,0.164456,0.218799,0.326595,0.540668", \ - "0.142891,0.152795,0.166251,0.193385,0.247471,0.355290,0.569777", \ - "0.180954,0.192895,0.208261,0.237522,0.291739,0.399132,0.613484", \ - "0.223438,0.237600,0.255952,0.289962,0.351731,0.461959,0.675895", \ - "0.271362,0.288076,0.309437,0.348776,0.419123,0.542008,0.758923"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.030851,0.034003,0.038332,0.047012,0.064333,0.098955,0.168218", \ - "0.030887,0.034026,0.038345,0.047009,0.064330,0.098952,0.168217", \ - "0.030294,0.033553,0.038095,0.047036,0.064347,0.098956,0.168216", \ - "0.039737,0.042255,0.045575,0.051929,0.066063,0.098881,0.168210", \ - "0.057330,0.060598,0.064869,0.072306,0.085654,0.110005,0.169051", \ - "0.078833,0.083087,0.088683,0.098253,0.114511,0.141587,0.188848", \ - "0.103706,0.109113,0.116221,0.128200,0.148379,0.180676,0.232142"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.068150,0.078089,0.091504,0.118196,0.170459,0.271415,0.467038", \ - "0.068160,0.078079,0.091540,0.118164,0.170449,0.271430,0.467026", \ - "0.068151,0.078087,0.091521,0.118174,0.170437,0.271420,0.467032", \ - "0.069932,0.079065,0.091760,0.118161,0.170425,0.271420,0.467027", \ - "0.084925,0.092842,0.102928,0.124848,0.172213,0.271382,0.467027", \ - "0.106021,0.113932,0.124644,0.145821,0.186799,0.275605,0.467026", \ - "0.133255,0.140790,0.151429,0.172494,0.214057,0.294311,0.470163"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.035895,0.039883,0.045239,0.055774,0.076459,0.117220,0.198014", \ - "0.039598,0.043606,0.048981,0.059538,0.080241,0.121022,0.201821", \ - "0.054474,0.058325,0.063692,0.074264,0.094991,0.135756,0.216543", \ - "0.077327,0.082757,0.089806,0.102566,0.124651,0.164991,0.245454", \ - "0.095653,0.103271,0.112748,0.130513,0.160895,0.210382,0.291643", \ - "0.107643,0.117546,0.129898,0.152839,0.192385,0.256375,0.355553", \ - "0.112500,0.124731,0.140167,0.168517,0.217480,0.296988,0.419552"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.089816,0.099758,0.113263,0.140101,0.193527,0.300077,0.512725", \ - "0.094187,0.104346,0.118088,0.145284,0.199145,0.306087,0.519085", \ - "0.110606,0.120724,0.134469,0.161817,0.216053,0.323645,0.537273", \ - "0.140319,0.150252,0.163678,0.190730,0.244732,0.352351,0.566354", \ - "0.177774,0.189809,0.205293,0.234694,0.289024,0.396194,0.610088", \ - "0.219519,0.233847,0.252416,0.286675,0.348676,0.459032,0.672500", \ - "0.266595,0.283536,0.305114,0.344898,0.415615,0.538753,0.755576"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.025789,0.028859,0.033112,0.041673,0.058862,0.093363,0.162447", \ - "0.025775,0.028855,0.033112,0.041678,0.058856,0.093368,0.162446", \ - "0.025827,0.028709,0.032834,0.041550,0.058915,0.093365,0.162434", \ - "0.037992,0.040528,0.043755,0.049909,0.062603,0.093576,0.162433", \ - "0.055987,0.059317,0.063658,0.071083,0.084282,0.107808,0.164143", \ - "0.077528,0.081950,0.087720,0.097360,0.113607,0.140455,0.186593", \ - "0.102300,0.107897,0.115207,0.127410,0.147659,0.179841,0.230913"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.055409,0.064317,0.076443,0.100620,0.148985,0.245732,0.438962", \ - "0.055404,0.064322,0.076454,0.100654,0.149004,0.245701,0.438921", \ - "0.055412,0.064320,0.076435,0.100628,0.148971,0.245693,0.438912", \ - "0.057394,0.065447,0.076726,0.100618,0.148963,0.245732,0.438908", \ - "0.069908,0.077603,0.087771,0.107495,0.150850,0.245638,0.438924", \ - "0.086226,0.094234,0.105107,0.125732,0.165527,0.249934,0.438919", \ - "0.106879,0.115151,0.126593,0.147987,0.189462,0.268782,0.442136"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.030166,0.033480,0.037926,0.046642,0.063713,0.097285,0.163756", \ - "0.034152,0.037479,0.041933,0.050663,0.067748,0.101332,0.167811", \ - "0.050065,0.053341,0.057650,0.066308,0.083372,0.116923,0.183369", \ - "0.071143,0.076174,0.082586,0.094182,0.114068,0.147828,0.213907", \ - "0.087725,0.094820,0.103596,0.119957,0.147771,0.192599,0.262658", \ - "0.097948,0.107241,0.118805,0.140152,0.176656,0.235346,0.325127", \ - "0.100881,0.112426,0.126959,0.153503,0.199155,0.272656,0.384742"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.089795,0.099737,0.113269,0.140100,0.193531,0.300093,0.512768", \ - "0.093969,0.104104,0.117862,0.145048,0.198941,0.305900,0.518933", \ - "0.110346,0.120429,0.134153,0.161451,0.215660,0.323243,0.536920", \ - "0.140218,0.150157,0.163539,0.190524,0.244423,0.351963,0.565971", \ - "0.177722,0.189714,0.205284,0.234603,0.288876,0.395943,0.609732", \ - "0.219562,0.233876,0.252412,0.286656,0.348631,0.458916,0.672241", \ - "0.266937,0.283839,0.305415,0.345076,0.415736,0.538788,0.755506"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.021224,0.023777,0.027294,0.034357,0.048537,0.077027,0.134166", \ - "0.021157,0.023735,0.027256,0.034342,0.048536,0.077027,0.134177", \ - "0.022311,0.024453,0.027553,0.034232,0.048558,0.077035,0.134157", \ - "0.035152,0.037315,0.040096,0.045268,0.054978,0.078167,0.134162", \ - "0.052633,0.055606,0.059470,0.066038,0.077491,0.097145,0.139061", \ - "0.073669,0.077649,0.082884,0.091523,0.105966,0.129405,0.167812", \ - "0.097991,0.103107,0.109749,0.120943,0.139098,0.167635,0.211954"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.055407,0.064287,0.076460,0.100647,0.148992,0.245746,0.438986", \ - "0.055411,0.064288,0.076449,0.100630,0.149028,0.245738,0.438946", \ - "0.055413,0.064288,0.076438,0.100642,0.148981,0.245704,0.438936", \ - "0.057413,0.065455,0.076734,0.100606,0.148967,0.245745,0.438948", \ - "0.069934,0.077564,0.087809,0.107528,0.150869,0.245643,0.438987", \ - "0.086223,0.094165,0.105066,0.125763,0.165541,0.249962,0.438985", \ - "0.106758,0.114997,0.126441,0.147897,0.189436,0.268780,0.442166"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.413047,2.430047,2.457006,2.491322,2.548131,2.605402,2.684888", \ - "2.374736,2.388116,2.418472,2.468043,2.521484,2.587735,2.674077", \ - "2.294979,2.316875,2.339169,2.396009,2.471269,2.550432,2.649832", \ - "2.248013,2.263244,2.286893,2.343902,2.394468,2.507042,2.615903", \ - "2.345711,2.351992,2.351266,2.361738,2.381311,2.477564,2.585061", \ - "2.564278,2.554114,2.550615,2.538224,2.531027,2.526680,2.599034", \ - "2.912702,2.900584,2.875162,2.840705,2.807701,2.745247,2.698044"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("8.102372,8.106611,8.127050,8.128353,8.126885,8.227390,8.263676", \ - "8.018505,8.035981,8.030308,8.077878,8.059741,8.170002,8.214840", \ - "7.879675,7.895290,7.927440,7.969205,8.014424,8.070551,8.221485", \ - "7.957468,7.971198,7.976914,7.980974,8.006624,8.103586,8.168564", \ - "8.261380,8.252902,8.246947,8.174380,8.222882,8.233588,8.136094", \ - "8.659558,8.665503,8.662576,8.672369,8.561399,8.519453,8.464985", \ - "9.280358,9.270571,9.249054,9.201317,9.204449,9.012476,8.902881"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.703482,1.709640,1.727865,1.740615,1.786400,1.822049,1.863139", \ - "1.657235,1.669473,1.691838,1.723681,1.765727,1.799373,1.852598", \ - "1.560934,1.583092,1.610508,1.645056,1.701199,1.758271,1.827651", \ - "1.504851,1.514497,1.536624,1.575792,1.622563,1.709480,1.779492", \ - "1.636269,1.630478,1.627386,1.610478,1.602691,1.678084,1.757658", \ - "1.859585,1.845108,1.826764,1.798922,1.775173,1.724775,1.772012", \ - "2.231653,2.207864,2.183959,2.127140,2.062032,1.964967,1.863399"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("7.136828,7.145556,7.146086,7.191320,7.204972,7.186010,7.341832", \ - "7.051843,7.074137,7.078580,7.080976,7.136320,7.246183,7.274878", \ - "6.912905,6.945019,6.942075,6.952214,7.093051,7.110457,7.157472", \ - "6.991693,7.007979,7.010738,7.006654,7.064473,7.061974,7.234482", \ - "7.289338,7.297892,7.268681,7.218571,7.239007,7.244896,7.196238", \ - "7.620930,7.624579,7.646908,7.672949,7.692100,7.595882,7.528392", \ - "8.252950,8.247102,8.241336,8.193244,8.187637,8.144737,7.965953"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.690411,1.705951,1.722635,1.748738,1.772615,1.805595,1.850532", \ - "1.649204,1.663886,1.681417,1.715625,1.744403,1.798240,1.839816", \ - "1.550125,1.570994,1.599901,1.641337,1.696129,1.753279,1.814446", \ - "1.503004,1.517040,1.532800,1.556495,1.623386,1.704607,1.769225", \ - "1.638131,1.634287,1.629787,1.619690,1.616279,1.669114,1.751266", \ - "1.871187,1.856999,1.835846,1.814477,1.785867,1.742435,1.766533", \ - "2.253286,2.229605,2.196921,2.140125,2.074125,1.980139,1.875664"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("7.616369,7.625076,7.629941,7.659189,7.680532,7.660602,7.799700", \ - "7.534927,7.550697,7.581319,7.592003,7.618831,7.729355,7.759180", \ - "7.390827,7.410444,7.441481,7.494931,7.569165,7.635293,7.637953", \ - "7.461349,7.473592,7.476282,7.478216,7.548176,7.533815,7.706270", \ - "7.773009,7.764930,7.721565,7.752140,7.709736,7.733557,7.668891", \ - "8.098369,8.104306,8.121722,8.136667,8.106168,8.069470,8.005057", \ - "8.721801,8.716254,8.718772,8.679776,8.646078,8.559411,8.447592"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.703482,1.709640,1.727865,1.740615,1.786400,1.822049,1.863139", \ - "1.657235,1.669473,1.691838,1.723681,1.765727,1.799373,1.852598", \ - "1.560934,1.583092,1.610508,1.645056,1.701199,1.758271,1.827651", \ - "1.504851,1.514497,1.536624,1.575792,1.622563,1.709480,1.779492", \ - "1.636269,1.630478,1.627386,1.610478,1.602691,1.678084,1.757658", \ - "1.859585,1.845108,1.826764,1.798922,1.775173,1.724775,1.772012", \ - "2.231653,2.207864,2.183959,2.127140,2.062032,1.964967,1.863399"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("7.136828,7.145556,7.146086,7.191320,7.204972,7.186010,7.341832", \ - "7.051843,7.074137,7.078580,7.080976,7.136320,7.246183,7.274878", \ - "6.912905,6.945019,6.942075,6.952214,7.093051,7.110457,7.157472", \ - "6.991693,7.007979,7.010738,7.006654,7.064473,7.061974,7.234482", \ - "7.289338,7.297892,7.268681,7.218571,7.239007,7.244896,7.196238", \ - "7.620930,7.624579,7.646908,7.672949,7.692100,7.595882,7.528392", \ - "8.252950,8.247102,8.241336,8.193244,8.187637,8.144737,7.965953"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.026354,1.027052,1.032010,1.018697,1.033467,1.032598,1.038349", \ - "0.967865,0.975483,0.984959,0.998238,1.010488,1.015325,1.028179", \ - "0.838032,0.855430,0.876280,0.902079,0.939093,0.977719,1.001313", \ - "0.796184,0.786669,0.785761,0.819413,0.850227,0.914909,0.960460", \ - "0.930363,0.914554,0.899756,0.880922,0.842937,0.880670,0.928960", \ - "1.168460,1.143906,1.119199,1.069686,1.018336,0.940367,0.937575", \ - "1.580705,1.542793,1.498972,1.425453,1.315446,1.185007,1.046481"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("6.166309,6.191912,6.209292,6.221556,6.241984,6.280996,6.399449", \ - "6.080803,6.112831,6.136039,6.168774,6.162152,6.295218,6.345918", \ - "5.942572,5.978986,6.018725,6.015562,6.130852,6.223542,6.225907", \ - "6.040600,6.054934,6.052279,6.048761,6.064664,6.212308,6.172048", \ - "6.208960,6.234797,6.280369,6.332033,6.240883,6.294450,6.265623", \ - "6.569353,6.582229,6.604016,6.653419,6.735240,6.567095,6.470694", \ - "7.196009,7.198837,7.193212,7.218287,7.209358,7.233626,6.906491"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.020522,1.024624,1.030064,1.022683,1.032689,1.039298,1.033906", \ - "0.962632,0.973404,0.986277,0.991135,1.009858,1.015206,1.030903", \ - "0.833048,0.858733,0.873661,0.893914,0.943718,0.968497,1.004399", \ - "0.798957,0.795310,0.787710,0.813443,0.865544,0.905750,0.963066", \ - "0.934373,0.921687,0.912418,0.889920,0.859976,0.876133,0.931111", \ - "1.175465,1.156581,1.123504,1.089752,1.033184,0.959120,0.949134", \ - "1.596521,1.558235,1.516057,1.441814,1.335532,1.202756,1.065380"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("6.644006,6.668127,6.653529,6.721352,6.709208,6.797059,6.868813", \ - "6.561980,6.592953,6.601444,6.666549,6.668724,6.701280,6.827533", \ - "6.417791,6.452421,6.480949,6.514176,6.574299,6.712649,6.703670", \ - "6.509009,6.508008,6.519176,6.531686,6.581043,6.627127,6.646296", \ - "6.691352,6.722164,6.751290,6.754291,6.752955,6.763419,6.737384", \ - "7.033984,7.061639,7.085853,7.120929,7.206158,7.039414,6.945407", \ - "7.663784,7.673285,7.686900,7.693872,7.658413,7.706936,7.386705"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.677754,1.683948,1.712624,1.737819,1.772699,1.803850,1.851494", \ - "1.626737,1.651386,1.667514,1.707950,1.750085,1.792590,1.840106", \ - "1.526684,1.554559,1.580250,1.621896,1.682754,1.751477,1.813002", \ - "1.518294,1.517813,1.527705,1.562967,1.627027,1.695972,1.777796", \ - "1.655618,1.644700,1.645407,1.638459,1.629424,1.665548,1.747539", \ - "1.879741,1.869554,1.853742,1.825268,1.800230,1.763522,1.771435", \ - "2.257992,2.240884,2.210965,2.156936,2.096726,2.004262,1.902494"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("7.122165,7.132100,7.156151,7.146446,7.190134,7.171770,7.313342", \ - "7.004226,7.040070,7.038433,7.084706,7.105330,7.215738,7.245291", \ - "6.889355,6.910100,6.947989,6.969566,6.954617,7.079162,7.124889", \ - "6.984237,7.001732,6.986219,6.988856,7.043212,7.038669,7.204297", \ - "7.294790,7.306693,7.276218,7.233310,7.236232,7.234784,7.178218", \ - "7.639137,7.654555,7.647533,7.688453,7.703951,7.601977,7.524158", \ - "8.299575,8.289089,8.275128,8.266207,8.216679,8.107966,7.980104"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.029924,1.023689,1.032016,1.022636,1.026051,1.037129,1.036617", \ - "0.967007,0.968129,0.982376,0.998254,0.999634,1.021655,1.028195", \ - "0.833787,0.856110,0.876266,0.907646,0.938689,0.976155,0.996404", \ - "0.832236,0.829254,0.814209,0.826234,0.866883,0.906224,0.961567", \ - "0.955614,0.949515,0.932883,0.907145,0.885185,0.889948,0.936691", \ - "1.198473,1.177382,1.148975,1.104672,1.056009,0.987250,0.947077", \ - "1.612321,1.579006,1.534236,1.466080,1.356518,1.234879,1.094230"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("6.151714,6.177251,6.183857,6.212325,6.194405,6.266912,6.385695", \ - "6.049313,6.062959,6.091836,6.134609,6.106058,6.189247,6.317492", \ - "5.919040,5.954633,5.988612,5.985976,6.094235,6.196545,6.193250", \ - "6.033541,6.030541,6.026648,6.043711,6.108092,6.133847,6.146160", \ - "6.214437,6.239416,6.285757,6.328534,6.237278,6.282082,6.247198", \ - "6.587803,6.608773,6.620295,6.669188,6.747707,6.572805,6.466501", \ - "7.241966,7.245145,7.257704,7.249180,7.237066,7.256887,6.920479"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.029820,1.031145,1.021703,1.028152,1.039016,1.036524,1.029725", \ - "0.959996,0.975214,0.983530,0.997639,1.012027,1.018851,1.030268", \ - "0.835259,0.859748,0.870288,0.898676,0.944705,0.976747,0.999858", \ - "0.843447,0.836973,0.833637,0.825749,0.870328,0.919978,0.955385", \ - "0.965897,0.951948,0.936184,0.927879,0.899737,0.887502,0.936632", \ - "1.211399,1.189798,1.163219,1.116731,1.068542,1.006228,0.955947", \ - "1.635762,1.594415,1.546891,1.478643,1.378911,1.254422,1.121936"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("6.629897,6.634631,6.656711,6.656375,6.689792,6.739834,6.855318", \ - "6.529805,6.541668,6.568365,6.617308,6.635134,6.671209,6.798149", \ - "6.391981,6.407144,6.438401,6.501887,6.542940,6.664824,6.670066", \ - "6.501496,6.498898,6.513742,6.525215,6.517812,6.628133,6.615991", \ - "6.696807,6.726800,6.762452,6.799210,6.755355,6.755123,6.719458", \ - "7.062012,7.079625,7.102003,7.121637,7.219348,7.046600,6.942024", \ - "7.710487,7.720369,7.713125,7.725467,7.687469,7.744785,7.533212"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.742754,1.755783,1.759772,1.791823,1.826358,1.861392,1.894835", \ - "1.747339,1.764945,1.792955,1.819635,1.862017,1.907717,1.953541", \ - "1.691518,1.715314,1.731831,1.780110,1.846178,1.902971,1.969903", \ - "1.609748,1.629202,1.654698,1.698157,1.757971,1.839907,1.936417", \ - "1.678157,1.692383,1.695799,1.712677,1.713992,1.800749,1.889297", \ - "1.797835,1.803187,1.802598,1.810850,1.816113,1.812728,1.878597", \ - "2.033096,2.023800,2.010831,2.005426,1.988272,1.973044,1.926516"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("8.510286,8.531245,8.543814,8.575869,8.613307,8.732936,8.796185", \ - "8.417434,8.441210,8.472676,8.510346,8.553482,8.622493,8.747372", \ - "8.308662,8.325877,8.359176,8.402618,8.490793,8.574093,8.711589", \ - "8.336232,8.356646,8.360155,8.380489,8.470163,8.548395,8.686408", \ - "8.548355,8.561441,8.563843,8.559180,8.571545,8.616023,8.726388", \ - "8.970779,8.961369,8.962539,8.933468,8.899946,8.898768,8.902641", \ - "9.524739,9.541499,9.548819,9.503714,9.415614,9.345755,9.223696"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.067101,1.067063,1.066700,1.071683,1.066181,1.076354,1.077806", \ - "1.059508,1.067323,1.077579,1.089832,1.105394,1.116293,1.130335", \ - "0.959490,0.980411,0.997207,1.035973,1.080773,1.113926,1.146010", \ - "0.880666,0.878394,0.882623,0.926280,0.995118,1.060888,1.111461", \ - "0.945099,0.941734,0.943819,0.949077,0.946112,0.997800,1.069970", \ - "1.068788,1.061749,1.057732,1.050419,1.045025,1.019765,1.049031", \ - "1.313603,1.297066,1.279869,1.258838,1.222397,1.174246,1.104081"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("7.480838,7.506938,7.535230,7.596169,7.626605,7.747994,7.865999", \ - "7.387257,7.415963,7.450816,7.487184,7.571656,7.695867,7.818597", \ - "7.278414,7.309313,7.336278,7.406335,7.508746,7.587012,7.719017", \ - "7.305315,7.331032,7.352640,7.398191,7.489011,7.561594,7.694104", \ - "7.520613,7.537627,7.538501,7.580903,7.588884,7.690892,7.733220", \ - "7.951127,7.947089,7.945830,7.940271,7.918019,7.913676,7.908914", \ - "8.391014,8.421621,8.473764,8.534717,8.432527,8.360736,8.294421"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.061019,1.064199,1.069137,1.066801,1.067277,1.077843,1.076977", \ - "1.064325,1.074273,1.080604,1.096627,1.109435,1.117053,1.132778", \ - "0.952565,0.983597,1.005453,1.035722,1.077878,1.114503,1.140546", \ - "0.891657,0.894413,0.899890,0.937313,0.987067,1.056792,1.108226", \ - "0.942134,0.947444,0.950968,0.953476,0.962598,0.999489,1.066611", \ - "1.067411,1.060618,1.057963,1.056333,1.051877,1.041573,1.051742", \ - "1.314236,1.299275,1.278664,1.258041,1.225241,1.187396,1.130930"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("8.003063,8.027702,8.060981,8.098434,8.175949,8.232393,8.347939", \ - "7.911356,7.938635,7.977801,8.019600,8.064408,8.184889,8.305670", \ - "7.803930,7.833127,7.861275,7.926412,8.001089,8.136202,8.271446", \ - "7.830375,7.854778,7.873346,7.933560,7.982672,8.111865,8.244617", \ - "8.041443,8.058684,8.070474,8.072437,8.142213,8.180379,8.285230", \ - "8.463487,8.459389,8.469806,8.468644,8.411990,8.408898,8.464254", \ - "8.916651,8.956119,9.004305,9.052266,8.983496,8.919185,8.791556"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.382865,2.399497,2.410799,2.449123,2.493043,2.575056,2.638359", \ - "2.313082,2.337110,2.356593,2.366157,2.423442,2.506697,2.584243", \ - "2.244750,2.263260,2.280983,2.329436,2.368568,2.456969,2.525085", \ - "2.193524,2.213704,2.220905,2.266670,2.336920,2.419564,2.500363", \ - "2.278815,2.284506,2.294390,2.310197,2.308745,2.390551,2.485593", \ - "2.417964,2.418412,2.416903,2.429207,2.434970,2.417982,2.484015", \ - "2.632706,2.624157,2.621686,2.618824,2.618888,2.604373,2.551174"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("9.634688,9.647156,9.677894,9.707373,9.748987,9.826539,9.934880", \ - "9.562774,9.585063,9.606725,9.638164,9.678370,9.746699,9.866953", \ - "9.490035,9.502341,9.536231,9.571916,9.649458,9.724516,9.791968", \ - "9.446598,9.470516,9.484288,9.501137,9.602315,9.684763,9.817402", \ - "9.462951,9.484256,9.495080,9.522651,9.585014,9.652078,9.784365", \ - "9.583489,9.596014,9.617866,9.641844,9.647787,9.749388,9.804345", \ - "9.778081,9.818998,9.864063,9.895932,9.901798,9.923114,9.931027"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.683151,1.689267,1.700151,1.719172,1.740889,1.786832,1.819467", \ - "1.604031,1.623259,1.638593,1.637761,1.689617,1.718343,1.762755", \ - "1.526018,1.540542,1.548946,1.582740,1.619431,1.666063,1.704882", \ - "1.452858,1.471814,1.491461,1.515974,1.574524,1.616251,1.675840", \ - "1.557135,1.556974,1.557926,1.556477,1.537095,1.599239,1.655134", \ - "1.687932,1.681494,1.679273,1.681003,1.669832,1.631493,1.658319", \ - "1.903547,1.899279,1.887429,1.872427,1.855013,1.810515,1.726496"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("8.596250,8.632215,8.655858,8.695442,8.765318,8.885205,8.977107", \ - "8.533474,8.560467,8.583498,8.623870,8.696528,8.817349,8.937335", \ - "8.457362,8.486266,8.519839,8.583935,8.668762,8.737028,8.862305", \ - "8.415828,8.444645,8.463476,8.528705,8.563172,8.694904,8.825495", \ - "8.433598,8.459054,8.484221,8.515823,8.597645,8.724586,8.855494", \ - "8.554562,8.578621,8.592138,8.625930,8.663877,8.764336,8.873671", \ - "8.648325,8.691431,8.758606,8.886684,8.881132,8.938891,9.004424"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.673269,1.685692,1.698150,1.718178,1.734261,1.769560,1.816701", \ - "1.601867,1.615288,1.623703,1.647608,1.678399,1.713799,1.751122", \ - "1.519619,1.525285,1.536263,1.572609,1.608456,1.650435,1.701097", \ - "1.455360,1.459495,1.482073,1.518349,1.552961,1.618926,1.670953", \ - "1.560619,1.561381,1.563312,1.554292,1.547327,1.583333,1.642553", \ - "1.692216,1.684208,1.682038,1.684035,1.668435,1.642033,1.656415", \ - "1.909338,1.897398,1.887155,1.876779,1.850427,1.813167,1.743541"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("9.119174,9.143558,9.174862,9.210265,9.299355,9.370256,9.487231", \ - "9.059518,9.085034,9.110844,9.167019,9.191054,9.307092,9.425596", \ - "8.984047,9.010444,9.049179,9.072590,9.184000,9.289471,9.415920", \ - "8.940555,8.967629,8.990678,9.031341,9.114025,9.244789,9.377053", \ - "8.955113,8.979471,9.009965,9.057690,9.089728,9.212526,9.340728", \ - "9.076484,9.096264,9.119567,9.143255,9.211407,9.251003,9.357141", \ - "9.175004,9.231024,9.289137,9.410210,9.428505,9.425027,9.489715"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.730316,1.741857,1.755927,1.786270,1.826763,1.860258,1.903877", \ - "1.744109,1.757405,1.773953,1.800192,1.858305,1.900010,1.953502", \ - "1.708116,1.724858,1.743870,1.797459,1.852739,1.906255,1.971832", \ - "1.746540,1.742860,1.755890,1.780758,1.828605,1.897748,1.954572", \ - "1.995874,1.977975,1.960861,1.934179,1.888652,1.936343,1.978235", \ - "2.366235,2.339830,2.316439,2.254084,2.182315,2.084489,2.066632", \ - "2.911096,2.871113,2.832137,2.753933,2.625485,2.457762,2.270379"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("5.354587,5.370865,5.375529,5.368061,5.406935,5.418974,5.407538", \ - "5.246983,5.274226,5.297251,5.310006,5.387779,5.422717,5.428035", \ - "5.120739,5.144616,5.157854,5.193332,5.239810,5.297732,5.389955", \ - "5.198579,5.199186,5.206333,5.172392,5.201457,5.238511,5.334358", \ - "5.480193,5.467917,5.431659,5.387350,5.360333,5.290721,5.344864", \ - "5.960056,5.924286,5.865669,5.777963,5.701371,5.571667,5.439277", \ - "6.447642,6.432397,6.429181,6.415805,6.246463,6.033935,5.809745"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.052275,1.063586,1.056542,1.063664,1.074624,1.077543,1.078181", \ - "1.052444,1.056768,1.064298,1.088917,1.104619,1.116242,1.130869", \ - "0.984619,1.001259,1.028084,1.056750,1.089126,1.118540,1.148334", \ - "1.066154,1.045562,1.028727,1.022159,1.067869,1.102345,1.137376", \ - "1.320599,1.290199,1.265651,1.215197,1.147598,1.140638,1.155500", \ - "1.734111,1.683365,1.630877,1.562021,1.449157,1.305359,1.245965", \ - "2.309084,2.262448,2.193761,2.088027,1.908919,1.690718,1.460146"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("4.426282,4.443079,4.446589,4.466232,4.462641,4.456500,4.493197", \ - "4.316912,4.337891,4.364670,4.404648,4.443775,4.488085,4.513640", \ - "4.192565,4.207891,4.218650,4.233429,4.293317,4.394115,4.413146", \ - "4.272680,4.270713,4.277174,4.244314,4.255076,4.336986,4.357323", \ - "4.565526,4.544062,4.520805,4.483392,4.448459,4.387520,4.368213", \ - "4.864717,4.888437,4.916545,4.884166,4.756458,4.661894,4.561839", \ - "5.395883,5.393364,5.392283,5.380727,5.304834,5.118411,4.897981"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.055754,1.060403,1.063739,1.071385,1.073034,1.073175,1.082038", \ - "1.055463,1.066923,1.078914,1.091324,1.106509,1.113585,1.131147", \ - "0.992908,1.005355,1.030815,1.047060,1.092903,1.117856,1.147599", \ - "1.100187,1.080177,1.057264,1.038484,1.058097,1.097019,1.138485", \ - "1.347365,1.322673,1.294283,1.247245,1.180006,1.150701,1.159503", \ - "1.756563,1.716960,1.671672,1.597569,1.484094,1.352515,1.262024", \ - "2.339050,2.290965,2.230978,2.124314,1.956094,1.741434,1.508032"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("4.425121,4.440344,4.436682,4.459757,4.461898,4.455286,4.491646", \ - "4.296174,4.323208,4.352121,4.384317,4.433580,4.450012,4.505041", \ - "4.177301,4.191734,4.214090,4.247024,4.272629,4.374456,4.394386", \ - "4.267662,4.266621,4.264182,4.235164,4.240572,4.318081,4.372130", \ - "4.568506,4.541740,4.518163,4.485679,4.413721,4.378242,4.352019", \ - "4.875506,4.901135,4.949794,4.876964,4.763033,4.671996,4.520279", \ - "5.423339,5.411494,5.403334,5.398622,5.321298,5.131855,4.904516"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.384340,2.400967,2.405422,2.455128,2.507433,2.572764,2.636517", \ - "2.313524,2.331422,2.358295,2.377978,2.425073,2.507891,2.573287", \ - "2.237272,2.248916,2.278299,2.326367,2.369997,2.458240,2.533714", \ - "2.245865,2.261666,2.285992,2.299213,2.364333,2.431800,2.519009", \ - "2.506081,2.494456,2.478073,2.453974,2.451370,2.488468,2.554619", \ - "2.881673,2.863131,2.843662,2.805095,2.742514,2.649417,2.661387", \ - "3.431387,3.399082,3.360362,3.312484,3.205767,3.046296,2.880179"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("6.504870,6.516273,6.513116,6.516452,6.499908,6.504732,6.553553", \ - "6.435950,6.456037,6.472919,6.511911,6.531114,6.556586,6.555858", \ - "6.296581,6.321464,6.330009,6.376489,6.406682,6.454994,6.538810", \ - "6.236451,6.243070,6.239441,6.255061,6.309976,6.361292,6.401870", \ - "6.278485,6.278379,6.280307,6.255261,6.268623,6.296655,6.388863", \ - "6.442652,6.448551,6.436140,6.377611,6.397726,6.385419,6.382077", \ - "6.601864,6.612305,6.632968,6.680175,6.650276,6.518696,6.501357"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.677094,1.685478,1.693452,1.724430,1.752734,1.783526,1.815292", \ - "1.604268,1.612526,1.632615,1.646318,1.675753,1.718630,1.752021", \ - "1.518519,1.528286,1.560598,1.586196,1.619439,1.666916,1.709765", \ - "1.547711,1.537404,1.542387,1.575532,1.609630,1.649949,1.692089", \ - "1.830824,1.804134,1.773496,1.740175,1.686415,1.701590,1.731613", \ - "2.234755,2.197275,2.167730,2.109871,2.015499,1.877464,1.842577", \ - "2.805050,2.762998,2.721277,2.629447,2.492179,2.288243,2.068057"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("5.577459,5.586940,5.582347,5.565257,5.609940,5.602483,5.578481", \ - "5.506518,5.533250,5.538255,5.570170,5.601760,5.593253,5.640549", \ - "5.367497,5.391904,5.409875,5.449569,5.459815,5.552411,5.561462", \ - "5.308415,5.311803,5.305419,5.336352,5.364836,5.398595,5.485201", \ - "5.352657,5.352400,5.346293,5.349794,5.347402,5.394599,5.410750", \ - "5.344545,5.395757,5.457251,5.484548,5.453069,5.423248,5.467404", \ - "5.550066,5.562321,5.584843,5.647453,5.702964,5.613237,5.525102"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.648485,1.666232,1.660155,1.681885,1.726635,1.757488,1.807177", \ - "1.572216,1.585089,1.605991,1.619883,1.656690,1.704359,1.743524", \ - "1.490496,1.517517,1.523488,1.546731,1.596397,1.650806,1.693460", \ - "1.565757,1.558299,1.537087,1.565102,1.584359,1.649799,1.685130", \ - "1.846452,1.822673,1.802516,1.768605,1.705600,1.701647,1.718483", \ - "2.254408,2.226552,2.192227,2.134887,2.043082,1.914632,1.838707", \ - "2.827915,2.788233,2.742909,2.656790,2.526991,2.329167,2.093830"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("5.576341,5.582037,5.592576,5.582364,5.608809,5.601217,5.577655", \ - "5.495970,5.514774,5.523462,5.550293,5.577280,5.611543,5.631614", \ - "5.355712,5.370588,5.379640,5.416165,5.443114,5.534911,5.544959", \ - "5.303361,5.315236,5.310605,5.334241,5.351381,5.381635,5.466997", \ - "5.350001,5.349782,5.329639,5.332354,5.315173,5.382994,5.394802", \ - "5.344251,5.391625,5.454559,5.470839,5.448713,5.416067,5.454993", \ - "5.555472,5.576379,5.587554,5.649978,5.703884,5.611127,5.517867"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI221_X4 - Cell Description : Combinational cell (OAI221_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI221_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 82.771606; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 70.095845; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 71.220019; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 71.220018; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 70.290697; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 75.945280; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 80.395999; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 80.395999; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 79.746413; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 75.945280; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 80.395999; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 80.395999; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 79.746413; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 81.015430; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 85.467014; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 85.467014; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 84.817523; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 73.483716; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 81.547209; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 81.547209; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 80.793744; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 101.393604; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 82.268699; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 83.636888; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 85.749080; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 101.393699; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 83.636784; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 85.004879; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 87.116777; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 106.475591; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 85.744672; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 87.112634; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 89.225263; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.610392; - fall_capacitance : 1.481184; - rise_capacitance : 1.610392; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.602784; - fall_capacitance : 1.387707; - rise_capacitance : 1.602784; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.595998; - fall_capacitance : 1.513792; - rise_capacitance : 1.595998; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.517526; - fall_capacitance : 1.346023; - rise_capacitance : 1.517526; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.540165; - fall_capacitance : 1.520671; - rise_capacitance : 1.540165; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "!(!(!(((C1 | C2) & A) & (B1 | B2))))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.174988,0.185750,0.193874,0.206846,0.228234,0.265913,0.337206", \ - "0.179754,0.190512,0.198644,0.211618,0.233002,0.270666,0.341960", \ - "0.194863,0.205638,0.213746,0.226723,0.248108,0.285774,0.357071", \ - "0.222679,0.233451,0.241554,0.254545,0.275924,0.313607,0.384910", \ - "0.261942,0.272751,0.280859,0.293577,0.314956,0.352652,0.423958", \ - "0.304685,0.315569,0.323717,0.336325,0.357772,0.395511,0.466835", \ - "0.346288,0.357476,0.365753,0.378612,0.400205,0.438029,0.509363"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.148631,0.165982,0.182438,0.214821,0.279198,0.407373,0.663164", \ - "0.153488,0.170841,0.187284,0.219684,0.284056,0.412226,0.668024", \ - "0.171273,0.188625,0.205077,0.237452,0.301828,0.430009,0.685797", \ - "0.207896,0.225245,0.241637,0.273848,0.338199,0.466376,0.722163", \ - "0.263357,0.280774,0.297129,0.329101,0.393419,0.521577,0.777387", \ - "0.326304,0.344321,0.360711,0.392655,0.456806,0.584923,0.840735", \ - "0.392417,0.411401,0.427924,0.459824,0.523832,0.651845,0.907620"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013774,0.017653,0.021327,0.028385,0.042473,0.071690,0.132959", \ - "0.013774,0.017654,0.021328,0.028384,0.042473,0.071689,0.132964", \ - "0.013769,0.017653,0.021326,0.028383,0.042472,0.071690,0.132959", \ - "0.013762,0.017646,0.021322,0.028382,0.042471,0.071688,0.132962", \ - "0.013960,0.017799,0.021448,0.028474,0.042528,0.071713,0.132964", \ - "0.014379,0.018165,0.021776,0.028751,0.042732,0.071837,0.133029", \ - "0.015065,0.018748,0.022289,0.029170,0.043030,0.072008,0.133071"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.015113,0.027044,0.041390,0.071518,0.132554,0.254899,0.499831", \ - "0.015113,0.027044,0.041389,0.071516,0.132548,0.254896,0.499832", \ - "0.015114,0.027043,0.041389,0.071523,0.132546,0.254890,0.499830", \ - "0.015132,0.027049,0.041391,0.071523,0.132549,0.254898,0.499831", \ - "0.015566,0.027233,0.041470,0.071545,0.132564,0.254899,0.499832", \ - "0.017219,0.028156,0.041913,0.071704,0.132631,0.254919,0.499839", \ - "0.019234,0.029530,0.042632,0.071960,0.132750,0.254972,0.499858"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.162941,0.173654,0.181733,0.194662,0.216009,0.253641,0.324935", \ - "0.167678,0.178398,0.186477,0.199405,0.220747,0.258383,0.329691", \ - "0.182715,0.193420,0.201500,0.214428,0.235770,0.273420,0.344701", \ - "0.210184,0.220899,0.228955,0.241846,0.263189,0.300830,0.372113", \ - "0.247400,0.258177,0.266230,0.278893,0.300256,0.337932,0.409223", \ - "0.286586,0.297442,0.305578,0.318135,0.339558,0.377288,0.448584", \ - "0.323675,0.334885,0.343185,0.356028,0.377632,0.415463,0.486779"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.144226,0.161256,0.177698,0.210126,0.274540,0.402709,0.658522", \ - "0.149077,0.166110,0.182559,0.214982,0.279383,0.407572,0.663373", \ - "0.166866,0.183895,0.200335,0.232755,0.297166,0.425347,0.681145", \ - "0.203518,0.220548,0.236927,0.269183,0.333560,0.461742,0.717549", \ - "0.258800,0.275876,0.292213,0.324247,0.388566,0.516757,0.772591", \ - "0.320991,0.338507,0.354835,0.386844,0.451048,0.579207,0.835045", \ - "0.386380,0.404677,0.421037,0.452971,0.517037,0.645117,0.900961"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013589,0.017488,0.021176,0.028257,0.042375,0.071626,0.132933", \ - "0.013591,0.017488,0.021176,0.028256,0.042373,0.071625,0.132934", \ - "0.013587,0.017487,0.021175,0.028257,0.042375,0.071626,0.132934", \ - "0.013591,0.017489,0.021177,0.028256,0.042373,0.071625,0.132932", \ - "0.013852,0.017721,0.021374,0.028409,0.042473,0.071670,0.132942", \ - "0.014331,0.018114,0.021723,0.028701,0.042690,0.071801,0.133006", \ - "0.015114,0.018784,0.022315,0.029183,0.043034,0.072002,0.133061"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.014252,0.026587,0.041155,0.071405,0.132479,0.254860,0.499836", \ - "0.014250,0.026587,0.041154,0.071405,0.132477,0.254863,0.499828", \ - "0.014250,0.026585,0.041152,0.071412,0.132475,0.254860,0.499838", \ - "0.014263,0.026593,0.041157,0.071414,0.132472,0.254856,0.499826", \ - "0.014720,0.026760,0.041226,0.071432,0.132491,0.254864,0.499828", \ - "0.016174,0.027475,0.041557,0.071554,0.132550,0.254881,0.499827", \ - "0.018017,0.028553,0.042079,0.071737,0.132638,0.254910,0.499838"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.151614,0.162237,0.170257,0.183111,0.204388,0.241984,0.313222", \ - "0.156382,0.167009,0.175028,0.187881,0.209160,0.246751,0.317999", \ - "0.172547,0.183177,0.191191,0.204048,0.225331,0.262922,0.334163", \ - "0.202760,0.213392,0.221370,0.234187,0.255467,0.293053,0.364302", \ - "0.242629,0.253323,0.261323,0.273898,0.295239,0.332885,0.404130", \ - "0.283046,0.293843,0.301946,0.314461,0.335830,0.373501,0.444800", \ - "0.320411,0.331599,0.339888,0.352688,0.374280,0.412054,0.483351"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.148116,0.165158,0.181596,0.214018,0.278422,0.406595,0.662403", \ - "0.153023,0.170063,0.186491,0.218921,0.283323,0.411514,0.667310", \ - "0.170734,0.187773,0.204208,0.236633,0.301029,0.429209,0.685010", \ - "0.207354,0.224395,0.240780,0.273017,0.337395,0.465566,0.721377", \ - "0.263220,0.280291,0.296620,0.328678,0.393028,0.521192,0.777015", \ - "0.326670,0.344167,0.360500,0.392480,0.456723,0.584875,0.840727", \ - "0.393429,0.411673,0.428047,0.459948,0.524050,0.652141,0.907979"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013317,0.017237,0.020946,0.028056,0.042217,0.071524,0.132888", \ - "0.013319,0.017236,0.020945,0.028055,0.042217,0.071524,0.132895", \ - "0.013315,0.017237,0.020945,0.028055,0.042216,0.071524,0.132895", \ - "0.013323,0.017243,0.020950,0.028059,0.042219,0.071525,0.132890", \ - "0.013611,0.017498,0.021171,0.028232,0.042330,0.071575,0.132901", \ - "0.014168,0.017948,0.021563,0.028551,0.042564,0.071711,0.132963", \ - "0.015075,0.018721,0.022239,0.029101,0.042952,0.071931,0.133026"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.014291,0.026604,0.041163,0.071415,0.132479,0.254859,0.499829", \ - "0.014289,0.026605,0.041162,0.071408,0.132482,0.254862,0.499829", \ - "0.014294,0.026604,0.041164,0.071418,0.132479,0.254864,0.499834", \ - "0.014306,0.026607,0.041164,0.071414,0.132481,0.254861,0.499831", \ - "0.014681,0.026750,0.041224,0.071435,0.132486,0.254864,0.499839", \ - "0.016117,0.027445,0.041542,0.071544,0.132541,0.254871,0.499829", \ - "0.017939,0.028502,0.042053,0.071733,0.132632,0.254910,0.499840"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.162941,0.173654,0.181733,0.194662,0.216009,0.253641,0.324935", \ - "0.167678,0.178398,0.186477,0.199405,0.220747,0.258383,0.329691", \ - "0.182715,0.193420,0.201500,0.214428,0.235770,0.273420,0.344701", \ - "0.210184,0.220899,0.228955,0.241846,0.263189,0.300830,0.372113", \ - "0.247400,0.258177,0.266230,0.278893,0.300256,0.337932,0.409223", \ - "0.286586,0.297442,0.305578,0.318135,0.339558,0.377288,0.448584", \ - "0.323675,0.334885,0.343185,0.356028,0.377632,0.415463,0.486779"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.144226,0.161256,0.177698,0.210126,0.274540,0.402709,0.658522", \ - "0.149077,0.166110,0.182559,0.214982,0.279383,0.407572,0.663373", \ - "0.166866,0.183895,0.200335,0.232755,0.297166,0.425347,0.681145", \ - "0.203518,0.220548,0.236927,0.269183,0.333560,0.461742,0.717549", \ - "0.258800,0.275876,0.292213,0.324247,0.388566,0.516757,0.772591", \ - "0.320991,0.338507,0.354835,0.386844,0.451048,0.579207,0.835045", \ - "0.386380,0.404677,0.421037,0.452971,0.517037,0.645117,0.900961"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013589,0.017488,0.021176,0.028257,0.042375,0.071626,0.132933", \ - "0.013591,0.017488,0.021176,0.028256,0.042373,0.071625,0.132934", \ - "0.013587,0.017487,0.021175,0.028257,0.042375,0.071626,0.132934", \ - "0.013591,0.017489,0.021177,0.028256,0.042373,0.071625,0.132932", \ - "0.013852,0.017721,0.021374,0.028409,0.042473,0.071670,0.132942", \ - "0.014331,0.018114,0.021723,0.028701,0.042690,0.071801,0.133006", \ - "0.015114,0.018784,0.022315,0.029183,0.043034,0.072002,0.133061"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.014252,0.026587,0.041155,0.071405,0.132479,0.254860,0.499836", \ - "0.014250,0.026587,0.041154,0.071405,0.132477,0.254863,0.499828", \ - "0.014250,0.026585,0.041152,0.071412,0.132475,0.254860,0.499838", \ - "0.014263,0.026593,0.041157,0.071414,0.132472,0.254856,0.499826", \ - "0.014720,0.026760,0.041226,0.071432,0.132491,0.254864,0.499828", \ - "0.016174,0.027475,0.041557,0.071554,0.132550,0.254881,0.499827", \ - "0.018017,0.028553,0.042079,0.071737,0.132638,0.254910,0.499838"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.151235,0.161866,0.169908,0.182786,0.204086,0.241689,0.312962", \ - "0.155923,0.166575,0.174611,0.187490,0.208790,0.246388,0.317663", \ - "0.170808,0.181449,0.189491,0.202371,0.223669,0.261285,0.332551", \ - "0.197666,0.208346,0.216351,0.229110,0.250415,0.288011,0.359271", \ - "0.232201,0.242919,0.250935,0.263448,0.284826,0.322498,0.393758", \ - "0.267214,0.278077,0.286209,0.298740,0.320159,0.357868,0.429140", \ - "0.299327,0.310593,0.318909,0.331747,0.353394,0.391213,0.462533"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.139617,0.156385,0.172832,0.205292,0.269719,0.397905,0.653718", \ - "0.144464,0.161231,0.177683,0.210138,0.274565,0.402759,0.658566", \ - "0.162257,0.179025,0.195464,0.227917,0.292347,0.420538,0.676349", \ - "0.198947,0.215712,0.232096,0.264383,0.328779,0.456969,0.712792", \ - "0.253965,0.270763,0.287102,0.319182,0.383531,0.511729,0.767582", \ - "0.315347,0.332421,0.348721,0.380774,0.445044,0.573231,0.829131", \ - "0.379989,0.397610,0.413867,0.445847,0.509992,0.638131,0.894019"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013388,0.017311,0.021014,0.028120,0.042268,0.071558,0.132901", \ - "0.013386,0.017310,0.021014,0.028119,0.042269,0.071558,0.132901", \ - "0.013390,0.017310,0.021015,0.028120,0.042269,0.071558,0.132902", \ - "0.013418,0.017333,0.021035,0.028135,0.042277,0.071563,0.132903", \ - "0.013758,0.017626,0.021295,0.028350,0.042428,0.071636,0.132922", \ - "0.014315,0.018091,0.021699,0.028675,0.042663,0.071777,0.132983", \ - "0.015244,0.018881,0.022394,0.029244,0.043067,0.072011,0.133056"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013415,0.026187,0.040946,0.071295,0.132399,0.254837,0.499826", \ - "0.013412,0.026187,0.040946,0.071293,0.132391,0.254826,0.499825", \ - "0.013415,0.026187,0.040947,0.071291,0.132393,0.254830,0.499827", \ - "0.013428,0.026191,0.040946,0.071294,0.132390,0.254833,0.499828", \ - "0.013852,0.026338,0.041009,0.071317,0.132406,0.254830,0.499840", \ - "0.015067,0.026850,0.041239,0.071397,0.132452,0.254846,0.499838", \ - "0.016690,0.027637,0.041592,0.071542,0.132518,0.254853,0.499823"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.141657,0.152228,0.160215,0.173029,0.194269,0.231825,0.303057", \ - "0.146395,0.156972,0.164953,0.177767,0.199010,0.236568,0.307800", \ - "0.162431,0.173001,0.180990,0.193805,0.215046,0.252603,0.323834", \ - "0.191891,0.202479,0.210433,0.223209,0.244452,0.282004,0.353228", \ - "0.228580,0.239246,0.247232,0.259765,0.281116,0.318741,0.389973", \ - "0.264554,0.275358,0.283464,0.295959,0.317332,0.354994,0.426261", \ - "0.296809,0.308080,0.316390,0.329256,0.350840,0.388632,0.459898"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.143546,0.160315,0.176759,0.209209,0.273635,0.401815,0.657634", \ - "0.148443,0.165215,0.181643,0.214118,0.278525,0.406727,0.662536", \ - "0.166154,0.182925,0.199360,0.231819,0.296237,0.424430,0.680241", \ - "0.202813,0.219582,0.235950,0.268243,0.332631,0.460818,0.716638", \ - "0.258482,0.275268,0.291606,0.323689,0.388056,0.516244,0.772106", \ - "0.321152,0.338213,0.354516,0.386533,0.450830,0.579016,0.834904", \ - "0.387163,0.404762,0.421018,0.452983,0.517160,0.645306,0.901195"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013146,0.017084,0.020806,0.027938,0.042127,0.071467,0.132865", \ - "0.013144,0.017083,0.020805,0.027937,0.042127,0.071466,0.132868", \ - "0.013142,0.017085,0.020806,0.027939,0.042128,0.071467,0.132868", \ - "0.013184,0.017114,0.020833,0.027957,0.042139,0.071472,0.132864", \ - "0.013557,0.017432,0.021112,0.028186,0.042299,0.071553,0.132882", \ - "0.014196,0.017961,0.021569,0.028549,0.042555,0.071700,0.132947", \ - "0.015257,0.018865,0.022361,0.029191,0.043008,0.071958,0.133025"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013453,0.026201,0.040953,0.071300,0.132399,0.254829,0.499822", \ - "0.013453,0.026201,0.040952,0.071301,0.132393,0.254833,0.499840", \ - "0.013460,0.026203,0.040953,0.071293,0.132394,0.254833,0.499839", \ - "0.013466,0.026205,0.040955,0.071299,0.132392,0.254836,0.499826", \ - "0.013839,0.026332,0.041004,0.071317,0.132411,0.254833,0.499826", \ - "0.015032,0.026832,0.041231,0.071404,0.132452,0.254850,0.499837", \ - "0.016623,0.027606,0.041580,0.071532,0.132511,0.254846,0.499838"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.144698,0.155223,0.163196,0.175999,0.197228,0.234787,0.306035", \ - "0.149773,0.160304,0.168274,0.181079,0.202306,0.239865,0.311108", \ - "0.165197,0.175722,0.183700,0.196500,0.217726,0.255285,0.326536", \ - "0.192799,0.203367,0.211334,0.224078,0.245298,0.282846,0.354074", \ - "0.228520,0.239095,0.247040,0.259572,0.280855,0.318457,0.389708", \ - "0.265629,0.276262,0.284295,0.296676,0.317968,0.355597,0.426889", \ - "0.300436,0.311410,0.319591,0.332253,0.353721,0.391437,0.462691"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.144094,0.161126,0.177569,0.209995,0.274411,0.402593,0.658391", \ - "0.148788,0.165812,0.182258,0.214688,0.279094,0.407279,0.663078", \ - "0.166595,0.183623,0.200064,0.232486,0.296887,0.425075,0.680878", \ - "0.203399,0.220428,0.236817,0.269052,0.333431,0.461611,0.717415", \ - "0.258822,0.275901,0.292227,0.324258,0.388591,0.516786,0.772627", \ - "0.321216,0.338736,0.355064,0.387076,0.451284,0.579450,0.835300", \ - "0.386943,0.405227,0.421593,0.453509,0.517607,0.645688,0.901520"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013076,0.017031,0.020763,0.027904,0.042107,0.071455,0.132864", \ - "0.013073,0.017032,0.020763,0.027904,0.042107,0.071457,0.132861", \ - "0.013075,0.017030,0.020763,0.027905,0.042105,0.071457,0.132860", \ - "0.013089,0.017040,0.020772,0.027910,0.042109,0.071457,0.132869", \ - "0.013359,0.017271,0.020972,0.028073,0.042220,0.071512,0.132873", \ - "0.013810,0.017649,0.021298,0.028336,0.042407,0.071618,0.132921", \ - "0.014554,0.018276,0.021849,0.028779,0.042722,0.071798,0.132975"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.014248,0.026586,0.041155,0.071410,0.132476,0.254855,0.499838", \ - "0.014246,0.026584,0.041152,0.071408,0.132484,0.254860,0.499827", \ - "0.014248,0.026584,0.041155,0.071413,0.132476,0.254856,0.499825", \ - "0.014264,0.026591,0.041155,0.071406,0.132473,0.254856,0.499826", \ - "0.014716,0.026759,0.041226,0.071434,0.132484,0.254860,0.499828", \ - "0.016168,0.027471,0.041553,0.071555,0.132540,0.254887,0.499825", \ - "0.017997,0.028543,0.042075,0.071738,0.132641,0.254904,0.499838"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.135190,0.145659,0.153598,0.166364,0.187560,0.225089,0.296320", \ - "0.140235,0.150710,0.158650,0.171410,0.192605,0.230137,0.301366", \ - "0.155533,0.166003,0.173940,0.186703,0.207897,0.245429,0.316657", \ - "0.182353,0.192857,0.200742,0.213408,0.234609,0.272142,0.343354", \ - "0.215347,0.225884,0.233807,0.246183,0.267473,0.305063,0.376323", \ - "0.248541,0.259174,0.267198,0.279552,0.300818,0.338435,0.409715", \ - "0.278631,0.289658,0.297848,0.310521,0.331995,0.369716,0.440978"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.139489,0.156256,0.172707,0.205161,0.269597,0.397782,0.653592", \ - "0.144180,0.160944,0.177385,0.209850,0.274271,0.402465,0.658275", \ - "0.161989,0.178757,0.195195,0.227647,0.292071,0.420268,0.676087", \ - "0.198833,0.215597,0.231981,0.264257,0.328653,0.456841,0.712664", \ - "0.254009,0.270810,0.287141,0.319215,0.383555,0.511758,0.767611", \ - "0.315582,0.332655,0.348946,0.381013,0.445281,0.573472,0.829366", \ - "0.380558,0.398174,0.414432,0.446387,0.510573,0.638710,0.894597"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012907,0.016883,0.020628,0.027792,0.042020,0.071400,0.132839", \ - "0.012906,0.016881,0.020629,0.027792,0.042020,0.071400,0.132837", \ - "0.012908,0.016883,0.020629,0.027793,0.042021,0.071400,0.132836", \ - "0.012955,0.016920,0.020659,0.027813,0.042034,0.071408,0.132844", \ - "0.013282,0.017197,0.020901,0.028013,0.042178,0.071485,0.132861", \ - "0.013808,0.017636,0.021283,0.028318,0.042386,0.071599,0.132906", \ - "0.014678,0.018371,0.021929,0.028838,0.042755,0.071808,0.132968"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013417,0.026186,0.040946,0.071288,0.132398,0.254833,0.499833", \ - "0.013415,0.026185,0.040947,0.071297,0.132400,0.254830,0.499825", \ - "0.013418,0.026186,0.040947,0.071295,0.132389,0.254827,0.499827", \ - "0.013430,0.026190,0.040947,0.071298,0.132398,0.254830,0.499829", \ - "0.013852,0.026340,0.041009,0.071311,0.132411,0.254836,0.499841", \ - "0.015065,0.026849,0.041236,0.071403,0.132448,0.254846,0.499833", \ - "0.016667,0.027629,0.041588,0.071540,0.132513,0.254842,0.499821"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.125020,0.135419,0.143304,0.156002,0.177136,0.214611,0.285812", \ - "0.130130,0.140530,0.148414,0.161113,0.182247,0.219726,0.290923", \ - "0.146861,0.157256,0.165140,0.177839,0.198972,0.236446,0.307647", \ - "0.176408,0.186830,0.194689,0.207374,0.228511,0.265989,0.337182", \ - "0.211355,0.221843,0.229740,0.242184,0.263392,0.300945,0.372144", \ - "0.245372,0.255989,0.263963,0.276274,0.297509,0.335090,0.406346", \ - "0.275607,0.286624,0.294808,0.307452,0.328874,0.366580,0.437825"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.143417,0.160188,0.176630,0.209089,0.273509,0.401699,0.657513", \ - "0.148144,0.164924,0.181351,0.213809,0.278232,0.406422,0.662235", \ - "0.165876,0.182647,0.199082,0.231539,0.295960,0.424151,0.679964", \ - "0.202691,0.219460,0.235844,0.268133,0.332518,0.460705,0.716524", \ - "0.258515,0.275303,0.291632,0.323723,0.388085,0.516280,0.772139", \ - "0.321386,0.338445,0.354741,0.386778,0.451067,0.579280,0.835145", \ - "0.387745,0.405334,0.421584,0.453559,0.517711,0.645866,0.901762"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012650,0.016648,0.020415,0.027607,0.041881,0.071315,0.132810", \ - "0.012649,0.016646,0.020414,0.027609,0.041882,0.071314,0.132805", \ - "0.012649,0.016647,0.020416,0.027608,0.041882,0.071315,0.132810", \ - "0.012706,0.016694,0.020452,0.027635,0.041897,0.071323,0.132807", \ - "0.013062,0.016992,0.020709,0.027841,0.042044,0.071401,0.132833", \ - "0.013655,0.017479,0.021131,0.028175,0.042268,0.071519,0.132876", \ - "0.014652,0.018318,0.021860,0.028760,0.042676,0.071743,0.132936"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013455,0.026201,0.040955,0.071296,0.132393,0.254829,0.499830", \ - "0.013454,0.026200,0.040953,0.071301,0.132403,0.254832,0.499824", \ - "0.013458,0.026201,0.040953,0.071293,0.132394,0.254833,0.499838", \ - "0.013466,0.026204,0.040957,0.071301,0.132404,0.254834,0.499834", \ - "0.013840,0.026333,0.041006,0.071316,0.132397,0.254832,0.499825", \ - "0.015027,0.026831,0.041231,0.071405,0.132444,0.254837,0.499837", \ - "0.016613,0.027597,0.041577,0.071530,0.132512,0.254855,0.499837"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.167063,0.177771,0.185856,0.198785,0.220127,0.257762,0.329053", \ - "0.172758,0.183459,0.191541,0.204469,0.225812,0.263460,0.334740", \ - "0.187137,0.197854,0.205925,0.218856,0.240199,0.277834,0.349126", \ - "0.209770,0.220486,0.228526,0.241427,0.262779,0.300408,0.371687", \ - "0.239779,0.250547,0.258659,0.271437,0.292821,0.330497,0.401777", \ - "0.272233,0.283117,0.291246,0.304052,0.325484,0.363207,0.434510", \ - "0.304385,0.315486,0.323725,0.336454,0.358002,0.395812,0.467157"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.291961,0.311100,0.327734,0.359731,0.423718,0.551797,0.807571", \ - "0.294179,0.313319,0.329938,0.361946,0.425898,0.554040,0.809811", \ - "0.307591,0.326740,0.343309,0.375267,0.439318,0.567384,0.823195", \ - "0.338283,0.357417,0.373950,0.405757,0.469804,0.597846,0.853668", \ - "0.390101,0.409245,0.425846,0.457647,0.521660,0.649724,0.905477", \ - "0.465109,0.484340,0.500916,0.532774,0.596768,0.724783,0.980578", \ - "0.553708,0.573736,0.590474,0.622255,0.686095,0.814047,1.069808"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013588,0.017488,0.021177,0.028256,0.042375,0.071626,0.132929", \ - "0.013590,0.017487,0.021176,0.028256,0.042374,0.071624,0.132933", \ - "0.013590,0.017487,0.021176,0.028256,0.042373,0.071626,0.132930", \ - "0.013588,0.017488,0.021177,0.028258,0.042372,0.071624,0.132929", \ - "0.013808,0.017681,0.021346,0.028391,0.042463,0.071667,0.132942", \ - "0.014226,0.018035,0.021660,0.028653,0.042660,0.071788,0.132992", \ - "0.014856,0.018584,0.022150,0.029064,0.042962,0.071972,0.133059"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019430,0.029746,0.042779,0.072034,0.132813,0.255068,0.499894", \ - "0.019431,0.029744,0.042778,0.072036,0.132811,0.255070,0.499894", \ - "0.019422,0.029745,0.042779,0.072035,0.132808,0.255062,0.499893", \ - "0.019427,0.029745,0.042779,0.072031,0.132822,0.255067,0.499890", \ - "0.019431,0.029747,0.042779,0.072030,0.132822,0.255066,0.499893", \ - "0.019598,0.029852,0.042832,0.072049,0.132817,0.255064,0.499886", \ - "0.021254,0.031113,0.043519,0.072266,0.132898,0.255086,0.499899"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.155347,0.165993,0.174030,0.186910,0.208212,0.245815,0.317081", \ - "0.160989,0.171637,0.179678,0.192553,0.213853,0.251458,0.322746", \ - "0.175235,0.185883,0.193927,0.206801,0.228102,0.265700,0.336973", \ - "0.197434,0.208112,0.216126,0.228977,0.250281,0.287876,0.359117", \ - "0.225795,0.236515,0.244601,0.257358,0.278708,0.316370,0.387643", \ - "0.255667,0.266515,0.274627,0.287297,0.308673,0.346390,0.417709", \ - "0.284017,0.295145,0.303392,0.316081,0.337632,0.375437,0.446753"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.284896,0.303145,0.319556,0.351562,0.415696,0.543816,0.799636", \ - "0.287115,0.305362,0.321777,0.353780,0.417846,0.546029,0.801868", \ - "0.300495,0.318743,0.335123,0.367113,0.431274,0.559408,0.815246", \ - "0.331191,0.349439,0.365800,0.397638,0.461783,0.589882,0.845711", \ - "0.383084,0.401335,0.417700,0.449553,0.513649,0.641776,0.897590", \ - "0.458143,0.476460,0.492830,0.524667,0.588775,0.716866,0.972699", \ - "0.545928,0.564982,0.581415,0.613138,0.677092,0.805151,1.060963"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013389,0.017310,0.021015,0.028119,0.042269,0.071557,0.132896", \ - "0.013386,0.017311,0.021015,0.028119,0.042268,0.071559,0.132901", \ - "0.013389,0.017310,0.021015,0.028119,0.042268,0.071559,0.132896", \ - "0.013408,0.017327,0.021028,0.028130,0.042275,0.071561,0.132902", \ - "0.013688,0.017566,0.021240,0.028303,0.042398,0.071625,0.132916", \ - "0.014155,0.017968,0.021596,0.028595,0.042611,0.071757,0.132976", \ - "0.014891,0.018607,0.022166,0.029071,0.042963,0.071965,0.133048"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017872,0.028467,0.042036,0.071724,0.132645,0.254969,0.499853", \ - "0.017869,0.028468,0.042035,0.071718,0.132651,0.254964,0.499848", \ - "0.017871,0.028466,0.042036,0.071725,0.132647,0.254968,0.499852", \ - "0.017872,0.028468,0.042036,0.071725,0.132645,0.254969,0.499853", \ - "0.017875,0.028470,0.042036,0.071725,0.132640,0.254966,0.499857", \ - "0.018082,0.028577,0.042083,0.071737,0.132667,0.254963,0.499860", \ - "0.019675,0.029614,0.042588,0.071879,0.132702,0.254976,0.499867"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.145827,0.156401,0.164382,0.177196,0.198439,0.235991,0.307220", \ - "0.151454,0.162028,0.170008,0.182824,0.204065,0.241624,0.312856", \ - "0.166046,0.176619,0.184603,0.197417,0.218658,0.256219,0.327444", \ - "0.189808,0.200400,0.208368,0.221159,0.242395,0.279944,0.351169", \ - "0.220155,0.230811,0.238842,0.251504,0.272827,0.310456,0.381695", \ - "0.251898,0.262687,0.270751,0.283450,0.304808,0.342486,0.413766", \ - "0.281494,0.292569,0.300796,0.313467,0.334986,0.372754,0.444080"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.294568,0.312865,0.329272,0.361279,0.425330,0.553483,0.809356", \ - "0.296842,0.315144,0.331539,0.363543,0.427651,0.555776,0.811614", \ - "0.310229,0.328524,0.344935,0.376966,0.441027,0.569152,0.825011", \ - "0.340926,0.359223,0.375554,0.407402,0.471548,0.599661,0.855471", \ - "0.392780,0.411092,0.427454,0.459290,0.523380,0.651498,0.907311", \ - "0.467981,0.486347,0.502714,0.534595,0.598659,0.726779,0.982613", \ - "0.557473,0.576521,0.592951,0.624688,0.688606,0.816675,1.072487"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013145,0.017085,0.020806,0.027937,0.042128,0.071467,0.132862", \ - "0.013144,0.017084,0.020806,0.027938,0.042127,0.071466,0.132868", \ - "0.013145,0.017082,0.020805,0.027937,0.042126,0.071465,0.132860", \ - "0.013174,0.017106,0.020825,0.027952,0.042137,0.071469,0.132863", \ - "0.013484,0.017370,0.021054,0.028139,0.042268,0.071541,0.132886", \ - "0.013990,0.017807,0.021443,0.028454,0.042496,0.071674,0.132938", \ - "0.014813,0.018514,0.022068,0.028973,0.042874,0.071898,0.133013"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018006,0.028550,0.042075,0.071733,0.132653,0.254973,0.499856", \ - "0.018007,0.028547,0.042074,0.071734,0.132657,0.254969,0.499863", \ - "0.018008,0.028549,0.042077,0.071739,0.132659,0.254974,0.499856", \ - "0.018003,0.028549,0.042077,0.071731,0.132662,0.254972,0.499857", \ - "0.018009,0.028550,0.042078,0.071736,0.132657,0.254965,0.499860", \ - "0.018162,0.028634,0.042113,0.071746,0.132654,0.254965,0.499856", \ - "0.019689,0.029613,0.042587,0.071887,0.132720,0.254994,0.499860"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.178589,0.189367,0.197481,0.210454,0.231839,0.269505,0.340800", \ - "0.183525,0.194296,0.202418,0.215397,0.236781,0.274444,0.345740", \ - "0.197665,0.208436,0.216546,0.229521,0.250908,0.288583,0.359872", \ - "0.220638,0.231417,0.239479,0.252424,0.273806,0.311481,0.382792", \ - "0.251810,0.262643,0.270836,0.283729,0.305105,0.342810,0.414098", \ - "0.286488,0.297383,0.305558,0.318416,0.339882,0.377626,0.448952", \ - "0.321533,0.332636,0.340908,0.353650,0.375217,0.413039,0.484394"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.305346,0.324492,0.341072,0.373080,0.437098,0.565150,0.820961", \ - "0.309717,0.328850,0.345464,0.377492,0.441434,0.569526,0.825345", \ - "0.327534,0.346673,0.363264,0.395288,0.459247,0.587325,0.843119", \ - "0.357522,0.376654,0.393249,0.425203,0.489226,0.617271,0.873063", \ - "0.402463,0.421595,0.438213,0.470247,0.534391,0.662442,0.918219", \ - "0.464668,0.483893,0.500478,0.532526,0.596560,0.724584,0.980356", \ - "0.539027,0.558763,0.575465,0.607380,0.671285,0.799230,1.054993"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013774,0.017652,0.021326,0.028384,0.042471,0.071688,0.132962", \ - "0.013774,0.017654,0.021327,0.028383,0.042473,0.071686,0.132959", \ - "0.013771,0.017654,0.021326,0.028384,0.042471,0.071690,0.132960", \ - "0.013772,0.017653,0.021328,0.028385,0.042474,0.071688,0.132962", \ - "0.013946,0.017799,0.021451,0.028482,0.042535,0.071722,0.132971", \ - "0.014320,0.018124,0.021743,0.028725,0.042718,0.071828,0.133013", \ - "0.014870,0.018607,0.022174,0.029088,0.042984,0.071991,0.133072"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019419,0.029744,0.042779,0.072033,0.132819,0.255064,0.499893", \ - "0.019425,0.029744,0.042778,0.072036,0.132810,0.255072,0.499892", \ - "0.019430,0.029744,0.042778,0.072035,0.132817,0.255062,0.499888", \ - "0.019429,0.029745,0.042779,0.072034,0.132824,0.255068,0.499893", \ - "0.019428,0.029745,0.042780,0.072030,0.132815,0.255072,0.499893", \ - "0.019574,0.029840,0.042824,0.072048,0.132816,0.255072,0.499899", \ - "0.020624,0.030644,0.043267,0.072186,0.132875,0.255078,0.499900"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.166635,0.177348,0.185427,0.198354,0.219698,0.257330,0.328630", \ - "0.171582,0.182281,0.190360,0.203288,0.224631,0.262263,0.333565", \ - "0.185669,0.196382,0.204461,0.217389,0.238733,0.276364,0.347664", \ - "0.208344,0.219071,0.227118,0.240001,0.261346,0.298987,0.370267", \ - "0.238294,0.249064,0.257190,0.269927,0.291245,0.328924,0.400218", \ - "0.270701,0.281566,0.289691,0.302397,0.323803,0.361540,0.432855", \ - "0.302481,0.313567,0.321816,0.334524,0.356085,0.393895,0.465239"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.298293,0.316543,0.332903,0.364959,0.429054,0.557183,0.813026", \ - "0.302650,0.320897,0.337283,0.369324,0.433403,0.561537,0.817401", \ - "0.320470,0.338724,0.355081,0.387119,0.451215,0.579403,0.835212", \ - "0.350447,0.368694,0.385054,0.417016,0.481137,0.609262,0.865090", \ - "0.395384,0.413633,0.430029,0.462124,0.526351,0.654449,0.910281", \ - "0.457587,0.475901,0.492303,0.524365,0.588465,0.716577,0.972398", \ - "0.531489,0.550271,0.566700,0.598585,0.662591,0.790650,1.046445"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013592,0.017490,0.021178,0.028258,0.042375,0.071627,0.132933", \ - "0.013592,0.017490,0.021178,0.028259,0.042376,0.071628,0.132936", \ - "0.013590,0.017490,0.021178,0.028258,0.042375,0.071627,0.132932", \ - "0.013603,0.017502,0.021187,0.028265,0.042379,0.071627,0.132931", \ - "0.013818,0.017685,0.021350,0.028396,0.042470,0.071674,0.132947", \ - "0.014234,0.018043,0.021667,0.028659,0.042665,0.071793,0.132989", \ - "0.014863,0.018589,0.022152,0.029065,0.042963,0.071971,0.133059"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017870,0.028466,0.042038,0.071721,0.132651,0.254965,0.499851", \ - "0.017870,0.028467,0.042037,0.071724,0.132655,0.254965,0.499852", \ - "0.017872,0.028466,0.042037,0.071717,0.132647,0.254970,0.499852", \ - "0.017871,0.028465,0.042036,0.071722,0.132643,0.254971,0.499855", \ - "0.017873,0.028468,0.042034,0.071724,0.132663,0.254966,0.499851", \ - "0.018044,0.028563,0.042078,0.071736,0.132668,0.254962,0.499854", \ - "0.019054,0.029220,0.042404,0.071831,0.132700,0.254976,0.499868"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.155330,0.165963,0.173981,0.186837,0.208115,0.245713,0.316949", \ - "0.160344,0.170970,0.178987,0.191843,0.213121,0.250717,0.321957", \ - "0.174799,0.185426,0.193445,0.206302,0.227576,0.265179,0.336416", \ - "0.199060,0.209700,0.217687,0.230521,0.251795,0.289378,0.360617", \ - "0.231200,0.241889,0.249956,0.262642,0.283919,0.321539,0.392806", \ - "0.265666,0.276490,0.284568,0.297241,0.318636,0.356321,0.427609", \ - "0.298965,0.310008,0.318224,0.330878,0.352392,0.390161,0.461493"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.307965,0.326274,0.342652,0.374612,0.438744,0.566887,0.822723", \ - "0.312427,0.330724,0.347124,0.379128,0.443183,0.571361,0.827224", \ - "0.330221,0.348517,0.364908,0.396925,0.460983,0.589145,0.844967", \ - "0.360180,0.378476,0.394818,0.426768,0.490892,0.619012,0.874842", \ - "0.405135,0.423439,0.439834,0.471981,0.536129,0.664227,0.920066", \ - "0.467587,0.485945,0.502335,0.534398,0.598565,0.726675,0.982486", \ - "0.542650,0.561455,0.577886,0.609790,0.673766,0.801828,1.057635"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013317,0.017237,0.020945,0.028056,0.042218,0.071523,0.132887", \ - "0.013317,0.017237,0.020946,0.028057,0.042218,0.071524,0.132891", \ - "0.013317,0.017238,0.020946,0.028056,0.042218,0.071522,0.132887", \ - "0.013339,0.017253,0.020959,0.028066,0.042225,0.071525,0.132888", \ - "0.013586,0.017462,0.021140,0.028211,0.042325,0.071579,0.132904", \ - "0.014039,0.017854,0.021486,0.028495,0.042529,0.071701,0.132947", \ - "0.014742,0.018459,0.022023,0.028942,0.042857,0.071893,0.133018"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018007,0.028549,0.042076,0.071733,0.132657,0.254969,0.499862", \ - "0.018005,0.028550,0.042074,0.071732,0.132657,0.254972,0.499856", \ - "0.018008,0.028549,0.042077,0.071734,0.132650,0.254974,0.499861", \ - "0.018008,0.028550,0.042078,0.071736,0.132660,0.254969,0.499862", \ - "0.018007,0.028549,0.042074,0.071731,0.132648,0.254964,0.499850", \ - "0.018140,0.028621,0.042106,0.071741,0.132655,0.254965,0.499854", \ - "0.019121,0.029257,0.042421,0.071833,0.132690,0.254980,0.499853"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.152812,0.163520,0.171603,0.184533,0.205874,0.243504,0.314803", \ - "0.157303,0.168007,0.176089,0.189018,0.210362,0.248010,0.319292", \ - "0.172321,0.183037,0.191114,0.204038,0.225378,0.263031,0.334315", \ - "0.201725,0.212473,0.220495,0.233287,0.254641,0.292264,0.363524", \ - "0.239320,0.250070,0.258159,0.270789,0.292148,0.329869,0.401142", \ - "0.274551,0.285522,0.293715,0.306595,0.328097,0.365825,0.437107", \ - "0.305398,0.316830,0.325238,0.338405,0.360103,0.397957,0.469260"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.232631,0.251345,0.267869,0.299990,0.364121,0.492212,0.748005", \ - "0.235463,0.254184,0.270716,0.302811,0.366929,0.495056,0.750844", \ - "0.248143,0.266859,0.283374,0.315497,0.379609,0.507714,0.763519", \ - "0.279039,0.297757,0.314249,0.346177,0.410300,0.538385,0.794161", \ - "0.331737,0.350461,0.366943,0.398789,0.462885,0.591011,0.846801", \ - "0.401599,0.420839,0.437418,0.469291,0.533257,0.661280,0.917053", \ - "0.477257,0.497619,0.514471,0.546235,0.610034,0.737966,0.993685"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013593,0.017491,0.021178,0.028257,0.042375,0.071627,0.132935", \ - "0.013592,0.017489,0.021177,0.028259,0.042376,0.071627,0.132935", \ - "0.013590,0.017489,0.021177,0.028256,0.042374,0.071624,0.132936", \ - "0.013596,0.017494,0.021181,0.028259,0.042375,0.071627,0.132936", \ - "0.013931,0.017788,0.021445,0.028470,0.042516,0.071694,0.132950", \ - "0.014526,0.018270,0.021857,0.028802,0.042753,0.071835,0.133019", \ - "0.015623,0.019191,0.022659,0.029444,0.043202,0.072083,0.133083"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018487,0.029083,0.042429,0.071907,0.132770,0.255037,0.499876", \ - "0.018485,0.029082,0.042428,0.071911,0.132763,0.255037,0.499883", \ - "0.018487,0.029082,0.042430,0.071911,0.132770,0.255041,0.499878", \ - "0.018486,0.029083,0.042427,0.071908,0.132768,0.255042,0.499874", \ - "0.018546,0.029119,0.042447,0.071920,0.132773,0.255043,0.499873", \ - "0.019710,0.029896,0.042826,0.072026,0.132789,0.255055,0.499884", \ - "0.021786,0.031585,0.043799,0.072361,0.132919,0.255091,0.499899"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.141032,0.151685,0.159721,0.172600,0.193899,0.231502,0.302777", \ - "0.145464,0.156114,0.164154,0.177033,0.198330,0.235944,0.307215", \ - "0.160440,0.171090,0.179121,0.191995,0.213296,0.250911,0.322180", \ - "0.189117,0.199810,0.207810,0.220578,0.241903,0.279481,0.350712", \ - "0.222648,0.233360,0.241444,0.254031,0.275376,0.313070,0.384319", \ - "0.253272,0.264263,0.272468,0.285344,0.306843,0.344566,0.415824", \ - "0.279220,0.290754,0.299195,0.312401,0.334131,0.372005,0.443297"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.225506,0.243399,0.259758,0.291911,0.356146,0.484293,0.740114", \ - "0.228327,0.246209,0.262574,0.294708,0.358936,0.487109,0.742923", \ - "0.240997,0.258876,0.275227,0.307403,0.371606,0.499761,0.755592", \ - "0.271942,0.289825,0.306169,0.338186,0.402386,0.530537,0.786354", \ - "0.324705,0.342602,0.358947,0.390843,0.455019,0.583204,0.839023", \ - "0.394032,0.412380,0.428733,0.460552,0.524644,0.652762,0.908585", \ - "0.468728,0.488031,0.504516,0.536244,0.600154,0.728170,0.983981"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013389,0.017310,0.021015,0.028120,0.042270,0.071558,0.132900", \ - "0.013392,0.017309,0.021015,0.028119,0.042269,0.071559,0.132903", \ - "0.013390,0.017310,0.021015,0.028120,0.042269,0.071557,0.132898", \ - "0.013442,0.017352,0.021049,0.028144,0.042286,0.071564,0.132902", \ - "0.013864,0.017714,0.021373,0.028419,0.042487,0.071672,0.132928", \ - "0.014564,0.018292,0.021869,0.028804,0.042746,0.071823,0.133006", \ - "0.015861,0.019383,0.022822,0.029566,0.043278,0.072114,0.133086"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.016941,0.027920,0.041773,0.071633,0.132604,0.254945,0.499843", \ - "0.016931,0.027918,0.041774,0.071634,0.132613,0.254942,0.499842", \ - "0.016939,0.027919,0.041775,0.071638,0.132607,0.254939,0.499851", \ - "0.016939,0.027920,0.041772,0.071636,0.132605,0.254930,0.499841", \ - "0.017012,0.027956,0.041790,0.071643,0.132621,0.254943,0.499840", \ - "0.018207,0.028626,0.042094,0.071725,0.132629,0.254947,0.499843", \ - "0.020114,0.029949,0.042760,0.071935,0.132716,0.254979,0.499865"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.127983,0.138449,0.146390,0.159154,0.180346,0.217882,0.289107", \ - "0.132541,0.143018,0.150959,0.163720,0.184918,0.222447,0.293675", \ - "0.148344,0.158820,0.166750,0.179512,0.200709,0.238245,0.309479", \ - "0.177505,0.188015,0.195920,0.208566,0.229763,0.267276,0.338481", \ - "0.209524,0.220079,0.228043,0.240504,0.261726,0.299307,0.370578", \ - "0.238482,0.249276,0.257392,0.270144,0.291508,0.329149,0.400368", \ - "0.262480,0.273823,0.282159,0.295245,0.316859,0.354638,0.425884"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.225503,0.243390,0.259750,0.291885,0.356126,0.484286,0.740108", \ - "0.228095,0.245960,0.262336,0.294459,0.358685,0.486859,0.742671", \ - "0.240570,0.258452,0.274827,0.306967,0.371181,0.499335,0.755169", \ - "0.271721,0.289600,0.305953,0.337959,0.402162,0.530308,0.786128", \ - "0.324734,0.342633,0.358980,0.390875,0.455052,0.583236,0.839056", \ - "0.394312,0.412664,0.429020,0.460874,0.524959,0.653052,0.908882", \ - "0.469390,0.488684,0.505169,0.536903,0.600816,0.728832,0.984638"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012907,0.016882,0.020628,0.027791,0.042020,0.071403,0.132841", \ - "0.012908,0.016882,0.020628,0.027792,0.042019,0.071402,0.132844", \ - "0.012907,0.016883,0.020630,0.027793,0.042022,0.071401,0.132844", \ - "0.012984,0.016944,0.020678,0.027828,0.042042,0.071413,0.132843", \ - "0.013406,0.017302,0.020992,0.028084,0.042235,0.071520,0.132865", \ - "0.014119,0.017880,0.021486,0.028467,0.042481,0.071647,0.132923", \ - "0.015408,0.018957,0.022423,0.029214,0.042997,0.071922,0.133001"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.016942,0.027919,0.041773,0.071635,0.132610,0.254932,0.499843", \ - "0.016940,0.027921,0.041772,0.071635,0.132612,0.254934,0.499840", \ - "0.016934,0.027922,0.041773,0.071638,0.132607,0.254941,0.499852", \ - "0.016942,0.027920,0.041773,0.071637,0.132606,0.254930,0.499851", \ - "0.017011,0.027956,0.041789,0.071639,0.132621,0.254943,0.499840", \ - "0.018202,0.028621,0.042093,0.071726,0.132634,0.254956,0.499841", \ - "0.020097,0.029937,0.042756,0.071935,0.132719,0.254976,0.499853"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.164330,0.175100,0.183221,0.196199,0.217583,0.255247,0.326542", \ - "0.168073,0.178843,0.186971,0.199942,0.221326,0.258989,0.330290", \ - "0.182694,0.193471,0.201589,0.214553,0.235939,0.273626,0.344903", \ - "0.212301,0.223090,0.231146,0.243948,0.265342,0.303009,0.374281", \ - "0.252871,0.263740,0.271853,0.284496,0.305861,0.343575,0.414857", \ - "0.292303,0.303276,0.311485,0.324371,0.345876,0.383618,0.454926", \ - "0.327673,0.339054,0.347437,0.360599,0.382291,0.420144,0.491441"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.246359,0.265074,0.281600,0.313669,0.377818,0.505927,0.761730", \ - "0.251620,0.270338,0.286888,0.318934,0.383100,0.511183,0.766979", \ - "0.268219,0.286939,0.303475,0.335555,0.399705,0.527801,0.783596", \ - "0.297276,0.315994,0.332508,0.364566,0.428669,0.556760,0.812551", \ - "0.341774,0.360500,0.377020,0.409228,0.473277,0.601394,0.857160", \ - "0.400041,0.419112,0.435705,0.467717,0.531734,0.659746,0.915544", \ - "0.466109,0.485809,0.502526,0.534365,0.598278,0.726250,0.981996"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013771,0.017653,0.021327,0.028383,0.042473,0.071686,0.132959", \ - "0.013772,0.017653,0.021326,0.028384,0.042473,0.071688,0.132962", \ - "0.013772,0.017653,0.021326,0.028383,0.042472,0.071689,0.132960", \ - "0.013774,0.017653,0.021327,0.028384,0.042472,0.071690,0.132961", \ - "0.014028,0.017867,0.021507,0.028525,0.042564,0.071734,0.132973", \ - "0.014539,0.018294,0.021883,0.028833,0.042785,0.071860,0.133036", \ - "0.015497,0.019092,0.022581,0.029388,0.043168,0.072072,0.133089"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018486,0.029080,0.042428,0.071908,0.132767,0.255041,0.499882", \ - "0.018486,0.029082,0.042428,0.071910,0.132763,0.255038,0.499878", \ - "0.018487,0.029083,0.042428,0.071907,0.132770,0.255041,0.499878", \ - "0.018490,0.029086,0.042426,0.071909,0.132768,0.255038,0.499888", \ - "0.018519,0.029100,0.042437,0.071911,0.132763,0.255033,0.499874", \ - "0.019287,0.029612,0.042693,0.071988,0.132780,0.255039,0.499885", \ - "0.020500,0.030573,0.043232,0.072182,0.132860,0.255072,0.499904"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.152332,0.163032,0.171106,0.184038,0.205381,0.243017,0.314323", \ - "0.156056,0.166761,0.174839,0.187770,0.209114,0.246761,0.318044", \ - "0.170674,0.181388,0.189465,0.202392,0.223736,0.261377,0.332664", \ - "0.200059,0.210811,0.218830,0.231607,0.252961,0.290587,0.361831", \ - "0.237302,0.248086,0.256181,0.268792,0.290152,0.327849,0.399160", \ - "0.272428,0.283402,0.291600,0.304485,0.325983,0.363715,0.435014", \ - "0.303184,0.314623,0.323032,0.336202,0.357912,0.395768,0.467063"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.239246,0.257129,0.273496,0.305608,0.369853,0.498031,0.753840", \ - "0.244485,0.262368,0.278743,0.310857,0.375092,0.503250,0.759083", \ - "0.261078,0.278954,0.295319,0.327462,0.391711,0.519856,0.775677", \ - "0.290157,0.308041,0.324378,0.356479,0.420679,0.548831,0.804650", \ - "0.334659,0.352547,0.368934,0.401093,0.465326,0.593477,0.849298", \ - "0.392608,0.410798,0.427196,0.459215,0.523333,0.651411,0.907222", \ - "0.458141,0.476859,0.493321,0.525120,0.589157,0.717192,0.972994"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013588,0.017488,0.021176,0.028257,0.042375,0.071627,0.132935", \ - "0.013588,0.017489,0.021176,0.028255,0.042375,0.071626,0.132935", \ - "0.013587,0.017488,0.021177,0.028257,0.042374,0.071626,0.132934", \ - "0.013614,0.017506,0.021193,0.028268,0.042382,0.071627,0.132932", \ - "0.013943,0.017792,0.021447,0.028476,0.042524,0.071702,0.132952", \ - "0.014544,0.018282,0.021867,0.028810,0.042761,0.071838,0.133016", \ - "0.015641,0.019201,0.022667,0.029451,0.043205,0.072082,0.133082"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.016932,0.027921,0.041774,0.071638,0.132607,0.254943,0.499851", \ - "0.016931,0.027918,0.041772,0.071634,0.132609,0.254933,0.499852", \ - "0.016940,0.027919,0.041774,0.071637,0.132603,0.254943,0.499851", \ - "0.016933,0.027919,0.041772,0.071638,0.132613,0.254943,0.499845", \ - "0.016977,0.027938,0.041780,0.071638,0.132609,0.254935,0.499841", \ - "0.017756,0.028374,0.041983,0.071693,0.132634,0.254944,0.499839", \ - "0.018899,0.029126,0.042357,0.071818,0.132689,0.254964,0.499854"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.137137,0.147668,0.155639,0.168443,0.189671,0.227227,0.298477", \ - "0.141125,0.151654,0.159625,0.172433,0.193660,0.231214,0.302462", \ - "0.156650,0.167181,0.175151,0.187951,0.209179,0.246743,0.317991", \ - "0.186911,0.197472,0.205407,0.218050,0.239283,0.276830,0.348047", \ - "0.222621,0.233208,0.241201,0.253654,0.274894,0.312516,0.383779", \ - "0.255786,0.266558,0.274659,0.287410,0.308787,0.346430,0.417660", \ - "0.284333,0.295576,0.303870,0.316913,0.338493,0.376257,0.447517"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.239247,0.257129,0.273505,0.305621,0.369850,0.498030,0.753842", \ - "0.244267,0.262150,0.278527,0.310646,0.374874,0.503057,0.758862", \ - "0.260716,0.278599,0.294966,0.327109,0.391309,0.519476,0.775306", \ - "0.289925,0.307810,0.324136,0.356270,0.420481,0.548616,0.804435", \ - "0.334555,0.352445,0.368840,0.401066,0.465179,0.593323,0.849131", \ - "0.392594,0.410785,0.427179,0.459222,0.523330,0.651413,0.907221", \ - "0.458311,0.477027,0.493485,0.525291,0.589317,0.717347,0.973168"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013075,0.017033,0.020764,0.027906,0.042107,0.071457,0.132867", \ - "0.013076,0.017032,0.020763,0.027906,0.042107,0.071456,0.132865", \ - "0.013074,0.017031,0.020764,0.027906,0.042108,0.071457,0.132867", \ - "0.013118,0.017063,0.020791,0.027924,0.042118,0.071462,0.132869", \ - "0.013460,0.017356,0.021045,0.028136,0.042270,0.071538,0.132885", \ - "0.014074,0.017851,0.021470,0.028462,0.042487,0.071657,0.132938", \ - "0.015172,0.018765,0.022261,0.029092,0.042918,0.071888,0.132997"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.016932,0.027921,0.041774,0.071639,0.132603,0.254936,0.499843", \ - "0.016932,0.027919,0.041772,0.071635,0.132609,0.254945,0.499842", \ - "0.016932,0.027921,0.041774,0.071635,0.132608,0.254933,0.499841", \ - "0.016936,0.027919,0.041772,0.071638,0.132607,0.254944,0.499844", \ - "0.016977,0.027940,0.041782,0.071641,0.132605,0.254939,0.499845", \ - "0.017756,0.028374,0.041982,0.071693,0.132633,0.254942,0.499839", \ - "0.018897,0.029126,0.042358,0.071824,0.132695,0.254963,0.499866"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.021035,8.629024,9.024689,9.471949,9.828087,10.029410,10.142620", \ - "8.010208,8.610290,9.019030,9.457303,9.814418,10.018250,10.126050", \ - "7.971591,8.572756,8.961877,9.419628,9.774271,9.985321,10.096900", \ - "7.949732,8.550810,8.944232,9.401904,9.757554,9.960626,10.069740", \ - "7.949540,8.557631,8.942273,9.376238,9.754039,9.957686,10.068350", \ - "8.081528,8.646153,9.040770,9.458374,9.825927,10.039830,10.158180", \ - "8.283310,8.834566,9.227735,9.677430,10.059730,10.265450,10.357930"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.212360,11.924740,12.354000,12.745550,13.087180,13.194310,13.150180", \ - "11.182180,11.894470,12.305420,12.763020,12.991340,13.110320,13.065530", \ - "11.109220,11.828150,12.247840,12.619530,12.906470,12.928170,13.156940", \ - "11.122430,11.834940,12.243790,12.705970,12.942870,13.106400,13.066090", \ - "11.199310,11.942880,12.365080,12.769810,13.070300,13.109400,13.347790", \ - "11.292490,12.030830,12.564460,12.976910,13.315720,13.539910,13.515070", \ - "11.429420,12.210920,12.732670,13.365130,13.859820,13.854870,14.126460"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.656606,8.240201,8.619017,9.076274,9.417940,9.608480,9.691446", \ - "7.623351,8.228204,8.626141,9.061963,9.404836,9.586527,9.682077", \ - "7.593823,8.197554,8.588365,9.024821,9.369004,9.555588,9.648685", \ - "7.550960,8.171602,8.554544,8.987842,9.319861,9.518426,9.610386", \ - "7.557301,8.147518,8.554252,8.966486,9.320955,9.521801,9.614567", \ - "7.643181,8.258865,8.628836,9.066285,9.414593,9.616559,9.706182", \ - "7.910770,8.468556,8.840855,9.285876,9.670745,9.865533,9.928171"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.065640,11.677970,12.026110,12.283000,12.489150,12.611290,12.571680", \ - "11.035420,11.647760,11.979020,12.300150,12.377850,12.526480,12.758350", \ - "10.962340,11.574950,11.890100,12.147250,12.386800,12.615860,12.577490", \ - "10.916840,11.586330,11.928240,12.239370,12.315170,12.521260,12.484110", \ - "11.062570,11.684630,12.047020,12.314600,12.522560,12.530490,12.773350", \ - "11.105430,11.812160,12.256450,12.583540,12.813260,13.000870,12.981810", \ - "11.327720,12.031990,12.465700,12.929850,13.145840,13.347090,13.347340"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.637092,8.267953,8.665061,9.088540,9.427039,9.611636,9.692616", \ - "7.640327,8.251051,8.635417,9.074716,9.404322,9.586966,9.680484", \ - "7.601837,8.221411,8.590490,9.037346,9.372529,9.559673,9.642320", \ - "7.566724,8.183393,8.536494,8.997271,9.333515,9.521330,9.602355", \ - "7.568958,8.179866,8.571502,8.994765,9.336948,9.524372,9.611843", \ - "7.722572,8.278724,8.674676,9.078658,9.430632,9.622930,9.718087", \ - "7.919938,8.486970,8.836142,9.315004,9.681272,9.871683,9.945722"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.291460,11.910320,12.235290,12.598670,12.775040,12.912140,12.874340", \ - "11.261460,11.880120,12.236710,12.513080,12.685880,12.827730,12.789920", \ - "11.188470,11.807510,12.163130,12.487690,12.564850,12.646730,12.880820", \ - "11.144340,11.778870,12.161700,12.450990,12.526060,12.824020,12.798940", \ - "11.304790,11.923100,12.220560,12.491780,12.717810,12.802530,13.046740", \ - "11.360100,12.071330,12.493020,12.819340,13.003190,13.203870,13.186200", \ - "11.560630,12.270290,12.692740,13.209850,13.432120,13.478630,13.751140"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.656606,8.240201,8.619017,9.076274,9.417940,9.608480,9.691446", \ - "7.623351,8.228204,8.626141,9.061963,9.404836,9.586527,9.682077", \ - "7.593823,8.197554,8.588365,9.024821,9.369004,9.555588,9.648685", \ - "7.550960,8.171602,8.554544,8.987842,9.319861,9.518426,9.610386", \ - "7.557301,8.147518,8.554252,8.966486,9.320955,9.521801,9.614567", \ - "7.643181,8.258865,8.628836,9.066285,9.414593,9.616559,9.706182", \ - "7.910770,8.468556,8.840855,9.285876,9.670745,9.865533,9.928171"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.065640,11.677970,12.026110,12.283000,12.489150,12.611290,12.571680", \ - "11.035420,11.647760,11.979020,12.300150,12.377850,12.526480,12.758350", \ - "10.962340,11.574950,11.890100,12.147250,12.386800,12.615860,12.577490", \ - "10.916840,11.586330,11.928240,12.239370,12.315170,12.521260,12.484110", \ - "11.062570,11.684630,12.047020,12.314600,12.522560,12.530490,12.773350", \ - "11.105430,11.812160,12.256450,12.583540,12.813260,13.000870,12.981810", \ - "11.327720,12.031990,12.465700,12.929850,13.145840,13.347090,13.347340"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.270405,7.874514,8.239801,8.684216,9.005805,9.179269,9.259827", \ - "7.262401,7.853472,8.229372,8.668429,8.999124,9.171077,9.237231", \ - "7.202826,7.807765,8.188735,8.620986,8.950015,9.125997,9.197243", \ - "7.178846,7.763334,8.161976,8.575826,8.899376,9.081725,9.141856", \ - "7.166836,7.754000,8.112443,8.552219,8.898903,9.088271,9.160110", \ - "7.281717,7.882372,8.254744,8.687441,9.009590,9.190300,9.272076", \ - "7.529750,8.105015,8.476252,8.919372,9.294081,9.471826,9.521731"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.852460,11.388390,11.602940,11.808670,11.966740,12.036280,11.996180", \ - "10.822410,11.358280,11.556720,11.825260,11.855360,11.951680,12.183850", \ - "10.802560,11.285470,11.531560,11.698730,11.832170,12.040540,12.002900", \ - "10.758870,11.294290,11.491250,11.724760,11.791300,11.943610,11.907810", \ - "10.917770,11.411170,11.639520,11.850920,12.008740,11.962680,12.207080", \ - "10.986330,11.570010,11.866730,12.104160,12.085800,12.207950,12.460860", \ - "11.241350,11.789240,12.126370,12.443460,12.465610,12.860890,12.859740"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.268399,7.873580,8.293911,8.707782,9.027015,9.184386,9.259224", \ - "7.256229,7.890681,8.276564,8.682430,9.008193,9.166659,9.241408", \ - "7.197086,7.844991,8.231487,8.622898,8.962651,9.130805,9.194792", \ - "7.173035,7.782761,8.180102,8.588053,8.908205,9.077699,9.144392", \ - "7.183203,7.762698,8.151766,8.566989,8.913542,9.092326,9.162639", \ - "7.281798,7.911777,8.263661,8.684883,9.023714,9.198702,9.279155", \ - "7.518766,8.110125,8.493448,8.949383,9.298114,9.487142,9.534811"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.079720,11.622520,11.842860,12.050940,12.208740,12.335560,12.297550", \ - "11.049740,11.592840,11.825700,11.962710,12.097380,12.251160,12.213260", \ - "11.007480,11.519580,11.770460,11.915970,12.073280,12.069390,12.303890", \ - "10.987240,11.477520,11.745440,11.963160,12.032900,12.244590,12.209930", \ - "11.117390,11.615460,11.876660,12.058020,12.214930,12.228890,12.473790", \ - "11.233440,11.788270,12.075830,12.316440,12.481220,12.585180,12.659410", \ - "11.478590,12.019830,12.384040,12.673010,12.784090,12.982960,12.981380"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.661234,8.289000,8.662485,9.099980,9.429276,9.603679,9.697292", \ - "7.635111,8.279732,8.673319,9.085910,9.415138,9.588993,9.680419", \ - "7.607688,8.243326,8.638247,9.052638,9.375992,9.551172,9.645306", \ - "7.597473,8.212726,8.598802,8.995152,9.335698,9.519279,9.601419", \ - "7.592981,8.192927,8.547073,8.997036,9.338832,9.513861,9.607533", \ - "7.715422,8.315136,8.691092,9.104717,9.430816,9.609137,9.700593", \ - "7.903441,8.499223,8.846935,9.310937,9.682174,9.861981,9.921531"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.035770,11.676810,11.996120,12.246380,12.483050,12.609250,12.570480", \ - "10.997140,11.598840,11.945740,12.260950,12.403350,12.523640,12.483230", \ - "10.902680,11.571810,11.917200,12.248470,12.407410,12.341600,12.573920", \ - "10.915140,11.584010,11.867480,12.245290,12.304900,12.519520,12.482330", \ - "11.061760,11.683870,11.986770,12.247440,12.446840,12.529830,12.772350", \ - "11.160820,11.849320,12.248550,12.623580,12.827060,12.996090,12.977190", \ - "11.373030,12.018380,12.480640,12.936730,13.269540,13.335460,13.332730"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.314088,7.915777,8.304323,8.721542,9.023845,9.190086,9.259126", \ - "7.297032,7.916504,8.293104,8.700388,9.016497,9.173673,9.243847", \ - "7.207661,7.871556,8.251544,8.656027,8.969940,9.127728,9.198437", \ - "7.166577,7.815220,8.195593,8.589460,8.910072,9.071232,9.142636", \ - "7.192638,7.773676,8.183325,8.581066,8.910494,9.080032,9.150163", \ - "7.341729,7.923191,8.293126,8.714902,9.033586,9.187317,9.265908", \ - "7.574387,8.144679,8.525107,8.938087,9.308084,9.477772,9.513156"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.858020,11.386700,11.573810,11.772480,11.916330,12.034280,11.994830", \ - "10.819580,11.302750,11.586380,11.786450,11.801960,11.948770,12.180830", \ - "10.780790,11.281450,11.494370,11.629960,11.774140,12.036960,11.999610", \ - "10.789540,11.239730,11.508910,11.711080,11.724830,11.942020,11.906130", \ - "10.919080,11.410000,11.644470,11.785920,11.929200,11.961820,12.206260", \ - "10.982390,11.566630,11.864590,12.112000,12.242290,12.203510,12.456950", \ - "11.227470,11.831820,12.140790,12.486480,12.589900,12.847190,12.844690"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.339201,7.949042,8.342155,8.739584,9.047815,9.196281,9.267752", \ - "7.307287,7.943367,8.327783,8.719800,9.032018,9.182264,9.250389", \ - "7.259666,7.863435,8.274204,8.673910,8.978731,9.131145,9.201774", \ - "7.197319,7.835479,8.196579,8.610712,8.922255,9.072944,9.144966", \ - "7.199831,7.827717,8.196282,8.598008,8.917797,9.090373,9.156495", \ - "7.374659,7.941362,8.311973,8.732270,9.040439,9.189408,9.270498", \ - "7.603990,8.145787,8.531525,8.981284,9.320018,9.488966,9.527386"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.077420,11.579430,11.857800,11.994990,12.138360,12.333520,12.297300", \ - "11.046730,11.537320,11.807790,12.009480,12.023130,12.248140,12.210670", \ - "10.973340,11.463490,11.735100,11.851290,11.993970,12.065980,12.300510", \ - "10.984990,11.475230,11.728340,11.933420,11.944290,12.242220,12.207570", \ - "11.116160,11.644650,11.874670,11.971550,12.112760,12.228470,12.473150", \ - "11.229700,11.818820,12.092360,12.333930,12.411520,12.671830,12.655340", \ - "11.452520,12.062670,12.374490,12.656640,12.883230,12.972310,13.243540"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.665154,8.251790,8.668883,9.083883,9.438240,9.623510,9.715913", \ - "7.688878,8.273977,8.656802,9.110625,9.456345,9.636701,9.733286", \ - "7.647953,8.274302,8.656462,9.094371,9.440376,9.626443,9.712918", \ - "7.605499,8.209196,8.616906,9.054151,9.400245,9.584147,9.674744", \ - "7.604061,8.179523,8.580141,9.022635,9.371678,9.566536,9.648308", \ - "7.662660,8.236487,8.603579,9.043624,9.403196,9.588465,9.675684", \ - "7.787673,8.342755,8.733892,9.176823,9.547429,9.748886,9.804624"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.268080,11.859840,12.245370,12.741860,13.297810,13.579930,13.667040", \ - "11.205110,11.853590,12.198670,12.762100,13.173780,13.354650,13.742250", \ - "11.172730,11.764390,12.171570,12.615510,13.140910,13.431830,13.468200", \ - "11.187590,11.779400,12.147210,12.630300,13.168270,13.398000,13.434470", \ - "11.234710,11.884540,12.237920,12.731100,13.268840,13.560120,13.598690", \ - "11.543780,12.135240,12.531870,12.968770,13.419980,13.854260,13.892710", \ - "11.902540,12.534440,12.918360,13.426410,13.987770,14.278040,14.322150"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.279748,7.894671,8.273356,8.705769,9.030329,9.208608,9.279881", \ - "7.306540,7.910473,8.286155,8.720896,9.037506,9.212732,9.289272", \ - "7.257754,7.880622,8.276400,8.690476,9.010721,9.185774,9.263232", \ - "7.205292,7.820027,8.198417,8.634964,8.960223,9.138962,9.205410", \ - "7.171569,7.788039,8.158626,8.599083,8.935321,9.111353,9.184009", \ - "7.258729,7.834729,8.200916,8.649172,8.977999,9.148221,9.225155", \ - "7.374002,7.956889,8.344638,8.790711,9.144191,9.336940,9.375711"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.156340,11.695230,12.041820,12.335700,12.651400,12.862310,13.156150", \ - "11.093160,11.671390,11.994860,12.355740,12.585790,12.910280,12.930590", \ - "11.062310,11.639380,11.900720,12.336620,12.551710,12.714010,13.005190", \ - "11.076590,11.618950,11.925200,12.356960,12.652270,12.680070,12.972480", \ - "11.178500,11.700620,12.019600,12.457730,12.680070,12.840510,13.134480", \ - "11.429510,11.952940,12.284510,12.691320,13.040210,13.133990,13.426700", \ - "11.774500,12.344360,12.687120,13.076120,13.444360,13.597660,13.621000"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.282601,7.889554,8.313615,8.719754,9.044898,9.204159,9.279855", \ - "7.300842,7.906484,8.291703,8.725137,9.060418,9.216281,9.291023", \ - "7.282208,7.895791,8.299034,8.707123,9.032899,9.190194,9.262259", \ - "7.240935,7.834940,8.219293,8.654281,8.973452,9.139084,9.207724", \ - "7.180352,7.805227,8.159904,8.602607,8.929034,9.114512,9.182573", \ - "7.244789,7.849485,8.209334,8.657886,8.982555,9.142051,9.219593", \ - "7.409410,7.962994,8.369876,8.786382,9.161165,9.341113,9.373591"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.420270,11.953390,12.285570,12.640450,13.004140,13.155040,13.441620", \ - "11.355910,11.939690,12.225330,12.657240,13.017920,13.255770,13.217280", \ - "11.324180,11.870520,12.195820,12.627490,12.986550,13.002930,13.292900", \ - "11.339000,11.867150,12.218550,12.522300,12.797770,12.966020,13.259610", \ - "11.442080,11.970400,12.309660,12.618640,12.902950,13.128560,13.421630", \ - "11.679900,12.208860,12.531200,12.965490,13.188300,13.409480,13.430990", \ - "12.060170,12.630870,12.933090,13.389940,13.758520,13.785090,14.082220"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.008636,8.616030,9.019084,9.443326,9.816831,10.015500,10.116420", \ - "7.922377,8.575259,8.965271,9.410498,9.779371,9.981270,10.093820", \ - "7.934214,8.534758,8.917312,9.378089,9.734855,9.948942,10.055730", \ - "7.918625,8.528759,8.908608,9.362185,9.726141,9.927911,10.038580", \ - "7.860225,8.517651,8.900652,9.361631,9.725485,9.927573,10.036810", \ - "7.966992,8.557281,8.949054,9.373906,9.746109,9.951310,10.066620", \ - "8.091118,8.642303,9.070137,9.495890,9.880003,10.092740,10.175840"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.806410,12.398200,12.827980,13.255170,13.822010,13.957340,14.266260", \ - "11.798550,12.411380,12.735010,13.298010,13.825780,14.169370,14.204740", \ - "11.758260,12.350260,12.744630,13.171980,13.764540,14.020970,14.058280", \ - "11.733420,12.363810,12.716750,13.213890,13.752850,13.991740,14.029970", \ - "11.747520,12.343160,12.710080,13.204450,13.748440,13.889200,14.200670", \ - "11.814760,12.464070,12.824660,13.318840,13.765620,14.179220,14.219680", \ - "11.906810,12.600730,12.974600,13.562810,14.076510,14.352920,14.396520"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.617535,8.243282,8.626057,9.061664,9.404960,9.589217,9.681193", \ - "7.586384,8.213499,8.571971,9.026349,9.367831,9.560704,9.647869", \ - "7.550906,8.150059,8.534039,8.993240,9.335035,9.520599,9.612118", \ - "7.545658,8.127459,8.493871,8.965446,9.307658,9.493081,9.586134", \ - "7.517672,8.122298,8.502968,8.948627,9.294757,9.485630,9.581358", \ - "7.589235,8.157608,8.559001,8.967732,9.317406,9.518702,9.612353", \ - "7.712142,8.251785,8.659353,9.101009,9.473440,9.668344,9.732176"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.693860,12.271980,12.596460,12.972690,13.186640,13.511600,13.530590", \ - "11.687120,12.232260,12.570320,12.961280,13.143910,13.451250,13.468600", \ - "11.646270,12.222950,12.513570,12.874160,13.135390,13.301330,13.593540", \ - "11.621940,12.199330,12.486030,12.912970,13.141820,13.275330,13.567400", \ - "11.636030,12.158250,12.478300,12.903620,13.253240,13.445070,13.465690", \ - "11.742970,12.285110,12.619110,13.016740,13.368430,13.463510,13.484520", \ - "11.820140,12.433790,12.773440,13.160810,13.531470,13.663050,13.689230"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.641220,8.243568,8.650704,9.072963,9.409550,9.596331,9.680972", \ - "7.590050,8.219347,8.600944,9.042729,9.377082,9.563411,9.644687", \ - "7.585821,8.183165,8.579131,9.004212,9.342041,9.524393,9.612148", \ - "7.517726,8.154708,8.538855,8.975728,9.310313,9.488021,9.579290", \ - "7.513258,8.132845,8.505719,8.957632,9.295324,9.482834,9.574537", \ - "7.594208,8.189165,8.551256,8.976459,9.332752,9.518732,9.610594", \ - "7.690951,8.307999,8.665445,9.122021,9.484454,9.668064,9.731660"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.974800,12.541770,12.872830,13.239330,13.600290,13.800210,13.820360", \ - "11.947840,12.484360,12.843590,13.142160,13.506340,13.754340,13.755320", \ - "11.907550,12.453940,12.785730,13.150620,13.513320,13.589280,13.881740", \ - "11.883980,12.428420,12.756050,13.187180,13.542180,13.562230,13.854610", \ - "11.896020,12.424710,12.745730,13.166940,13.411450,13.728630,13.749200", \ - "12.006720,12.536040,12.832760,13.260010,13.481460,13.731210,13.752650", \ - "12.070370,12.700970,13.014690,13.468370,13.838970,13.870000,14.165590"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.651645,8.265409,8.634976,9.093613,9.437367,9.626521,9.717985", \ - "7.669715,8.266653,8.651923,9.106173,9.451677,9.635159,9.729370", \ - "7.647242,8.264759,8.627606,9.095364,9.426144,9.628566,9.718808", \ - "7.637801,8.269209,8.639267,9.084273,9.429484,9.612914,9.704496", \ - "7.733290,8.316965,8.695155,9.156394,9.499784,9.701428,9.790913", \ - "7.920271,8.519280,8.913798,9.343277,9.695294,9.892786,9.987340", \ - "8.261868,8.852506,9.226383,9.683552,10.059350,10.261390,10.330160"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.695363,10.304150,10.649300,11.093660,11.556310,11.754710,11.709250", \ - "9.669905,10.278820,10.652020,11.095420,11.557280,11.782660,11.738020", \ - "9.602098,10.210900,10.596320,10.956690,11.351480,11.606750,11.561060", \ - "9.639680,10.234850,10.528880,10.982650,11.312340,11.559760,11.786180", \ - "9.760602,10.357540,10.689930,11.076590,11.412860,11.702420,11.930440", \ - "10.027290,10.655790,10.959550,11.484500,11.821930,12.038460,12.094170", \ - "10.332360,10.959380,11.301900,11.837810,12.370280,12.344930,12.589080"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.267157,7.892819,8.287298,8.702039,9.029862,9.207940,9.277304", \ - "7.270924,7.895215,8.291178,8.711603,9.039991,9.211832,9.283680", \ - "7.249722,7.884184,8.263261,8.691968,9.023788,9.194890,9.266341", \ - "7.253869,7.883854,8.260249,8.668161,9.009881,9.179075,9.251943", \ - "7.330039,7.942728,8.294923,8.751997,9.091188,9.274538,9.350590", \ - "7.572986,8.165818,8.530657,8.952585,9.312713,9.484796,9.570484", \ - "7.924260,8.491651,8.866287,9.335389,9.702261,9.897902,9.939686"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.642371,10.120260,10.428540,10.733940,11.019560,11.175170,11.251500", \ - "9.621375,10.095700,10.430890,10.735810,11.020960,11.067300,11.122130", \ - "9.549960,10.028060,10.360610,10.693420,10.829870,10.890520,11.104370", \ - "9.545026,10.022630,10.310690,10.695560,10.829890,11.110280,11.052810", \ - "9.687326,10.165040,10.471200,10.717780,11.032290,11.250430,11.277750", \ - "9.941053,10.455860,10.781170,11.057170,11.324400,11.335320,11.555620", \ - "10.217430,10.799240,11.075650,11.476430,11.752910,11.977470,11.939770"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.293671,7.930800,8.315118,8.738630,9.045483,9.204627,9.275771", \ - "7.325433,7.943955,8.327507,8.747435,9.055022,9.220952,9.286565", \ - "7.291991,7.915313,8.315462,8.723499,9.034867,9.196881,9.266095", \ - "7.275884,7.915722,8.277715,8.701250,9.015718,9.176754,9.247227", \ - "7.412116,7.975121,8.355567,8.765617,9.094124,9.268298,9.341955", \ - "7.606322,8.181725,8.577607,8.982385,9.328728,9.475687,9.565000", \ - "7.961007,8.545808,8.917580,9.355049,9.726158,9.897301,9.942373"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.641719,10.119410,10.419980,10.733850,10.992750,11.037760,11.251240", \ - "9.623375,10.102150,10.436020,10.727400,11.000380,11.073840,11.016100", \ - "9.533708,10.038700,10.359540,10.592060,10.816910,10.901460,11.115020", \ - "9.550133,10.027850,10.359780,10.717470,10.838890,11.115410,11.058040", \ - "9.685618,10.163310,10.434470,10.806510,11.077640,11.248500,11.267520", \ - "9.929981,10.488230,10.783610,11.096880,11.241510,11.451140,11.544420", \ - "10.235140,10.803520,11.106380,11.499970,11.821800,11.950120,11.913420"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.987625,8.609800,8.996227,9.442201,9.810979,10.013190,10.118290", \ - "7.968406,8.574151,8.947950,9.420370,9.776368,9.976970,10.086330", \ - "7.941469,8.530655,8.925462,9.381583,9.731732,9.945208,10.054990", \ - "7.944393,8.543592,8.944426,9.371496,9.739719,9.946649,10.048640", \ - "8.014121,8.620601,8.996340,9.461892,9.825080,10.030690,10.145610", \ - "8.236216,8.818392,9.172206,9.645624,9.996470,10.225840,10.336820", \ - "8.544180,9.129193,9.504797,9.963553,10.355800,10.566450,10.654200"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.279650,10.887580,11.207940,11.651590,12.110990,12.392040,12.346650", \ - "10.299680,10.851600,11.222490,11.664910,12.052910,12.302890,12.257580", \ - "10.239070,10.791150,11.136640,11.579410,12.040210,12.187880,12.142410", \ - "10.182040,10.768280,11.072150,11.516510,11.844050,12.186780,12.141710", \ - "10.168280,10.776260,11.103870,11.537780,11.999670,12.111250,12.337490", \ - "10.254550,10.879520,11.232590,11.618590,12.084390,12.355270,12.315160", \ - "10.290740,10.961760,11.318300,11.877980,12.295340,12.457850,12.702430"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.617978,8.202546,8.603359,9.059878,9.401617,9.595688,9.679573", \ - "7.576646,8.207580,8.567047,9.024250,9.367491,9.561833,9.648507", \ - "7.545960,8.144738,8.551139,8.970226,9.331389,9.518097,9.611406", \ - "7.551704,8.166730,8.554985,8.983003,9.327458,9.517092,9.606996", \ - "7.638371,8.219322,8.633397,9.044083,9.415802,9.615541,9.706771", \ - "7.840599,8.445444,8.817719,9.264203,9.610348,9.815375,9.916005", \ - "8.217767,8.789835,9.166409,9.609095,9.980994,10.190010,10.248780"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.225500,10.703540,11.031860,11.290800,11.552220,11.675210,11.616620", \ - "10.205500,10.722720,10.981050,11.284390,11.521370,11.586850,11.799940", \ - "10.130100,10.662000,10.896080,11.224530,11.483080,11.472030,11.685360", \ - "10.079320,10.605200,10.899620,11.154640,11.414120,11.470080,11.684490", \ - "10.114960,10.592780,10.866080,11.164380,11.443560,11.664030,11.607290", \ - "10.161120,10.713890,11.018450,11.265920,11.551710,11.655390,11.602240", \ - "10.235420,10.769800,11.087510,11.492560,11.687960,11.788860,12.024590"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.613464,8.286131,8.673509,9.082542,9.415283,9.584646,9.678273", \ - "7.640237,8.239228,8.636081,9.034499,9.375291,9.554898,9.639843", \ - "7.548007,8.211360,8.599551,9.007023,9.336972,9.512799,9.605785", \ - "7.586787,8.194038,8.594845,9.004060,9.338923,9.513642,9.598067", \ - "7.678708,8.255039,8.633257,9.059949,9.414644,9.608156,9.689494", \ - "7.922810,8.493385,8.841111,9.281266,9.618578,9.807455,9.900965", \ - "8.263323,8.793010,9.177533,9.628380,9.998279,10.185150,10.241100"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.224720,10.702840,11.015940,11.378230,11.528910,11.674460,11.666030", \ - "10.197220,10.674480,10.970480,11.301650,11.513620,11.591920,11.805680", \ - "10.140330,10.618370,10.950930,11.182450,11.482130,11.481940,11.694530", \ - "10.079280,10.557120,10.896960,11.238780,11.506480,11.475740,11.689710", \ - "10.097510,10.594960,10.890770,11.244470,11.421260,11.666090,11.611800", \ - "10.160950,10.713370,10.956950,11.329240,11.471380,11.654020,11.600840", \ - "10.227990,10.762570,11.125670,11.526890,11.837300,11.781650,12.016920"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI222_X1 - Cell Description : Combinational cell (OAI222_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI222_X1) { - - drive_strength : 1; - - area : 2.128000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 23.154215; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 4.377819; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 11.473406; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 11.473416; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 16.543851; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 6.955672; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 34.848669; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 34.848765; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 39.930656; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 6.955672; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 34.848669; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 34.848765; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 39.930751; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 7.367820; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 39.922040; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 39.922135; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 45.006953; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 5.747678; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 20.861649; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 20.861744; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 25.935229; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 15.250263; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 21.780241; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 23.146322; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 25.263340; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 15.250358; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 23.146313; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 24.512318; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 26.629611; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 15.285165; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 25.261403; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 26.627512; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 28.745100; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 5.747678; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 20.861648; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 20.861743; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 25.935230; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 15.250358; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 23.146313; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 24.512318; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 26.629602; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 15.250358; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 24.512318; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 25.878266; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 27.995797; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 15.285165; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 26.627512; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 27.993536; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 30.111418; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 4.847542; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 20.548043; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 20.548148; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 25.621918; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 14.531686; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 25.260139; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 26.626087; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 28.743960; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 14.531781; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 26.626077; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 27.991949; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 30.110117; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 14.648886; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 28.741442; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 30.107438; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 32.225957; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.542520; - fall_capacitance : 1.372893; - rise_capacitance : 1.542520; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.549989; - fall_capacitance : 1.528504; - rise_capacitance : 1.549989; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.579382; - fall_capacitance : 1.389625; - rise_capacitance : 1.579382; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.591456; - fall_capacitance : 1.545233; - rise_capacitance : 1.591456; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.537121; - fall_capacitance : 1.333299; - rise_capacitance : 1.537121; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.525748; - fall_capacitance : 1.456035; - rise_capacitance : 1.525748; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 20.045850; - function : "!(((A1 | A2) & (B1 | B2)) & (C1 | C2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.044078,0.046942,0.052416,0.062857,0.082728,0.120592,0.193250", \ - "0.048602,0.051472,0.056992,0.067484,0.087413,0.125339,0.198048", \ - "0.063829,0.066658,0.072135,0.082675,0.102635,0.140612,0.213368", \ - "0.091540,0.094849,0.100998,0.112485,0.132229,0.169763,0.242290", \ - "0.116430,0.121018,0.129220,0.144988,0.171881,0.215823,0.288314", \ - "0.135110,0.141135,0.152018,0.172345,0.207084,0.263777,0.352051", \ - "0.146403,0.153901,0.167666,0.192800,0.236055,0.306470,0.415551"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.080685,0.087668,0.101203,0.127237,0.177726,0.275676,0.466008", \ - "0.082462,0.089658,0.103496,0.129904,0.181002,0.279531,0.470332", \ - "0.095714,0.102754,0.116327,0.142745,0.194000,0.293109,0.484546", \ - "0.128971,0.135392,0.148248,0.173796,0.223972,0.322253,0.513478", \ - "0.171721,0.180738,0.197084,0.226148,0.275777,0.371987,0.561617", \ - "0.218513,0.229573,0.250094,0.285953,0.346906,0.445990,0.632880", \ - "0.269895,0.282974,0.307410,0.350119,0.422873,0.540818,0.730121"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.031023,0.033237,0.037500,0.045872,0.062134,0.093809,0.155563", \ - "0.031063,0.033256,0.037525,0.045863,0.062130,0.093796,0.155570", \ - "0.029903,0.032259,0.036839,0.045716,0.062112,0.093807,0.155568", \ - "0.038813,0.040641,0.044028,0.050162,0.063450,0.093508,0.155516", \ - "0.056201,0.058549,0.062937,0.070247,0.082994,0.105380,0.156824", \ - "0.077803,0.080830,0.086526,0.095793,0.111401,0.136756,0.179063", \ - "0.103353,0.107082,0.114079,0.125789,0.144870,0.175082,0.222287"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.094776,0.101977,0.116068,0.142584,0.192074,0.284643,0.459394", \ - "0.094737,0.101914,0.116046,0.142573,0.192072,0.284621,0.459408", \ - "0.094792,0.101950,0.116023,0.142586,0.192081,0.284662,0.459392", \ - "0.100192,0.105903,0.118064,0.142492,0.192067,0.284638,0.459410", \ - "0.128105,0.131111,0.138821,0.156683,0.196501,0.284609,0.459419", \ - "0.168748,0.171978,0.178926,0.193249,0.222147,0.293368,0.459395", \ - "0.212994,0.216462,0.223675,0.238831,0.268543,0.326117,0.466468"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.035028,0.037812,0.043147,0.053349,0.072839,0.110215,0.182316", \ - "0.039468,0.042280,0.047669,0.057939,0.077503,0.114954,0.187112", \ - "0.055122,0.057705,0.062899,0.073115,0.092713,0.130204,0.202419", \ - "0.078794,0.082509,0.089318,0.101706,0.122583,0.159410,0.231365", \ - "0.097665,0.102841,0.112084,0.129280,0.158132,0.204295,0.277495", \ - "0.109898,0.116694,0.128944,0.151212,0.188673,0.248473,0.339756", \ - "0.114537,0.122989,0.138382,0.166030,0.212686,0.287107,0.400183"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.078067,0.085054,0.098581,0.124591,0.175022,0.272730,0.462670", \ - "0.079838,0.087001,0.100809,0.127244,0.178259,0.276593,0.466965", \ - "0.093144,0.100100,0.113689,0.140111,0.191236,0.290169,0.481189", \ - "0.126338,0.132938,0.145735,0.171187,0.221238,0.319320,0.510143", \ - "0.168100,0.177210,0.193799,0.223235,0.273111,0.369075,0.558278", \ - "0.213858,0.225092,0.245733,0.282130,0.343611,0.443136,0.629540", \ - "0.264179,0.277475,0.302003,0.345351,0.418741,0.537371,0.726860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025743,0.027914,0.032161,0.040474,0.056651,0.088241,0.149841", \ - "0.025785,0.027946,0.032185,0.040476,0.056661,0.088246,0.149852", \ - "0.025076,0.027158,0.031348,0.039933,0.056599,0.088231,0.149845", \ - "0.036880,0.038671,0.042034,0.048096,0.059998,0.088198,0.149812", \ - "0.054648,0.057066,0.061499,0.068896,0.081570,0.103377,0.152264", \ - "0.076477,0.079567,0.085313,0.094820,0.110438,0.135578,0.177175", \ - "0.102182,0.105999,0.113186,0.124996,0.144158,0.174273,0.221129"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.068002,0.074906,0.088267,0.113888,0.163075,0.255756,0.430668", \ - "0.068059,0.074952,0.088288,0.113893,0.163067,0.255765,0.430643", \ - "0.067989,0.074887,0.088207,0.113805,0.163024,0.255814,0.430636", \ - "0.073921,0.079274,0.090409,0.113888,0.163015,0.255748,0.430659", \ - "0.099436,0.104067,0.112306,0.128579,0.167681,0.255638,0.430665", \ - "0.130817,0.135749,0.145547,0.162999,0.194023,0.264734,0.430641", \ - "0.167778,0.172979,0.183403,0.202666,0.237367,0.297952,0.437887"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.028342,0.030658,0.035100,0.043566,0.059692,0.090555,0.150018", \ - "0.033007,0.035337,0.039822,0.048349,0.064540,0.095459,0.154966", \ - "0.049998,0.052188,0.056235,0.064459,0.080589,0.111520,0.171065", \ - "0.071970,0.075360,0.081566,0.092777,0.111536,0.142436,0.201608", \ - "0.089270,0.094091,0.102585,0.118392,0.144756,0.186524,0.250356", \ - "0.099853,0.106220,0.117670,0.138202,0.172875,0.227630,0.310355", \ - "0.102667,0.110637,0.125108,0.150939,0.194385,0.263154,0.366600"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.077891,0.084866,0.098425,0.124416,0.174889,0.272609,0.462531", \ - "0.079424,0.086543,0.100378,0.126872,0.177858,0.276199,0.466638", \ - "0.092860,0.099787,0.113325,0.139716,0.190755,0.289678,0.480722", \ - "0.126270,0.132862,0.145583,0.170992,0.220953,0.318917,0.509665", \ - "0.168247,0.177321,0.193908,0.223283,0.273105,0.368933,0.557992", \ - "0.214338,0.225525,0.246201,0.282475,0.343861,0.443270,0.629519", \ - "0.265146,0.278371,0.302827,0.346102,0.419369,0.537839,0.727165"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.021330,0.023160,0.026710,0.033601,0.047016,0.073187,0.124221", \ - "0.021284,0.023142,0.026708,0.033607,0.047030,0.073192,0.124228", \ - "0.021425,0.022990,0.026185,0.032877,0.046814,0.073160,0.124223", \ - "0.034025,0.035608,0.038477,0.043563,0.052825,0.074066,0.124168", \ - "0.051332,0.053437,0.057494,0.063977,0.075020,0.093461,0.130098", \ - "0.072652,0.075405,0.080655,0.089244,0.103081,0.125072,0.160362", \ - "0.097881,0.101377,0.107873,0.118664,0.135925,0.162645,0.203477"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.068064,0.074981,0.088321,0.113919,0.163069,0.255826,0.430659", \ - "0.068074,0.074980,0.088294,0.113938,0.163080,0.255738,0.430683", \ - "0.068034,0.074904,0.088205,0.113943,0.163033,0.255816,0.430681", \ - "0.073977,0.079296,0.090462,0.113856,0.163025,0.255770,0.430681", \ - "0.099353,0.103993,0.112148,0.128559,0.167661,0.255651,0.430689", \ - "0.130480,0.135494,0.145282,0.162776,0.193897,0.264713,0.430685", \ - "0.167144,0.172348,0.182782,0.202139,0.237014,0.297747,0.437863"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.035028,0.037812,0.043147,0.053349,0.072839,0.110215,0.182316", \ - "0.039468,0.042280,0.047669,0.057939,0.077503,0.114954,0.187112", \ - "0.055122,0.057705,0.062899,0.073115,0.092713,0.130204,0.202419", \ - "0.078794,0.082509,0.089318,0.101706,0.122583,0.159410,0.231365", \ - "0.097665,0.102841,0.112084,0.129280,0.158132,0.204295,0.277495", \ - "0.109898,0.116694,0.128944,0.151212,0.188673,0.248473,0.339756", \ - "0.114537,0.122989,0.138382,0.166030,0.212686,0.287107,0.400183"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.078067,0.085054,0.098581,0.124591,0.175022,0.272730,0.462670", \ - "0.079838,0.087001,0.100809,0.127244,0.178259,0.276593,0.466965", \ - "0.093144,0.100100,0.113689,0.140111,0.191236,0.290169,0.481189", \ - "0.126338,0.132938,0.145735,0.171187,0.221238,0.319320,0.510143", \ - "0.168100,0.177210,0.193799,0.223235,0.273111,0.369075,0.558278", \ - "0.213858,0.225092,0.245733,0.282130,0.343611,0.443136,0.629540", \ - "0.264179,0.277475,0.302003,0.345351,0.418741,0.537371,0.726860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025743,0.027914,0.032161,0.040474,0.056651,0.088241,0.149841", \ - "0.025785,0.027946,0.032185,0.040476,0.056661,0.088246,0.149852", \ - "0.025076,0.027158,0.031348,0.039933,0.056599,0.088231,0.149845", \ - "0.036880,0.038671,0.042034,0.048096,0.059998,0.088198,0.149812", \ - "0.054648,0.057066,0.061499,0.068896,0.081570,0.103377,0.152264", \ - "0.076477,0.079567,0.085313,0.094820,0.110438,0.135578,0.177175", \ - "0.102182,0.105999,0.113186,0.124996,0.144158,0.174273,0.221129"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.068002,0.074906,0.088267,0.113888,0.163075,0.255756,0.430668", \ - "0.068059,0.074952,0.088288,0.113893,0.163067,0.255765,0.430643", \ - "0.067989,0.074887,0.088207,0.113805,0.163024,0.255814,0.430636", \ - "0.073921,0.079274,0.090409,0.113888,0.163015,0.255748,0.430659", \ - "0.099436,0.104067,0.112306,0.128579,0.167681,0.255638,0.430665", \ - "0.130817,0.135749,0.145547,0.162999,0.194023,0.264734,0.430641", \ - "0.167778,0.172979,0.183403,0.202666,0.237367,0.297952,0.437887"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.027170,0.029747,0.034760,0.044483,0.063360,0.100081,0.171573", \ - "0.031513,0.034119,0.039201,0.049019,0.068007,0.104808,0.176359", \ - "0.046897,0.049637,0.054582,0.064143,0.083153,0.120035,0.191668", \ - "0.064340,0.068585,0.076411,0.090041,0.112443,0.149296,0.220637", \ - "0.076094,0.082156,0.092975,0.112156,0.143524,0.192309,0.266892", \ - "0.080917,0.088860,0.103058,0.128025,0.169013,0.232544,0.327284", \ - "0.077987,0.087848,0.105591,0.136623,0.187636,0.266867,0.384491"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.075493,0.082466,0.095954,0.121981,0.172276,0.269762,0.459282", \ - "0.077195,0.084337,0.098160,0.124615,0.175535,0.273604,0.463599", \ - "0.090583,0.097520,0.111111,0.137449,0.188498,0.287129,0.477829", \ - "0.123613,0.130495,0.143194,0.168584,0.218538,0.316341,0.506770", \ - "0.164415,0.173644,0.190383,0.220251,0.270460,0.366160,0.554903", \ - "0.209150,0.220555,0.241452,0.278218,0.340297,0.440278,0.626180", \ - "0.258401,0.271892,0.296660,0.340485,0.414586,0.533905,0.723598"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019830,0.022047,0.026356,0.034717,0.050966,0.082599,0.144131", \ - "0.019744,0.021989,0.026332,0.034714,0.050985,0.082597,0.144138", \ - "0.021374,0.023030,0.026631,0.034336,0.050900,0.082596,0.144133", \ - "0.035162,0.036977,0.040203,0.046186,0.057208,0.083277,0.144117", \ - "0.053593,0.055991,0.060520,0.067888,0.080367,0.101789,0.148008", \ - "0.076040,0.079095,0.084926,0.094368,0.109822,0.134687,0.175719", \ - "0.102409,0.106233,0.113368,0.125049,0.144011,0.173823,0.220206"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.055302,0.061497,0.073630,0.096927,0.142422,0.230804,0.402861", \ - "0.055304,0.061491,0.073597,0.096884,0.142429,0.230806,0.402862", \ - "0.055255,0.061474,0.073525,0.096872,0.142287,0.230800,0.402867", \ - "0.061751,0.066318,0.075989,0.096917,0.142213,0.230799,0.402866", \ - "0.082842,0.087676,0.096956,0.112033,0.147144,0.230665,0.402872", \ - "0.106391,0.112134,0.123122,0.141717,0.173483,0.239877,0.402866", \ - "0.132881,0.139592,0.152277,0.174139,0.211435,0.273185,0.410319"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022311,0.024432,0.028558,0.036576,0.052131,0.082375,0.141257", \ - "0.026922,0.029056,0.033230,0.041314,0.056958,0.087271,0.146193", \ - "0.042791,0.045222,0.049682,0.057480,0.072981,0.103321,0.162291", \ - "0.058966,0.062924,0.070000,0.082382,0.102584,0.134303,0.192864", \ - "0.069462,0.075114,0.085110,0.102878,0.131557,0.175781,0.241749", \ - "0.072894,0.080365,0.093635,0.116881,0.154876,0.213181,0.299092", \ - "0.068340,0.077679,0.094439,0.123563,0.171211,0.244567,0.352298"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.075316,0.082274,0.095802,0.121788,0.172112,0.269619,0.459155", \ - "0.076800,0.083912,0.097756,0.124200,0.175110,0.273261,0.463245", \ - "0.090284,0.097191,0.110757,0.137012,0.188011,0.286621,0.477332", \ - "0.123540,0.130413,0.143096,0.168412,0.218251,0.315937,0.506276", \ - "0.164553,0.173776,0.190505,0.220312,0.270397,0.366033,0.554597", \ - "0.209633,0.221014,0.241818,0.278573,0.340558,0.440411,0.626170", \ - "0.259392,0.272838,0.297534,0.341266,0.415227,0.534379,0.723903"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016296,0.018157,0.021773,0.028747,0.042225,0.068424,0.119453", \ - "0.016115,0.018026,0.021696,0.028730,0.042221,0.068437,0.119444", \ - "0.019223,0.020392,0.022894,0.028770,0.041846,0.068434,0.119441", \ - "0.032670,0.034241,0.037115,0.042180,0.051256,0.070710,0.119395", \ - "0.050682,0.052767,0.056826,0.063345,0.074236,0.092408,0.127267", \ - "0.072697,0.075451,0.080707,0.089223,0.102834,0.124561,0.159433", \ - "0.098694,0.102174,0.108583,0.119220,0.136233,0.162585,0.202976"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.055305,0.061460,0.073618,0.096849,0.142369,0.230819,0.402886", \ - "0.055308,0.061463,0.073620,0.096911,0.142350,0.230837,0.402880", \ - "0.055254,0.061439,0.073525,0.096840,0.142297,0.230807,0.402891", \ - "0.061790,0.066337,0.076027,0.096923,0.142226,0.230813,0.402886", \ - "0.082752,0.087584,0.096824,0.112014,0.147135,0.230712,0.402894", \ - "0.106124,0.111848,0.122763,0.141522,0.173349,0.239845,0.402888", \ - "0.132327,0.139028,0.151713,0.173729,0.211106,0.272975,0.410289"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.028342,0.030658,0.035100,0.043566,0.059692,0.090555,0.150018", \ - "0.033007,0.035337,0.039822,0.048349,0.064540,0.095459,0.154966", \ - "0.049998,0.052188,0.056235,0.064459,0.080589,0.111520,0.171065", \ - "0.071970,0.075360,0.081566,0.092777,0.111536,0.142436,0.201608", \ - "0.089270,0.094091,0.102585,0.118392,0.144756,0.186524,0.250356", \ - "0.099853,0.106220,0.117670,0.138202,0.172875,0.227630,0.310355", \ - "0.102667,0.110637,0.125108,0.150939,0.194385,0.263154,0.366600"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.077891,0.084866,0.098425,0.124416,0.174889,0.272609,0.462531", \ - "0.079424,0.086543,0.100378,0.126872,0.177858,0.276199,0.466638", \ - "0.092860,0.099787,0.113325,0.139716,0.190755,0.289678,0.480722", \ - "0.126270,0.132862,0.145583,0.170992,0.220953,0.318917,0.509665", \ - "0.168247,0.177321,0.193908,0.223283,0.273105,0.368933,0.557992", \ - "0.214338,0.225525,0.246201,0.282475,0.343861,0.443270,0.629519", \ - "0.265146,0.278371,0.302827,0.346102,0.419369,0.537839,0.727165"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.021330,0.023160,0.026710,0.033601,0.047016,0.073187,0.124221", \ - "0.021284,0.023142,0.026708,0.033607,0.047030,0.073192,0.124228", \ - "0.021425,0.022990,0.026185,0.032877,0.046814,0.073160,0.124223", \ - "0.034025,0.035608,0.038477,0.043563,0.052825,0.074066,0.124168", \ - "0.051332,0.053437,0.057494,0.063977,0.075020,0.093461,0.130098", \ - "0.072652,0.075405,0.080655,0.089244,0.103081,0.125072,0.160362", \ - "0.097881,0.101377,0.107873,0.118664,0.135925,0.162645,0.203477"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.068064,0.074981,0.088321,0.113919,0.163069,0.255826,0.430659", \ - "0.068074,0.074980,0.088294,0.113938,0.163080,0.255738,0.430683", \ - "0.068034,0.074904,0.088205,0.113943,0.163033,0.255816,0.430681", \ - "0.073977,0.079296,0.090462,0.113856,0.163025,0.255770,0.430681", \ - "0.099353,0.103993,0.112148,0.128559,0.167661,0.255651,0.430689", \ - "0.130480,0.135494,0.145282,0.162776,0.193897,0.264713,0.430685", \ - "0.167144,0.172348,0.182782,0.202139,0.237014,0.297747,0.437863"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022311,0.024432,0.028558,0.036576,0.052131,0.082375,0.141257", \ - "0.026922,0.029056,0.033230,0.041314,0.056958,0.087271,0.146193", \ - "0.042791,0.045222,0.049682,0.057480,0.072981,0.103321,0.162291", \ - "0.058966,0.062924,0.070000,0.082382,0.102584,0.134303,0.192864", \ - "0.069462,0.075114,0.085110,0.102878,0.131557,0.175781,0.241749", \ - "0.072894,0.080365,0.093635,0.116881,0.154876,0.213181,0.299092", \ - "0.068340,0.077679,0.094439,0.123563,0.171211,0.244567,0.352298"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.075316,0.082274,0.095802,0.121788,0.172112,0.269619,0.459155", \ - "0.076800,0.083912,0.097756,0.124200,0.175110,0.273261,0.463245", \ - "0.090284,0.097191,0.110757,0.137012,0.188011,0.286621,0.477332", \ - "0.123540,0.130413,0.143096,0.168412,0.218251,0.315937,0.506276", \ - "0.164553,0.173776,0.190505,0.220312,0.270397,0.366033,0.554597", \ - "0.209633,0.221014,0.241818,0.278573,0.340558,0.440411,0.626170", \ - "0.259392,0.272838,0.297534,0.341266,0.415227,0.534379,0.723903"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016296,0.018157,0.021773,0.028747,0.042225,0.068424,0.119453", \ - "0.016115,0.018026,0.021696,0.028730,0.042221,0.068437,0.119444", \ - "0.019223,0.020392,0.022894,0.028770,0.041846,0.068434,0.119441", \ - "0.032670,0.034241,0.037115,0.042180,0.051256,0.070710,0.119395", \ - "0.050682,0.052767,0.056826,0.063345,0.074236,0.092408,0.127267", \ - "0.072697,0.075451,0.080707,0.089223,0.102834,0.124561,0.159433", \ - "0.098694,0.102174,0.108583,0.119220,0.136233,0.162585,0.202976"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.055305,0.061460,0.073618,0.096849,0.142369,0.230819,0.402886", \ - "0.055308,0.061463,0.073620,0.096911,0.142350,0.230837,0.402880", \ - "0.055254,0.061439,0.073525,0.096840,0.142297,0.230807,0.402891", \ - "0.061790,0.066337,0.076027,0.096923,0.142226,0.230813,0.402886", \ - "0.082752,0.087584,0.096824,0.112014,0.147135,0.230712,0.402894", \ - "0.106124,0.111848,0.122763,0.141522,0.173349,0.239845,0.402888", \ - "0.132327,0.139028,0.151713,0.173729,0.211106,0.272975,0.410289"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019154,0.020818,0.024054,0.030328,0.042510,0.066203,0.112334", \ - "0.023926,0.025601,0.028877,0.035206,0.047455,0.071197,0.117370", \ - "0.039346,0.041528,0.045494,0.052325,0.064422,0.088149,0.134321", \ - "0.053936,0.057512,0.063946,0.075096,0.093123,0.120937,0.166851", \ - "0.062912,0.068148,0.077362,0.093527,0.119533,0.159144,0.217244", \ - "0.064785,0.071766,0.084136,0.105558,0.140421,0.193315,0.270089", \ - "0.058471,0.067271,0.083016,0.110161,0.154275,0.221452,0.318799"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.075299,0.082264,0.095782,0.121818,0.172109,0.269669,0.459214", \ - "0.076526,0.083629,0.097462,0.123912,0.174856,0.273008,0.463063", \ - "0.089963,0.096841,0.110374,0.136550,0.187485,0.286093,0.476833", \ - "0.123469,0.130330,0.142975,0.168200,0.217922,0.315495,0.505760", \ - "0.164717,0.173936,0.190697,0.220390,0.270433,0.365906,0.554298", \ - "0.210145,0.221500,0.242317,0.278948,0.340834,0.440577,0.626169", \ - "0.260435,0.273833,0.298470,0.342085,0.415910,0.534892,0.724251"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012701,0.014145,0.016956,0.022430,0.033067,0.053759,0.094090", \ - "0.012620,0.014086,0.016937,0.022422,0.033056,0.053775,0.094097", \ - "0.017180,0.018181,0.020002,0.023897,0.033131,0.053757,0.094098", \ - "0.030165,0.031527,0.033973,0.038249,0.045642,0.059237,0.094189", \ - "0.047595,0.049448,0.052995,0.058610,0.067888,0.082985,0.108480", \ - "0.069160,0.071586,0.076226,0.083750,0.095508,0.114029,0.142962", \ - "0.094746,0.097863,0.103603,0.113137,0.128020,0.150735,0.185001"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.055309,0.061430,0.073638,0.096991,0.142380,0.230857,0.402910", \ - "0.055309,0.061431,0.073607,0.096890,0.142363,0.230819,0.402903", \ - "0.055253,0.061409,0.073564,0.096842,0.142300,0.230804,0.402919", \ - "0.061834,0.066360,0.076066,0.096941,0.142236,0.230827,0.402914", \ - "0.082718,0.087483,0.096727,0.111973,0.147180,0.230722,0.402916", \ - "0.105845,0.111533,0.122447,0.141351,0.173227,0.239825,0.402911", \ - "0.131762,0.138456,0.151070,0.173279,0.210759,0.272746,0.410253"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.053281,0.056175,0.061692,0.072262,0.092343,0.130536,0.203567", \ - "0.057128,0.060012,0.065551,0.076111,0.096221,0.134429,0.207456", \ - "0.071790,0.074681,0.080247,0.090893,0.111004,0.149229,0.222265", \ - "0.101146,0.104180,0.109935,0.120820,0.140331,0.178343,0.251146", \ - "0.130847,0.135042,0.142590,0.157213,0.182726,0.225013,0.297195", \ - "0.155005,0.160505,0.170467,0.189359,0.222088,0.276235,0.361969", \ - "0.172321,0.179142,0.191683,0.215015,0.255623,0.322712,0.428346"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.094750,0.101672,0.115110,0.140938,0.191389,0.289181,0.479431", \ - "0.099276,0.106354,0.119982,0.146136,0.197070,0.295230,0.485788", \ - "0.115796,0.122811,0.136473,0.162887,0.213967,0.312790,0.504008", \ - "0.145462,0.152320,0.165629,0.191789,0.242650,0.341509,0.533069", \ - "0.184154,0.192492,0.207790,0.235985,0.286985,0.385392,0.576865", \ - "0.227301,0.237125,0.255338,0.288227,0.346683,0.448287,0.639361", \ - "0.276054,0.287583,0.308824,0.346896,0.413389,0.527163,0.722266"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.035929,0.038183,0.042542,0.050981,0.067390,0.099229,0.161136", \ - "0.035974,0.038211,0.042539,0.051014,0.067379,0.099233,0.161124", \ - "0.035580,0.038067,0.042589,0.051061,0.067422,0.099230,0.161131", \ - "0.041598,0.043448,0.046817,0.053525,0.067941,0.099088,0.161119", \ - "0.058924,0.061260,0.065515,0.072806,0.085465,0.108489,0.161885", \ - "0.080541,0.083485,0.089023,0.098164,0.113607,0.138978,0.181957", \ - "0.105673,0.109340,0.116327,0.127804,0.146816,0.177046,0.224417"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.094749,0.101855,0.116046,0.142550,0.192117,0.284642,0.459409", \ - "0.094727,0.101999,0.116036,0.142569,0.192140,0.284659,0.459403", \ - "0.094723,0.101846,0.116020,0.142590,0.192109,0.284640,0.459405", \ - "0.096404,0.103000,0.116320,0.142588,0.192070,0.284637,0.459408", \ - "0.112801,0.117482,0.127699,0.149581,0.194210,0.284597,0.459407", \ - "0.140334,0.144682,0.154043,0.172470,0.209419,0.289880,0.459403", \ - "0.172724,0.176638,0.185260,0.202930,0.238899,0.309916,0.464441"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.043678,0.046522,0.051970,0.062366,0.082191,0.119987,0.192565", \ - "0.047497,0.050324,0.055807,0.066217,0.086057,0.123874,0.196454", \ - "0.062167,0.064992,0.070497,0.080992,0.100861,0.138684,0.211273", \ - "0.089452,0.092769,0.098998,0.110565,0.130423,0.167858,0.240203", \ - "0.113886,0.118515,0.126779,0.142640,0.169716,0.213835,0.286347", \ - "0.132321,0.138398,0.149356,0.169801,0.204723,0.261570,0.349966", \ - "0.143709,0.151247,0.165073,0.190313,0.233689,0.304210,0.413384"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.092200,0.099112,0.112505,0.138326,0.188632,0.286231,0.476090", \ - "0.096639,0.103680,0.117328,0.143498,0.194257,0.292242,0.482436", \ - "0.113197,0.120184,0.133833,0.160195,0.211228,0.309847,0.500650", \ - "0.142905,0.149726,0.163053,0.189136,0.239907,0.338606,0.529750", \ - "0.180963,0.189323,0.204765,0.233171,0.284245,0.382470,0.573506", \ - "0.223425,0.233304,0.251668,0.284900,0.343584,0.445370,0.636024", \ - "0.271383,0.283035,0.304557,0.342989,0.409792,0.523885,0.718995"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.031058,0.033262,0.037518,0.045841,0.062077,0.093731,0.155454", \ - "0.031134,0.033301,0.037555,0.045877,0.062093,0.093738,0.155432", \ - "0.030234,0.032499,0.037024,0.045827,0.062125,0.093760,0.155461", \ - "0.039656,0.041457,0.044829,0.050904,0.063997,0.093642,0.155456", \ - "0.057267,0.059616,0.064009,0.071287,0.083892,0.106165,0.157094", \ - "0.078793,0.081850,0.087575,0.096861,0.112446,0.137699,0.179790", \ - "0.103687,0.107506,0.114631,0.126459,0.145726,0.176015,0.223144"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.068049,0.074944,0.088284,0.113866,0.163075,0.255709,0.430645", \ - "0.068002,0.074922,0.088334,0.113909,0.163092,0.255775,0.430668", \ - "0.068064,0.074917,0.088294,0.113939,0.163058,0.255756,0.430655", \ - "0.069802,0.076077,0.088579,0.113863,0.163033,0.255789,0.430684", \ - "0.084745,0.090399,0.100566,0.121058,0.165164,0.255695,0.430667", \ - "0.105888,0.111325,0.122213,0.142463,0.180956,0.261076,0.430645", \ - "0.133067,0.138265,0.148898,0.169132,0.208191,0.281545,0.435800"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.035153,0.037536,0.042078,0.050744,0.067160,0.098407,0.158308", \ - "0.039278,0.041663,0.046227,0.054912,0.071352,0.102617,0.162497", \ - "0.055277,0.057483,0.061911,0.070582,0.087042,0.118308,0.178174", \ - "0.081485,0.084517,0.090147,0.100614,0.118380,0.149101,0.208686", \ - "0.103989,0.108300,0.115881,0.130417,0.155099,0.194936,0.257355", \ - "0.120473,0.126148,0.136370,0.155211,0.187374,0.239402,0.319388", \ - "0.129780,0.136867,0.149820,0.173340,0.213625,0.278699,0.378474"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.092028,0.098935,0.112337,0.138190,0.188533,0.286120,0.475971", \ - "0.096287,0.103333,0.116957,0.143153,0.193869,0.291899,0.482119", \ - "0.112942,0.119898,0.133496,0.159840,0.210811,0.309396,0.500239", \ - "0.142808,0.149620,0.162913,0.188926,0.239601,0.338162,0.529274", \ - "0.180896,0.189218,0.204758,0.233064,0.284090,0.382211,0.573166", \ - "0.223477,0.233324,0.251749,0.284903,0.343544,0.445310,0.635776", \ - "0.271689,0.283319,0.304773,0.343187,0.409921,0.523927,0.718934"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.026027,0.027833,0.031317,0.038165,0.051553,0.077733,0.128877", \ - "0.026053,0.027843,0.031344,0.038178,0.051572,0.077755,0.128841", \ - "0.025127,0.026915,0.030439,0.037606,0.051550,0.077758,0.128838", \ - "0.036327,0.037867,0.040744,0.045783,0.055540,0.078166,0.128833", \ - "0.053482,0.055565,0.059495,0.065924,0.076848,0.095385,0.133557", \ - "0.074405,0.077165,0.082301,0.090803,0.104634,0.126699,0.162155", \ - "0.098786,0.102290,0.108848,0.119600,0.136979,0.163900,0.204974"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.068075,0.074931,0.088308,0.113938,0.163173,0.255830,0.430663", \ - "0.068064,0.074958,0.088314,0.113873,0.163080,0.255735,0.430690", \ - "0.068084,0.074981,0.088264,0.113971,0.163062,0.255785,0.430678", \ - "0.069821,0.076100,0.088603,0.113859,0.163042,0.255689,0.430667", \ - "0.084841,0.090368,0.100571,0.121138,0.165189,0.255707,0.430690", \ - "0.105867,0.111309,0.122104,0.142497,0.180983,0.261146,0.430666", \ - "0.132920,0.138140,0.148648,0.168963,0.208157,0.281551,0.435837"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.043678,0.046522,0.051970,0.062366,0.082191,0.119987,0.192565", \ - "0.047497,0.050324,0.055807,0.066217,0.086057,0.123874,0.196454", \ - "0.062167,0.064992,0.070497,0.080992,0.100861,0.138684,0.211273", \ - "0.089452,0.092769,0.098998,0.110565,0.130423,0.167858,0.240203", \ - "0.113886,0.118515,0.126779,0.142640,0.169716,0.213835,0.286347", \ - "0.132321,0.138398,0.149356,0.169801,0.204723,0.261570,0.349966", \ - "0.143709,0.151247,0.165073,0.190313,0.233689,0.304210,0.413384"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.092200,0.099112,0.112505,0.138326,0.188632,0.286231,0.476090", \ - "0.096639,0.103680,0.117328,0.143498,0.194257,0.292242,0.482436", \ - "0.113197,0.120184,0.133833,0.160195,0.211228,0.309847,0.500650", \ - "0.142905,0.149726,0.163053,0.189136,0.239907,0.338606,0.529750", \ - "0.180963,0.189323,0.204765,0.233171,0.284245,0.382470,0.573506", \ - "0.223425,0.233304,0.251668,0.284900,0.343584,0.445370,0.636024", \ - "0.271383,0.283035,0.304557,0.342989,0.409792,0.523885,0.718995"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.031058,0.033262,0.037518,0.045841,0.062077,0.093731,0.155454", \ - "0.031134,0.033301,0.037555,0.045877,0.062093,0.093738,0.155432", \ - "0.030234,0.032499,0.037024,0.045827,0.062125,0.093760,0.155461", \ - "0.039656,0.041457,0.044829,0.050904,0.063997,0.093642,0.155456", \ - "0.057267,0.059616,0.064009,0.071287,0.083892,0.106165,0.157094", \ - "0.078793,0.081850,0.087575,0.096861,0.112446,0.137699,0.179790", \ - "0.103687,0.107506,0.114631,0.126459,0.145726,0.176015,0.223144"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.068049,0.074944,0.088284,0.113866,0.163075,0.255709,0.430645", \ - "0.068002,0.074922,0.088334,0.113909,0.163092,0.255775,0.430668", \ - "0.068064,0.074917,0.088294,0.113939,0.163058,0.255756,0.430655", \ - "0.069802,0.076077,0.088579,0.113863,0.163033,0.255789,0.430684", \ - "0.084745,0.090399,0.100566,0.121058,0.165164,0.255695,0.430667", \ - "0.105888,0.111325,0.122213,0.142463,0.180956,0.261076,0.430645", \ - "0.133067,0.138265,0.148898,0.169132,0.208191,0.281545,0.435800"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.034634,0.037404,0.042737,0.052912,0.072357,0.109689,0.181755", \ - "0.038423,0.041194,0.046544,0.056751,0.076222,0.113575,0.185650", \ - "0.053565,0.056103,0.061292,0.071509,0.091017,0.128377,0.200461", \ - "0.076565,0.080283,0.087222,0.099728,0.120771,0.157612,0.229392", \ - "0.094970,0.100223,0.109478,0.126947,0.156015,0.202331,0.275672", \ - "0.107001,0.113871,0.126230,0.148691,0.186334,0.246330,0.337814", \ - "0.111879,0.120381,0.135860,0.163620,0.210412,0.284960,0.398156"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.089616,0.096497,0.109857,0.135722,0.185881,0.283274,0.472726", \ - "0.094036,0.101049,0.114658,0.140856,0.191462,0.289272,0.479065", \ - "0.110579,0.117587,0.131164,0.157509,0.208463,0.306881,0.497265", \ - "0.140350,0.147175,0.160462,0.186463,0.237139,0.335550,0.526357", \ - "0.177770,0.186156,0.201715,0.230342,0.281539,0.379509,0.570123", \ - "0.219521,0.229507,0.248077,0.281579,0.340475,0.442480,0.632646", \ - "0.266606,0.278408,0.300026,0.338918,0.406169,0.520561,0.715719"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.026049,0.028184,0.032371,0.040571,0.056686,0.088256,0.149852", \ - "0.026036,0.028175,0.032351,0.040574,0.056690,0.088256,0.149831", \ - "0.025693,0.027726,0.031809,0.040188,0.056742,0.088240,0.149851", \ - "0.037933,0.039746,0.043035,0.048960,0.060715,0.088462,0.149812", \ - "0.055961,0.058327,0.062847,0.070105,0.082643,0.104208,0.152693", \ - "0.077542,0.080642,0.086561,0.096036,0.111614,0.136629,0.178012", \ - "0.102330,0.106261,0.113592,0.125627,0.145040,0.175282,0.222089"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.055292,0.061499,0.073632,0.096935,0.142345,0.230790,0.402874", \ - "0.055295,0.061507,0.073628,0.096865,0.142351,0.230814,0.402869", \ - "0.055296,0.061497,0.073604,0.096900,0.142342,0.230817,0.402866", \ - "0.057294,0.062826,0.073916,0.096815,0.142249,0.230789,0.402866", \ - "0.069787,0.075177,0.085534,0.104246,0.144466,0.230659,0.402872", \ - "0.086073,0.091708,0.102838,0.122762,0.160234,0.236050,0.402843", \ - "0.106687,0.112509,0.124166,0.144818,0.183852,0.256589,0.408077"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.027946,0.030264,0.034708,0.043166,0.059262,0.090061,0.149450", \ - "0.032077,0.034393,0.038851,0.047327,0.063449,0.094264,0.153658", \ - "0.048523,0.050743,0.054861,0.063057,0.079147,0.109966,0.169345", \ - "0.069899,0.073341,0.079641,0.090964,0.109877,0.140843,0.199898", \ - "0.086677,0.091576,0.100135,0.116138,0.142684,0.184653,0.248709", \ - "0.097021,0.103461,0.115005,0.135697,0.170587,0.225576,0.308469", \ - "0.100029,0.108052,0.122602,0.148556,0.192135,0.261036,0.364596"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.089449,0.096335,0.109693,0.135566,0.185728,0.283121,0.472593", \ - "0.093697,0.100698,0.114284,0.140486,0.191084,0.288920,0.478747", \ - "0.110332,0.117289,0.130919,0.157134,0.208042,0.306453,0.496851", \ - "0.140252,0.147093,0.160327,0.186267,0.236837,0.335183,0.525906", \ - "0.177719,0.186084,0.201739,0.230238,0.281394,0.379257,0.569779", \ - "0.219566,0.229541,0.248072,0.281579,0.340444,0.442416,0.632398", \ - "0.266935,0.278719,0.300365,0.339122,0.406297,0.520603,0.715658"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.021780,0.023551,0.027000,0.033765,0.047052,0.073133,0.124125", \ - "0.021588,0.023405,0.026915,0.033734,0.047051,0.073136,0.124121", \ - "0.022068,0.023567,0.026695,0.033199,0.046935,0.073161,0.124104", \ - "0.035046,0.036588,0.039420,0.044413,0.053548,0.074491,0.124134", \ - "0.052585,0.054657,0.058710,0.065121,0.075994,0.094281,0.130555", \ - "0.073679,0.076468,0.081780,0.090352,0.104183,0.126048,0.161123", \ - "0.098022,0.101614,0.108355,0.119268,0.136731,0.163561,0.204338"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.055296,0.061468,0.073612,0.096943,0.142355,0.230820,0.402896", \ - "0.055300,0.061465,0.073616,0.096873,0.142353,0.230825,0.402891", \ - "0.055299,0.061464,0.073614,0.096874,0.142354,0.230836,0.402889", \ - "0.057314,0.062839,0.073930,0.096816,0.142258,0.230818,0.402886", \ - "0.069795,0.075154,0.085523,0.104304,0.144493,0.230673,0.402895", \ - "0.086063,0.091636,0.102730,0.122746,0.160193,0.236097,0.402870", \ - "0.106571,0.112341,0.123994,0.144752,0.183843,0.256590,0.408105"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.035153,0.037536,0.042078,0.050744,0.067160,0.098407,0.158308", \ - "0.039278,0.041663,0.046227,0.054912,0.071352,0.102617,0.162497", \ - "0.055277,0.057483,0.061911,0.070582,0.087042,0.118308,0.178174", \ - "0.081485,0.084517,0.090147,0.100614,0.118380,0.149101,0.208686", \ - "0.103989,0.108300,0.115881,0.130417,0.155099,0.194936,0.257355", \ - "0.120473,0.126148,0.136370,0.155211,0.187374,0.239402,0.319388", \ - "0.129780,0.136867,0.149820,0.173340,0.213625,0.278699,0.378474"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.092028,0.098935,0.112337,0.138190,0.188533,0.286120,0.475971", \ - "0.096287,0.103333,0.116957,0.143153,0.193869,0.291899,0.482119", \ - "0.112942,0.119898,0.133496,0.159840,0.210811,0.309396,0.500239", \ - "0.142808,0.149620,0.162913,0.188926,0.239601,0.338162,0.529274", \ - "0.180896,0.189218,0.204758,0.233064,0.284090,0.382211,0.573166", \ - "0.223477,0.233324,0.251749,0.284903,0.343544,0.445310,0.635776", \ - "0.271689,0.283319,0.304773,0.343187,0.409921,0.523927,0.718934"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.026027,0.027833,0.031317,0.038165,0.051553,0.077733,0.128877", \ - "0.026053,0.027843,0.031344,0.038178,0.051572,0.077755,0.128841", \ - "0.025127,0.026915,0.030439,0.037606,0.051550,0.077758,0.128838", \ - "0.036327,0.037867,0.040744,0.045783,0.055540,0.078166,0.128833", \ - "0.053482,0.055565,0.059495,0.065924,0.076848,0.095385,0.133557", \ - "0.074405,0.077165,0.082301,0.090803,0.104634,0.126699,0.162155", \ - "0.098786,0.102290,0.108848,0.119600,0.136979,0.163900,0.204974"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.068075,0.074931,0.088308,0.113938,0.163173,0.255830,0.430663", \ - "0.068064,0.074958,0.088314,0.113873,0.163080,0.255735,0.430690", \ - "0.068084,0.074981,0.088264,0.113971,0.163062,0.255785,0.430678", \ - "0.069821,0.076100,0.088603,0.113859,0.163042,0.255689,0.430667", \ - "0.084841,0.090368,0.100571,0.121138,0.165189,0.255707,0.430690", \ - "0.105867,0.111309,0.122104,0.142497,0.180983,0.261146,0.430666", \ - "0.132920,0.138140,0.148648,0.168963,0.208157,0.281551,0.435837"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.027946,0.030264,0.034708,0.043166,0.059262,0.090061,0.149450", \ - "0.032077,0.034393,0.038851,0.047327,0.063449,0.094264,0.153658", \ - "0.048523,0.050743,0.054861,0.063057,0.079147,0.109966,0.169345", \ - "0.069899,0.073341,0.079641,0.090964,0.109877,0.140843,0.199898", \ - "0.086677,0.091576,0.100135,0.116138,0.142684,0.184653,0.248709", \ - "0.097021,0.103461,0.115005,0.135697,0.170587,0.225576,0.308469", \ - "0.100029,0.108052,0.122602,0.148556,0.192135,0.261036,0.364596"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.089449,0.096335,0.109693,0.135566,0.185728,0.283121,0.472593", \ - "0.093697,0.100698,0.114284,0.140486,0.191084,0.288920,0.478747", \ - "0.110332,0.117289,0.130919,0.157134,0.208042,0.306453,0.496851", \ - "0.140252,0.147093,0.160327,0.186267,0.236837,0.335183,0.525906", \ - "0.177719,0.186084,0.201739,0.230238,0.281394,0.379257,0.569779", \ - "0.219566,0.229541,0.248072,0.281579,0.340444,0.442416,0.632398", \ - "0.266935,0.278719,0.300365,0.339122,0.406297,0.520603,0.715658"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.021780,0.023551,0.027000,0.033765,0.047052,0.073133,0.124125", \ - "0.021588,0.023405,0.026915,0.033734,0.047051,0.073136,0.124121", \ - "0.022068,0.023567,0.026695,0.033199,0.046935,0.073161,0.124104", \ - "0.035046,0.036588,0.039420,0.044413,0.053548,0.074491,0.124134", \ - "0.052585,0.054657,0.058710,0.065121,0.075994,0.094281,0.130555", \ - "0.073679,0.076468,0.081780,0.090352,0.104183,0.126048,0.161123", \ - "0.098022,0.101614,0.108355,0.119268,0.136731,0.163561,0.204338"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.055296,0.061468,0.073612,0.096943,0.142355,0.230820,0.402896", \ - "0.055300,0.061465,0.073616,0.096873,0.142353,0.230825,0.402891", \ - "0.055299,0.061464,0.073614,0.096874,0.142354,0.230836,0.402889", \ - "0.057314,0.062839,0.073930,0.096816,0.142258,0.230818,0.402886", \ - "0.069795,0.075154,0.085523,0.104304,0.144493,0.230673,0.402895", \ - "0.086063,0.091636,0.102730,0.122746,0.160193,0.236097,0.402870", \ - "0.106571,0.112341,0.123994,0.144752,0.183843,0.256590,0.408105"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.023059,0.024893,0.028402,0.035082,0.047764,0.071962,0.118545", \ - "0.027498,0.029327,0.032838,0.039521,0.052207,0.076423,0.123012", \ - "0.044267,0.046247,0.049899,0.056384,0.068923,0.093082,0.139637", \ - "0.063609,0.066758,0.072413,0.082600,0.099405,0.126024,0.172136", \ - "0.078463,0.082985,0.090851,0.105403,0.129389,0.166877,0.223171", \ - "0.086913,0.092910,0.103622,0.122617,0.154497,0.204278,0.278269", \ - "0.087884,0.095405,0.109006,0.133071,0.173268,0.236223,0.329668"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.089447,0.096318,0.109689,0.135563,0.185710,0.283125,0.472632", \ - "0.093456,0.100455,0.114064,0.140242,0.190866,0.288721,0.478563", \ - "0.110070,0.116999,0.130554,0.156745,0.207588,0.305974,0.496395", \ - "0.140142,0.147026,0.160184,0.186068,0.236549,0.334795,0.525389", \ - "0.177669,0.186000,0.201725,0.230125,0.281230,0.378997,0.569389", \ - "0.219613,0.229567,0.248088,0.281578,0.340421,0.442260,0.632248", \ - "0.267291,0.279040,0.300615,0.339338,0.406436,0.520657,0.715611"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016974,0.018380,0.021119,0.026472,0.036958,0.057510,0.097768", \ - "0.016764,0.018215,0.021011,0.026412,0.036929,0.057509,0.097779", \ - "0.019366,0.020314,0.022346,0.026840,0.036759,0.057516,0.097774", \ - "0.032128,0.033430,0.035859,0.040010,0.047320,0.061601,0.097802", \ - "0.049039,0.050855,0.054398,0.059962,0.069222,0.084357,0.110408", \ - "0.069590,0.072047,0.076752,0.084411,0.096398,0.115067,0.144165", \ - "0.093480,0.096694,0.102756,0.112594,0.127947,0.151201,0.185900"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.055302,0.061432,0.073596,0.096936,0.142344,0.230825,0.402908", \ - "0.055301,0.061434,0.073641,0.096866,0.142381,0.230844,0.402911", \ - "0.055306,0.061432,0.073583,0.096890,0.142367,0.230851,0.402910", \ - "0.057330,0.062851,0.073956,0.096818,0.142265,0.230834,0.402911", \ - "0.069790,0.075148,0.085545,0.104328,0.144521,0.230686,0.402914", \ - "0.086058,0.091563,0.102651,0.122760,0.160227,0.236091,0.402996", \ - "0.106445,0.112165,0.123751,0.144685,0.183809,0.256591,0.408133"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.057343,0.060197,0.065675,0.076126,0.095998,0.133859,0.206511", \ - "0.062527,0.065400,0.070913,0.081398,0.101310,0.139225,0.211943", \ - "0.078086,0.080972,0.086489,0.097028,0.117026,0.155046,0.227849", \ - "0.104782,0.107954,0.113831,0.125050,0.145076,0.183181,0.256175", \ - "0.134525,0.138522,0.145810,0.159620,0.184052,0.226145,0.299969", \ - "0.161602,0.166883,0.176246,0.194047,0.224762,0.275729,0.359263", \ - "0.182419,0.189230,0.201487,0.224012,0.262862,0.325685,0.424390"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.117054,0.124076,0.137981,0.164346,0.215524,0.313684,0.504735", \ - "0.119299,0.126412,0.140510,0.166946,0.218453,0.317038,0.508186", \ - "0.131913,0.139018,0.153001,0.179884,0.231321,0.330586,0.522307", \ - "0.163392,0.170295,0.183638,0.209953,0.261148,0.359982,0.551594", \ - "0.214991,0.222574,0.236770,0.262202,0.311911,0.409493,0.599880", \ - "0.272879,0.282297,0.299886,0.331583,0.386931,0.482957,0.671055", \ - "0.335051,0.346253,0.367410,0.405264,0.471374,0.581486,0.768069"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.030989,0.033210,0.037484,0.045862,0.062106,0.093799,0.155541", \ - "0.030996,0.033196,0.037494,0.045853,0.062127,0.093803,0.155567", \ - "0.030772,0.033022,0.037373,0.045804,0.062111,0.093789,0.155533", \ - "0.034564,0.036603,0.040370,0.047689,0.062631,0.093697,0.155526", \ - "0.045126,0.047225,0.051281,0.058723,0.072802,0.099371,0.156162", \ - "0.061586,0.063971,0.068581,0.076586,0.090921,0.117322,0.167523", \ - "0.082542,0.085442,0.090881,0.100264,0.116216,0.143404,0.192757"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.118500,0.125262,0.138966,0.165078,0.214104,0.306438,0.481716", \ - "0.118512,0.125265,0.138964,0.164927,0.214110,0.306447,0.481710", \ - "0.118551,0.125232,0.138811,0.165018,0.213984,0.306432,0.481702", \ - "0.118615,0.125141,0.138822,0.164848,0.213986,0.306429,0.481686", \ - "0.132891,0.137831,0.148495,0.170149,0.214630,0.306420,0.481696", \ - "0.168864,0.172927,0.181170,0.196833,0.232239,0.310258,0.481697", \ - "0.210659,0.215233,0.223956,0.241198,0.272955,0.336435,0.485306"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.048332,0.051099,0.056427,0.066610,0.086096,0.123464,0.195627", \ - "0.053454,0.056238,0.061603,0.071848,0.091394,0.128827,0.200997", \ - "0.068891,0.071712,0.077083,0.087397,0.107052,0.144614,0.216902", \ - "0.093516,0.096806,0.102918,0.114462,0.134986,0.172683,0.245203", \ - "0.118760,0.123219,0.131203,0.145981,0.171547,0.214728,0.288935", \ - "0.139447,0.145476,0.155932,0.175566,0.208611,0.261889,0.347191", \ - "0.153045,0.160827,0.174578,0.199734,0.241867,0.308482,0.410290"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.114324,0.121339,0.135017,0.161418,0.212394,0.310616,0.501267", \ - "0.116561,0.123665,0.137667,0.164144,0.215615,0.313892,0.504738", \ - "0.129157,0.136264,0.150164,0.176900,0.228563,0.327619,0.518912", \ - "0.160705,0.167586,0.180905,0.207148,0.258176,0.356888,0.548130", \ - "0.211890,0.219553,0.233900,0.259510,0.309100,0.406442,0.596421", \ - "0.268881,0.278394,0.296076,0.328095,0.383854,0.479953,0.667684", \ - "0.330107,0.341428,0.362653,0.400896,0.467496,0.578145,0.764710"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025679,0.027874,0.032145,0.040461,0.056663,0.088233,0.149916", \ - "0.025682,0.027878,0.032138,0.040468,0.056662,0.088252,0.149862", \ - "0.025470,0.027683,0.031996,0.040388,0.056625,0.088247,0.149846", \ - "0.030944,0.032886,0.036714,0.043831,0.058028,0.088166,0.149839", \ - "0.042757,0.044796,0.048749,0.055997,0.069607,0.095601,0.150986", \ - "0.060029,0.062453,0.067078,0.075047,0.089053,0.114640,0.163888", \ - "0.081575,0.084510,0.090065,0.099431,0.115209,0.141821,0.190082"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.088422,0.095347,0.108887,0.134842,0.184219,0.277067,0.452651", \ - "0.088444,0.095390,0.108942,0.134844,0.184474,0.277079,0.452671", \ - "0.088394,0.095371,0.108880,0.134728,0.184279,0.277145,0.452694", \ - "0.088582,0.095272,0.108661,0.134576,0.184086,0.277071,0.452654", \ - "0.103460,0.108400,0.118967,0.140205,0.184810,0.277029,0.452693", \ - "0.134008,0.139425,0.149746,0.167988,0.202771,0.280983,0.452685", \ - "0.168780,0.174841,0.186375,0.206962,0.242831,0.307493,0.456405"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.039302,0.041595,0.046002,0.054421,0.070490,0.101266,0.160595", \ - "0.044698,0.047010,0.051452,0.059916,0.076043,0.106869,0.166235", \ - "0.060630,0.062957,0.067374,0.075859,0.092054,0.122978,0.182446", \ - "0.084232,0.087163,0.092505,0.102517,0.120225,0.151584,0.211247", \ - "0.107877,0.111888,0.119060,0.132212,0.154815,0.192415,0.255633", \ - "0.126920,0.132492,0.142066,0.159790,0.189505,0.236852,0.311504", \ - "0.138895,0.146086,0.158901,0.181710,0.220155,0.280239,0.370761"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.114071,0.121090,0.134856,0.161333,0.212157,0.310409,0.501069", \ - "0.115930,0.123003,0.136849,0.163461,0.214670,0.313237,0.504117", \ - "0.128600,0.135673,0.149541,0.176277,0.227770,0.326800,0.518122", \ - "0.160525,0.167417,0.180715,0.206858,0.257732,0.356405,0.547499", \ - "0.211959,0.219629,0.233918,0.259510,0.309040,0.406243,0.596070", \ - "0.269278,0.278764,0.296419,0.328392,0.384065,0.480055,0.667609", \ - "0.330934,0.342246,0.363348,0.401573,0.468079,0.578615,0.765024"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.021018,0.022831,0.026367,0.033252,0.046630,0.072727,0.123648", \ - "0.021028,0.022846,0.026371,0.033244,0.046627,0.072729,0.123638", \ - "0.021023,0.022745,0.026192,0.033104,0.046577,0.072713,0.123632", \ - "0.027016,0.028662,0.031838,0.037874,0.049227,0.073182,0.123604", \ - "0.038445,0.040197,0.043588,0.049709,0.061116,0.082832,0.126606", \ - "0.054877,0.056993,0.060996,0.067879,0.079803,0.101346,0.142417", \ - "0.075551,0.078124,0.082979,0.091254,0.104798,0.127385,0.167890"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.088449,0.095331,0.108846,0.134863,0.184209,0.277089,0.452709", \ - "0.088415,0.095291,0.108812,0.134797,0.184244,0.277100,0.452703", \ - "0.088418,0.095291,0.108791,0.134728,0.184156,0.277089,0.452693", \ - "0.088610,0.095198,0.108667,0.134523,0.184065,0.277078,0.452701", \ - "0.103437,0.108373,0.118847,0.140210,0.184842,0.277045,0.452706", \ - "0.133779,0.139178,0.149523,0.167848,0.202592,0.280937,0.452683", \ - "0.168289,0.174382,0.185920,0.206582,0.242532,0.307349,0.456400"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.048332,0.051099,0.056427,0.066610,0.086096,0.123464,0.195627", \ - "0.053454,0.056238,0.061603,0.071848,0.091394,0.128827,0.200997", \ - "0.068891,0.071712,0.077083,0.087397,0.107052,0.144614,0.216902", \ - "0.093516,0.096806,0.102918,0.114462,0.134986,0.172683,0.245203", \ - "0.118760,0.123219,0.131203,0.145981,0.171547,0.214728,0.288935", \ - "0.139447,0.145476,0.155932,0.175566,0.208611,0.261889,0.347191", \ - "0.153045,0.160827,0.174578,0.199734,0.241867,0.308482,0.410290"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.114324,0.121339,0.135017,0.161418,0.212394,0.310616,0.501267", \ - "0.116561,0.123665,0.137667,0.164144,0.215615,0.313892,0.504738", \ - "0.129157,0.136264,0.150164,0.176900,0.228563,0.327619,0.518912", \ - "0.160705,0.167586,0.180905,0.207148,0.258176,0.356888,0.548130", \ - "0.211890,0.219553,0.233900,0.259510,0.309100,0.406442,0.596421", \ - "0.268881,0.278394,0.296076,0.328095,0.383854,0.479953,0.667684", \ - "0.330107,0.341428,0.362653,0.400896,0.467496,0.578145,0.764710"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025679,0.027874,0.032145,0.040461,0.056663,0.088233,0.149916", \ - "0.025682,0.027878,0.032138,0.040468,0.056662,0.088252,0.149862", \ - "0.025470,0.027683,0.031996,0.040388,0.056625,0.088247,0.149846", \ - "0.030944,0.032886,0.036714,0.043831,0.058028,0.088166,0.149839", \ - "0.042757,0.044796,0.048749,0.055997,0.069607,0.095601,0.150986", \ - "0.060029,0.062453,0.067078,0.075047,0.089053,0.114640,0.163888", \ - "0.081575,0.084510,0.090065,0.099431,0.115209,0.141821,0.190082"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.088422,0.095347,0.108887,0.134842,0.184219,0.277067,0.452651", \ - "0.088444,0.095390,0.108942,0.134844,0.184474,0.277079,0.452671", \ - "0.088394,0.095371,0.108880,0.134728,0.184279,0.277145,0.452694", \ - "0.088582,0.095272,0.108661,0.134576,0.184086,0.277071,0.452654", \ - "0.103460,0.108400,0.118967,0.140205,0.184810,0.277029,0.452693", \ - "0.134008,0.139425,0.149746,0.167988,0.202771,0.280983,0.452685", \ - "0.168780,0.174841,0.186375,0.206962,0.242831,0.307493,0.456405"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.040459,0.043035,0.048032,0.057746,0.076619,0.113337,0.184843", \ - "0.045470,0.048086,0.053143,0.062939,0.081903,0.118699,0.190258", \ - "0.060528,0.063239,0.068422,0.078347,0.097494,0.134474,0.206181", \ - "0.081654,0.085243,0.091758,0.103766,0.124904,0.162430,0.234403", \ - "0.100882,0.106019,0.115116,0.131375,0.158590,0.203177,0.278021", \ - "0.113782,0.120812,0.133127,0.155225,0.191410,0.247587,0.335033", \ - "0.118617,0.127868,0.144052,0.172612,0.219246,0.290407,0.395939"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.111562,0.118574,0.132176,0.158561,0.209481,0.307544,0.497802", \ - "0.113799,0.120902,0.134707,0.161316,0.212404,0.310738,0.501275", \ - "0.126383,0.133485,0.147283,0.174033,0.225434,0.324415,0.515424", \ - "0.157991,0.164885,0.178168,0.204412,0.255252,0.353739,0.544656", \ - "0.208776,0.216531,0.230901,0.256816,0.306299,0.403396,0.592977", \ - "0.264884,0.274508,0.292352,0.324628,0.380774,0.477036,0.664212", \ - "0.325151,0.336623,0.357980,0.396628,0.463701,0.574875,0.761348"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019899,0.022083,0.026366,0.034719,0.050983,0.082584,0.144153", \ - "0.019905,0.022086,0.026374,0.034726,0.050984,0.082589,0.144140", \ - "0.020288,0.022321,0.026452,0.034745,0.050966,0.082598,0.144138", \ - "0.027383,0.029279,0.032998,0.040055,0.053661,0.082876,0.144124", \ - "0.040612,0.042639,0.046580,0.053563,0.066723,0.092148,0.146001", \ - "0.058953,0.061393,0.066053,0.073809,0.087540,0.112255,0.160573", \ - "0.081681,0.084571,0.090038,0.099300,0.114738,0.140636,0.187671"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.072966,0.079282,0.091765,0.115669,0.161977,0.251150,0.424500", \ - "0.072967,0.079278,0.091795,0.115767,0.161927,0.251136,0.424483", \ - "0.072973,0.079281,0.091751,0.115538,0.161730,0.251145,0.424490", \ - "0.073264,0.079261,0.091663,0.115496,0.161629,0.251109,0.424502", \ - "0.088531,0.092955,0.102290,0.121329,0.162470,0.251177,0.424487", \ - "0.112363,0.118070,0.128912,0.147662,0.180737,0.255184,0.424481", \ - "0.138786,0.145576,0.158429,0.180445,0.217867,0.281798,0.428390"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.033297,0.035404,0.039513,0.047492,0.063004,0.093184,0.151963", \ - "0.038613,0.040751,0.044906,0.052957,0.068541,0.098785,0.157608", \ - "0.054063,0.056319,0.060600,0.068763,0.084493,0.114891,0.173830", \ - "0.074095,0.077278,0.083039,0.093525,0.111723,0.143388,0.202602", \ - "0.092000,0.096686,0.104899,0.119433,0.143621,0.182609,0.246793", \ - "0.103705,0.110194,0.121551,0.141552,0.174285,0.224413,0.301101", \ - "0.107206,0.115821,0.130944,0.157119,0.199738,0.264232,0.358248"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.111327,0.118351,0.131968,0.158299,0.209257,0.307323,0.497607", \ - "0.113156,0.120252,0.133999,0.160581,0.211727,0.310112,0.500655", \ - "0.125825,0.132921,0.146733,0.173374,0.224733,0.323668,0.514674", \ - "0.157816,0.164715,0.177965,0.204108,0.254807,0.353221,0.544034", \ - "0.208845,0.216613,0.230971,0.256822,0.306227,0.403155,0.592590", \ - "0.265278,0.274888,0.292712,0.324922,0.380989,0.477115,0.664171", \ - "0.325997,0.337446,0.358756,0.397323,0.464288,0.575329,0.761647"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016229,0.018025,0.021571,0.028469,0.041894,0.068037,0.118976", \ - "0.016227,0.018025,0.021566,0.028461,0.041890,0.068040,0.118965", \ - "0.017101,0.018722,0.021953,0.028527,0.041886,0.068039,0.118960", \ - "0.024187,0.025768,0.028883,0.034754,0.046001,0.069139,0.118957", \ - "0.036810,0.038569,0.041924,0.047904,0.058909,0.080087,0.122890", \ - "0.054341,0.056467,0.060465,0.067271,0.078840,0.099602,0.139830", \ - "0.076238,0.078769,0.083545,0.091644,0.104873,0.126742,0.166149"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.072970,0.079250,0.091746,0.115647,0.161984,0.251216,0.424528", \ - "0.072977,0.079255,0.091697,0.115597,0.161949,0.251175,0.424509", \ - "0.072977,0.079253,0.091750,0.115514,0.161759,0.251147,0.424512", \ - "0.073285,0.079248,0.091584,0.115486,0.161584,0.251133,0.424510", \ - "0.088510,0.092908,0.102205,0.121339,0.162477,0.251090,0.424531", \ - "0.112190,0.117870,0.128696,0.147529,0.180658,0.255155,0.424516", \ - "0.138392,0.145171,0.157940,0.180105,0.217583,0.281665,0.428382"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.043069,0.045465,0.050062,0.058859,0.075665,0.107878,0.169993", \ - "0.048163,0.050577,0.055209,0.064059,0.080922,0.113189,0.175374", \ - "0.064626,0.067056,0.071682,0.080579,0.097534,0.129922,0.192188", \ - "0.091030,0.094095,0.099681,0.110066,0.128404,0.160877,0.223324", \ - "0.116622,0.120933,0.128690,0.142845,0.166961,0.206570,0.271666", \ - "0.136792,0.142723,0.152978,0.172126,0.204374,0.255501,0.334493", \ - "0.149613,0.157258,0.170790,0.195398,0.236779,0.301973,0.399923"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.122801,0.129779,0.143462,0.169826,0.220633,0.318648,0.509115", \ - "0.125161,0.132244,0.146139,0.172596,0.223721,0.322199,0.512878", \ - "0.137678,0.144728,0.158617,0.185302,0.236643,0.335672,0.526942", \ - "0.169081,0.175947,0.189228,0.215369,0.266214,0.364875,0.556010", \ - "0.221171,0.228572,0.242426,0.267591,0.317118,0.414408,0.604271", \ - "0.280399,0.289537,0.306661,0.337817,0.392321,0.487884,0.675508", \ - "0.343835,0.354762,0.375224,0.412495,0.477696,0.586627,0.772597"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022932,0.024800,0.028436,0.035506,0.049265,0.076028,0.128271", \ - "0.022939,0.024809,0.028433,0.035538,0.049250,0.076054,0.128312", \ - "0.022697,0.024577,0.028253,0.035411,0.049229,0.076048,0.128273", \ - "0.029637,0.031219,0.034287,0.040040,0.051355,0.076123,0.128258", \ - "0.043280,0.045061,0.048507,0.054616,0.065722,0.086405,0.130385", \ - "0.061733,0.063908,0.068092,0.075386,0.087728,0.109108,0.148148", \ - "0.084398,0.087035,0.092094,0.100784,0.115390,0.139237,0.179341"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.093080,0.100114,0.113651,0.139696,0.189189,0.282035,0.457668", \ - "0.093164,0.100056,0.113721,0.139710,0.189195,0.282058,0.457665", \ - "0.093099,0.100090,0.113647,0.139679,0.189134,0.282042,0.457659", \ - "0.092975,0.099992,0.113558,0.139490,0.188929,0.282036,0.457668", \ - "0.105713,0.111006,0.121954,0.143903,0.189336,0.282016,0.457682", \ - "0.136191,0.141624,0.151894,0.169879,0.205706,0.285243,0.457674", \ - "0.171117,0.177154,0.188737,0.209237,0.245065,0.310450,0.460850"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.036601,0.038802,0.043102,0.051458,0.067694,0.099283,0.160788", \ - "0.041605,0.043844,0.048196,0.056621,0.072937,0.104585,0.166140", \ - "0.057637,0.059967,0.064439,0.072988,0.089471,0.121279,0.182969", \ - "0.080012,0.083420,0.089503,0.100556,0.119513,0.152125,0.214075", \ - "0.099251,0.104222,0.113106,0.128772,0.154850,0.196179,0.262315", \ - "0.111703,0.118652,0.130682,0.152193,0.187558,0.241944,0.323478", \ - "0.115974,0.124960,0.140918,0.168710,0.214536,0.284331,0.386314"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.120075,0.127038,0.140578,0.166791,0.217549,0.315541,0.505638", \ - "0.122397,0.129485,0.143210,0.169634,0.220671,0.319014,0.509404", \ - "0.134911,0.141972,0.155731,0.182284,0.233688,0.332485,0.523464", \ - "0.166361,0.173247,0.186436,0.212562,0.263341,0.361720,0.552538", \ - "0.218181,0.225659,0.239566,0.264889,0.314330,0.411287,0.600765", \ - "0.276544,0.285804,0.303066,0.334470,0.389290,0.484932,0.672034", \ - "0.339074,0.350125,0.370763,0.408296,0.473934,0.583384,0.769202"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017933,0.019798,0.023461,0.030569,0.044366,0.071187,0.123386", \ - "0.017935,0.019795,0.023451,0.030558,0.044358,0.071180,0.123379", \ - "0.018459,0.020155,0.023559,0.030568,0.044362,0.071205,0.123378", \ - "0.027040,0.028604,0.031661,0.037285,0.048051,0.071785,0.123380", \ - "0.041691,0.043509,0.046866,0.053011,0.063888,0.084053,0.126477", \ - "0.061158,0.063323,0.067521,0.074608,0.086885,0.107769,0.145979", \ - "0.085129,0.087651,0.092554,0.101035,0.115283,0.138689,0.178018"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.077346,0.083679,0.096254,0.120135,0.166422,0.256070,0.429451", \ - "0.077347,0.083672,0.096290,0.120140,0.166530,0.255954,0.429459", \ - "0.077349,0.083679,0.096280,0.120107,0.166460,0.255950,0.429446", \ - "0.077245,0.083594,0.096201,0.120050,0.166314,0.255999,0.429444", \ - "0.090541,0.095225,0.104948,0.124683,0.166742,0.255929,0.429463", \ - "0.115175,0.120737,0.131526,0.150129,0.183566,0.259294,0.429437", \ - "0.142183,0.148805,0.161461,0.183195,0.220357,0.284637,0.432779"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.029446,0.031191,0.034592,0.041196,0.054031,0.078994,0.127619", \ - "0.034771,0.036543,0.039982,0.046640,0.059535,0.084556,0.133213", \ - "0.051320,0.053297,0.056905,0.063671,0.076705,0.101859,0.150620", \ - "0.072377,0.075380,0.080742,0.090371,0.106614,0.133763,0.182815", \ - "0.090186,0.094745,0.102741,0.116696,0.139826,0.175845,0.232099", \ - "0.101402,0.107741,0.118737,0.138297,0.170216,0.218607,0.289855", \ - "0.104314,0.112691,0.127474,0.152998,0.194799,0.257844,0.348453"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.119821,0.126813,0.140363,0.166573,0.217327,0.315294,0.505455", \ - "0.121745,0.128802,0.142487,0.168945,0.219971,0.318341,0.508777", \ - "0.134355,0.141409,0.155089,0.181670,0.232897,0.331739,0.522685", \ - "0.166169,0.173044,0.186260,0.212256,0.262970,0.361154,0.551883", \ - "0.218247,0.225724,0.239645,0.264896,0.314264,0.411142,0.600379", \ - "0.276926,0.286175,0.303428,0.334766,0.389513,0.485055,0.671995", \ - "0.339906,0.350932,0.371551,0.409030,0.474550,0.583863,0.769574"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014000,0.015486,0.018376,0.024023,0.034982,0.056313,0.097793", \ - "0.014000,0.015480,0.018383,0.024015,0.034993,0.056304,0.097810", \ - "0.015247,0.016493,0.019022,0.024204,0.034992,0.056299,0.097792", \ - "0.023785,0.025086,0.027577,0.032168,0.040782,0.058216,0.097786", \ - "0.037622,0.039174,0.042013,0.047244,0.056210,0.072502,0.104224", \ - "0.056152,0.058021,0.061621,0.067776,0.078008,0.095272,0.126132", \ - "0.079222,0.081416,0.085601,0.093004,0.105044,0.124637,0.156997"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.077349,0.083672,0.096226,0.120230,0.166582,0.256016,0.429472", \ - "0.077352,0.083680,0.096204,0.120174,0.166420,0.256013,0.429475", \ - "0.077351,0.083680,0.096189,0.120097,0.166378,0.255965,0.429478", \ - "0.077261,0.083590,0.096161,0.120065,0.166411,0.255969,0.429468", \ - "0.090524,0.095187,0.104871,0.124683,0.166756,0.255978,0.429486", \ - "0.115013,0.120602,0.131228,0.150023,0.183493,0.259304,0.429480", \ - "0.141791,0.148429,0.160952,0.182882,0.220068,0.284492,0.432798"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.066457,0.069372,0.074915,0.085485,0.105585,0.143782,0.216834", \ - "0.070983,0.073832,0.079400,0.089993,0.110091,0.148296,0.221350", \ - "0.086269,0.089158,0.094727,0.105342,0.125465,0.163710,0.236798", \ - "0.113891,0.116935,0.122687,0.133572,0.153651,0.191923,0.265119", \ - "0.146983,0.150713,0.157449,0.170627,0.194208,0.235500,0.309088", \ - "0.179029,0.183798,0.192446,0.208932,0.237934,0.287093,0.369167", \ - "0.205708,0.211881,0.222955,0.243889,0.280170,0.340077,0.436140"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.130547,0.137567,0.151368,0.177920,0.228737,0.327112,0.518065", \ - "0.135343,0.142388,0.156221,0.182759,0.233818,0.332421,0.523537", \ - "0.152074,0.159213,0.173177,0.199907,0.251376,0.350321,0.541736", \ - "0.181581,0.188632,0.202358,0.228896,0.280450,0.379549,0.571254", \ - "0.225319,0.232863,0.247302,0.273641,0.324604,0.423758,0.615474", \ - "0.276093,0.284770,0.301441,0.331818,0.387187,0.486546,0.678300", \ - "0.333332,0.343370,0.362354,0.397006,0.459192,0.568321,0.761325"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.035946,0.038203,0.042518,0.050992,0.067381,0.099232,0.161110", \ - "0.035960,0.038179,0.042545,0.050975,0.067384,0.099209,0.161137", \ - "0.035848,0.038109,0.042495,0.050961,0.067393,0.099214,0.161119", \ - "0.038445,0.040455,0.044397,0.052124,0.067666,0.099193,0.161143", \ - "0.048319,0.050473,0.054617,0.062159,0.076548,0.103790,0.161574", \ - "0.064179,0.066553,0.071169,0.079226,0.093806,0.120729,0.171754", \ - "0.084698,0.087544,0.092879,0.102240,0.118278,0.145965,0.196100"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.118462,0.125280,0.138835,0.165027,0.214026,0.306445,0.481684", \ - "0.118459,0.125290,0.138922,0.164977,0.214004,0.306440,0.481707", \ - "0.118550,0.125177,0.138837,0.165025,0.214078,0.306450,0.481692", \ - "0.118525,0.125277,0.138879,0.164846,0.214011,0.306428,0.481685", \ - "0.125729,0.131512,0.143759,0.167481,0.214135,0.306404,0.481684", \ - "0.148555,0.153573,0.163871,0.183596,0.224617,0.308925,0.481680", \ - "0.176780,0.181851,0.191908,0.211865,0.250012,0.324923,0.484607"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.056869,0.059715,0.065167,0.075583,0.095411,0.133221,0.205821", \ - "0.061354,0.064191,0.069655,0.080071,0.099914,0.137737,0.210344", \ - "0.076635,0.079491,0.084953,0.095394,0.115266,0.153140,0.225787", \ - "0.103001,0.106138,0.112041,0.123208,0.143374,0.181320,0.254109", \ - "0.132591,0.136586,0.143824,0.157679,0.182116,0.224207,0.297996", \ - "0.159225,0.164534,0.173986,0.191854,0.222709,0.273711,0.357241", \ - "0.179572,0.186429,0.198696,0.221515,0.260576,0.323566,0.422333"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.127826,0.134822,0.148606,0.174912,0.225749,0.324025,0.514642", \ - "0.132589,0.139642,0.153416,0.179884,0.230930,0.329427,0.520087", \ - "0.149340,0.156451,0.170307,0.196978,0.248419,0.347152,0.538287", \ - "0.178863,0.185885,0.199569,0.226095,0.277406,0.376409,0.567850", \ - "0.222343,0.229922,0.244484,0.270883,0.321754,0.420688,0.612068", \ - "0.272561,0.281308,0.297980,0.328582,0.384167,0.483545,0.674889", \ - "0.329182,0.339266,0.358332,0.393211,0.455678,0.565060,0.757934"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.030955,0.033174,0.037460,0.045816,0.062076,0.093749,0.155446", \ - "0.030952,0.033165,0.037456,0.045834,0.062075,0.093738,0.155452", \ - "0.030815,0.033052,0.037377,0.045785,0.062067,0.093739,0.155464", \ - "0.034892,0.036910,0.040736,0.048042,0.062959,0.093731,0.155458", \ - "0.045744,0.047827,0.051913,0.059260,0.073242,0.099758,0.156307", \ - "0.062313,0.064725,0.069352,0.077344,0.091608,0.117880,0.167916", \ - "0.083162,0.086084,0.091551,0.100991,0.116970,0.144131,0.193291"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.088368,0.095395,0.108868,0.134915,0.184202,0.277079,0.452672", \ - "0.088372,0.095403,0.108837,0.134778,0.184128,0.277107,0.452693", \ - "0.088427,0.095349,0.108902,0.134840,0.184259,0.277080,0.452676", \ - "0.088439,0.095375,0.108752,0.134618,0.184068,0.277084,0.452690", \ - "0.095955,0.101816,0.113761,0.137308,0.184246,0.277016,0.452689", \ - "0.115247,0.121159,0.132798,0.154077,0.194939,0.279590,0.452681", \ - "0.138696,0.144796,0.156770,0.178780,0.219628,0.295794,0.455646"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.045995,0.048346,0.052873,0.061508,0.077895,0.109079,0.168832", \ - "0.050875,0.053231,0.057765,0.066402,0.082800,0.113982,0.173740", \ - "0.066607,0.068965,0.073497,0.082136,0.098551,0.129779,0.189576", \ - "0.092241,0.095010,0.100127,0.109798,0.127130,0.158467,0.218392", \ - "0.119981,0.123620,0.130115,0.142315,0.163823,0.200442,0.262862", \ - "0.144568,0.149526,0.158130,0.174170,0.201841,0.247040,0.320070", \ - "0.162989,0.169370,0.180634,0.201401,0.236854,0.293562,0.381215"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.127577,0.134592,0.148352,0.174705,0.225823,0.323813,0.514450", \ - "0.131965,0.139015,0.152870,0.179343,0.230295,0.328728,0.519492", \ - "0.148881,0.155941,0.169791,0.196414,0.247961,0.346475,0.537596", \ - "0.178664,0.185717,0.199320,0.225781,0.277024,0.375927,0.567241", \ - "0.222252,0.229810,0.244388,0.270739,0.321568,0.420453,0.611641", \ - "0.272559,0.281287,0.297897,0.328546,0.384081,0.483507,0.674640", \ - "0.329410,0.339475,0.358522,0.393377,0.455756,0.565144,0.757855"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025482,0.027291,0.030835,0.037728,0.051127,0.077269,0.128243", \ - "0.025475,0.027283,0.030835,0.037728,0.051136,0.077261,0.128242", \ - "0.025314,0.027127,0.030687,0.037652,0.051115,0.077257,0.128242", \ - "0.030289,0.031954,0.035170,0.041257,0.052982,0.077558,0.128229", \ - "0.040866,0.042624,0.046066,0.052342,0.064018,0.086064,0.130726", \ - "0.056609,0.058695,0.062728,0.069664,0.081786,0.103863,0.145539", \ - "0.076524,0.079065,0.083907,0.092229,0.105982,0.129058,0.170412"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.088392,0.095348,0.108795,0.134934,0.184488,0.277095,0.452690", \ - "0.088386,0.095344,0.108861,0.135004,0.184141,0.277100,0.452699", \ - "0.088403,0.095289,0.108753,0.134845,0.184455,0.277102,0.452706", \ - "0.088407,0.095327,0.108742,0.134620,0.184124,0.277097,0.452702", \ - "0.095992,0.101815,0.113727,0.137322,0.184265,0.277096,0.452699", \ - "0.115257,0.121117,0.132651,0.154170,0.194941,0.279620,0.452704", \ - "0.138604,0.144714,0.156647,0.178706,0.219615,0.295845,0.455676"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.056869,0.059715,0.065167,0.075583,0.095411,0.133221,0.205821", \ - "0.061354,0.064191,0.069655,0.080071,0.099914,0.137737,0.210344", \ - "0.076635,0.079491,0.084953,0.095394,0.115266,0.153140,0.225787", \ - "0.103001,0.106138,0.112041,0.123208,0.143374,0.181320,0.254109", \ - "0.132591,0.136586,0.143824,0.157679,0.182116,0.224207,0.297996", \ - "0.159225,0.164534,0.173986,0.191854,0.222709,0.273711,0.357241", \ - "0.179572,0.186429,0.198696,0.221515,0.260576,0.323566,0.422333"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.127826,0.134822,0.148606,0.174912,0.225749,0.324025,0.514642", \ - "0.132589,0.139642,0.153416,0.179884,0.230930,0.329427,0.520087", \ - "0.149340,0.156451,0.170307,0.196978,0.248419,0.347152,0.538287", \ - "0.178863,0.185885,0.199569,0.226095,0.277406,0.376409,0.567850", \ - "0.222343,0.229922,0.244484,0.270883,0.321754,0.420688,0.612068", \ - "0.272561,0.281308,0.297980,0.328582,0.384167,0.483545,0.674889", \ - "0.329182,0.339266,0.358332,0.393211,0.455678,0.565060,0.757934"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.030955,0.033174,0.037460,0.045816,0.062076,0.093749,0.155446", \ - "0.030952,0.033165,0.037456,0.045834,0.062075,0.093738,0.155452", \ - "0.030815,0.033052,0.037377,0.045785,0.062067,0.093739,0.155464", \ - "0.034892,0.036910,0.040736,0.048042,0.062959,0.093731,0.155458", \ - "0.045744,0.047827,0.051913,0.059260,0.073242,0.099758,0.156307", \ - "0.062313,0.064725,0.069352,0.077344,0.091608,0.117880,0.167916", \ - "0.083162,0.086084,0.091551,0.100991,0.116970,0.144131,0.193291"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.088368,0.095395,0.108868,0.134915,0.184202,0.277079,0.452672", \ - "0.088372,0.095403,0.108837,0.134778,0.184128,0.277107,0.452693", \ - "0.088427,0.095349,0.108902,0.134840,0.184259,0.277080,0.452676", \ - "0.088439,0.095375,0.108752,0.134618,0.184068,0.277084,0.452690", \ - "0.095955,0.101816,0.113761,0.137308,0.184246,0.277016,0.452689", \ - "0.115247,0.121159,0.132798,0.154077,0.194939,0.279590,0.452681", \ - "0.138696,0.144796,0.156770,0.178780,0.219628,0.295794,0.455646"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.047896,0.050652,0.055958,0.066119,0.085573,0.122946,0.195048", \ - "0.052380,0.055135,0.060446,0.070615,0.090077,0.127443,0.199573", \ - "0.067603,0.070373,0.075692,0.085900,0.105416,0.142841,0.214983", \ - "0.091802,0.095095,0.101232,0.112745,0.133285,0.170931,0.243242", \ - "0.116728,0.121253,0.129218,0.144109,0.169712,0.212856,0.287059", \ - "0.136906,0.142977,0.153620,0.173389,0.206608,0.259933,0.345273", \ - "0.149896,0.157786,0.171833,0.197108,0.239516,0.306391,0.408323"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.125075,0.132093,0.145661,0.171950,0.222829,0.320885,0.511174", \ - "0.129842,0.136899,0.150600,0.177019,0.228033,0.326242,0.516624", \ - "0.146566,0.153663,0.167436,0.194066,0.245322,0.343988,0.534832", \ - "0.176112,0.183145,0.196767,0.223287,0.274501,0.373282,0.564356", \ - "0.219337,0.226963,0.241563,0.268143,0.318939,0.417609,0.608585", \ - "0.269046,0.277852,0.294481,0.325283,0.380994,0.480549,0.671411", \ - "0.325028,0.335210,0.354243,0.389358,0.452149,0.561768,0.754527"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025724,0.027906,0.032160,0.040487,0.056667,0.088292,0.149888", \ - "0.025707,0.027884,0.032160,0.040473,0.056663,0.088256,0.149902", \ - "0.025765,0.027908,0.032144,0.040479,0.056673,0.088262,0.149845", \ - "0.031415,0.033347,0.037135,0.044281,0.058446,0.088380,0.149805", \ - "0.043477,0.045525,0.049480,0.056652,0.070197,0.096061,0.151239", \ - "0.060832,0.063259,0.067919,0.075855,0.089807,0.115306,0.164366", \ - "0.082220,0.085183,0.090733,0.100176,0.116050,0.142628,0.190725"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.072962,0.079303,0.091782,0.115599,0.161880,0.251139,0.424500", \ - "0.072964,0.079301,0.091774,0.115554,0.161827,0.251119,0.424505", \ - "0.072970,0.079293,0.091775,0.115587,0.161872,0.251124,0.424489", \ - "0.072963,0.079289,0.091653,0.115480,0.161756,0.251217,0.424520", \ - "0.080711,0.086049,0.096861,0.118261,0.161847,0.251106,0.424492", \ - "0.095777,0.101642,0.113235,0.133991,0.172586,0.253626,0.424485", \ - "0.114069,0.120331,0.132736,0.154740,0.195269,0.269798,0.427575"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.038946,0.041228,0.045621,0.054024,0.070075,0.100835,0.160150", \ - "0.043827,0.046111,0.050508,0.058916,0.074971,0.105734,0.165087", \ - "0.059487,0.061783,0.066187,0.074616,0.090710,0.121520,0.180877", \ - "0.082687,0.085619,0.091006,0.101003,0.118708,0.150122,0.209675", \ - "0.105990,0.110095,0.117297,0.130532,0.153141,0.190783,0.254029", \ - "0.124500,0.130152,0.139905,0.157723,0.187656,0.235122,0.309816", \ - "0.135827,0.143179,0.156159,0.179212,0.217974,0.278320,0.368997"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.124846,0.131854,0.145453,0.171719,0.222579,0.320700,0.510994", \ - "0.129221,0.136268,0.149969,0.176379,0.227398,0.325581,0.516030", \ - "0.146112,0.153186,0.166976,0.193500,0.244727,0.343335,0.534132", \ - "0.175915,0.182969,0.196522,0.222980,0.274069,0.372830,0.563774", \ - "0.219246,0.226860,0.241421,0.268008,0.318754,0.417287,0.608180", \ - "0.269043,0.277843,0.294447,0.325251,0.381011,0.480488,0.671166", \ - "0.325254,0.335417,0.354495,0.389546,0.452242,0.561820,0.754455"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.021099,0.022897,0.026416,0.033290,0.046646,0.072741,0.123662", \ - "0.021071,0.022871,0.026399,0.033273,0.046642,0.072743,0.123709", \ - "0.021422,0.023125,0.026501,0.033274,0.046636,0.072725,0.123647", \ - "0.027498,0.029117,0.032276,0.038243,0.049640,0.073461,0.123626", \ - "0.039145,0.040882,0.044255,0.050328,0.061679,0.083256,0.126927", \ - "0.055657,0.057783,0.061812,0.068687,0.080535,0.101994,0.142869", \ - "0.076187,0.078784,0.083632,0.092016,0.105591,0.128158,0.168519"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.072966,0.079255,0.091734,0.115615,0.161891,0.251247,0.424506", \ - "0.072965,0.079256,0.091758,0.115569,0.161832,0.251148,0.424511", \ - "0.072977,0.079257,0.091762,0.115572,0.161869,0.251163,0.424513", \ - "0.072967,0.079246,0.091664,0.115474,0.161682,0.251217,0.424514", \ - "0.080744,0.086048,0.096816,0.118270,0.161868,0.251102,0.424514", \ - "0.095785,0.101588,0.113076,0.134000,0.172675,0.253707,0.424509", \ - "0.114005,0.120219,0.132579,0.154734,0.195234,0.269858,0.427600"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.050163,0.052622,0.057342,0.066351,0.083485,0.116116,0.178678", \ - "0.054705,0.057165,0.061881,0.070908,0.088045,0.120684,0.183259", \ - "0.070969,0.073421,0.078171,0.087192,0.104370,0.137053,0.199652", \ - "0.099567,0.102426,0.107663,0.117584,0.135414,0.168117,0.230823", \ - "0.129883,0.133782,0.140775,0.153878,0.176640,0.214930,0.279340", \ - "0.156064,0.161314,0.170558,0.188014,0.217938,0.266552,0.343463", \ - "0.175559,0.182327,0.194423,0.216751,0.255101,0.316665,0.411201"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.136345,0.143284,0.156914,0.183229,0.234001,0.332065,0.522516", \ - "0.141256,0.148272,0.162034,0.188378,0.239418,0.337668,0.528269", \ - "0.157881,0.164924,0.178803,0.205380,0.256585,0.355379,0.546386", \ - "0.187310,0.194315,0.207985,0.234373,0.285625,0.384391,0.575747", \ - "0.231399,0.238806,0.252985,0.279082,0.329847,0.428624,0.619819", \ - "0.283075,0.291561,0.307793,0.337875,0.392679,0.491450,0.682502", \ - "0.341392,0.351145,0.369693,0.403777,0.465201,0.573472,0.765704"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.027522,0.029395,0.033019,0.040108,0.053889,0.080716,0.133025", \ - "0.027524,0.029389,0.033041,0.040102,0.053889,0.080710,0.133047", \ - "0.027318,0.029218,0.032909,0.040066,0.053868,0.080710,0.133024", \ - "0.032670,0.034268,0.037376,0.043196,0.055262,0.080744,0.133019", \ - "0.045659,0.047433,0.050890,0.057016,0.068277,0.089363,0.134653", \ - "0.063597,0.065768,0.069986,0.077200,0.089653,0.111305,0.150891", \ - "0.085506,0.088178,0.093208,0.101994,0.116719,0.140868,0.181504"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.093162,0.100109,0.113716,0.139729,0.189094,0.282028,0.457682", \ - "0.093090,0.100066,0.113653,0.139609,0.189205,0.282054,0.457651", \ - "0.093142,0.100116,0.113645,0.139719,0.189108,0.282062,0.457689", \ - "0.093160,0.100062,0.113558,0.139578,0.189106,0.282049,0.457670", \ - "0.099376,0.105476,0.117658,0.141544,0.188990,0.282034,0.457667", \ - "0.118433,0.124400,0.136014,0.157489,0.198850,0.284149,0.457643", \ - "0.141763,0.147858,0.159925,0.182127,0.223022,0.299660,0.460304"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.042649,0.045032,0.049612,0.058385,0.075162,0.107348,0.169410", \ - "0.047190,0.049571,0.054160,0.062939,0.079721,0.111903,0.173978", \ - "0.063394,0.065785,0.070389,0.079199,0.096032,0.128269,0.190415", \ - "0.089414,0.092478,0.098037,0.108450,0.126745,0.159263,0.221530", \ - "0.114631,0.118955,0.126758,0.140983,0.165197,0.204825,0.269935", \ - "0.134236,0.140212,0.150656,0.169930,0.202309,0.253586,0.332666", \ - "0.146428,0.154203,0.168014,0.192697,0.234434,0.299865,0.397977"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.133615,0.140552,0.154057,0.180284,0.230914,0.328901,0.519030", \ - "0.138485,0.145510,0.159168,0.185494,0.236378,0.334568,0.524798", \ - "0.155108,0.162170,0.175861,0.202384,0.253539,0.352186,0.542915", \ - "0.184553,0.191577,0.205144,0.231487,0.282524,0.381284,0.572275", \ - "0.228481,0.235913,0.250237,0.276340,0.326994,0.425463,0.616354", \ - "0.279653,0.288209,0.304451,0.334668,0.389590,0.488458,0.679023", \ - "0.337370,0.347214,0.365784,0.400075,0.461706,0.570203,0.762255"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022975,0.024832,0.028448,0.035498,0.049252,0.076024,0.128211", \ - "0.022953,0.024811,0.028441,0.035496,0.049239,0.075988,0.128211", \ - "0.023045,0.024833,0.028410,0.035502,0.049219,0.075994,0.128246", \ - "0.030194,0.031752,0.034796,0.040516,0.051762,0.076315,0.128179", \ - "0.044050,0.045837,0.049268,0.055353,0.066365,0.086914,0.130636", \ - "0.062584,0.064773,0.068978,0.076234,0.088563,0.109826,0.148616", \ - "0.085081,0.087740,0.092787,0.101545,0.116218,0.140074,0.180047"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.077345,0.083687,0.096248,0.120150,0.166405,0.255971,0.429444", \ - "0.077350,0.083679,0.096315,0.120234,0.166585,0.256043,0.429457", \ - "0.077345,0.083692,0.096251,0.120189,0.166401,0.255948,0.429461", \ - "0.077346,0.083682,0.096256,0.119999,0.166294,0.256045,0.429445", \ - "0.083883,0.089361,0.100486,0.122236,0.166382,0.255961,0.429455", \ - "0.099199,0.105008,0.116657,0.137381,0.176326,0.258136,0.429455", \ - "0.117556,0.123765,0.136141,0.158263,0.198722,0.273554,0.432167"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.033734,0.035637,0.039292,0.046279,0.059607,0.085112,0.134230", \ - "0.038697,0.040599,0.044257,0.051246,0.064578,0.090084,0.139206", \ - "0.055636,0.057553,0.061215,0.068212,0.081568,0.107119,0.156277", \ - "0.080329,0.083030,0.087926,0.096915,0.112515,0.139155,0.188502", \ - "0.103689,0.107630,0.114663,0.127297,0.148628,0.183077,0.238140", \ - "0.121676,0.127111,0.136679,0.154035,0.183146,0.228669,0.297640", \ - "0.132192,0.139334,0.152029,0.174576,0.212578,0.271524,0.358549"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.133379,0.140315,0.153831,0.180089,0.230692,0.328692,0.518843", \ - "0.137863,0.144873,0.158514,0.184874,0.235674,0.333905,0.524186", \ - "0.154633,0.161670,0.175323,0.201828,0.252942,0.351527,0.542213", \ - "0.184347,0.191365,0.204841,0.231211,0.282124,0.380780,0.571629", \ - "0.228384,0.235814,0.250014,0.276201,0.326841,0.425158,0.615873", \ - "0.279649,0.288197,0.304425,0.334729,0.389506,0.488386,0.678856", \ - "0.337577,0.347408,0.365959,0.400173,0.461793,0.570266,0.762209"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018036,0.019522,0.022411,0.028009,0.038919,0.060149,0.101610", \ - "0.018004,0.019494,0.022381,0.027997,0.038895,0.060141,0.101611", \ - "0.018548,0.019895,0.022590,0.027997,0.038876,0.060136,0.101596", \ - "0.026340,0.027616,0.030075,0.034678,0.043348,0.061483,0.101608", \ - "0.039518,0.041022,0.043923,0.049027,0.058114,0.074681,0.107112", \ - "0.057071,0.058939,0.062578,0.068799,0.079193,0.096783,0.128129", \ - "0.078594,0.080919,0.085328,0.093040,0.105457,0.125511,0.158443"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.077355,0.083674,0.096202,0.120225,0.166411,0.255996,0.429468", \ - "0.077353,0.083671,0.096234,0.120218,0.166346,0.256030,0.429475", \ - "0.077351,0.083678,0.096236,0.120127,0.166394,0.256056,0.429480", \ - "0.077350,0.083670,0.096185,0.120129,0.166300,0.256065,0.429479", \ - "0.083908,0.089387,0.100405,0.122259,0.166361,0.255976,0.429484", \ - "0.099205,0.105010,0.116503,0.137476,0.176346,0.258176,0.429477", \ - "0.117498,0.123698,0.135946,0.158194,0.198690,0.273602,0.432208"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.062750,0.065599,0.071062,0.081495,0.101353,0.139187,0.211803", \ - "0.068471,0.071321,0.076815,0.087296,0.107207,0.145096,0.217771", \ - "0.083411,0.086291,0.091820,0.102355,0.122346,0.160350,0.233108", \ - "0.106140,0.109198,0.114857,0.125779,0.145868,0.183863,0.256754", \ - "0.131745,0.135318,0.141857,0.154215,0.176834,0.217615,0.291305", \ - "0.156945,0.161277,0.169123,0.183917,0.210585,0.256646,0.337045", \ - "0.177909,0.183435,0.193242,0.211578,0.243612,0.297501,0.387341"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.145767,0.152995,0.167179,0.194420,0.246425,0.346232,0.538680", \ - "0.147829,0.155113,0.169373,0.196602,0.248775,0.348722,0.541180", \ - "0.160886,0.168217,0.182521,0.209789,0.262329,0.362401,0.555296", \ - "0.192013,0.199228,0.213115,0.240134,0.292240,0.392119,0.584901", \ - "0.245258,0.252033,0.265423,0.291689,0.342675,0.441771,0.633417", \ - "0.311756,0.320354,0.336558,0.366033,0.417907,0.514859,0.704704", \ - "0.382710,0.392957,0.412340,0.447522,0.509655,0.614695,0.801507"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.030972,0.033169,0.037452,0.045818,0.062075,0.093752,0.155447", \ - "0.030966,0.033170,0.037459,0.045825,0.062079,0.093755,0.155483", \ - "0.030862,0.033096,0.037425,0.045797,0.062090,0.093738,0.155434", \ - "0.033132,0.035261,0.039241,0.046971,0.062442,0.093659,0.155417", \ - "0.039166,0.041382,0.045652,0.053815,0.069127,0.098048,0.156218", \ - "0.049702,0.051965,0.056439,0.064732,0.080310,0.109538,0.165154", \ - "0.066120,0.068565,0.073326,0.081770,0.097173,0.126244,0.181664"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.137461,0.144107,0.157003,0.182581,0.231021,0.323339,0.498862", \ - "0.137376,0.144097,0.156971,0.182459,0.231136,0.323338,0.498861", \ - "0.137371,0.144060,0.157016,0.182447,0.231111,0.323320,0.498848", \ - "0.137387,0.144070,0.156943,0.182425,0.231055,0.323316,0.498860", \ - "0.142932,0.148726,0.160075,0.183480,0.230896,0.323314,0.498859", \ - "0.170919,0.174960,0.183718,0.202295,0.241336,0.324327,0.498872", \ - "0.211164,0.216003,0.225036,0.242910,0.275312,0.344461,0.500275"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.053742,0.056509,0.061837,0.072022,0.091503,0.128872,0.200992", \ - "0.059393,0.062187,0.067541,0.077791,0.097339,0.134773,0.206945", \ - "0.074225,0.077046,0.082443,0.092772,0.112434,0.150009,0.222321", \ - "0.095687,0.098799,0.104580,0.115627,0.135817,0.173494,0.245943", \ - "0.118884,0.122599,0.129360,0.142101,0.165194,0.206435,0.280317", \ - "0.140001,0.144777,0.153250,0.169025,0.196795,0.244013,0.325265", \ - "0.154946,0.161232,0.172278,0.192349,0.226674,0.282742,0.374253"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.142821,0.150095,0.164201,0.191343,0.243294,0.343046,0.535145", \ - "0.144898,0.152194,0.166374,0.193569,0.245609,0.345461,0.537685", \ - "0.157964,0.165263,0.179575,0.206827,0.259117,0.359250,0.551735", \ - "0.189108,0.196312,0.210155,0.237110,0.289075,0.389101,0.581364", \ - "0.242393,0.249282,0.262616,0.288819,0.339759,0.438609,0.629861", \ - "0.308052,0.316740,0.333005,0.362749,0.415013,0.511758,0.701167", \ - "0.378133,0.388499,0.407932,0.443392,0.505964,0.611481,0.798074"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025687,0.027876,0.032145,0.040465,0.056651,0.088232,0.149838", \ - "0.025686,0.027884,0.032147,0.040456,0.056664,0.088239,0.149845", \ - "0.025574,0.027792,0.032083,0.040437,0.056644,0.088236,0.149866", \ - "0.028652,0.030763,0.034827,0.042510,0.057548,0.088189,0.149817", \ - "0.035229,0.037405,0.041646,0.049687,0.064845,0.093646,0.151004", \ - "0.047000,0.049240,0.053572,0.061601,0.076758,0.105506,0.160842", \ - "0.064620,0.067076,0.071808,0.080116,0.094999,0.123070,0.177709"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.104539,0.111519,0.125002,0.151009,0.200421,0.293468,0.469536", \ - "0.104549,0.111467,0.125003,0.151015,0.200317,0.293476,0.469552", \ - "0.104591,0.111492,0.125093,0.151014,0.200409,0.293391,0.469538", \ - "0.104602,0.111528,0.124987,0.150872,0.200336,0.293525,0.469539", \ - "0.110352,0.116391,0.128287,0.151946,0.199987,0.293409,0.469535", \ - "0.137379,0.142749,0.153181,0.171456,0.210840,0.294507,0.469534", \ - "0.171052,0.177178,0.188836,0.209541,0.245783,0.314919,0.471046"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.045801,0.048096,0.052508,0.060947,0.077046,0.107881,0.167305", \ - "0.051476,0.053795,0.058243,0.066724,0.082880,0.113763,0.173235", \ - "0.067659,0.069998,0.074460,0.082993,0.099235,0.130229,0.189802", \ - "0.091085,0.093779,0.098774,0.108167,0.125359,0.156544,0.216247", \ - "0.115820,0.119226,0.125465,0.136858,0.157351,0.192984,0.255379", \ - "0.137480,0.142110,0.150220,0.165109,0.190793,0.233465,0.304363", \ - "0.152223,0.158303,0.169232,0.188677,0.221744,0.274443,0.357220"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.149054,0.156336,0.170443,0.197628,0.249683,0.349607,0.541855", \ - "0.151179,0.158502,0.172743,0.199961,0.252130,0.352144,0.544461", \ - "0.164087,0.171422,0.185700,0.213057,0.265456,0.365821,0.558474", \ - "0.195173,0.202406,0.216247,0.243369,0.295309,0.395461,0.588006", \ - "0.248301,0.255185,0.268687,0.294953,0.346094,0.445050,0.636483", \ - "0.315747,0.324290,0.340329,0.369543,0.421235,0.518325,0.707859", \ - "0.387554,0.397740,0.416878,0.451858,0.513586,0.618163,0.804808"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.021286,0.023113,0.026652,0.033558,0.046981,0.073137,0.124119", \ - "0.021292,0.023118,0.026664,0.033561,0.046974,0.073120,0.124135", \ - "0.021179,0.023009,0.026573,0.033495,0.046952,0.073123,0.124137", \ - "0.024973,0.026714,0.030072,0.036475,0.048577,0.073359,0.124094", \ - "0.032718,0.034529,0.038035,0.044673,0.057091,0.080616,0.126512", \ - "0.045833,0.047801,0.051557,0.058398,0.070860,0.094244,0.139035", \ - "0.064199,0.066509,0.070850,0.078466,0.091381,0.114428,0.158352"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.107504,0.114382,0.127874,0.153891,0.203153,0.296350,0.472429", \ - "0.107464,0.114365,0.127934,0.153919,0.203138,0.296351,0.472411", \ - "0.107467,0.114392,0.127891,0.153876,0.203187,0.296250,0.472409", \ - "0.107475,0.114415,0.127844,0.153880,0.203144,0.296251,0.472406", \ - "0.112053,0.118186,0.130309,0.154406,0.202978,0.296247,0.472401", \ - "0.137975,0.143348,0.153480,0.172308,0.212444,0.296999,0.472399", \ - "0.171349,0.177426,0.189091,0.209749,0.246000,0.316161,0.473573"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.053742,0.056509,0.061837,0.072022,0.091503,0.128872,0.200992", \ - "0.059393,0.062187,0.067541,0.077791,0.097339,0.134773,0.206945", \ - "0.074225,0.077046,0.082443,0.092772,0.112434,0.150009,0.222321", \ - "0.095687,0.098799,0.104580,0.115627,0.135817,0.173494,0.245943", \ - "0.118884,0.122599,0.129360,0.142101,0.165194,0.206435,0.280317", \ - "0.140001,0.144777,0.153250,0.169025,0.196795,0.244013,0.325265", \ - "0.154946,0.161232,0.172278,0.192349,0.226674,0.282742,0.374253"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.142821,0.150095,0.164201,0.191343,0.243294,0.343046,0.535145", \ - "0.144898,0.152194,0.166374,0.193569,0.245609,0.345461,0.537685", \ - "0.157964,0.165263,0.179575,0.206827,0.259117,0.359250,0.551735", \ - "0.189108,0.196312,0.210155,0.237110,0.289075,0.389101,0.581364", \ - "0.242393,0.249282,0.262616,0.288819,0.339759,0.438609,0.629861", \ - "0.308052,0.316740,0.333005,0.362749,0.415013,0.511758,0.701167", \ - "0.378133,0.388499,0.407932,0.443392,0.505964,0.611481,0.798074"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025687,0.027876,0.032145,0.040465,0.056651,0.088232,0.149838", \ - "0.025686,0.027884,0.032147,0.040456,0.056664,0.088239,0.149845", \ - "0.025574,0.027792,0.032083,0.040437,0.056644,0.088236,0.149866", \ - "0.028652,0.030763,0.034827,0.042510,0.057548,0.088189,0.149817", \ - "0.035229,0.037405,0.041646,0.049687,0.064845,0.093646,0.151004", \ - "0.047000,0.049240,0.053572,0.061601,0.076758,0.105506,0.160842", \ - "0.064620,0.067076,0.071808,0.080116,0.094999,0.123070,0.177709"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.104539,0.111519,0.125002,0.151009,0.200421,0.293468,0.469536", \ - "0.104549,0.111467,0.125003,0.151015,0.200317,0.293476,0.469552", \ - "0.104591,0.111492,0.125093,0.151014,0.200409,0.293391,0.469538", \ - "0.104602,0.111528,0.124987,0.150872,0.200336,0.293525,0.469539", \ - "0.110352,0.116391,0.128287,0.151946,0.199987,0.293409,0.469535", \ - "0.137379,0.142749,0.153181,0.171456,0.210840,0.294507,0.469534", \ - "0.171052,0.177178,0.188836,0.209541,0.245783,0.314919,0.471046"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.045874,0.048437,0.053439,0.063150,0.082024,0.118748,0.190258", \ - "0.051415,0.054019,0.059083,0.068878,0.087841,0.124638,0.196200", \ - "0.065880,0.068576,0.073753,0.083703,0.102857,0.139835,0.211545", \ - "0.085512,0.088650,0.094484,0.105560,0.125923,0.163260,0.235176", \ - "0.105440,0.109420,0.116616,0.129800,0.153513,0.195336,0.269508", \ - "0.121036,0.126428,0.136057,0.153260,0.182544,0.231105,0.313424", \ - "0.128246,0.135612,0.148533,0.171194,0.208634,0.267464,0.361007"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.139907,0.147187,0.161247,0.188251,0.240172,0.339817,0.531610", \ - "0.141960,0.149275,0.163384,0.190486,0.242533,0.342220,0.534117", \ - "0.155021,0.162347,0.176461,0.203744,0.255991,0.356024,0.548229", \ - "0.186193,0.193425,0.207193,0.234099,0.285963,0.385729,0.577814", \ - "0.239479,0.246558,0.259792,0.285919,0.336794,0.435452,0.626352", \ - "0.304354,0.313144,0.329538,0.359478,0.412138,0.508710,0.697643", \ - "0.373538,0.384018,0.403581,0.439374,0.502312,0.608281,0.794579"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019912,0.022083,0.026381,0.034726,0.050997,0.082585,0.144139", \ - "0.019909,0.022086,0.026382,0.034721,0.050971,0.082605,0.144145", \ - "0.019980,0.022140,0.026393,0.034722,0.050995,0.082599,0.144138", \ - "0.023822,0.025919,0.030009,0.037849,0.052664,0.082777,0.144132", \ - "0.031289,0.033421,0.037576,0.045477,0.060535,0.089265,0.145844", \ - "0.044515,0.046726,0.051003,0.058893,0.073417,0.101610,0.156524", \ - "0.063600,0.066076,0.070786,0.078973,0.093265,0.120222,0.173853"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.086638,0.093053,0.105824,0.129915,0.176597,0.266666,0.440960", \ - "0.086639,0.093049,0.105822,0.129918,0.176705,0.266675,0.440958", \ - "0.086635,0.093053,0.105783,0.129878,0.176578,0.266726,0.440976", \ - "0.086630,0.093056,0.105821,0.129818,0.176475,0.266722,0.440959", \ - "0.092909,0.098318,0.109293,0.131073,0.176357,0.266702,0.440965", \ - "0.116293,0.121885,0.132614,0.150967,0.187387,0.267791,0.440987", \ - "0.142778,0.149405,0.162074,0.183878,0.221202,0.288386,0.442549"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.039735,0.041853,0.045976,0.053982,0.069533,0.099781,0.158663", \ - "0.045325,0.047479,0.051651,0.059726,0.075348,0.105656,0.164590", \ - "0.061150,0.063380,0.067661,0.075862,0.091629,0.122090,0.181144", \ - "0.082446,0.085210,0.090287,0.099836,0.117173,0.148324,0.207561", \ - "0.103410,0.107169,0.113908,0.125961,0.147194,0.183593,0.246576", \ - "0.119127,0.124433,0.133739,0.150209,0.177804,0.222115,0.294308", \ - "0.126115,0.133324,0.145953,0.167978,0.204420,0.260403,0.345561"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.146097,0.153392,0.167476,0.194530,0.246560,0.346303,0.538292", \ - "0.148222,0.155561,0.169662,0.196889,0.248990,0.348874,0.540907", \ - "0.161136,0.168485,0.182663,0.209997,0.262345,0.362556,0.554920", \ - "0.192256,0.199496,0.213289,0.240291,0.292222,0.392179,0.584449", \ - "0.245561,0.252404,0.265840,0.292062,0.343078,0.441812,0.632958", \ - "0.312118,0.320759,0.336908,0.366307,0.418349,0.515183,0.704300", \ - "0.383043,0.393338,0.412605,0.447860,0.509983,0.614986,0.801310"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016497,0.018297,0.021849,0.028770,0.042230,0.068449,0.119445", \ - "0.016495,0.018295,0.021849,0.028767,0.042228,0.068432,0.119451", \ - "0.016707,0.018456,0.021922,0.028781,0.042241,0.068442,0.119459", \ - "0.021181,0.022903,0.026271,0.032681,0.044783,0.069073,0.119433", \ - "0.029811,0.031593,0.035064,0.041600,0.053845,0.077213,0.122540", \ - "0.043963,0.045979,0.049724,0.056567,0.068684,0.091477,0.135806", \ - "0.063545,0.065873,0.070309,0.077938,0.090583,0.112855,0.155695"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.089213,0.095648,0.108415,0.132709,0.179176,0.269425,0.443778", \ - "0.089224,0.095647,0.108387,0.132764,0.179198,0.269434,0.443796", \ - "0.089220,0.095646,0.108402,0.132592,0.179358,0.269484,0.443794", \ - "0.089233,0.095661,0.108343,0.132494,0.179216,0.269472,0.443795", \ - "0.094246,0.099799,0.111052,0.133291,0.178987,0.269408,0.443780", \ - "0.117053,0.122619,0.133244,0.151589,0.188761,0.270133,0.443778", \ - "0.143488,0.150051,0.162542,0.184372,0.221641,0.289504,0.444998"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.048572,0.050963,0.055556,0.064349,0.081148,0.113340,0.175421", \ - "0.054211,0.056620,0.061251,0.070096,0.086951,0.119200,0.181322", \ - "0.069295,0.071743,0.076388,0.085294,0.102255,0.134624,0.196857", \ - "0.091661,0.094437,0.099556,0.109281,0.127119,0.159623,0.221944", \ - "0.115872,0.119321,0.125627,0.137258,0.158300,0.195047,0.259748", \ - "0.137525,0.142079,0.150280,0.165266,0.191264,0.234740,0.307710", \ - "0.152387,0.158473,0.169322,0.188851,0.222099,0.275313,0.359727"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.153336,0.160506,0.174451,0.201329,0.252986,0.352385,0.544039", \ - "0.155431,0.162640,0.176667,0.203611,0.255378,0.354874,0.546682", \ - "0.168510,0.175766,0.189851,0.216881,0.268880,0.368685,0.560769", \ - "0.199635,0.206791,0.220456,0.247217,0.298789,0.398427,0.590362", \ - "0.252490,0.259379,0.272790,0.298872,0.349545,0.448071,0.638902", \ - "0.320636,0.328995,0.344703,0.373468,0.424604,0.521267,0.710299", \ - "0.393020,0.402999,0.421743,0.456253,0.517311,0.621071,0.807266"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022933,0.024797,0.028415,0.035487,0.049214,0.075990,0.128192", \ - "0.022937,0.024799,0.028419,0.035497,0.049236,0.076004,0.128212", \ - "0.022816,0.024681,0.028338,0.035436,0.049220,0.075991,0.128210", \ - "0.026493,0.028254,0.031685,0.038226,0.050637,0.076146,0.128177", \ - "0.034123,0.035946,0.039495,0.046252,0.058978,0.083059,0.130279", \ - "0.047326,0.049235,0.052955,0.059739,0.072387,0.096375,0.142310", \ - "0.066257,0.068388,0.072551,0.079905,0.092657,0.116070,0.161177"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.109159,0.116160,0.129863,0.156021,0.205736,0.299009,0.475412", \ - "0.109187,0.116209,0.129918,0.156083,0.205723,0.299064,0.475382", \ - "0.109157,0.116174,0.129937,0.155983,0.205563,0.299014,0.475358", \ - "0.109171,0.116178,0.129862,0.156025,0.205583,0.299062,0.475367", \ - "0.113827,0.120091,0.132279,0.156728,0.205489,0.298955,0.475407", \ - "0.139732,0.145139,0.155125,0.174448,0.214856,0.299765,0.475362", \ - "0.173811,0.179880,0.191554,0.212295,0.248317,0.318871,0.476540"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.042109,0.044327,0.048624,0.056983,0.073218,0.104807,0.166315", \ - "0.047674,0.049912,0.054264,0.062693,0.079004,0.110657,0.172221", \ - "0.062431,0.064754,0.069213,0.077769,0.094242,0.126057,0.187744", \ - "0.082732,0.085557,0.090766,0.100622,0.118556,0.150984,0.212861", \ - "0.103371,0.107101,0.113864,0.126107,0.147789,0.185263,0.250493", \ - "0.119168,0.124423,0.133748,0.150204,0.177991,0.223093,0.297283", \ - "0.126410,0.133527,0.146189,0.168182,0.204729,0.261081,0.347746"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.150473,0.157629,0.171531,0.198266,0.249878,0.349167,0.540520", \ - "0.152553,0.159745,0.173708,0.200589,0.252251,0.351597,0.543107", \ - "0.165625,0.172882,0.186813,0.213854,0.265749,0.365433,0.557216", \ - "0.196768,0.203932,0.217528,0.244212,0.295731,0.395170,0.586806", \ - "0.249745,0.256608,0.269969,0.295972,0.346555,0.444852,0.635395", \ - "0.317072,0.325516,0.341306,0.370285,0.421724,0.518182,0.706761", \ - "0.388615,0.398694,0.417601,0.452308,0.513742,0.617918,0.803816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017931,0.019801,0.023450,0.030579,0.044364,0.071181,0.123379", \ - "0.017938,0.019801,0.023449,0.030580,0.044365,0.071185,0.123389", \ - "0.018056,0.019884,0.023493,0.030560,0.044364,0.071184,0.123380", \ - "0.022432,0.024223,0.027699,0.034278,0.046681,0.071704,0.123371", \ - "0.030990,0.032793,0.036345,0.043008,0.055571,0.079523,0.126132", \ - "0.045469,0.047415,0.051074,0.057826,0.070065,0.093438,0.138935", \ - "0.065794,0.067981,0.072120,0.079304,0.091792,0.114264,0.158336"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.091121,0.097610,0.110466,0.134881,0.181599,0.272264,0.446795", \ - "0.091129,0.097607,0.110466,0.134758,0.181753,0.272150,0.446790", \ - "0.091117,0.097606,0.110412,0.134809,0.181650,0.272213,0.446775", \ - "0.091124,0.097615,0.110446,0.134721,0.181754,0.272213,0.446782", \ - "0.096149,0.101772,0.113115,0.135643,0.181518,0.272181,0.446793", \ - "0.119311,0.124830,0.135412,0.153645,0.191203,0.272994,0.446799", \ - "0.146532,0.153035,0.165368,0.187045,0.224136,0.292204,0.448105"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.035755,0.037513,0.040938,0.047590,0.060499,0.085607,0.134488", \ - "0.041353,0.043141,0.046608,0.053312,0.066285,0.091443,0.140363", \ - "0.057581,0.059446,0.063014,0.069823,0.082920,0.108209,0.157228", \ - "0.079606,0.082085,0.086650,0.095053,0.110097,0.136637,0.185837", \ - "0.101017,0.104553,0.110947,0.122260,0.141827,0.174346,0.228846", \ - "0.116642,0.121749,0.130827,0.146656,0.173201,0.214800,0.279842", \ - "0.123240,0.130244,0.142710,0.164180,0.199740,0.253897,0.333777"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.157249,0.164453,0.178313,0.205105,0.256712,0.356017,0.547486", \ - "0.159425,0.166629,0.180567,0.207480,0.259231,0.358696,0.550260", \ - "0.172349,0.179611,0.193577,0.220584,0.272621,0.372359,0.564323", \ - "0.203456,0.210616,0.224264,0.250898,0.302474,0.401978,0.593771", \ - "0.256238,0.263169,0.276617,0.302650,0.353376,0.451664,0.642333", \ - "0.325251,0.333534,0.349067,0.377608,0.428460,0.525102,0.713777", \ - "0.398577,0.408466,0.427044,0.461154,0.521837,0.624936,0.810948"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014320,0.015799,0.018721,0.024415,0.035450,0.056887,0.098555", \ - "0.014306,0.015799,0.018718,0.024423,0.035440,0.056872,0.098552", \ - "0.014696,0.016092,0.018863,0.024453,0.035437,0.056888,0.098551", \ - "0.019904,0.021328,0.024092,0.029293,0.039052,0.058091,0.098548", \ - "0.029779,0.031308,0.034243,0.039686,0.049559,0.068136,0.103565", \ - "0.045136,0.046898,0.050206,0.056197,0.066362,0.084739,0.119591", \ - "0.066037,0.068064,0.071897,0.078637,0.089835,0.108548,0.142597"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.093853,0.100343,0.113176,0.137661,0.184703,0.275180,0.449873", \ - "0.093850,0.100348,0.113157,0.137660,0.184674,0.275213,0.449877", \ - "0.093843,0.100342,0.113156,0.137657,0.184489,0.275184,0.449870", \ - "0.093847,0.100342,0.113170,0.137583,0.184550,0.275177,0.449869", \ - "0.097805,0.103552,0.115126,0.138111,0.184418,0.275081,0.449878", \ - "0.120207,0.125705,0.136143,0.154595,0.192852,0.275629,0.449871", \ - "0.147434,0.153894,0.166087,0.187787,0.224733,0.293648,0.450848"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.071895,0.074767,0.080316,0.090895,0.110987,0.149192,0.222241", \ - "0.076910,0.079807,0.085343,0.095932,0.116046,0.154242,0.227295", \ - "0.091667,0.094540,0.100120,0.110716,0.130851,0.169085,0.242175", \ - "0.114979,0.117956,0.123594,0.134351,0.154503,0.192746,0.265880", \ - "0.142558,0.145999,0.152350,0.164450,0.186585,0.226908,0.300540", \ - "0.170847,0.174957,0.182319,0.196465,0.222253,0.267452,0.346931", \ - "0.196361,0.201408,0.210482,0.227522,0.257887,0.310127,0.398530"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.159138,0.166372,0.180590,0.207757,0.259782,0.359532,0.552008", \ - "0.163460,0.170729,0.184890,0.212131,0.264124,0.363991,0.556476", \ - "0.181023,0.188346,0.202554,0.229977,0.281966,0.381979,0.574637", \ - "0.211020,0.218271,0.232404,0.259604,0.311959,0.411863,0.604648", \ - "0.256020,0.263267,0.277450,0.304495,0.356509,0.456660,0.649414", \ - "0.312315,0.320631,0.336571,0.366075,0.419670,0.519671,0.712476", \ - "0.375629,0.385006,0.403007,0.436127,0.496128,0.602828,0.795662"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.035926,0.038182,0.042526,0.050985,0.067391,0.099209,0.161128", \ - "0.035933,0.038179,0.042543,0.051004,0.067385,0.099226,0.161121", \ - "0.035890,0.038144,0.042505,0.050968,0.067398,0.099212,0.161123", \ - "0.037554,0.039663,0.043758,0.051797,0.067683,0.099204,0.161123", \ - "0.043429,0.045695,0.050031,0.058244,0.073648,0.102864,0.161767", \ - "0.053078,0.055442,0.060051,0.068457,0.084450,0.113965,0.169857", \ - "0.068408,0.070853,0.075685,0.084334,0.100275,0.130032,0.186086"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.137381,0.144055,0.157031,0.182545,0.231119,0.323320,0.498864", \ - "0.137457,0.144026,0.156991,0.182564,0.231083,0.323325,0.498879", \ - "0.137426,0.144052,0.156981,0.182564,0.231054,0.323326,0.498878", \ - "0.137424,0.144054,0.156975,0.182476,0.231053,0.323313,0.498869", \ - "0.140149,0.146325,0.158496,0.182925,0.230923,0.323297,0.498872", \ - "0.157347,0.162601,0.172986,0.194182,0.237260,0.324193,0.498855", \ - "0.183319,0.188661,0.199068,0.219612,0.258463,0.336693,0.500326"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.062318,0.065150,0.070607,0.081026,0.100865,0.138715,0.211355", \ - "0.067335,0.070177,0.075624,0.086066,0.105906,0.143756,0.216408", \ - "0.082041,0.084881,0.090356,0.100820,0.120703,0.158591,0.231280", \ - "0.104560,0.107605,0.113301,0.124171,0.144280,0.182214,0.254975", \ - "0.130175,0.133727,0.140233,0.152584,0.175135,0.215816,0.289501", \ - "0.155223,0.159594,0.167395,0.182234,0.208900,0.255003,0.335258", \ - "0.175760,0.181397,0.191253,0.209702,0.241832,0.295791,0.385631"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.156222,0.163465,0.177604,0.204681,0.256644,0.356520,0.548514", \ - "0.160523,0.167793,0.181907,0.209050,0.261050,0.360795,0.552917", \ - "0.178085,0.185406,0.199569,0.226781,0.278871,0.378800,0.571066", \ - "0.208074,0.215354,0.229408,0.256617,0.308724,0.408706,0.601131", \ - "0.253122,0.260398,0.274535,0.301575,0.353527,0.453519,0.645877", \ - "0.308871,0.317219,0.333138,0.362835,0.416681,0.516542,0.708931", \ - "0.371642,0.381093,0.399117,0.432406,0.492651,0.599548,0.792210"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.031006,0.033189,0.037483,0.045857,0.062132,0.093801,0.155546", \ - "0.030993,0.033190,0.037502,0.045858,0.062136,0.093794,0.155547", \ - "0.030917,0.033132,0.037465,0.045822,0.062116,0.093796,0.155541", \ - "0.033382,0.035495,0.039532,0.047337,0.062789,0.093821,0.155578", \ - "0.039496,0.041757,0.046059,0.054161,0.069427,0.098384,0.156512", \ - "0.050090,0.052375,0.056900,0.065144,0.080735,0.109882,0.165477", \ - "0.066494,0.068949,0.073818,0.082222,0.097663,0.126683,0.182051"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.104605,0.111511,0.125032,0.151005,0.200361,0.293535,0.469555", \ - "0.104545,0.111479,0.125016,0.151038,0.200430,0.293432,0.469534", \ - "0.104542,0.111495,0.125016,0.151019,0.200254,0.293424,0.469534", \ - "0.104591,0.111490,0.125003,0.150946,0.200358,0.293410,0.469580", \ - "0.107402,0.113924,0.126506,0.151365,0.200194,0.293402,0.469563", \ - "0.123884,0.129825,0.141456,0.163105,0.206571,0.294284,0.469534", \ - "0.145668,0.151920,0.164095,0.186584,0.228117,0.306991,0.471055"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.052537,0.054901,0.059441,0.068097,0.084525,0.115784,0.175688", \ - "0.057702,0.060075,0.064616,0.073276,0.089710,0.120976,0.180884", \ - "0.073766,0.076125,0.080676,0.089341,0.105806,0.137106,0.197042", \ - "0.098457,0.101077,0.105949,0.115183,0.132160,0.163527,0.223530", \ - "0.125951,0.129172,0.135050,0.145973,0.165913,0.200821,0.262755", \ - "0.151990,0.156167,0.163573,0.177332,0.201653,0.243069,0.312824", \ - "0.172500,0.177934,0.187617,0.205378,0.236101,0.286317,0.367174"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.162440,0.169706,0.183810,0.211005,0.263037,0.362946,0.555165", \ - "0.166848,0.174160,0.188289,0.215511,0.267571,0.367495,0.559764", \ - "0.184288,0.191601,0.205796,0.233069,0.285348,0.385453,0.577862", \ - "0.214174,0.221498,0.235590,0.262832,0.314976,0.415320,0.607793", \ - "0.259222,0.266479,0.280697,0.307763,0.359868,0.459948,0.652482", \ - "0.316045,0.324315,0.340087,0.369497,0.423013,0.522859,0.715517", \ - "0.379985,0.389332,0.407112,0.440052,0.499893,0.606246,0.798779"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025749,0.027585,0.031153,0.038077,0.051536,0.077726,0.128827", \ - "0.025744,0.027579,0.031145,0.038065,0.051532,0.077730,0.128839", \ - "0.025644,0.027487,0.031070,0.038034,0.051514,0.077727,0.128823", \ - "0.028804,0.030549,0.033904,0.040283,0.052710,0.077895,0.128836", \ - "0.036012,0.037858,0.041415,0.048158,0.060744,0.084304,0.130860", \ - "0.048197,0.050156,0.053970,0.060911,0.073713,0.097611,0.142666", \ - "0.065653,0.067961,0.072323,0.079926,0.093095,0.116859,0.161611"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.107504,0.114424,0.127887,0.153921,0.203146,0.296351,0.472422", \ - "0.107472,0.114376,0.127895,0.153930,0.203247,0.296253,0.472409", \ - "0.107461,0.114399,0.127891,0.153891,0.203204,0.296287,0.472410", \ - "0.107445,0.114373,0.127888,0.153843,0.203161,0.296332,0.472407", \ - "0.109779,0.116325,0.128987,0.153930,0.203069,0.296306,0.472401", \ - "0.125502,0.131469,0.143190,0.164788,0.208771,0.296911,0.472403", \ - "0.147014,0.153243,0.165437,0.188065,0.229616,0.308935,0.473729"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.062318,0.065150,0.070607,0.081026,0.100865,0.138715,0.211355", \ - "0.067335,0.070177,0.075624,0.086066,0.105906,0.143756,0.216408", \ - "0.082041,0.084881,0.090356,0.100820,0.120703,0.158591,0.231280", \ - "0.104560,0.107605,0.113301,0.124171,0.144280,0.182214,0.254975", \ - "0.130175,0.133727,0.140233,0.152584,0.175135,0.215816,0.289501", \ - "0.155223,0.159594,0.167395,0.182234,0.208900,0.255003,0.335258", \ - "0.175760,0.181397,0.191253,0.209702,0.241832,0.295791,0.385631"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.156222,0.163465,0.177604,0.204681,0.256644,0.356520,0.548514", \ - "0.160523,0.167793,0.181907,0.209050,0.261050,0.360795,0.552917", \ - "0.178085,0.185406,0.199569,0.226781,0.278871,0.378800,0.571066", \ - "0.208074,0.215354,0.229408,0.256617,0.308724,0.408706,0.601131", \ - "0.253122,0.260398,0.274535,0.301575,0.353527,0.453519,0.645877", \ - "0.308871,0.317219,0.333138,0.362835,0.416681,0.516542,0.708931", \ - "0.371642,0.381093,0.399117,0.432406,0.492651,0.599548,0.792210"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.031006,0.033189,0.037483,0.045857,0.062132,0.093801,0.155546", \ - "0.030993,0.033190,0.037502,0.045858,0.062136,0.093794,0.155547", \ - "0.030917,0.033132,0.037465,0.045822,0.062116,0.093796,0.155541", \ - "0.033382,0.035495,0.039532,0.047337,0.062789,0.093821,0.155578", \ - "0.039496,0.041757,0.046059,0.054161,0.069427,0.098384,0.156512", \ - "0.050090,0.052375,0.056900,0.065144,0.080735,0.109882,0.165477", \ - "0.066494,0.068949,0.073818,0.082222,0.097663,0.126683,0.182051"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.104605,0.111511,0.125032,0.151005,0.200361,0.293535,0.469555", \ - "0.104545,0.111479,0.125016,0.151038,0.200430,0.293432,0.469534", \ - "0.104542,0.111495,0.125016,0.151019,0.200254,0.293424,0.469534", \ - "0.104591,0.111490,0.125003,0.150946,0.200358,0.293410,0.469580", \ - "0.107402,0.113924,0.126506,0.151365,0.200194,0.293402,0.469563", \ - "0.123884,0.129825,0.141456,0.163105,0.206571,0.294284,0.469534", \ - "0.145668,0.151920,0.164095,0.186584,0.228117,0.306991,0.471055"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.053336,0.056090,0.061390,0.071563,0.091026,0.128397,0.200552", \ - "0.058343,0.061105,0.066417,0.076593,0.096066,0.133444,0.205612", \ - "0.072982,0.075763,0.081098,0.091314,0.110842,0.148284,0.220468", \ - "0.094298,0.097381,0.103135,0.114085,0.134265,0.171858,0.244136", \ - "0.117414,0.121144,0.127929,0.140648,0.163662,0.204787,0.278645", \ - "0.138293,0.143044,0.151634,0.167405,0.195206,0.242338,0.323517", \ - "0.152611,0.158937,0.170115,0.190401,0.224911,0.281046,0.372574"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.153300,0.160574,0.174607,0.201601,0.253535,0.353128,0.544944", \ - "0.157608,0.164878,0.178940,0.205997,0.257910,0.357634,0.549400", \ - "0.175162,0.182470,0.196590,0.223727,0.275740,0.375624,0.567543", \ - "0.205139,0.212442,0.226419,0.253576,0.305600,0.405511,0.597605", \ - "0.250225,0.257524,0.271631,0.298637,0.350457,0.450247,0.642348", \ - "0.305460,0.313859,0.329784,0.359595,0.413695,0.513306,0.705378", \ - "0.367674,0.377220,0.395196,0.428702,0.489183,0.596288,0.788678"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025708,0.027903,0.032177,0.040499,0.056708,0.088313,0.149952", \ - "0.025697,0.027899,0.032159,0.040488,0.056705,0.088311,0.149976", \ - "0.025713,0.027895,0.032164,0.040483,0.056717,0.088315,0.149947", \ - "0.028898,0.031014,0.035089,0.042848,0.057918,0.088444,0.149911", \ - "0.035569,0.037753,0.041997,0.050024,0.065163,0.093971,0.151322", \ - "0.047427,0.049659,0.053981,0.062065,0.077187,0.105893,0.161162", \ - "0.065031,0.067512,0.072261,0.080577,0.095492,0.123550,0.178117"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.086635,0.093046,0.105848,0.129992,0.176617,0.266681,0.440968", \ - "0.086638,0.093056,0.105798,0.130023,0.176567,0.266761,0.440955", \ - "0.086637,0.093055,0.105803,0.130009,0.176551,0.266731,0.440968", \ - "0.086625,0.093046,0.105786,0.129856,0.176480,0.266717,0.440973", \ - "0.089737,0.095672,0.107433,0.130445,0.176316,0.266682,0.440976", \ - "0.103716,0.109610,0.121295,0.142229,0.182913,0.267489,0.440957", \ - "0.120846,0.127195,0.139832,0.162331,0.203446,0.280193,0.442532"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.045472,0.047758,0.052161,0.060583,0.076675,0.107515,0.167007", \ - "0.050634,0.052922,0.057330,0.065756,0.081854,0.112696,0.172167", \ - "0.066629,0.068929,0.073352,0.081804,0.097942,0.128827,0.188346", \ - "0.089855,0.092541,0.097489,0.106856,0.123972,0.155188,0.214782", \ - "0.114456,0.117879,0.124103,0.135504,0.155948,0.191532,0.253911", \ - "0.135778,0.140436,0.148600,0.163544,0.189298,0.231991,0.302869", \ - "0.149870,0.156049,0.167039,0.186754,0.220050,0.272898,0.355707"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.159498,0.166790,0.180844,0.207931,0.259904,0.359661,0.551610", \ - "0.163905,0.171223,0.185320,0.212420,0.264436,0.364228,0.556211", \ - "0.181347,0.188670,0.202819,0.229980,0.282193,0.382140,0.574308", \ - "0.211235,0.218559,0.232539,0.259768,0.311889,0.411925,0.604237", \ - "0.256333,0.263599,0.277738,0.304820,0.356812,0.456664,0.648920", \ - "0.312649,0.320975,0.336773,0.366262,0.420038,0.519721,0.711955", \ - "0.376057,0.385478,0.403320,0.436358,0.496457,0.602967,0.795248"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.021318,0.023147,0.026688,0.033590,0.047021,0.073213,0.124284", \ - "0.021300,0.023132,0.026685,0.033582,0.047013,0.073185,0.124229", \ - "0.021376,0.023177,0.026688,0.033585,0.047023,0.073181,0.124255", \ - "0.025250,0.026996,0.030360,0.036747,0.048922,0.073630,0.124220", \ - "0.033116,0.034928,0.038444,0.045071,0.057462,0.080934,0.126860", \ - "0.046309,0.048309,0.052063,0.058921,0.071360,0.094692,0.139412", \ - "0.064637,0.066959,0.071331,0.078998,0.091944,0.115006,0.158840"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.089211,0.095647,0.108440,0.132548,0.179204,0.269420,0.443766", \ - "0.089220,0.095645,0.108436,0.132542,0.179305,0.269482,0.443792", \ - "0.089220,0.095647,0.108438,0.132696,0.179177,0.269485,0.443796", \ - "0.089224,0.095649,0.108354,0.132536,0.179266,0.269475,0.443767", \ - "0.091717,0.097721,0.109596,0.132820,0.179046,0.269349,0.443804", \ - "0.105322,0.111224,0.122797,0.143824,0.184895,0.270018,0.443791", \ - "0.122274,0.128630,0.141156,0.163808,0.204931,0.282050,0.445107"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.055688,0.058137,0.062859,0.071881,0.089010,0.121639,0.184202", \ - "0.060778,0.063230,0.067958,0.076978,0.094118,0.126757,0.189323", \ - "0.075751,0.078223,0.082955,0.091995,0.109166,0.141842,0.204449", \ - "0.099321,0.102021,0.107038,0.116614,0.134242,0.166962,0.229621", \ - "0.126161,0.129415,0.135403,0.146617,0.167120,0.203180,0.267478", \ - "0.152034,0.156223,0.163695,0.177602,0.202309,0.244692,0.316474", \ - "0.172708,0.178100,0.187739,0.205593,0.236542,0.287390,0.369970"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.166715,0.173908,0.187877,0.214710,0.266343,0.365735,0.557417", \ - "0.171113,0.178277,0.192239,0.219207,0.270844,0.370247,0.561955", \ - "0.188681,0.195887,0.209909,0.236896,0.288686,0.388344,0.580177", \ - "0.218654,0.225853,0.239730,0.266695,0.318450,0.418256,0.610162", \ - "0.263679,0.270856,0.284843,0.311682,0.363373,0.462889,0.654864", \ - "0.320936,0.329027,0.344541,0.373549,0.426499,0.525867,0.717968", \ - "0.385292,0.394465,0.411918,0.444429,0.503683,0.609298,0.801230"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.027514,0.029383,0.033024,0.040103,0.053885,0.080711,0.133014", \ - "0.027507,0.029377,0.033018,0.040125,0.053887,0.080727,0.133024", \ - "0.027423,0.029310,0.032969,0.040096,0.053878,0.080723,0.133028", \ - "0.030455,0.032228,0.035642,0.042161,0.054932,0.080826,0.133015", \ - "0.037551,0.039425,0.043027,0.049881,0.062740,0.086861,0.134781", \ - "0.049701,0.051640,0.055427,0.062392,0.075419,0.099884,0.146064", \ - "0.067526,0.069699,0.073915,0.081367,0.094479,0.118683,0.164608"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.109170,0.116177,0.129941,0.156092,0.205630,0.299008,0.475369", \ - "0.109166,0.116197,0.129861,0.156122,0.205713,0.299060,0.475382", \ - "0.109148,0.116171,0.129880,0.156084,0.205689,0.299061,0.475364", \ - "0.109191,0.116210,0.129839,0.156035,0.205571,0.299093,0.475369", \ - "0.111455,0.118104,0.130993,0.156078,0.205569,0.299013,0.475367", \ - "0.127128,0.133141,0.144995,0.166908,0.211212,0.299642,0.475383", \ - "0.148997,0.155333,0.167578,0.190405,0.232014,0.311647,0.476668"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.048203,0.050584,0.055161,0.063941,0.080726,0.112920,0.175034", \ - "0.053291,0.055672,0.060257,0.069042,0.085834,0.118037,0.180149", \ - "0.068208,0.070607,0.075213,0.084030,0.100868,0.133118,0.195274", \ - "0.090363,0.093113,0.098230,0.107915,0.125660,0.158176,0.220402", \ - "0.114467,0.117918,0.124224,0.135866,0.156844,0.193500,0.258181", \ - "0.135762,0.140422,0.148647,0.163663,0.189727,0.233221,0.306142", \ - "0.150039,0.156211,0.167207,0.186874,0.220387,0.273725,0.358171"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.163835,0.171034,0.184875,0.211702,0.263237,0.362486,0.553855", \ - "0.168240,0.175410,0.189316,0.216126,0.267714,0.366987,0.558401", \ - "0.185802,0.193005,0.206971,0.233820,0.285575,0.384999,0.576621", \ - "0.215758,0.222981,0.236783,0.263697,0.315359,0.414849,0.606595", \ - "0.260832,0.267997,0.281963,0.308762,0.360294,0.459650,0.651308", \ - "0.317615,0.325759,0.341264,0.370367,0.423544,0.522733,0.714384", \ - "0.381460,0.390698,0.408183,0.440833,0.500259,0.606033,0.797703"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022954,0.024827,0.028449,0.035529,0.049271,0.076032,0.128305", \ - "0.022942,0.024812,0.028438,0.035504,0.049252,0.076035,0.128283", \ - "0.022974,0.024825,0.028439,0.035510,0.049258,0.076031,0.128299", \ - "0.026759,0.028532,0.031957,0.038489,0.050977,0.076398,0.128253", \ - "0.034508,0.036340,0.039900,0.046656,0.059341,0.083358,0.130598", \ - "0.047796,0.049721,0.053451,0.060273,0.072879,0.096794,0.142663", \ - "0.066679,0.068869,0.073045,0.080455,0.093205,0.116630,0.161650"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.091122,0.097610,0.110477,0.134756,0.181742,0.272177,0.446803", \ - "0.091129,0.097605,0.110484,0.134746,0.181678,0.272150,0.446806", \ - "0.091134,0.097607,0.110483,0.134912,0.181652,0.272158,0.446782", \ - "0.091115,0.097607,0.110487,0.134704,0.181724,0.272170,0.446808", \ - "0.093628,0.099694,0.111669,0.135023,0.181512,0.272146,0.446793", \ - "0.107360,0.113266,0.124885,0.145866,0.187332,0.272797,0.446789", \ - "0.124758,0.131108,0.143653,0.166231,0.207386,0.284733,0.448136"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.040149,0.042060,0.045734,0.052762,0.066168,0.091824,0.141242", \ - "0.045388,0.047301,0.050978,0.058010,0.071419,0.097077,0.146498", \ - "0.061792,0.063713,0.067400,0.074447,0.087887,0.113581,0.163030", \ - "0.086010,0.088388,0.092773,0.100964,0.115746,0.142113,0.191657", \ - "0.111344,0.114567,0.120400,0.130931,0.149619,0.181174,0.234985", \ - "0.132674,0.137191,0.145156,0.159484,0.184055,0.223746,0.287299", \ - "0.146355,0.152452,0.163255,0.182373,0.214888,0.265723,0.342968"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.170647,0.177814,0.191691,0.218475,0.270105,0.369382,0.560855", \ - "0.175148,0.182344,0.196289,0.223111,0.274739,0.374084,0.565619", \ - "0.192567,0.199808,0.213782,0.240724,0.292475,0.392028,0.583791", \ - "0.222485,0.229682,0.243502,0.270387,0.322126,0.421749,0.613625", \ - "0.267486,0.274670,0.288577,0.315486,0.367003,0.466417,0.658218", \ - "0.325306,0.333357,0.348705,0.377592,0.430336,0.529579,0.721284", \ - "0.390373,0.399478,0.416779,0.449065,0.507896,0.613101,0.804610"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018339,0.019835,0.022763,0.028410,0.039407,0.060797,0.102492", \ - "0.018309,0.019817,0.022738,0.028411,0.039401,0.060795,0.102482", \ - "0.018486,0.019926,0.022775,0.028399,0.039398,0.060789,0.102462", \ - "0.023232,0.024648,0.027377,0.032520,0.042206,0.061676,0.102478", \ - "0.032505,0.034005,0.036899,0.042343,0.052347,0.070986,0.106802", \ - "0.047028,0.048738,0.052051,0.057935,0.068216,0.086975,0.122270", \ - "0.066596,0.068640,0.072494,0.079353,0.090663,0.109882,0.144757"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.093853,0.100351,0.113199,0.137687,0.184475,0.275123,0.449880", \ - "0.093851,0.100355,0.113187,0.137610,0.184671,0.275106,0.449876", \ - "0.093845,0.100353,0.113190,0.137569,0.184638,0.275176,0.449872", \ - "0.093852,0.100345,0.113208,0.137603,0.184564,0.275113,0.449878", \ - "0.095822,0.101945,0.114103,0.137680,0.184518,0.275096,0.449871", \ - "0.109105,0.115019,0.126527,0.147654,0.189588,0.275586,0.449872", \ - "0.126388,0.132731,0.145163,0.167883,0.209076,0.286896,0.450984"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.152686,1.158169,1.167087,1.185590,1.217749,1.250785,1.286187", \ - "1.162813,1.169920,1.185023,1.202648,1.236912,1.273849,1.310507", \ - "1.150792,1.162930,1.171652,1.198425,1.235027,1.272311,1.320099", \ - "1.162863,1.168548,1.176273,1.200150,1.223133,1.271946,1.316436", \ - "1.273392,1.274697,1.262113,1.262100,1.261366,1.293346,1.330646", \ - "1.448800,1.445269,1.436305,1.425326,1.398539,1.366437,1.375961", \ - "1.706904,1.700695,1.685041,1.656769,1.613845,1.551888,1.481390"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.052489,3.058903,3.070086,3.072787,3.083552,3.073298,3.095338", \ - "3.000332,3.008866,3.025016,3.046179,3.073396,3.077959,3.105042", \ - "2.943773,2.947280,2.965088,2.983831,3.001508,3.040825,3.056102", \ - "2.981150,2.981326,2.984124,2.982897,2.982572,3.011125,3.026435", \ - "3.122784,3.118213,3.105327,3.078666,3.063568,3.039156,3.033675", \ - "3.357340,3.342649,3.318080,3.291325,3.238881,3.182852,3.117824", \ - "3.655325,3.646834,3.639602,3.594966,3.514235,3.415616,3.306904"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.797187,0.799701,0.807109,0.823994,0.832941,0.855946,0.876947", \ - "0.803336,0.807018,0.820265,0.833083,0.859117,0.878059,0.901224", \ - "0.785008,0.793339,0.799350,0.826126,0.843829,0.878241,0.910009", \ - "0.805913,0.803932,0.805438,0.822253,0.845970,0.872973,0.907475", \ - "0.927618,0.923175,0.910053,0.901327,0.883844,0.895464,0.918889", \ - "1.116895,1.106393,1.094565,1.064996,1.029173,0.980198,0.966295", \ - "1.388311,1.376817,1.353071,1.312727,1.251251,1.171181,1.076144"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.585626,2.593242,2.602058,2.602366,2.603790,2.616193,2.607890", \ - "2.532699,2.541214,2.554738,2.574695,2.593345,2.617143,2.612642", \ - "2.476983,2.482300,2.492206,2.504904,2.546656,2.555447,2.593120", \ - "2.516040,2.517281,2.516884,2.515338,2.528438,2.525793,2.564667", \ - "2.656873,2.652679,2.639119,2.608374,2.583104,2.581727,2.571117", \ - "2.895450,2.882282,2.863252,2.806587,2.758631,2.698043,2.656224", \ - "3.136384,3.130175,3.127562,3.129682,3.036299,2.959671,2.846217"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.789916,0.790415,0.801643,0.816811,0.825740,0.846782,0.871934", \ - "0.789145,0.795256,0.807210,0.827953,0.846649,0.873800,0.897102", \ - "0.779991,0.786247,0.795833,0.815554,0.843098,0.878092,0.903817", \ - "0.819300,0.817548,0.810982,0.819018,0.843239,0.874273,0.899814", \ - "0.942122,0.934614,0.922519,0.915456,0.900059,0.894748,0.913059", \ - "1.130631,1.118716,1.107268,1.078951,1.047221,0.999850,0.968813", \ - "1.399571,1.389167,1.366568,1.335196,1.271061,1.191228,1.098702"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.581266,2.587687,2.594802,2.606802,2.600050,2.613258,2.598500", \ - "2.523136,2.530574,2.547685,2.567705,2.584640,2.608463,2.605186", \ - "2.465339,2.472076,2.484253,2.497042,2.509396,2.544462,2.582957", \ - "2.513076,2.513946,2.509431,2.505631,2.494820,2.516714,2.553548", \ - "2.658306,2.651780,2.637532,2.614626,2.581665,2.577429,2.563695", \ - "2.901734,2.889728,2.869208,2.817958,2.762064,2.699274,2.653966", \ - "3.150173,3.144474,3.143913,3.138228,3.071335,2.966646,2.850227"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.797187,0.799701,0.807109,0.823994,0.832941,0.855946,0.876947", \ - "0.803336,0.807018,0.820265,0.833083,0.859117,0.878059,0.901224", \ - "0.785008,0.793339,0.799350,0.826126,0.843829,0.878241,0.910009", \ - "0.805913,0.803932,0.805438,0.822253,0.845970,0.872973,0.907475", \ - "0.927618,0.923175,0.910053,0.901327,0.883844,0.895464,0.918889", \ - "1.116895,1.106393,1.094565,1.064996,1.029173,0.980198,0.966295", \ - "1.388311,1.376817,1.353071,1.312727,1.251251,1.171181,1.076144"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.585626,2.593242,2.602058,2.602366,2.603790,2.616193,2.607890", \ - "2.532699,2.541214,2.554738,2.574695,2.593345,2.617143,2.612642", \ - "2.476983,2.482300,2.492206,2.504904,2.546656,2.555447,2.593120", \ - "2.516040,2.517281,2.516884,2.515338,2.528438,2.525793,2.564667", \ - "2.656873,2.652679,2.639119,2.608374,2.583104,2.581727,2.571117", \ - "2.895450,2.882282,2.863252,2.806587,2.758631,2.698043,2.656224", \ - "3.136384,3.130175,3.127562,3.129682,3.036299,2.959671,2.846217"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.456479,0.458435,0.456380,0.455220,0.458548,0.465309,0.468544", \ - "0.456051,0.459055,0.463982,0.471452,0.483580,0.486294,0.494657", \ - "0.422718,0.430747,0.439086,0.456697,0.471262,0.485511,0.500567", \ - "0.464794,0.456382,0.446723,0.443626,0.460521,0.477314,0.496369", \ - "0.593120,0.583196,0.563999,0.541985,0.509009,0.500147,0.508521", \ - "0.796509,0.782588,0.759925,0.714475,0.660374,0.592938,0.558011", \ - "1.088106,1.068494,1.040527,0.982731,0.899577,0.790536,0.673812"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.121477,2.128765,2.134928,2.127623,2.131971,2.144302,2.164882", \ - "2.067547,2.080222,2.085395,2.098137,2.121559,2.136756,2.155356", \ - "2.013117,2.019027,2.031457,2.049426,2.075561,2.113352,2.136848", \ - "2.053363,2.053669,2.049009,2.051906,2.057382,2.075783,2.107579", \ - "2.195922,2.187347,2.169866,2.156308,2.110101,2.102709,2.114693", \ - "2.345979,2.356870,2.380735,2.362041,2.312912,2.247333,2.199694", \ - "2.614707,2.612714,2.608926,2.615594,2.594240,2.476819,2.369363"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.458080,0.459419,0.459757,0.460178,0.465898,0.469957,0.467272", \ - "0.456198,0.458256,0.461141,0.473521,0.479432,0.489995,0.496153", \ - "0.427356,0.432578,0.441402,0.454348,0.469765,0.488932,0.503627", \ - "0.480284,0.475285,0.466511,0.452204,0.464760,0.482241,0.498869", \ - "0.606603,0.596538,0.582569,0.562118,0.528476,0.502700,0.510802", \ - "0.811777,0.796949,0.770909,0.735189,0.681410,0.617501,0.561307", \ - "1.100273,1.083441,1.053218,1.004406,0.919277,0.814141,0.702032"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.117468,2.124963,2.130307,2.124691,2.127492,2.132240,2.142196", \ - "2.058708,2.065799,2.081614,2.084041,2.112330,2.129192,2.147580", \ - "2.006086,2.010627,2.016939,2.031267,2.065184,2.093369,2.126434", \ - "2.051187,2.047858,2.045693,2.034543,2.050756,2.066723,2.096706", \ - "2.197042,2.193354,2.174610,2.154295,2.107965,2.099025,2.107137", \ - "2.354586,2.365019,2.382922,2.365159,2.316307,2.248612,2.197203", \ - "2.624269,2.621342,2.623851,2.624543,2.602536,2.483736,2.395784"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.789916,0.790415,0.801643,0.816811,0.825740,0.846782,0.871934", \ - "0.789145,0.795256,0.807210,0.827953,0.846649,0.873800,0.897102", \ - "0.779991,0.786247,0.795833,0.815554,0.843098,0.878092,0.903817", \ - "0.819300,0.817548,0.810982,0.819018,0.843239,0.874273,0.899814", \ - "0.942122,0.934614,0.922519,0.915456,0.900059,0.894748,0.913059", \ - "1.130631,1.118716,1.107268,1.078951,1.047221,0.999850,0.968813", \ - "1.399571,1.389167,1.366568,1.335196,1.271061,1.191228,1.098702"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.581266,2.587687,2.594802,2.606802,2.600050,2.613258,2.598500", \ - "2.523136,2.530574,2.547685,2.567705,2.584640,2.608463,2.605186", \ - "2.465339,2.472076,2.484253,2.497042,2.509396,2.544462,2.582957", \ - "2.513076,2.513946,2.509431,2.505631,2.494820,2.516714,2.553548", \ - "2.658306,2.651780,2.637532,2.614626,2.581665,2.577429,2.563695", \ - "2.901734,2.889728,2.869208,2.817958,2.762064,2.699274,2.653966", \ - "3.150173,3.144474,3.143913,3.138228,3.071335,2.966646,2.850227"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.458080,0.459419,0.459757,0.460178,0.465898,0.469957,0.467272", \ - "0.456198,0.458256,0.461141,0.473521,0.479432,0.489995,0.496153", \ - "0.427356,0.432578,0.441402,0.454348,0.469765,0.488932,0.503627", \ - "0.480284,0.475285,0.466511,0.452204,0.464760,0.482241,0.498869", \ - "0.606603,0.596538,0.582569,0.562118,0.528476,0.502700,0.510802", \ - "0.811777,0.796949,0.770909,0.735189,0.681410,0.617501,0.561307", \ - "1.100273,1.083441,1.053218,1.004406,0.919277,0.814141,0.702032"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.117468,2.124963,2.130307,2.124691,2.127492,2.132240,2.142196", \ - "2.058708,2.065799,2.081614,2.084041,2.112330,2.129192,2.147580", \ - "2.006086,2.010627,2.016939,2.031267,2.065184,2.093369,2.126434", \ - "2.051187,2.047858,2.045693,2.034543,2.050756,2.066723,2.096706", \ - "2.197042,2.193354,2.174610,2.154295,2.107965,2.099025,2.107137", \ - "2.354586,2.365019,2.382922,2.365159,2.316307,2.248612,2.197203", \ - "2.624269,2.621342,2.623851,2.624543,2.602536,2.483736,2.395784"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.460747,0.457090,0.457442,0.465450,0.461042,0.470311,0.469996", \ - "0.458388,0.457035,0.461154,0.472811,0.480179,0.491815,0.495676", \ - "0.432046,0.437964,0.447166,0.457363,0.472170,0.489622,0.502920", \ - "0.492937,0.491889,0.480516,0.472346,0.468922,0.481957,0.495633", \ - "0.619422,0.611511,0.598443,0.574767,0.550882,0.513264,0.514541", \ - "0.826389,0.812132,0.788147,0.748430,0.701755,0.641741,0.573978", \ - "1.117214,1.099255,1.073370,1.018244,0.944162,0.840023,0.730683"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.116917,2.123100,2.133256,2.123172,2.127156,2.132174,2.141467", \ - "2.047996,2.057589,2.071950,2.089588,2.106854,2.123337,2.142451", \ - "1.993987,1.999726,2.006366,2.023415,2.053986,2.081744,2.115184", \ - "2.048900,2.045107,2.043091,2.035540,2.042989,2.056838,2.084932", \ - "2.203380,2.195462,2.177354,2.148734,2.107386,2.095006,2.099067", \ - "2.360235,2.368134,2.387806,2.376152,2.320258,2.250490,2.195138", \ - "2.638480,2.633959,2.639825,2.633989,2.611396,2.491327,2.400469"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.488041,1.497092,1.504195,1.534806,1.560442,1.607321,1.657692", \ - "1.452847,1.463865,1.468874,1.499180,1.526915,1.573598,1.626211", \ - "1.415914,1.426412,1.438470,1.464732,1.500770,1.548738,1.602583", \ - "1.423860,1.424816,1.437354,1.456751,1.499063,1.545892,1.596866", \ - "1.529852,1.530288,1.523179,1.520592,1.523640,1.563666,1.610660", \ - "1.712188,1.707802,1.704279,1.695488,1.676217,1.645188,1.665776", \ - "1.972702,1.969995,1.957679,1.938966,1.903163,1.847763,1.784736"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.627436,3.630570,3.634769,3.637991,3.632247,3.645459,3.637420", \ - "3.598552,3.607912,3.619998,3.617733,3.647194,3.643178,3.669129", \ - "3.531538,3.536080,3.548712,3.565952,3.586279,3.620148,3.630990", \ - "3.501423,3.502128,3.506624,3.510699,3.537312,3.573432,3.592177", \ - "3.524450,3.526408,3.522511,3.502201,3.518562,3.542500,3.555550", \ - "3.606668,3.603025,3.594611,3.574962,3.586343,3.571673,3.585550", \ - "3.734846,3.737770,3.744595,3.742764,3.688345,3.660231,3.615218"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.124034,1.129995,1.136019,1.159723,1.176383,1.211363,1.248239", \ - "1.088364,1.094790,1.099811,1.110470,1.143027,1.178183,1.215591", \ - "1.049394,1.057687,1.064198,1.091563,1.116565,1.152467,1.191795", \ - "1.055085,1.056927,1.070818,1.079735,1.114493,1.149455,1.185878", \ - "1.181601,1.178441,1.167106,1.158673,1.143505,1.180682,1.204206", \ - "1.374310,1.369025,1.357464,1.340115,1.309484,1.259770,1.257355", \ - "1.645206,1.636229,1.622677,1.588786,1.538526,1.468016,1.373945"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.161079,3.165215,3.171440,3.168057,3.177017,3.187915,3.175170", \ - "3.131301,3.140259,3.144993,3.162847,3.166032,3.184845,3.208054", \ - "3.064704,3.071029,3.080555,3.097319,3.131447,3.134542,3.168082", \ - "3.035478,3.036346,3.033197,3.041271,3.057187,3.088993,3.131004", \ - "3.055670,3.059202,3.048398,3.048391,3.063924,3.057866,3.093791", \ - "3.137788,3.139666,3.130738,3.105292,3.105938,3.102376,3.093432", \ - "3.217412,3.220224,3.225537,3.255034,3.233521,3.203865,3.154456"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.106376,1.113998,1.118294,1.138178,1.158069,1.201832,1.233672", \ - "1.068227,1.075612,1.085662,1.103001,1.130202,1.168648,1.201322", \ - "1.031097,1.040217,1.044007,1.066199,1.099285,1.141558,1.177174", \ - "1.053016,1.053433,1.057895,1.073660,1.102998,1.137322,1.169667", \ - "1.187956,1.186890,1.179988,1.167536,1.152545,1.165554,1.190616", \ - "1.385454,1.376746,1.369523,1.349540,1.321091,1.274977,1.253699", \ - "1.658553,1.649967,1.637488,1.606856,1.559031,1.482511,1.391957"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.157035,3.162590,3.162830,3.165662,3.174092,3.185976,3.171271", \ - "3.123310,3.126421,3.140943,3.153878,3.157747,3.190350,3.200801", \ - "3.058476,3.062588,3.069776,3.094799,3.095473,3.125388,3.158735", \ - "3.032417,3.029953,3.034797,3.033120,3.050122,3.078618,3.118900", \ - "3.054851,3.056273,3.048449,3.054530,3.059423,3.051258,3.086357", \ - "3.137507,3.137663,3.129093,3.121731,3.104134,3.099455,3.085835", \ - "3.220007,3.224749,3.229214,3.255678,3.234097,3.202959,3.151587"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.124034,1.129995,1.136019,1.159723,1.176383,1.211363,1.248239", \ - "1.088364,1.094790,1.099811,1.110470,1.143027,1.178183,1.215591", \ - "1.049394,1.057687,1.064198,1.091563,1.116565,1.152467,1.191795", \ - "1.055085,1.056927,1.070818,1.079735,1.114493,1.149455,1.185878", \ - "1.181601,1.178441,1.167106,1.158673,1.143505,1.180682,1.204206", \ - "1.374310,1.369025,1.357464,1.340115,1.309484,1.259770,1.257355", \ - "1.645206,1.636229,1.622677,1.588786,1.538526,1.468016,1.373945"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.161079,3.165215,3.171440,3.168057,3.177017,3.187915,3.175170", \ - "3.131301,3.140259,3.144993,3.162847,3.166032,3.184845,3.208054", \ - "3.064704,3.071029,3.080555,3.097319,3.131447,3.134542,3.168082", \ - "3.035478,3.036346,3.033197,3.041271,3.057187,3.088993,3.131004", \ - "3.055670,3.059202,3.048398,3.048391,3.063924,3.057866,3.093791", \ - "3.137788,3.139666,3.130738,3.105292,3.105938,3.102376,3.093432", \ - "3.217412,3.220224,3.225537,3.255034,3.233521,3.203865,3.154456"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.768657,0.771367,0.771789,0.782536,0.796678,0.817158,0.837498", \ - "0.732370,0.734853,0.744175,0.746055,0.763215,0.784590,0.805652", \ - "0.689254,0.697349,0.703108,0.720285,0.734720,0.758440,0.781152", \ - "0.706469,0.702570,0.703174,0.715819,0.732514,0.754817,0.775577", \ - "0.843965,0.836583,0.827026,0.804552,0.775565,0.786408,0.795071", \ - "1.046375,1.039545,1.021486,0.986718,0.941598,0.878209,0.854226", \ - "1.331970,1.322477,1.296451,1.256110,1.185926,1.088072,0.974229"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.697247,2.703286,2.707875,2.703207,2.705035,2.708820,2.718531", \ - "2.668349,2.671152,2.678589,2.677862,2.692654,2.704125,2.719341", \ - "2.600283,2.604361,2.611138,2.618929,2.660279,2.684594,2.712350", \ - "2.571506,2.568952,2.575038,2.569704,2.583609,2.639071,2.673610", \ - "2.593291,2.591231,2.582647,2.566106,2.592269,2.607168,2.636605", \ - "2.589000,2.607355,2.633309,2.658233,2.631693,2.622036,2.636431", \ - "2.691703,2.694474,2.716226,2.741952,2.787887,2.720374,2.698786"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.755531,0.763259,0.766792,0.782420,0.791767,0.814565,0.832620", \ - "0.723031,0.723619,0.732371,0.748413,0.756896,0.776677,0.800447", \ - "0.682425,0.687288,0.696525,0.710397,0.725988,0.748603,0.775603", \ - "0.717118,0.714899,0.703919,0.712399,0.728053,0.743715,0.769711", \ - "0.856596,0.848964,0.833254,0.822349,0.792334,0.783793,0.790983", \ - "1.057445,1.049574,1.027380,1.005552,0.957551,0.898124,0.854368", \ - "1.344374,1.334525,1.313548,1.270804,1.204025,1.109829,0.997159"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.693471,2.695870,2.694416,2.691903,2.701531,2.705323,2.714668", \ - "2.659335,2.664132,2.677118,2.679106,2.684890,2.696547,2.711907", \ - "2.594639,2.597024,2.608395,2.616340,2.651271,2.675583,2.703084", \ - "2.569021,2.570624,2.567301,2.567504,2.576863,2.629487,2.663520", \ - "2.591739,2.589725,2.590664,2.583108,2.588433,2.601151,2.628855", \ - "2.588887,2.603526,2.640327,2.658922,2.629529,2.619004,2.630006", \ - "2.694415,2.698643,2.718818,2.743489,2.788629,2.719473,2.695470"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.106376,1.113998,1.118294,1.138178,1.158069,1.201832,1.233672", \ - "1.068227,1.075612,1.085662,1.103001,1.130202,1.168648,1.201322", \ - "1.031097,1.040217,1.044007,1.066199,1.099285,1.141558,1.177174", \ - "1.053016,1.053433,1.057895,1.073660,1.102998,1.137322,1.169667", \ - "1.187956,1.186890,1.179988,1.167536,1.152545,1.165554,1.190616", \ - "1.385454,1.376746,1.369523,1.349540,1.321091,1.274977,1.253699", \ - "1.658553,1.649967,1.637488,1.606856,1.559031,1.482511,1.391957"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.157035,3.162590,3.162830,3.165662,3.174092,3.185976,3.171271", \ - "3.123310,3.126421,3.140943,3.153878,3.157747,3.190350,3.200801", \ - "3.058476,3.062588,3.069776,3.094799,3.095473,3.125388,3.158735", \ - "3.032417,3.029953,3.034797,3.033120,3.050122,3.078618,3.118900", \ - "3.054851,3.056273,3.048449,3.054530,3.059423,3.051258,3.086357", \ - "3.137507,3.137663,3.129093,3.121731,3.104134,3.099455,3.085835", \ - "3.220007,3.224749,3.229214,3.255678,3.234097,3.202959,3.151587"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.755531,0.763259,0.766792,0.782420,0.791767,0.814565,0.832620", \ - "0.723031,0.723619,0.732371,0.748413,0.756896,0.776677,0.800447", \ - "0.682425,0.687288,0.696525,0.710397,0.725988,0.748603,0.775603", \ - "0.717118,0.714899,0.703919,0.712399,0.728053,0.743715,0.769711", \ - "0.856596,0.848964,0.833254,0.822349,0.792334,0.783793,0.790983", \ - "1.057445,1.049574,1.027380,1.005552,0.957551,0.898124,0.854368", \ - "1.344374,1.334525,1.313548,1.270804,1.204025,1.109829,0.997159"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.693471,2.695870,2.694416,2.691903,2.701531,2.705323,2.714668", \ - "2.659335,2.664132,2.677118,2.679106,2.684890,2.696547,2.711907", \ - "2.594639,2.597024,2.608395,2.616340,2.651271,2.675583,2.703084", \ - "2.569021,2.570624,2.567301,2.567504,2.576863,2.629487,2.663520", \ - "2.591739,2.589725,2.590664,2.583108,2.588433,2.601151,2.628855", \ - "2.588887,2.603526,2.640327,2.658922,2.629529,2.619004,2.630006", \ - "2.694415,2.698643,2.718818,2.743489,2.788629,2.719473,2.695470"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.738413,0.740256,0.752848,0.765515,0.782717,0.802449,0.819674", \ - "0.702015,0.709945,0.719719,0.731931,0.749680,0.770644,0.792342", \ - "0.664564,0.673167,0.681320,0.694333,0.720356,0.746213,0.768363", \ - "0.724822,0.722015,0.717265,0.707427,0.721501,0.742401,0.764029", \ - "0.863707,0.858983,0.847869,0.830486,0.803163,0.776877,0.789293", \ - "1.068799,1.058981,1.040409,1.018550,0.974913,0.916115,0.850094", \ - "1.357828,1.346984,1.326539,1.285674,1.221876,1.130667,1.019783"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.692996,2.699286,2.700978,2.693617,2.701043,2.704633,2.713915", \ - "2.649406,2.659812,2.669383,2.666469,2.680066,2.691664,2.706914", \ - "2.584075,2.589079,2.600428,2.611989,2.641769,2.665346,2.692874", \ - "2.562071,2.565273,2.560559,2.573014,2.570508,2.590029,2.641192", \ - "2.590130,2.588370,2.582551,2.584046,2.583764,2.594623,2.619614", \ - "2.588763,2.606143,2.635712,2.662222,2.628185,2.615023,2.625293", \ - "2.697253,2.701636,2.718477,2.745113,2.789340,2.718749,2.692402"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.160743,1.163647,1.177945,1.189527,1.217925,1.253581,1.289136", \ - "1.168749,1.175506,1.188314,1.205866,1.232754,1.272018,1.312833", \ - "1.148659,1.158353,1.173623,1.192138,1.233253,1.274424,1.319393", \ - "1.112848,1.123121,1.137158,1.157052,1.203505,1.252575,1.306087", \ - "1.152150,1.155581,1.157128,1.165917,1.189564,1.235322,1.288481", \ - "1.235189,1.234523,1.228942,1.234198,1.241744,1.247341,1.288532", \ - "1.374734,1.371989,1.370110,1.359215,1.350194,1.340750,1.324889"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.160522,4.165110,4.176935,4.190851,4.189771,4.210456,4.250667", \ - "4.124582,4.128352,4.141592,4.154924,4.173762,4.201037,4.245280", \ - "4.048437,4.057241,4.069507,4.084380,4.125501,4.168497,4.194723", \ - "4.062334,4.064746,4.065484,4.068085,4.106824,4.123449,4.171317", \ - "4.176772,4.173284,4.169618,4.149157,4.165420,4.172817,4.184199", \ - "4.383369,4.380806,4.372761,4.359504,4.319361,4.287022,4.272349", \ - "4.695923,4.690001,4.679489,4.631235,4.582578,4.532336,4.463106"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.803822,0.804269,0.813942,0.821172,0.838182,0.858934,0.879588", \ - "0.808008,0.811322,0.826194,0.833662,0.852519,0.882993,0.905149", \ - "0.773197,0.784918,0.792760,0.819536,0.841394,0.881300,0.909394", \ - "0.738976,0.744650,0.760054,0.785336,0.813999,0.854194,0.894596", \ - "0.783019,0.784769,0.786926,0.785739,0.795662,0.832915,0.876780", \ - "0.870264,0.868924,0.861501,0.857679,0.857622,0.847582,0.875354", \ - "1.019688,1.015433,1.006632,0.991017,0.970659,0.942947,0.912136"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.676080,3.681995,3.688272,3.706442,3.722502,3.746957,3.754363", \ - "3.637467,3.643034,3.660271,3.671765,3.710758,3.707483,3.748595", \ - "3.564904,3.572365,3.585159,3.612768,3.638200,3.678582,3.729041", \ - "3.575158,3.581330,3.582083,3.588906,3.615418,3.652815,3.705164", \ - "3.690271,3.690466,3.682773,3.686721,3.687109,3.680397,3.717287", \ - "3.902640,3.897842,3.883667,3.866965,3.855555,3.822680,3.807033", \ - "4.160055,4.163855,4.177603,4.171762,4.118905,4.047980,3.997781"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.790668,0.790883,0.796844,0.813715,0.831948,0.848973,0.873897", \ - "0.791108,0.800827,0.808093,0.827022,0.846829,0.868299,0.897460", \ - "0.764969,0.771576,0.789676,0.811703,0.829205,0.866029,0.902874", \ - "0.746119,0.748635,0.756862,0.777427,0.806053,0.843012,0.888484", \ - "0.794273,0.795822,0.795519,0.799045,0.804208,0.826608,0.871089", \ - "0.881705,0.878647,0.873366,0.870826,0.869311,0.866621,0.872783", \ - "1.032239,1.026967,1.018616,1.004978,0.984515,0.963758,0.934482"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.668918,3.674983,3.684242,3.702522,3.717083,3.741820,3.748983", \ - "3.618434,3.627154,3.639716,3.650231,3.666102,3.693976,3.735246", \ - "3.548207,3.557244,3.572470,3.579750,3.621370,3.661246,3.710863", \ - "3.572492,3.572114,3.574505,3.575989,3.605379,3.641585,3.691639", \ - "3.690873,3.688350,3.685080,3.682223,3.684962,3.674962,3.708905", \ - "3.907757,3.900748,3.898565,3.885439,3.857996,3.822136,3.801526", \ - "4.174504,4.178877,4.190872,4.178482,4.127393,4.055281,4.001127"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.803822,0.804269,0.813942,0.821172,0.838182,0.858934,0.879588", \ - "0.808008,0.811322,0.826194,0.833662,0.852519,0.882993,0.905149", \ - "0.773197,0.784918,0.792760,0.819536,0.841394,0.881300,0.909394", \ - "0.738976,0.744650,0.760054,0.785336,0.813999,0.854194,0.894596", \ - "0.783019,0.784769,0.786926,0.785739,0.795662,0.832915,0.876780", \ - "0.870264,0.868924,0.861501,0.857679,0.857622,0.847582,0.875354", \ - "1.019688,1.015433,1.006632,0.991017,0.970659,0.942947,0.912136"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.676080,3.681995,3.688272,3.706442,3.722502,3.746957,3.754363", \ - "3.637467,3.643034,3.660271,3.671765,3.710758,3.707483,3.748595", \ - "3.564904,3.572365,3.585159,3.612768,3.638200,3.678582,3.729041", \ - "3.575158,3.581330,3.582083,3.588906,3.615418,3.652815,3.705164", \ - "3.690271,3.690466,3.682773,3.686721,3.687109,3.680397,3.717287", \ - "3.902640,3.897842,3.883667,3.866965,3.855555,3.822680,3.807033", \ - "4.160055,4.163855,4.177603,4.171762,4.118905,4.047980,3.997781"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.464180,0.462985,0.460908,0.462227,0.465034,0.467949,0.469851", \ - "0.462335,0.463589,0.467936,0.474692,0.480925,0.489221,0.494185", \ - "0.406096,0.414760,0.425318,0.445120,0.460575,0.483600,0.499665", \ - "0.370130,0.370794,0.373176,0.397625,0.426258,0.457233,0.483941", \ - "0.415539,0.413848,0.413573,0.412342,0.406942,0.432316,0.464641", \ - "0.509113,0.506454,0.498745,0.485063,0.469270,0.452323,0.462609", \ - "0.675652,0.666913,0.652488,0.624334,0.589586,0.549600,0.502134"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.178768,3.185201,3.199072,3.199854,3.239121,3.258565,3.292953", \ - "3.141911,3.149801,3.159996,3.186255,3.222518,3.247867,3.286773", \ - "3.064741,3.074279,3.089271,3.121122,3.149566,3.186664,3.266720", \ - "3.079892,3.085174,3.088660,3.110760,3.128871,3.162766,3.212088", \ - "3.195815,3.196394,3.196354,3.181038,3.203088,3.222741,3.256629", \ - "3.389586,3.403896,3.406542,3.388806,3.371802,3.335122,3.345045", \ - "3.611911,3.620018,3.639555,3.657951,3.637483,3.559076,3.507150"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.464785,0.462091,0.464513,0.461195,0.468896,0.470044,0.468401", \ - "0.464091,0.465935,0.469798,0.476038,0.481502,0.488971,0.492134", \ - "0.408873,0.418502,0.426835,0.443568,0.469320,0.484167,0.502279", \ - "0.389520,0.389895,0.393421,0.400952,0.429150,0.458174,0.483155", \ - "0.429564,0.430570,0.431176,0.426082,0.427248,0.434132,0.467828", \ - "0.524719,0.520343,0.512745,0.500335,0.490533,0.473582,0.468422", \ - "0.689744,0.681286,0.664751,0.640394,0.612121,0.571218,0.528778"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.173544,3.180662,3.194144,3.205322,3.234152,3.253930,3.287926", \ - "3.122993,3.132092,3.148050,3.171476,3.180194,3.234790,3.273479", \ - "3.052590,3.062006,3.077094,3.094828,3.135382,3.171411,3.218961", \ - "3.075385,3.082100,3.088784,3.104321,3.119183,3.151695,3.198372", \ - "3.196485,3.194682,3.196323,3.195719,3.200465,3.216202,3.215503", \ - "3.394016,3.411046,3.412419,3.394802,3.374545,3.335357,3.342181", \ - "3.621648,3.633339,3.647776,3.667200,3.645774,3.566317,3.510871"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.797966,0.802114,0.812594,0.810693,0.828098,0.854749,0.873485", \ - "0.802612,0.812098,0.821033,0.835616,0.857317,0.874450,0.897544", \ - "0.773722,0.783824,0.796768,0.810804,0.843273,0.874098,0.902332", \ - "0.738984,0.747973,0.755629,0.773546,0.815513,0.848093,0.890803", \ - "0.788817,0.788585,0.787115,0.790839,0.797710,0.828936,0.873631", \ - "0.877095,0.873452,0.871115,0.864929,0.862964,0.854152,0.873453", \ - "1.031211,1.026234,1.020299,0.998925,0.981081,0.953484,0.922438"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.921809,3.926425,3.936692,3.950072,3.959970,3.982473,4.019543", \ - "3.883200,3.890946,3.908306,3.926458,3.948433,3.977630,4.018484", \ - "3.809300,3.818575,3.834697,3.856926,3.873699,3.913900,3.967942", \ - "3.821869,3.825489,3.828964,3.839382,3.848949,3.887730,3.940096", \ - "3.929664,3.927931,3.927796,3.914505,3.922434,3.944413,3.952407", \ - "4.138122,4.132731,4.125295,4.108363,4.089711,4.058834,4.043812", \ - "4.407278,4.408442,4.426268,4.396393,4.353713,4.284212,4.234541"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.461805,0.461681,0.462258,0.461487,0.468833,0.465298,0.467388", \ - "0.460189,0.463245,0.465858,0.476610,0.480645,0.488999,0.496306", \ - "0.409492,0.418753,0.430473,0.448165,0.461137,0.487191,0.500904", \ - "0.372693,0.376015,0.380655,0.394865,0.420443,0.457240,0.483031", \ - "0.417762,0.417771,0.416152,0.414270,0.412634,0.433936,0.464378", \ - "0.515994,0.510882,0.500059,0.492959,0.477901,0.462252,0.462835", \ - "0.686899,0.676743,0.663861,0.635127,0.598105,0.559974,0.515968"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.426544,3.432236,3.441408,3.447047,3.471141,3.493570,3.526274", \ - "3.386922,3.395015,3.410981,3.429758,3.460265,3.486863,3.525827", \ - "3.313258,3.323607,3.333783,3.368883,3.385293,3.453523,3.504181", \ - "3.321719,3.328115,3.330632,3.348811,3.362421,3.427915,3.478223", \ - "3.434869,3.439192,3.436424,3.426885,3.437213,3.455170,3.489720", \ - "3.639542,3.642450,3.645204,3.625394,3.607643,3.569695,3.578809", \ - "3.857708,3.865630,3.880011,3.904102,3.869837,3.825487,3.775222"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.463936,0.464583,0.464479,0.465658,0.469624,0.470015,0.471929", \ - "0.462674,0.461826,0.466358,0.477890,0.477145,0.487380,0.495453", \ - "0.410794,0.419857,0.429852,0.450183,0.470090,0.487002,0.498934", \ - "0.395433,0.396018,0.398599,0.402229,0.431629,0.460614,0.486122", \ - "0.435835,0.432091,0.435433,0.435267,0.433300,0.434984,0.467054", \ - "0.528304,0.525986,0.519949,0.507731,0.496085,0.484302,0.466404", \ - "0.698366,0.691771,0.674999,0.653442,0.622163,0.582398,0.542743"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.416495,3.423374,3.432922,3.456627,3.468020,3.487669,3.521198", \ - "3.372481,3.376521,3.393391,3.418604,3.445354,3.473491,3.512437", \ - "3.296098,3.305085,3.321476,3.341323,3.369340,3.437914,3.488026", \ - "3.316883,3.323446,3.332417,3.329665,3.354227,3.415518,3.463980", \ - "3.435469,3.438981,3.435312,3.435663,3.434716,3.450237,3.480249", \ - "3.644693,3.649138,3.649117,3.622351,3.609403,3.601765,3.576255", \ - "3.867572,3.876804,3.895516,3.927830,3.878207,3.833168,3.780060"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.493697,1.500102,1.509660,1.534747,1.567146,1.611090,1.660840", \ - "1.457948,1.467697,1.475607,1.489412,1.532286,1.577218,1.628321", \ - "1.423977,1.431844,1.445421,1.463560,1.506016,1.549846,1.603361", \ - "1.397160,1.407668,1.422539,1.454891,1.474547,1.534519,1.583994", \ - "1.437574,1.437521,1.434153,1.449313,1.487659,1.523789,1.582916", \ - "1.531864,1.533117,1.530952,1.535148,1.539256,1.544923,1.596617", \ - "1.671285,1.669119,1.669341,1.661962,1.655734,1.649041,1.633193"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.724872,4.726664,4.730703,4.752544,4.755761,4.780830,4.791699", \ - "4.703000,4.705595,4.719370,4.728957,4.736026,4.763853,4.806637", \ - "4.642211,4.644840,4.659349,4.677880,4.711396,4.734142,4.768588", \ - "4.605702,4.609010,4.617373,4.628212,4.645567,4.682848,4.738904", \ - "4.615428,4.613556,4.623354,4.618914,4.648042,4.686716,4.712601", \ - "4.679712,4.680655,4.679936,4.685509,4.683995,4.702261,4.747821", \ - "4.811114,4.824223,4.823107,4.819975,4.798445,4.793563,4.778502"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.125641,1.134478,1.135813,1.157860,1.182918,1.215210,1.250728", \ - "1.092931,1.099824,1.110294,1.122715,1.151607,1.181812,1.218218", \ - "1.050975,1.056815,1.072911,1.090109,1.118058,1.153759,1.192448", \ - "1.028892,1.032591,1.050055,1.070170,1.096796,1.134339,1.173102", \ - "1.075530,1.074623,1.077070,1.076454,1.087916,1.131468,1.168485", \ - "1.169153,1.168679,1.161114,1.158719,1.158260,1.151011,1.183466", \ - "1.313525,1.310758,1.306849,1.292050,1.279665,1.258023,1.222812"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.238449,4.239770,4.254239,4.270761,4.265037,4.288065,4.324681", \ - "4.216294,4.222035,4.231292,4.236088,4.271773,4.301786,4.309570", \ - "4.154792,4.161360,4.176524,4.199995,4.220611,4.254504,4.301243", \ - "4.118609,4.127156,4.135478,4.142388,4.178752,4.218951,4.273361", \ - "4.130256,4.133906,4.138764,4.139159,4.158167,4.194858,4.247623", \ - "4.190651,4.195035,4.195249,4.206735,4.220308,4.238741,4.266688", \ - "4.277760,4.285678,4.306044,4.323114,4.306257,4.300565,4.320942"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.104028,1.106565,1.122295,1.135175,1.164957,1.196444,1.235580", \ - "1.068961,1.071670,1.084172,1.105897,1.120447,1.169538,1.203569", \ - "1.030538,1.037196,1.052267,1.057866,1.101342,1.140837,1.176343", \ - "1.011869,1.018335,1.023420,1.049182,1.081747,1.116716,1.162676", \ - "1.077243,1.080080,1.077750,1.082785,1.086990,1.121411,1.155856", \ - "1.172811,1.172634,1.165465,1.164045,1.162461,1.157604,1.169807", \ - "1.320583,1.315627,1.310697,1.299262,1.289891,1.267404,1.236929"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.233178,4.235468,4.241853,4.257480,4.264155,4.283010,4.320182", \ - "4.198470,4.205955,4.212040,4.228251,4.258388,4.257943,4.297068", \ - "4.139975,4.148603,4.162461,4.174629,4.210017,4.240298,4.287357", \ - "4.113136,4.120902,4.125509,4.136838,4.170817,4.208562,4.259867", \ - "4.127345,4.129339,4.141791,4.136104,4.153801,4.189231,4.236140", \ - "4.193258,4.193431,4.199322,4.201863,4.217652,4.236523,4.262005", \ - "4.279607,4.291129,4.311245,4.333060,4.306364,4.328701,4.311172"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.125641,1.134478,1.135813,1.157860,1.182918,1.215210,1.250728", \ - "1.092931,1.099824,1.110294,1.122715,1.151607,1.181812,1.218218", \ - "1.050975,1.056815,1.072911,1.090109,1.118058,1.153759,1.192448", \ - "1.028892,1.032591,1.050055,1.070170,1.096796,1.134339,1.173102", \ - "1.075530,1.074623,1.077070,1.076454,1.087916,1.131468,1.168485", \ - "1.169153,1.168679,1.161114,1.158719,1.158260,1.151011,1.183466", \ - "1.313525,1.310758,1.306849,1.292050,1.279665,1.258023,1.222812"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.238449,4.239770,4.254239,4.270761,4.265037,4.288065,4.324681", \ - "4.216294,4.222035,4.231292,4.236088,4.271773,4.301786,4.309570", \ - "4.154792,4.161360,4.176524,4.199995,4.220611,4.254504,4.301243", \ - "4.118609,4.127156,4.135478,4.142388,4.178752,4.218951,4.273361", \ - "4.130256,4.133906,4.138764,4.139159,4.158167,4.194858,4.247623", \ - "4.190651,4.195035,4.195249,4.206735,4.220308,4.238741,4.266688", \ - "4.277760,4.285678,4.306044,4.323114,4.306257,4.300565,4.320942"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.770815,0.775150,0.782291,0.791925,0.802736,0.821686,0.840455", \ - "0.737405,0.738774,0.745022,0.747017,0.768196,0.788416,0.808542", \ - "0.692275,0.698360,0.703890,0.712277,0.735823,0.759243,0.781941", \ - "0.660863,0.667757,0.674746,0.688134,0.707765,0.734605,0.765965", \ - "0.720012,0.717055,0.714528,0.711449,0.709026,0.730551,0.755961", \ - "0.810102,0.806533,0.799371,0.794883,0.780087,0.755511,0.767798", \ - "0.961101,0.955809,0.943099,0.927694,0.902844,0.866901,0.814922"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.743454,3.747418,3.759473,3.779014,3.784714,3.828917,3.864002", \ - "3.721096,3.728277,3.734631,3.742390,3.787785,3.811440,3.848394", \ - "3.659273,3.667426,3.685124,3.701295,3.734001,3.764452,3.808556", \ - "3.622274,3.630065,3.634778,3.656824,3.694447,3.729666,3.779465", \ - "3.630666,3.639508,3.644403,3.660437,3.672846,3.705901,3.785134", \ - "3.672018,3.695906,3.712293,3.705000,3.733747,3.750822,3.793844", \ - "3.726653,3.736367,3.774591,3.814532,3.851498,3.840205,3.852610"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.754518,0.761605,0.770678,0.779343,0.793689,0.811542,0.830441", \ - "0.725594,0.726361,0.735505,0.748181,0.760482,0.777163,0.801927", \ - "0.676287,0.685119,0.694501,0.707265,0.724861,0.747476,0.775314", \ - "0.664881,0.664300,0.667342,0.679446,0.706934,0.731846,0.755747", \ - "0.725315,0.724901,0.725897,0.723793,0.716105,0.726153,0.755176", \ - "0.819049,0.815014,0.806810,0.805261,0.793510,0.774655,0.767626", \ - "0.967813,0.965205,0.955599,0.938473,0.913191,0.882044,0.835599"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.733677,3.740741,3.754199,3.772811,3.773870,3.825154,3.858862", \ - "3.703122,3.711145,3.722221,3.740461,3.774755,3.798315,3.835263", \ - "3.644492,3.653371,3.669837,3.683321,3.720700,3.750861,3.793948", \ - "3.617483,3.625910,3.636337,3.638708,3.685026,3.719997,3.766577", \ - "3.628436,3.635303,3.645965,3.644169,3.668596,3.698427,3.774760", \ - "3.671171,3.691637,3.713407,3.709585,3.732931,3.748738,3.783070", \ - "3.728492,3.742068,3.768945,3.815508,3.851382,3.839789,3.849189"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.122065,1.127032,1.134449,1.152453,1.175181,1.200190,1.235806", \ - "1.088755,1.092670,1.104140,1.120036,1.140051,1.166694,1.209850", \ - "1.047522,1.054845,1.065779,1.081653,1.106329,1.142079,1.183099", \ - "1.022788,1.027644,1.036547,1.056653,1.090056,1.128880,1.166660", \ - "1.078934,1.080367,1.074512,1.077080,1.082615,1.126122,1.160559", \ - "1.173138,1.173326,1.168142,1.169019,1.159327,1.150519,1.173650", \ - "1.321962,1.320489,1.315935,1.302160,1.288538,1.263520,1.228865"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.482650,4.489753,4.498320,4.497605,4.528474,4.552682,4.559893", \ - "4.462695,4.470133,4.483239,4.502115,4.515489,4.541057,4.580750", \ - "4.398969,4.405545,4.418446,4.445570,4.478029,4.493362,4.540528", \ - "4.364492,4.369528,4.374894,4.394975,4.415499,4.452925,4.507891", \ - "4.370763,4.371580,4.378376,4.379613,4.391785,4.436471,4.477741", \ - "4.433764,4.434843,4.444306,4.436433,4.453054,4.470479,4.511030", \ - "4.523393,4.536979,4.560686,4.579836,4.565450,4.561388,4.574576"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.769705,0.769633,0.778670,0.777726,0.793194,0.817878,0.834623", \ - "0.733603,0.738773,0.739186,0.745220,0.758166,0.784254,0.802634", \ - "0.690386,0.695223,0.701054,0.708857,0.729094,0.753422,0.775251", \ - "0.657816,0.661178,0.668486,0.682437,0.714324,0.733136,0.758574", \ - "0.721490,0.720875,0.715190,0.714847,0.703611,0.731420,0.752540", \ - "0.815666,0.812481,0.807176,0.801350,0.785010,0.764850,0.770056", \ - "0.967746,0.964611,0.954480,0.934812,0.911689,0.873668,0.824825"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.987106,3.995868,4.002471,4.004157,4.041785,4.063478,4.097276", \ - "3.966870,3.974506,3.986657,4.011040,4.027807,4.051909,4.087811", \ - "3.902786,3.914826,3.926811,3.947090,3.968262,4.032531,4.079156", \ - "3.868489,3.876737,3.886168,3.887915,3.925792,3.994192,4.046058", \ - "3.875747,3.877398,3.887198,3.902667,3.905402,3.968571,4.016336", \ - "3.926980,3.942952,3.955111,3.958861,3.966103,3.982366,4.018293", \ - "3.973825,3.990143,4.021548,4.066277,4.082341,4.070864,4.082350"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.750261,0.756374,0.762317,0.764036,0.784574,0.808070,0.823644", \ - "0.714156,0.720791,0.725913,0.734605,0.757241,0.773079,0.795759", \ - "0.670423,0.678357,0.686199,0.703866,0.718743,0.740350,0.767408", \ - "0.668675,0.667616,0.664068,0.674307,0.703943,0.730044,0.753725", \ - "0.729467,0.727001,0.728249,0.720456,0.716021,0.721774,0.750002", \ - "0.824516,0.821820,0.814170,0.811055,0.795296,0.778165,0.760728", \ - "0.976922,0.972875,0.968079,0.948343,0.924397,0.888541,0.845028"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.981872,3.988493,3.997308,4.014656,4.036742,4.058484,4.093186", \ - "3.953620,3.961601,3.968240,3.979981,4.012429,4.038428,4.074712", \ - "3.892510,3.901176,3.912237,3.937192,3.954932,4.019313,4.063964", \ - "3.863713,3.866912,3.874649,3.892007,3.917185,3.952850,4.031788", \ - "3.868412,3.875037,3.885721,3.893550,3.900785,3.961186,4.005802", \ - "3.926031,3.941115,3.948483,3.953791,3.963984,3.980035,4.012999", \ - "3.975592,3.990246,4.023256,4.066854,4.082153,4.070293,4.079379"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.157711,1.162205,1.173585,1.194706,1.216108,1.250525,1.287828", \ - "1.168573,1.174605,1.190569,1.206660,1.233181,1.273462,1.310987", \ - "1.146359,1.156114,1.167673,1.188000,1.230323,1.273873,1.318660", \ - "1.105551,1.119642,1.128866,1.156220,1.196315,1.248276,1.303498", \ - "1.134990,1.138322,1.146682,1.154888,1.174491,1.225161,1.280264", \ - "1.182424,1.186204,1.191900,1.198250,1.221632,1.226590,1.271535", \ - "1.281574,1.279808,1.278905,1.280027,1.292026,1.297634,1.295585"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.039447,5.045409,5.059862,5.068202,5.101675,5.156160,5.208922", \ - "4.994893,5.001744,5.019928,5.036958,5.072987,5.123347,5.184035", \ - "4.939491,4.944583,4.958223,4.988410,5.015880,5.069990,5.132578", \ - "4.947949,4.955001,4.958911,4.971208,4.998123,5.054812,5.117396", \ - "5.047101,5.049385,5.047194,5.047784,5.066926,5.107073,5.135504", \ - "5.246184,5.243395,5.251119,5.235346,5.230609,5.219652,5.228563", \ - "5.548675,5.546755,5.532253,5.519635,5.489402,5.446146,5.421441"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.801267,0.803575,0.808929,0.818039,0.836404,0.857512,0.878245", \ - "0.808204,0.811976,0.825901,0.837359,0.859551,0.876595,0.902055", \ - "0.775475,0.781225,0.792753,0.813089,0.841228,0.877260,0.908773", \ - "0.729056,0.737350,0.753024,0.776568,0.805686,0.849683,0.891918", \ - "0.760345,0.763022,0.765551,0.773767,0.779671,0.817953,0.867630", \ - "0.809440,0.810892,0.816584,0.821963,0.827042,0.828480,0.857280", \ - "0.910541,0.908528,0.904331,0.906835,0.900461,0.899249,0.882314"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.536626,4.541525,4.558364,4.579268,4.599738,4.645425,4.707309", \ - "4.491496,4.497414,4.517206,4.547230,4.570248,4.619267,4.682983", \ - "4.431411,4.442372,4.458947,4.493504,4.536554,4.589925,4.662018", \ - "4.439822,4.449254,4.456996,4.481103,4.522614,4.577698,4.646526", \ - "4.539532,4.542262,4.546765,4.558586,4.566199,4.607634,4.664458", \ - "4.739924,4.742497,4.743288,4.738902,4.730822,4.749956,4.757634", \ - "5.030102,5.037490,5.043944,5.021017,4.990399,4.973056,4.951008"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.791123,0.798645,0.806210,0.810489,0.835183,0.852649,0.869351", \ - "0.798201,0.801097,0.810090,0.824364,0.847865,0.871045,0.898787", \ - "0.765122,0.774411,0.784494,0.812963,0.837545,0.867643,0.904096", \ - "0.729921,0.731320,0.747854,0.763535,0.801297,0.846870,0.884539", \ - "0.759275,0.765066,0.769260,0.778058,0.785008,0.816965,0.860935", \ - "0.810815,0.814704,0.815909,0.823245,0.830518,0.836261,0.852481", \ - "0.915548,0.915170,0.911269,0.910006,0.903344,0.902213,0.893874"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.703632,4.713314,4.729433,4.754961,4.783416,4.832350,4.895178", \ - "4.664648,4.670448,4.692886,4.718525,4.757051,4.807995,4.873924", \ - "4.601611,4.612763,4.631571,4.663568,4.691459,4.747334,4.851356", \ - "4.609604,4.619185,4.631484,4.643513,4.676464,4.730188,4.802521", \ - "4.709741,4.712421,4.718684,4.723046,4.750547,4.792680,4.851789", \ - "4.908280,4.911351,4.912568,4.907303,4.915610,4.907692,4.945811", \ - "5.199444,5.212830,5.208136,5.189056,5.175588,5.132422,5.112278"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.801267,0.803575,0.808929,0.818039,0.836404,0.857512,0.878245", \ - "0.808204,0.811976,0.825901,0.837359,0.859551,0.876595,0.902055", \ - "0.775475,0.781225,0.792753,0.813089,0.841228,0.877260,0.908773", \ - "0.729056,0.737350,0.753024,0.776568,0.805686,0.849683,0.891918", \ - "0.760345,0.763022,0.765551,0.773767,0.779671,0.817953,0.867630", \ - "0.809440,0.810892,0.816584,0.821963,0.827042,0.828480,0.857280", \ - "0.910541,0.908528,0.904331,0.906835,0.900461,0.899249,0.882314"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.536626,4.541525,4.558364,4.579268,4.599738,4.645425,4.707309", \ - "4.491496,4.497414,4.517206,4.547230,4.570248,4.619267,4.682983", \ - "4.431411,4.442372,4.458947,4.493504,4.536554,4.589925,4.662018", \ - "4.439822,4.449254,4.456996,4.481103,4.522614,4.577698,4.646526", \ - "4.539532,4.542262,4.546765,4.558586,4.566199,4.607634,4.664458", \ - "4.739924,4.742497,4.743288,4.738902,4.730822,4.749956,4.757634", \ - "5.030102,5.037490,5.043944,5.021017,4.990399,4.973056,4.951008"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.462107,0.464281,0.460394,0.464714,0.465901,0.466932,0.469057", \ - "0.462396,0.463150,0.466814,0.470795,0.485620,0.486133,0.492992", \ - "0.408580,0.414179,0.427119,0.441668,0.466946,0.482779,0.499046", \ - "0.359352,0.360557,0.365683,0.388229,0.421805,0.452963,0.481641", \ - "0.385694,0.388444,0.390856,0.392054,0.393117,0.417487,0.455854", \ - "0.439259,0.437339,0.436584,0.438980,0.437998,0.430216,0.440635", \ - "0.545921,0.542685,0.534279,0.529656,0.514479,0.498573,0.472297"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.006784,4.018521,4.040643,4.072319,4.101328,4.178313,4.241357", \ - "3.961394,3.973857,3.997855,4.018956,4.074401,4.121189,4.216581", \ - "3.905618,3.918880,3.941977,3.978673,4.039396,4.094920,4.196210", \ - "3.913858,3.925638,3.938727,3.965595,4.024781,4.079180,4.148457", \ - "4.010004,4.019066,4.028711,4.053567,4.071393,4.111367,4.198714", \ - "4.216544,4.220914,4.226780,4.224738,4.234562,4.255089,4.292139", \ - "4.451715,4.464601,4.489766,4.516086,4.494756,4.477513,4.455053"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.464149,0.461738,0.463181,0.459038,0.460889,0.463820,0.469428", \ - "0.459229,0.464471,0.470031,0.470796,0.485273,0.490951,0.492867", \ - "0.409024,0.414069,0.425583,0.442852,0.469257,0.485564,0.497829", \ - "0.370095,0.371728,0.374209,0.386611,0.418639,0.453429,0.482957", \ - "0.390516,0.393767,0.397020,0.401664,0.403758,0.419416,0.457631", \ - "0.442564,0.442614,0.439998,0.445163,0.445257,0.442306,0.442637", \ - "0.549966,0.547865,0.541051,0.531840,0.521642,0.506066,0.487152"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.176386,4.188369,4.209277,4.239638,4.284781,4.333333,4.429149", \ - "4.132391,4.145225,4.170685,4.201406,4.258806,4.309929,4.407919", \ - "4.068775,4.082227,4.113263,4.147167,4.194254,4.281722,4.353660", \ - "4.081700,4.093704,4.111272,4.124716,4.177953,4.264288,4.336410", \ - "4.177767,4.189184,4.200002,4.207719,4.253033,4.295704,4.353858", \ - "4.382820,4.387675,4.394209,4.403538,4.389332,4.410329,4.448707", \ - "4.620364,4.634875,4.666064,4.693545,4.676933,4.666016,4.646392"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.800426,0.801241,0.811665,0.823244,0.835966,0.852999,0.872290", \ - "0.802455,0.809817,0.819944,0.828847,0.853430,0.871854,0.895763", \ - "0.774558,0.783652,0.794282,0.812065,0.843479,0.872084,0.904677", \ - "0.730497,0.738600,0.745671,0.769974,0.806303,0.842575,0.885308", \ - "0.760066,0.765378,0.771198,0.776780,0.788184,0.815123,0.862215", \ - "0.813756,0.813865,0.817561,0.822548,0.828682,0.836513,0.855023", \ - "0.916783,0.914515,0.911012,0.910748,0.903121,0.900560,0.892315"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.813249,4.817760,4.835439,4.854748,4.887831,4.931761,4.992813", \ - "4.768823,4.778658,4.790613,4.819071,4.860742,4.907836,4.970577", \ - "4.714214,4.720107,4.738400,4.760689,4.797533,4.850569,4.929580", \ - "4.722653,4.727156,4.739255,4.762164,4.783421,4.835754,4.902599", \ - "4.817069,4.824136,4.832297,4.840886,4.858642,4.896539,4.952589", \ - "5.016532,5.014985,5.017548,5.010839,5.022307,5.012596,5.047528", \ - "5.310297,5.318815,5.312685,5.301896,5.280445,5.236589,5.226715"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.462986,0.460839,0.463149,0.457253,0.467322,0.465610,0.469590", \ - "0.464086,0.463943,0.470382,0.468938,0.481463,0.490846,0.494758", \ - "0.409412,0.416175,0.427453,0.448561,0.465760,0.487231,0.500797", \ - "0.361904,0.365192,0.372207,0.388417,0.421821,0.455536,0.482275", \ - "0.388127,0.388178,0.393418,0.398927,0.399997,0.423053,0.458070", \ - "0.439396,0.439521,0.438021,0.437542,0.441671,0.438551,0.443396", \ - "0.547493,0.543858,0.535719,0.529058,0.519725,0.503322,0.483376"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.284663,4.295605,4.316825,4.348808,4.389672,4.436120,4.526988", \ - "4.244969,4.256759,4.278672,4.309764,4.363268,4.410061,4.472298", \ - "4.185084,4.197427,4.220447,4.246679,4.299168,4.384409,4.452334", \ - "4.196381,4.204382,4.220495,4.235808,4.287302,4.368952,4.436369", \ - "4.292709,4.301705,4.314732,4.316959,4.361741,4.401433,4.455584", \ - "4.493671,4.498134,4.501164,4.496916,4.496906,4.516683,4.550464", \ - "4.737015,4.746782,4.772356,4.796287,4.781901,4.770537,4.749598"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.463867,0.460613,0.463339,0.465170,0.468516,0.470445,0.470092", \ - "0.459450,0.463837,0.470662,0.474927,0.481229,0.488842,0.496758", \ - "0.408566,0.416985,0.428248,0.442319,0.468761,0.486350,0.499988", \ - "0.373286,0.376662,0.380106,0.391556,0.423848,0.454125,0.483199", \ - "0.392939,0.395568,0.397774,0.407059,0.413806,0.422951,0.460206", \ - "0.444097,0.442416,0.443233,0.441801,0.446870,0.451361,0.447182", \ - "0.553552,0.550648,0.542203,0.537387,0.526444,0.511634,0.498147"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.469414,4.480603,4.501374,4.522565,4.583927,4.629464,4.690262", \ - "4.426164,4.438167,4.457809,4.496379,4.541353,4.608266,4.671267", \ - "4.366256,4.375798,4.403706,4.437321,4.491624,4.547848,4.649843", \ - "4.376408,4.387596,4.400455,4.421729,4.477322,4.530292,4.631446", \ - "4.470922,4.480229,4.493008,4.498599,4.524739,4.593391,4.650528", \ - "4.670069,4.674948,4.680813,4.687357,4.690436,4.711689,4.746954", \ - "4.921341,4.932738,4.961289,4.987730,4.945029,4.936661,4.948324"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.492459,1.496527,1.505332,1.524200,1.565259,1.609163,1.659686", \ - "1.457146,1.464967,1.471816,1.491360,1.528431,1.577564,1.626396", \ - "1.420953,1.428201,1.439490,1.460752,1.504211,1.548270,1.602025", \ - "1.399663,1.403935,1.418682,1.447546,1.484891,1.532323,1.587899", \ - "1.429449,1.433839,1.441481,1.452877,1.472692,1.521129,1.577476", \ - "1.489081,1.494141,1.500547,1.513889,1.532686,1.531481,1.577365", \ - "1.586224,1.588548,1.589748,1.592681,1.604051,1.613656,1.605538"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.597211,5.606168,5.620548,5.626934,5.669593,5.713007,5.778161", \ - "5.565814,5.573009,5.581544,5.596143,5.634481,5.679219,5.742550", \ - "5.527659,5.535356,5.552053,5.572410,5.590466,5.638219,5.705517", \ - "5.508175,5.512771,5.528559,5.550458,5.568854,5.621215,5.685298", \ - "5.512432,5.515757,5.532661,5.549257,5.578772,5.630467,5.669283", \ - "5.566869,5.572597,5.585219,5.587209,5.606785,5.647899,5.710202", \ - "5.705555,5.709099,5.716505,5.703780,5.717670,5.731400,5.772261"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.129040,1.131828,1.142583,1.159422,1.181244,1.215678,1.249191", \ - "1.093330,1.098011,1.105290,1.118594,1.144776,1.179191,1.217115", \ - "1.054032,1.058205,1.074548,1.091905,1.116652,1.152504,1.191364", \ - "1.022545,1.029916,1.039550,1.065431,1.097021,1.134826,1.176165", \ - "1.060907,1.067213,1.067729,1.075921,1.079788,1.120854,1.164847", \ - "1.122437,1.122942,1.128861,1.134081,1.140888,1.135914,1.164286", \ - "1.218991,1.216283,1.218681,1.219407,1.219574,1.218626,1.196166"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.094279,5.101886,5.113313,5.133429,5.167804,5.216581,5.293253", \ - "5.061230,5.067274,5.081870,5.100076,5.134182,5.179273,5.242523", \ - "5.022101,5.028393,5.046710,5.069126,5.116078,5.167064,5.233994", \ - "4.998049,5.009394,5.021580,5.044960,5.092946,5.144530,5.215184", \ - "5.004269,5.011416,5.030229,5.040850,5.080185,5.131276,5.198813", \ - "5.061832,5.068133,5.084838,5.092872,5.106172,5.177231,5.239827", \ - "5.168120,5.180761,5.209884,5.218076,5.216659,5.233067,5.270673"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.106680,1.115803,1.125636,1.132116,1.165762,1.202671,1.237734", \ - "1.069985,1.079481,1.084039,1.103649,1.128226,1.161600,1.204184", \ - "1.035752,1.039136,1.057123,1.070257,1.100258,1.140517,1.178116", \ - "1.006883,1.017682,1.024090,1.043385,1.069108,1.120804,1.163727", \ - "1.060937,1.062334,1.064624,1.075440,1.078089,1.107453,1.155052", \ - "1.120811,1.121444,1.121650,1.127370,1.138355,1.140633,1.152813", \ - "1.220236,1.219528,1.219408,1.217040,1.216896,1.219495,1.204014"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.265820,5.270928,5.292522,5.311471,5.351840,5.401260,5.464943", \ - "5.232463,5.242308,5.255796,5.291066,5.319452,5.367372,5.433621", \ - "5.190811,5.201381,5.216743,5.244750,5.285995,5.325338,5.393381", \ - "5.168160,5.178487,5.191714,5.214979,5.246880,5.302294,5.371374", \ - "5.172684,5.182440,5.199090,5.221873,5.263017,5.315936,5.385401", \ - "5.229830,5.233340,5.250038,5.265523,5.287709,5.329603,5.393882", \ - "5.339339,5.358066,5.379181,5.382829,5.397910,5.415221,5.457626"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.129040,1.131828,1.142583,1.159422,1.181244,1.215678,1.249191", \ - "1.093330,1.098011,1.105290,1.118594,1.144776,1.179191,1.217115", \ - "1.054032,1.058205,1.074548,1.091905,1.116652,1.152504,1.191364", \ - "1.022545,1.029916,1.039550,1.065431,1.097021,1.134826,1.176165", \ - "1.060907,1.067213,1.067729,1.075921,1.079788,1.120854,1.164847", \ - "1.122437,1.122942,1.128861,1.134081,1.140888,1.135914,1.164286", \ - "1.218991,1.216283,1.218681,1.219407,1.219574,1.218626,1.196166"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.094279,5.101886,5.113313,5.133429,5.167804,5.216581,5.293253", \ - "5.061230,5.067274,5.081870,5.100076,5.134182,5.179273,5.242523", \ - "5.022101,5.028393,5.046710,5.069126,5.116078,5.167064,5.233994", \ - "4.998049,5.009394,5.021580,5.044960,5.092946,5.144530,5.215184", \ - "5.004269,5.011416,5.030229,5.040850,5.080185,5.131276,5.198813", \ - "5.061832,5.068133,5.084838,5.092872,5.106172,5.177231,5.239827", \ - "5.168120,5.180761,5.209884,5.218076,5.216659,5.233067,5.270673"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.773186,0.774422,0.777124,0.792449,0.801440,0.820674,0.840081", \ - "0.737412,0.739016,0.748216,0.755077,0.768090,0.786334,0.807269", \ - "0.693961,0.696589,0.708435,0.713502,0.734664,0.759383,0.781236", \ - "0.656127,0.662299,0.675047,0.691773,0.711965,0.739527,0.763390", \ - "0.698010,0.701727,0.698366,0.702890,0.694408,0.722419,0.753592", \ - "0.757654,0.757232,0.755259,0.756172,0.757275,0.740934,0.754537", \ - "0.851424,0.849125,0.847368,0.843134,0.836252,0.820595,0.787710"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.564348,4.576116,4.602977,4.635650,4.671473,4.716988,4.811216", \ - "4.533021,4.546395,4.569203,4.600366,4.635088,4.714333,4.776147", \ - "4.494129,4.506778,4.527223,4.562168,4.620566,4.671835,4.736627", \ - "4.471956,4.484602,4.499281,4.539714,4.570448,4.649426,4.717158", \ - "4.473490,4.490216,4.506801,4.542659,4.582605,4.634565,4.733264", \ - "4.534231,4.544507,4.565734,4.585839,4.608904,4.680067,4.750842", \ - "4.589987,4.611774,4.649362,4.718994,4.724143,4.765998,4.806398"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.762506,0.763367,0.772264,0.785055,0.788970,0.815546,0.831062", \ - "0.725608,0.732632,0.735015,0.742753,0.763106,0.780624,0.799917", \ - "0.680088,0.688598,0.697851,0.713848,0.728599,0.750201,0.776695", \ - "0.653158,0.653454,0.665010,0.682730,0.707310,0.726500,0.758388", \ - "0.699846,0.703393,0.700068,0.707080,0.700338,0.718409,0.748741", \ - "0.758258,0.757696,0.760675,0.756610,0.760262,0.749377,0.749128", \ - "0.855205,0.854188,0.849709,0.845085,0.839065,0.826411,0.797125"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.733872,4.745888,4.772189,4.801901,4.854018,4.902987,4.966747", \ - "4.704889,4.717208,4.742486,4.761280,4.822018,4.871672,4.967534", \ - "4.663138,4.676127,4.697206,4.731082,4.774720,4.858801,4.926830", \ - "4.640116,4.653024,4.670546,4.705761,4.749269,4.834097,4.904617", \ - "4.639707,4.651695,4.678825,4.697147,4.735795,4.817629,4.887581", \ - "4.700637,4.711034,4.731403,4.752630,4.789753,4.832524,4.928267", \ - "4.762555,4.779953,4.825019,4.885998,4.900357,4.917904,4.991769"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.118330,1.125619,1.136746,1.151245,1.173001,1.198514,1.234573", \ - "1.082345,1.090074,1.103243,1.114230,1.138127,1.174666,1.207961", \ - "1.048000,1.050617,1.058100,1.084215,1.106500,1.136277,1.182840", \ - "1.020098,1.029066,1.040745,1.056415,1.084270,1.124150,1.166247", \ - "1.063381,1.064473,1.071047,1.075035,1.080740,1.106120,1.156821", \ - "1.125128,1.125849,1.128202,1.132934,1.140540,1.138621,1.159136", \ - "1.222777,1.221311,1.223080,1.219943,1.217349,1.218802,1.203201"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.371242,5.380336,5.397381,5.407435,5.455817,5.500824,5.560657", \ - "5.341204,5.347988,5.360058,5.387847,5.423830,5.469364,5.539984", \ - "5.302729,5.308095,5.328503,5.349171,5.379812,5.428436,5.492046", \ - "5.280249,5.285604,5.305448,5.330566,5.352880,5.406326,5.470675", \ - "5.285549,5.290371,5.307589,5.315822,5.343407,5.419144,5.485479", \ - "5.340891,5.344071,5.360412,5.379988,5.394625,5.434046,5.495657", \ - "5.450655,5.469293,5.486796,5.493956,5.505105,5.519814,5.558358"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.766455,0.771802,0.777331,0.787034,0.791258,0.816272,0.834623", \ - "0.735515,0.737051,0.745433,0.755581,0.769692,0.781639,0.800840", \ - "0.690768,0.692404,0.702575,0.712277,0.735917,0.753530,0.775272", \ - "0.652738,0.660697,0.671371,0.685754,0.699311,0.732475,0.759849", \ - "0.700510,0.703438,0.700572,0.706131,0.698281,0.718418,0.748131", \ - "0.759954,0.758739,0.756010,0.756243,0.758218,0.745741,0.748032", \ - "0.854705,0.851070,0.847606,0.845850,0.837851,0.824687,0.795530"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.847579,4.858590,4.873871,4.895782,4.957494,5.004825,5.064679", \ - "4.812535,4.823730,4.844753,4.874748,4.925742,4.971451,5.064315", \ - "4.773718,4.785521,4.807592,4.832392,4.882670,4.960756,5.025876", \ - "4.751160,4.762867,4.783638,4.820543,4.856885,4.937466,5.004625", \ - "4.756131,4.767300,4.787961,4.810179,4.843499,4.922540,4.987956", \ - "4.814864,4.824534,4.843130,4.868593,4.897710,4.937648,5.029174", \ - "4.875588,4.898208,4.938058,4.990898,5.007716,5.022660,5.093656"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.757242,0.756748,0.767414,0.777721,0.790159,0.808721,0.823669", \ - "0.719908,0.725786,0.731596,0.744789,0.754968,0.776867,0.796927", \ - "0.678459,0.685396,0.693178,0.705451,0.718726,0.747848,0.769466", \ - "0.655246,0.655609,0.659041,0.679259,0.696207,0.727412,0.755153", \ - "0.701154,0.703770,0.706828,0.708589,0.707780,0.712435,0.744311", \ - "0.762693,0.761963,0.759518,0.756490,0.760145,0.753968,0.744870", \ - "0.857164,0.855678,0.851330,0.848786,0.840370,0.827335,0.805612"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.027126,5.038154,5.058692,5.090255,5.122012,5.198045,5.260026", \ - "4.999802,5.011223,5.032701,5.063000,5.105977,5.168884,5.231424", \ - "4.957971,4.970064,4.988970,5.023818,5.074733,5.126319,5.224006", \ - "4.934289,4.946244,4.960732,4.994046,5.046751,5.098933,5.201290", \ - "4.934880,4.943913,4.966672,4.993945,5.034793,5.113485,5.180755", \ - "4.991079,5.002455,5.014479,5.049665,5.057061,5.129519,5.222198", \ - "5.058486,5.082010,5.122239,5.176621,5.196424,5.213606,5.254193"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI222_X2 - Cell Description : Combinational cell (OAI222_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI222_X2) { - - drive_strength : 2; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 46.314462; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 8.755656; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 22.946870; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 22.946870; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 33.087740; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 13.911344; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 69.696845; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 69.697035; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 79.860895; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 13.911353; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 69.697035; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 69.697225; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 79.861085; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 14.735640; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 79.843605; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 79.843890; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 90.013545; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 11.495355; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 41.723240; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 41.723430; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 51.870287; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 30.500619; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 43.574980; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 46.307180; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 50.541140; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 30.500618; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 46.307085; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 49.039095; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 53.273625; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 30.570330; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 50.537245; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 53.269540; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 57.504716; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 11.495354; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 41.723334; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 41.723429; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 51.870382; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 30.500618; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 46.307085; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 49.039000; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 53.273625; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 30.500712; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 49.039095; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 51.770915; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 56.006110; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 30.570328; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 53.269540; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 56.001550; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 60.237400; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 9.694991; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 41.096048; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 41.096143; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 51.243665; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 29.063466; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 50.534680; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 53.266595; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 57.502426; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 29.063465; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 53.266595; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 55.998415; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 60.234674; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 29.297770; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 57.497372; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 60.229392; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 64.466306; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.154322; - fall_capacitance : 2.817986; - rise_capacitance : 3.154322; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.911945; - fall_capacitance : 2.870355; - rise_capacitance : 2.911945; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.226371; - fall_capacitance : 2.851393; - rise_capacitance : 3.226371; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.958218; - fall_capacitance : 2.852122; - rise_capacitance : 2.958218; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.243100; - fall_capacitance : 2.826678; - rise_capacitance : 3.243100; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.995186; - fall_capacitance : 2.853120; - rise_capacitance : 2.995186; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 39.596600; - function : "!(((A1 | A2) & (B1 | B2)) & (C1 | C2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.044778,0.048370,0.053368,0.063192,0.082368,0.119850,0.193611", \ - "0.049307,0.052929,0.057953,0.067817,0.087052,0.124590,0.198392", \ - "0.064509,0.068078,0.073136,0.083032,0.102282,0.139866,0.213726", \ - "0.092355,0.096535,0.102203,0.112896,0.131908,0.169080,0.242677", \ - "0.117518,0.123299,0.130853,0.145565,0.171488,0.215104,0.288737", \ - "0.136499,0.144036,0.153970,0.172849,0.206492,0.262811,0.352529", \ - "0.148103,0.157460,0.169915,0.193414,0.235132,0.305075,0.415999"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.081784,0.090493,0.102827,0.127227,0.175788,0.272511,0.465366", \ - "0.083569,0.092517,0.105113,0.129941,0.179065,0.276360,0.469670", \ - "0.096853,0.105598,0.118090,0.142838,0.192104,0.289937,0.483942", \ - "0.129945,0.138114,0.149962,0.173975,0.222203,0.319166,0.512964", \ - "0.173144,0.184246,0.199088,0.226272,0.274116,0.369060,0.561150", \ - "0.220272,0.233977,0.252433,0.286000,0.344831,0.443101,0.632267", \ - "0.271975,0.288182,0.310195,0.350150,0.420340,0.537424,0.729565"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031481,0.034217,0.038137,0.045995,0.061681,0.093027,0.155704", \ - "0.031522,0.034247,0.038168,0.046010,0.061685,0.093022,0.155698", \ - "0.030429,0.033341,0.037572,0.045872,0.061672,0.093022,0.155706", \ - "0.039156,0.041408,0.044430,0.050186,0.063033,0.092819,0.155680", \ - "0.056629,0.059458,0.063367,0.070208,0.082542,0.104735,0.156979", \ - "0.078357,0.082024,0.087077,0.095833,0.110849,0.136043,0.179104", \ - "0.104002,0.108594,0.114903,0.125799,0.144311,0.174350,0.222356"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.095938,0.105294,0.118239,0.142941,0.190531,0.281963,0.458968", \ - "0.096012,0.105325,0.118237,0.142959,0.190536,0.281956,0.458957", \ - "0.095917,0.105290,0.118248,0.142937,0.190521,0.281952,0.458968", \ - "0.101183,0.108859,0.119978,0.142867,0.190543,0.281937,0.458972", \ - "0.128620,0.132624,0.140047,0.156909,0.195162,0.281920,0.458953", \ - "0.169411,0.173647,0.180184,0.193531,0.221095,0.291108,0.458950", \ - "0.213740,0.218211,0.224995,0.239151,0.267661,0.324296,0.466094"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.035680,0.039167,0.044041,0.053623,0.072419,0.109395,0.182563", \ - "0.040131,0.043651,0.048574,0.058214,0.077084,0.114130,0.187350", \ - "0.055719,0.059010,0.063819,0.073409,0.092290,0.129380,0.202679", \ - "0.079641,0.084275,0.090520,0.102042,0.122194,0.158665,0.231640", \ - "0.098817,0.105307,0.113787,0.129840,0.157648,0.203450,0.277825", \ - "0.111378,0.119850,0.130965,0.151726,0.187974,0.247385,0.340145", \ - "0.116350,0.126863,0.140780,0.166564,0.211603,0.285530,0.400510"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.079162,0.087891,0.100231,0.124603,0.173082,0.269667,0.462096", \ - "0.080934,0.089893,0.102482,0.127281,0.176338,0.273451,0.466380", \ - "0.094233,0.102992,0.115462,0.140176,0.189393,0.287010,0.480666", \ - "0.127439,0.135637,0.147441,0.171349,0.219508,0.316271,0.509668", \ - "0.169542,0.180820,0.195848,0.223392,0.271490,0.366171,0.557865", \ - "0.215652,0.229563,0.248224,0.282215,0.341584,0.440253,0.629033", \ - "0.266291,0.282759,0.304959,0.345410,0.416253,0.533998,0.726332"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026151,0.028874,0.032764,0.040564,0.056172,0.087408,0.149889", \ - "0.026181,0.028905,0.032788,0.040583,0.056178,0.087411,0.149892", \ - "0.025484,0.028107,0.031974,0.040074,0.056130,0.087403,0.149906", \ - "0.037179,0.039379,0.042385,0.048099,0.059571,0.087486,0.149880", \ - "0.055069,0.057972,0.061923,0.068823,0.081045,0.102744,0.152324", \ - "0.076971,0.080725,0.085956,0.094781,0.109824,0.134856,0.177141", \ - "0.102801,0.107506,0.113930,0.125020,0.143581,0.173489,0.221132"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.069206,0.077791,0.089959,0.114031,0.161390,0.253066,0.430206", \ - "0.069208,0.077837,0.089988,0.114046,0.161401,0.253033,0.430201", \ - "0.069175,0.077794,0.089950,0.114008,0.161399,0.253035,0.430207", \ - "0.074847,0.081641,0.091955,0.114020,0.161356,0.253023,0.430191", \ - "0.100320,0.106036,0.113076,0.128644,0.166314,0.252980,0.430199", \ - "0.131745,0.137894,0.146697,0.163048,0.192908,0.262492,0.430202", \ - "0.168805,0.175341,0.184729,0.202795,0.236303,0.296130,0.437527"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.028871,0.031776,0.035833,0.043785,0.059343,0.089888,0.150246", \ - "0.033535,0.036473,0.040567,0.048571,0.064191,0.094790,0.155192", \ - "0.050500,0.053231,0.056949,0.064693,0.080252,0.110855,0.171294", \ - "0.072747,0.076985,0.082671,0.093096,0.111191,0.141809,0.201868", \ - "0.090333,0.096353,0.104164,0.118876,0.144302,0.185740,0.250634", \ - "0.101235,0.109158,0.119508,0.138763,0.172274,0.226647,0.310725", \ - "0.104378,0.114282,0.127370,0.151440,0.193389,0.261771,0.366961"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.079003,0.087727,0.100070,0.124434,0.172928,0.269463,0.461947", \ - "0.080536,0.089471,0.102066,0.126871,0.175931,0.273074,0.466062", \ - "0.093923,0.102661,0.115082,0.139753,0.188911,0.286507,0.480187", \ - "0.127364,0.135541,0.147344,0.171196,0.219218,0.315882,0.509196", \ - "0.169684,0.180928,0.195929,0.223453,0.271486,0.366028,0.557555", \ - "0.216119,0.229991,0.248647,0.282573,0.341843,0.440395,0.629016", \ - "0.267256,0.283656,0.305789,0.346153,0.416886,0.534468,0.726628"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.021702,0.023983,0.027228,0.033700,0.046644,0.072526,0.124329", \ - "0.021672,0.023973,0.027234,0.033714,0.046654,0.072535,0.124321", \ - "0.021726,0.023715,0.026666,0.032959,0.046425,0.072516,0.124313", \ - "0.034285,0.036201,0.038768,0.043568,0.052504,0.073545,0.124283", \ - "0.051706,0.054262,0.057808,0.063888,0.074549,0.092931,0.130161", \ - "0.073098,0.076476,0.081225,0.089120,0.102505,0.124423,0.160353", \ - "0.098469,0.102769,0.108621,0.118758,0.135374,0.161936,0.203475"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.069235,0.077851,0.089983,0.114049,0.161424,0.253047,0.430216", \ - "0.069212,0.077832,0.089994,0.114039,0.161405,0.253045,0.430236", \ - "0.069169,0.077792,0.089939,0.114028,0.161407,0.253049,0.430229", \ - "0.074883,0.081696,0.091954,0.114040,0.161371,0.253027,0.430228", \ - "0.100184,0.105935,0.112982,0.128621,0.166341,0.252995,0.430220", \ - "0.131398,0.137627,0.146415,0.162841,0.192786,0.262470,0.430221", \ - "0.168168,0.174760,0.184142,0.202303,0.235948,0.295914,0.437505"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.035680,0.039167,0.044041,0.053623,0.072419,0.109395,0.182563", \ - "0.040131,0.043651,0.048574,0.058214,0.077084,0.114130,0.187350", \ - "0.055719,0.059010,0.063819,0.073409,0.092290,0.129380,0.202679", \ - "0.079641,0.084275,0.090520,0.102042,0.122194,0.158665,0.231640", \ - "0.098817,0.105307,0.113787,0.129840,0.157648,0.203450,0.277825", \ - "0.111378,0.119850,0.130965,0.151726,0.187974,0.247385,0.340145", \ - "0.116350,0.126863,0.140780,0.166564,0.211603,0.285530,0.400510"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.079162,0.087891,0.100231,0.124603,0.173082,0.269667,0.462096", \ - "0.080934,0.089893,0.102482,0.127281,0.176338,0.273451,0.466380", \ - "0.094233,0.102992,0.115462,0.140176,0.189393,0.287010,0.480666", \ - "0.127439,0.135637,0.147441,0.171349,0.219508,0.316271,0.509668", \ - "0.169542,0.180820,0.195848,0.223392,0.271490,0.366171,0.557865", \ - "0.215652,0.229563,0.248224,0.282215,0.341584,0.440253,0.629033", \ - "0.266291,0.282759,0.304959,0.345410,0.416253,0.533998,0.726332"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026151,0.028874,0.032764,0.040564,0.056172,0.087408,0.149889", \ - "0.026181,0.028905,0.032788,0.040583,0.056178,0.087411,0.149892", \ - "0.025484,0.028107,0.031974,0.040074,0.056130,0.087403,0.149906", \ - "0.037179,0.039379,0.042385,0.048099,0.059571,0.087486,0.149880", \ - "0.055069,0.057972,0.061923,0.068823,0.081045,0.102744,0.152324", \ - "0.076971,0.080725,0.085956,0.094781,0.109824,0.134856,0.177141", \ - "0.102801,0.107506,0.113930,0.125020,0.143581,0.173489,0.221132"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.069206,0.077791,0.089959,0.114031,0.161390,0.253066,0.430206", \ - "0.069208,0.077837,0.089988,0.114046,0.161401,0.253033,0.430201", \ - "0.069175,0.077794,0.089950,0.114008,0.161399,0.253035,0.430207", \ - "0.074847,0.081641,0.091955,0.114020,0.161356,0.253023,0.430191", \ - "0.100320,0.106036,0.113076,0.128644,0.166314,0.252980,0.430199", \ - "0.131745,0.137894,0.146697,0.163048,0.192908,0.262492,0.430202", \ - "0.168805,0.175341,0.184729,0.202795,0.236303,0.296130,0.437527"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.027753,0.030992,0.035572,0.044703,0.062892,0.099180,0.171669", \ - "0.032098,0.035382,0.040027,0.049239,0.067530,0.103899,0.176452", \ - "0.047504,0.050906,0.055360,0.064378,0.082682,0.119139,0.191764", \ - "0.065283,0.070564,0.077655,0.090346,0.111950,0.148435,0.220761", \ - "0.077364,0.084894,0.094770,0.112625,0.142884,0.191277,0.267033", \ - "0.082550,0.092392,0.105164,0.128539,0.168153,0.231233,0.327486", \ - "0.079987,0.092193,0.108169,0.137080,0.186334,0.265074,0.384623"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.076570,0.085303,0.097626,0.121994,0.170388,0.266711,0.458792", \ - "0.078311,0.087240,0.099844,0.124660,0.173635,0.270534,0.463086", \ - "0.091647,0.100403,0.112830,0.137545,0.186663,0.284093,0.477351", \ - "0.124739,0.133182,0.144929,0.168762,0.216804,0.313367,0.506364", \ - "0.165895,0.177342,0.192514,0.220471,0.268802,0.363304,0.554554", \ - "0.210974,0.225122,0.243972,0.278397,0.338293,0.437424,0.625749", \ - "0.260561,0.277297,0.299667,0.340601,0.412109,0.530557,0.723087"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020234,0.022995,0.026935,0.034800,0.050446,0.081675,0.144073", \ - "0.020152,0.022950,0.026908,0.034789,0.050450,0.081680,0.144076", \ - "0.021673,0.023788,0.027130,0.034414,0.050351,0.081679,0.144076", \ - "0.035418,0.037612,0.040563,0.046139,0.056765,0.082432,0.144065", \ - "0.053962,0.056867,0.060884,0.067783,0.079809,0.101134,0.147949", \ - "0.076497,0.080264,0.085498,0.094260,0.109184,0.133886,0.175602", \ - "0.102964,0.107667,0.114034,0.125076,0.143393,0.172974,0.220113"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.056365,0.064078,0.075077,0.096877,0.140516,0.227882,0.402282", \ - "0.056372,0.064075,0.075068,0.096884,0.140548,0.227857,0.402264", \ - "0.056325,0.064063,0.075040,0.096851,0.140551,0.227852,0.402283", \ - "0.062552,0.068368,0.077324,0.096950,0.140509,0.227831,0.402266", \ - "0.083748,0.089677,0.097864,0.111993,0.145717,0.227756,0.402272", \ - "0.107477,0.114519,0.124271,0.141667,0.172406,0.237557,0.402271", \ - "0.134165,0.142421,0.153846,0.174264,0.210169,0.271401,0.409774"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022790,0.025459,0.029238,0.036769,0.051773,0.081688,0.141434", \ - "0.027402,0.030098,0.033920,0.041515,0.056596,0.086582,0.146377", \ - "0.043326,0.046369,0.050380,0.057680,0.072628,0.102640,0.162475", \ - "0.059817,0.064727,0.071171,0.082695,0.102186,0.133644,0.193076", \ - "0.070665,0.077673,0.086823,0.103296,0.130996,0.174913,0.241963", \ - "0.074461,0.083705,0.095685,0.117486,0.154106,0.212080,0.299403", \ - "0.070275,0.081829,0.096928,0.124059,0.170115,0.243059,0.352596"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.076397,0.085122,0.097458,0.121827,0.170235,0.266553,0.458648", \ - "0.077916,0.086833,0.099428,0.124234,0.173228,0.270160,0.462755", \ - "0.091349,0.100075,0.112473,0.137125,0.186178,0.283591,0.476880", \ - "0.124662,0.133091,0.144805,0.168581,0.216525,0.312977,0.505878", \ - "0.166028,0.177481,0.192622,0.220543,0.268794,0.363166,0.554269", \ - "0.211448,0.225566,0.244393,0.278756,0.338557,0.437567,0.625733", \ - "0.261539,0.278221,0.300533,0.341380,0.412753,0.531035,0.723415"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016660,0.018981,0.022282,0.028828,0.041834,0.067748,0.119505", \ - "0.016487,0.018869,0.022222,0.028804,0.041838,0.067752,0.119506", \ - "0.019450,0.020886,0.023289,0.028855,0.041454,0.067742,0.119512", \ - "0.032955,0.034857,0.037406,0.042160,0.050941,0.070107,0.119477", \ - "0.051003,0.053593,0.057160,0.063244,0.073763,0.091844,0.127283", \ - "0.073121,0.076517,0.081196,0.089082,0.102294,0.123905,0.159368", \ - "0.099217,0.103497,0.109265,0.119282,0.135681,0.161862,0.202918"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.056368,0.064061,0.075086,0.096898,0.140573,0.227871,0.402296", \ - "0.056374,0.064060,0.075065,0.096859,0.140541,0.227870,0.402302", \ - "0.056326,0.064045,0.075032,0.096872,0.140533,0.227865,0.402291", \ - "0.062592,0.068394,0.077357,0.096973,0.140524,0.227853,0.402303", \ - "0.083662,0.089567,0.097789,0.111979,0.145724,0.227767,0.402306", \ - "0.107202,0.114260,0.124012,0.141489,0.172284,0.237534,0.402293", \ - "0.133612,0.141893,0.153295,0.173835,0.209836,0.271186,0.409744"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.028871,0.031776,0.035833,0.043785,0.059343,0.089888,0.150246", \ - "0.033535,0.036473,0.040567,0.048571,0.064191,0.094790,0.155192", \ - "0.050500,0.053231,0.056949,0.064693,0.080252,0.110855,0.171294", \ - "0.072747,0.076985,0.082671,0.093096,0.111191,0.141809,0.201868", \ - "0.090333,0.096353,0.104164,0.118876,0.144302,0.185740,0.250634", \ - "0.101235,0.109158,0.119508,0.138763,0.172274,0.226647,0.310725", \ - "0.104378,0.114282,0.127370,0.151440,0.193389,0.261771,0.366961"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.079003,0.087727,0.100070,0.124434,0.172928,0.269463,0.461947", \ - "0.080536,0.089471,0.102066,0.126871,0.175931,0.273074,0.466062", \ - "0.093923,0.102661,0.115082,0.139753,0.188911,0.286507,0.480187", \ - "0.127364,0.135541,0.147344,0.171196,0.219218,0.315882,0.509196", \ - "0.169684,0.180928,0.195929,0.223453,0.271486,0.366028,0.557555", \ - "0.216119,0.229991,0.248647,0.282573,0.341843,0.440395,0.629016", \ - "0.267256,0.283656,0.305789,0.346153,0.416886,0.534468,0.726628"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.021702,0.023983,0.027228,0.033700,0.046644,0.072526,0.124329", \ - "0.021672,0.023973,0.027234,0.033714,0.046654,0.072535,0.124321", \ - "0.021726,0.023715,0.026666,0.032959,0.046425,0.072516,0.124313", \ - "0.034285,0.036201,0.038768,0.043568,0.052504,0.073545,0.124283", \ - "0.051706,0.054262,0.057808,0.063888,0.074549,0.092931,0.130161", \ - "0.073098,0.076476,0.081225,0.089120,0.102505,0.124423,0.160353", \ - "0.098469,0.102769,0.108621,0.118758,0.135374,0.161936,0.203475"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.069235,0.077851,0.089983,0.114049,0.161424,0.253047,0.430216", \ - "0.069212,0.077832,0.089994,0.114039,0.161405,0.253045,0.430236", \ - "0.069169,0.077792,0.089939,0.114028,0.161407,0.253049,0.430229", \ - "0.074883,0.081696,0.091954,0.114040,0.161371,0.253027,0.430228", \ - "0.100184,0.105935,0.112982,0.128621,0.166341,0.252995,0.430220", \ - "0.131398,0.137627,0.146415,0.162841,0.192786,0.262470,0.430221", \ - "0.168168,0.174760,0.184142,0.202303,0.235948,0.295914,0.437505"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022790,0.025459,0.029238,0.036769,0.051773,0.081688,0.141434", \ - "0.027402,0.030098,0.033920,0.041515,0.056596,0.086582,0.146377", \ - "0.043326,0.046369,0.050380,0.057680,0.072628,0.102640,0.162475", \ - "0.059817,0.064727,0.071171,0.082695,0.102186,0.133644,0.193076", \ - "0.070665,0.077673,0.086823,0.103296,0.130996,0.174913,0.241963", \ - "0.074461,0.083705,0.095685,0.117486,0.154106,0.212080,0.299403", \ - "0.070275,0.081829,0.096928,0.124059,0.170115,0.243059,0.352596"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.076397,0.085122,0.097458,0.121827,0.170235,0.266553,0.458648", \ - "0.077916,0.086833,0.099428,0.124234,0.173228,0.270160,0.462755", \ - "0.091349,0.100075,0.112473,0.137125,0.186178,0.283591,0.476880", \ - "0.124662,0.133091,0.144805,0.168581,0.216525,0.312977,0.505878", \ - "0.166028,0.177481,0.192622,0.220543,0.268794,0.363166,0.554269", \ - "0.211448,0.225566,0.244393,0.278756,0.338557,0.437567,0.625733", \ - "0.261539,0.278221,0.300533,0.341380,0.412753,0.531035,0.723415"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016660,0.018981,0.022282,0.028828,0.041834,0.067748,0.119505", \ - "0.016487,0.018869,0.022222,0.028804,0.041838,0.067752,0.119506", \ - "0.019450,0.020886,0.023289,0.028855,0.041454,0.067742,0.119512", \ - "0.032955,0.034857,0.037406,0.042160,0.050941,0.070107,0.119477", \ - "0.051003,0.053593,0.057160,0.063244,0.073763,0.091844,0.127283", \ - "0.073121,0.076517,0.081196,0.089082,0.102294,0.123905,0.159368", \ - "0.099217,0.103497,0.109265,0.119282,0.135681,0.161862,0.202918"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.056368,0.064061,0.075086,0.096898,0.140573,0.227871,0.402296", \ - "0.056374,0.064060,0.075065,0.096859,0.140541,0.227870,0.402302", \ - "0.056326,0.064045,0.075032,0.096872,0.140533,0.227865,0.402291", \ - "0.062592,0.068394,0.077357,0.096973,0.140524,0.227853,0.402303", \ - "0.083662,0.089567,0.097789,0.111979,0.145724,0.227767,0.402306", \ - "0.107202,0.114260,0.124012,0.141489,0.172284,0.237534,0.402293", \ - "0.133612,0.141893,0.153295,0.173835,0.209836,0.271186,0.409744"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019538,0.021632,0.024596,0.030501,0.042258,0.065717,0.112578", \ - "0.024310,0.026431,0.029429,0.035381,0.047202,0.070710,0.117608", \ - "0.039844,0.042558,0.046126,0.052505,0.064171,0.087662,0.134557", \ - "0.054714,0.059165,0.065013,0.075408,0.092795,0.120422,0.167101", \ - "0.064040,0.070501,0.078902,0.093992,0.119079,0.158432,0.217520", \ - "0.066266,0.074887,0.086045,0.106193,0.139808,0.192363,0.270466", \ - "0.060314,0.071184,0.085363,0.110671,0.153353,0.220190,0.319207"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.076386,0.085114,0.097441,0.121831,0.170235,0.266588,0.458696", \ - "0.077638,0.086552,0.099155,0.123973,0.172969,0.269928,0.462561", \ - "0.091029,0.099721,0.112067,0.136660,0.185664,0.283038,0.476367", \ - "0.124593,0.132991,0.144696,0.168393,0.216223,0.312529,0.505330", \ - "0.166180,0.177599,0.192762,0.220629,0.268804,0.363046,0.553925", \ - "0.211955,0.226038,0.244823,0.279112,0.338867,0.437733,0.625736", \ - "0.262574,0.279197,0.301447,0.342206,0.413446,0.531551,0.723763"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012992,0.014798,0.017374,0.022518,0.032785,0.053282,0.094239", \ - "0.012926,0.014751,0.017349,0.022508,0.032777,0.053278,0.094241", \ - "0.017367,0.018584,0.020236,0.023951,0.032865,0.053275,0.094244", \ - "0.030404,0.032047,0.034229,0.038245,0.045392,0.058831,0.094323", \ - "0.047876,0.050175,0.053284,0.058515,0.067504,0.082561,0.108522", \ - "0.069541,0.072554,0.076672,0.083614,0.095027,0.113490,0.142959", \ - "0.095214,0.099073,0.104235,0.113187,0.127491,0.150101,0.184995"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.056372,0.064064,0.075082,0.096875,0.140534,0.227926,0.402309", \ - "0.056376,0.064064,0.075081,0.096910,0.140561,0.227884,0.402322", \ - "0.056328,0.064047,0.075050,0.096885,0.140569,0.227875,0.402315", \ - "0.062634,0.068431,0.077388,0.096995,0.140539,0.227874,0.402328", \ - "0.083612,0.089540,0.097697,0.111953,0.145746,0.227800,0.402309", \ - "0.106935,0.114005,0.123748,0.141269,0.172139,0.237505,0.402316", \ - "0.133049,0.141355,0.152737,0.173393,0.209481,0.270954,0.409708"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.054121,0.057740,0.062814,0.072750,0.092150,0.129992,0.204205", \ - "0.057950,0.061594,0.066657,0.076604,0.096022,0.133868,0.208089", \ - "0.072634,0.076260,0.081411,0.091400,0.110837,0.148674,0.222895", \ - "0.102057,0.105954,0.111232,0.121362,0.140172,0.177842,0.251827", \ - "0.132028,0.137338,0.144255,0.158019,0.182580,0.224529,0.297918", \ - "0.156492,0.163393,0.172538,0.190073,0.221711,0.275556,0.362746", \ - "0.174120,0.182663,0.194082,0.215863,0.255038,0.321669,0.429100"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.095924,0.104546,0.116815,0.141037,0.189471,0.286087,0.478886", \ - "0.100425,0.109220,0.121647,0.146217,0.195057,0.292104,0.485227", \ - "0.116973,0.125738,0.138178,0.162942,0.212069,0.309675,0.503471", \ - "0.146576,0.155226,0.167358,0.191882,0.240810,0.338378,0.532501", \ - "0.185521,0.195747,0.209581,0.235975,0.285133,0.382287,0.576253", \ - "0.228932,0.241031,0.257541,0.288314,0.344515,0.445190,0.638685", \ - "0.277960,0.292207,0.311388,0.346899,0.411057,0.523834,0.721827"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.036444,0.039241,0.043223,0.051181,0.067023,0.098562,0.161438", \ - "0.036478,0.039249,0.043226,0.051199,0.067016,0.098553,0.161429", \ - "0.036172,0.039181,0.043286,0.051251,0.067042,0.098544,0.161427", \ - "0.042046,0.044220,0.047250,0.053621,0.067568,0.098405,0.161446", \ - "0.059393,0.062148,0.065990,0.072766,0.085067,0.107921,0.162173", \ - "0.081122,0.084674,0.089644,0.098235,0.113175,0.138343,0.182093", \ - "0.106405,0.110907,0.117100,0.127901,0.146313,0.176397,0.224615"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.095969,0.105283,0.118260,0.142940,0.190514,0.281954,0.458957", \ - "0.096016,0.105287,0.118231,0.142947,0.190522,0.281958,0.458961", \ - "0.095929,0.105303,0.118224,0.142961,0.190542,0.281954,0.458962", \ - "0.097528,0.106260,0.118490,0.142948,0.190536,0.281938,0.458965", \ - "0.113603,0.119546,0.129235,0.149912,0.192816,0.281916,0.458959", \ - "0.141182,0.146789,0.155413,0.172738,0.208068,0.287449,0.458951", \ - "0.173487,0.178597,0.186644,0.203173,0.237710,0.307713,0.464053"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.044472,0.048036,0.053020,0.062810,0.081940,0.119385,0.193112", \ - "0.048286,0.051862,0.056850,0.066652,0.085809,0.123264,0.197006", \ - "0.062969,0.066540,0.071610,0.081449,0.100632,0.138085,0.211809", \ - "0.090379,0.094575,0.100329,0.111107,0.130222,0.167331,0.240775", \ - "0.115109,0.120954,0.128553,0.143397,0.169478,0.213269,0.286968", \ - "0.133863,0.141470,0.151478,0.170480,0.204279,0.260782,0.350701", \ - "0.145581,0.154992,0.167510,0.191106,0.232950,0.303023,0.414082"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.093352,0.101956,0.114208,0.138461,0.186763,0.283231,0.475587", \ - "0.097802,0.106603,0.119028,0.143606,0.192350,0.289190,0.481922", \ - "0.114348,0.123124,0.135556,0.160260,0.209352,0.306798,0.500146", \ - "0.144015,0.152656,0.164776,0.189243,0.238078,0.335469,0.529221", \ - "0.182369,0.192667,0.206580,0.233155,0.282419,0.379375,0.572958", \ - "0.225074,0.237332,0.253955,0.285015,0.341429,0.442288,0.635375", \ - "0.273284,0.287745,0.307156,0.343001,0.407498,0.520544,0.718537"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031523,0.034257,0.038173,0.046011,0.061685,0.093029,0.155703", \ - "0.031603,0.034295,0.038215,0.046026,0.061696,0.093031,0.155711", \ - "0.030720,0.033615,0.037739,0.046016,0.061721,0.093045,0.155700", \ - "0.040007,0.042196,0.045200,0.050925,0.063612,0.093065,0.155703", \ - "0.057716,0.060544,0.064437,0.071231,0.083463,0.105503,0.157356", \ - "0.079371,0.083038,0.088144,0.096937,0.111932,0.137037,0.179921", \ - "0.104398,0.109063,0.115574,0.126537,0.145208,0.175352,0.223299"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.069265,0.077822,0.089993,0.114115,0.161403,0.253058,0.430195", \ - "0.069220,0.077823,0.089943,0.114075,0.161411,0.253041,0.430193", \ - "0.069236,0.077823,0.089938,0.114041,0.161412,0.253056,0.430201", \ - "0.070916,0.078818,0.090255,0.114021,0.161367,0.253025,0.430205", \ - "0.085727,0.092672,0.101764,0.121324,0.163743,0.252984,0.430203", \ - "0.106861,0.113713,0.123456,0.142571,0.179563,0.258677,0.430196", \ - "0.134045,0.140554,0.150183,0.169218,0.206869,0.279299,0.435396"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.035828,0.038803,0.042965,0.051097,0.066969,0.097929,0.158774", \ - "0.039950,0.042945,0.047116,0.055276,0.071153,0.102130,0.162982", \ - "0.055882,0.058709,0.062827,0.070976,0.086867,0.117825,0.178666", \ - "0.082313,0.086170,0.091367,0.101100,0.118216,0.148651,0.209219", \ - "0.105118,0.110527,0.117504,0.131114,0.154891,0.194456,0.257917", \ - "0.121910,0.129003,0.138315,0.155864,0.187039,0.238717,0.320085", \ - "0.131539,0.140379,0.152118,0.174076,0.212978,0.277656,0.379157"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.093164,0.101787,0.114029,0.138264,0.186606,0.283040,0.475490", \ - "0.097456,0.106237,0.118676,0.143269,0.191989,0.288842,0.481602", \ - "0.114091,0.122822,0.135240,0.159896,0.208935,0.306365,0.499737", \ - "0.143924,0.152532,0.164622,0.189041,0.237798,0.335109,0.528797", \ - "0.182317,0.192569,0.206593,0.233060,0.282278,0.379141,0.572600", \ - "0.225126,0.237325,0.253996,0.284965,0.341384,0.442169,0.635177", \ - "0.273610,0.288014,0.307390,0.343196,0.407625,0.520586,0.718500"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026432,0.028656,0.031867,0.038321,0.051261,0.077192,0.129105", \ - "0.026450,0.028682,0.031890,0.038330,0.051260,0.077197,0.129106", \ - "0.025528,0.027772,0.031019,0.037777,0.051246,0.077194,0.129105", \ - "0.036620,0.038494,0.041038,0.045811,0.055234,0.077614,0.129099", \ - "0.053889,0.056416,0.059899,0.065878,0.076482,0.094884,0.133774", \ - "0.074923,0.078291,0.082906,0.090801,0.104153,0.126100,0.162225", \ - "0.099436,0.103725,0.109606,0.119685,0.136487,0.163307,0.205114"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.069199,0.077821,0.089952,0.114047,0.161402,0.253054,0.430241", \ - "0.069207,0.077789,0.089947,0.114086,0.161417,0.253046,0.430214", \ - "0.069224,0.077803,0.089945,0.114046,0.161407,0.253060,0.430221", \ - "0.070905,0.078821,0.090262,0.114023,0.161397,0.253039,0.430226", \ - "0.085733,0.092636,0.101753,0.121327,0.163753,0.252994,0.430223", \ - "0.106817,0.113623,0.123408,0.142558,0.179578,0.258685,0.430207", \ - "0.133862,0.140403,0.149974,0.169128,0.206825,0.279296,0.435432"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.044472,0.048036,0.053020,0.062810,0.081940,0.119385,0.193112", \ - "0.048286,0.051862,0.056850,0.066652,0.085809,0.123264,0.197006", \ - "0.062969,0.066540,0.071610,0.081449,0.100632,0.138085,0.211809", \ - "0.090379,0.094575,0.100329,0.111107,0.130222,0.167331,0.240775", \ - "0.115109,0.120954,0.128553,0.143397,0.169478,0.213269,0.286968", \ - "0.133863,0.141470,0.151478,0.170480,0.204279,0.260782,0.350701", \ - "0.145581,0.154992,0.167510,0.191106,0.232950,0.303023,0.414082"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.093352,0.101956,0.114208,0.138461,0.186763,0.283231,0.475587", \ - "0.097802,0.106603,0.119028,0.143606,0.192350,0.289190,0.481922", \ - "0.114348,0.123124,0.135556,0.160260,0.209352,0.306798,0.500146", \ - "0.144015,0.152656,0.164776,0.189243,0.238078,0.335469,0.529221", \ - "0.182369,0.192667,0.206580,0.233155,0.282419,0.379375,0.572958", \ - "0.225074,0.237332,0.253955,0.285015,0.341429,0.442288,0.635375", \ - "0.273284,0.287745,0.307156,0.343001,0.407498,0.520544,0.718537"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031523,0.034257,0.038173,0.046011,0.061685,0.093029,0.155703", \ - "0.031603,0.034295,0.038215,0.046026,0.061696,0.093031,0.155711", \ - "0.030720,0.033615,0.037739,0.046016,0.061721,0.093045,0.155700", \ - "0.040007,0.042196,0.045200,0.050925,0.063612,0.093065,0.155703", \ - "0.057716,0.060544,0.064437,0.071231,0.083463,0.105503,0.157356", \ - "0.079371,0.083038,0.088144,0.096937,0.111932,0.137037,0.179921", \ - "0.104398,0.109063,0.115574,0.126537,0.145208,0.175352,0.223299"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.069265,0.077822,0.089993,0.114115,0.161403,0.253058,0.430195", \ - "0.069220,0.077823,0.089943,0.114075,0.161411,0.253041,0.430193", \ - "0.069236,0.077823,0.089938,0.114041,0.161412,0.253056,0.430201", \ - "0.070916,0.078818,0.090255,0.114021,0.161367,0.253025,0.430205", \ - "0.085727,0.092672,0.101764,0.121324,0.163743,0.252984,0.430203", \ - "0.106861,0.113713,0.123456,0.142571,0.179563,0.258677,0.430196", \ - "0.134045,0.140554,0.150183,0.169218,0.206869,0.279299,0.435396"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.035358,0.038836,0.043698,0.053252,0.072006,0.108936,0.182085", \ - "0.039143,0.042628,0.047516,0.057087,0.075866,0.112817,0.185969", \ - "0.054205,0.057473,0.062294,0.071884,0.090683,0.127635,0.200779", \ - "0.077506,0.082184,0.088527,0.100172,0.120452,0.156933,0.229761", \ - "0.096220,0.102800,0.111358,0.127582,0.155574,0.201576,0.276104", \ - "0.108586,0.117150,0.128352,0.149275,0.185733,0.245323,0.338299", \ - "0.113800,0.124377,0.138355,0.164251,0.209426,0.283482,0.398590"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.090763,0.099384,0.111597,0.135827,0.184080,0.280286,0.472286", \ - "0.095210,0.103995,0.116420,0.140976,0.189650,0.286276,0.478618", \ - "0.111735,0.120516,0.132934,0.157615,0.206623,0.303850,0.496839", \ - "0.141465,0.150077,0.162201,0.186604,0.235361,0.332551,0.525898", \ - "0.179175,0.189578,0.203610,0.230340,0.279723,0.376482,0.569645", \ - "0.221180,0.233572,0.250417,0.281608,0.338356,0.439399,0.632102", \ - "0.268555,0.283204,0.302786,0.339047,0.403935,0.517277,0.715290"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026455,0.029105,0.032944,0.040680,0.056206,0.087418,0.149931", \ - "0.026423,0.029100,0.032954,0.040679,0.056218,0.087421,0.149922", \ - "0.026090,0.028637,0.032405,0.040314,0.056279,0.087430,0.149916", \ - "0.038251,0.040431,0.043362,0.048956,0.060307,0.087682,0.149908", \ - "0.056366,0.059245,0.063194,0.070016,0.082105,0.103600,0.152764", \ - "0.078060,0.081876,0.087137,0.095998,0.111003,0.135900,0.177996", \ - "0.102990,0.107812,0.114414,0.125686,0.144469,0.174526,0.222111"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.056364,0.064084,0.075067,0.096885,0.140552,0.227864,0.402266", \ - "0.056366,0.064080,0.075064,0.096901,0.140551,0.227848,0.402262", \ - "0.056364,0.064086,0.075074,0.096872,0.140527,0.227870,0.402266", \ - "0.058254,0.065237,0.075390,0.096855,0.140504,0.227840,0.402285", \ - "0.070761,0.077390,0.086680,0.104344,0.143005,0.227775,0.402266", \ - "0.087087,0.094003,0.103962,0.122566,0.158750,0.233548,0.402269", \ - "0.107776,0.114931,0.125362,0.144681,0.182325,0.254292,0.407513"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.028576,0.031490,0.035544,0.043492,0.059031,0.089541,0.149878", \ - "0.032703,0.035616,0.039691,0.047654,0.063212,0.093737,0.154080", \ - "0.049114,0.051901,0.055671,0.063405,0.078939,0.109449,0.169764", \ - "0.070793,0.075099,0.080864,0.091406,0.109649,0.140373,0.200350", \ - "0.087881,0.093999,0.101910,0.116787,0.142414,0.184067,0.249189", \ - "0.098561,0.106579,0.117023,0.136428,0.170186,0.224814,0.309067", \ - "0.101909,0.111882,0.125039,0.149236,0.191329,0.259863,0.365218"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.090598,0.099217,0.111436,0.135672,0.183926,0.280143,0.472175", \ - "0.094868,0.103641,0.116056,0.140615,0.189287,0.285931,0.478300", \ - "0.111487,0.120223,0.132622,0.157257,0.206235,0.303414,0.496431", \ - "0.141370,0.149994,0.162047,0.186405,0.235079,0.332184,0.525477", \ - "0.179123,0.189496,0.203597,0.230259,0.279580,0.376233,0.569291", \ - "0.221229,0.233605,0.250433,0.281607,0.338306,0.439280,0.631874", \ - "0.268889,0.283508,0.303066,0.339250,0.404070,0.517323,0.715240"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022136,0.024351,0.027517,0.033897,0.046719,0.072539,0.124332", \ - "0.021966,0.024239,0.027446,0.033869,0.046719,0.072538,0.124342", \ - "0.022359,0.024280,0.027175,0.033330,0.046601,0.072565,0.124325", \ - "0.035326,0.037187,0.039715,0.044431,0.053260,0.073973,0.124362", \ - "0.052963,0.055504,0.059031,0.065048,0.075592,0.093777,0.130701", \ - "0.074151,0.077569,0.082379,0.090313,0.103676,0.125475,0.161194", \ - "0.098655,0.103076,0.109110,0.119412,0.136233,0.162928,0.204433"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.056366,0.064057,0.075060,0.096899,0.140569,0.227888,0.402303", \ - "0.056368,0.064053,0.075062,0.096897,0.140550,0.227875,0.402285", \ - "0.056366,0.064057,0.075076,0.096875,0.140571,0.227873,0.402288", \ - "0.058282,0.065253,0.075407,0.096873,0.140506,0.227868,0.402305", \ - "0.070778,0.077389,0.086693,0.104377,0.143033,0.227790,0.402287", \ - "0.087083,0.093947,0.103899,0.122584,0.158771,0.233569,0.402283", \ - "0.107655,0.114781,0.125214,0.144615,0.182299,0.254296,0.407564"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.035828,0.038803,0.042965,0.051097,0.066969,0.097929,0.158774", \ - "0.039950,0.042945,0.047116,0.055276,0.071153,0.102130,0.162982", \ - "0.055882,0.058709,0.062827,0.070976,0.086867,0.117825,0.178666", \ - "0.082313,0.086170,0.091367,0.101100,0.118216,0.148651,0.209219", \ - "0.105118,0.110527,0.117504,0.131114,0.154891,0.194456,0.257917", \ - "0.121910,0.129003,0.138315,0.155864,0.187039,0.238717,0.320085", \ - "0.131539,0.140379,0.152118,0.174076,0.212978,0.277656,0.379157"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.093164,0.101787,0.114029,0.138264,0.186606,0.283040,0.475490", \ - "0.097456,0.106237,0.118676,0.143269,0.191989,0.288842,0.481602", \ - "0.114091,0.122822,0.135240,0.159896,0.208935,0.306365,0.499737", \ - "0.143924,0.152532,0.164622,0.189041,0.237798,0.335109,0.528797", \ - "0.182317,0.192569,0.206593,0.233060,0.282278,0.379141,0.572600", \ - "0.225126,0.237325,0.253996,0.284965,0.341384,0.442169,0.635177", \ - "0.273610,0.288014,0.307390,0.343196,0.407625,0.520586,0.718500"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026432,0.028656,0.031867,0.038321,0.051261,0.077192,0.129105", \ - "0.026450,0.028682,0.031890,0.038330,0.051260,0.077197,0.129106", \ - "0.025528,0.027772,0.031019,0.037777,0.051246,0.077194,0.129105", \ - "0.036620,0.038494,0.041038,0.045811,0.055234,0.077614,0.129099", \ - "0.053889,0.056416,0.059899,0.065878,0.076482,0.094884,0.133774", \ - "0.074923,0.078291,0.082906,0.090801,0.104153,0.126100,0.162225", \ - "0.099436,0.103725,0.109606,0.119685,0.136487,0.163307,0.205114"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.069199,0.077821,0.089952,0.114047,0.161402,0.253054,0.430241", \ - "0.069207,0.077789,0.089947,0.114086,0.161417,0.253046,0.430214", \ - "0.069224,0.077803,0.089945,0.114046,0.161407,0.253060,0.430221", \ - "0.070905,0.078821,0.090262,0.114023,0.161397,0.253039,0.430226", \ - "0.085733,0.092636,0.101753,0.121327,0.163753,0.252994,0.430223", \ - "0.106817,0.113623,0.123408,0.142558,0.179578,0.258685,0.430207", \ - "0.133862,0.140403,0.149974,0.169128,0.206825,0.279296,0.435432"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.028576,0.031490,0.035544,0.043492,0.059031,0.089541,0.149878", \ - "0.032703,0.035616,0.039691,0.047654,0.063212,0.093737,0.154080", \ - "0.049114,0.051901,0.055671,0.063405,0.078939,0.109449,0.169764", \ - "0.070793,0.075099,0.080864,0.091406,0.109649,0.140373,0.200350", \ - "0.087881,0.093999,0.101910,0.116787,0.142414,0.184067,0.249189", \ - "0.098561,0.106579,0.117023,0.136428,0.170186,0.224814,0.309067", \ - "0.101909,0.111882,0.125039,0.149236,0.191329,0.259863,0.365218"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.090598,0.099217,0.111436,0.135672,0.183926,0.280143,0.472175", \ - "0.094868,0.103641,0.116056,0.140615,0.189287,0.285931,0.478300", \ - "0.111487,0.120223,0.132622,0.157257,0.206235,0.303414,0.496431", \ - "0.141370,0.149994,0.162047,0.186405,0.235079,0.332184,0.525477", \ - "0.179123,0.189496,0.203597,0.230259,0.279580,0.376233,0.569291", \ - "0.221229,0.233605,0.250433,0.281607,0.338306,0.439280,0.631874", \ - "0.268889,0.283508,0.303066,0.339250,0.404070,0.517323,0.715240"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022136,0.024351,0.027517,0.033897,0.046719,0.072539,0.124332", \ - "0.021966,0.024239,0.027446,0.033869,0.046719,0.072538,0.124342", \ - "0.022359,0.024280,0.027175,0.033330,0.046601,0.072565,0.124325", \ - "0.035326,0.037187,0.039715,0.044431,0.053260,0.073973,0.124362", \ - "0.052963,0.055504,0.059031,0.065048,0.075592,0.093777,0.130701", \ - "0.074151,0.077569,0.082379,0.090313,0.103676,0.125475,0.161194", \ - "0.098655,0.103076,0.109110,0.119412,0.136233,0.162928,0.204433"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.056366,0.064057,0.075060,0.096899,0.140569,0.227888,0.402303", \ - "0.056368,0.064053,0.075062,0.096897,0.140550,0.227875,0.402285", \ - "0.056366,0.064057,0.075076,0.096875,0.140571,0.227873,0.402288", \ - "0.058282,0.065253,0.075407,0.096873,0.140506,0.227868,0.402305", \ - "0.070778,0.077389,0.086693,0.104377,0.143033,0.227790,0.402287", \ - "0.087083,0.093947,0.103899,0.122584,0.158771,0.233569,0.402283", \ - "0.107655,0.114781,0.125214,0.144615,0.182299,0.254296,0.407564"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.023591,0.025896,0.029107,0.035393,0.047652,0.071661,0.119057", \ - "0.028024,0.030326,0.033542,0.039829,0.052094,0.076110,0.123510", \ - "0.044824,0.047303,0.050623,0.056695,0.068821,0.092785,0.140138", \ - "0.064456,0.068348,0.073569,0.083046,0.099276,0.125725,0.172662", \ - "0.079610,0.085227,0.092484,0.106033,0.129188,0.166440,0.223714", \ - "0.088388,0.095824,0.105518,0.123396,0.154216,0.203660,0.278956", \ - "0.089692,0.099022,0.111328,0.133771,0.172639,0.235317,0.330447"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.090589,0.099202,0.111428,0.135661,0.183922,0.280155,0.472193", \ - "0.094628,0.103397,0.115810,0.140373,0.189072,0.285714,0.478114", \ - "0.111220,0.119929,0.132286,0.156863,0.205786,0.302936,0.495976", \ - "0.141266,0.149851,0.161894,0.186203,0.234786,0.331774,0.524984", \ - "0.179065,0.189429,0.203582,0.230151,0.279441,0.375992,0.568896", \ - "0.221270,0.233639,0.250426,0.281666,0.338269,0.439174,0.631648", \ - "0.269231,0.283821,0.303342,0.339465,0.404211,0.517378,0.715193"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017290,0.019050,0.021568,0.026622,0.036751,0.057137,0.098095", \ - "0.017090,0.018904,0.021466,0.026557,0.036727,0.057127,0.098087", \ - "0.019557,0.020770,0.022692,0.026956,0.036576,0.057142,0.098089", \ - "0.032376,0.033982,0.036101,0.040029,0.047113,0.061298,0.098113", \ - "0.049379,0.051634,0.054706,0.059895,0.068902,0.083988,0.110553", \ - "0.070032,0.073077,0.077298,0.084293,0.095910,0.114603,0.144284", \ - "0.094050,0.098028,0.103409,0.112690,0.127504,0.150688,0.186044"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.056366,0.064062,0.075062,0.096908,0.140548,0.227892,0.402322", \ - "0.056372,0.064058,0.075062,0.096891,0.140575,0.227879,0.402308", \ - "0.056371,0.064061,0.075063,0.096881,0.140576,0.227883,0.402309", \ - "0.058306,0.065269,0.075425,0.096880,0.140535,0.227889,0.402322", \ - "0.070788,0.077405,0.086686,0.104408,0.143056,0.227799,0.402308", \ - "0.087073,0.093945,0.103868,0.122631,0.158791,0.233586,0.402306", \ - "0.107537,0.114673,0.125046,0.144546,0.182266,0.254296,0.407594"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.057869,0.061435,0.066447,0.076245,0.095406,0.132880,0.206627", \ - "0.063051,0.066651,0.071679,0.081521,0.100731,0.138263,0.212049", \ - "0.078585,0.082214,0.087242,0.097136,0.116443,0.154076,0.227974", \ - "0.105241,0.109242,0.114607,0.125153,0.144415,0.182141,0.256245", \ - "0.135170,0.140184,0.146879,0.159672,0.183255,0.224955,0.299997", \ - "0.162408,0.169045,0.177646,0.194226,0.223890,0.274327,0.359201", \ - "0.183482,0.191995,0.203097,0.224170,0.261672,0.324090,0.424345"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.117651,0.126411,0.138990,0.163687,0.212743,0.310050,0.503583", \ - "0.119962,0.128852,0.141529,0.166399,0.215717,0.313273,0.507055", \ - "0.132495,0.141418,0.154177,0.179297,0.228864,0.326933,0.521277", \ - "0.163994,0.172677,0.184871,0.209549,0.258673,0.356463,0.550581", \ - "0.215661,0.225113,0.237970,0.261851,0.309753,0.405997,0.598936", \ - "0.273703,0.285418,0.301361,0.331088,0.384433,0.479460,0.670017", \ - "0.336014,0.349964,0.369109,0.404676,0.468473,0.577750,0.766993"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031431,0.034174,0.038112,0.045963,0.061668,0.093013,0.155659", \ - "0.031431,0.034184,0.038105,0.045979,0.061659,0.093012,0.155654", \ - "0.031226,0.034029,0.038003,0.045929,0.061656,0.093003,0.155662", \ - "0.034949,0.037443,0.040880,0.047788,0.062212,0.092916,0.155647", \ - "0.045545,0.048091,0.051727,0.058742,0.072283,0.098637,0.156302", \ - "0.062037,0.064958,0.069109,0.076606,0.090405,0.116521,0.167574", \ - "0.083067,0.086608,0.091491,0.100334,0.115666,0.142600,0.192761"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.119398,0.128146,0.140723,0.165049,0.212172,0.303519,0.481032", \ - "0.119459,0.128164,0.140730,0.165046,0.212157,0.303505,0.481031", \ - "0.119454,0.128168,0.140747,0.164993,0.212165,0.303503,0.481043", \ - "0.119537,0.128030,0.140671,0.164945,0.212123,0.303526,0.481035", \ - "0.133708,0.140228,0.150244,0.170365,0.212980,0.303468,0.481036", \ - "0.169651,0.174868,0.182467,0.196985,0.230981,0.307661,0.481038", \ - "0.211547,0.217325,0.225420,0.241521,0.271998,0.334350,0.484715"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.048774,0.052241,0.057106,0.066672,0.085460,0.122433,0.195623", \ - "0.053892,0.057399,0.062293,0.071913,0.090765,0.127801,0.201035", \ - "0.069345,0.072850,0.077767,0.087450,0.106417,0.143592,0.216954", \ - "0.093958,0.098151,0.103734,0.114551,0.134289,0.171612,0.245209", \ - "0.119378,0.124996,0.132304,0.146066,0.170755,0.213530,0.288878", \ - "0.140387,0.147872,0.157514,0.175807,0.207714,0.260519,0.347118", \ - "0.154260,0.163935,0.176451,0.199850,0.240644,0.306806,0.410226"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.114913,0.123690,0.136205,0.160857,0.209911,0.306997,0.500201", \ - "0.117216,0.126102,0.138720,0.163599,0.212907,0.310367,0.503674", \ - "0.129757,0.138682,0.151403,0.176429,0.225993,0.323918,0.517866", \ - "0.161322,0.169986,0.182198,0.206816,0.255818,0.353381,0.547209", \ - "0.212569,0.222132,0.235095,0.259193,0.306976,0.403064,0.595581", \ - "0.269716,0.281580,0.297671,0.327648,0.381401,0.476521,0.666636", \ - "0.331084,0.345201,0.364450,0.400338,0.464622,0.574470,0.763676"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026097,0.028840,0.032747,0.040563,0.056188,0.087409,0.149917", \ - "0.026111,0.028848,0.032751,0.040564,0.056179,0.087402,0.149892", \ - "0.025902,0.028669,0.032623,0.040504,0.056156,0.087419,0.149899", \ - "0.031311,0.033738,0.037224,0.043873,0.057606,0.087359,0.149891", \ - "0.043153,0.045653,0.049224,0.056011,0.069130,0.094863,0.151058", \ - "0.060495,0.063441,0.067598,0.075028,0.088512,0.113848,0.163907", \ - "0.082113,0.085711,0.090658,0.099498,0.114675,0.141059,0.190039"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.089292,0.097993,0.110312,0.134576,0.182223,0.274093,0.451975", \ - "0.089330,0.097952,0.110314,0.134607,0.182180,0.274142,0.451976", \ - "0.089337,0.097972,0.110209,0.134553,0.182153,0.274094,0.451983", \ - "0.089469,0.097805,0.110141,0.134473,0.182071,0.274094,0.451987", \ - "0.104321,0.110551,0.120100,0.140234,0.183004,0.274041,0.452004", \ - "0.134941,0.141672,0.150897,0.167961,0.201487,0.278411,0.451976", \ - "0.169889,0.177392,0.187803,0.207033,0.241672,0.305463,0.455814"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.039726,0.042600,0.046628,0.054539,0.070048,0.100523,0.160775", \ - "0.045124,0.048023,0.052078,0.060035,0.075596,0.106121,0.166421", \ - "0.061050,0.063933,0.067975,0.075950,0.091583,0.122219,0.182616", \ - "0.084674,0.088327,0.093220,0.102570,0.119659,0.150782,0.211373", \ - "0.108491,0.113502,0.120130,0.132350,0.154147,0.191450,0.255696", \ - "0.127778,0.134634,0.143469,0.160042,0.188746,0.235683,0.311500", \ - "0.140059,0.148984,0.160581,0.181985,0.219163,0.278842,0.370790"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.114705,0.123479,0.135962,0.160669,0.209634,0.306833,0.500009", \ - "0.116533,0.125442,0.138072,0.162941,0.212183,0.309617,0.503046", \ - "0.129261,0.138145,0.150838,0.175798,0.225370,0.323185,0.517112", \ - "0.161138,0.169781,0.181955,0.206550,0.255425,0.352948,0.546544", \ - "0.212635,0.222186,0.235148,0.259204,0.306913,0.402880,0.595184", \ - "0.270110,0.281934,0.297995,0.327942,0.381614,0.476634,0.666582", \ - "0.331911,0.346006,0.365170,0.401025,0.465231,0.574911,0.763982"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.021382,0.023655,0.026892,0.033357,0.046268,0.072096,0.123807", \ - "0.021387,0.023661,0.026896,0.033361,0.046272,0.072090,0.123806", \ - "0.021369,0.023541,0.026715,0.033230,0.046217,0.072091,0.123793", \ - "0.027342,0.029374,0.032286,0.037931,0.048918,0.072597,0.123779", \ - "0.038794,0.040943,0.043949,0.049724,0.060721,0.082269,0.126777", \ - "0.055277,0.057857,0.061462,0.067860,0.079352,0.100672,0.142484", \ - "0.076016,0.079171,0.083493,0.091243,0.104312,0.126711,0.167883"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.089353,0.097975,0.110281,0.134639,0.182176,0.274145,0.452016", \ - "0.089368,0.097973,0.110231,0.134569,0.182169,0.274112,0.452007", \ - "0.089345,0.097998,0.110223,0.134573,0.182183,0.274109,0.452009", \ - "0.089509,0.097841,0.110163,0.134472,0.182085,0.274151,0.452016", \ - "0.104290,0.110559,0.120093,0.140255,0.183031,0.274070,0.452007", \ - "0.134771,0.141503,0.150692,0.167832,0.201417,0.278404,0.451999", \ - "0.169435,0.176959,0.187318,0.206676,0.241400,0.305296,0.455795"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.048774,0.052241,0.057106,0.066672,0.085460,0.122433,0.195623", \ - "0.053892,0.057399,0.062293,0.071913,0.090765,0.127801,0.201035", \ - "0.069345,0.072850,0.077767,0.087450,0.106417,0.143592,0.216954", \ - "0.093958,0.098151,0.103734,0.114551,0.134289,0.171612,0.245209", \ - "0.119378,0.124996,0.132304,0.146066,0.170755,0.213530,0.288878", \ - "0.140387,0.147872,0.157514,0.175807,0.207714,0.260519,0.347118", \ - "0.154260,0.163935,0.176451,0.199850,0.240644,0.306806,0.410226"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.114913,0.123690,0.136205,0.160857,0.209911,0.306997,0.500201", \ - "0.117216,0.126102,0.138720,0.163599,0.212907,0.310367,0.503674", \ - "0.129757,0.138682,0.151403,0.176429,0.225993,0.323918,0.517866", \ - "0.161322,0.169986,0.182198,0.206816,0.255818,0.353381,0.547209", \ - "0.212569,0.222132,0.235095,0.259193,0.306976,0.403064,0.595581", \ - "0.269716,0.281580,0.297671,0.327648,0.381401,0.476521,0.666636", \ - "0.331084,0.345201,0.364450,0.400338,0.464622,0.574470,0.763676"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026097,0.028840,0.032747,0.040563,0.056188,0.087409,0.149917", \ - "0.026111,0.028848,0.032751,0.040564,0.056179,0.087402,0.149892", \ - "0.025902,0.028669,0.032623,0.040504,0.056156,0.087419,0.149899", \ - "0.031311,0.033738,0.037224,0.043873,0.057606,0.087359,0.149891", \ - "0.043153,0.045653,0.049224,0.056011,0.069130,0.094863,0.151058", \ - "0.060495,0.063441,0.067598,0.075028,0.088512,0.113848,0.163907", \ - "0.082113,0.085711,0.090658,0.099498,0.114675,0.141059,0.190039"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.089292,0.097993,0.110312,0.134576,0.182223,0.274093,0.451975", \ - "0.089330,0.097952,0.110314,0.134607,0.182180,0.274142,0.451976", \ - "0.089337,0.097972,0.110209,0.134553,0.182153,0.274094,0.451983", \ - "0.089469,0.097805,0.110141,0.134473,0.182071,0.274094,0.451987", \ - "0.104321,0.110551,0.120100,0.140234,0.183004,0.274041,0.452004", \ - "0.134941,0.141672,0.150897,0.167961,0.201487,0.278411,0.451976", \ - "0.169889,0.177392,0.187803,0.207033,0.241672,0.305463,0.455814"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.040814,0.044045,0.048618,0.057738,0.075923,0.112207,0.184713", \ - "0.045842,0.049116,0.053741,0.062938,0.081204,0.117573,0.190127", \ - "0.060892,0.064271,0.068999,0.078326,0.096772,0.133318,0.206023", \ - "0.082118,0.086635,0.092522,0.103742,0.124105,0.161252,0.234252", \ - "0.101579,0.108026,0.116281,0.131395,0.157664,0.201846,0.277835", \ - "0.114814,0.123606,0.134807,0.155414,0.190293,0.246077,0.334834", \ - "0.120064,0.131509,0.146139,0.172770,0.217792,0.288569,0.395742"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.112177,0.120964,0.133392,0.158047,0.206934,0.303954,0.496788", \ - "0.114444,0.123348,0.135911,0.160764,0.209912,0.307200,0.500270", \ - "0.126992,0.135919,0.148608,0.173622,0.223073,0.320919,0.514455", \ - "0.158610,0.167281,0.179459,0.204061,0.252983,0.350323,0.543785", \ - "0.209463,0.219143,0.232195,0.256518,0.304211,0.400029,0.592150", \ - "0.265730,0.277735,0.293954,0.324161,0.378352,0.473555,0.663251", \ - "0.326143,0.340446,0.359822,0.395998,0.460779,0.571187,0.760352"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020298,0.023026,0.026957,0.034790,0.050440,0.081680,0.144084", \ - "0.020303,0.023025,0.026948,0.034786,0.050444,0.081685,0.144071", \ - "0.020661,0.023212,0.027029,0.034817,0.050444,0.081676,0.144076", \ - "0.027735,0.030080,0.033454,0.040089,0.053215,0.082014,0.144071", \ - "0.041014,0.043467,0.046974,0.053599,0.066206,0.091412,0.145958", \ - "0.059397,0.062381,0.066490,0.073869,0.086988,0.111473,0.160485", \ - "0.082168,0.085727,0.090626,0.099300,0.114182,0.139826,0.187524"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.073831,0.081723,0.092985,0.115256,0.159612,0.247950,0.423614", \ - "0.073839,0.081712,0.093004,0.115235,0.159591,0.247974,0.423643", \ - "0.073831,0.081721,0.093018,0.115242,0.159568,0.248030,0.423650", \ - "0.074097,0.081617,0.092888,0.115170,0.159530,0.247934,0.423649", \ - "0.089285,0.094843,0.103406,0.121254,0.160638,0.247840,0.423650", \ - "0.113334,0.120378,0.130066,0.147600,0.179444,0.252397,0.423609", \ - "0.139955,0.148337,0.159846,0.180404,0.216478,0.279722,0.427583"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.033617,0.036268,0.040027,0.047525,0.062474,0.092315,0.151945", \ - "0.038930,0.041624,0.045424,0.052984,0.068008,0.097913,0.157586", \ - "0.054381,0.057191,0.061095,0.068764,0.083933,0.113979,0.173780", \ - "0.074531,0.078524,0.083720,0.093497,0.111090,0.142448,0.202526", \ - "0.092689,0.098497,0.105987,0.119510,0.142849,0.181507,0.246725", \ - "0.104671,0.112745,0.123045,0.141830,0.173350,0.223145,0.301006", \ - "0.108568,0.119219,0.132877,0.157369,0.198630,0.262686,0.358197"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.111959,0.120748,0.133159,0.157827,0.206713,0.303733,0.496605", \ - "0.113773,0.122683,0.135237,0.160116,0.209251,0.306560,0.499650", \ - "0.126489,0.135388,0.147990,0.172998,0.222399,0.320171,0.513705", \ - "0.158427,0.167083,0.179250,0.203790,0.252620,0.349807,0.543154", \ - "0.209535,0.219202,0.232269,0.256530,0.304154,0.399834,0.591797", \ - "0.266123,0.278102,0.294293,0.324456,0.378568,0.473701,0.663201", \ - "0.326986,0.341260,0.360592,0.396721,0.461400,0.571636,0.760660"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016557,0.018812,0.022055,0.028532,0.041479,0.067323,0.118989", \ - "0.016558,0.018811,0.022054,0.028531,0.041475,0.067326,0.118976", \ - "0.017408,0.019443,0.022414,0.028593,0.041475,0.067327,0.118976", \ - "0.024489,0.026453,0.029272,0.034797,0.045664,0.068472,0.118981", \ - "0.037163,0.039300,0.042305,0.047917,0.058506,0.079494,0.122919", \ - "0.054741,0.057340,0.060907,0.067227,0.078396,0.098941,0.139798", \ - "0.076692,0.079804,0.084058,0.091597,0.104362,0.126082,0.166040"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.073843,0.081717,0.092955,0.115270,0.159615,0.247964,0.423637", \ - "0.073836,0.081722,0.092957,0.115259,0.159604,0.247956,0.423639", \ - "0.073839,0.081726,0.092954,0.115230,0.159578,0.248003,0.423639", \ - "0.074117,0.081633,0.092847,0.115194,0.159582,0.247949,0.423667", \ - "0.089262,0.094828,0.103349,0.121255,0.160660,0.247854,0.423649", \ - "0.113169,0.120211,0.129839,0.147471,0.179368,0.252406,0.423633", \ - "0.139553,0.147954,0.159407,0.180071,0.216200,0.279543,0.427573"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.043430,0.046430,0.050628,0.058890,0.075098,0.106961,0.169995", \ - "0.048531,0.051555,0.055787,0.064093,0.080355,0.112276,0.175341", \ - "0.064992,0.068000,0.072248,0.080591,0.096945,0.128991,0.192160", \ - "0.091462,0.095310,0.100349,0.110066,0.127684,0.159906,0.223285", \ - "0.117252,0.122675,0.129742,0.142940,0.166253,0.205482,0.271603", \ - "0.137693,0.145050,0.154559,0.172351,0.203459,0.254230,0.334450", \ - "0.150836,0.160320,0.172629,0.195526,0.235592,0.300372,0.399875"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.123356,0.132105,0.144543,0.169123,0.217978,0.315010,0.508012", \ - "0.125771,0.134609,0.147179,0.171956,0.221135,0.318527,0.511787", \ - "0.138245,0.147117,0.159765,0.184712,0.234124,0.332055,0.525889", \ - "0.169650,0.178289,0.190442,0.214985,0.263926,0.361318,0.555037", \ - "0.221779,0.231005,0.243593,0.267213,0.314967,0.410906,0.603324", \ - "0.281143,0.292564,0.308139,0.337317,0.389900,0.484385,0.674427", \ - "0.344710,0.358358,0.376981,0.411843,0.474799,0.582976,0.771539"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.023294,0.025631,0.028957,0.035595,0.048842,0.075337,0.128336", \ - "0.023302,0.025633,0.028966,0.035614,0.048842,0.075330,0.128322", \ - "0.023052,0.025406,0.028783,0.035492,0.048816,0.075328,0.128318", \ - "0.029947,0.031904,0.034698,0.040102,0.050962,0.075438,0.128308", \ - "0.043634,0.045824,0.048909,0.054646,0.065330,0.085799,0.130450", \ - "0.062135,0.064824,0.068610,0.075380,0.087291,0.108453,0.148085", \ - "0.084846,0.088113,0.092646,0.100858,0.114876,0.138551,0.179304"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.093997,0.102719,0.114995,0.139449,0.187026,0.279026,0.456934", \ - "0.094036,0.102735,0.115037,0.139427,0.187068,0.279054,0.456948", \ - "0.094062,0.102718,0.115035,0.139398,0.187007,0.279051,0.456934", \ - "0.093897,0.102668,0.114960,0.139347,0.187027,0.279014,0.456935", \ - "0.106584,0.113294,0.123303,0.143836,0.187549,0.278956,0.456944", \ - "0.137122,0.143822,0.153016,0.169863,0.204526,0.282582,0.456932", \ - "0.172153,0.179700,0.190021,0.209325,0.243826,0.308369,0.460193"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.036898,0.039679,0.043615,0.051464,0.067111,0.098342,0.160733", \ - "0.041917,0.044736,0.048719,0.056630,0.072352,0.103650,0.166087", \ - "0.057956,0.060875,0.064951,0.072981,0.088869,0.120328,0.182901", \ - "0.080480,0.084743,0.090211,0.100531,0.118818,0.151142,0.213994", \ - "0.099979,0.106214,0.114236,0.128868,0.154035,0.195017,0.262206", \ - "0.112767,0.121321,0.132283,0.152376,0.186526,0.240564,0.323386", \ - "0.117385,0.128524,0.142898,0.168970,0.213158,0.282569,0.386197"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.120628,0.129368,0.141753,0.166305,0.215075,0.311943,0.504601", \ - "0.123001,0.131860,0.144352,0.169152,0.218213,0.315412,0.508371", \ - "0.135472,0.144348,0.156955,0.181898,0.231245,0.328966,0.522472", \ - "0.166930,0.175569,0.187689,0.212241,0.261053,0.358223,0.551619", \ - "0.218798,0.228124,0.240773,0.264529,0.312177,0.407929,0.599907", \ - "0.277299,0.288857,0.304543,0.333891,0.386879,0.481433,0.671034", \ - "0.339961,0.353750,0.372505,0.407653,0.471039,0.579715,0.768196"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018263,0.020616,0.023967,0.030634,0.043954,0.070468,0.123397", \ - "0.018268,0.020612,0.023968,0.030644,0.043935,0.070457,0.123399", \ - "0.018776,0.020919,0.024052,0.030660,0.043932,0.070462,0.123397", \ - "0.027344,0.029259,0.032037,0.037330,0.047708,0.071106,0.123392", \ - "0.042033,0.044246,0.047337,0.053063,0.063513,0.083471,0.126501", \ - "0.061537,0.064220,0.067950,0.074690,0.086430,0.107115,0.145891", \ - "0.085519,0.088661,0.093067,0.101022,0.114775,0.137984,0.177939"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.078210,0.086138,0.097496,0.119778,0.164258,0.252764,0.428557", \ - "0.078204,0.086137,0.097466,0.119819,0.164255,0.252737,0.428552", \ - "0.078205,0.086138,0.097447,0.119781,0.164250,0.252770,0.428568", \ - "0.078087,0.086077,0.097376,0.119735,0.164245,0.252691,0.428560", \ - "0.091303,0.097184,0.106070,0.124558,0.164887,0.252669,0.428564", \ - "0.116112,0.123030,0.132550,0.149960,0.182193,0.256443,0.428564", \ - "0.143284,0.151507,0.162772,0.183165,0.218920,0.282480,0.432007"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.029721,0.031916,0.035029,0.041237,0.053615,0.078321,0.127678", \ - "0.035046,0.037276,0.040422,0.046682,0.059119,0.083874,0.133274", \ - "0.051612,0.054056,0.057334,0.063697,0.076267,0.101153,0.150660", \ - "0.072832,0.076576,0.081421,0.090385,0.106086,0.133022,0.182821", \ - "0.090885,0.096534,0.103770,0.116834,0.139142,0.174912,0.232087", \ - "0.102401,0.110245,0.120317,0.138618,0.169346,0.217421,0.289852", \ - "0.105656,0.116003,0.129390,0.153319,0.193727,0.256385,0.348493"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.120417,0.129156,0.141504,0.166089,0.214840,0.311719,0.504431", \ - "0.122310,0.131179,0.143690,0.168482,0.217552,0.314748,0.507744", \ - "0.134925,0.143768,0.156325,0.181235,0.230525,0.328154,0.521707", \ - "0.166737,0.175354,0.187460,0.211936,0.260624,0.357717,0.550954", \ - "0.218866,0.228179,0.240845,0.264552,0.312132,0.407704,0.599543", \ - "0.277679,0.289219,0.304899,0.334191,0.387110,0.481595,0.671009", \ - "0.340790,0.354548,0.373269,0.408352,0.471636,0.580182,0.768535"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014287,0.016145,0.018802,0.024103,0.034674,0.055768,0.097904", \ - "0.014281,0.016140,0.018810,0.024103,0.034681,0.055774,0.097904", \ - "0.015489,0.017065,0.019399,0.024276,0.034682,0.055762,0.097891", \ - "0.024051,0.025634,0.027919,0.032205,0.040528,0.057744,0.097900", \ - "0.037929,0.039827,0.042449,0.047277,0.055894,0.072050,0.104314", \ - "0.056499,0.058807,0.061979,0.067736,0.077645,0.094797,0.126139", \ - "0.079590,0.082302,0.086067,0.092932,0.104600,0.124070,0.156952"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.078211,0.086141,0.097433,0.119846,0.164287,0.252761,0.428588", \ - "0.078208,0.086142,0.097437,0.119769,0.164262,0.252725,0.428579", \ - "0.078207,0.086142,0.097432,0.119778,0.164265,0.252717,0.428584", \ - "0.078101,0.086080,0.097412,0.119758,0.164232,0.252754,0.428594", \ - "0.091284,0.097173,0.106039,0.124549,0.164916,0.252673,0.428586", \ - "0.115948,0.122874,0.132355,0.149837,0.182119,0.256453,0.428591", \ - "0.142905,0.151129,0.162363,0.182780,0.218635,0.282305,0.431934"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.067125,0.070767,0.075832,0.085768,0.105170,0.143028,0.217263", \ - "0.071618,0.075259,0.080329,0.090270,0.109678,0.147543,0.221781", \ - "0.086866,0.090514,0.095593,0.105552,0.125000,0.162906,0.237187", \ - "0.114447,0.118282,0.123573,0.133758,0.153071,0.191065,0.265467", \ - "0.147651,0.152418,0.158640,0.170813,0.193556,0.234455,0.309379", \ - "0.179897,0.185938,0.193841,0.209251,0.237252,0.285961,0.369356", \ - "0.206830,0.214571,0.224749,0.244146,0.279234,0.338735,0.436383"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.131203,0.139986,0.152475,0.177232,0.226360,0.323553,0.517029", \ - "0.135995,0.144830,0.157421,0.182217,0.231418,0.328958,0.522530", \ - "0.152787,0.161665,0.174327,0.199300,0.248846,0.346643,0.540692", \ - "0.182307,0.191141,0.203598,0.228468,0.277854,0.375860,0.570212", \ - "0.226054,0.235445,0.248550,0.273149,0.322255,0.420059,0.614422", \ - "0.276929,0.287758,0.302816,0.331481,0.384685,0.482978,0.677117", \ - "0.334263,0.346738,0.363939,0.396419,0.456407,0.564639,0.760334"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.036445,0.039229,0.043209,0.051170,0.067019,0.098542,0.161443", \ - "0.036476,0.039226,0.043212,0.051175,0.067012,0.098553,0.161436", \ - "0.036353,0.039173,0.043179,0.051169,0.067006,0.098543,0.161435", \ - "0.038899,0.041382,0.045055,0.052321,0.067309,0.098516,0.161438", \ - "0.048799,0.051393,0.055091,0.062242,0.076077,0.103111,0.161888", \ - "0.064689,0.067545,0.071701,0.079279,0.093344,0.119983,0.171940", \ - "0.085275,0.088746,0.093597,0.102375,0.117847,0.145231,0.196276"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.119450,0.128146,0.140724,0.165022,0.212214,0.303517,0.481033", \ - "0.119431,0.128147,0.140743,0.165032,0.212167,0.303541,0.481041", \ - "0.119406,0.128153,0.140722,0.165022,0.212193,0.303517,0.481037", \ - "0.119394,0.128145,0.140712,0.164942,0.212131,0.303504,0.481038", \ - "0.126564,0.134153,0.145487,0.167607,0.212438,0.303471,0.481037", \ - "0.149391,0.155851,0.165295,0.183668,0.223039,0.306187,0.481031", \ - "0.177661,0.184085,0.193417,0.212068,0.248697,0.322503,0.483996"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.057451,0.061024,0.066000,0.075797,0.094937,0.132396,0.206146", \ - "0.061944,0.065502,0.070491,0.080293,0.099441,0.136911,0.210667", \ - "0.077170,0.080754,0.085746,0.095561,0.114754,0.152276,0.226071", \ - "0.103566,0.107551,0.112895,0.123386,0.142779,0.180410,0.254349", \ - "0.133279,0.138337,0.145023,0.157891,0.181467,0.223167,0.298240", \ - "0.160162,0.166832,0.175533,0.192225,0.221999,0.272555,0.357426", \ - "0.180799,0.189390,0.200643,0.221851,0.259562,0.322187,0.422559"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.128501,0.137267,0.149751,0.174408,0.223399,0.320548,0.513646", \ - "0.133275,0.142105,0.154666,0.179458,0.228517,0.325834,0.519110", \ - "0.150028,0.158929,0.171583,0.196558,0.245961,0.343588,0.537307", \ - "0.179558,0.188393,0.200851,0.225642,0.274968,0.372841,0.566820", \ - "0.223078,0.232523,0.245745,0.270403,0.319395,0.417087,0.611029", \ - "0.273410,0.284328,0.299447,0.328281,0.381668,0.479976,0.673727", \ - "0.330121,0.342713,0.359999,0.392687,0.452958,0.561383,0.756969"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031453,0.034191,0.038125,0.045989,0.061698,0.093023,0.155695", \ - "0.031437,0.034197,0.038126,0.045989,0.061685,0.093034,0.155696", \ - "0.031297,0.034084,0.038049,0.045959,0.061676,0.093039,0.155696", \ - "0.035338,0.037824,0.041320,0.048210,0.062576,0.093035,0.155691", \ - "0.046172,0.048732,0.052367,0.059319,0.072803,0.099103,0.156571", \ - "0.062825,0.065732,0.069896,0.077392,0.091144,0.117131,0.168049", \ - "0.083745,0.087313,0.092262,0.101125,0.116498,0.143397,0.193404"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.089336,0.097994,0.110229,0.134611,0.182205,0.274139,0.451982", \ - "0.089284,0.097980,0.110199,0.134638,0.182164,0.274117,0.451980", \ - "0.089282,0.097980,0.110244,0.134596,0.182186,0.274098,0.451983", \ - "0.089319,0.097986,0.110219,0.134490,0.182108,0.274087,0.451977", \ - "0.096791,0.104163,0.115122,0.137304,0.182449,0.274067,0.451984", \ - "0.116147,0.123526,0.133970,0.154027,0.193315,0.276842,0.451972", \ - "0.139729,0.147269,0.158038,0.178809,0.218166,0.293375,0.455014"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.046557,0.049529,0.053671,0.061788,0.077630,0.108549,0.169323", \ - "0.051432,0.054405,0.058553,0.066677,0.082519,0.113448,0.174225", \ - "0.067117,0.070080,0.074225,0.082352,0.098227,0.129197,0.190010", \ - "0.092773,0.096246,0.100910,0.109988,0.126665,0.157814,0.218789", \ - "0.120650,0.125167,0.131182,0.142586,0.163320,0.199643,0.263224", \ - "0.145462,0.151605,0.159499,0.174584,0.201324,0.246122,0.320307", \ - "0.164143,0.172071,0.182398,0.201724,0.236058,0.292434,0.381533"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.128265,0.137030,0.149503,0.174173,0.223148,0.320323,0.513453", \ - "0.132651,0.141494,0.154056,0.178850,0.227891,0.325188,0.518486", \ - "0.149556,0.158441,0.171045,0.195981,0.245266,0.342925,0.536601", \ - "0.179360,0.188174,0.200634,0.225335,0.274595,0.372336,0.566235", \ - "0.222985,0.232423,0.245657,0.270267,0.319232,0.416760,0.610593", \ - "0.273409,0.284316,0.299396,0.328247,0.381585,0.479888,0.673485", \ - "0.330339,0.342914,0.360161,0.392825,0.453051,0.561404,0.756888"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.025888,0.028165,0.031414,0.037906,0.050858,0.076755,0.128604", \ - "0.025880,0.028159,0.031405,0.037906,0.050859,0.076756,0.128598", \ - "0.025729,0.028004,0.031281,0.037824,0.050843,0.076761,0.128599", \ - "0.030655,0.032727,0.035660,0.041416,0.052750,0.077074,0.128605", \ - "0.041239,0.043431,0.046498,0.052390,0.063708,0.085567,0.131064", \ - "0.057059,0.059614,0.063204,0.069675,0.081397,0.103295,0.145738", \ - "0.077035,0.080168,0.084511,0.092321,0.105566,0.128491,0.170553"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.089329,0.098021,0.110297,0.134611,0.182199,0.274152,0.452007", \ - "0.089316,0.097986,0.110243,0.134622,0.182199,0.274109,0.452000", \ - "0.089312,0.098004,0.110292,0.134641,0.182173,0.274114,0.452008", \ - "0.089340,0.098012,0.110245,0.134502,0.182123,0.274104,0.452006", \ - "0.096846,0.104214,0.115157,0.137295,0.182477,0.274074,0.452009", \ - "0.116167,0.123570,0.133869,0.154063,0.193356,0.276879,0.452006", \ - "0.139687,0.147231,0.157903,0.178775,0.218156,0.293386,0.455042"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.057451,0.061024,0.066000,0.075797,0.094937,0.132396,0.206146", \ - "0.061944,0.065502,0.070491,0.080293,0.099441,0.136911,0.210667", \ - "0.077170,0.080754,0.085746,0.095561,0.114754,0.152276,0.226071", \ - "0.103566,0.107551,0.112895,0.123386,0.142779,0.180410,0.254349", \ - "0.133279,0.138337,0.145023,0.157891,0.181467,0.223167,0.298240", \ - "0.160162,0.166832,0.175533,0.192225,0.221999,0.272555,0.357426", \ - "0.180799,0.189390,0.200643,0.221851,0.259562,0.322187,0.422559"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.128501,0.137267,0.149751,0.174408,0.223399,0.320548,0.513646", \ - "0.133275,0.142105,0.154666,0.179458,0.228517,0.325834,0.519110", \ - "0.150028,0.158929,0.171583,0.196558,0.245961,0.343588,0.537307", \ - "0.179558,0.188393,0.200851,0.225642,0.274968,0.372841,0.566820", \ - "0.223078,0.232523,0.245745,0.270403,0.319395,0.417087,0.611029", \ - "0.273410,0.284328,0.299447,0.328281,0.381668,0.479976,0.673727", \ - "0.330121,0.342713,0.359999,0.392687,0.452958,0.561383,0.756969"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031453,0.034191,0.038125,0.045989,0.061698,0.093023,0.155695", \ - "0.031437,0.034197,0.038126,0.045989,0.061685,0.093034,0.155696", \ - "0.031297,0.034084,0.038049,0.045959,0.061676,0.093039,0.155696", \ - "0.035338,0.037824,0.041320,0.048210,0.062576,0.093035,0.155691", \ - "0.046172,0.048732,0.052367,0.059319,0.072803,0.099103,0.156571", \ - "0.062825,0.065732,0.069896,0.077392,0.091144,0.117131,0.168049", \ - "0.083745,0.087313,0.092262,0.101125,0.116498,0.143397,0.193404"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.089336,0.097994,0.110229,0.134611,0.182205,0.274139,0.451982", \ - "0.089284,0.097980,0.110199,0.134638,0.182164,0.274117,0.451980", \ - "0.089282,0.097980,0.110244,0.134596,0.182186,0.274098,0.451983", \ - "0.089319,0.097986,0.110219,0.134490,0.182108,0.274087,0.451977", \ - "0.096791,0.104163,0.115122,0.137304,0.182449,0.274067,0.451984", \ - "0.116147,0.123526,0.133970,0.154027,0.193315,0.276842,0.451972", \ - "0.139729,0.147269,0.158038,0.178809,0.218166,0.293375,0.455014"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.048391,0.051851,0.056695,0.066242,0.085009,0.121968,0.195170", \ - "0.052872,0.056330,0.061183,0.070737,0.089510,0.126477,0.199687", \ - "0.068044,0.071524,0.076390,0.085978,0.104806,0.141840,0.215088", \ - "0.092340,0.096520,0.102071,0.112839,0.132629,0.169917,0.243305", \ - "0.117481,0.123102,0.130443,0.144258,0.168957,0.211733,0.287123", \ - "0.137896,0.145500,0.155270,0.173709,0.205755,0.258644,0.345311", \ - "0.151232,0.161037,0.173749,0.197319,0.238425,0.304823,0.408377"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.125767,0.134532,0.146976,0.171583,0.220439,0.317408,0.510233", \ - "0.130524,0.139365,0.151881,0.176602,0.225627,0.322749,0.515704", \ - "0.147270,0.156176,0.168779,0.193673,0.242969,0.340542,0.533900", \ - "0.176810,0.185654,0.198083,0.222893,0.272134,0.369815,0.563419", \ - "0.220084,0.229600,0.242867,0.267662,0.316576,0.414059,0.607617", \ - "0.269896,0.280896,0.296059,0.325080,0.378628,0.476994,0.670301", \ - "0.325980,0.338691,0.356018,0.388932,0.449447,0.558114,0.753601"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026140,0.028870,0.032780,0.040588,0.056205,0.087439,0.149972", \ - "0.026119,0.028858,0.032766,0.040583,0.056211,0.087430,0.149971", \ - "0.026177,0.028873,0.032767,0.040570,0.056192,0.087434,0.149962", \ - "0.031791,0.034205,0.037671,0.044372,0.058033,0.087614,0.149920", \ - "0.043881,0.046380,0.049940,0.056690,0.069711,0.095366,0.151355", \ - "0.061312,0.064268,0.068429,0.075860,0.089304,0.114531,0.164398", \ - "0.082770,0.086404,0.091379,0.100300,0.115515,0.141870,0.190713"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.073830,0.081722,0.093007,0.115244,0.159641,0.247940,0.423616", \ - "0.073829,0.081723,0.093013,0.115232,0.159629,0.247943,0.423614", \ - "0.073828,0.081722,0.093017,0.115213,0.159598,0.247951,0.423625", \ - "0.073838,0.081712,0.092961,0.115234,0.159604,0.247980,0.423663", \ - "0.081520,0.088215,0.098090,0.118121,0.159962,0.247935,0.423623", \ - "0.096689,0.103944,0.114315,0.133826,0.170997,0.250730,0.423605", \ - "0.115086,0.122824,0.133923,0.154611,0.193578,0.267388,0.426716"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.039409,0.042275,0.046293,0.054193,0.069690,0.100159,0.160408", \ - "0.044284,0.047154,0.051173,0.059075,0.074578,0.105047,0.165316", \ - "0.059897,0.062785,0.066810,0.074734,0.090278,0.120801,0.181100", \ - "0.083215,0.086879,0.091782,0.101122,0.118192,0.149369,0.209868", \ - "0.106717,0.111757,0.118397,0.130684,0.152551,0.189921,0.254222", \ - "0.125468,0.132451,0.141375,0.158068,0.186985,0.234052,0.309973", \ - "0.137105,0.146199,0.158003,0.179608,0.217129,0.277092,0.369231"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.125538,0.134316,0.146721,0.171354,0.220214,0.317199,0.510049", \ - "0.129910,0.138751,0.151228,0.175976,0.225012,0.322124,0.515084", \ - "0.146809,0.155691,0.168247,0.193122,0.242362,0.339867,0.533203", \ - "0.176618,0.185440,0.197820,0.222559,0.271782,0.369316,0.562824", \ - "0.219993,0.229502,0.242733,0.267535,0.316419,0.413717,0.607190", \ - "0.269892,0.280882,0.296009,0.325012,0.378549,0.476908,0.670071", \ - "0.326203,0.338896,0.356209,0.389049,0.449584,0.558141,0.753529"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.021460,0.023725,0.026960,0.033407,0.046314,0.072132,0.123841", \ - "0.021431,0.023705,0.026935,0.033401,0.046305,0.072120,0.123847", \ - "0.021773,0.023921,0.027020,0.033391,0.046297,0.072131,0.123845", \ - "0.027830,0.029853,0.032736,0.038328,0.049331,0.072890,0.123842", \ - "0.039507,0.041648,0.044666,0.050400,0.061324,0.082703,0.127107", \ - "0.056109,0.058697,0.062288,0.068712,0.080140,0.101365,0.142960", \ - "0.076702,0.079887,0.084233,0.092041,0.105150,0.127551,0.168563"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.073837,0.081723,0.092958,0.115258,0.159627,0.247957,0.423639", \ - "0.073834,0.081726,0.092958,0.115238,0.159680,0.247960,0.423643", \ - "0.073833,0.081727,0.092960,0.115222,0.159610,0.247958,0.423649", \ - "0.073841,0.081716,0.092939,0.115180,0.159638,0.247995,0.423639", \ - "0.081548,0.088241,0.098054,0.118154,0.159986,0.247884,0.423646", \ - "0.096697,0.103954,0.114218,0.133837,0.171016,0.250781,0.423628", \ - "0.115023,0.122767,0.133777,0.154595,0.193585,0.267392,0.426748"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.050648,0.053737,0.058053,0.066523,0.083065,0.115384,0.178938", \ - "0.055192,0.058281,0.062603,0.071079,0.087621,0.119950,0.183506", \ - "0.071416,0.074509,0.078845,0.087335,0.103917,0.136292,0.199888", \ - "0.100085,0.103672,0.108421,0.117708,0.134877,0.167296,0.231019", \ - "0.130614,0.135476,0.141927,0.154131,0.176091,0.214047,0.279499", \ - "0.156983,0.163586,0.172080,0.188358,0.217319,0.265542,0.343676", \ - "0.176770,0.185224,0.196280,0.217083,0.254118,0.315331,0.411414"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.136980,0.145694,0.158125,0.182663,0.231468,0.328555,0.521469", \ - "0.141875,0.150676,0.163184,0.187849,0.236858,0.334145,0.527258", \ - "0.158521,0.167384,0.179975,0.204803,0.254064,0.351738,0.545386", \ - "0.187962,0.196758,0.209167,0.233871,0.283035,0.380829,0.574717", \ - "0.232077,0.241336,0.254180,0.278561,0.327480,0.424927,0.618757", \ - "0.283839,0.294461,0.309215,0.337582,0.390144,0.487855,0.681417", \ - "0.342244,0.354443,0.371280,0.403268,0.462486,0.569794,0.764688"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.027921,0.030261,0.033599,0.040262,0.053543,0.080117,0.133241", \ - "0.027922,0.030258,0.033591,0.040260,0.053552,0.080109,0.133236", \ - "0.027728,0.030105,0.033483,0.040208,0.053540,0.080108,0.133240", \ - "0.033021,0.034991,0.037813,0.043289,0.054910,0.080141,0.133232", \ - "0.046025,0.048216,0.051302,0.057076,0.067937,0.088802,0.134845", \ - "0.064045,0.066702,0.070493,0.077236,0.089232,0.110725,0.150958", \ - "0.086020,0.089293,0.093861,0.102123,0.116302,0.140247,0.181589"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.093994,0.102745,0.115026,0.139424,0.187072,0.279055,0.456942", \ - "0.094042,0.102737,0.115041,0.139415,0.187037,0.279055,0.456938", \ - "0.093998,0.102718,0.115007,0.139412,0.186985,0.279024,0.456943", \ - "0.094010,0.102745,0.114972,0.139369,0.187008,0.279029,0.456942", \ - "0.100238,0.107889,0.119062,0.141552,0.187104,0.278986,0.456942", \ - "0.119324,0.126772,0.137160,0.157397,0.197190,0.281344,0.456947", \ - "0.142729,0.150379,0.161209,0.182113,0.221539,0.297175,0.459635"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.043089,0.046081,0.050269,0.058517,0.074707,0.106565,0.169604", \ - "0.047630,0.050621,0.054814,0.063065,0.079264,0.111130,0.174164", \ - "0.063811,0.066809,0.071017,0.079296,0.095543,0.127469,0.190545", \ - "0.089935,0.093789,0.098818,0.108557,0.126174,0.158410,0.221640", \ - "0.115326,0.120817,0.127927,0.141186,0.164558,0.203868,0.270056", \ - "0.135256,0.142729,0.152250,0.170264,0.201543,0.252442,0.332803", \ - "0.147768,0.157396,0.169937,0.193029,0.233378,0.298410,0.398109"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.134255,0.142970,0.155333,0.179859,0.228597,0.325378,0.518052", \ - "0.139127,0.147934,0.160365,0.185045,0.233962,0.331021,0.523845", \ - "0.155772,0.164622,0.177140,0.201983,0.251178,0.348633,0.541970", \ - "0.185222,0.194020,0.206381,0.231074,0.280162,0.377701,0.571300", \ - "0.229166,0.238461,0.251441,0.275825,0.324634,0.421895,0.615331", \ - "0.280418,0.291111,0.305916,0.334360,0.387141,0.484866,0.677995", \ - "0.338231,0.350527,0.367429,0.399533,0.459048,0.566537,0.761311"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.023336,0.025673,0.028994,0.035632,0.048884,0.075375,0.128393", \ - "0.023326,0.025656,0.028992,0.035615,0.048869,0.075371,0.128369", \ - "0.023404,0.025663,0.028954,0.035596,0.048875,0.075357,0.128369", \ - "0.030502,0.032437,0.035226,0.040588,0.051418,0.075704,0.128361", \ - "0.044413,0.046593,0.049678,0.055389,0.066009,0.086368,0.130778", \ - "0.063009,0.065696,0.069499,0.076250,0.088149,0.109233,0.148672", \ - "0.085559,0.088842,0.093397,0.101677,0.115744,0.139435,0.180080"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.078209,0.086138,0.097453,0.119761,0.164297,0.252689,0.428554", \ - "0.078210,0.086138,0.097447,0.119802,0.164266,0.252739,0.428552", \ - "0.078202,0.086137,0.097462,0.119808,0.164248,0.252685,0.428558", \ - "0.078213,0.086135,0.097464,0.119756,0.164248,0.252689,0.428564", \ - "0.084698,0.091602,0.101683,0.122093,0.164419,0.252712,0.428555", \ - "0.100091,0.107335,0.117637,0.137226,0.174631,0.255135,0.428553", \ - "0.118540,0.126269,0.137318,0.158028,0.197019,0.271033,0.431340"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.034145,0.036535,0.039881,0.046456,0.059335,0.084617,0.134561", \ - "0.039103,0.041493,0.044840,0.051417,0.064300,0.089586,0.139536", \ - "0.056015,0.058421,0.061768,0.068348,0.081259,0.106590,0.156576", \ - "0.080841,0.084211,0.088661,0.097052,0.112113,0.138567,0.188748", \ - "0.104394,0.109315,0.115723,0.127507,0.148177,0.182365,0.238378", \ - "0.122656,0.129412,0.138150,0.154421,0.182530,0.227777,0.297869", \ - "0.133475,0.142366,0.153930,0.175063,0.211801,0.270387,0.358857"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.134022,0.142742,0.155085,0.179636,0.228363,0.325170,0.517879", \ - "0.138506,0.147323,0.159740,0.184416,0.233336,0.330357,0.523230", \ - "0.155287,0.164126,0.176618,0.201400,0.250543,0.347952,0.541254", \ - "0.185015,0.193792,0.206102,0.230752,0.279784,0.377185,0.570677", \ - "0.229070,0.238357,0.251278,0.275690,0.324443,0.421588,0.614895", \ - "0.280405,0.291092,0.305874,0.334315,0.387057,0.484774,0.677750", \ - "0.338444,0.350725,0.367574,0.399704,0.459144,0.566567,0.761246"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018357,0.020224,0.022871,0.028151,0.038679,0.059721,0.101875", \ - "0.018330,0.020193,0.022857,0.028134,0.038665,0.059714,0.101878", \ - "0.018846,0.020552,0.023025,0.028120,0.038648,0.059713,0.101877", \ - "0.026608,0.028192,0.030437,0.034769,0.043135,0.061100,0.101875", \ - "0.039839,0.041693,0.044299,0.049107,0.057842,0.074257,0.107326", \ - "0.057453,0.059773,0.063025,0.068836,0.078890,0.096352,0.128242", \ - "0.079041,0.081918,0.085871,0.093039,0.105065,0.125013,0.158525"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.078214,0.086144,0.097446,0.119770,0.164275,0.252698,0.428584", \ - "0.078210,0.086143,0.097445,0.119812,0.164273,0.252714,0.428581", \ - "0.078207,0.086143,0.097444,0.119832,0.164259,0.252725,0.428582", \ - "0.078215,0.086140,0.097421,0.119750,0.164260,0.252722,0.428592", \ - "0.084726,0.091629,0.101658,0.122120,0.164439,0.252728,0.428578", \ - "0.100104,0.107347,0.117591,0.137240,0.174646,0.255176,0.428578", \ - "0.118484,0.126215,0.137206,0.157982,0.197020,0.271042,0.431367"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.063389,0.066970,0.071976,0.081782,0.100943,0.138427,0.212192", \ - "0.069123,0.072706,0.077744,0.087586,0.106806,0.144339,0.218157", \ - "0.084121,0.087724,0.092781,0.102677,0.121981,0.159623,0.233549", \ - "0.106811,0.110647,0.115830,0.126081,0.145446,0.183151,0.257207", \ - "0.132491,0.136920,0.142915,0.154431,0.176224,0.216656,0.291579", \ - "0.157836,0.163216,0.170386,0.184167,0.209828,0.255429,0.337144", \ - "0.178998,0.185861,0.194889,0.211929,0.242851,0.296265,0.387451"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.146775,0.155895,0.168753,0.194141,0.244189,0.342888,0.538047", \ - "0.148888,0.158019,0.170931,0.196426,0.246473,0.345304,0.540571", \ - "0.161915,0.171098,0.184119,0.209698,0.260047,0.359153,0.554671", \ - "0.193004,0.202055,0.214693,0.240012,0.290113,0.389130,0.584394", \ - "0.246202,0.254754,0.267023,0.291585,0.340806,0.438601,0.632964", \ - "0.312977,0.323694,0.338384,0.365976,0.416051,0.511726,0.704040", \ - "0.384153,0.396922,0.414456,0.447454,0.507401,0.611528,0.800845"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031436,0.034189,0.038117,0.045974,0.061674,0.093034,0.155700", \ - "0.031436,0.034189,0.038121,0.045984,0.061679,0.093025,0.155710", \ - "0.031356,0.034120,0.038076,0.045963,0.061687,0.093023,0.155712", \ - "0.033573,0.036197,0.039831,0.047113,0.062060,0.092969,0.155689", \ - "0.039612,0.042345,0.046264,0.053929,0.068708,0.097356,0.156494", \ - "0.050168,0.052909,0.056959,0.064707,0.079816,0.108783,0.165349", \ - "0.066553,0.069508,0.073782,0.081739,0.096595,0.125406,0.181761"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.138596,0.147046,0.159066,0.182852,0.229505,0.320644,0.498491", \ - "0.138618,0.147042,0.159078,0.182842,0.229533,0.320643,0.498503", \ - "0.138662,0.147043,0.159068,0.182850,0.229489,0.320641,0.498496", \ - "0.138626,0.146998,0.159070,0.182813,0.229469,0.320682,0.498502", \ - "0.144021,0.151333,0.162075,0.183909,0.229377,0.320602,0.498497", \ - "0.171738,0.176951,0.185106,0.202705,0.240194,0.321847,0.498488", \ - "0.212162,0.218197,0.226648,0.243277,0.274322,0.342417,0.499936"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.054295,0.057764,0.062623,0.072188,0.090972,0.127945,0.201142", \ - "0.059947,0.063450,0.068344,0.077965,0.096814,0.133856,0.207104", \ - "0.074809,0.078341,0.083266,0.092962,0.111932,0.149111,0.222475", \ - "0.096340,0.100237,0.105492,0.115804,0.135312,0.172605,0.246134", \ - "0.119610,0.124253,0.130467,0.142310,0.164560,0.205434,0.280454", \ - "0.140923,0.146855,0.154624,0.169276,0.195984,0.242703,0.325257", \ - "0.156113,0.163900,0.173933,0.192692,0.225844,0.281396,0.374269"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.143877,0.152969,0.165834,0.191198,0.241176,0.339752,0.534580", \ - "0.145952,0.155100,0.168002,0.193420,0.243508,0.342208,0.537055", \ - "0.158972,0.168174,0.181160,0.206766,0.257043,0.356018,0.551194", \ - "0.190099,0.199161,0.211760,0.237097,0.287124,0.385895,0.580874", \ - "0.243396,0.251989,0.264217,0.288740,0.337902,0.435506,0.629505", \ - "0.309291,0.320133,0.334891,0.362651,0.413166,0.508651,0.700604", \ - "0.379598,0.392501,0.410166,0.443373,0.503724,0.608352,0.797414"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026099,0.028837,0.032747,0.040562,0.056190,0.087413,0.149931", \ - "0.026111,0.028842,0.032748,0.040571,0.056178,0.087430,0.149942", \ - "0.026000,0.028758,0.032689,0.040528,0.056183,0.087423,0.149915", \ - "0.029039,0.031663,0.035384,0.042601,0.057104,0.087388,0.149900", \ - "0.035609,0.038312,0.042174,0.049724,0.064356,0.092895,0.151097", \ - "0.047368,0.050108,0.054042,0.061569,0.076150,0.104699,0.160880", \ - "0.065040,0.068034,0.072309,0.080076,0.094363,0.122171,0.177663"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.105804,0.114489,0.126755,0.151060,0.198609,0.290680,0.469133", \ - "0.105815,0.114434,0.126770,0.151021,0.198621,0.290700,0.469140", \ - "0.105770,0.114419,0.126701,0.151043,0.198584,0.290686,0.469130", \ - "0.105769,0.114450,0.126660,0.150972,0.198552,0.290717,0.469142", \ - "0.111422,0.118991,0.129899,0.152148,0.198443,0.290638,0.469138", \ - "0.138416,0.145161,0.154327,0.171614,0.209657,0.291968,0.469137", \ - "0.172262,0.179837,0.190260,0.209673,0.244719,0.312876,0.470653"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.046318,0.049199,0.053234,0.061164,0.076705,0.107245,0.167630", \ - "0.052001,0.054911,0.058973,0.066945,0.082545,0.113134,0.173549", \ - "0.068190,0.071108,0.075185,0.083209,0.098896,0.129600,0.190122", \ - "0.091649,0.095026,0.099563,0.108352,0.124949,0.155876,0.216543", \ - "0.116493,0.120745,0.126417,0.137043,0.156761,0.192138,0.255563", \ - "0.138379,0.144069,0.151542,0.165355,0.190149,0.232384,0.304496", \ - "0.153393,0.160981,0.170855,0.188999,0.220946,0.273205,0.357303"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.150092,0.159241,0.172109,0.197565,0.247633,0.346269,0.541258", \ - "0.152226,0.161420,0.174365,0.199895,0.250041,0.348817,0.543885", \ - "0.165144,0.174354,0.187351,0.213016,0.263499,0.362619,0.557947", \ - "0.196227,0.205304,0.217961,0.243358,0.293464,0.392272,0.587522", \ - "0.249275,0.257945,0.270306,0.294946,0.344243,0.441969,0.636125", \ - "0.316981,0.327638,0.342169,0.369539,0.419388,0.515157,0.707272", \ - "0.389023,0.401720,0.419051,0.451854,0.511398,0.615071,0.804186"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.021661,0.023941,0.027197,0.033683,0.046649,0.072534,0.124345", \ - "0.021666,0.023951,0.027201,0.033688,0.046646,0.072529,0.124345", \ - "0.021563,0.023851,0.027115,0.033632,0.046625,0.072532,0.124335", \ - "0.025321,0.027484,0.030556,0.036579,0.048254,0.072788,0.124319", \ - "0.033048,0.035297,0.038486,0.044744,0.056723,0.080062,0.126725", \ - "0.046187,0.048601,0.051993,0.058416,0.070408,0.093583,0.139176", \ - "0.064595,0.067408,0.071305,0.078472,0.090906,0.113709,0.158381"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.108707,0.117358,0.129598,0.154023,0.201506,0.293548,0.472019", \ - "0.108690,0.117351,0.129602,0.154003,0.201440,0.293542,0.472020", \ - "0.108715,0.117398,0.129574,0.153955,0.201528,0.293577,0.472021", \ - "0.108743,0.117396,0.129530,0.153903,0.201488,0.293549,0.472025", \ - "0.113206,0.120908,0.131871,0.154761,0.201399,0.293477,0.472013", \ - "0.139017,0.145714,0.154623,0.172516,0.211181,0.294449,0.472009", \ - "0.172536,0.180147,0.190519,0.210027,0.244947,0.314104,0.473189"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.054295,0.057764,0.062623,0.072188,0.090972,0.127945,0.201142", \ - "0.059947,0.063450,0.068344,0.077965,0.096814,0.133856,0.207104", \ - "0.074809,0.078341,0.083266,0.092962,0.111932,0.149111,0.222475", \ - "0.096340,0.100237,0.105492,0.115804,0.135312,0.172605,0.246134", \ - "0.119610,0.124253,0.130467,0.142310,0.164560,0.205434,0.280454", \ - "0.140923,0.146855,0.154624,0.169276,0.195984,0.242703,0.325257", \ - "0.156113,0.163900,0.173933,0.192692,0.225844,0.281396,0.374269"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.143877,0.152969,0.165834,0.191198,0.241176,0.339752,0.534580", \ - "0.145952,0.155100,0.168002,0.193420,0.243508,0.342208,0.537055", \ - "0.158972,0.168174,0.181160,0.206766,0.257043,0.356018,0.551194", \ - "0.190099,0.199161,0.211760,0.237097,0.287124,0.385895,0.580874", \ - "0.243396,0.251989,0.264217,0.288740,0.337902,0.435506,0.629505", \ - "0.309291,0.320133,0.334891,0.362651,0.413166,0.508651,0.700604", \ - "0.379598,0.392501,0.410166,0.443373,0.503724,0.608352,0.797414"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026099,0.028837,0.032747,0.040562,0.056190,0.087413,0.149931", \ - "0.026111,0.028842,0.032748,0.040571,0.056178,0.087430,0.149942", \ - "0.026000,0.028758,0.032689,0.040528,0.056183,0.087423,0.149915", \ - "0.029039,0.031663,0.035384,0.042601,0.057104,0.087388,0.149900", \ - "0.035609,0.038312,0.042174,0.049724,0.064356,0.092895,0.151097", \ - "0.047368,0.050108,0.054042,0.061569,0.076150,0.104699,0.160880", \ - "0.065040,0.068034,0.072309,0.080076,0.094363,0.122171,0.177663"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.105804,0.114489,0.126755,0.151060,0.198609,0.290680,0.469133", \ - "0.105815,0.114434,0.126770,0.151021,0.198621,0.290700,0.469140", \ - "0.105770,0.114419,0.126701,0.151043,0.198584,0.290686,0.469130", \ - "0.105769,0.114450,0.126660,0.150972,0.198552,0.290717,0.469142", \ - "0.111422,0.118991,0.129899,0.152148,0.198443,0.290638,0.469138", \ - "0.138416,0.145161,0.154327,0.171614,0.209657,0.291968,0.469137", \ - "0.172262,0.179837,0.190260,0.209673,0.244719,0.312876,0.470653"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.046326,0.049544,0.054116,0.063238,0.081421,0.117708,0.190211", \ - "0.051871,0.055148,0.059775,0.068969,0.087241,0.123607,0.196162", \ - "0.066386,0.069755,0.074485,0.083815,0.102268,0.138829,0.211538", \ - "0.086110,0.090021,0.095312,0.105681,0.125317,0.162255,0.235154", \ - "0.106191,0.111157,0.117626,0.129902,0.152788,0.194193,0.269438", \ - "0.122060,0.128737,0.137475,0.153440,0.181626,0.229783,0.313345", \ - "0.129608,0.138693,0.150368,0.171437,0.207688,0.266042,0.360965"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.140949,0.150072,0.162907,0.188258,0.238211,0.336614,0.531086", \ - "0.143020,0.152179,0.165063,0.190491,0.240526,0.339037,0.533587", \ - "0.156046,0.165260,0.178180,0.203791,0.254074,0.352864,0.547688", \ - "0.187196,0.196281,0.208830,0.234193,0.284171,0.382669,0.577384", \ - "0.240495,0.249251,0.261402,0.285917,0.334988,0.432393,0.625986", \ - "0.305603,0.316564,0.331439,0.359398,0.410274,0.505608,0.697095", \ - "0.375019,0.388083,0.405844,0.439305,0.500056,0.605155,0.793991"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020307,0.023028,0.026956,0.034791,0.050439,0.081692,0.144083", \ - "0.020305,0.023025,0.026948,0.034791,0.050450,0.081678,0.144077", \ - "0.020371,0.023073,0.026965,0.034801,0.050436,0.081677,0.144074", \ - "0.024188,0.026801,0.030544,0.037902,0.052160,0.081905,0.144075", \ - "0.031691,0.034296,0.038071,0.045506,0.060011,0.088435,0.145798", \ - "0.044937,0.047586,0.051423,0.058773,0.072804,0.100751,0.156441", \ - "0.064003,0.067042,0.071260,0.078938,0.092698,0.119272,0.173704"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.087729,0.095775,0.107253,0.129828,0.174651,0.263643,0.440350", \ - "0.087727,0.095775,0.107255,0.129834,0.174658,0.263642,0.440357", \ - "0.087730,0.095773,0.107239,0.129802,0.174649,0.263645,0.440322", \ - "0.087730,0.095779,0.107214,0.129801,0.174642,0.263614,0.440335", \ - "0.093872,0.100699,0.110649,0.131082,0.174471,0.263589,0.440325", \ - "0.117324,0.124279,0.133783,0.150964,0.186025,0.265008,0.440317", \ - "0.143990,0.152213,0.163483,0.183866,0.219937,0.286240,0.441941"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.040143,0.042808,0.046585,0.054108,0.069101,0.099019,0.158775", \ - "0.045752,0.048450,0.052271,0.059856,0.074920,0.104897,0.164700", \ - "0.061593,0.064383,0.068294,0.075993,0.091204,0.121329,0.181260", \ - "0.082973,0.086426,0.091032,0.099962,0.116681,0.147547,0.207644", \ - "0.104137,0.108795,0.114884,0.126070,0.146566,0.182658,0.246595", \ - "0.120124,0.126658,0.135129,0.150378,0.177007,0.221027,0.294335", \ - "0.127426,0.136329,0.147856,0.168357,0.203454,0.259069,0.345569"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.147168,0.156303,0.169153,0.194587,0.244612,0.343126,0.537763", \ - "0.149287,0.158503,0.171399,0.196900,0.247046,0.345691,0.540377", \ - "0.162180,0.171410,0.184372,0.210069,0.260440,0.359392,0.554463", \ - "0.193293,0.202382,0.215023,0.240399,0.290457,0.389138,0.584017", \ - "0.246533,0.255160,0.267473,0.292081,0.341279,0.438846,0.632614", \ - "0.313362,0.324129,0.338761,0.366341,0.416491,0.512110,0.703764", \ - "0.384521,0.397359,0.414852,0.447822,0.507755,0.611899,0.800760"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016836,0.019096,0.022347,0.028849,0.041834,0.067750,0.119504", \ - "0.016843,0.019097,0.022349,0.028846,0.041832,0.067749,0.119507", \ - "0.017030,0.019231,0.022423,0.028876,0.041842,0.067746,0.119518", \ - "0.021506,0.023644,0.026716,0.032748,0.044420,0.068418,0.119502", \ - "0.030135,0.032334,0.035483,0.041631,0.053451,0.076586,0.122616", \ - "0.044322,0.046771,0.050210,0.056603,0.068254,0.090788,0.135823", \ - "0.063929,0.066799,0.070745,0.077896,0.090143,0.112083,0.155628"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.090331,0.098387,0.109864,0.132519,0.177359,0.266380,0.443193", \ - "0.090335,0.098393,0.109859,0.132486,0.177331,0.266420,0.443162", \ - "0.090324,0.098387,0.109865,0.132512,0.177346,0.266407,0.443194", \ - "0.090330,0.098398,0.109836,0.132430,0.177331,0.266406,0.443164", \ - "0.095217,0.102225,0.112397,0.133385,0.177216,0.266332,0.443162", \ - "0.118082,0.125012,0.134457,0.151614,0.187352,0.267396,0.443153", \ - "0.144698,0.152868,0.164025,0.184422,0.220375,0.287351,0.444432"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.049071,0.052067,0.056271,0.064533,0.080746,0.112619,0.175661", \ - "0.054715,0.057743,0.061975,0.070287,0.086554,0.118483,0.181567", \ - "0.069859,0.072894,0.077151,0.085518,0.101885,0.133937,0.197133", \ - "0.092243,0.095710,0.100399,0.109503,0.126701,0.158910,0.222254", \ - "0.116551,0.120845,0.126595,0.137448,0.157668,0.194134,0.259868", \ - "0.138415,0.144073,0.151597,0.165516,0.190565,0.233642,0.307794", \ - "0.153564,0.161126,0.171010,0.189297,0.221337,0.274090,0.359783"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.154345,0.163320,0.176059,0.201201,0.250907,0.349033,0.543455", \ - "0.156434,0.165478,0.178234,0.203501,0.253262,0.351527,0.546036", \ - "0.169525,0.178594,0.191438,0.216815,0.266815,0.365395,0.560243", \ - "0.200621,0.209575,0.222076,0.247197,0.296902,0.395220,0.589885", \ - "0.253424,0.262097,0.274367,0.298824,0.347708,0.444927,0.638526", \ - "0.321771,0.332198,0.346485,0.373452,0.422698,0.518134,0.709688", \ - "0.394390,0.406856,0.423920,0.456174,0.515097,0.617949,0.806624"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.023302,0.025638,0.028969,0.035607,0.048854,0.075358,0.128358", \ - "0.023312,0.025643,0.028969,0.035608,0.048864,0.075352,0.128359", \ - "0.023183,0.025540,0.028881,0.035564,0.048853,0.075358,0.128361", \ - "0.026826,0.029042,0.032173,0.038311,0.050289,0.075527,0.128345", \ - "0.034450,0.036724,0.039963,0.046328,0.058596,0.082468,0.130449", \ - "0.047644,0.050016,0.053356,0.059748,0.071920,0.095695,0.142415", \ - "0.066581,0.069252,0.072997,0.079848,0.092152,0.115328,0.161169"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.110405,0.119190,0.131546,0.156043,0.203930,0.296224,0.474941", \ - "0.110400,0.119155,0.131540,0.156098,0.203933,0.296238,0.474943", \ - "0.110404,0.119153,0.131495,0.156105,0.203860,0.296257,0.474964", \ - "0.110389,0.119173,0.131504,0.156059,0.203847,0.296236,0.474941", \ - "0.114916,0.122759,0.133918,0.156958,0.203818,0.296198,0.474941", \ - "0.140781,0.147509,0.156323,0.174558,0.213553,0.297171,0.474938", \ - "0.174948,0.182544,0.192928,0.212415,0.247189,0.316738,0.476116"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.042517,0.045291,0.049227,0.057075,0.072725,0.103958,0.166349", \ - "0.048081,0.050897,0.054879,0.062793,0.078519,0.109811,0.172256", \ - "0.062890,0.065792,0.069867,0.077892,0.093781,0.125237,0.187816", \ - "0.083291,0.086808,0.091549,0.100753,0.118053,0.150164,0.212912", \ - "0.104091,0.108755,0.114875,0.126232,0.147152,0.184270,0.250458", \ - "0.120180,0.126654,0.135111,0.150432,0.177226,0.221946,0.297265", \ - "0.127732,0.136563,0.147998,0.168611,0.203824,0.259748,0.347734"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.151450,0.160458,0.173157,0.198306,0.247924,0.345899,0.539943", \ - "0.153541,0.162591,0.175314,0.200539,0.250290,0.348404,0.542549", \ - "0.166641,0.175718,0.188493,0.213881,0.263819,0.362259,0.556708", \ - "0.197764,0.206726,0.219148,0.244291,0.293935,0.392043,0.586381", \ - "0.250682,0.259304,0.271566,0.295957,0.344755,0.441826,0.635027", \ - "0.318235,0.328771,0.343155,0.370285,0.419825,0.515061,0.706210", \ - "0.389997,0.402571,0.419755,0.452228,0.511479,0.614796,0.803180"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018271,0.020614,0.023958,0.030638,0.043930,0.070467,0.123396", \ - "0.018268,0.020612,0.023957,0.030634,0.043951,0.070463,0.123401", \ - "0.018384,0.020685,0.023992,0.030633,0.043934,0.070456,0.123399", \ - "0.022755,0.024977,0.028151,0.034333,0.046275,0.070992,0.123388", \ - "0.031295,0.033549,0.036761,0.043019,0.055139,0.078856,0.126162", \ - "0.045788,0.048177,0.051476,0.057847,0.069614,0.092725,0.138913", \ - "0.066131,0.068829,0.072539,0.079345,0.091281,0.113510,0.158234"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.092212,0.100342,0.111911,0.134643,0.179773,0.269061,0.446113", \ - "0.092211,0.100355,0.111910,0.134669,0.179804,0.269103,0.446139", \ - "0.092219,0.100351,0.111909,0.134711,0.179743,0.269127,0.446119", \ - "0.092229,0.100347,0.111898,0.134634,0.179746,0.269082,0.446141", \ - "0.097132,0.104213,0.114475,0.135701,0.179700,0.269082,0.446140", \ - "0.120318,0.127166,0.136592,0.153689,0.189720,0.270095,0.446145", \ - "0.147707,0.155772,0.166839,0.187038,0.222806,0.289972,0.447387"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.036113,0.038329,0.041467,0.047719,0.060178,0.085027,0.134662", \ - "0.041728,0.043972,0.047144,0.053448,0.065965,0.090867,0.140539", \ - "0.057972,0.060305,0.063561,0.069957,0.082600,0.107629,0.157411", \ - "0.080096,0.083191,0.087310,0.095180,0.109714,0.136026,0.185973", \ - "0.101699,0.106112,0.111905,0.122399,0.141273,0.173533,0.228873", \ - "0.117567,0.123910,0.132146,0.146927,0.172500,0.213814,0.279900", \ - "0.124573,0.133237,0.144514,0.164558,0.198940,0.252714,0.333834"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.158279,0.167279,0.179969,0.205154,0.254793,0.352843,0.546944", \ - "0.160440,0.169514,0.182267,0.207529,0.257310,0.355507,0.549750", \ - "0.173359,0.182457,0.195279,0.220694,0.270706,0.369211,0.563812", \ - "0.204443,0.213417,0.225917,0.251020,0.300731,0.398919,0.593375", \ - "0.257204,0.265922,0.278233,0.302663,0.351576,0.448680,0.641998", \ - "0.326420,0.336759,0.350892,0.377554,0.426576,0.521989,0.713225", \ - "0.399959,0.412297,0.429176,0.461099,0.519630,0.621873,0.810314"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014602,0.016473,0.019156,0.024500,0.035149,0.056372,0.098697", \ - "0.014607,0.016475,0.019159,0.024495,0.035146,0.056360,0.098691", \ - "0.014968,0.016720,0.019284,0.024528,0.035145,0.056366,0.098691", \ - "0.020164,0.021943,0.024465,0.029361,0.038786,0.057627,0.098690", \ - "0.030050,0.031934,0.034600,0.039716,0.049261,0.067666,0.103695", \ - "0.045424,0.047611,0.050630,0.056232,0.066025,0.084167,0.119641", \ - "0.066332,0.068859,0.072311,0.078661,0.089410,0.107956,0.142571"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.094962,0.103114,0.114672,0.137499,0.182664,0.272056,0.449233", \ - "0.094966,0.103112,0.114706,0.137502,0.182676,0.272077,0.449246", \ - "0.094965,0.103111,0.114667,0.137484,0.182668,0.272081,0.449231", \ - "0.094962,0.103115,0.114659,0.137463,0.182630,0.272079,0.449251", \ - "0.098803,0.106057,0.116532,0.138177,0.182617,0.272029,0.449233", \ - "0.121226,0.128057,0.137349,0.154614,0.191390,0.272784,0.449228", \ - "0.148627,0.156632,0.167582,0.187727,0.223477,0.291393,0.450186"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.072621,0.076274,0.081334,0.091281,0.110684,0.148537,0.222772", \ - "0.077643,0.081302,0.086371,0.096311,0.115727,0.153586,0.227826", \ - "0.092399,0.096049,0.101132,0.111102,0.130535,0.168428,0.242713", \ - "0.115687,0.119453,0.124572,0.134681,0.154124,0.192023,0.266374", \ - "0.143314,0.147602,0.153470,0.164690,0.186049,0.226035,0.300857", \ - "0.171709,0.176819,0.183564,0.196709,0.221569,0.266287,0.347128", \ - "0.197384,0.203697,0.211984,0.227868,0.257207,0.309022,0.398695"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.160232,0.169321,0.182240,0.207544,0.257595,0.356320,0.551447", \ - "0.164528,0.173631,0.186565,0.211904,0.261939,0.360724,0.555869", \ - "0.182121,0.191261,0.204204,0.229677,0.279800,0.378715,0.574078", \ - "0.212088,0.221217,0.234041,0.259481,0.309672,0.408626,0.604075", \ - "0.257104,0.266188,0.279073,0.304326,0.354389,0.453261,0.648749", \ - "0.313567,0.323919,0.338388,0.366114,0.417834,0.516452,0.711796", \ - "0.377001,0.388726,0.405007,0.436098,0.493931,0.599614,0.795078"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.036456,0.039231,0.043218,0.051182,0.067016,0.098548,0.161433", \ - "0.036453,0.039225,0.043218,0.051172,0.067017,0.098557,0.161433", \ - "0.036409,0.039202,0.043201,0.051168,0.067020,0.098549,0.161441", \ - "0.038027,0.040663,0.044442,0.051993,0.067297,0.098534,0.161444", \ - "0.043908,0.046671,0.050645,0.058357,0.073238,0.102265,0.162077", \ - "0.053548,0.056410,0.060558,0.068474,0.083900,0.113256,0.170101", \ - "0.068868,0.071870,0.076203,0.084356,0.099663,0.129253,0.186214"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.138672,0.147038,0.159105,0.182860,0.229509,0.320667,0.498494", \ - "0.138628,0.146990,0.159077,0.182845,0.229519,0.320666,0.498495", \ - "0.138624,0.146990,0.159071,0.182839,0.229516,0.320645,0.498503", \ - "0.138610,0.147047,0.159063,0.182832,0.229483,0.320643,0.498493", \ - "0.141313,0.149199,0.160513,0.183336,0.229430,0.320616,0.498498", \ - "0.158386,0.164956,0.174432,0.194548,0.235947,0.321606,0.498489", \ - "0.184357,0.191095,0.200752,0.220019,0.257280,0.334395,0.499968"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.062945,0.066504,0.071502,0.081283,0.100423,0.137874,0.211610", \ - "0.067970,0.071529,0.076530,0.086320,0.105464,0.142923,0.216659", \ - "0.082686,0.086264,0.091268,0.101084,0.120268,0.157767,0.231548", \ - "0.105236,0.109057,0.114224,0.124413,0.143819,0.181361,0.255219", \ - "0.130927,0.135373,0.141350,0.152858,0.174560,0.214815,0.289684", \ - "0.156123,0.161542,0.168709,0.182519,0.208127,0.253727,0.335306", \ - "0.176851,0.183820,0.192891,0.210035,0.241084,0.294549,0.385657"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.157313,0.166408,0.179255,0.204610,0.254580,0.353250,0.547992", \ - "0.161610,0.170731,0.183616,0.208973,0.258999,0.357669,0.552399", \ - "0.179195,0.188352,0.201260,0.226696,0.276851,0.375617,0.570553", \ - "0.209148,0.218292,0.231090,0.256480,0.306654,0.405550,0.600600", \ - "0.254217,0.263312,0.276245,0.301443,0.351437,0.450130,0.645266", \ - "0.310133,0.320559,0.335030,0.362899,0.414842,0.513351,0.708331", \ - "0.373034,0.384851,0.401174,0.432409,0.490512,0.596354,0.791620"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031422,0.034172,0.038112,0.045966,0.061663,0.093003,0.155665", \ - "0.031414,0.034174,0.038109,0.045965,0.061656,0.093005,0.155657", \ - "0.031368,0.034133,0.038072,0.045958,0.061666,0.093016,0.155660", \ - "0.033786,0.036404,0.040114,0.047432,0.062353,0.093039,0.155664", \ - "0.039909,0.042643,0.046573,0.054214,0.068957,0.097632,0.156648", \ - "0.050521,0.053302,0.057346,0.065102,0.080146,0.109063,0.165550", \ - "0.066943,0.069919,0.074200,0.082182,0.097016,0.125781,0.182033"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.105803,0.114435,0.126746,0.151040,0.198585,0.290760,0.469143", \ - "0.105765,0.114484,0.126651,0.151048,0.198639,0.290762,0.469130", \ - "0.105766,0.114483,0.126773,0.151032,0.198625,0.290704,0.469139", \ - "0.105807,0.114417,0.126642,0.150983,0.198570,0.290714,0.469134", \ - "0.108581,0.116662,0.128243,0.151577,0.198498,0.290644,0.469131", \ - "0.124963,0.132443,0.143019,0.163255,0.205245,0.291674,0.469130", \ - "0.146817,0.154658,0.165565,0.186867,0.226800,0.304658,0.470656"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.053153,0.056116,0.060270,0.068405,0.084270,0.115242,0.176113", \ - "0.058310,0.061286,0.065439,0.073580,0.089449,0.120429,0.181303", \ - "0.074353,0.077331,0.081486,0.089637,0.105535,0.136551,0.197465", \ - "0.099063,0.102338,0.106750,0.115429,0.131806,0.162893,0.223884", \ - "0.126624,0.130631,0.135997,0.146223,0.165361,0.200014,0.262979", \ - "0.152859,0.158023,0.164805,0.177637,0.201059,0.242045,0.312985", \ - "0.173582,0.180351,0.189207,0.205746,0.235410,0.285149,0.367268"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.163533,0.172663,0.185545,0.210969,0.261047,0.359677,0.554646", \ - "0.167966,0.177107,0.190013,0.215480,0.265540,0.364263,0.559244", \ - "0.185410,0.194598,0.207548,0.233097,0.283292,0.382215,0.577343", \ - "0.215250,0.224429,0.237292,0.262778,0.313067,0.411947,0.607288", \ - "0.260337,0.269435,0.282393,0.307651,0.357723,0.456596,0.651893", \ - "0.317296,0.327623,0.341936,0.369568,0.421195,0.519741,0.714900", \ - "0.381391,0.393066,0.409200,0.440070,0.497752,0.603064,0.798234"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026152,0.028442,0.031708,0.038231,0.051218,0.077175,0.129089", \ - "0.026147,0.028434,0.031705,0.038228,0.051218,0.077179,0.129097", \ - "0.026057,0.028360,0.031640,0.038191,0.051211,0.077182,0.129111", \ - "0.029174,0.031346,0.034423,0.040430,0.052419,0.077355,0.129097", \ - "0.036364,0.038650,0.041903,0.048228,0.060349,0.083779,0.131121", \ - "0.048557,0.050968,0.054400,0.060907,0.073247,0.096894,0.142837", \ - "0.066062,0.068857,0.072735,0.079906,0.092612,0.116138,0.161644"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.108698,0.117401,0.129598,0.153996,0.201485,0.293547,0.472032", \ - "0.108710,0.117399,0.129571,0.153992,0.201529,0.293572,0.472014", \ - "0.108699,0.117399,0.129621,0.153993,0.201441,0.293554,0.472021", \ - "0.108703,0.117401,0.129546,0.153956,0.201513,0.293541,0.472031", \ - "0.110924,0.119106,0.130691,0.154182,0.201380,0.293518,0.472015", \ - "0.126610,0.134042,0.144578,0.164978,0.207399,0.294293,0.472016", \ - "0.148184,0.156012,0.166919,0.188274,0.228336,0.306622,0.473319"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.062945,0.066504,0.071502,0.081283,0.100423,0.137874,0.211610", \ - "0.067970,0.071529,0.076530,0.086320,0.105464,0.142923,0.216659", \ - "0.082686,0.086264,0.091268,0.101084,0.120268,0.157767,0.231548", \ - "0.105236,0.109057,0.114224,0.124413,0.143819,0.181361,0.255219", \ - "0.130927,0.135373,0.141350,0.152858,0.174560,0.214815,0.289684", \ - "0.156123,0.161542,0.168709,0.182519,0.208127,0.253727,0.335306", \ - "0.176851,0.183820,0.192891,0.210035,0.241084,0.294549,0.385657"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.157313,0.166408,0.179255,0.204610,0.254580,0.353250,0.547992", \ - "0.161610,0.170731,0.183616,0.208973,0.258999,0.357669,0.552399", \ - "0.179195,0.188352,0.201260,0.226696,0.276851,0.375617,0.570553", \ - "0.209148,0.218292,0.231090,0.256480,0.306654,0.405550,0.600600", \ - "0.254217,0.263312,0.276245,0.301443,0.351437,0.450130,0.645266", \ - "0.310133,0.320559,0.335030,0.362899,0.414842,0.513351,0.708331", \ - "0.373034,0.384851,0.401174,0.432409,0.490512,0.596354,0.791620"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031422,0.034172,0.038112,0.045966,0.061663,0.093003,0.155665", \ - "0.031414,0.034174,0.038109,0.045965,0.061656,0.093005,0.155657", \ - "0.031368,0.034133,0.038072,0.045958,0.061666,0.093016,0.155660", \ - "0.033786,0.036404,0.040114,0.047432,0.062353,0.093039,0.155664", \ - "0.039909,0.042643,0.046573,0.054214,0.068957,0.097632,0.156648", \ - "0.050521,0.053302,0.057346,0.065102,0.080146,0.109063,0.165550", \ - "0.066943,0.069919,0.074200,0.082182,0.097016,0.125781,0.182033"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.105803,0.114435,0.126746,0.151040,0.198585,0.290760,0.469143", \ - "0.105765,0.114484,0.126651,0.151048,0.198639,0.290762,0.469130", \ - "0.105766,0.114483,0.126773,0.151032,0.198625,0.290704,0.469139", \ - "0.105807,0.114417,0.126642,0.150983,0.198570,0.290714,0.469134", \ - "0.108581,0.116662,0.128243,0.151577,0.198498,0.290644,0.469131", \ - "0.124963,0.132443,0.143019,0.163255,0.205245,0.291674,0.469130", \ - "0.146817,0.154658,0.165565,0.186867,0.226800,0.304658,0.470656"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.053873,0.057325,0.062172,0.071718,0.090477,0.127426,0.200621", \ - "0.058890,0.062346,0.067199,0.076751,0.095519,0.132479,0.205667", \ - "0.073551,0.077026,0.081898,0.091486,0.110306,0.147318,0.220550", \ - "0.094912,0.098767,0.103999,0.114248,0.133711,0.170883,0.244189", \ - "0.118144,0.122791,0.128972,0.140811,0.162970,0.203657,0.278638", \ - "0.139212,0.145150,0.152943,0.167664,0.194330,0.241044,0.323448", \ - "0.153811,0.161683,0.171840,0.190781,0.224088,0.279694,0.372522"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.154400,0.163513,0.176302,0.201693,0.251624,0.349997,0.544468", \ - "0.158693,0.167825,0.180656,0.206050,0.255994,0.354405,0.548896", \ - "0.176269,0.185437,0.198302,0.223773,0.273849,0.372439,0.567079", \ - "0.206216,0.215380,0.228120,0.253571,0.303697,0.402328,0.597082", \ - "0.251325,0.260453,0.273360,0.298546,0.348453,0.447017,0.641775", \ - "0.306722,0.317218,0.331711,0.359620,0.411838,0.510266,0.704808", \ - "0.369078,0.380992,0.397399,0.428716,0.487047,0.593101,0.788170"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026111,0.028852,0.032766,0.040575,0.056189,0.087407,0.149941", \ - "0.026101,0.028842,0.032749,0.040577,0.056183,0.087418,0.149939", \ - "0.026114,0.028843,0.032754,0.040565,0.056193,0.087415,0.149924", \ - "0.029294,0.031910,0.035624,0.042900,0.057439,0.087577,0.149875", \ - "0.035972,0.038662,0.042523,0.050051,0.064644,0.093158,0.151327", \ - "0.047807,0.050549,0.054493,0.062007,0.076541,0.105022,0.161097", \ - "0.065454,0.068477,0.072715,0.080532,0.094851,0.122600,0.177981"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.087729,0.095776,0.107255,0.129822,0.174659,0.263649,0.440325", \ - "0.087734,0.095776,0.107242,0.129815,0.174650,0.263638,0.440323", \ - "0.087735,0.095776,0.107259,0.129822,0.174635,0.263642,0.440356", \ - "0.087716,0.095771,0.107225,0.129784,0.174621,0.263621,0.440323", \ - "0.090759,0.098207,0.108890,0.130426,0.174544,0.263615,0.440341", \ - "0.104772,0.112149,0.122574,0.142248,0.181440,0.264677,0.440319", \ - "0.121982,0.129917,0.141145,0.162277,0.201916,0.277789,0.441902"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.045975,0.048849,0.052870,0.060782,0.076303,0.106822,0.167185", \ - "0.051139,0.054011,0.058038,0.065955,0.081484,0.112007,0.172369", \ - "0.067130,0.070019,0.074054,0.081995,0.097562,0.128130,0.188546", \ - "0.090399,0.093751,0.098250,0.107026,0.123519,0.154447,0.214944", \ - "0.115139,0.119401,0.125059,0.135698,0.155366,0.190600,0.253987", \ - "0.136678,0.142444,0.149920,0.163817,0.188626,0.230905,0.302928", \ - "0.151063,0.158749,0.168764,0.187083,0.219220,0.271614,0.355727"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.160595,0.169741,0.182579,0.208000,0.258019,0.356538,0.551149", \ - "0.165038,0.174194,0.187043,0.212490,0.262564,0.361093,0.555734", \ - "0.182462,0.191661,0.204577,0.230099,0.280305,0.379013,0.573861", \ - "0.212314,0.221507,0.234311,0.259806,0.310006,0.408815,0.603798", \ - "0.257452,0.266555,0.279477,0.304737,0.354759,0.453454,0.648378", \ - "0.313915,0.324308,0.338654,0.366384,0.418212,0.516647,0.711391", \ - "0.377475,0.389240,0.405411,0.436407,0.494316,0.599825,0.794797"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.021681,0.023964,0.027216,0.033704,0.046651,0.072525,0.124330", \ - "0.021666,0.023946,0.027198,0.033696,0.046642,0.072529,0.124329", \ - "0.021740,0.023988,0.027209,0.033690,0.046638,0.072528,0.124350", \ - "0.025594,0.027754,0.030828,0.036822,0.048571,0.072997,0.124321", \ - "0.033449,0.035693,0.038882,0.045115,0.057056,0.080336,0.126963", \ - "0.046669,0.049081,0.052484,0.058899,0.070885,0.093979,0.139451", \ - "0.065044,0.067874,0.071798,0.079001,0.091450,0.114249,0.158782"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.090330,0.098388,0.109878,0.132534,0.177352,0.266390,0.443159", \ - "0.090333,0.098387,0.109886,0.132485,0.177389,0.266418,0.443168", \ - "0.090331,0.098390,0.109864,0.132476,0.177337,0.266374,0.443196", \ - "0.090333,0.098387,0.109879,0.132466,0.177329,0.266422,0.443195", \ - "0.092766,0.100316,0.111065,0.132827,0.177289,0.266352,0.443160", \ - "0.106353,0.113713,0.124110,0.143780,0.183435,0.267205,0.443171", \ - "0.123427,0.131358,0.142535,0.163764,0.203426,0.279672,0.444529"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.056260,0.059352,0.063678,0.072150,0.088688,0.121008,0.184559", \ - "0.061354,0.064444,0.068771,0.077250,0.093796,0.126124,0.189681", \ - "0.076352,0.079441,0.083775,0.092272,0.108851,0.141211,0.204806", \ - "0.099911,0.103294,0.107888,0.116859,0.133869,0.166273,0.229937", \ - "0.126863,0.130936,0.136409,0.146888,0.166512,0.202267,0.267651", \ - "0.152870,0.158106,0.164939,0.177900,0.201690,0.243545,0.316582", \ - "0.173777,0.180533,0.189366,0.206075,0.235898,0.286227,0.370015"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.167795,0.176784,0.189498,0.214631,0.264306,0.362445,0.556857", \ - "0.172153,0.181163,0.193908,0.219093,0.268770,0.366964,0.561402", \ - "0.189744,0.198788,0.211573,0.236851,0.286648,0.385005,0.579653", \ - "0.219694,0.228729,0.241412,0.266584,0.316424,0.414854,0.609620", \ - "0.264729,0.273725,0.286482,0.311506,0.361274,0.459524,0.654280", \ - "0.322103,0.332233,0.346349,0.373599,0.424647,0.522729,0.717288", \ - "0.386608,0.398079,0.413947,0.444440,0.501506,0.606098,0.800671"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.027918,0.030265,0.033589,0.040263,0.053554,0.080109,0.133236", \ - "0.027914,0.030256,0.033589,0.040257,0.053547,0.080111,0.133234", \ - "0.027838,0.030189,0.033541,0.040239,0.053547,0.080110,0.133235", \ - "0.030830,0.033038,0.036154,0.042277,0.054618,0.080236,0.133245", \ - "0.037927,0.040228,0.043533,0.049991,0.062351,0.086311,0.135005", \ - "0.050060,0.052432,0.055847,0.062402,0.074932,0.099168,0.146199", \ - "0.067902,0.070579,0.074363,0.081302,0.093964,0.117891,0.164583"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.110405,0.119155,0.131504,0.156106,0.203868,0.296236,0.474940", \ - "0.110369,0.119191,0.131522,0.156076,0.203872,0.296220,0.474948", \ - "0.110366,0.119192,0.131545,0.156066,0.203864,0.296231,0.474968", \ - "0.110405,0.119146,0.131480,0.156058,0.203851,0.296235,0.474942", \ - "0.112623,0.120917,0.132578,0.156317,0.203867,0.296201,0.474938", \ - "0.128218,0.135783,0.146309,0.167057,0.209782,0.296989,0.474943", \ - "0.150172,0.158039,0.169062,0.190488,0.230641,0.309289,0.476228"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.048692,0.051678,0.055865,0.064109,0.080296,0.112142,0.175161", \ - "0.053781,0.056774,0.060963,0.069212,0.085406,0.117259,0.180284", \ - "0.068724,0.071725,0.075935,0.084215,0.100451,0.132355,0.195411", \ - "0.090935,0.094373,0.099018,0.108099,0.125206,0.157382,0.220544", \ - "0.115164,0.119462,0.125215,0.136061,0.156198,0.192518,0.258218", \ - "0.136721,0.142422,0.149954,0.163933,0.189027,0.232092,0.306144", \ - "0.151232,0.158904,0.168849,0.187356,0.219616,0.272471,0.358165"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.164925,0.173926,0.186563,0.211709,0.261323,0.359325,0.553367", \ - "0.169289,0.178297,0.190973,0.216173,0.265813,0.363825,0.557917", \ - "0.186865,0.195913,0.208648,0.233885,0.283668,0.381878,0.576118", \ - "0.216797,0.225847,0.238476,0.263669,0.313440,0.411701,0.606122", \ - "0.261889,0.270875,0.283653,0.308624,0.358216,0.456388,0.650744", \ - "0.318790,0.328979,0.343130,0.370446,0.421693,0.519637,0.713791", \ - "0.382783,0.394325,0.410254,0.440814,0.498097,0.602868,0.797212"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.023313,0.025646,0.028973,0.035600,0.048864,0.075335,0.128345", \ - "0.023300,0.025636,0.028958,0.035598,0.048850,0.075331,0.128344", \ - "0.023332,0.025646,0.028963,0.035594,0.048865,0.075341,0.128332", \ - "0.027088,0.029302,0.032437,0.038548,0.050601,0.075722,0.128322", \ - "0.034830,0.037103,0.040350,0.046685,0.058906,0.082721,0.130657", \ - "0.048124,0.050498,0.053860,0.060238,0.072389,0.096066,0.142669", \ - "0.067051,0.069728,0.073498,0.080368,0.092671,0.115825,0.161534"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.092216,0.100348,0.111921,0.134734,0.179744,0.269106,0.446144", \ - "0.092227,0.100342,0.111919,0.134647,0.179757,0.269056,0.446138", \ - "0.092227,0.100343,0.111913,0.134671,0.179752,0.269123,0.446119", \ - "0.092210,0.100353,0.111917,0.134691,0.179740,0.269062,0.446146", \ - "0.094658,0.102274,0.113116,0.135028,0.179709,0.269038,0.446127", \ - "0.108412,0.115781,0.126186,0.145826,0.185804,0.269918,0.446144", \ - "0.125881,0.133799,0.145002,0.166215,0.205851,0.282314,0.447465"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.040601,0.043002,0.046362,0.052967,0.065908,0.091314,0.141504", \ - "0.045840,0.048241,0.051603,0.058211,0.071157,0.096568,0.146760", \ - "0.062241,0.064650,0.068018,0.074641,0.087616,0.113065,0.163296", \ - "0.086511,0.089480,0.093479,0.101129,0.115396,0.141537,0.191871", \ - "0.112001,0.116014,0.121323,0.131116,0.149103,0.180371,0.235072", \ - "0.133550,0.139139,0.146459,0.159809,0.183485,0.222821,0.287362", \ - "0.147512,0.155062,0.164905,0.182853,0.214185,0.264647,0.343056"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.171691,0.180729,0.193412,0.218597,0.268219,0.366258,0.560355", \ - "0.176223,0.185255,0.197986,0.223164,0.272860,0.370961,0.565105", \ - "0.193666,0.202729,0.215515,0.240784,0.290608,0.388897,0.583306", \ - "0.223527,0.232570,0.245218,0.270465,0.320250,0.418607,0.613152", \ - "0.268568,0.277561,0.290323,0.315386,0.365026,0.463261,0.657703", \ - "0.326508,0.336580,0.350548,0.377609,0.428517,0.526502,0.720689", \ - "0.391696,0.403073,0.418817,0.449054,0.505817,0.609965,0.804148"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018652,0.020535,0.023206,0.028539,0.039131,0.060296,0.102646", \ - "0.018627,0.020510,0.023185,0.028518,0.039124,0.060294,0.102635", \ - "0.018782,0.020603,0.023224,0.028519,0.039130,0.060304,0.102644", \ - "0.023520,0.025284,0.027772,0.032616,0.041957,0.061225,0.102649", \ - "0.032778,0.034636,0.037262,0.042354,0.052001,0.070533,0.106974", \ - "0.047334,0.049453,0.052404,0.057935,0.067844,0.086417,0.122341", \ - "0.066934,0.069444,0.072906,0.079297,0.090223,0.109277,0.144729"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.094966,0.103121,0.114667,0.137513,0.182650,0.272076,0.449232", \ - "0.094963,0.103118,0.114681,0.137530,0.182659,0.272058,0.449235", \ - "0.094965,0.103115,0.114696,0.137500,0.182646,0.272083,0.449249", \ - "0.094963,0.103110,0.114667,0.137497,0.182654,0.272072,0.449248", \ - "0.096886,0.104578,0.115566,0.137675,0.182630,0.272086,0.449235", \ - "0.110177,0.117558,0.127871,0.147648,0.188054,0.272736,0.449240", \ - "0.127530,0.135451,0.146593,0.167816,0.207569,0.284452,0.450352"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.445703,2.461413,2.494946,2.529463,2.573610,2.640491,2.709510", \ - "2.466792,2.490474,2.515537,2.557281,2.605975,2.683990,2.768516", \ - "2.452440,2.463119,2.502904,2.547364,2.596639,2.688697,2.776384", \ - "2.464684,2.481191,2.486291,2.525631,2.591568,2.685422,2.770055", \ - "2.688457,2.686392,2.672965,2.657592,2.662561,2.719795,2.798862", \ - "3.035319,3.020449,3.013660,2.981264,2.936606,2.876358,2.890662", \ - "3.546713,3.528382,3.503575,3.444791,3.373795,3.244222,3.100718"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("6.366029,6.381722,6.397253,6.391285,6.409146,6.415858,6.391005", \ - "6.263242,6.289343,6.316791,6.329333,6.387689,6.417977,6.469557", \ - "6.144441,6.167434,6.191485,6.213280,6.244992,6.350320,6.373455", \ - "6.222327,6.224632,6.215122,6.202886,6.211393,6.239221,6.317068", \ - "6.503173,6.488176,6.456510,6.414081,6.375050,6.354521,6.332550", \ - "6.962692,6.940370,6.887181,6.830124,6.723447,6.586460,6.497773", \ - "7.565953,7.554575,7.545551,7.458964,7.276105,7.105391,6.877406"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.734476,1.752007,1.755603,1.779568,1.810589,1.855665,1.892729", \ - "1.747179,1.759347,1.776282,1.816231,1.845122,1.898848,1.941053", \ - "1.709578,1.736386,1.759156,1.776626,1.842296,1.899886,1.964254", \ - "1.753773,1.744136,1.753356,1.771129,1.819307,1.891989,1.951719", \ - "1.994667,1.983956,1.967294,1.933965,1.902851,1.934782,1.976293", \ - "2.369704,2.344964,2.317337,2.262799,2.193680,2.102563,2.070835", \ - "2.910163,2.874582,2.839686,2.758853,2.650903,2.485094,2.292929"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.432441,5.445624,5.446353,5.451238,5.447020,5.503057,5.467632", \ - "5.327778,5.351235,5.381964,5.385622,5.440131,5.503687,5.487293", \ - "5.215725,5.232135,5.250245,5.252506,5.334002,5.379752,5.450256", \ - "5.292179,5.287355,5.280877,5.279492,5.300245,5.324334,5.393757", \ - "5.571453,5.550847,5.521476,5.470654,5.466985,5.384031,5.409932", \ - "6.039638,6.014407,5.967467,5.897329,5.820105,5.673255,5.576607", \ - "6.534121,6.518186,6.514492,6.506301,6.372527,6.136618,5.956576"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.710013,1.725567,1.749563,1.753655,1.803086,1.838829,1.887326", \ - "1.721176,1.740588,1.768471,1.777115,1.822992,1.882019,1.930320", \ - "1.702522,1.721694,1.743109,1.784396,1.821520,1.887270,1.945602", \ - "1.774224,1.768531,1.759461,1.775001,1.806950,1.879129,1.937112", \ - "2.017738,2.004209,1.991235,1.964605,1.934993,1.930080,1.962949", \ - "2.394679,2.372910,2.342376,2.305649,2.234530,2.141789,2.072018", \ - "2.940491,2.911189,2.875897,2.804374,2.690744,2.523782,2.337532"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.424360,5.433219,5.442144,5.439620,5.439479,5.494504,5.459664", \ - "5.309635,5.327496,5.355410,5.390788,5.422491,5.487132,5.471636", \ - "5.192999,5.212257,5.219031,5.250457,5.313176,5.358046,5.429480", \ - "5.287415,5.284939,5.282621,5.272086,5.286592,5.306881,5.371594", \ - "5.574216,5.558462,5.537120,5.480830,5.464744,5.375668,5.394130", \ - "6.050548,6.021687,5.979261,5.900261,5.822789,5.676154,5.571372", \ - "6.553609,6.546798,6.539521,6.524462,6.404907,6.150478,5.964232"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.734476,1.752007,1.755603,1.779568,1.810589,1.855665,1.892729", \ - "1.747179,1.759347,1.776282,1.816231,1.845122,1.898848,1.941053", \ - "1.709578,1.736386,1.759156,1.776626,1.842296,1.899886,1.964254", \ - "1.753773,1.744136,1.753356,1.771129,1.819307,1.891989,1.951719", \ - "1.994667,1.983956,1.967294,1.933965,1.902851,1.934782,1.976293", \ - "2.369704,2.344964,2.317337,2.262799,2.193680,2.102563,2.070835", \ - "2.910163,2.874582,2.839686,2.758853,2.650903,2.485094,2.292929"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.432441,5.445624,5.446353,5.451238,5.447020,5.503057,5.467632", \ - "5.327778,5.351235,5.381964,5.385622,5.440131,5.503687,5.487293", \ - "5.215725,5.232135,5.250245,5.252506,5.334002,5.379752,5.450256", \ - "5.292179,5.287355,5.280877,5.279492,5.300245,5.324334,5.393757", \ - "5.571453,5.550847,5.521476,5.470654,5.466985,5.384031,5.409932", \ - "6.039638,6.014407,5.967467,5.897329,5.820105,5.673255,5.576607", \ - "6.534121,6.518186,6.514492,6.506301,6.372527,6.136618,5.956576"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.053154,1.060821,1.063442,1.066428,1.064549,1.075891,1.076472", \ - "1.053414,1.057847,1.071709,1.086676,1.095723,1.115590,1.125210", \ - "0.987392,1.001208,1.026750,1.053562,1.077271,1.115544,1.141405", \ - "1.063339,1.047311,1.025753,1.031307,1.062298,1.098677,1.131733", \ - "1.319930,1.290498,1.269546,1.220781,1.154707,1.140460,1.155500", \ - "1.726394,1.688424,1.639890,1.576394,1.467469,1.326998,1.254259", \ - "2.304847,2.264620,2.204851,2.100248,1.934446,1.725511,1.488154"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.504063,4.517436,4.526881,4.537176,4.559472,4.543138,4.554207", \ - "4.397447,4.423541,4.439003,4.467736,4.480262,4.545987,4.573046", \ - "4.287465,4.304276,4.321267,4.326030,4.390885,4.478964,4.536801", \ - "4.366478,4.366474,4.358537,4.366633,4.371910,4.362937,4.480835", \ - "4.648926,4.632057,4.602357,4.548992,4.524950,4.483356,4.497225", \ - "4.955375,4.973272,5.018586,4.984366,4.873178,4.773617,4.663858", \ - "5.479572,5.475753,5.485016,5.479347,5.432747,5.234612,4.981851"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.056076,1.060915,1.055432,1.071389,1.076002,1.070693,1.078106", \ - "1.054997,1.066004,1.063146,1.089314,1.103515,1.119588,1.131380", \ - "0.997259,1.011525,1.027636,1.049411,1.082703,1.122569,1.145884", \ - "1.098525,1.084425,1.069297,1.042826,1.064844,1.101311,1.137027", \ - "1.349034,1.325593,1.288926,1.260181,1.200132,1.145171,1.159343", \ - "1.752353,1.721690,1.677195,1.610965,1.498831,1.375815,1.270195", \ - "2.338005,2.293332,2.234318,2.138705,1.977750,1.777583,1.542691"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.495954,4.510002,4.512570,4.533660,4.551609,4.553194,4.546269", \ - "4.379643,4.402678,4.422663,4.442437,4.463302,4.532878,4.557585", \ - "4.264554,4.280150,4.299017,4.335370,4.382266,4.457365,4.515997", \ - "4.361966,4.355913,4.351708,4.343351,4.345375,4.345512,4.395406", \ - "4.651918,4.633676,4.604020,4.572798,4.522535,4.475031,4.418173", \ - "4.966032,4.990042,5.024407,5.000716,4.880336,4.776613,4.659042", \ - "5.506787,5.502592,5.498630,5.507660,5.449352,5.248401,4.989813"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.710013,1.725567,1.749563,1.753655,1.803086,1.838829,1.887326", \ - "1.721176,1.740588,1.768471,1.777115,1.822992,1.882019,1.930320", \ - "1.702522,1.721694,1.743109,1.784396,1.821520,1.887270,1.945602", \ - "1.774224,1.768531,1.759461,1.775001,1.806950,1.879129,1.937112", \ - "2.017738,2.004209,1.991235,1.964605,1.934993,1.930080,1.962949", \ - "2.394679,2.372910,2.342376,2.305649,2.234530,2.141789,2.072018", \ - "2.940491,2.911189,2.875897,2.804374,2.690744,2.523782,2.337532"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.424360,5.433219,5.442144,5.439620,5.439479,5.494504,5.459664", \ - "5.309635,5.327496,5.355410,5.390788,5.422491,5.487132,5.471636", \ - "5.192999,5.212257,5.219031,5.250457,5.313176,5.358046,5.429480", \ - "5.287415,5.284939,5.282621,5.272086,5.286592,5.306881,5.371594", \ - "5.574216,5.558462,5.537120,5.480830,5.464744,5.375668,5.394130", \ - "6.050548,6.021687,5.979261,5.900261,5.822789,5.676154,5.571372", \ - "6.553609,6.546798,6.539521,6.524462,6.404907,6.150478,5.964232"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.056076,1.060915,1.055432,1.071389,1.076002,1.070693,1.078106", \ - "1.054997,1.066004,1.063146,1.089314,1.103515,1.119588,1.131380", \ - "0.997259,1.011525,1.027636,1.049411,1.082703,1.122569,1.145884", \ - "1.098525,1.084425,1.069297,1.042826,1.064844,1.101311,1.137027", \ - "1.349034,1.325593,1.288926,1.260181,1.200132,1.145171,1.159343", \ - "1.752353,1.721690,1.677195,1.610965,1.498831,1.375815,1.270195", \ - "2.338005,2.293332,2.234318,2.138705,1.977750,1.777583,1.542691"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.495954,4.510002,4.512570,4.533660,4.551609,4.553194,4.546269", \ - "4.379643,4.402678,4.422663,4.442437,4.463302,4.532878,4.557585", \ - "4.264554,4.280150,4.299017,4.335370,4.382266,4.457365,4.515997", \ - "4.361966,4.355913,4.351708,4.343351,4.345375,4.345512,4.395406", \ - "4.651918,4.633676,4.604020,4.572798,4.522535,4.475031,4.418173", \ - "4.966032,4.990042,5.024407,5.000716,4.880336,4.776613,4.659042", \ - "5.506787,5.502592,5.498630,5.507660,5.449352,5.248401,4.989813"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.065219,1.065599,1.062791,1.065967,1.071518,1.068249,1.082732", \ - "1.062242,1.061285,1.072810,1.082584,1.100362,1.120218,1.129595", \ - "1.008992,1.010392,1.034976,1.046029,1.092064,1.123149,1.141964", \ - "1.122798,1.116316,1.104522,1.084745,1.066635,1.109707,1.141746", \ - "1.371191,1.350746,1.332788,1.292308,1.238335,1.165013,1.160633", \ - "1.783090,1.752132,1.708217,1.648043,1.542980,1.421052,1.287766", \ - "2.364971,2.323674,2.274666,2.170035,2.025718,1.829065,1.599028"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.494852,4.508923,4.513190,4.530595,4.551098,4.534875,4.544885", \ - "4.358372,4.380962,4.401072,4.452619,4.451840,4.517017,4.564041", \ - "4.249029,4.263450,4.269493,4.279703,4.347903,4.414211,4.493521", \ - "4.357307,4.349919,4.348215,4.346876,4.330657,4.325532,4.371136", \ - "4.655807,4.637031,4.611892,4.578215,4.520663,4.467536,4.401361", \ - "4.986596,5.001025,5.046208,5.018131,4.888829,4.780446,4.654980", \ - "5.535127,5.531136,5.530012,5.516193,5.466944,5.263628,4.999142"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.122764,3.142738,3.160242,3.186775,3.262690,3.356227,3.450502", \ - "3.043728,3.071476,3.098923,3.118485,3.195868,3.290481,3.386436", \ - "2.969900,2.999701,3.032232,3.040725,3.145433,3.241273,3.339693", \ - "2.987765,3.005138,3.019006,3.063224,3.139130,3.222740,3.330195", \ - "3.198706,3.192411,3.176539,3.172566,3.206142,3.268475,3.371298", \ - "3.561418,3.555782,3.536261,3.518075,3.479867,3.437335,3.480456", \ - "4.081397,4.062480,4.039836,4.005248,3.935960,3.832096,3.710496"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.515477,7.529477,7.529065,7.530132,7.553704,7.558880,7.533774", \ - "7.458531,7.477987,7.485710,7.520495,7.532733,7.552806,7.597621", \ - "7.324632,7.337426,7.356085,7.379714,7.412316,7.508300,7.522724", \ - "7.254750,7.266199,7.268919,7.275604,7.316602,7.359326,7.443776", \ - "7.301104,7.301521,7.302753,7.282243,7.279495,7.297926,7.370108", \ - "7.469947,7.462060,7.439822,7.436414,7.410925,7.389406,7.367246", \ - "7.728524,7.739565,7.738891,7.718558,7.671457,7.591537,7.495804"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.387286,2.403038,2.412719,2.459659,2.503689,2.566194,2.638375", \ - "2.316185,2.337644,2.348452,2.391725,2.441049,2.500817,2.567169", \ - "2.238422,2.256727,2.289176,2.327291,2.375823,2.450245,2.519898", \ - "2.247655,2.259452,2.287832,2.321111,2.369007,2.437330,2.509243", \ - "2.503037,2.489614,2.477492,2.464656,2.425507,2.482001,2.539236", \ - "2.884264,2.866909,2.848852,2.816410,2.752632,2.663684,2.665516", \ - "3.429488,3.403621,3.376185,3.322249,3.222198,3.076594,2.897033"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("6.583044,6.588924,6.591643,6.585545,6.592974,6.589414,6.611063", \ - "6.524410,6.543307,6.545017,6.573075,6.622786,6.638253,6.613895", \ - "6.390767,6.405013,6.427377,6.462349,6.500963,6.538582,6.599038", \ - "6.322724,6.331638,6.342427,6.346970,6.405774,6.444622,6.520742", \ - "6.371011,6.375623,6.363718,6.329779,6.368285,6.383206,6.448288", \ - "6.540037,6.533758,6.508720,6.497948,6.449796,6.419145,6.444075", \ - "6.692730,6.701469,6.719075,6.756933,6.709332,6.620911,6.573611"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.353861,2.364187,2.384785,2.427873,2.479884,2.524475,2.603894", \ - "2.276831,2.291540,2.324948,2.358105,2.399141,2.461646,2.539712", \ - "2.202973,2.226857,2.252212,2.294714,2.349851,2.405116,2.500635", \ - "2.240425,2.244489,2.248337,2.271165,2.346699,2.418120,2.491410", \ - "2.518018,2.509288,2.492830,2.467700,2.444494,2.469891,2.532283", \ - "2.898290,2.889588,2.871415,2.823574,2.770495,2.694273,2.649216", \ - "3.449431,3.424180,3.403652,3.351172,3.250214,3.112104,2.926449"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("6.574637,6.581928,6.581694,6.587656,6.587100,6.580663,6.604636", \ - "6.500487,6.523603,6.532598,6.552917,6.554430,6.622697,6.598646", \ - "6.370683,6.389247,6.406825,6.430934,6.482760,6.519883,6.580456", \ - "6.316291,6.329315,6.335011,6.350461,6.393216,6.428714,6.501293", \ - "6.367893,6.362967,6.360187,6.355570,6.361485,6.371390,6.431153", \ - "6.539884,6.531165,6.522075,6.482751,6.446211,6.411842,6.432255", \ - "6.697762,6.704666,6.722805,6.759777,6.710389,6.619548,6.593226"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.387286,2.403038,2.412719,2.459659,2.503689,2.566194,2.638375", \ - "2.316185,2.337644,2.348452,2.391725,2.441049,2.500817,2.567169", \ - "2.238422,2.256727,2.289176,2.327291,2.375823,2.450245,2.519898", \ - "2.247655,2.259452,2.287832,2.321111,2.369007,2.437330,2.509243", \ - "2.503037,2.489614,2.477492,2.464656,2.425507,2.482001,2.539236", \ - "2.884264,2.866909,2.848852,2.816410,2.752632,2.663684,2.665516", \ - "3.429488,3.403621,3.376185,3.322249,3.222198,3.076594,2.897033"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("6.583044,6.588924,6.591643,6.585545,6.592974,6.589414,6.611063", \ - "6.524410,6.543307,6.545017,6.573075,6.622786,6.638253,6.613895", \ - "6.390767,6.405013,6.427377,6.462349,6.500963,6.538582,6.599038", \ - "6.322724,6.331638,6.342427,6.346970,6.405774,6.444622,6.520742", \ - "6.371011,6.375623,6.363718,6.329779,6.368285,6.383206,6.448288", \ - "6.540037,6.533758,6.508720,6.497948,6.449796,6.419145,6.444075", \ - "6.692730,6.701469,6.719075,6.756933,6.709332,6.620911,6.573611"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.677901,1.688025,1.697113,1.701109,1.738643,1.780303,1.815559", \ - "1.604221,1.614875,1.629768,1.651401,1.682586,1.715056,1.753728", \ - "1.519095,1.530866,1.561187,1.572286,1.614221,1.662467,1.701880", \ - "1.552845,1.535118,1.543101,1.565956,1.607415,1.642579,1.690100", \ - "1.826850,1.808667,1.785221,1.754167,1.690965,1.705159,1.723265", \ - "2.227003,2.205396,2.170683,2.119158,2.028751,1.897077,1.840614", \ - "2.801090,2.769300,2.724207,2.647607,2.510701,2.319061,2.089173"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.654818,5.665929,5.657958,5.676091,5.649231,5.689037,5.698077", \ - "5.595942,5.607474,5.629588,5.641705,5.681770,5.678126,5.699953", \ - "5.461759,5.471791,5.484284,5.524569,5.558293,5.637446,5.685500", \ - "5.393902,5.405547,5.406319,5.392203,5.464659,5.505759,5.544336", \ - "5.446256,5.445877,5.425765,5.424795,5.426086,5.483534,5.534768", \ - "5.440511,5.486190,5.551710,5.575886,5.502643,5.517440,5.531855", \ - "5.639503,5.649589,5.680008,5.729358,5.828914,5.717303,5.661070"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.651373,1.665617,1.686010,1.704008,1.725259,1.763126,1.803887", \ - "1.587413,1.590274,1.616437,1.638423,1.656044,1.702939,1.739118", \ - "1.505745,1.512202,1.537595,1.555264,1.595806,1.649298,1.689326", \ - "1.576896,1.564083,1.549227,1.556769,1.598397,1.636508,1.676610", \ - "1.844307,1.826157,1.808533,1.776686,1.724210,1.687226,1.718639", \ - "2.249810,2.228195,2.192776,2.142040,2.058637,1.934799,1.845808", \ - "2.827096,2.792577,2.744428,2.675847,2.551134,2.360940,2.131940"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.647182,5.657385,5.659972,5.650324,5.641923,5.680832,5.690845", \ - "5.579598,5.587920,5.608142,5.611732,5.666384,5.663227,5.684995", \ - "5.441593,5.457397,5.476312,5.483562,5.540936,5.557956,5.667242", \ - "5.388835,5.399602,5.392482,5.391202,5.452194,5.468024,5.525231", \ - "5.443422,5.440933,5.434589,5.417362,5.419399,5.410692,5.454181", \ - "5.440271,5.481389,5.536308,5.574559,5.499236,5.510453,5.519498", \ - "5.644860,5.662999,5.677067,5.732404,5.815941,5.716098,5.655100"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.353861,2.364187,2.384785,2.427873,2.479884,2.524475,2.603894", \ - "2.276831,2.291540,2.324948,2.358105,2.399141,2.461646,2.539712", \ - "2.202973,2.226857,2.252212,2.294714,2.349851,2.405116,2.500635", \ - "2.240425,2.244489,2.248337,2.271165,2.346699,2.418120,2.491410", \ - "2.518018,2.509288,2.492830,2.467700,2.444494,2.469891,2.532283", \ - "2.898290,2.889588,2.871415,2.823574,2.770495,2.694273,2.649216", \ - "3.449431,3.424180,3.403652,3.351172,3.250214,3.112104,2.926449"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("6.574637,6.581928,6.581694,6.587656,6.587100,6.580663,6.604636", \ - "6.500487,6.523603,6.532598,6.552917,6.554430,6.622697,6.598646", \ - "6.370683,6.389247,6.406825,6.430934,6.482760,6.519883,6.580456", \ - "6.316291,6.329315,6.335011,6.350461,6.393216,6.428714,6.501293", \ - "6.367893,6.362967,6.360187,6.355570,6.361485,6.371390,6.431153", \ - "6.539884,6.531165,6.522075,6.482751,6.446211,6.411842,6.432255", \ - "6.697762,6.704666,6.722805,6.759777,6.710389,6.619548,6.593226"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.651373,1.665617,1.686010,1.704008,1.725259,1.763126,1.803887", \ - "1.587413,1.590274,1.616437,1.638423,1.656044,1.702939,1.739118", \ - "1.505745,1.512202,1.537595,1.555264,1.595806,1.649298,1.689326", \ - "1.576896,1.564083,1.549227,1.556769,1.598397,1.636508,1.676610", \ - "1.844307,1.826157,1.808533,1.776686,1.724210,1.687226,1.718639", \ - "2.249810,2.228195,2.192776,2.142040,2.058637,1.934799,1.845808", \ - "2.827096,2.792577,2.744428,2.675847,2.551134,2.360940,2.131940"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.647182,5.657385,5.659972,5.650324,5.641923,5.680832,5.690845", \ - "5.579598,5.587920,5.608142,5.611732,5.666384,5.663227,5.684995", \ - "5.441593,5.457397,5.476312,5.483562,5.540936,5.557956,5.667242", \ - "5.388835,5.399602,5.392482,5.391202,5.452194,5.468024,5.525231", \ - "5.443422,5.440933,5.434589,5.417362,5.419399,5.410692,5.454181", \ - "5.440271,5.481389,5.536308,5.574559,5.499236,5.510453,5.519498", \ - "5.644860,5.662999,5.677067,5.732404,5.815941,5.716098,5.655100"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.613159,1.633717,1.645452,1.663147,1.708186,1.740452,1.792384", \ - "1.547375,1.552261,1.576938,1.599918,1.636005,1.673451,1.726845", \ - "1.476635,1.478624,1.508596,1.536974,1.575360,1.623996,1.673912", \ - "1.596751,1.586196,1.576356,1.555322,1.579550,1.617776,1.666645", \ - "1.858048,1.842254,1.822647,1.791553,1.746221,1.680894,1.709682", \ - "2.274530,2.245362,2.210350,2.167746,2.079390,1.968529,1.840714", \ - "2.846387,2.823588,2.774219,2.702678,2.583279,2.399823,2.181782"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.646229,5.656435,5.656566,5.664678,5.640887,5.693750,5.688957", \ - "5.559964,5.578695,5.596448,5.599816,5.656179,5.653006,5.674953", \ - "5.429163,5.444027,5.458091,5.481679,5.522012,5.588282,5.583148", \ - "5.383512,5.392507,5.386686,5.400165,5.439212,5.449673,5.536517", \ - "5.440362,5.437429,5.429124,5.408090,5.411493,5.399211,5.435710", \ - "5.439955,5.483235,5.533865,5.569700,5.495538,5.503784,5.507300", \ - "5.659202,5.668090,5.693171,5.735691,5.817407,5.714696,5.649260"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.463376,2.478612,2.490056,2.530201,2.579853,2.629301,2.716948", \ - "2.479232,2.497743,2.508080,2.546126,2.615696,2.688160,2.761964", \ - "2.441546,2.462936,2.491780,2.517494,2.604830,2.687844,2.783066", \ - "2.375020,2.389414,2.422677,2.484015,2.550324,2.629429,2.749791", \ - "2.446828,2.449489,2.458157,2.468413,2.501698,2.608189,2.715058", \ - "2.611758,2.612755,2.607464,2.608175,2.617011,2.629125,2.714987", \ - "2.897333,2.884697,2.871169,2.855863,2.836884,2.821067,2.790946"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.546775,8.550712,8.571689,8.570210,8.582225,8.661472,8.674189", \ - "8.475221,8.484235,8.507596,8.530764,8.549438,8.639759,8.661623", \ - "8.324043,8.342645,8.374326,8.388916,8.463416,8.522211,8.622433", \ - "8.351325,8.355326,8.364138,8.365327,8.424904,8.479732,8.579053", \ - "8.579309,8.572653,8.563730,8.558916,8.524647,8.537148,8.605813", \ - "8.999671,8.983553,8.961750,8.913253,8.856372,8.819054,8.777930", \ - "9.617428,9.595533,9.562911,9.499910,9.384653,9.273707,9.160450"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.739653,1.751475,1.767546,1.791798,1.821121,1.854725,1.897024", \ - "1.759613,1.774338,1.785916,1.811306,1.852159,1.902856,1.945232", \ - "1.691284,1.711448,1.742220,1.762512,1.827928,1.898489,1.956968", \ - "1.619064,1.638446,1.666661,1.710398,1.770456,1.841138,1.928357", \ - "1.706888,1.710857,1.710998,1.717142,1.718488,1.803978,1.891293", \ - "1.881219,1.878618,1.864106,1.853205,1.855287,1.834364,1.889803", \ - "2.185558,2.174546,2.156255,2.121540,2.080671,2.028551,1.966491"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.574902,7.589537,7.598029,7.622880,7.654921,7.677897,7.742052", \ - "7.502451,7.513249,7.530518,7.544919,7.622594,7.660379,7.730198", \ - "7.358380,7.371070,7.405873,7.428938,7.506696,7.595310,7.690276", \ - "7.378380,7.393743,7.396115,7.426297,7.444578,7.551136,7.648807", \ - "7.608539,7.604243,7.608331,7.592690,7.596144,7.611192,7.676255", \ - "8.031780,8.015460,7.997272,7.978710,7.929653,7.881760,7.846240", \ - "8.554220,8.560664,8.586732,8.551347,8.458512,8.348203,8.170175"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.722766,1.725521,1.747141,1.763924,1.801449,1.842044,1.887191", \ - "1.726835,1.746344,1.771243,1.796667,1.833367,1.886173,1.932188", \ - "1.667728,1.691965,1.712004,1.753619,1.815434,1.878662,1.943243", \ - "1.634107,1.638173,1.650230,1.693861,1.749330,1.829810,1.915423", \ - "1.731048,1.729919,1.728073,1.744402,1.751668,1.788835,1.879530", \ - "1.904827,1.898009,1.886462,1.877671,1.885166,1.870416,1.883584", \ - "2.204917,2.196854,2.178516,2.148831,2.107025,2.067949,2.009780"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.564159,7.578642,7.595511,7.610645,7.642899,7.669126,7.731452", \ - "7.464808,7.484341,7.495705,7.533791,7.592730,7.629867,7.701554", \ - "7.325659,7.346204,7.364000,7.391465,7.454931,7.506377,7.657999", \ - "7.368732,7.383085,7.382832,7.413730,7.426154,7.473143,7.557920", \ - "7.609019,7.604822,7.605257,7.602146,7.590975,7.607884,7.655957", \ - "8.041977,8.034014,8.005891,7.991549,7.940798,7.860135,7.840484", \ - "8.574273,8.589562,8.599939,8.585435,8.475593,8.361629,8.176196"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.739653,1.751475,1.767546,1.791798,1.821121,1.854725,1.897024", \ - "1.759613,1.774338,1.785916,1.811306,1.852159,1.902856,1.945232", \ - "1.691284,1.711448,1.742220,1.762512,1.827928,1.898489,1.956968", \ - "1.619064,1.638446,1.666661,1.710398,1.770456,1.841138,1.928357", \ - "1.706888,1.710857,1.710998,1.717142,1.718488,1.803978,1.891293", \ - "1.881219,1.878618,1.864106,1.853205,1.855287,1.834364,1.889803", \ - "2.185558,2.174546,2.156255,2.121540,2.080671,2.028551,1.966491"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.574902,7.589537,7.598029,7.622880,7.654921,7.677897,7.742052", \ - "7.502451,7.513249,7.530518,7.544919,7.622594,7.660379,7.730198", \ - "7.358380,7.371070,7.405873,7.428938,7.506696,7.595310,7.690276", \ - "7.378380,7.393743,7.396115,7.426297,7.444578,7.551136,7.648807", \ - "7.608539,7.604243,7.608331,7.592690,7.596144,7.611192,7.676255", \ - "8.031780,8.015460,7.997272,7.978710,7.929653,7.881760,7.846240", \ - "8.554220,8.560664,8.586732,8.551347,8.458512,8.348203,8.170175"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.062709,1.062866,1.059212,1.071604,1.071707,1.066658,1.079808", \ - "1.068482,1.074660,1.076669,1.095303,1.104883,1.121382,1.131188", \ - "0.962371,0.978035,0.996426,1.036451,1.070795,1.112491,1.138779", \ - "0.884327,0.886668,0.902217,0.932684,0.993763,1.044626,1.107728", \ - "0.973978,0.967684,0.966892,0.965673,0.954715,0.995098,1.068872", \ - "1.165110,1.150716,1.130019,1.107954,1.085258,1.046787,1.065991", \ - "1.496441,1.468970,1.446010,1.390722,1.322754,1.244216,1.145962"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("6.585355,6.601516,6.616468,6.637011,6.683496,6.761286,6.818116", \ - "6.512218,6.533500,6.545379,6.584121,6.651720,6.679685,6.806798", \ - "6.367845,6.390860,6.412861,6.460218,6.510217,6.621580,6.704252", \ - "6.388657,6.404996,6.402716,6.439522,6.475317,6.575269,6.661804", \ - "6.622452,6.617286,6.618056,6.612937,6.629954,6.653256,6.689180", \ - "7.004721,7.040863,7.024033,6.990797,6.964302,6.918799,6.886967", \ - "7.448302,7.475222,7.500013,7.541693,7.494179,7.373079,7.248087"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.061350,1.065240,1.059707,1.064407,1.068635,1.072929,1.075658", \ - "1.066300,1.075324,1.073592,1.086647,1.109628,1.123750,1.123079", \ - "0.965157,0.981617,0.994237,1.033036,1.072581,1.114364,1.144039", \ - "0.923053,0.928403,0.927696,0.940625,0.998476,1.045408,1.104270", \ - "1.001455,0.999453,1.001664,0.991551,0.998515,0.999803,1.075559", \ - "1.190583,1.178230,1.158236,1.148315,1.118405,1.087568,1.076998", \ - "1.519001,1.501287,1.472788,1.427955,1.365914,1.285919,1.201169"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("6.575058,6.591303,6.603864,6.621224,6.673801,6.689928,6.808457", \ - "6.474630,6.495739,6.517148,6.542691,6.624334,6.652908,6.764542", \ - "6.336130,6.357264,6.376831,6.426232,6.482254,6.590102,6.672541", \ - "6.379617,6.394967,6.396708,6.433830,6.458985,6.552694,6.634272", \ - "6.624110,6.627671,6.628425,6.628125,6.625195,6.622855,6.671176", \ - "7.025233,7.050771,7.036407,7.008794,6.970490,6.921662,6.855054", \ - "7.476883,7.494506,7.527257,7.560224,7.510847,7.386628,7.255561"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.741952,1.751609,1.759698,1.777367,1.816645,1.847874,1.886304", \ - "1.753080,1.765240,1.782225,1.808645,1.852757,1.893760,1.938830", \ - "1.694189,1.714472,1.731351,1.767109,1.831167,1.889520,1.950549", \ - "1.620449,1.637524,1.664376,1.684882,1.762207,1.832368,1.920551", \ - "1.714168,1.715243,1.720378,1.718094,1.726160,1.793489,1.885807", \ - "1.895908,1.892485,1.881064,1.868627,1.861313,1.851454,1.887632", \ - "2.209372,2.189521,2.178465,2.136951,2.101368,2.048392,1.986599"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.068623,8.074973,8.093819,8.105300,8.123916,8.146739,8.208612", \ - "7.992166,8.011362,8.024658,8.060741,8.098517,8.136838,8.207814", \ - "7.845283,7.866439,7.884429,7.909707,7.953712,8.070198,8.165519", \ - "7.869885,7.876650,7.879626,7.912462,7.915080,8.018639,8.116011", \ - "8.085420,8.082485,8.089216,8.082845,8.065660,8.076636,8.140523", \ - "8.501030,8.495333,8.479617,8.432295,8.400248,8.362901,8.314336", \ - "9.038561,9.048972,9.062974,9.028706,8.924491,8.819861,8.702602"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.065718,1.067684,1.069954,1.074361,1.076679,1.075532,1.076609", \ - "1.064989,1.071926,1.085479,1.092023,1.105635,1.117124,1.132762", \ - "0.956777,0.977045,0.995767,1.036316,1.066054,1.105898,1.142267", \ - "0.891456,0.889994,0.906281,0.937312,0.988174,1.049579,1.105809", \ - "0.978698,0.974408,0.980345,0.968012,0.969322,0.995742,1.068117", \ - "1.173536,1.158059,1.149301,1.126859,1.093648,1.066629,1.065631", \ - "1.513724,1.490675,1.463258,1.417199,1.347886,1.265035,1.173702"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.078986,7.094910,7.113793,7.109924,7.171203,7.228958,7.283734", \ - "7.003570,7.021298,7.039676,7.063552,7.126487,7.216001,7.283309", \ - "6.853612,6.875670,6.908346,6.939922,7.039546,7.090847,7.241580", \ - "6.879940,6.886495,6.888861,6.928783,6.942519,7.038638,7.191956", \ - "7.096435,7.104450,7.091493,7.111408,7.096543,7.160626,7.217107", \ - "7.506963,7.513055,7.498250,7.453273,7.452231,7.416109,7.391323", \ - "7.938699,7.961821,7.988903,8.037093,7.957009,7.864582,7.720040"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.066606,1.065681,1.065815,1.061776,1.069215,1.070578,1.082805", \ - "1.069545,1.071418,1.084997,1.091010,1.097974,1.122139,1.129601", \ - "0.958702,0.980649,1.007234,1.032001,1.077946,1.115492,1.140154", \ - "0.932764,0.935804,0.938579,0.945152,0.994838,1.060881,1.110903", \ - "1.006514,1.011637,1.010575,1.011598,1.010527,1.016454,1.077031", \ - "1.198105,1.191582,1.171857,1.153792,1.139107,1.107534,1.084951", \ - "1.543400,1.516965,1.488358,1.448988,1.385868,1.307291,1.226712"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.068712,7.074982,7.099986,7.104788,7.155994,7.217953,7.274239", \ - "6.971714,6.992615,7.016271,7.054237,7.099490,7.188416,7.256684", \ - "6.828653,6.849920,6.869979,6.895379,6.951514,7.056821,7.208889", \ - "6.860723,6.875773,6.880872,6.895360,6.923442,7.016483,7.099638", \ - "7.097600,7.105050,7.109253,7.105082,7.092518,7.148838,7.198507", \ - "7.527743,7.532764,7.514816,7.485473,7.467332,7.390211,7.385872", \ - "7.967714,7.991056,8.020999,8.053460,7.974451,7.869257,7.727560"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.119013,3.145462,3.161126,3.201887,3.253659,3.342040,3.459047", \ - "3.056505,3.070391,3.095101,3.138784,3.207493,3.274967,3.396999", \ - "2.988594,3.005861,3.024326,3.066062,3.148346,3.219999,3.340831", \ - "2.931794,2.966436,3.003898,3.030338,3.089848,3.194897,3.317687", \ - "3.013822,3.019579,3.026627,3.039490,3.102136,3.185011,3.302686", \ - "3.206176,3.207022,3.191803,3.207101,3.209927,3.227446,3.329905", \ - "3.481508,3.482836,3.472475,3.458729,3.458119,3.435166,3.420535"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.675008,9.679682,9.695097,9.706409,9.723960,9.756530,9.813741", \ - "9.631645,9.639046,9.657163,9.672619,9.682497,9.770676,9.785469", \ - "9.511127,9.522100,9.544592,9.566277,9.628499,9.677505,9.767470", \ - "9.436689,9.447524,9.463496,9.492749,9.547934,9.606667,9.709016", \ - "9.453304,9.460628,9.476838,9.462258,9.511143,9.557243,9.655110", \ - "9.584784,9.589291,9.592206,9.588761,9.583775,9.647494,9.663581", \ - "9.849483,9.877961,9.878745,9.854658,9.814860,9.835640,9.791979"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.392453,2.406055,2.429518,2.461721,2.510138,2.564125,2.639268", \ - "2.327150,2.342016,2.362837,2.396434,2.442884,2.493885,2.572624", \ - "2.243846,2.260600,2.275054,2.326520,2.379127,2.432937,2.521123", \ - "2.199020,2.216633,2.247842,2.290042,2.330530,2.406756,2.483741", \ - "2.290356,2.296834,2.287633,2.293466,2.310636,2.389109,2.480963", \ - "2.477758,2.474920,2.462116,2.455433,2.449368,2.435046,2.505587", \ - "2.765752,2.758587,2.742601,2.726284,2.701573,2.654005,2.585282"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.703315,8.709263,8.726166,8.728382,8.793010,8.819959,8.882204", \ - "8.659472,8.667754,8.684808,8.692381,8.752503,8.784996,8.852127", \ - "8.537878,8.557996,8.580970,8.614303,8.648743,8.750383,8.836703", \ - "8.471771,8.486855,8.497632,8.507025,8.567487,8.622013,8.716221", \ - "8.488794,8.494061,8.504753,8.500831,8.530395,8.632282,8.723906", \ - "8.608491,8.617144,8.627429,8.625411,8.655795,8.665213,8.731996", \ - "8.783149,8.810673,8.857194,8.873635,8.885333,8.852162,8.861380"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.349639,2.368561,2.390645,2.411573,2.478117,2.531732,2.606599", \ - "2.279693,2.299290,2.317486,2.347687,2.400445,2.468979,2.544476", \ - "2.205148,2.226231,2.243430,2.283271,2.329317,2.416369,2.492465", \ - "2.159919,2.181005,2.203962,2.257021,2.298882,2.371895,2.465594", \ - "2.294477,2.305584,2.295489,2.313155,2.301772,2.359076,2.450768", \ - "2.485138,2.486228,2.475392,2.465735,2.470184,2.458643,2.490003", \ - "2.780488,2.775155,2.759705,2.734251,2.715270,2.682266,2.618369"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.692355,8.700287,8.713481,8.740266,8.728208,8.809003,8.871576", \ - "8.625246,8.640713,8.655378,8.692951,8.725945,8.756606,8.838592", \ - "8.516527,8.527202,8.557032,8.561491,8.620283,8.664111,8.745028", \ - "8.454144,8.472132,8.486697,8.516639,8.550905,8.600053,8.690591", \ - "8.475453,8.488635,8.502266,8.502447,8.522854,8.616148,8.703500", \ - "8.606580,8.614870,8.619413,8.643310,8.650453,8.657566,8.718022", \ - "8.786760,8.814210,8.852232,8.892901,8.885188,8.849202,8.853565"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.392453,2.406055,2.429518,2.461721,2.510138,2.564125,2.639268", \ - "2.327150,2.342016,2.362837,2.396434,2.442884,2.493885,2.572624", \ - "2.243846,2.260600,2.275054,2.326520,2.379127,2.432937,2.521123", \ - "2.199020,2.216633,2.247842,2.290042,2.330530,2.406756,2.483741", \ - "2.290356,2.296834,2.287633,2.293466,2.310636,2.389109,2.480963", \ - "2.477758,2.474920,2.462116,2.455433,2.449368,2.435046,2.505587", \ - "2.765752,2.758587,2.742601,2.726284,2.701573,2.654005,2.585282"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.703315,8.709263,8.726166,8.728382,8.793010,8.819959,8.882204", \ - "8.659472,8.667754,8.684808,8.692381,8.752503,8.784996,8.852127", \ - "8.537878,8.557996,8.580970,8.614303,8.648743,8.750383,8.836703", \ - "8.471771,8.486855,8.497632,8.507025,8.567487,8.622013,8.716221", \ - "8.488794,8.494061,8.504753,8.500831,8.530395,8.632282,8.723906", \ - "8.608491,8.617144,8.627429,8.625411,8.655795,8.665213,8.731996", \ - "8.783149,8.810673,8.857194,8.873635,8.885333,8.852162,8.861380"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.682880,1.692099,1.707615,1.723205,1.750909,1.771427,1.820144", \ - "1.616141,1.626146,1.637266,1.654584,1.681606,1.717939,1.755567", \ - "1.524142,1.537592,1.557866,1.576611,1.617115,1.659329,1.703196", \ - "1.464512,1.480435,1.494628,1.517324,1.571171,1.621627,1.665200", \ - "1.579577,1.571831,1.568790,1.561022,1.551114,1.602201,1.660775", \ - "1.759599,1.754593,1.740678,1.728139,1.701697,1.656852,1.673974", \ - "2.064238,2.048420,2.026861,1.994595,1.943174,1.876622,1.771540"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.714406,7.730140,7.749965,7.777542,7.823386,7.840473,7.895225", \ - "7.669735,7.688579,7.705647,7.736893,7.785474,7.867193,7.928709", \ - "7.547422,7.569214,7.590455,7.607292,7.675101,7.774651,7.850439", \ - "7.472248,7.492674,7.508311,7.524053,7.600020,7.705252,7.791980", \ - "7.489845,7.505890,7.522942,7.526461,7.560862,7.656548,7.737297", \ - "7.575388,7.634292,7.643026,7.665583,7.688363,7.687290,7.808142", \ - "7.680735,7.719424,7.770213,7.853516,7.918014,7.873633,7.939577"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.651371,1.665476,1.685998,1.698802,1.731864,1.768298,1.807578", \ - "1.580317,1.595337,1.600840,1.633245,1.658583,1.699336,1.742047", \ - "1.496323,1.514068,1.538449,1.561307,1.601569,1.640132,1.689190", \ - "1.473010,1.469226,1.475846,1.499104,1.557883,1.608803,1.662711", \ - "1.590803,1.593981,1.592439,1.587072,1.564555,1.594447,1.648111", \ - "1.778200,1.768518,1.760941,1.749222,1.724857,1.683011,1.677427", \ - "2.081848,2.064224,2.052136,2.014841,1.974438,1.904385,1.811241"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.703902,7.720179,7.726620,7.758271,7.813166,7.830691,7.885257", \ - "7.634022,7.652560,7.665500,7.682372,7.758389,7.780057,7.902319", \ - "7.518094,7.538283,7.558102,7.599557,7.650124,7.746717,7.821063", \ - "7.463601,7.483012,7.504556,7.542223,7.583322,7.683872,7.766450", \ - "7.485153,7.500798,7.526720,7.535620,7.562116,7.640301,7.717856", \ - "7.573699,7.632314,7.641064,7.672452,7.683133,7.680747,7.794964", \ - "7.684420,7.722715,7.775867,7.855895,7.918951,7.908637,7.932350"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.386393,2.388617,2.412690,2.425100,2.476883,2.546401,2.622220", \ - "2.309552,2.323883,2.331812,2.380399,2.425371,2.483706,2.556604", \ - "2.238715,2.255145,2.277306,2.309732,2.356320,2.425014,2.506758", \ - "2.189021,2.204561,2.230147,2.254098,2.313792,2.395017,2.470988", \ - "2.301510,2.297045,2.292774,2.301905,2.306467,2.387368,2.457762", \ - "2.494141,2.490106,2.475414,2.476338,2.462818,2.444762,2.484404", \ - "2.790323,2.780147,2.774170,2.739247,2.713341,2.665999,2.598184"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.190039,9.203924,9.212824,9.236708,9.262386,9.289099,9.366279", \ - "9.151016,9.168332,9.187665,9.196991,9.232250,9.323579,9.345308", \ - "9.024525,9.044907,9.060314,9.090193,9.118068,9.225533,9.312384", \ - "8.955390,8.965286,8.988768,9.015795,9.035363,9.148178,9.246523", \ - "8.967466,8.973486,8.998702,8.980149,9.050351,9.094555,9.186059", \ - "9.092753,9.092649,9.108346,9.113143,9.120086,9.126913,9.192411", \ - "9.273039,9.302175,9.349027,9.381349,9.347901,9.312641,9.320999"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.681922,1.681006,1.688720,1.717196,1.732090,1.775925,1.808227", \ - "1.604605,1.615169,1.623110,1.644158,1.673131,1.712549,1.743151", \ - "1.524239,1.537393,1.551804,1.558842,1.595832,1.652210,1.688664", \ - "1.459752,1.474542,1.495746,1.526741,1.560252,1.614347,1.655356", \ - "1.584223,1.580649,1.575704,1.572120,1.549450,1.598096,1.651121", \ - "1.771583,1.764661,1.757872,1.741180,1.712105,1.667457,1.678788", \ - "2.080945,2.065813,2.041792,2.010705,1.961301,1.888321,1.790233"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.199993,8.215001,8.240203,8.231718,8.292772,8.367148,8.425010", \ - "8.159858,8.178477,8.192822,8.228017,8.275538,8.344648,8.406683", \ - "8.033023,8.054095,8.075925,8.129460,8.203879,8.244804,8.389484", \ - "7.964200,7.984102,8.002206,8.042618,8.063611,8.167612,8.259512", \ - "7.978009,7.993755,8.011726,8.036519,8.082153,8.116749,8.262727", \ - "8.083749,8.112728,8.120106,8.129284,8.152826,8.209729,8.268126", \ - "8.173627,8.215042,8.259056,8.353839,8.378799,8.393644,8.399356"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.645160,1.660065,1.666547,1.682702,1.712402,1.756193,1.795607", \ - "1.573320,1.588603,1.596122,1.625720,1.650164,1.690240,1.729132", \ - "1.486432,1.505444,1.519573,1.553908,1.587235,1.635266,1.672277", \ - "1.472039,1.478415,1.474917,1.496106,1.541263,1.587696,1.652096", \ - "1.600683,1.600818,1.598430,1.586309,1.574088,1.585836,1.640964", \ - "1.788827,1.783543,1.775324,1.760113,1.736215,1.701529,1.669438", \ - "2.100309,2.088251,2.069503,2.032255,1.984576,1.924522,1.834429"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.189650,8.204858,8.226559,8.234836,8.282676,8.357731,8.415174", \ - "8.133344,8.151795,8.176428,8.190925,8.234692,8.317010,8.380247", \ - "8.011757,8.031949,8.058039,8.095137,8.119725,8.217241,8.319081", \ - "7.954779,7.973590,7.979288,8.025535,8.047118,8.146573,8.233148", \ - "7.973104,7.988390,8.005082,8.007985,8.072991,8.102100,8.243120", \ - "8.081896,8.110605,8.128146,8.152609,8.144668,8.202906,8.254474", \ - "8.186888,8.218219,8.263663,8.355609,8.379398,8.391600,8.392559"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.455597,2.471317,2.493675,2.528377,2.574640,2.628183,2.710354", \ - "2.478243,2.496133,2.516064,2.563300,2.615747,2.683974,2.765996", \ - "2.434335,2.455418,2.483926,2.536088,2.590062,2.690635,2.781370", \ - "2.364671,2.384965,2.399156,2.461700,2.537929,2.639862,2.743321", \ - "2.411068,2.414335,2.431578,2.456115,2.476029,2.584026,2.700634", \ - "2.514007,2.513357,2.521997,2.546558,2.570325,2.590993,2.682861", \ - "2.700903,2.701517,2.700349,2.712205,2.722375,2.731607,2.727064"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("10.330510,10.340430,10.359360,10.393050,10.424150,10.542970,10.663690", \ - "10.241660,10.261860,10.285360,10.322240,10.364900,10.433590,10.555000", \ - "10.122470,10.144470,10.181030,10.223910,10.296000,10.379300,10.513450", \ - "10.147440,10.157540,10.168770,10.186540,10.272900,10.355620,10.485380", \ - "10.337350,10.350080,10.359980,10.357050,10.367910,10.417010,10.524920", \ - "10.742220,10.736820,10.738440,10.730470,10.695950,10.699270,10.703070", \ - "11.345850,11.335720,11.318100,11.265760,11.244900,11.149520,11.089390"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.743955,1.755572,1.767176,1.793330,1.816809,1.850055,1.894657", \ - "1.759295,1.773460,1.792818,1.820589,1.854386,1.897437,1.945359", \ - "1.694691,1.714514,1.740365,1.770870,1.822883,1.895533,1.959968", \ - "1.597821,1.617592,1.643626,1.686575,1.754575,1.843536,1.922288", \ - "1.660335,1.661904,1.676203,1.683494,1.698061,1.778769,1.873059", \ - "1.758975,1.761851,1.772826,1.779652,1.793196,1.790778,1.848010", \ - "1.958526,1.958876,1.956007,1.952365,1.944277,1.936390,1.902294"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.317659,9.339528,9.362283,9.405950,9.476732,9.544688,9.663277", \ - "9.228055,9.251965,9.285236,9.331083,9.365064,9.492972,9.612692", \ - "9.116569,9.133419,9.168967,9.221724,9.296376,9.438212,9.572981", \ - "9.133128,9.154969,9.166451,9.195026,9.273372,9.412058,9.543787", \ - "9.332336,9.340013,9.355359,9.363807,9.368036,9.476573,9.585232", \ - "9.731176,9.727646,9.734495,9.718219,9.704608,9.702103,9.776400", \ - "10.311690,10.327700,10.322370,10.293250,10.265320,10.154820,10.088670"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.724266,1.738829,1.751993,1.761787,1.790804,1.838483,1.875195", \ - "1.738607,1.756871,1.767764,1.806483,1.842166,1.885441,1.933934", \ - "1.671113,1.694637,1.724790,1.746683,1.815603,1.878569,1.945065", \ - "1.601488,1.613293,1.632668,1.667157,1.730922,1.820907,1.906367", \ - "1.662981,1.668334,1.681482,1.699298,1.711525,1.764445,1.862364", \ - "1.761627,1.764297,1.778060,1.783296,1.800896,1.806310,1.841366", \ - "1.968820,1.966781,1.955785,1.958136,1.950180,1.939761,1.925063"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.661524,9.683926,9.703401,9.760316,9.791585,9.916719,10.039240", \ - "9.583808,9.599386,9.632163,9.675968,9.736452,9.867264,9.995650", \ - "9.457729,9.475188,9.507255,9.572674,9.665257,9.754325,9.947047", \ - "9.473129,9.486914,9.513757,9.539431,9.638866,9.721812,9.858109", \ - "9.672128,9.680764,9.696263,9.702635,9.735756,9.787599,9.959244", \ - "10.068420,10.066180,10.079580,10.079090,10.064440,10.076250,10.140690", \ - "10.651390,10.675410,10.662400,10.633040,10.581900,10.529870,10.488730"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.743955,1.755572,1.767176,1.793330,1.816809,1.850055,1.894657", \ - "1.759295,1.773460,1.792818,1.820589,1.854386,1.897437,1.945359", \ - "1.694691,1.714514,1.740365,1.770870,1.822883,1.895533,1.959968", \ - "1.597821,1.617592,1.643626,1.686575,1.754575,1.843536,1.922288", \ - "1.660335,1.661904,1.676203,1.683494,1.698061,1.778769,1.873059", \ - "1.758975,1.761851,1.772826,1.779652,1.793196,1.790778,1.848010", \ - "1.958526,1.958876,1.956007,1.952365,1.944277,1.936390,1.902294"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.317659,9.339528,9.362283,9.405950,9.476732,9.544688,9.663277", \ - "9.228055,9.251965,9.285236,9.331083,9.365064,9.492972,9.612692", \ - "9.116569,9.133419,9.168967,9.221724,9.296376,9.438212,9.572981", \ - "9.133128,9.154969,9.166451,9.195026,9.273372,9.412058,9.543787", \ - "9.332336,9.340013,9.355359,9.363807,9.368036,9.476573,9.585232", \ - "9.731176,9.727646,9.734495,9.718219,9.704608,9.702103,9.776400", \ - "10.311690,10.327700,10.322370,10.293250,10.265320,10.154820,10.088670"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.065895,1.065857,1.063904,1.072831,1.068428,1.064155,1.077665", \ - "1.067808,1.073925,1.078146,1.096356,1.098502,1.117239,1.124974", \ - "0.962347,0.979881,0.999117,1.036952,1.066847,1.110370,1.138438", \ - "0.860205,0.864290,0.880933,0.906036,0.978193,1.050424,1.102622", \ - "0.913763,0.916242,0.921178,0.923418,0.921626,0.978668,1.050828", \ - "1.020351,1.013587,1.018010,1.016570,1.010634,1.000996,1.020389", \ - "1.228629,1.217918,1.207076,1.197789,1.165831,1.137825,1.083152"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.268178,8.296068,8.322263,8.373687,8.487145,8.582363,8.729872", \ - "8.177741,8.210075,8.241511,8.296192,8.371882,8.499844,8.681033", \ - "8.056180,8.087707,8.141186,8.209871,8.306063,8.446999,8.576173", \ - "8.082261,8.110305,8.121066,8.195073,8.282963,8.418140,8.548307", \ - "8.274373,8.295629,8.307085,8.323893,8.375359,8.484853,8.587572", \ - "8.685901,8.695363,8.700774,8.706410,8.702457,8.770615,8.766718", \ - "9.154171,9.188938,9.228271,9.272202,9.219703,9.221429,9.156705"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.060178,1.063380,1.068551,1.069967,1.064975,1.077300,1.077225", \ - "1.062293,1.075337,1.072039,1.091368,1.099393,1.110812,1.129446", \ - "0.953482,0.974281,0.991330,1.036932,1.077316,1.115567,1.141364", \ - "0.876897,0.880666,0.890753,0.926937,0.980005,1.046953,1.104570", \ - "0.922556,0.926209,0.933370,0.942031,0.950151,0.984112,1.053852", \ - "1.024944,1.019448,1.021064,1.030331,1.027128,1.025473,1.020929", \ - "1.242872,1.230429,1.218769,1.199895,1.179293,1.150798,1.113625"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.608025,8.636537,8.666483,8.713437,8.796693,8.958155,9.106271", \ - "8.520481,8.551065,8.592049,8.658766,8.743517,8.876682,8.998382", \ - "8.393390,8.425553,8.472001,8.545997,8.613797,8.757671,8.956220", \ - "8.410006,8.437124,8.473569,8.533551,8.587892,8.742323,8.923517", \ - "8.609859,8.632399,8.654915,8.685044,8.741315,8.854924,8.962711", \ - "9.020596,9.029807,9.035967,9.045731,9.070359,9.083820,9.144207", \ - "9.502465,9.531363,9.582617,9.637701,9.587931,9.536952,9.539494"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.733953,1.744232,1.760722,1.781562,1.814972,1.850279,1.884443", \ - "1.747189,1.762843,1.785586,1.807688,1.850155,1.889692,1.940179", \ - "1.692394,1.712039,1.734139,1.753499,1.830345,1.891748,1.949559", \ - "1.604508,1.622724,1.636757,1.686472,1.741492,1.828352,1.918474", \ - "1.662078,1.670510,1.679033,1.698481,1.705800,1.772427,1.868911", \ - "1.767232,1.769388,1.770072,1.781801,1.798359,1.807004,1.847050", \ - "1.971258,1.961618,1.958806,1.958403,1.948549,1.944337,1.922073"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.877834,9.889882,9.908369,9.937227,9.998568,10.116660,10.232750", \ - "9.789337,9.806120,9.836651,9.886633,9.942017,10.008140,10.186160", \ - "9.671128,9.695771,9.728877,9.768343,9.819554,9.955696,10.087150", \ - "9.687857,9.708792,9.725955,9.756750,9.796983,9.927765,10.065480", \ - "9.884787,9.892391,9.906606,9.919529,9.949001,9.995961,10.129710", \ - "10.282850,10.280540,10.280490,10.272370,10.275810,10.284290,10.302330", \ - "10.871210,10.876340,10.865740,10.846010,10.789680,10.734840,10.673890"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.068791,1.067369,1.059888,1.068657,1.072579,1.074819,1.073752", \ - "1.062636,1.070158,1.076706,1.093895,1.097883,1.112901,1.128597", \ - "0.955760,0.975054,1.000789,1.037516,1.065468,1.105603,1.143655", \ - "0.871018,0.873237,0.886848,0.919875,0.986417,1.046913,1.103485", \ - "0.919292,0.922303,0.923025,0.937090,0.942903,0.979028,1.055361", \ - "1.018771,1.019635,1.014454,1.012527,1.022186,1.017819,1.025081", \ - "1.232486,1.228014,1.212826,1.195408,1.175145,1.151920,1.105841"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.822676,8.848612,8.890574,8.943664,9.006099,9.124440,9.299083", \ - "8.733578,8.771391,8.799989,8.855641,8.950963,9.075682,9.190112", \ - "8.623618,8.653096,8.697379,8.759719,8.824949,8.961980,9.151562", \ - "8.639953,8.666052,8.687683,8.724903,8.803080,8.932837,9.123152", \ - "8.838140,8.859285,8.865835,8.886392,8.969832,9.064202,9.163635", \ - "9.238735,9.248596,9.253608,9.255399,9.284720,9.292100,9.346631", \ - "9.724052,9.753650,9.804629,9.834288,9.796334,9.743064,9.741876"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.060625,1.069216,1.066906,1.064608,1.072200,1.079717,1.075360", \ - "1.063754,1.073126,1.080131,1.093930,1.112530,1.121457,1.129387", \ - "0.962543,0.983875,0.996447,1.037110,1.072705,1.108610,1.137895", \ - "0.891712,0.898098,0.906517,0.922408,0.981776,1.051360,1.104653", \ - "0.928085,0.933235,0.941374,0.953728,0.969448,0.986328,1.055920", \ - "1.027242,1.028344,1.032606,1.034785,1.036399,1.040295,1.032512", \ - "1.244169,1.237989,1.227347,1.212281,1.187975,1.168138,1.137229"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.192898,9.219307,9.252415,9.289438,9.390775,9.514225,9.626491", \ - "9.106708,9.137115,9.177962,9.224465,9.341217,9.464184,9.588642", \ - "8.981100,9.010825,9.061041,9.129040,9.210001,9.350575,9.546622", \ - "8.996899,9.023122,9.052454,9.110927,9.185691,9.318811,9.513628", \ - "9.194896,9.216914,9.243260,9.255086,9.342008,9.388228,9.553891", \ - "9.592434,9.603465,9.612961,9.624899,9.638804,9.683025,9.739612", \ - "10.093920,10.127540,10.169660,10.218130,10.183100,10.137410,10.076880"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.126438,3.140950,3.165559,3.208277,3.272568,3.338177,3.453131", \ - "3.054845,3.071153,3.101045,3.133819,3.198785,3.291849,3.393592", \ - "2.981553,3.000501,3.032210,3.067781,3.143696,3.216229,3.337608", \ - "2.940117,2.959351,2.978789,3.023890,3.076858,3.185919,3.314271", \ - "2.998176,3.005061,3.025415,3.033105,3.083319,3.185733,3.288024", \ - "3.125674,3.127984,3.138143,3.159450,3.184753,3.195245,3.288079", \ - "3.317955,3.315861,3.321259,3.321896,3.350102,3.373686,3.345228"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.445200,11.463660,11.484730,11.514570,11.558630,11.624470,11.741020", \ - "11.382380,11.393770,11.426000,11.440680,11.488270,11.610760,11.732540", \ - "11.306620,11.320350,11.349380,11.384810,11.454280,11.528740,11.656540", \ - "11.263380,11.275300,11.291910,11.308260,11.408020,11.513310,11.616920", \ - "11.276450,11.286630,11.309500,11.335180,11.385340,11.454230,11.583710", \ - "11.384630,11.400230,11.410730,11.434320,11.451450,11.552080,11.664010", \ - "11.661250,11.667370,11.667220,11.669320,11.666480,11.726420,11.791390"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.397410,2.411300,2.430231,2.457811,2.506328,2.563533,2.634737", \ - "2.326629,2.341393,2.361341,2.392508,2.435900,2.503024,2.568918", \ - "2.249539,2.265716,2.282901,2.324054,2.375487,2.428497,2.519030", \ - "2.186542,2.204500,2.224833,2.249923,2.307489,2.394515,2.490889", \ - "2.262964,2.270154,2.273598,2.287084,2.299821,2.366633,2.466195", \ - "2.385258,2.391122,2.395336,2.400864,2.418707,2.402895,2.473685", \ - "2.576598,2.575215,2.568552,2.577249,2.569889,2.574560,2.528389"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("10.441060,10.454280,10.484520,10.526140,10.557610,10.685310,10.816550", \ - "10.369470,10.392290,10.422550,10.448290,10.518110,10.616510,10.731810", \ - "10.292860,10.317260,10.350930,10.378890,10.471690,10.531140,10.714480", \ - "10.248680,10.272880,10.286070,10.312300,10.408190,10.488410,10.677440", \ - "10.261250,10.283890,10.305870,10.340200,10.385080,10.512570,10.642710", \ - "10.375930,10.385720,10.406530,10.459080,10.504480,10.554590,10.663850", \ - "10.590930,10.622760,10.671640,10.663040,10.718310,10.755980,10.792070"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.354194,2.372709,2.396008,2.429143,2.476148,2.544089,2.611702", \ - "2.280627,2.299986,2.320631,2.363790,2.409980,2.466832,2.544213", \ - "2.213296,2.233884,2.253096,2.293660,2.347221,2.421711,2.499370", \ - "2.156834,2.179371,2.205032,2.226920,2.300043,2.382894,2.470491", \ - "2.259339,2.259310,2.275503,2.286469,2.290842,2.356778,2.447089", \ - "2.380141,2.384642,2.383102,2.390318,2.412467,2.415518,2.448122", \ - "2.578177,2.576162,2.578252,2.571964,2.577810,2.573126,2.543922"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("10.784660,10.798500,10.831450,10.870250,10.926510,11.053270,11.177050", \ - "10.718030,10.741030,10.760620,10.816780,10.862680,10.990130,11.113920", \ - "10.635700,10.660600,10.691850,10.719290,10.769720,10.905100,11.033090", \ - "10.587700,10.612420,10.636410,10.677390,10.770260,10.856190,10.990710", \ - "10.599430,10.612990,10.640020,10.670220,10.746750,10.824290,11.016440", \ - "10.712630,10.722330,10.743410,10.789680,10.811660,10.919890,11.035240", \ - "10.934290,10.977880,11.000230,11.027360,11.026750,11.094520,11.163030"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.397410,2.411300,2.430231,2.457811,2.506328,2.563533,2.634737", \ - "2.326629,2.341393,2.361341,2.392508,2.435900,2.503024,2.568918", \ - "2.249539,2.265716,2.282901,2.324054,2.375487,2.428497,2.519030", \ - "2.186542,2.204500,2.224833,2.249923,2.307489,2.394515,2.490889", \ - "2.262964,2.270154,2.273598,2.287084,2.299821,2.366633,2.466195", \ - "2.385258,2.391122,2.395336,2.400864,2.418707,2.402895,2.473685", \ - "2.576598,2.575215,2.568552,2.577249,2.569889,2.574560,2.528389"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("10.441060,10.454280,10.484520,10.526140,10.557610,10.685310,10.816550", \ - "10.369470,10.392290,10.422550,10.448290,10.518110,10.616510,10.731810", \ - "10.292860,10.317260,10.350930,10.378890,10.471690,10.531140,10.714480", \ - "10.248680,10.272880,10.286070,10.312300,10.408190,10.488410,10.677440", \ - "10.261250,10.283890,10.305870,10.340200,10.385080,10.512570,10.642710", \ - "10.375930,10.385720,10.406530,10.459080,10.504480,10.554590,10.663850", \ - "10.590930,10.622760,10.671640,10.663040,10.718310,10.755980,10.792070"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.687379,1.686768,1.696484,1.726182,1.750232,1.779576,1.819929", \ - "1.615860,1.625789,1.639669,1.642400,1.678037,1.718521,1.754646", \ - "1.529743,1.542405,1.558553,1.581679,1.613968,1.646055,1.701893", \ - "1.448074,1.465699,1.485370,1.513681,1.550235,1.607711,1.671605", \ - "1.544375,1.541819,1.539275,1.542312,1.524071,1.574204,1.646352", \ - "1.654135,1.653358,1.651626,1.647971,1.643988,1.618994,1.642155", \ - "1.840289,1.839112,1.830180,1.823309,1.806802,1.779656,1.713648"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.382526,9.410423,9.450451,9.501004,9.573241,9.689716,9.867444", \ - "9.319896,9.348415,9.385698,9.418175,9.494985,9.619747,9.798132", \ - "9.242556,9.272655,9.299449,9.372856,9.464330,9.597473,9.719546", \ - "9.187739,9.227711,9.245900,9.318269,9.417748,9.553342,9.680668", \ - "9.201486,9.228947,9.277812,9.308861,9.392294,9.521352,9.709529", \ - "9.322489,9.346538,9.381862,9.409150,9.513713,9.623509,9.729189", \ - "9.434469,9.483022,9.556903,9.675885,9.729070,9.736619,9.860467"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.667534,1.668318,1.689638,1.709835,1.732498,1.765817,1.800557", \ - "1.593522,1.606898,1.621659,1.637875,1.670467,1.706425,1.745882", \ - "1.503521,1.520039,1.541634,1.554799,1.601331,1.645723,1.692150", \ - "1.447036,1.445659,1.471714,1.504164,1.544992,1.600898,1.655760", \ - "1.537697,1.542649,1.550528,1.552012,1.534835,1.572935,1.631463", \ - "1.655277,1.654245,1.652115,1.649203,1.650876,1.633999,1.635534", \ - "1.847971,1.844266,1.840366,1.828458,1.811896,1.790046,1.736655"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.722146,9.750695,9.784413,9.830540,9.933501,10.061490,10.179850", \ - "9.664353,9.693573,9.725948,9.772052,9.892112,9.997179,10.116320", \ - "9.571502,9.612260,9.644733,9.709713,9.774726,9.909816,10.099370", \ - "9.522711,9.553460,9.601456,9.632720,9.727610,9.862561,10.057610", \ - "9.534832,9.563223,9.602474,9.661044,9.755003,9.890170,10.019360", \ - "9.655446,9.679719,9.707096,9.766017,9.817018,9.927202,10.100750", \ - "9.780503,9.831548,9.889268,10.012570,10.032060,10.102770,10.232740"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.377910,2.393305,2.412704,2.437370,2.489285,2.540661,2.618934", \ - "2.306324,2.321704,2.347047,2.358841,2.419070,2.481215,2.551970", \ - "2.238810,2.254694,2.276758,2.308198,2.356650,2.417306,2.501846", \ - "2.183251,2.201537,2.220215,2.238543,2.310669,2.384033,2.470261", \ - "2.267590,2.274404,2.279263,2.291225,2.292931,2.364516,2.451116", \ - "2.390055,2.393308,2.394427,2.393816,2.411727,2.412974,2.448453", \ - "2.583552,2.580197,2.576159,2.574233,2.578038,2.570027,2.541268"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("10.992990,11.014320,11.042270,11.069800,11.133330,11.195350,11.370160", \ - "10.932870,10.945490,10.971930,11.001210,11.066140,11.188680,11.305180", \ - "10.847650,10.870560,10.902570,10.951290,10.979920,11.107150,11.231240", \ - "10.802370,10.825820,10.852190,10.898640,10.930040,11.061060,11.204360", \ - "10.813130,10.835190,10.863740,10.888420,10.962880,11.030120,11.216400", \ - "10.925900,10.944670,10.961870,10.983520,11.023860,11.127200,11.255660", \ - "11.154400,11.198500,11.220070,11.239400,11.237870,11.302900,11.387310"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.675507,1.685036,1.689583,1.713479,1.730055,1.759579,1.808702", \ - "1.603251,1.613433,1.631530,1.645822,1.665492,1.708584,1.739643", \ - "1.526219,1.537666,1.540280,1.576598,1.596788,1.653125,1.688572", \ - "1.448672,1.466108,1.484688,1.511547,1.539292,1.609979,1.655459", \ - "1.542168,1.545271,1.549329,1.549987,1.536912,1.577234,1.637057", \ - "1.660143,1.658769,1.657472,1.649250,1.647971,1.626965,1.635180", \ - "1.848715,1.844367,1.835525,1.826778,1.809595,1.785863,1.728672"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.947337,9.973497,10.006360,10.048600,10.141640,10.263140,10.374220", \ - "9.877513,9.904027,9.948502,9.984095,10.075550,10.196520,10.308900", \ - "9.800294,9.828353,9.866514,9.922652,9.986414,10.114670,10.295840", \ - "9.756008,9.783839,9.812451,9.883060,9.935987,10.067250,10.256010", \ - "9.765079,9.794534,9.826958,9.873136,9.968344,10.096770,10.218880", \ - "9.882139,9.904827,9.920369,9.985767,10.031050,10.135900,10.301470", \ - "10.004670,10.055240,10.126270,10.216240,10.244630,10.310470,10.369820"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.645148,1.658952,1.673315,1.690914,1.723696,1.747023,1.790291", \ - "1.583457,1.597483,1.600143,1.631311,1.653337,1.690099,1.731428", \ - "1.501508,1.505564,1.531591,1.556802,1.591811,1.633690,1.682169", \ - "1.454544,1.452861,1.462644,1.477455,1.534621,1.590308,1.648779", \ - "1.545235,1.546861,1.552065,1.552445,1.556164,1.558620,1.626260", \ - "1.662912,1.659975,1.665654,1.663193,1.649784,1.641257,1.628385", \ - "1.858423,1.849211,1.848276,1.834283,1.824268,1.799001,1.748770"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("10.306440,10.333670,10.375560,10.417240,10.469130,10.589060,10.764690", \ - "10.252690,10.279840,10.307260,10.349990,10.467050,10.591540,10.707420", \ - "10.159600,10.198230,10.239190,10.286280,10.373810,10.505100,10.693200", \ - "10.109920,10.148210,10.182090,10.226860,10.318100,10.453610,10.648010", \ - "10.119010,10.145970,10.183310,10.237510,10.292140,10.420780,10.607290", \ - "10.235560,10.258250,10.290190,10.330020,10.410300,10.519430,10.623210", \ - "10.371630,10.424340,10.490980,10.593050,10.623050,10.692890,10.756080"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI222_X4 - Cell Description : Combinational cell (OAI222_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI222_X4) { - - drive_strength : 4; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 86.588115; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 70.930610; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 78.028611; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 78.028611; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 83.099065; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 73.509993; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 101.419159; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 101.419159; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 106.501146; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 73.509993; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 101.419159; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 101.419254; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 106.501241; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 73.921647; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 106.492530; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 106.492625; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 111.577538; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 72.302968; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 87.430524; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 87.430524; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 92.504010; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 81.818759; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 80.924163; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 82.292344; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 84.400261; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 81.818852; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 82.292334; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 83.660430; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 85.768327; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 81.853661; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 84.402313; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 85.770189; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 87.878486; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 72.302968; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 87.430524; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 87.430524; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 92.504010; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 81.818854; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 82.292344; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 83.660534; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 85.768327; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 81.818852; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 83.660524; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 85.028515; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 87.136280; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 81.853661; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 85.770190; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 87.137962; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 89.246258; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 71.402786; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 87.117300; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 87.117300; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 92.191081; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 81.100372; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 84.405040; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 85.772726; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 87.881317; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 81.100370; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 85.772726; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 87.140403; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 89.248919; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 81.217570; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 87.882894; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 89.250286; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 91.359467; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.539228; - fall_capacitance : 1.366528; - rise_capacitance : 1.539228; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.536722; - fall_capacitance : 1.514867; - rise_capacitance : 1.536722; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.584710; - fall_capacitance : 1.399949; - rise_capacitance : 1.584710; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.584769; - fall_capacitance : 1.539450; - rise_capacitance : 1.584769; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.590669; - fall_capacitance : 1.387907; - rise_capacitance : 1.590669; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.588021; - fall_capacitance : 1.521093; - rise_capacitance : 1.588021; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.248800; - function : "!(!(!(((A1 | A2) & (B1 | B2)) & (C1 | C2))))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.165319,0.176104,0.184228,0.197211,0.218613,0.256289,0.327574", \ - "0.169948,0.180735,0.188853,0.201842,0.223243,0.260920,0.332213", \ - "0.185086,0.195868,0.203993,0.216982,0.238374,0.276050,0.347347", \ - "0.214738,0.225521,0.233597,0.246392,0.267790,0.305476,0.376757", \ - "0.255824,0.266682,0.274795,0.287463,0.308857,0.346577,0.417836", \ - "0.295799,0.306744,0.314957,0.327845,0.349366,0.387119,0.458410", \ - "0.331664,0.343029,0.351410,0.364573,0.386264,0.424120,0.495418"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.241690,0.261260,0.278021,0.310117,0.374159,0.502205,0.758004", \ - "0.244597,0.264173,0.280940,0.313006,0.377070,0.505112,0.760919", \ - "0.257475,0.277048,0.293812,0.325892,0.389956,0.517985,0.773806", \ - "0.288307,0.307887,0.324619,0.356544,0.420562,0.548623,0.804413", \ - "0.340886,0.360472,0.377205,0.409056,0.473100,0.601132,0.856915", \ - "0.411548,0.431623,0.448470,0.480361,0.544222,0.672189,0.927964", \ - "0.488591,0.509892,0.527145,0.559115,0.622781,0.750639,1.006300"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013903,0.017763,0.021425,0.028468,0.042536,0.071730,0.132975", \ - "0.013903,0.017764,0.021425,0.028467,0.042536,0.071729,0.132978", \ - "0.013903,0.017762,0.021424,0.028468,0.042535,0.071730,0.132976", \ - "0.013891,0.017754,0.021417,0.028462,0.042531,0.071728,0.132973", \ - "0.014141,0.017951,0.021581,0.028585,0.042609,0.071763,0.132987", \ - "0.014624,0.018366,0.021951,0.028893,0.042833,0.071898,0.133052", \ - "0.015562,0.019155,0.022639,0.029442,0.043215,0.072108,0.133106"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020051,0.030466,0.043309,0.072343,0.133018,0.255258,0.500095", \ - "0.020052,0.030466,0.043310,0.072347,0.133019,0.255259,0.500093", \ - "0.020056,0.030467,0.043308,0.072341,0.133032,0.255254,0.500081", \ - "0.020056,0.030468,0.043309,0.072338,0.133026,0.255255,0.500085", \ - "0.020093,0.030497,0.043327,0.072347,0.133031,0.255263,0.500085", \ - "0.021158,0.031283,0.043735,0.072474,0.133064,0.255264,0.500101", \ - "0.023360,0.033297,0.045024,0.072960,0.133233,0.255333,0.500124"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.153322,0.164043,0.172130,0.185073,0.206438,0.244080,0.315366", \ - "0.157917,0.168640,0.176727,0.189671,0.211028,0.248675,0.319961", \ - "0.173067,0.183783,0.191876,0.204810,0.226164,0.263828,0.335108", \ - "0.202530,0.213282,0.221315,0.234130,0.255499,0.293120,0.364379", \ - "0.240429,0.251203,0.259273,0.271913,0.293291,0.330981,0.402272", \ - "0.276090,0.287049,0.295251,0.308142,0.329644,0.367379,0.438666", \ - "0.307400,0.318825,0.327227,0.340399,0.362123,0.399979,0.471276"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.234935,0.253640,0.270164,0.302241,0.366394,0.494519,0.750356", \ - "0.237818,0.256536,0.273066,0.305135,0.369290,0.497403,0.753233", \ - "0.250694,0.269406,0.285922,0.318019,0.382157,0.510273,0.766121", \ - "0.281575,0.300290,0.316786,0.348712,0.412835,0.540931,0.796778", \ - "0.334233,0.352957,0.369440,0.401304,0.465441,0.593525,0.849350", \ - "0.404406,0.423617,0.440216,0.472022,0.536012,0.664050,0.919878", \ - "0.480496,0.500828,0.517669,0.549440,0.613237,0.741190,0.996948"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013716,0.017600,0.021275,0.028339,0.042439,0.071664,0.132945", \ - "0.013721,0.017600,0.021276,0.028339,0.042437,0.071664,0.132942", \ - "0.013717,0.017597,0.021274,0.028339,0.042437,0.071666,0.132947", \ - "0.013723,0.017601,0.021277,0.028339,0.042437,0.071666,0.132946", \ - "0.014031,0.017881,0.021526,0.028541,0.042570,0.071733,0.132966", \ - "0.014610,0.018346,0.021928,0.028866,0.042807,0.071871,0.133037", \ - "0.015694,0.019258,0.022725,0.029501,0.043251,0.072116,0.133099"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018611,0.029199,0.042531,0.072002,0.132856,0.255161,0.500032", \ - "0.018612,0.029193,0.042530,0.072006,0.132861,0.255161,0.500033", \ - "0.018613,0.029194,0.042531,0.072008,0.132854,0.255153,0.500043", \ - "0.018617,0.029196,0.042530,0.072006,0.132862,0.255154,0.500028", \ - "0.018666,0.029230,0.042547,0.072015,0.132859,0.255160,0.500045", \ - "0.019794,0.029977,0.042912,0.072112,0.132908,0.255174,0.500034", \ - "0.021876,0.031666,0.043884,0.072452,0.133008,0.255212,0.500055"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.137526,0.148082,0.156074,0.168902,0.190152,0.227721,0.298968", \ - "0.142315,0.152870,0.160865,0.173687,0.194939,0.232507,0.303754", \ - "0.158318,0.168868,0.176854,0.189678,0.210928,0.248504,0.319755", \ - "0.188793,0.199372,0.207325,0.220007,0.241265,0.278810,0.350006", \ - "0.225104,0.235711,0.243702,0.256198,0.277457,0.315098,0.386342", \ - "0.258894,0.269664,0.277784,0.290545,0.311923,0.349580,0.420808", \ - "0.288076,0.299306,0.307603,0.320660,0.342251,0.380022,0.451273"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.234777,0.253491,0.270020,0.302088,0.366240,0.494367,0.750226", \ - "0.237426,0.256135,0.272666,0.304736,0.368887,0.497001,0.752832", \ - "0.250236,0.268953,0.285470,0.317563,0.381704,0.509820,0.765671", \ - "0.281359,0.300076,0.316568,0.348557,0.412675,0.540800,0.796604", \ - "0.334256,0.352980,0.369493,0.401336,0.465470,0.593559,0.849379", \ - "0.404678,0.423894,0.440465,0.472347,0.536311,0.664353,0.920168", \ - "0.481131,0.501456,0.518287,0.550120,0.613870,0.741829,0.997591"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013252,0.017179,0.020895,0.028015,0.042187,0.071507,0.132879", \ - "0.013252,0.017179,0.020896,0.028014,0.042189,0.071508,0.132880", \ - "0.013252,0.017179,0.020894,0.028014,0.042187,0.071507,0.132883", \ - "0.013269,0.017191,0.020904,0.028020,0.042191,0.071507,0.132876", \ - "0.013590,0.017467,0.021150,0.028227,0.042337,0.071582,0.132902", \ - "0.014166,0.017938,0.021550,0.028537,0.042548,0.071701,0.132955", \ - "0.015241,0.018835,0.022329,0.029157,0.042975,0.071932,0.133016"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018613,0.029194,0.042531,0.072002,0.132857,0.255162,0.500039", \ - "0.018613,0.029193,0.042528,0.072008,0.132857,0.255161,0.500033", \ - "0.018614,0.029194,0.042530,0.072006,0.132860,0.255158,0.500045", \ - "0.018618,0.029198,0.042530,0.072005,0.132863,0.255160,0.500028", \ - "0.018667,0.029230,0.042546,0.072015,0.132860,0.255166,0.500039", \ - "0.019787,0.029969,0.042909,0.072111,0.132902,0.255165,0.500046", \ - "0.021853,0.031650,0.043873,0.072448,0.133018,0.255212,0.500057"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.153322,0.164043,0.172130,0.185073,0.206438,0.244080,0.315366", \ - "0.157917,0.168640,0.176727,0.189671,0.211028,0.248675,0.319961", \ - "0.173067,0.183783,0.191876,0.204810,0.226164,0.263828,0.335108", \ - "0.202530,0.213282,0.221315,0.234130,0.255499,0.293120,0.364379", \ - "0.240429,0.251203,0.259273,0.271913,0.293291,0.330981,0.402272", \ - "0.276090,0.287049,0.295251,0.308142,0.329644,0.367379,0.438666", \ - "0.307400,0.318825,0.327227,0.340399,0.362123,0.399979,0.471276"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.234935,0.253640,0.270164,0.302241,0.366394,0.494519,0.750356", \ - "0.237818,0.256536,0.273066,0.305135,0.369290,0.497403,0.753233", \ - "0.250694,0.269406,0.285922,0.318019,0.382157,0.510273,0.766121", \ - "0.281575,0.300290,0.316786,0.348712,0.412835,0.540931,0.796778", \ - "0.334233,0.352957,0.369440,0.401304,0.465441,0.593525,0.849350", \ - "0.404406,0.423617,0.440216,0.472022,0.536012,0.664050,0.919878", \ - "0.480496,0.500828,0.517669,0.549440,0.613237,0.741190,0.996948"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013716,0.017600,0.021275,0.028339,0.042439,0.071664,0.132945", \ - "0.013721,0.017600,0.021276,0.028339,0.042437,0.071664,0.132942", \ - "0.013717,0.017597,0.021274,0.028339,0.042437,0.071666,0.132947", \ - "0.013723,0.017601,0.021277,0.028339,0.042437,0.071666,0.132946", \ - "0.014031,0.017881,0.021526,0.028541,0.042570,0.071733,0.132966", \ - "0.014610,0.018346,0.021928,0.028866,0.042807,0.071871,0.133037", \ - "0.015694,0.019258,0.022725,0.029501,0.043251,0.072116,0.133099"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018611,0.029199,0.042531,0.072002,0.132856,0.255161,0.500032", \ - "0.018612,0.029193,0.042530,0.072006,0.132861,0.255161,0.500033", \ - "0.018613,0.029194,0.042531,0.072008,0.132854,0.255153,0.500043", \ - "0.018617,0.029196,0.042530,0.072006,0.132862,0.255154,0.500028", \ - "0.018666,0.029230,0.042547,0.072015,0.132859,0.255160,0.500045", \ - "0.019794,0.029977,0.042912,0.072112,0.132908,0.255174,0.500034", \ - "0.021876,0.031666,0.043884,0.072452,0.133008,0.255212,0.500055"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.141578,0.152243,0.160291,0.173183,0.194495,0.232106,0.303380", \ - "0.146141,0.156791,0.164844,0.177735,0.199047,0.236665,0.307940", \ - "0.161204,0.171856,0.179899,0.192790,0.214104,0.251727,0.322999", \ - "0.190031,0.200732,0.208737,0.221521,0.242861,0.280462,0.351669", \ - "0.223939,0.234656,0.242743,0.255338,0.276694,0.314378,0.385637", \ - "0.255043,0.266032,0.274234,0.287117,0.308624,0.346351,0.417611", \ - "0.281500,0.293016,0.301459,0.314667,0.336402,0.374281,0.445564"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.227864,0.245734,0.262138,0.294251,0.358463,0.486642,0.742508", \ - "0.230727,0.248612,0.264986,0.297106,0.361328,0.489532,0.745374", \ - "0.243606,0.261491,0.277841,0.310029,0.374210,0.502387,0.758261", \ - "0.274529,0.292412,0.308742,0.340770,0.404976,0.533140,0.789017", \ - "0.327285,0.345184,0.361504,0.393393,0.457576,0.585768,0.841624", \ - "0.396895,0.415244,0.431594,0.463443,0.527539,0.655636,0.911506", \ - "0.472056,0.491344,0.507821,0.539546,0.603453,0.731493,0.987334"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013521,0.017420,0.021115,0.028203,0.042332,0.071596,0.132916", \ - "0.013519,0.017422,0.021114,0.028203,0.042331,0.071599,0.132917", \ - "0.013518,0.017419,0.021114,0.028202,0.042333,0.071598,0.132913", \ - "0.013564,0.017455,0.021143,0.028224,0.042346,0.071605,0.132912", \ - "0.013966,0.017803,0.021456,0.028488,0.042540,0.071709,0.132944", \ - "0.014647,0.018367,0.021940,0.028866,0.042798,0.071858,0.133019", \ - "0.015929,0.019446,0.022879,0.029620,0.043323,0.072148,0.133101"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017069,0.028036,0.041872,0.071728,0.132710,0.255048,0.499997", \ - "0.017068,0.028035,0.041872,0.071728,0.132708,0.255063,0.499996", \ - "0.017069,0.028035,0.041876,0.071728,0.132706,0.255057,0.500008", \ - "0.017077,0.028036,0.041872,0.071732,0.132708,0.255063,0.499998", \ - "0.017136,0.028068,0.041888,0.071738,0.132708,0.255052,0.500008", \ - "0.018307,0.028720,0.042186,0.071814,0.132752,0.255061,0.500002", \ - "0.020217,0.030043,0.042855,0.072030,0.132811,0.255104,0.500007"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.127970,0.138469,0.146425,0.159214,0.180423,0.217972,0.289199", \ - "0.132716,0.143216,0.151177,0.163960,0.185184,0.222718,0.293948", \ - "0.148673,0.159173,0.167125,0.179907,0.201121,0.238679,0.309905", \ - "0.177971,0.188526,0.196436,0.209089,0.230316,0.267839,0.339036", \ - "0.210373,0.220934,0.228909,0.241384,0.262621,0.300216,0.371486", \ - "0.239804,0.250601,0.258726,0.271485,0.292862,0.330514,0.401733", \ - "0.264335,0.275662,0.283998,0.297089,0.318712,0.356499,0.427743"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.227710,0.245595,0.261967,0.294081,0.358312,0.486492,0.742351", \ - "0.230341,0.248214,0.264589,0.296702,0.360927,0.489141,0.744973", \ - "0.243151,0.261037,0.277397,0.309576,0.373762,0.501932,0.757809", \ - "0.274313,0.292197,0.308529,0.340549,0.404756,0.532918,0.788804", \ - "0.327304,0.345203,0.361533,0.393434,0.457606,0.585795,0.841654", \ - "0.397176,0.415526,0.431885,0.463750,0.527830,0.655954,0.911825", \ - "0.472704,0.491983,0.508475,0.540188,0.604102,0.732140,0.987987"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013079,0.017029,0.020759,0.027898,0.042099,0.071450,0.132853", \ - "0.013080,0.017029,0.020759,0.027899,0.042100,0.071449,0.132857", \ - "0.013081,0.017029,0.020759,0.027898,0.042101,0.071451,0.132857", \ - "0.013146,0.017080,0.020801,0.027929,0.042118,0.071460,0.132860", \ - "0.013538,0.017415,0.021097,0.028174,0.042303,0.071566,0.132889", \ - "0.014216,0.017971,0.021572,0.028545,0.042546,0.071692,0.132945", \ - "0.015480,0.019024,0.022488,0.029276,0.043050,0.071964,0.133015"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017069,0.028035,0.041873,0.071726,0.132707,0.255062,0.500006", \ - "0.017074,0.028033,0.041873,0.071731,0.132707,0.255051,0.499996", \ - "0.017071,0.028034,0.041876,0.071730,0.132708,0.255060,0.500007", \ - "0.017074,0.028036,0.041872,0.071732,0.132707,0.255054,0.500009", \ - "0.017136,0.028068,0.041889,0.071735,0.132710,0.255058,0.500008", \ - "0.018301,0.028716,0.042186,0.071812,0.132744,0.255061,0.500001", \ - "0.020202,0.030034,0.042849,0.072024,0.132820,0.255095,0.500022"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.137526,0.148082,0.156074,0.168902,0.190152,0.227721,0.298968", \ - "0.142315,0.152870,0.160865,0.173687,0.194939,0.232507,0.303754", \ - "0.158318,0.168868,0.176854,0.189678,0.210928,0.248504,0.319755", \ - "0.188793,0.199372,0.207325,0.220007,0.241265,0.278810,0.350006", \ - "0.225104,0.235711,0.243702,0.256198,0.277457,0.315098,0.386342", \ - "0.258894,0.269664,0.277784,0.290545,0.311923,0.349580,0.420808", \ - "0.288076,0.299306,0.307603,0.320660,0.342251,0.380022,0.451273"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.234777,0.253491,0.270020,0.302088,0.366240,0.494367,0.750226", \ - "0.237426,0.256135,0.272666,0.304736,0.368887,0.497001,0.752832", \ - "0.250236,0.268953,0.285470,0.317563,0.381704,0.509820,0.765671", \ - "0.281359,0.300076,0.316568,0.348557,0.412675,0.540800,0.796604", \ - "0.334256,0.352980,0.369493,0.401336,0.465470,0.593559,0.849379", \ - "0.404678,0.423894,0.440465,0.472347,0.536311,0.664353,0.920168", \ - "0.481131,0.501456,0.518287,0.550120,0.613870,0.741829,0.997591"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013252,0.017179,0.020895,0.028015,0.042187,0.071507,0.132879", \ - "0.013252,0.017179,0.020896,0.028014,0.042189,0.071508,0.132880", \ - "0.013252,0.017179,0.020894,0.028014,0.042187,0.071507,0.132883", \ - "0.013269,0.017191,0.020904,0.028020,0.042191,0.071507,0.132876", \ - "0.013590,0.017467,0.021150,0.028227,0.042337,0.071582,0.132902", \ - "0.014166,0.017938,0.021550,0.028537,0.042548,0.071701,0.132955", \ - "0.015241,0.018835,0.022329,0.029157,0.042975,0.071932,0.133016"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018613,0.029194,0.042531,0.072002,0.132857,0.255162,0.500039", \ - "0.018613,0.029193,0.042528,0.072008,0.132857,0.255161,0.500033", \ - "0.018614,0.029194,0.042530,0.072006,0.132860,0.255158,0.500045", \ - "0.018618,0.029198,0.042530,0.072005,0.132863,0.255160,0.500028", \ - "0.018667,0.029230,0.042546,0.072015,0.132860,0.255166,0.500039", \ - "0.019787,0.029969,0.042909,0.072111,0.132902,0.255165,0.500046", \ - "0.021853,0.031650,0.043873,0.072448,0.133018,0.255212,0.500057"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.127970,0.138469,0.146425,0.159214,0.180423,0.217972,0.289199", \ - "0.132716,0.143216,0.151177,0.163960,0.185184,0.222718,0.293948", \ - "0.148673,0.159173,0.167125,0.179907,0.201121,0.238679,0.309905", \ - "0.177971,0.188526,0.196436,0.209089,0.230316,0.267839,0.339036", \ - "0.210373,0.220934,0.228909,0.241384,0.262621,0.300216,0.371486", \ - "0.239804,0.250601,0.258726,0.271485,0.292862,0.330514,0.401733", \ - "0.264335,0.275662,0.283998,0.297089,0.318712,0.356499,0.427743"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.227710,0.245595,0.261967,0.294081,0.358312,0.486492,0.742351", \ - "0.230341,0.248214,0.264589,0.296702,0.360927,0.489141,0.744973", \ - "0.243151,0.261037,0.277397,0.309576,0.373762,0.501932,0.757809", \ - "0.274313,0.292197,0.308529,0.340549,0.404756,0.532918,0.788804", \ - "0.327304,0.345203,0.361533,0.393434,0.457606,0.585795,0.841654", \ - "0.397176,0.415526,0.431885,0.463750,0.527830,0.655954,0.911825", \ - "0.472704,0.491983,0.508475,0.540188,0.604102,0.732140,0.987987"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013079,0.017029,0.020759,0.027898,0.042099,0.071450,0.132853", \ - "0.013080,0.017029,0.020759,0.027899,0.042100,0.071449,0.132857", \ - "0.013081,0.017029,0.020759,0.027898,0.042101,0.071451,0.132857", \ - "0.013146,0.017080,0.020801,0.027929,0.042118,0.071460,0.132860", \ - "0.013538,0.017415,0.021097,0.028174,0.042303,0.071566,0.132889", \ - "0.014216,0.017971,0.021572,0.028545,0.042546,0.071692,0.132945", \ - "0.015480,0.019024,0.022488,0.029276,0.043050,0.071964,0.133015"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017069,0.028035,0.041873,0.071726,0.132707,0.255062,0.500006", \ - "0.017074,0.028033,0.041873,0.071731,0.132707,0.255051,0.499996", \ - "0.017071,0.028034,0.041876,0.071730,0.132708,0.255060,0.500007", \ - "0.017074,0.028036,0.041872,0.071732,0.132707,0.255054,0.500009", \ - "0.017136,0.028068,0.041889,0.071735,0.132710,0.255058,0.500008", \ - "0.018301,0.028716,0.042186,0.071812,0.132744,0.255061,0.500001", \ - "0.020202,0.030034,0.042849,0.072024,0.132820,0.255095,0.500022"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.114878,0.125206,0.133064,0.145734,0.166841,0.204311,0.275494", \ - "0.119753,0.130085,0.137941,0.150611,0.171717,0.209190,0.280374", \ - "0.136622,0.146942,0.154792,0.167462,0.188578,0.226051,0.297234", \ - "0.165836,0.176214,0.184017,0.196551,0.217671,0.255127,0.326306", \ - "0.196461,0.206867,0.214760,0.227052,0.248183,0.285723,0.356969", \ - "0.224048,0.234641,0.242644,0.255267,0.276545,0.314103,0.385302", \ - "0.246474,0.257598,0.265822,0.278802,0.300275,0.337982,0.409195"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.227709,0.245597,0.261946,0.294078,0.358324,0.486514,0.742353", \ - "0.230079,0.247948,0.264326,0.296431,0.360678,0.488845,0.744701", \ - "0.242662,0.260546,0.276910,0.309057,0.373276,0.501444,0.757322", \ - "0.274085,0.291970,0.308305,0.340276,0.404478,0.532646,0.788499", \ - "0.327354,0.345252,0.361572,0.393468,0.457651,0.585846,0.841699", \ - "0.397487,0.415829,0.432202,0.464037,0.528130,0.656236,0.912108", \ - "0.473386,0.492657,0.509139,0.540937,0.604787,0.732830,0.988671"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012583,0.016592,0.020367,0.027570,0.041855,0.071302,0.132801", \ - "0.012585,0.016591,0.020366,0.027571,0.041855,0.071300,0.132800", \ - "0.012586,0.016593,0.020369,0.027571,0.041856,0.071303,0.132797", \ - "0.012680,0.016665,0.020426,0.027615,0.041880,0.071312,0.132800", \ - "0.013062,0.016990,0.020709,0.027839,0.042044,0.071411,0.132831", \ - "0.013747,0.017538,0.021175,0.028201,0.042275,0.071519,0.132867", \ - "0.014991,0.018577,0.022071,0.028908,0.042760,0.071771,0.132934"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017070,0.028034,0.041873,0.071730,0.132716,0.255048,0.499996", \ - "0.017077,0.028035,0.041873,0.071730,0.132716,0.255066,0.499998", \ - "0.017071,0.028036,0.041875,0.071728,0.132705,0.255059,0.500005", \ - "0.017076,0.028035,0.041875,0.071731,0.132704,0.255061,0.500009", \ - "0.017137,0.028068,0.041887,0.071732,0.132709,0.255052,0.500009", \ - "0.018300,0.028713,0.042183,0.071817,0.132735,0.255072,0.500000", \ - "0.020186,0.030018,0.042842,0.072021,0.132816,0.255102,0.500005"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.176975,0.187813,0.195990,0.209008,0.230454,0.268157,0.339456", \ - "0.180827,0.191666,0.199838,0.212861,0.234307,0.272020,0.343308", \ - "0.195522,0.206355,0.214511,0.227542,0.248980,0.286693,0.357986", \ - "0.225199,0.236009,0.244107,0.256945,0.278381,0.316104,0.387438", \ - "0.268518,0.279387,0.287537,0.300205,0.321632,0.359359,0.430641", \ - "0.312266,0.323250,0.331466,0.344371,0.365917,0.403693,0.474969", \ - "0.352399,0.363729,0.372097,0.385268,0.406951,0.444819,0.516114"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.255409,0.274982,0.291750,0.323814,0.387889,0.515920,0.771729", \ - "0.260742,0.280321,0.297088,0.329156,0.393231,0.521255,0.777054", \ - "0.277545,0.297128,0.313900,0.345966,0.410020,0.538053,0.793879", \ - "0.306635,0.326214,0.342902,0.374972,0.438993,0.567022,0.822830", \ - "0.351065,0.370649,0.387427,0.419594,0.483676,0.611679,0.867453", \ - "0.409875,0.429792,0.446628,0.478716,0.542599,0.670561,0.926349", \ - "0.476805,0.497411,0.514457,0.546372,0.610235,0.738087,0.993811"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.014078,0.017920,0.021566,0.028590,0.042629,0.071789,0.133010", \ - "0.014078,0.017920,0.021567,0.028590,0.042628,0.071789,0.133010", \ - "0.014075,0.017919,0.021565,0.028588,0.042628,0.071789,0.133009", \ - "0.014067,0.017912,0.021563,0.028588,0.042627,0.071789,0.133004", \ - "0.014215,0.018030,0.021659,0.028660,0.042674,0.071808,0.133013", \ - "0.014668,0.018416,0.022002,0.028942,0.042875,0.071932,0.133067", \ - "0.015502,0.019114,0.022609,0.029424,0.043211,0.072111,0.133116"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020049,0.030465,0.043310,0.072339,0.133019,0.255260,0.500082", \ - "0.020052,0.030465,0.043309,0.072340,0.133017,0.255250,0.500095", \ - "0.020055,0.030464,0.043309,0.072340,0.133019,0.255254,0.500082", \ - "0.020052,0.030468,0.043308,0.072340,0.133019,0.255252,0.500079", \ - "0.020077,0.030484,0.043320,0.072347,0.133025,0.255259,0.500085", \ - "0.020768,0.031017,0.043605,0.072430,0.133052,0.255259,0.500086", \ - "0.022068,0.032156,0.044318,0.072709,0.133158,0.255304,0.500121"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.164840,0.175615,0.183748,0.196734,0.218139,0.255813,0.327105", \ - "0.168685,0.179461,0.187595,0.200588,0.221986,0.259654,0.330947", \ - "0.183404,0.194189,0.202317,0.215297,0.236693,0.274377,0.345660", \ - "0.213058,0.223855,0.231922,0.244732,0.266142,0.303814,0.375085", \ - "0.253876,0.264742,0.272868,0.285514,0.306890,0.344611,0.415892", \ - "0.293714,0.304666,0.312881,0.325774,0.347288,0.385037,0.456346", \ - "0.329512,0.340882,0.349265,0.362432,0.384130,0.421988,0.493283"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.248655,0.267370,0.283900,0.315974,0.380130,0.508240,0.764072", \ - "0.253979,0.272692,0.289235,0.321287,0.385453,0.513554,0.769381", \ - "0.270794,0.289500,0.306036,0.338084,0.402233,0.530343,0.786193", \ - "0.299840,0.318552,0.335035,0.367106,0.431223,0.559331,0.815154", \ - "0.344327,0.363049,0.379583,0.411691,0.475904,0.603968,0.859786", \ - "0.402830,0.421875,0.438465,0.470483,0.534525,0.662584,0.918360", \ - "0.469197,0.488875,0.505587,0.537439,0.601355,0.729358,0.985118"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013903,0.017762,0.021425,0.028467,0.042536,0.071728,0.132972", \ - "0.013903,0.017763,0.021424,0.028467,0.042535,0.071730,0.132973", \ - "0.013904,0.017763,0.021423,0.028466,0.042534,0.071727,0.132973", \ - "0.013900,0.017762,0.021425,0.028467,0.042534,0.071728,0.132976", \ - "0.014142,0.017960,0.021592,0.028597,0.042622,0.071771,0.132989", \ - "0.014639,0.018378,0.021961,0.028899,0.042839,0.071898,0.133051", \ - "0.015579,0.019165,0.022648,0.029449,0.043219,0.072107,0.133106"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018613,0.029193,0.042529,0.072003,0.132867,0.255156,0.500031", \ - "0.018612,0.029193,0.042529,0.072006,0.132872,0.255155,0.500032", \ - "0.018613,0.029194,0.042527,0.072005,0.132872,0.255153,0.500044", \ - "0.018617,0.029195,0.042528,0.072005,0.132865,0.255155,0.500039", \ - "0.018640,0.029214,0.042537,0.072007,0.132862,0.255148,0.500039", \ - "0.019381,0.029709,0.042787,0.072081,0.132887,0.255168,0.500038", \ - "0.020605,0.030669,0.043325,0.072276,0.132956,0.255181,0.500043"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.146912,0.157523,0.165547,0.178412,0.199699,0.237288,0.308547", \ - "0.151080,0.161694,0.169716,0.182581,0.203870,0.241458,0.312716", \ - "0.166712,0.177329,0.185347,0.198206,0.219488,0.257093,0.328350", \ - "0.197661,0.208307,0.216269,0.228943,0.250227,0.287808,0.359044", \ - "0.237068,0.247723,0.255747,0.268268,0.289540,0.327176,0.398446", \ - "0.274751,0.285513,0.293632,0.306415,0.327808,0.365475,0.436704", \ - "0.308164,0.319342,0.327617,0.340647,0.362225,0.399991,0.471260"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.248501,0.267222,0.283752,0.315823,0.379976,0.508087,0.763922", \ - "0.253619,0.272335,0.288875,0.320937,0.385091,0.513201,0.769024", \ - "0.270413,0.289125,0.305665,0.337734,0.401841,0.529969,0.785799", \ - "0.299625,0.318332,0.334812,0.366881,0.430994,0.559107,0.814928", \ - "0.344223,0.362945,0.379480,0.411694,0.475769,0.603861,0.859678", \ - "0.402809,0.421858,0.438447,0.470486,0.534471,0.662512,0.918311", \ - "0.469360,0.489036,0.505748,0.537584,0.601518,0.729521,0.985281"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013405,0.017318,0.021021,0.028121,0.042269,0.071559,0.132908", \ - "0.013406,0.017319,0.021020,0.028121,0.042268,0.071559,0.132909", \ - "0.013405,0.017317,0.021019,0.028120,0.042268,0.071558,0.132908", \ - "0.013410,0.017322,0.021022,0.028123,0.042269,0.071560,0.132907", \ - "0.013663,0.017540,0.021218,0.028281,0.042375,0.071609,0.132919", \ - "0.014172,0.017952,0.021568,0.028557,0.042570,0.071721,0.132970", \ - "0.015109,0.018729,0.022243,0.029092,0.042938,0.071920,0.133019"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018617,0.029193,0.042530,0.072004,0.132866,0.255161,0.500045", \ - "0.018612,0.029193,0.042529,0.072007,0.132856,0.255159,0.500031", \ - "0.018614,0.029198,0.042529,0.072004,0.132866,0.255154,0.500033", \ - "0.018615,0.029197,0.042529,0.072006,0.132863,0.255159,0.500033", \ - "0.018641,0.029214,0.042537,0.072006,0.132867,0.255151,0.500045", \ - "0.019381,0.029710,0.042787,0.072080,0.132896,0.255171,0.500035", \ - "0.020602,0.030667,0.043325,0.072277,0.132968,0.255181,0.500043"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.164840,0.175615,0.183748,0.196734,0.218139,0.255813,0.327105", \ - "0.168685,0.179461,0.187595,0.200588,0.221986,0.259654,0.330947", \ - "0.183404,0.194189,0.202317,0.215297,0.236693,0.274377,0.345660", \ - "0.213058,0.223855,0.231922,0.244732,0.266142,0.303814,0.375085", \ - "0.253876,0.264742,0.272868,0.285514,0.306890,0.344611,0.415892", \ - "0.293714,0.304666,0.312881,0.325774,0.347288,0.385037,0.456346", \ - "0.329512,0.340882,0.349265,0.362432,0.384130,0.421988,0.493283"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.248655,0.267370,0.283900,0.315974,0.380130,0.508240,0.764072", \ - "0.253979,0.272692,0.289235,0.321287,0.385453,0.513554,0.769381", \ - "0.270794,0.289500,0.306036,0.338084,0.402233,0.530343,0.786193", \ - "0.299840,0.318552,0.335035,0.367106,0.431223,0.559331,0.815154", \ - "0.344327,0.363049,0.379583,0.411691,0.475904,0.603968,0.859786", \ - "0.402830,0.421875,0.438465,0.470483,0.534525,0.662584,0.918360", \ - "0.469197,0.488875,0.505587,0.537439,0.601355,0.729358,0.985118"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013903,0.017762,0.021425,0.028467,0.042536,0.071728,0.132972", \ - "0.013903,0.017763,0.021424,0.028467,0.042535,0.071730,0.132973", \ - "0.013904,0.017763,0.021423,0.028466,0.042534,0.071727,0.132973", \ - "0.013900,0.017762,0.021425,0.028467,0.042534,0.071728,0.132976", \ - "0.014142,0.017960,0.021592,0.028597,0.042622,0.071771,0.132989", \ - "0.014639,0.018378,0.021961,0.028899,0.042839,0.071898,0.133051", \ - "0.015579,0.019165,0.022648,0.029449,0.043219,0.072107,0.133106"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018613,0.029193,0.042529,0.072003,0.132867,0.255156,0.500031", \ - "0.018612,0.029193,0.042529,0.072006,0.132872,0.255155,0.500032", \ - "0.018613,0.029194,0.042527,0.072005,0.132872,0.255153,0.500044", \ - "0.018617,0.029195,0.042528,0.072005,0.132865,0.255155,0.500039", \ - "0.018640,0.029214,0.042537,0.072007,0.132862,0.255148,0.500039", \ - "0.019381,0.029709,0.042787,0.072081,0.132887,0.255168,0.500038", \ - "0.020605,0.030669,0.043325,0.072276,0.132956,0.255181,0.500043"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.152882,0.163601,0.171688,0.184634,0.205993,0.243638,0.314929", \ - "0.156716,0.167437,0.175530,0.188474,0.209831,0.247471,0.318762", \ - "0.171433,0.182162,0.190244,0.203189,0.224538,0.262194,0.333481", \ - "0.200908,0.211668,0.219696,0.232487,0.253853,0.291482,0.362731", \ - "0.238484,0.249257,0.257361,0.269979,0.291349,0.329045,0.400360", \ - "0.274052,0.285006,0.293213,0.306101,0.327618,0.365356,0.436649", \ - "0.305278,0.316707,0.325115,0.338288,0.360006,0.397866,0.469159"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.241589,0.259475,0.275851,0.307955,0.372195,0.500372,0.756245", \ - "0.246894,0.264780,0.281166,0.313262,0.377527,0.505675,0.761532", \ - "0.263676,0.281554,0.297937,0.330073,0.394276,0.522455,0.778323", \ - "0.292761,0.310644,0.326960,0.359063,0.423275,0.551428,0.807286", \ - "0.337304,0.355194,0.371553,0.403715,0.467946,0.596109,0.851962", \ - "0.395458,0.413639,0.430020,0.462063,0.526224,0.654347,0.910152", \ - "0.461294,0.480007,0.496454,0.528269,0.592304,0.720355,0.976198"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013722,0.017600,0.021276,0.028339,0.042438,0.071665,0.132943", \ - "0.013720,0.017600,0.021277,0.028340,0.042439,0.071667,0.132944", \ - "0.013722,0.017599,0.021277,0.028341,0.042439,0.071667,0.132946", \ - "0.013739,0.017614,0.021289,0.028350,0.042444,0.071668,0.132949", \ - "0.014045,0.017887,0.021531,0.028549,0.042579,0.071741,0.132968", \ - "0.014632,0.018362,0.021944,0.028876,0.042814,0.071875,0.133033", \ - "0.015709,0.019270,0.022733,0.029509,0.043253,0.072117,0.133097"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017072,0.028033,0.041875,0.071730,0.132717,0.255053,0.499997", \ - "0.017069,0.028035,0.041872,0.071730,0.132713,0.255059,0.500005", \ - "0.017077,0.028036,0.041873,0.071733,0.132716,0.255052,0.499998", \ - "0.017079,0.028038,0.041874,0.071733,0.132705,0.255051,0.500007", \ - "0.017103,0.028052,0.041882,0.071735,0.132725,0.255054,0.499998", \ - "0.017878,0.028478,0.042079,0.071792,0.132727,0.255058,0.500008", \ - "0.019010,0.029233,0.042457,0.071911,0.132789,0.255072,0.500006"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.137155,0.147704,0.155699,0.168523,0.189775,0.227341,0.298589", \ - "0.141306,0.151866,0.159855,0.172683,0.193933,0.231499,0.302751", \ - "0.156963,0.167519,0.175506,0.188327,0.209577,0.247155,0.318404", \ - "0.187308,0.197910,0.205848,0.218531,0.239784,0.277332,0.348545", \ - "0.223330,0.233936,0.241942,0.254408,0.275663,0.313298,0.384559", \ - "0.256940,0.267717,0.275828,0.288589,0.309970,0.347635,0.418858", \ - "0.285989,0.297224,0.305522,0.318573,0.340163,0.377938,0.449195"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.241443,0.259324,0.275702,0.307807,0.372046,0.500260,0.756087", \ - "0.246537,0.264424,0.280797,0.312906,0.377143,0.505319,0.761191", \ - "0.263292,0.281171,0.297556,0.329693,0.393894,0.522072,0.777941", \ - "0.292550,0.310428,0.326744,0.358864,0.423066,0.551228,0.807084", \ - "0.337196,0.355086,0.371448,0.403635,0.467870,0.596025,0.851874", \ - "0.395437,0.413621,0.430012,0.462053,0.526159,0.654258,0.910099", \ - "0.461460,0.480171,0.496608,0.528435,0.592471,0.720529,0.976365"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013252,0.017180,0.020894,0.028015,0.042188,0.071506,0.132878", \ - "0.013253,0.017179,0.020894,0.028015,0.042189,0.071505,0.132884", \ - "0.013251,0.017179,0.020895,0.028015,0.042187,0.071507,0.132884", \ - "0.013285,0.017206,0.020917,0.028030,0.042198,0.071512,0.132880", \ - "0.013599,0.017475,0.021155,0.028230,0.042341,0.071586,0.132900", \ - "0.014181,0.017951,0.021563,0.028545,0.042554,0.071703,0.132950", \ - "0.015252,0.018843,0.022336,0.029160,0.042976,0.071932,0.133013"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017077,0.028034,0.041874,0.071732,0.132715,0.255064,0.499997", \ - "0.017071,0.028034,0.041872,0.071727,0.132715,0.255055,0.500005", \ - "0.017071,0.028036,0.041872,0.071732,0.132714,0.255053,0.499999", \ - "0.017077,0.028036,0.041875,0.071733,0.132707,0.255063,0.499996", \ - "0.017105,0.028052,0.041881,0.071735,0.132722,0.255054,0.500003", \ - "0.017877,0.028479,0.042080,0.071787,0.132736,0.255068,0.500006", \ - "0.019006,0.029233,0.042455,0.071912,0.132790,0.255087,0.500012"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.146912,0.157523,0.165547,0.178412,0.199699,0.237288,0.308547", \ - "0.151080,0.161694,0.169716,0.182581,0.203870,0.241458,0.312716", \ - "0.166712,0.177329,0.185347,0.198206,0.219488,0.257093,0.328350", \ - "0.197661,0.208307,0.216269,0.228943,0.250227,0.287808,0.359044", \ - "0.237068,0.247723,0.255747,0.268268,0.289540,0.327176,0.398446", \ - "0.274751,0.285513,0.293632,0.306415,0.327808,0.365475,0.436704", \ - "0.308164,0.319342,0.327617,0.340647,0.362225,0.399991,0.471260"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.248501,0.267222,0.283752,0.315823,0.379976,0.508087,0.763922", \ - "0.253619,0.272335,0.288875,0.320937,0.385091,0.513201,0.769024", \ - "0.270413,0.289125,0.305665,0.337734,0.401841,0.529969,0.785799", \ - "0.299625,0.318332,0.334812,0.366881,0.430994,0.559107,0.814928", \ - "0.344223,0.362945,0.379480,0.411694,0.475769,0.603861,0.859678", \ - "0.402809,0.421858,0.438447,0.470486,0.534471,0.662512,0.918311", \ - "0.469360,0.489036,0.505748,0.537584,0.601518,0.729521,0.985281"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013405,0.017318,0.021021,0.028121,0.042269,0.071559,0.132908", \ - "0.013406,0.017319,0.021020,0.028121,0.042268,0.071559,0.132909", \ - "0.013405,0.017317,0.021019,0.028120,0.042268,0.071558,0.132908", \ - "0.013410,0.017322,0.021022,0.028123,0.042269,0.071560,0.132907", \ - "0.013663,0.017540,0.021218,0.028281,0.042375,0.071609,0.132919", \ - "0.014172,0.017952,0.021568,0.028557,0.042570,0.071721,0.132970", \ - "0.015109,0.018729,0.022243,0.029092,0.042938,0.071920,0.133019"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018617,0.029193,0.042530,0.072004,0.132866,0.255161,0.500045", \ - "0.018612,0.029193,0.042529,0.072007,0.132856,0.255159,0.500031", \ - "0.018614,0.029198,0.042529,0.072004,0.132866,0.255154,0.500033", \ - "0.018615,0.029197,0.042529,0.072006,0.132863,0.255159,0.500033", \ - "0.018641,0.029214,0.042537,0.072006,0.132867,0.255151,0.500045", \ - "0.019381,0.029710,0.042787,0.072080,0.132896,0.255171,0.500035", \ - "0.020602,0.030667,0.043325,0.072277,0.132968,0.255181,0.500043"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.137155,0.147704,0.155699,0.168523,0.189775,0.227341,0.298589", \ - "0.141306,0.151866,0.159855,0.172683,0.193933,0.231499,0.302751", \ - "0.156963,0.167519,0.175506,0.188327,0.209577,0.247155,0.318404", \ - "0.187308,0.197910,0.205848,0.218531,0.239784,0.277332,0.348545", \ - "0.223330,0.233936,0.241942,0.254408,0.275663,0.313298,0.384559", \ - "0.256940,0.267717,0.275828,0.288589,0.309970,0.347635,0.418858", \ - "0.285989,0.297224,0.305522,0.318573,0.340163,0.377938,0.449195"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.241443,0.259324,0.275702,0.307807,0.372046,0.500260,0.756087", \ - "0.246537,0.264424,0.280797,0.312906,0.377143,0.505319,0.761191", \ - "0.263292,0.281171,0.297556,0.329693,0.393894,0.522072,0.777941", \ - "0.292550,0.310428,0.326744,0.358864,0.423066,0.551228,0.807084", \ - "0.337196,0.355086,0.371448,0.403635,0.467870,0.596025,0.851874", \ - "0.395437,0.413621,0.430012,0.462053,0.526159,0.654258,0.910099", \ - "0.461460,0.480171,0.496608,0.528435,0.592471,0.720529,0.976365"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013252,0.017180,0.020894,0.028015,0.042188,0.071506,0.132878", \ - "0.013253,0.017179,0.020894,0.028015,0.042189,0.071505,0.132884", \ - "0.013251,0.017179,0.020895,0.028015,0.042187,0.071507,0.132884", \ - "0.013285,0.017206,0.020917,0.028030,0.042198,0.071512,0.132880", \ - "0.013599,0.017475,0.021155,0.028230,0.042341,0.071586,0.132900", \ - "0.014181,0.017951,0.021563,0.028545,0.042554,0.071703,0.132950", \ - "0.015252,0.018843,0.022336,0.029160,0.042976,0.071932,0.133013"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017077,0.028034,0.041874,0.071732,0.132715,0.255064,0.499997", \ - "0.017071,0.028034,0.041872,0.071727,0.132715,0.255055,0.500005", \ - "0.017071,0.028036,0.041872,0.071732,0.132714,0.255053,0.499999", \ - "0.017077,0.028036,0.041875,0.071733,0.132707,0.255063,0.499996", \ - "0.017105,0.028052,0.041881,0.071735,0.132722,0.255054,0.500003", \ - "0.017877,0.028479,0.042080,0.071787,0.132736,0.255068,0.500006", \ - "0.019006,0.029233,0.042455,0.071912,0.132790,0.255087,0.500012"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.121948,0.132314,0.140201,0.152903,0.174039,0.211536,0.282734", \ - "0.126357,0.136735,0.144620,0.157320,0.178458,0.215951,0.287147", \ - "0.143008,0.153372,0.161255,0.173951,0.195092,0.232590,0.303785", \ - "0.173741,0.184149,0.191965,0.204549,0.225700,0.263156,0.334353", \ - "0.207774,0.218215,0.226100,0.238431,0.259564,0.297123,0.368355", \ - "0.239259,0.249816,0.257824,0.270427,0.291705,0.329267,0.400470", \ - "0.265906,0.276936,0.285122,0.298054,0.319500,0.357175,0.428389"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.241432,0.259315,0.275677,0.307832,0.372035,0.500214,0.756083", \ - "0.246300,0.264188,0.280560,0.312672,0.376904,0.505084,0.760946", \ - "0.262871,0.280754,0.297116,0.329254,0.393512,0.521660,0.777523", \ - "0.292307,0.310191,0.326508,0.358605,0.422808,0.550967,0.806823", \ - "0.337068,0.354960,0.371345,0.403506,0.467740,0.595906,0.851760", \ - "0.395427,0.413609,0.429995,0.462039,0.526191,0.654319,0.910128", \ - "0.461635,0.480345,0.496773,0.528617,0.592650,0.720706,0.976542"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012720,0.016713,0.020476,0.027661,0.041923,0.071346,0.132821", \ - "0.012720,0.016712,0.020475,0.027662,0.041923,0.071345,0.132820", \ - "0.012720,0.016711,0.020474,0.027661,0.041923,0.071345,0.132820", \ - "0.012777,0.016756,0.020511,0.027688,0.041939,0.071351,0.132826", \ - "0.013104,0.017029,0.020746,0.027875,0.042076,0.071427,0.132841", \ - "0.013696,0.017505,0.021153,0.028190,0.042275,0.071528,0.132876", \ - "0.014760,0.018385,0.021909,0.028786,0.042681,0.071736,0.132930"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017078,0.028036,0.041875,0.071733,0.132712,0.255064,0.499997", \ - "0.017070,0.028033,0.041872,0.071729,0.132710,0.255049,0.500006", \ - "0.017079,0.028037,0.041876,0.071727,0.132708,0.255047,0.499998", \ - "0.017071,0.028037,0.041872,0.071732,0.132708,0.255060,0.500006", \ - "0.017108,0.028051,0.041882,0.071728,0.132713,0.255057,0.499999", \ - "0.017878,0.028479,0.042080,0.071792,0.132724,0.255059,0.500007", \ - "0.019004,0.029231,0.042455,0.071912,0.132796,0.255087,0.500011"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.179130,0.189925,0.198050,0.211038,0.232441,0.270115,0.341405", \ - "0.184428,0.195201,0.203334,0.216319,0.237718,0.275392,0.346689", \ - "0.200156,0.210932,0.219071,0.232047,0.253455,0.291124,0.362420", \ - "0.228177,0.238953,0.247034,0.259913,0.281303,0.319001,0.390287", \ - "0.267878,0.278703,0.286777,0.299449,0.320871,0.358579,0.429881", \ - "0.311280,0.322179,0.330345,0.342953,0.364420,0.402177,0.473502", \ - "0.353322,0.364533,0.372836,0.385697,0.407328,0.445177,0.516508"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.283127,0.303012,0.319872,0.351922,0.415852,0.543906,0.799662", \ - "0.285978,0.305855,0.322695,0.354691,0.418663,0.546689,0.802490", \ - "0.298828,0.318708,0.335563,0.367583,0.431585,0.559574,0.815362", \ - "0.329161,0.349056,0.365850,0.397795,0.461762,0.589714,0.845500", \ - "0.381049,0.400931,0.417786,0.449636,0.513593,0.641570,0.897341", \ - "0.455304,0.475293,0.492138,0.524028,0.587938,0.715914,0.971705", \ - "0.540987,0.562026,0.579168,0.611070,0.674752,0.802623,1.058375"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013905,0.017764,0.021425,0.028467,0.042535,0.071727,0.132973", \ - "0.013902,0.017764,0.021426,0.028468,0.042535,0.071730,0.132978", \ - "0.013904,0.017763,0.021425,0.028468,0.042535,0.071730,0.132977", \ - "0.013898,0.017758,0.021421,0.028464,0.042533,0.071728,0.132973", \ - "0.014065,0.017892,0.021533,0.028548,0.042585,0.071753,0.132978", \ - "0.014509,0.018276,0.021877,0.028836,0.042798,0.071881,0.133044", \ - "0.015217,0.018885,0.022414,0.029274,0.043113,0.072061,0.133094"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020700,0.030988,0.043612,0.072455,0.133070,0.255285,0.500092", \ - "0.020705,0.030988,0.043610,0.072456,0.133065,0.255286,0.500091", \ - "0.020703,0.030987,0.043611,0.072457,0.133064,0.255285,0.500097", \ - "0.020707,0.030987,0.043612,0.072454,0.133081,0.255285,0.500092", \ - "0.020710,0.030991,0.043615,0.072457,0.133079,0.255282,0.500093", \ - "0.020998,0.031206,0.043729,0.072498,0.133074,0.255279,0.500109", \ - "0.022960,0.032877,0.044743,0.072848,0.133200,0.255313,0.500109"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.167141,0.177862,0.185956,0.198900,0.220256,0.257903,0.329202", \ - "0.172394,0.183116,0.191212,0.204151,0.225511,0.263152,0.334442", \ - "0.188050,0.198773,0.206866,0.219809,0.241169,0.278806,0.350101", \ - "0.215750,0.226460,0.234462,0.247297,0.268656,0.306306,0.377591", \ - "0.253523,0.264313,0.272378,0.284947,0.306364,0.344065,0.415318", \ - "0.293427,0.304303,0.312471,0.325032,0.346455,0.384196,0.455507", \ - "0.330945,0.342176,0.350479,0.363348,0.384965,0.422813,0.494133"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.276433,0.295436,0.312021,0.343983,0.408073,0.536184,0.792015", \ - "0.279271,0.298265,0.314831,0.346814,0.410855,0.538993,0.794814", \ - "0.292097,0.311112,0.327687,0.359686,0.423793,0.551849,0.807677", \ - "0.322482,0.341480,0.357996,0.389892,0.453946,0.582014,0.837834", \ - "0.374393,0.393410,0.409980,0.441810,0.505882,0.633909,0.889731", \ - "0.448613,0.467733,0.484286,0.516163,0.580155,0.708183,0.964016", \ - "0.533438,0.553537,0.570303,0.602084,0.665893,0.793856,1.049638"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013718,0.017601,0.021275,0.028339,0.042438,0.071665,0.132944", \ - "0.013719,0.017599,0.021276,0.028339,0.042438,0.071666,0.132944", \ - "0.013718,0.017598,0.021276,0.028339,0.042437,0.071666,0.132945", \ - "0.013716,0.017598,0.021275,0.028339,0.042436,0.071665,0.132942", \ - "0.013980,0.017815,0.021458,0.028479,0.042527,0.071708,0.132956", \ - "0.014463,0.018228,0.021828,0.028791,0.042757,0.071845,0.133018", \ - "0.015282,0.018928,0.022445,0.029293,0.043118,0.072056,0.133083"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019277,0.029657,0.042772,0.072093,0.132907,0.255176,0.500046", \ - "0.019278,0.029654,0.042771,0.072087,0.132905,0.255182,0.500052", \ - "0.019274,0.029654,0.042771,0.072086,0.132897,0.255180,0.500053", \ - "0.019275,0.029656,0.042771,0.072088,0.132899,0.255180,0.500053", \ - "0.019280,0.029660,0.042773,0.072087,0.132899,0.255174,0.500053", \ - "0.019625,0.029886,0.042883,0.072122,0.132913,0.255182,0.500042", \ - "0.021511,0.031339,0.043685,0.072382,0.132996,0.255206,0.500048"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.148164,0.158684,0.166660,0.179470,0.200699,0.238257,0.309505", \ - "0.153674,0.164198,0.172177,0.184981,0.206212,0.243770,0.315021", \ - "0.169713,0.180235,0.188209,0.201023,0.222253,0.259811,0.331047", \ - "0.197586,0.208134,0.216054,0.228788,0.250026,0.287574,0.358801", \ - "0.234015,0.244584,0.252530,0.264964,0.286286,0.323882,0.395133", \ - "0.271868,0.282514,0.290543,0.302900,0.324201,0.361878,0.433115", \ - "0.307090,0.318075,0.326266,0.338946,0.360407,0.398126,0.469391"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.276205,0.295238,0.311786,0.343793,0.407853,0.535963,0.791776", \ - "0.278571,0.297588,0.314182,0.346133,0.410226,0.538312,0.794157", \ - "0.291456,0.310471,0.327048,0.359075,0.423136,0.551233,0.807042", \ - "0.322153,0.341156,0.357665,0.389534,0.453584,0.581651,0.837473", \ - "0.374378,0.393388,0.409952,0.441817,0.505862,0.633887,0.889721", \ - "0.448854,0.467974,0.484528,0.516385,0.580418,0.708434,0.964269", \ - "0.534043,0.554137,0.570900,0.602701,0.666488,0.794461,1.050260"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013166,0.017108,0.020829,0.027961,0.042147,0.071483,0.132871", \ - "0.013167,0.017108,0.020829,0.027959,0.042147,0.071480,0.132871", \ - "0.013166,0.017107,0.020829,0.027961,0.042147,0.071479,0.132868", \ - "0.013178,0.017114,0.020835,0.027963,0.042149,0.071483,0.132873", \ - "0.013446,0.017341,0.021034,0.028126,0.042255,0.071534,0.132882", \ - "0.013915,0.017734,0.021373,0.028398,0.042453,0.071648,0.132933", \ - "0.014687,0.018388,0.021951,0.028866,0.042786,0.071840,0.132985"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019276,0.029656,0.042773,0.072091,0.132909,0.255187,0.500049", \ - "0.019276,0.029655,0.042769,0.072093,0.132908,0.255176,0.500057", \ - "0.019276,0.029655,0.042771,0.072088,0.132902,0.255178,0.500057", \ - "0.019280,0.029657,0.042770,0.072089,0.132901,0.255179,0.500053", \ - "0.019278,0.029660,0.042774,0.072087,0.132905,0.255174,0.500048", \ - "0.019623,0.029883,0.042881,0.072122,0.132901,0.255186,0.500055", \ - "0.021491,0.031327,0.043679,0.072381,0.133001,0.255209,0.500050"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.167141,0.177862,0.185956,0.198900,0.220256,0.257903,0.329202", \ - "0.172394,0.183116,0.191212,0.204151,0.225511,0.263152,0.334442", \ - "0.188050,0.198773,0.206866,0.219809,0.241169,0.278806,0.350101", \ - "0.215750,0.226460,0.234462,0.247297,0.268656,0.306306,0.377591", \ - "0.253523,0.264313,0.272378,0.284947,0.306364,0.344065,0.415318", \ - "0.293427,0.304303,0.312471,0.325032,0.346455,0.384196,0.455507", \ - "0.330945,0.342176,0.350479,0.363348,0.384965,0.422813,0.494133"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.276433,0.295436,0.312021,0.343983,0.408073,0.536184,0.792015", \ - "0.279271,0.298265,0.314831,0.346814,0.410855,0.538993,0.794814", \ - "0.292097,0.311112,0.327687,0.359686,0.423793,0.551849,0.807677", \ - "0.322482,0.341480,0.357996,0.389892,0.453946,0.582014,0.837834", \ - "0.374393,0.393410,0.409980,0.441810,0.505882,0.633909,0.889731", \ - "0.448613,0.467733,0.484286,0.516163,0.580155,0.708183,0.964016", \ - "0.533438,0.553537,0.570303,0.602084,0.665893,0.793856,1.049638"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013718,0.017601,0.021275,0.028339,0.042438,0.071665,0.132944", \ - "0.013719,0.017599,0.021276,0.028339,0.042438,0.071666,0.132944", \ - "0.013718,0.017598,0.021276,0.028339,0.042437,0.071666,0.132945", \ - "0.013716,0.017598,0.021275,0.028339,0.042436,0.071665,0.132942", \ - "0.013980,0.017815,0.021458,0.028479,0.042527,0.071708,0.132956", \ - "0.014463,0.018228,0.021828,0.028791,0.042757,0.071845,0.133018", \ - "0.015282,0.018928,0.022445,0.029293,0.043118,0.072056,0.133083"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019277,0.029657,0.042772,0.072093,0.132907,0.255176,0.500046", \ - "0.019278,0.029654,0.042771,0.072087,0.132905,0.255182,0.500052", \ - "0.019274,0.029654,0.042771,0.072086,0.132897,0.255180,0.500053", \ - "0.019275,0.029656,0.042771,0.072088,0.132899,0.255180,0.500053", \ - "0.019280,0.029660,0.042773,0.072087,0.132899,0.255174,0.500053", \ - "0.019625,0.029886,0.042883,0.072122,0.132913,0.255182,0.500042", \ - "0.021511,0.031339,0.043685,0.072382,0.132996,0.255206,0.500048"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.155422,0.166069,0.174120,0.187010,0.208323,0.245944,0.317205", \ - "0.160626,0.171279,0.179334,0.192225,0.213541,0.251164,0.322429", \ - "0.176157,0.186815,0.194863,0.207759,0.229073,0.266679,0.337948", \ - "0.203248,0.213917,0.221901,0.234690,0.256008,0.293623,0.364878", \ - "0.238492,0.249230,0.257251,0.269767,0.291142,0.328806,0.400080", \ - "0.274294,0.285167,0.293319,0.305847,0.327277,0.364998,0.436313", \ - "0.306692,0.317982,0.326321,0.339195,0.360843,0.398674,0.470003"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.269438,0.287584,0.303958,0.335985,0.400167,0.528318,0.784179", \ - "0.272235,0.290372,0.306749,0.338789,0.402925,0.531119,0.786971", \ - "0.285087,0.303225,0.319612,0.351639,0.415812,0.543970,0.799826", \ - "0.315461,0.333597,0.349943,0.381874,0.446009,0.574154,0.830006", \ - "0.367464,0.385600,0.401966,0.433856,0.497966,0.626092,0.881952", \ - "0.441592,0.459846,0.476204,0.508032,0.572162,0.700279,0.956152", \ - "0.525564,0.544677,0.561121,0.592847,0.656756,0.784830,1.040684"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013520,0.017421,0.021115,0.028204,0.042333,0.071599,0.132910", \ - "0.013522,0.017422,0.021115,0.028204,0.042333,0.071599,0.132916", \ - "0.013521,0.017420,0.021116,0.028203,0.042332,0.071598,0.132913", \ - "0.013544,0.017439,0.021129,0.028215,0.042341,0.071602,0.132916", \ - "0.013871,0.017726,0.021384,0.028421,0.042480,0.071673,0.132933", \ - "0.014447,0.018205,0.021801,0.028763,0.042731,0.071824,0.133001", \ - "0.015404,0.019030,0.022529,0.029358,0.043156,0.072071,0.133079"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017733,0.028416,0.042055,0.071794,0.132751,0.255084,0.500002", \ - "0.017732,0.028418,0.042058,0.071792,0.132750,0.255078,0.500001", \ - "0.017734,0.028418,0.042056,0.071788,0.132744,0.255085,0.500002", \ - "0.017738,0.028419,0.042057,0.071793,0.132740,0.255072,0.500002", \ - "0.017744,0.028424,0.042059,0.071792,0.132734,0.255075,0.500006", \ - "0.018158,0.028646,0.042158,0.071817,0.132752,0.255068,0.500007", \ - "0.019923,0.029819,0.042736,0.071987,0.132801,0.255101,0.500014"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.138647,0.149110,0.157056,0.169821,0.191024,0.228552,0.299776", \ - "0.144129,0.154595,0.162533,0.175303,0.196496,0.234031,0.305256", \ - "0.160049,0.170517,0.178459,0.191225,0.212422,0.249955,0.321181", \ - "0.187187,0.197689,0.205587,0.218266,0.239464,0.276994,0.348205", \ - "0.220999,0.231532,0.239456,0.251809,0.273108,0.310709,0.381934", \ - "0.255005,0.265637,0.273659,0.285996,0.307292,0.344927,0.416159", \ - "0.285390,0.296434,0.304644,0.317344,0.338820,0.376550,0.447815"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.269210,0.287345,0.303719,0.335759,0.399929,0.528082,0.783945", \ - "0.271570,0.289716,0.306111,0.338092,0.402308,0.530425,0.786318", \ - "0.284450,0.302594,0.318966,0.351040,0.415174,0.543334,0.799201", \ - "0.315134,0.333271,0.349607,0.381511,0.445654,0.573800,0.829647", \ - "0.367438,0.385578,0.401947,0.433853,0.497943,0.626079,0.881924", \ - "0.441842,0.460092,0.476443,0.508283,0.572412,0.700530,0.956404", \ - "0.526177,0.545283,0.561726,0.593460,0.657357,0.785453,1.041298"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013001,0.016958,0.020695,0.027846,0.042060,0.071427,0.132843", \ - "0.013001,0.016958,0.020695,0.027845,0.042062,0.071424,0.132844", \ - "0.013000,0.016958,0.020695,0.027846,0.042061,0.071425,0.132843", \ - "0.013038,0.016989,0.020719,0.027864,0.042071,0.071433,0.132846", \ - "0.013368,0.017266,0.020961,0.028065,0.042215,0.071506,0.132868", \ - "0.013911,0.017721,0.021359,0.028380,0.042435,0.071630,0.132918", \ - "0.014812,0.018490,0.022033,0.028927,0.042824,0.071853,0.132982"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017735,0.028417,0.042057,0.071789,0.132742,0.255075,0.500002", \ - "0.017737,0.028417,0.042056,0.071793,0.132750,0.255076,0.500000", \ - "0.017734,0.028418,0.042059,0.071786,0.132749,0.255082,0.500002", \ - "0.017735,0.028420,0.042057,0.071791,0.132751,0.255083,0.500002", \ - "0.017744,0.028425,0.042058,0.071792,0.132751,0.255077,0.500005", \ - "0.018153,0.028644,0.042157,0.071816,0.132743,0.255082,0.500017", \ - "0.019910,0.029809,0.042733,0.071985,0.132803,0.255102,0.500011"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.155502,0.166138,0.174170,0.187041,0.208330,0.245939,0.317173", \ - "0.160714,0.171347,0.179381,0.192252,0.213545,0.251147,0.322387", \ - "0.177262,0.187895,0.195928,0.208800,0.230086,0.267702,0.338945", \ - "0.207654,0.218282,0.226265,0.239029,0.260318,0.297919,0.369176", \ - "0.248251,0.258952,0.266958,0.279459,0.300824,0.338482,0.409705", \ - "0.289526,0.300338,0.308442,0.320961,0.342341,0.380031,0.451308", \ - "0.327299,0.338527,0.346835,0.359655,0.381274,0.419070,0.490359"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.285413,0.304479,0.321080,0.353026,0.417096,0.545190,0.801036", \ - "0.288384,0.307455,0.324028,0.355980,0.420048,0.548177,0.804000", \ - "0.301118,0.320186,0.336797,0.368814,0.432833,0.560908,0.816730", \ - "0.331356,0.350437,0.366959,0.398861,0.462873,0.590936,0.846764", \ - "0.383240,0.402301,0.418887,0.450720,0.514724,0.642790,0.898600", \ - "0.457802,0.476980,0.493564,0.525411,0.589328,0.717438,0.973250", \ - "0.544326,0.564416,0.581173,0.612932,0.676749,0.804739,1.060501"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013455,0.017356,0.021051,0.028145,0.042283,0.071566,0.132900", \ - "0.013455,0.017356,0.021050,0.028143,0.042284,0.071566,0.132902", \ - "0.013458,0.017356,0.021051,0.028145,0.042283,0.071566,0.132906", \ - "0.013458,0.017359,0.021054,0.028147,0.042284,0.071566,0.132906", \ - "0.013749,0.017606,0.021263,0.028308,0.042390,0.071614,0.132913", \ - "0.014314,0.018075,0.021678,0.028651,0.042639,0.071762,0.132975", \ - "0.015266,0.018883,0.022387,0.029227,0.043049,0.071996,0.133047"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019420,0.029756,0.042825,0.072112,0.132909,0.255183,0.500049", \ - "0.019416,0.029754,0.042824,0.072112,0.132910,0.255180,0.500049", \ - "0.019420,0.029754,0.042825,0.072107,0.132909,0.255184,0.500045", \ - "0.019421,0.029757,0.042825,0.072110,0.132898,0.255183,0.500049", \ - "0.019426,0.029761,0.042828,0.072111,0.132901,0.255186,0.500044", \ - "0.019688,0.029933,0.042914,0.072137,0.132925,0.255187,0.500045", \ - "0.021507,0.031325,0.043673,0.072379,0.132998,0.255215,0.500058"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.145587,0.156165,0.164160,0.176988,0.198246,0.235815,0.307036", \ - "0.150763,0.161341,0.169341,0.182170,0.203424,0.240997,0.312225", \ - "0.167185,0.177762,0.185764,0.198592,0.219850,0.257417,0.328647", \ - "0.196880,0.207473,0.215429,0.228154,0.249415,0.286973,0.358199", \ - "0.234478,0.245133,0.253115,0.265579,0.286936,0.324560,0.395808", \ - "0.271316,0.282145,0.290252,0.302747,0.324121,0.361805,0.433071", \ - "0.303903,0.315202,0.323539,0.336411,0.358038,0.395849,0.467119"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.278479,0.296682,0.313080,0.345052,0.409224,0.537407,0.793275", \ - "0.281454,0.299651,0.316012,0.348001,0.412166,0.540348,0.796183", \ - "0.294179,0.312383,0.328750,0.360794,0.424930,0.553070,0.808943", \ - "0.324447,0.342643,0.358965,0.390859,0.454986,0.583138,0.839002", \ - "0.376351,0.394550,0.410919,0.442793,0.506883,0.635014,0.890880", \ - "0.450928,0.469215,0.485582,0.517416,0.581481,0.709632,0.965494", \ - "0.536624,0.555740,0.572193,0.603923,0.667787,0.795871,1.051732"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013284,0.017201,0.020912,0.028025,0.042193,0.071507,0.132872", \ - "0.013282,0.017203,0.020911,0.028025,0.042192,0.071508,0.132879", \ - "0.013286,0.017202,0.020911,0.028025,0.042194,0.071508,0.132878", \ - "0.013315,0.017227,0.020933,0.028041,0.042203,0.071511,0.132877", \ - "0.013683,0.017543,0.021212,0.028267,0.042357,0.071590,0.132900", \ - "0.014344,0.018089,0.021685,0.028650,0.042630,0.071751,0.132969", \ - "0.015451,0.019033,0.022514,0.029323,0.043111,0.072023,0.133048"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017883,0.028511,0.042102,0.071805,0.132749,0.255081,0.500009", \ - "0.017886,0.028508,0.042100,0.071808,0.132752,0.255082,0.500011", \ - "0.017887,0.028510,0.042101,0.071805,0.132759,0.255080,0.500019", \ - "0.017890,0.028509,0.042103,0.071803,0.132744,0.255083,0.500008", \ - "0.017897,0.028514,0.042104,0.071802,0.132745,0.255082,0.500009", \ - "0.018200,0.028684,0.042180,0.071828,0.132765,0.255086,0.500016", \ - "0.019939,0.029827,0.042740,0.071989,0.132813,0.255104,0.500012"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.128240,0.138625,0.146513,0.159217,0.180356,0.217835,0.289024", \ - "0.133697,0.144086,0.151974,0.164675,0.185816,0.223297,0.294485", \ - "0.150785,0.161174,0.169059,0.181765,0.202901,0.240377,0.311568", \ - "0.180730,0.191132,0.198977,0.211607,0.232747,0.270223,0.341410", \ - "0.216630,0.227102,0.234972,0.247360,0.268560,0.306120,0.377307", \ - "0.251522,0.262135,0.270113,0.282415,0.303662,0.341248,0.412477", \ - "0.282101,0.293137,0.301332,0.314001,0.335445,0.373143,0.444399"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.278268,0.296470,0.312835,0.344883,0.409016,0.537164,0.793029", \ - "0.280777,0.298970,0.315336,0.347390,0.411495,0.539666,0.795531", \ - "0.293508,0.311704,0.328110,0.360119,0.424254,0.552397,0.808297", \ - "0.324104,0.342301,0.358621,0.390511,0.454639,0.582781,0.838641", \ - "0.376334,0.394533,0.410924,0.442784,0.506868,0.634999,0.890867", \ - "0.451179,0.469464,0.485828,0.517687,0.581734,0.709889,0.965752", \ - "0.537240,0.556348,0.572799,0.604540,0.668421,0.796480,1.052347"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012743,0.016724,0.020481,0.027663,0.041920,0.071340,0.132812", \ - "0.012743,0.016724,0.020481,0.027662,0.041920,0.071339,0.132812", \ - "0.012746,0.016725,0.020482,0.027663,0.041921,0.071338,0.132809", \ - "0.012793,0.016764,0.020514,0.027686,0.041934,0.071346,0.132811", \ - "0.013157,0.017067,0.020776,0.027897,0.042083,0.071423,0.132837", \ - "0.013774,0.017578,0.021218,0.028247,0.042321,0.071551,0.132885", \ - "0.014815,0.018457,0.021985,0.028866,0.042755,0.071793,0.132948"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017885,0.028509,0.042102,0.071803,0.132736,0.255073,0.500005", \ - "0.017890,0.028509,0.042103,0.071801,0.132744,0.255083,0.500006", \ - "0.017889,0.028509,0.042101,0.071801,0.132757,0.255082,0.500008", \ - "0.017889,0.028511,0.042103,0.071807,0.132743,0.255078,0.500006", \ - "0.017897,0.028514,0.042101,0.071801,0.132754,0.255082,0.500009", \ - "0.018203,0.028684,0.042180,0.071825,0.132753,0.255087,0.500011", \ - "0.019925,0.029816,0.042736,0.071991,0.132804,0.255104,0.500012"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.190751,0.201594,0.209763,0.222786,0.244222,0.281931,0.353240", \ - "0.195249,0.206092,0.214260,0.227287,0.248727,0.286442,0.357725", \ - "0.210640,0.221480,0.229652,0.242681,0.264116,0.301842,0.373109", \ - "0.238856,0.249691,0.257872,0.270791,0.292220,0.329934,0.401249", \ - "0.279915,0.290786,0.298905,0.311688,0.333039,0.370779,0.442074", \ - "0.326310,0.337236,0.345439,0.358079,0.379557,0.417331,0.488654", \ - "0.372188,0.383403,0.391709,0.404578,0.426239,0.464080,0.535430"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.296566,0.316461,0.333324,0.365316,0.429288,0.557352,0.813112", \ - "0.301650,0.321542,0.338400,0.370434,0.434421,0.562423,0.818196", \ - "0.318827,0.338724,0.355588,0.387588,0.451542,0.579616,0.835395", \ - "0.348215,0.368092,0.384881,0.416887,0.480825,0.608840,0.864644", \ - "0.392801,0.412684,0.429565,0.461852,0.525723,0.653711,0.909471", \ - "0.454339,0.474324,0.491205,0.523228,0.587242,0.715191,0.970937", \ - "0.526841,0.547466,0.564505,0.596475,0.660329,0.788188,1.043919"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.014075,0.017919,0.021568,0.028589,0.042628,0.071789,0.133006", \ - "0.014077,0.017919,0.021567,0.028590,0.042630,0.071789,0.133009", \ - "0.014076,0.017919,0.021566,0.028588,0.042630,0.071788,0.133002", \ - "0.014070,0.017915,0.021564,0.028588,0.042626,0.071787,0.133002", \ - "0.014173,0.017999,0.021635,0.028641,0.042661,0.071803,0.133014", \ - "0.014583,0.018348,0.021944,0.028899,0.042848,0.071916,0.133055", \ - "0.015217,0.018891,0.022422,0.029288,0.043128,0.072077,0.133107"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.020705,0.030985,0.043612,0.072456,0.133073,0.255273,0.500096", \ - "0.020704,0.030988,0.043609,0.072453,0.133076,0.255288,0.500097", \ - "0.020708,0.030987,0.043612,0.072460,0.133067,0.255272,0.500096", \ - "0.020704,0.030988,0.043611,0.072458,0.133081,0.255288,0.500092", \ - "0.020708,0.030989,0.043611,0.072456,0.133068,0.255270,0.500090", \ - "0.020935,0.031161,0.043704,0.072486,0.133077,0.255274,0.500107", \ - "0.022126,0.032183,0.044326,0.072703,0.133162,0.255305,0.500104"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.178603,0.189382,0.197513,0.210497,0.231899,0.269577,0.340868", \ - "0.183107,0.193886,0.202017,0.214999,0.236400,0.274087,0.345376", \ - "0.198477,0.209258,0.217378,0.230370,0.251767,0.289442,0.360734", \ - "0.226545,0.237318,0.245417,0.258264,0.279662,0.317346,0.388653", \ - "0.266167,0.276984,0.285061,0.297724,0.319093,0.356789,0.428115", \ - "0.309437,0.320339,0.328500,0.341111,0.362569,0.400326,0.471651", \ - "0.351201,0.362418,0.370717,0.383585,0.405212,0.443062,0.514391"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.289883,0.308894,0.325452,0.357453,0.421515,0.549625,0.805448", \ - "0.294943,0.313957,0.330544,0.362532,0.426609,0.554717,0.810528", \ - "0.312116,0.331127,0.347730,0.379709,0.443745,0.571847,0.827691", \ - "0.341454,0.360470,0.377024,0.408991,0.473029,0.601129,0.856970", \ - "0.386092,0.405099,0.421709,0.453949,0.517942,0.646002,0.901806", \ - "0.447574,0.466681,0.483284,0.515278,0.579329,0.707382,0.963194", \ - "0.519578,0.539282,0.555992,0.587864,0.651793,0.779796,1.035558"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013901,0.017762,0.021425,0.028467,0.042535,0.071729,0.132973", \ - "0.013902,0.017763,0.021426,0.028467,0.042536,0.071727,0.132973", \ - "0.013904,0.017763,0.021424,0.028467,0.042534,0.071727,0.132973", \ - "0.013900,0.017761,0.021423,0.028467,0.042535,0.071729,0.132974", \ - "0.014079,0.017904,0.021545,0.028562,0.042597,0.071757,0.132988", \ - "0.014516,0.018283,0.021882,0.028841,0.042802,0.071879,0.133041", \ - "0.015235,0.018895,0.022422,0.029281,0.043116,0.072062,0.133092"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019271,0.029654,0.042771,0.072087,0.132902,0.255182,0.500058", \ - "0.019272,0.029656,0.042772,0.072091,0.132910,0.255181,0.500048", \ - "0.019273,0.029654,0.042771,0.072090,0.132905,0.255174,0.500053", \ - "0.019276,0.029656,0.042773,0.072088,0.132899,0.255169,0.500056", \ - "0.019282,0.029658,0.042771,0.072085,0.132909,0.255180,0.500054", \ - "0.019546,0.029836,0.042861,0.072111,0.132904,0.255186,0.500044", \ - "0.020694,0.030720,0.043347,0.072277,0.132961,0.255194,0.500059"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.157480,0.168051,0.176064,0.188907,0.210173,0.247752,0.319012", \ - "0.162375,0.172958,0.180958,0.193804,0.215072,0.252652,0.323908", \ - "0.178173,0.188755,0.196761,0.209606,0.230878,0.268455,0.339706", \ - "0.206483,0.217070,0.225038,0.237795,0.259071,0.296644,0.367896", \ - "0.244834,0.255458,0.263427,0.275938,0.297138,0.334755,0.406029", \ - "0.285852,0.296515,0.304564,0.316964,0.338284,0.375971,0.447218", \ - "0.325052,0.336015,0.344206,0.356877,0.378362,0.416083,0.487344"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.289658,0.308665,0.325234,0.357225,0.421296,0.549403,0.805225", \ - "0.294317,0.313339,0.329905,0.361933,0.425953,0.554082,0.809902", \ - "0.311559,0.330568,0.347120,0.379114,0.443185,0.571288,0.827112", \ - "0.341120,0.360127,0.376693,0.408664,0.472686,0.600783,0.856629", \ - "0.385948,0.404954,0.421558,0.453741,0.517814,0.645886,0.901685", \ - "0.447530,0.466638,0.483227,0.515244,0.579287,0.707342,0.963155", \ - "0.519704,0.539405,0.556116,0.588006,0.651952,0.779916,1.035709"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013322,0.017244,0.020954,0.028065,0.042228,0.071532,0.132897", \ - "0.013324,0.017243,0.020953,0.028065,0.042228,0.071533,0.132898", \ - "0.013323,0.017244,0.020953,0.028065,0.042227,0.071534,0.132894", \ - "0.013326,0.017247,0.020956,0.028068,0.042229,0.071533,0.132890", \ - "0.013530,0.017426,0.021110,0.028186,0.042308,0.071569,0.132906", \ - "0.013955,0.017777,0.021417,0.028439,0.042488,0.071675,0.132943", \ - "0.014634,0.018351,0.021921,0.028847,0.042778,0.071842,0.132994"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019270,0.029654,0.042771,0.072088,0.132909,0.255179,0.500058", \ - "0.019278,0.029657,0.042771,0.072087,0.132906,0.255183,0.500057", \ - "0.019273,0.029656,0.042771,0.072088,0.132909,0.255173,0.500056", \ - "0.019277,0.029656,0.042773,0.072089,0.132900,0.255178,0.500056", \ - "0.019283,0.029660,0.042772,0.072087,0.132904,0.255182,0.500052", \ - "0.019549,0.029837,0.042858,0.072113,0.132915,0.255186,0.500044", \ - "0.020687,0.030719,0.043347,0.072278,0.132970,0.255204,0.500050"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.178603,0.189382,0.197513,0.210497,0.231899,0.269577,0.340868", \ - "0.183107,0.193886,0.202017,0.214999,0.236400,0.274087,0.345376", \ - "0.198477,0.209258,0.217378,0.230370,0.251767,0.289442,0.360734", \ - "0.226545,0.237318,0.245417,0.258264,0.279662,0.317346,0.388653", \ - "0.266167,0.276984,0.285061,0.297724,0.319093,0.356789,0.428115", \ - "0.309437,0.320339,0.328500,0.341111,0.362569,0.400326,0.471651", \ - "0.351201,0.362418,0.370717,0.383585,0.405212,0.443062,0.514391"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.289883,0.308894,0.325452,0.357453,0.421515,0.549625,0.805448", \ - "0.294943,0.313957,0.330544,0.362532,0.426609,0.554717,0.810528", \ - "0.312116,0.331127,0.347730,0.379709,0.443745,0.571847,0.827691", \ - "0.341454,0.360470,0.377024,0.408991,0.473029,0.601129,0.856970", \ - "0.386092,0.405099,0.421709,0.453949,0.517942,0.646002,0.901806", \ - "0.447574,0.466681,0.483284,0.515278,0.579329,0.707382,0.963194", \ - "0.519578,0.539282,0.555992,0.587864,0.651793,0.779796,1.035558"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013901,0.017762,0.021425,0.028467,0.042535,0.071729,0.132973", \ - "0.013902,0.017763,0.021426,0.028467,0.042536,0.071727,0.132973", \ - "0.013904,0.017763,0.021424,0.028467,0.042534,0.071727,0.132973", \ - "0.013900,0.017761,0.021423,0.028467,0.042535,0.071729,0.132974", \ - "0.014079,0.017904,0.021545,0.028562,0.042597,0.071757,0.132988", \ - "0.014516,0.018283,0.021882,0.028841,0.042802,0.071879,0.133041", \ - "0.015235,0.018895,0.022422,0.029281,0.043116,0.072062,0.133092"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019271,0.029654,0.042771,0.072087,0.132902,0.255182,0.500058", \ - "0.019272,0.029656,0.042772,0.072091,0.132910,0.255181,0.500048", \ - "0.019273,0.029654,0.042771,0.072090,0.132905,0.255174,0.500053", \ - "0.019276,0.029656,0.042773,0.072088,0.132899,0.255169,0.500056", \ - "0.019282,0.029658,0.042771,0.072085,0.132909,0.255180,0.500054", \ - "0.019546,0.029836,0.042861,0.072111,0.132904,0.255186,0.500044", \ - "0.020694,0.030720,0.043347,0.072277,0.132961,0.255194,0.500059"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.166643,0.177359,0.185459,0.198404,0.219761,0.257395,0.328693", \ - "0.171146,0.181867,0.189952,0.202898,0.224255,0.261897,0.333185", \ - "0.186475,0.197195,0.205281,0.218227,0.239584,0.277229,0.348533", \ - "0.214206,0.224932,0.232966,0.245756,0.267111,0.304784,0.376054", \ - "0.251875,0.262658,0.270724,0.283247,0.304586,0.342279,0.413549", \ - "0.291585,0.302469,0.310635,0.323196,0.344639,0.382377,0.453700", \ - "0.328713,0.339956,0.348273,0.361139,0.382766,0.420613,0.491934"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.282897,0.301033,0.317396,0.349426,0.413595,0.541753,0.797622", \ - "0.287949,0.306085,0.322464,0.354494,0.418658,0.546838,0.802689", \ - "0.305107,0.323245,0.339629,0.371660,0.435816,0.563954,0.819847", \ - "0.334449,0.352592,0.368922,0.400929,0.465082,0.593228,0.849117", \ - "0.379078,0.397217,0.413651,0.445924,0.509994,0.638126,0.893975", \ - "0.440495,0.458727,0.475118,0.507182,0.571315,0.699407,0.955264", \ - "0.512016,0.530777,0.547205,0.579072,0.643080,0.771159,1.027006"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013722,0.017599,0.021276,0.028341,0.042438,0.071667,0.132946", \ - "0.013718,0.017600,0.021276,0.028339,0.042438,0.071667,0.132943", \ - "0.013722,0.017599,0.021276,0.028339,0.042439,0.071664,0.132947", \ - "0.013729,0.017607,0.021283,0.028345,0.042442,0.071665,0.132941", \ - "0.013981,0.017822,0.021467,0.028491,0.042539,0.071716,0.132960", \ - "0.014473,0.018238,0.021835,0.028797,0.042760,0.071848,0.133018", \ - "0.015294,0.018941,0.022455,0.029301,0.043123,0.072057,0.133082"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017735,0.028419,0.042056,0.071793,0.132751,0.255075,0.500001", \ - "0.017735,0.028417,0.042058,0.071786,0.132739,0.255084,0.500002", \ - "0.017732,0.028419,0.042057,0.071789,0.132751,0.255076,0.500002", \ - "0.017735,0.028419,0.042058,0.071787,0.132747,0.255083,0.500017", \ - "0.017738,0.028419,0.042059,0.071786,0.132740,0.255069,0.500016", \ - "0.018051,0.028594,0.042135,0.071806,0.132759,0.255083,0.500015", \ - "0.019136,0.029302,0.042489,0.071923,0.132784,0.255089,0.500008"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.147765,0.158293,0.166262,0.179072,0.200303,0.237861,0.309104", \ - "0.152654,0.163179,0.171159,0.183963,0.205195,0.242751,0.314005", \ - "0.168401,0.178917,0.186896,0.199705,0.220938,0.258490,0.329740", \ - "0.196263,0.206819,0.214730,0.227438,0.248681,0.286222,0.357452", \ - "0.232539,0.243111,0.251042,0.263458,0.284747,0.322341,0.393590", \ - "0.270178,0.280804,0.288846,0.301202,0.322511,0.360172,0.431415", \ - "0.304986,0.315979,0.324172,0.336848,0.358323,0.396045,0.467305"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.282669,0.300805,0.317164,0.349206,0.413372,0.541529,0.797405", \ - "0.287317,0.305454,0.321842,0.353899,0.418010,0.546191,0.802068", \ - "0.304553,0.322690,0.339037,0.371079,0.435245,0.563408,0.819271", \ - "0.334111,0.352255,0.368590,0.400591,0.464746,0.592889,0.848781", \ - "0.378930,0.397072,0.413503,0.445669,0.509846,0.638012,0.893858", \ - "0.440453,0.458685,0.475074,0.507143,0.571249,0.699361,0.955222", \ - "0.512152,0.530911,0.547333,0.579216,0.643246,0.771305,1.027155"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013168,0.017107,0.020830,0.027961,0.042148,0.071483,0.132872", \ - "0.013167,0.017109,0.020829,0.027960,0.042147,0.071481,0.132874", \ - "0.013168,0.017109,0.020830,0.027961,0.042147,0.071482,0.132871", \ - "0.013188,0.017126,0.020844,0.027971,0.042154,0.071485,0.132872", \ - "0.013450,0.017346,0.021038,0.028128,0.042260,0.071537,0.132884", \ - "0.013929,0.017742,0.021384,0.028406,0.042458,0.071651,0.132926", \ - "0.014701,0.018400,0.021958,0.028871,0.042789,0.071841,0.132985"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017734,0.028419,0.042059,0.071788,0.132745,0.255076,0.500015", \ - "0.017732,0.028419,0.042057,0.071792,0.132738,0.255078,0.500008", \ - "0.017736,0.028419,0.042059,0.071788,0.132745,0.255073,0.500002", \ - "0.017735,0.028417,0.042058,0.071792,0.132753,0.255083,0.500017", \ - "0.017739,0.028422,0.042058,0.071788,0.132740,0.255078,0.500016", \ - "0.018052,0.028594,0.042134,0.071806,0.132748,0.255083,0.500015", \ - "0.019135,0.029302,0.042489,0.071920,0.132794,0.255094,0.500008"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.165188,0.175867,0.183937,0.196845,0.218175,0.255797,0.327060", \ - "0.169737,0.180426,0.188493,0.201403,0.222732,0.260353,0.331618", \ - "0.186038,0.196719,0.204787,0.217697,0.239023,0.276643,0.347908", \ - "0.216842,0.227523,0.235530,0.248321,0.269647,0.307281,0.378552", \ - "0.259639,0.270388,0.278411,0.290984,0.312258,0.349912,0.421186", \ - "0.304638,0.315456,0.323575,0.336115,0.357526,0.395234,0.466509", \ - "0.346807,0.357989,0.366282,0.379107,0.400716,0.438506,0.509813"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.298832,0.317913,0.334514,0.366487,0.430548,0.558660,0.814464", \ - "0.304121,0.323202,0.339800,0.371824,0.435810,0.563941,0.819756", \ - "0.321157,0.340235,0.356835,0.388778,0.452852,0.580974,0.836781", \ - "0.350389,0.369454,0.385996,0.417954,0.482006,0.610088,0.865958", \ - "0.394994,0.414069,0.430679,0.462872,0.526851,0.654915,0.910728", \ - "0.456859,0.476019,0.492601,0.524642,0.588684,0.716732,0.972520", \ - "0.529999,0.549729,0.566447,0.598381,0.662212,0.790206,1.045997"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013613,0.017498,0.021178,0.028253,0.042366,0.071617,0.132925", \ - "0.013615,0.017497,0.021178,0.028253,0.042366,0.071618,0.132928", \ - "0.013614,0.017496,0.021178,0.028253,0.042368,0.071620,0.132928", \ - "0.013611,0.017496,0.021180,0.028253,0.042366,0.071617,0.132928", \ - "0.013837,0.017670,0.021324,0.028365,0.042438,0.071655,0.132937", \ - "0.014334,0.018102,0.021704,0.028676,0.042664,0.071784,0.132991", \ - "0.015174,0.018814,0.022330,0.029183,0.043023,0.071988,0.133053"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019419,0.029756,0.042824,0.072112,0.132912,0.255186,0.500048", \ - "0.019421,0.029756,0.042826,0.072110,0.132905,0.255187,0.500052", \ - "0.019416,0.029755,0.042825,0.072112,0.132910,0.255182,0.500047", \ - "0.019423,0.029757,0.042825,0.072109,0.132905,0.255176,0.500049", \ - "0.019421,0.029757,0.042825,0.072106,0.132915,0.255182,0.500051", \ - "0.019628,0.029897,0.042893,0.072132,0.132922,0.255186,0.500058", \ - "0.020764,0.030759,0.043369,0.072285,0.132965,0.255199,0.500062"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.155040,0.165676,0.173706,0.186577,0.207869,0.245477,0.316713", \ - "0.159597,0.170229,0.178260,0.191131,0.212425,0.250033,0.321268", \ - "0.175861,0.186495,0.194527,0.207400,0.228698,0.266301,0.337539", \ - "0.206267,0.216902,0.224877,0.237644,0.258934,0.296538,0.367788", \ - "0.246688,0.257406,0.265413,0.277950,0.299213,0.336851,0.408103", \ - "0.287748,0.298561,0.306667,0.319184,0.340563,0.378262,0.449529", \ - "0.325127,0.336360,0.344675,0.357490,0.379123,0.416919,0.488209"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.291915,0.310121,0.326518,0.358519,0.422671,0.550848,0.806691", \ - "0.297205,0.315401,0.331788,0.363821,0.427951,0.556097,0.811981", \ - "0.314220,0.332420,0.348821,0.380789,0.444965,0.573144,0.828995", \ - "0.343454,0.361648,0.377994,0.409967,0.474119,0.602255,0.858125", \ - "0.388064,0.406268,0.422665,0.454913,0.518960,0.647087,0.902945", \ - "0.449892,0.468164,0.484543,0.516601,0.580710,0.708814,0.964669", \ - "0.522567,0.541359,0.557785,0.589716,0.653657,0.781721,1.037562"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013458,0.017356,0.021051,0.028144,0.042283,0.071565,0.132901", \ - "0.013455,0.017356,0.021050,0.028143,0.042283,0.071565,0.132901", \ - "0.013456,0.017356,0.021050,0.028143,0.042284,0.071565,0.132903", \ - "0.013471,0.017367,0.021061,0.028152,0.042289,0.071567,0.132907", \ - "0.013750,0.017611,0.021270,0.028316,0.042398,0.071621,0.132922", \ - "0.014331,0.018088,0.021689,0.028658,0.042645,0.071761,0.132977", \ - "0.015283,0.018900,0.022400,0.029237,0.043056,0.071997,0.133047"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017889,0.028510,0.042102,0.071806,0.132753,0.255084,0.500004", \ - "0.017885,0.028508,0.042100,0.071801,0.132740,0.255081,0.500006", \ - "0.017889,0.028510,0.042102,0.071800,0.132745,0.255082,0.500008", \ - "0.017889,0.028509,0.042100,0.071803,0.132753,0.255082,0.500018", \ - "0.017890,0.028512,0.042100,0.071801,0.132739,0.255088,0.500007", \ - "0.018127,0.028648,0.042164,0.071822,0.132754,0.255077,0.500014", \ - "0.019211,0.029351,0.042512,0.071928,0.132800,0.255096,0.500009"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.135556,0.145993,0.153907,0.166639,0.187816,0.225317,0.296513", \ - "0.140526,0.150960,0.158874,0.171607,0.192776,0.230283,0.301486", \ - "0.157498,0.167929,0.175845,0.188581,0.209749,0.247251,0.318454", \ - "0.188319,0.198765,0.206648,0.219292,0.240452,0.277945,0.349155", \ - "0.227075,0.237576,0.245458,0.257844,0.279071,0.316642,0.387844", \ - "0.265829,0.276442,0.284422,0.296733,0.317994,0.355590,0.426829", \ - "0.300908,0.311873,0.320031,0.332669,0.354087,0.391794,0.463042"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.291717,0.309913,0.326309,0.358308,0.422454,0.550643,0.806485", \ - "0.296568,0.314764,0.331150,0.363161,0.427316,0.555488,0.811334", \ - "0.313613,0.331817,0.348228,0.380183,0.444362,0.572540,0.828391", \ - "0.343126,0.361325,0.377649,0.409623,0.473772,0.601907,0.857778", \ - "0.387910,0.406109,0.422514,0.454729,0.518843,0.646963,0.902832", \ - "0.449852,0.468122,0.484502,0.516572,0.580669,0.708780,0.964635", \ - "0.522700,0.541481,0.557908,0.589781,0.653780,0.781858,1.037705"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012882,0.016848,0.020592,0.027758,0.041992,0.071383,0.132831", \ - "0.012881,0.016849,0.020592,0.027758,0.041991,0.071381,0.132831", \ - "0.012881,0.016848,0.020592,0.027758,0.041991,0.071383,0.132834", \ - "0.012909,0.016872,0.020612,0.027770,0.042000,0.071386,0.132839", \ - "0.013209,0.017116,0.020825,0.027941,0.042115,0.071445,0.132851", \ - "0.013752,0.017567,0.021212,0.028250,0.042328,0.071560,0.132893", \ - "0.014651,0.018324,0.021872,0.028779,0.042701,0.071767,0.132947"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017889,0.028511,0.042102,0.071807,0.132738,0.255084,0.500004", \ - "0.017889,0.028510,0.042102,0.071803,0.132752,0.255082,0.500003", \ - "0.017888,0.028509,0.042103,0.071808,0.132737,0.255081,0.500007", \ - "0.017889,0.028509,0.042102,0.071806,0.132746,0.255082,0.500018", \ - "0.017888,0.028511,0.042103,0.071802,0.132757,0.255087,0.500018", \ - "0.018128,0.028649,0.042162,0.071821,0.132750,0.255077,0.500009", \ - "0.019206,0.029350,0.042513,0.071926,0.132787,0.255104,0.500008"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.184560,0.195336,0.203466,0.216454,0.237851,0.275536,0.346812", \ - "0.190367,0.201148,0.209279,0.222267,0.243665,0.281344,0.352623", \ - "0.205498,0.216272,0.224417,0.237403,0.258800,0.296470,0.367762", \ - "0.229103,0.239877,0.247968,0.260894,0.282290,0.319975,0.391282", \ - "0.261159,0.271997,0.280172,0.292976,0.314330,0.352042,0.423314", \ - "0.296866,0.307785,0.315971,0.328834,0.350369,0.388129,0.459443", \ - "0.333324,0.344434,0.352710,0.365488,0.387074,0.424908,0.496260"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.316029,0.336187,0.353111,0.385072,0.449034,0.577004,0.832793", \ - "0.318282,0.338442,0.355358,0.387404,0.451247,0.579278,0.835067", \ - "0.331604,0.351752,0.368680,0.400709,0.464644,0.592588,0.848417", \ - "0.362065,0.382221,0.399086,0.430857,0.494797,0.622752,0.878518", \ - "0.413469,0.433617,0.450523,0.482372,0.546255,0.674247,0.929974", \ - "0.488514,0.508697,0.525603,0.557468,0.621391,0.749388,1.005164", \ - "0.580712,0.601615,0.618706,0.650616,0.714310,0.842200,1.097957"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013903,0.017763,0.021425,0.028469,0.042534,0.071728,0.132972", \ - "0.013901,0.017763,0.021424,0.028467,0.042535,0.071730,0.132972", \ - "0.013904,0.017763,0.021425,0.028467,0.042535,0.071730,0.132977", \ - "0.013897,0.017758,0.021421,0.028464,0.042533,0.071728,0.132972", \ - "0.014063,0.017881,0.021525,0.028541,0.042582,0.071751,0.132982", \ - "0.014437,0.018224,0.021833,0.028804,0.042780,0.071868,0.133030", \ - "0.015005,0.018722,0.022280,0.029180,0.043060,0.072040,0.133094"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021226,0.031425,0.043874,0.072556,0.133109,0.255299,0.500110", \ - "0.021225,0.031427,0.043876,0.072559,0.133106,0.255310,0.500119", \ - "0.021222,0.031425,0.043876,0.072561,0.133109,0.255302,0.500110", \ - "0.021230,0.031428,0.043875,0.072555,0.133116,0.255298,0.500115", \ - "0.021223,0.031427,0.043876,0.072551,0.133120,0.255302,0.500118", \ - "0.021291,0.031473,0.043901,0.072565,0.133120,0.255296,0.500107", \ - "0.022731,0.032658,0.044600,0.072797,0.133177,0.255334,0.500112"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.172589,0.183303,0.191399,0.204335,0.225696,0.263348,0.334630", \ - "0.178376,0.189089,0.197176,0.210121,0.231477,0.269127,0.340413", \ - "0.193410,0.204132,0.212224,0.225168,0.246528,0.284165,0.355455", \ - "0.216787,0.227507,0.235576,0.248465,0.269820,0.307495,0.378762", \ - "0.247729,0.258520,0.266638,0.279363,0.300692,0.338365,0.409640", \ - "0.281350,0.292231,0.300375,0.313151,0.334636,0.372373,0.443691", \ - "0.314767,0.325883,0.334139,0.346886,0.368476,0.406288,0.477617"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.309283,0.328537,0.345164,0.377171,0.441156,0.569205,0.825036", \ - "0.311556,0.330811,0.347431,0.379457,0.443423,0.571466,0.827283", \ - "0.324867,0.344121,0.360733,0.392734,0.456699,0.584764,0.840619", \ - "0.355304,0.374565,0.391121,0.422902,0.486936,0.614951,0.870760", \ - "0.406751,0.426012,0.442614,0.474454,0.538431,0.666437,0.922250", \ - "0.481860,0.501165,0.517765,0.549603,0.613606,0.741658,0.997486", \ - "0.573448,0.593424,0.610153,0.641943,0.705748,0.833728,1.089530"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013718,0.017598,0.021276,0.028340,0.042437,0.071667,0.132949", \ - "0.013719,0.017598,0.021276,0.028339,0.042438,0.071666,0.132942", \ - "0.013719,0.017600,0.021276,0.028339,0.042439,0.071665,0.132944", \ - "0.013716,0.017598,0.021275,0.028341,0.042437,0.071666,0.132941", \ - "0.013928,0.017780,0.021431,0.028460,0.042516,0.071702,0.132952", \ - "0.014347,0.018141,0.021755,0.028735,0.042723,0.071829,0.133013", \ - "0.014992,0.018701,0.022256,0.029155,0.043034,0.072023,0.133077"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019790,0.030041,0.042980,0.072162,0.132924,0.255201,0.500059", \ - "0.019794,0.030040,0.042981,0.072163,0.132940,0.255199,0.500052", \ - "0.019793,0.030041,0.042984,0.072167,0.132924,0.255192,0.500051", \ - "0.019795,0.030042,0.042981,0.072162,0.132929,0.255192,0.500050", \ - "0.019793,0.030043,0.042981,0.072164,0.132926,0.255193,0.500054", \ - "0.019871,0.030087,0.043005,0.072168,0.132928,0.255200,0.500055", \ - "0.021307,0.031167,0.043586,0.072354,0.132992,0.255215,0.500071"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.155368,0.165924,0.173921,0.186743,0.207993,0.245556,0.316805", \ - "0.161166,0.171719,0.179707,0.192535,0.213785,0.251351,0.322602", \ - "0.177486,0.188040,0.196033,0.208863,0.230111,0.267682,0.338924", \ - "0.203555,0.214117,0.222080,0.234853,0.256109,0.293674,0.364908", \ - "0.237482,0.248101,0.256112,0.268751,0.289992,0.327583,0.398856", \ - "0.273732,0.284477,0.292531,0.305122,0.326526,0.364200,0.435440", \ - "0.308931,0.319898,0.328092,0.340733,0.362206,0.399953,0.471255"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.315969,0.335259,0.351899,0.383808,0.447786,0.575920,0.831712", \ - "0.318332,0.337623,0.354246,0.386286,0.450176,0.578290,0.834102", \ - "0.331499,0.350787,0.367408,0.399379,0.463357,0.591425,0.847280", \ - "0.361880,0.381176,0.397753,0.429561,0.493534,0.621549,0.877368", \ - "0.413306,0.432603,0.449216,0.481020,0.544977,0.673012,0.928818", \ - "0.488521,0.507830,0.524431,0.556260,0.620278,0.748313,1.004145", \ - "0.581262,0.601212,0.617936,0.649725,0.713562,0.841494,1.097316"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013251,0.017178,0.020893,0.028015,0.042187,0.071507,0.132877", \ - "0.013250,0.017179,0.020893,0.028015,0.042187,0.071505,0.132884", \ - "0.013248,0.017179,0.020893,0.028014,0.042186,0.071507,0.132884", \ - "0.013253,0.017181,0.020896,0.028016,0.042187,0.071505,0.132882", \ - "0.013495,0.017389,0.021075,0.028156,0.042280,0.071548,0.132890", \ - "0.013947,0.017774,0.021416,0.028437,0.042486,0.071675,0.132935", \ - "0.014664,0.018390,0.021960,0.028891,0.042818,0.071868,0.133006"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019872,0.030096,0.043012,0.072173,0.132929,0.255192,0.500052", \ - "0.019864,0.030092,0.043010,0.072170,0.132936,0.255193,0.500054", \ - "0.019864,0.030095,0.043011,0.072175,0.132941,0.255188,0.500052", \ - "0.019864,0.030094,0.043010,0.072171,0.132939,0.255190,0.500051", \ - "0.019869,0.030097,0.043013,0.072175,0.132939,0.255202,0.500051", \ - "0.019923,0.030130,0.043027,0.072182,0.132930,0.255201,0.500061", \ - "0.021251,0.031121,0.043557,0.072339,0.132981,0.255221,0.500058"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.172589,0.183303,0.191399,0.204335,0.225696,0.263348,0.334630", \ - "0.178376,0.189089,0.197176,0.210121,0.231477,0.269127,0.340413", \ - "0.193410,0.204132,0.212224,0.225168,0.246528,0.284165,0.355455", \ - "0.216787,0.227507,0.235576,0.248465,0.269820,0.307495,0.378762", \ - "0.247729,0.258520,0.266638,0.279363,0.300692,0.338365,0.409640", \ - "0.281350,0.292231,0.300375,0.313151,0.334636,0.372373,0.443691", \ - "0.314767,0.325883,0.334139,0.346886,0.368476,0.406288,0.477617"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.309283,0.328537,0.345164,0.377171,0.441156,0.569205,0.825036", \ - "0.311556,0.330811,0.347431,0.379457,0.443423,0.571466,0.827283", \ - "0.324867,0.344121,0.360733,0.392734,0.456699,0.584764,0.840619", \ - "0.355304,0.374565,0.391121,0.422902,0.486936,0.614951,0.870760", \ - "0.406751,0.426012,0.442614,0.474454,0.538431,0.666437,0.922250", \ - "0.481860,0.501165,0.517765,0.549603,0.613606,0.741658,0.997486", \ - "0.573448,0.593424,0.610153,0.641943,0.705748,0.833728,1.089530"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013718,0.017598,0.021276,0.028340,0.042437,0.071667,0.132949", \ - "0.013719,0.017598,0.021276,0.028339,0.042438,0.071666,0.132942", \ - "0.013719,0.017600,0.021276,0.028339,0.042439,0.071665,0.132944", \ - "0.013716,0.017598,0.021275,0.028341,0.042437,0.071666,0.132941", \ - "0.013928,0.017780,0.021431,0.028460,0.042516,0.071702,0.132952", \ - "0.014347,0.018141,0.021755,0.028735,0.042723,0.071829,0.133013", \ - "0.014992,0.018701,0.022256,0.029155,0.043034,0.072023,0.133077"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019790,0.030041,0.042980,0.072162,0.132924,0.255201,0.500059", \ - "0.019794,0.030040,0.042981,0.072163,0.132940,0.255199,0.500052", \ - "0.019793,0.030041,0.042984,0.072167,0.132924,0.255192,0.500051", \ - "0.019795,0.030042,0.042981,0.072162,0.132929,0.255192,0.500050", \ - "0.019793,0.030043,0.042981,0.072164,0.132926,0.255193,0.500054", \ - "0.019871,0.030087,0.043005,0.072168,0.132928,0.255200,0.500055", \ - "0.021307,0.031167,0.043586,0.072354,0.132992,0.255215,0.500071"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.160848,0.171503,0.179555,0.192447,0.213761,0.251370,0.322635", \ - "0.166593,0.177249,0.185296,0.198192,0.219507,0.257113,0.328381", \ - "0.181508,0.192158,0.200211,0.213101,0.234418,0.272040,0.343305", \ - "0.204521,0.215200,0.223226,0.236064,0.257378,0.294984,0.366235", \ - "0.234014,0.244742,0.252848,0.265559,0.286847,0.324506,0.395775", \ - "0.265163,0.276026,0.284147,0.296875,0.318342,0.356063,0.427359", \ - "0.295011,0.306148,0.314400,0.327139,0.348701,0.386514,0.457834"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.302251,0.320607,0.337014,0.369034,0.433088,0.561223,0.817092", \ - "0.304501,0.322861,0.339266,0.371278,0.435357,0.563486,0.819355", \ - "0.317805,0.336165,0.352565,0.384565,0.448654,0.576787,0.832688", \ - "0.348276,0.366638,0.382965,0.414712,0.478878,0.606998,0.862840", \ - "0.399754,0.418115,0.434486,0.466317,0.530395,0.658506,0.914357", \ - "0.474964,0.493352,0.509736,0.541599,0.605634,0.733800,0.989660", \ - "0.565849,0.584874,0.601303,0.633020,0.696958,0.825039,1.080889"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013521,0.017422,0.021114,0.028202,0.042332,0.071597,0.132909", \ - "0.013522,0.017422,0.021115,0.028202,0.042333,0.071598,0.132910", \ - "0.013522,0.017422,0.021115,0.028203,0.042333,0.071598,0.132914", \ - "0.013536,0.017434,0.021125,0.028210,0.042337,0.071600,0.132911", \ - "0.013798,0.017662,0.021327,0.028376,0.042452,0.071659,0.132929", \ - "0.014272,0.018069,0.021688,0.028674,0.042675,0.071796,0.132990", \ - "0.015013,0.018716,0.022267,0.029158,0.043031,0.072013,0.133069"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018244,0.028735,0.042214,0.071845,0.132759,0.255094,0.500023", \ - "0.018242,0.028735,0.042214,0.071838,0.132769,0.255097,0.500025", \ - "0.018244,0.028735,0.042215,0.071843,0.132771,0.255093,0.500024", \ - "0.018248,0.028733,0.042212,0.071838,0.132777,0.255085,0.500024", \ - "0.018246,0.028737,0.042215,0.071838,0.132756,0.255094,0.500023", \ - "0.018343,0.028784,0.042234,0.071848,0.132763,0.255086,0.500024", \ - "0.019753,0.029699,0.042676,0.071973,0.132817,0.255111,0.500018"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.145837,0.156333,0.164295,0.177081,0.198300,0.235836,0.307063", \ - "0.151594,0.162093,0.170050,0.182841,0.204051,0.241598,0.312823", \ - "0.167806,0.178309,0.186271,0.199055,0.220272,0.257812,0.329041", \ - "0.193451,0.203971,0.211911,0.224654,0.245858,0.283394,0.354608", \ - "0.225648,0.236222,0.244224,0.256836,0.278062,0.315648,0.386906", \ - "0.259128,0.269842,0.277879,0.290426,0.311828,0.349483,0.420732", \ - "0.290341,0.301350,0.309544,0.322167,0.343665,0.381417,0.452699"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.308932,0.327329,0.343724,0.375679,0.439766,0.567957,0.823789", \ - "0.311312,0.329692,0.346083,0.378102,0.442144,0.570287,0.826161", \ - "0.324456,0.342847,0.359235,0.391205,0.455311,0.583452,0.839346", \ - "0.354869,0.373250,0.389588,0.421387,0.485466,0.613586,0.869446", \ - "0.406322,0.424702,0.441081,0.472877,0.536976,0.665060,0.920931", \ - "0.481620,0.500022,0.516399,0.548243,0.612320,0.740438,0.996312", \ - "0.573735,0.592729,0.609154,0.640883,0.704816,0.832898,1.088747"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013080,0.017030,0.020758,0.027899,0.042100,0.071450,0.132850", \ - "0.013083,0.017030,0.020758,0.027899,0.042100,0.071450,0.132853", \ - "0.013082,0.017029,0.020758,0.027900,0.042101,0.071450,0.132858", \ - "0.013105,0.017049,0.020775,0.027909,0.042108,0.071454,0.132858", \ - "0.013401,0.017298,0.020991,0.028088,0.042232,0.071517,0.132875", \ - "0.013914,0.017734,0.021376,0.028401,0.042456,0.071648,0.132921", \ - "0.014740,0.018447,0.022008,0.028925,0.042835,0.071874,0.132998"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018319,0.028781,0.042236,0.071851,0.132761,0.255096,0.500026", \ - "0.018317,0.028781,0.042237,0.071852,0.132763,0.255085,0.500023", \ - "0.018317,0.028780,0.042233,0.071849,0.132769,0.255094,0.500026", \ - "0.018317,0.028782,0.042237,0.071850,0.132775,0.255099,0.500026", \ - "0.018321,0.028780,0.042235,0.071851,0.132762,0.255095,0.500015", \ - "0.018383,0.028816,0.042249,0.071851,0.132776,0.255097,0.500023", \ - "0.019706,0.029663,0.042657,0.071970,0.132815,0.255113,0.500012"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.161041,0.171676,0.179706,0.192579,0.213872,0.251481,0.322716", \ - "0.166801,0.177435,0.185466,0.198339,0.219630,0.257241,0.328478", \ - "0.182066,0.192702,0.200735,0.213607,0.234893,0.272510,0.343748", \ - "0.206806,0.217440,0.225444,0.238276,0.259571,0.297163,0.368406", \ - "0.239884,0.250580,0.258646,0.271324,0.292596,0.330224,0.401497", \ - "0.275655,0.286480,0.294579,0.307262,0.328701,0.366404,0.437677", \ - "0.310713,0.321779,0.330010,0.342713,0.364237,0.402014,0.473323"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.319823,0.339139,0.355762,0.387795,0.451712,0.579798,0.835622", \ - "0.322126,0.341444,0.358097,0.390001,0.454003,0.582075,0.837904", \ - "0.335458,0.354770,0.371394,0.403391,0.467340,0.595416,0.851246", \ - "0.365932,0.385250,0.401811,0.433564,0.497577,0.625598,0.881413", \ - "0.417373,0.436690,0.453286,0.485054,0.549041,0.677102,0.932888", \ - "0.492487,0.511822,0.528420,0.560246,0.624231,0.752295,1.008116", \ - "0.585538,0.605511,0.622222,0.653990,0.717843,0.845798,1.101612"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013456,0.017357,0.021051,0.028144,0.042283,0.071562,0.132902", \ - "0.013458,0.017356,0.021051,0.028144,0.042283,0.071566,0.132905", \ - "0.013456,0.017354,0.021050,0.028144,0.042282,0.071565,0.132904", \ - "0.013458,0.017358,0.021053,0.028145,0.042283,0.071565,0.132899", \ - "0.013698,0.017566,0.021235,0.028286,0.042378,0.071613,0.132913", \ - "0.014167,0.017966,0.021587,0.028583,0.042598,0.071744,0.132970", \ - "0.014888,0.018589,0.022142,0.029044,0.042936,0.071948,0.133042"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019923,0.030134,0.043030,0.072177,0.132932,0.255195,0.500063", \ - "0.019923,0.030133,0.043030,0.072179,0.132926,0.255205,0.500064", \ - "0.019921,0.030133,0.043030,0.072178,0.132935,0.255194,0.500066", \ - "0.019920,0.030131,0.043031,0.072179,0.132934,0.255193,0.500056", \ - "0.019927,0.030134,0.043030,0.072177,0.132934,0.255205,0.500057", \ - "0.019984,0.030173,0.043054,0.072188,0.132945,0.255202,0.500066", \ - "0.021308,0.031145,0.043573,0.072351,0.132991,0.255216,0.500073"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.151135,0.161714,0.169709,0.182539,0.203794,0.241372,0.312594", \ - "0.156861,0.167440,0.175438,0.188268,0.209523,0.247093,0.318319", \ - "0.172004,0.182589,0.190585,0.203415,0.224669,0.262243,0.333473", \ - "0.196329,0.206932,0.214918,0.227699,0.248952,0.286512,0.357737", \ - "0.227754,0.238421,0.246452,0.259126,0.280372,0.317981,0.389230", \ - "0.260842,0.271643,0.279738,0.292393,0.313829,0.351504,0.422785", \ - "0.292020,0.303119,0.311364,0.324053,0.345583,0.383363,0.454679"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.312876,0.331286,0.347682,0.379683,0.443711,0.571872,0.827751", \ - "0.315182,0.333597,0.349979,0.381992,0.446022,0.574177,0.830051", \ - "0.328491,0.346907,0.363318,0.395249,0.459414,0.587525,0.843376", \ - "0.358980,0.377397,0.393727,0.425476,0.489578,0.617705,0.873571", \ - "0.410446,0.428861,0.445234,0.477023,0.541096,0.669203,0.925064", \ - "0.485646,0.504075,0.520454,0.552304,0.616364,0.744488,1.000355", \ - "0.578116,0.597138,0.613568,0.645311,0.709217,0.837272,1.093152"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013281,0.017202,0.020912,0.028026,0.042194,0.071507,0.132876", \ - "0.013280,0.017204,0.020912,0.028025,0.042193,0.071507,0.132880", \ - "0.013285,0.017201,0.020913,0.028026,0.042193,0.071508,0.132880", \ - "0.013306,0.017220,0.020926,0.028037,0.042201,0.071511,0.132879", \ - "0.013605,0.017476,0.021150,0.028219,0.042327,0.071576,0.132892", \ - "0.014128,0.017925,0.021549,0.028546,0.042564,0.071718,0.132953", \ - "0.014957,0.018644,0.022187,0.029076,0.042955,0.071954,0.133034"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018383,0.028819,0.042256,0.071858,0.132780,0.255084,0.500019", \ - "0.018383,0.028820,0.042253,0.071854,0.132762,0.255089,0.500020", \ - "0.018384,0.028819,0.042257,0.071855,0.132774,0.255098,0.500026", \ - "0.018382,0.028820,0.042253,0.071859,0.132769,0.255099,0.500020", \ - "0.018388,0.028821,0.042254,0.071856,0.132767,0.255096,0.500023", \ - "0.018455,0.028863,0.042272,0.071863,0.132765,0.255100,0.500017", \ - "0.019766,0.029701,0.042676,0.071978,0.132818,0.255112,0.500020"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.135600,0.146018,0.153925,0.166649,0.187804,0.225304,0.296497", \ - "0.141339,0.151758,0.159664,0.172389,0.193546,0.231043,0.302238", \ - "0.157905,0.168325,0.176231,0.188956,0.210114,0.247607,0.318808", \ - "0.185334,0.195769,0.203653,0.216353,0.237509,0.274999,0.346195", \ - "0.219661,0.230174,0.238115,0.250682,0.271844,0.309400,0.380608", \ - "0.254873,0.265537,0.273534,0.286089,0.307431,0.345035,0.416282", \ - "0.286959,0.297957,0.306135,0.318739,0.340214,0.377934,0.449202"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.320050,0.338493,0.354886,0.386884,0.450949,0.579068,0.834944", \ - "0.322477,0.340917,0.357307,0.389302,0.453342,0.581490,0.837363", \ - "0.335635,0.354079,0.370474,0.402417,0.466565,0.594694,0.850539", \ - "0.366065,0.384502,0.400831,0.432576,0.496681,0.624802,0.880662", \ - "0.417522,0.435958,0.452330,0.484115,0.548173,0.676283,0.932136", \ - "0.492774,0.511227,0.527612,0.559453,0.623501,0.751634,1.007496", \ - "0.586403,0.605401,0.621827,0.653534,0.717487,0.845568,1.101420"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012842,0.016810,0.020556,0.027725,0.041966,0.071364,0.132821", \ - "0.012842,0.016809,0.020556,0.027725,0.041965,0.071366,0.132821", \ - "0.012845,0.016809,0.020557,0.027725,0.041966,0.071364,0.132827", \ - "0.012879,0.016839,0.020581,0.027741,0.041975,0.071370,0.132821", \ - "0.013214,0.017117,0.020820,0.027935,0.042112,0.071437,0.132836", \ - "0.013786,0.017603,0.021249,0.028281,0.042354,0.071574,0.132889", \ - "0.014722,0.018405,0.021954,0.028862,0.042771,0.071820,0.132967"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018459,0.028867,0.042279,0.071863,0.132782,0.255098,0.500027", \ - "0.018457,0.028866,0.042279,0.071866,0.132785,0.255103,0.500018", \ - "0.018458,0.028867,0.042278,0.071864,0.132784,0.255093,0.500020", \ - "0.018458,0.028865,0.042279,0.071861,0.132777,0.255102,0.500026", \ - "0.018459,0.028868,0.042279,0.071862,0.132776,0.255100,0.500026", \ - "0.018513,0.028896,0.042291,0.071867,0.132778,0.255099,0.500020", \ - "0.019725,0.029667,0.042661,0.071972,0.132805,0.255105,0.500029"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.196206,0.207024,0.215200,0.228221,0.249661,0.287371,0.358658", \ - "0.201216,0.212062,0.220236,0.233260,0.254697,0.292411,0.363694", \ - "0.216038,0.226874,0.235039,0.248069,0.269506,0.307227,0.378502", \ - "0.239845,0.250676,0.258810,0.271773,0.293206,0.330931,0.402224", \ - "0.272767,0.283635,0.291849,0.304754,0.326171,0.363895,0.435185", \ - "0.310335,0.321274,0.329491,0.342520,0.363991,0.401767,0.473073", \ - "0.349204,0.360352,0.368652,0.381483,0.403087,0.440933,0.512303"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.329373,0.349531,0.366448,0.398447,0.462380,0.590377,0.846144", \ - "0.333756,0.353907,0.370827,0.402866,0.466753,0.594746,0.850533", \ - "0.351549,0.371702,0.388610,0.420633,0.484535,0.612505,0.868313", \ - "0.381488,0.401639,0.418536,0.450438,0.514378,0.642388,0.898155", \ - "0.426341,0.446500,0.463424,0.495487,0.559517,0.687435,0.943222", \ - "0.488864,0.509057,0.525969,0.558089,0.622042,0.749989,1.005778", \ - "0.565639,0.586303,0.603359,0.635448,0.699160,0.827063,1.082806"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.014076,0.017920,0.021567,0.028590,0.042629,0.071791,0.133004", \ - "0.014076,0.017919,0.021567,0.028589,0.042629,0.071788,0.133004", \ - "0.014073,0.017918,0.021566,0.028589,0.042628,0.071792,0.133005", \ - "0.014070,0.017916,0.021564,0.028588,0.042627,0.071789,0.133003", \ - "0.014177,0.018003,0.021637,0.028643,0.042665,0.071809,0.133011", \ - "0.014539,0.018317,0.021920,0.028882,0.042837,0.071912,0.133056", \ - "0.015054,0.018770,0.022328,0.029223,0.043093,0.072068,0.133110"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021224,0.031427,0.043877,0.072560,0.133112,0.255296,0.500120", \ - "0.021223,0.031428,0.043877,0.072558,0.133108,0.255306,0.500119", \ - "0.021225,0.031426,0.043875,0.072561,0.133110,0.255303,0.500105", \ - "0.021223,0.031427,0.043876,0.072556,0.133112,0.255309,0.500109", \ - "0.021224,0.031429,0.043874,0.072553,0.133106,0.255297,0.500106", \ - "0.021287,0.031473,0.043903,0.072563,0.133118,0.255304,0.500119", \ - "0.022241,0.032276,0.044378,0.072734,0.133159,0.255317,0.500118"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.184060,0.194847,0.202968,0.215952,0.237358,0.275043,0.346325", \ - "0.189095,0.199872,0.208005,0.220990,0.242396,0.280068,0.351361", \ - "0.203859,0.214636,0.222765,0.235754,0.257151,0.294834,0.366112", \ - "0.227591,0.238371,0.246434,0.259324,0.280719,0.318402,0.389703", \ - "0.259649,0.270465,0.278650,0.291452,0.312837,0.350551,0.421845", \ - "0.295318,0.306241,0.314425,0.327337,0.348788,0.386545,0.457863", \ - "0.331544,0.342667,0.350949,0.363744,0.385300,0.423132,0.494483"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.322643,0.341905,0.358516,0.390523,0.454484,0.582556,0.838374", \ - "0.327022,0.346271,0.362894,0.394868,0.458837,0.586912,0.842749", \ - "0.344789,0.364043,0.380680,0.412666,0.476613,0.604700,0.860523", \ - "0.374750,0.394000,0.410561,0.442423,0.506462,0.634524,0.890353", \ - "0.419591,0.438855,0.455498,0.487665,0.551542,0.679573,0.935384", \ - "0.482134,0.501433,0.518050,0.550125,0.614125,0.742191,0.998001", \ - "0.558502,0.578260,0.594972,0.626937,0.690756,0.818745,1.074545"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013903,0.017763,0.021425,0.028468,0.042536,0.071728,0.132974", \ - "0.013903,0.017765,0.021426,0.028468,0.042536,0.071727,0.132972", \ - "0.013904,0.017764,0.021425,0.028469,0.042535,0.071729,0.132972", \ - "0.013902,0.017763,0.021425,0.028468,0.042536,0.071730,0.132975", \ - "0.014068,0.017891,0.021535,0.028554,0.042594,0.071759,0.132988", \ - "0.014442,0.018231,0.021838,0.028807,0.042782,0.071870,0.133027", \ - "0.015010,0.018727,0.022283,0.029181,0.043059,0.072042,0.133091"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019795,0.030039,0.042981,0.072165,0.132925,0.255198,0.500052", \ - "0.019798,0.030040,0.042982,0.072165,0.132938,0.255200,0.500057", \ - "0.019791,0.030042,0.042983,0.072167,0.132928,0.255201,0.500057", \ - "0.019796,0.030039,0.042982,0.072163,0.132925,0.255200,0.500062", \ - "0.019796,0.030043,0.042980,0.072159,0.132922,0.255188,0.500056", \ - "0.019868,0.030088,0.043007,0.072168,0.132925,0.255202,0.500053", \ - "0.020814,0.030812,0.043399,0.072299,0.132976,0.255213,0.500058"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.164714,0.175330,0.183350,0.196215,0.217499,0.255106,0.326352", \ - "0.169893,0.180507,0.188525,0.201390,0.222678,0.260267,0.331528", \ - "0.186012,0.196621,0.204645,0.217507,0.238790,0.276384,0.347643", \ - "0.212400,0.223012,0.230985,0.243816,0.265098,0.302714,0.373957", \ - "0.247652,0.258316,0.266368,0.279098,0.300359,0.337991,0.409249", \ - "0.286220,0.296982,0.305051,0.317688,0.339078,0.376754,0.448029", \ - "0.324560,0.335516,0.343705,0.356348,0.377842,0.415601,0.486908"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.329323,0.348611,0.365234,0.397197,0.461153,0.589244,0.845080", \ - "0.333834,0.353122,0.369745,0.401684,0.465692,0.593763,0.849590", \ - "0.351497,0.370785,0.387405,0.419352,0.483344,0.611416,0.867246", \ - "0.381339,0.400628,0.417181,0.449123,0.513121,0.641168,0.896976", \ - "0.426164,0.445454,0.462117,0.494255,0.558133,0.686124,0.941950", \ - "0.488836,0.508150,0.524773,0.556834,0.620847,0.748898,1.004720", \ - "0.566000,0.585762,0.602483,0.634404,0.698273,0.826251,1.082049"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013406,0.017317,0.021020,0.028120,0.042268,0.071557,0.132904", \ - "0.013406,0.017318,0.021020,0.028121,0.042268,0.071559,0.132903", \ - "0.013408,0.017317,0.021019,0.028121,0.042269,0.071557,0.132903", \ - "0.013409,0.017319,0.021021,0.028122,0.042269,0.071559,0.132908", \ - "0.013595,0.017480,0.021157,0.028226,0.042339,0.071593,0.132911", \ - "0.014013,0.017834,0.021473,0.028488,0.042528,0.071701,0.132959", \ - "0.014641,0.018378,0.021956,0.028891,0.042822,0.071879,0.133012"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019864,0.030095,0.043010,0.072177,0.132943,0.255195,0.500057", \ - "0.019865,0.030095,0.043010,0.072171,0.132925,0.255192,0.500054", \ - "0.019864,0.030095,0.043010,0.072174,0.132934,0.255192,0.500053", \ - "0.019865,0.030096,0.043011,0.072172,0.132942,0.255188,0.500051", \ - "0.019863,0.030094,0.043009,0.072172,0.132927,0.255196,0.500054", \ - "0.019919,0.030129,0.043029,0.072178,0.132933,0.255202,0.500054", \ - "0.020820,0.030811,0.043396,0.072301,0.132968,0.255214,0.500064"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.184060,0.194847,0.202968,0.215952,0.237358,0.275043,0.346325", \ - "0.189095,0.199872,0.208005,0.220990,0.242396,0.280068,0.351361", \ - "0.203859,0.214636,0.222765,0.235754,0.257151,0.294834,0.366112", \ - "0.227591,0.238371,0.246434,0.259324,0.280719,0.318402,0.389703", \ - "0.259649,0.270465,0.278650,0.291452,0.312837,0.350551,0.421845", \ - "0.295318,0.306241,0.314425,0.327337,0.348788,0.386545,0.457863", \ - "0.331544,0.342667,0.350949,0.363744,0.385300,0.423132,0.494483"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.322643,0.341905,0.358516,0.390523,0.454484,0.582556,0.838374", \ - "0.327022,0.346271,0.362894,0.394868,0.458837,0.586912,0.842749", \ - "0.344789,0.364043,0.380680,0.412666,0.476613,0.604700,0.860523", \ - "0.374750,0.394000,0.410561,0.442423,0.506462,0.634524,0.890353", \ - "0.419591,0.438855,0.455498,0.487665,0.551542,0.679573,0.935384", \ - "0.482134,0.501433,0.518050,0.550125,0.614125,0.742191,0.998001", \ - "0.558502,0.578260,0.594972,0.626937,0.690756,0.818745,1.074545"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013903,0.017763,0.021425,0.028468,0.042536,0.071728,0.132974", \ - "0.013903,0.017765,0.021426,0.028468,0.042536,0.071727,0.132972", \ - "0.013904,0.017764,0.021425,0.028469,0.042535,0.071729,0.132972", \ - "0.013902,0.017763,0.021425,0.028468,0.042536,0.071730,0.132975", \ - "0.014068,0.017891,0.021535,0.028554,0.042594,0.071759,0.132988", \ - "0.014442,0.018231,0.021838,0.028807,0.042782,0.071870,0.133027", \ - "0.015010,0.018727,0.022283,0.029181,0.043059,0.072042,0.133091"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019795,0.030039,0.042981,0.072165,0.132925,0.255198,0.500052", \ - "0.019798,0.030040,0.042982,0.072165,0.132938,0.255200,0.500057", \ - "0.019791,0.030042,0.042983,0.072167,0.132928,0.255201,0.500057", \ - "0.019796,0.030039,0.042982,0.072163,0.132925,0.255200,0.500062", \ - "0.019796,0.030043,0.042980,0.072159,0.132922,0.255188,0.500056", \ - "0.019868,0.030088,0.043007,0.072168,0.132925,0.255202,0.500053", \ - "0.020814,0.030812,0.043399,0.072299,0.132976,0.255213,0.500058"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.172115,0.182823,0.190913,0.203855,0.225213,0.262852,0.334143", \ - "0.177125,0.187858,0.195940,0.208886,0.230243,0.267886,0.339174", \ - "0.191858,0.202581,0.210676,0.223619,0.244977,0.282620,0.353907", \ - "0.215405,0.226116,0.234145,0.246993,0.268350,0.306004,0.377290", \ - "0.246299,0.257083,0.265210,0.277947,0.299297,0.336988,0.408271", \ - "0.279874,0.290757,0.298897,0.311698,0.333130,0.370871,0.442172", \ - "0.312977,0.324079,0.332352,0.345108,0.366671,0.404492,0.475816"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.315622,0.333980,0.350361,0.382360,0.446449,0.574578,0.830486", \ - "0.319995,0.338345,0.354730,0.386701,0.450774,0.578940,0.834806", \ - "0.337748,0.356108,0.372510,0.404500,0.468554,0.596706,0.852583", \ - "0.367718,0.386069,0.402399,0.434276,0.498417,0.626538,0.882407", \ - "0.412557,0.430905,0.447327,0.479499,0.543478,0.671585,0.927448", \ - "0.475130,0.493527,0.509921,0.542010,0.606075,0.734218,0.990081", \ - "0.551077,0.569894,0.586329,0.618212,0.682191,0.810258,1.066114"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013721,0.017601,0.021276,0.028341,0.042440,0.071668,0.132948", \ - "0.013718,0.017599,0.021276,0.028340,0.042439,0.071666,0.132943", \ - "0.013719,0.017602,0.021276,0.028341,0.042438,0.071668,0.132946", \ - "0.013728,0.017607,0.021283,0.028346,0.042443,0.071665,0.132942", \ - "0.013928,0.017784,0.021435,0.028466,0.042523,0.071711,0.132957", \ - "0.014354,0.018148,0.021761,0.028740,0.042728,0.071832,0.133009", \ - "0.014993,0.018705,0.022259,0.029156,0.043036,0.072020,0.133078"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018245,0.028735,0.042211,0.071839,0.132770,0.255097,0.500025", \ - "0.018247,0.028734,0.042214,0.071845,0.132761,0.255096,0.500025", \ - "0.018244,0.028736,0.042213,0.071841,0.132767,0.255087,0.500023", \ - "0.018246,0.028734,0.042212,0.071841,0.132768,0.255093,0.500024", \ - "0.018246,0.028736,0.042212,0.071837,0.132758,0.255097,0.500016", \ - "0.018334,0.028782,0.042232,0.071850,0.132764,0.255096,0.500012", \ - "0.019265,0.029388,0.042533,0.071932,0.132808,0.255103,0.500024"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.154980,0.165541,0.173532,0.186360,0.207610,0.245178,0.316425", \ - "0.160159,0.170714,0.178711,0.191535,0.212783,0.250352,0.321605", \ - "0.176250,0.186808,0.194801,0.207627,0.228880,0.266442,0.337691", \ - "0.202358,0.212933,0.220887,0.233675,0.254930,0.292497,0.363730", \ - "0.236247,0.246857,0.254875,0.267520,0.288761,0.326365,0.397624", \ - "0.272378,0.283124,0.291175,0.303756,0.325155,0.362832,0.434079", \ - "0.307227,0.318198,0.326392,0.339026,0.360504,0.398264,0.469553"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.322292,0.340683,0.357077,0.389039,0.453104,0.581263,0.837150", \ - "0.326801,0.345192,0.361584,0.393543,0.457637,0.585785,0.841661", \ - "0.344469,0.362849,0.379237,0.411189,0.475289,0.603439,0.859312", \ - "0.374299,0.392691,0.409011,0.440999,0.505090,0.633206,0.889043", \ - "0.419123,0.437516,0.453931,0.486108,0.550033,0.678147,0.934000", \ - "0.481835,0.500247,0.516643,0.548725,0.612826,0.740934,0.996798", \ - "0.558621,0.577427,0.593873,0.625764,0.689751,0.817815,1.073648"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013249,0.017180,0.020896,0.028014,0.042189,0.071504,0.132877", \ - "0.013252,0.017179,0.020894,0.028015,0.042186,0.071507,0.132877", \ - "0.013251,0.017181,0.020894,0.028015,0.042189,0.071506,0.132879", \ - "0.013267,0.017191,0.020905,0.028022,0.042192,0.071509,0.132885", \ - "0.013499,0.017391,0.021077,0.028160,0.042285,0.071557,0.132894", \ - "0.013960,0.017781,0.021422,0.028444,0.042493,0.071674,0.132935", \ - "0.014669,0.018394,0.021966,0.028895,0.042819,0.071871,0.133002"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018317,0.028783,0.042234,0.071849,0.132760,0.255087,0.500024", \ - "0.018321,0.028780,0.042235,0.071848,0.132766,0.255094,0.500025", \ - "0.018319,0.028781,0.042236,0.071850,0.132760,0.255099,0.500026", \ - "0.018321,0.028779,0.042235,0.071847,0.132771,0.255088,0.500026", \ - "0.018315,0.028780,0.042235,0.071849,0.132778,0.255096,0.500025", \ - "0.018386,0.028818,0.042252,0.071855,0.132777,0.255092,0.500026", \ - "0.019279,0.029393,0.042534,0.071932,0.132803,0.255109,0.500013"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.170736,0.181416,0.189486,0.202394,0.223724,0.261342,0.332608", \ - "0.175841,0.186527,0.194598,0.207502,0.228830,0.266449,0.337717", \ - "0.190882,0.201562,0.209630,0.222540,0.243867,0.281483,0.352751", \ - "0.215953,0.226626,0.234661,0.247517,0.268845,0.306488,0.377744", \ - "0.250304,0.261049,0.269148,0.281890,0.303220,0.340882,0.412149", \ - "0.288334,0.299174,0.307287,0.320046,0.341449,0.379156,0.450439", \ - "0.326441,0.337495,0.345727,0.358437,0.379965,0.417753,0.489073"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.333219,0.352532,0.369144,0.401143,0.465051,0.593155,0.848993", \ - "0.337647,0.356964,0.373621,0.405524,0.469504,0.597594,0.853452", \ - "0.355426,0.374742,0.391372,0.423386,0.487301,0.615390,0.871227", \ - "0.385350,0.404667,0.421246,0.453193,0.517144,0.645199,0.901052", \ - "0.430248,0.449562,0.466187,0.498206,0.562255,0.690301,0.946114", \ - "0.492942,0.512281,0.528899,0.560941,0.624966,0.753024,1.008839", \ - "0.570378,0.590158,0.606860,0.638786,0.702684,0.830657,1.086426"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013616,0.017497,0.021178,0.028253,0.042366,0.071619,0.132925", \ - "0.013613,0.017498,0.021178,0.028253,0.042366,0.071620,0.132933", \ - "0.013614,0.017497,0.021178,0.028252,0.042367,0.071618,0.132928", \ - "0.013614,0.017498,0.021180,0.028254,0.042367,0.071618,0.132927", \ - "0.013810,0.017658,0.021316,0.028359,0.042437,0.071655,0.132943", \ - "0.014236,0.018027,0.021646,0.028634,0.042639,0.071772,0.132981", \ - "0.014869,0.018580,0.022138,0.029046,0.042941,0.071957,0.133049"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.019924,0.030131,0.043031,0.072181,0.132926,0.255196,0.500067", \ - "0.019926,0.030133,0.043030,0.072177,0.132932,0.255198,0.500059", \ - "0.019924,0.030135,0.043031,0.072184,0.132927,0.255194,0.500067", \ - "0.019926,0.030134,0.043030,0.072178,0.132930,0.255193,0.500063", \ - "0.019924,0.030134,0.043031,0.072179,0.132942,0.255199,0.500061", \ - "0.019974,0.030168,0.043052,0.072184,0.132941,0.255206,0.500067", \ - "0.020867,0.030841,0.043414,0.072302,0.132977,0.255219,0.500056"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.160608,0.171237,0.179275,0.192142,0.213433,0.251042,0.322279", \ - "0.165704,0.176338,0.184370,0.197244,0.218533,0.256138,0.327377", \ - "0.180696,0.191331,0.199364,0.212238,0.233528,0.271137,0.342373", \ - "0.205522,0.216164,0.224150,0.236935,0.258230,0.295829,0.367069", \ - "0.238587,0.249281,0.257342,0.270022,0.291325,0.328953,0.400227", \ - "0.274261,0.285093,0.293182,0.305914,0.327306,0.364992,0.436277", \ - "0.308980,0.320055,0.328289,0.340976,0.362518,0.400300,0.471588"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.326265,0.344670,0.361060,0.393062,0.457101,0.585248,0.841120", \ - "0.330693,0.349109,0.365498,0.397453,0.461552,0.589698,0.845594", \ - "0.348471,0.366886,0.383274,0.415306,0.479364,0.607480,0.863354", \ - "0.378396,0.396809,0.413138,0.445113,0.509144,0.637271,0.893168", \ - "0.423277,0.441690,0.458116,0.490272,0.554245,0.682340,0.938204", \ - "0.486015,0.504446,0.520849,0.552924,0.617003,0.745124,1.000988", \ - "0.563079,0.581921,0.598361,0.630236,0.694233,0.822302,1.078134"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.013460,0.017355,0.021051,0.028144,0.042285,0.071566,0.132901", \ - "0.013457,0.017355,0.021051,0.028145,0.042283,0.071566,0.132901", \ - "0.013453,0.017355,0.021051,0.028143,0.042283,0.071565,0.132901", \ - "0.013469,0.017368,0.021061,0.028152,0.042289,0.071566,0.132903", \ - "0.013707,0.017571,0.021236,0.028292,0.042385,0.071618,0.132918", \ - "0.014177,0.017973,0.021593,0.028588,0.042600,0.071745,0.132964", \ - "0.014897,0.018595,0.022147,0.029048,0.042939,0.071949,0.133039"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018381,0.028821,0.042254,0.071856,0.132779,0.255089,0.500020", \ - "0.018385,0.028820,0.042254,0.071857,0.132782,0.255089,0.500024", \ - "0.018384,0.028820,0.042257,0.071858,0.132769,0.255093,0.500015", \ - "0.018385,0.028820,0.042256,0.071855,0.132772,0.255095,0.500026", \ - "0.018387,0.028818,0.042255,0.071853,0.132774,0.255099,0.500025", \ - "0.018451,0.028856,0.042269,0.071860,0.132775,0.255095,0.500024", \ - "0.019331,0.029428,0.042551,0.071944,0.132811,0.255112,0.500012"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.142969,0.153432,0.161369,0.174124,0.195310,0.232837,0.304042", \ - "0.148208,0.158678,0.166614,0.179370,0.200559,0.238077,0.309285", \ - "0.164671,0.175140,0.183075,0.195832,0.217020,0.254539,0.325745", \ - "0.192645,0.203127,0.211044,0.223749,0.244932,0.282439,0.353646", \ - "0.228924,0.239459,0.247410,0.260013,0.281208,0.318764,0.389996", \ - "0.267067,0.277716,0.285732,0.298340,0.319617,0.357235,0.428477", \ - "0.303004,0.313944,0.322092,0.334713,0.356136,0.393855,0.465125"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.333435,0.351884,0.368270,0.400293,0.464290,0.592490,0.848337", \ - "0.338060,0.356507,0.372892,0.404917,0.468900,0.597058,0.852956", \ - "0.355686,0.374131,0.390529,0.422512,0.486585,0.614706,0.870574", \ - "0.385509,0.403955,0.420264,0.452196,0.516312,0.644424,0.900269", \ - "0.430340,0.448791,0.465189,0.497366,0.561318,0.689399,0.945273", \ - "0.493212,0.511664,0.528063,0.560132,0.624209,0.752336,1.008190", \ - "0.571073,0.589909,0.606338,0.638219,0.702202,0.830276,1.086121"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.012983,0.016936,0.020670,0.027820,0.042037,0.071410,0.132842", \ - "0.012984,0.016935,0.020670,0.027821,0.042037,0.071410,0.132846", \ - "0.012984,0.016936,0.020671,0.027819,0.042037,0.071408,0.132843", \ - "0.013005,0.016954,0.020685,0.027832,0.042044,0.071414,0.132846", \ - "0.013283,0.017182,0.020882,0.027987,0.042150,0.071466,0.132854", \ - "0.013799,0.017619,0.021265,0.028298,0.042371,0.071593,0.132898", \ - "0.014612,0.018317,0.021880,0.028806,0.042736,0.071805,0.132968"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.018457,0.028868,0.042276,0.071865,0.132784,0.255099,0.500021", \ - "0.018455,0.028866,0.042276,0.071863,0.132776,0.255093,0.500021", \ - "0.018454,0.028867,0.042278,0.071864,0.132782,0.255105,0.500018", \ - "0.018458,0.028868,0.042279,0.071865,0.132785,0.255092,0.500021", \ - "0.018459,0.028866,0.042279,0.071865,0.132764,0.255087,0.500026", \ - "0.018511,0.028893,0.042289,0.071867,0.132778,0.255106,0.500027", \ - "0.019339,0.029435,0.042553,0.071940,0.132807,0.255110,0.500016"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.993060,8.649372,9.031349,9.470617,9.827408,10.042860,10.153060", \ - "8.054133,8.649808,9.039845,9.489587,9.853923,10.056430,10.165440", \ - "8.037773,8.641344,9.028628,9.485049,9.846987,10.052380,10.160830", \ - "8.045785,8.654889,9.042866,9.476977,9.840856,10.053630,10.156650", \ - "8.088806,8.710077,9.107671,9.530699,9.913855,10.123020,10.232100", \ - "8.315673,8.895760,9.258542,9.720661,10.068580,10.299640,10.411960", \ - "8.599517,9.189303,9.567827,10.033700,10.408820,10.635000,10.723840"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.892628,10.563340,10.975690,11.534910,12.056170,12.464930,12.442690", \ - "9.864470,10.535580,10.906800,11.534090,12.055700,12.491850,12.469870", \ - "9.808090,10.495810,10.897500,11.387610,12.044600,12.309840,12.558110", \ - "9.790365,10.461530,10.855050,11.414250,12.070000,12.264300,12.566800", \ - "9.939222,10.609310,11.008400,11.510170,12.166790,12.507040,12.663080", \ - "10.248300,10.891360,11.301410,11.871540,12.406710,12.696130,12.952250", \ - "10.523190,11.237930,11.619010,12.254020,12.732650,13.206170,13.205500"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.634460,8.235922,8.626013,9.075453,9.429609,9.617957,9.703805", \ - "7.672899,8.254465,8.637422,9.087048,9.441284,9.631854,9.719441", \ - "7.607253,8.265377,8.628707,9.079016,9.432387,9.619147,9.715561", \ - "7.645927,8.236754,8.637484,9.066546,9.425130,9.612990,9.700647", \ - "7.709727,8.312728,8.714601,9.149732,9.498957,9.696918,9.787921", \ - "7.961749,8.531702,8.880092,9.336619,9.682099,9.889578,9.983152", \ - "8.282397,8.836407,9.217391,9.661510,10.055610,10.254380,10.325150"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.823154,10.424600,10.741470,11.279020,11.585500,11.740050,11.959360", \ - "9.795427,10.404970,10.765550,11.191940,11.557120,11.767860,11.986900", \ - "9.721702,10.331340,10.664640,11.182640,11.519580,11.856270,11.803900", \ - "9.719843,10.329780,10.681900,11.074140,11.566950,11.810620,11.758580", \ - "9.865352,10.419090,10.775160,11.303080,11.666410,11.954280,11.904070", \ - "10.134300,10.753670,11.095410,11.561690,12.037140,12.263150,12.217370", \ - "10.414830,11.064920,11.431460,11.976560,12.380700,12.556660,12.794540"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.666776,8.295152,8.662184,9.107946,9.442493,9.614785,9.704511", \ - "7.680368,8.285935,8.700994,9.118699,9.449551,9.632981,9.717648", \ - "7.666489,8.274367,8.656817,9.104122,9.444970,9.626328,9.708040", \ - "7.677746,8.294939,8.661816,9.101430,9.432101,9.611127,9.694529", \ - "7.731420,8.341267,8.732835,9.147408,9.490712,9.691302,9.782058", \ - "8.002887,8.563526,8.933377,9.351545,9.698936,9.877429,9.976166", \ - "8.262893,8.860604,9.241165,9.702317,10.065170,10.256370,10.315270"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.827043,10.397420,10.784070,11.272820,11.587070,11.743820,11.963770", \ - "9.807183,10.360890,10.755300,11.199090,11.531460,11.778980,11.726670", \ - "9.735032,10.295770,10.675270,11.052050,11.544960,11.597130,11.817120", \ - "9.725617,10.279390,10.676920,11.059410,11.518560,11.815770,11.761790", \ - "9.863695,10.417450,10.816170,11.271480,11.671690,11.951860,11.903320", \ - "10.122950,10.752830,11.111240,11.500750,11.968650,12.251390,12.208110", \ - "10.446900,11.072130,11.439580,11.964980,12.455030,12.531580,12.768970"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.634460,8.235922,8.626013,9.075453,9.429609,9.617957,9.703805", \ - "7.672899,8.254465,8.637422,9.087048,9.441284,9.631854,9.719441", \ - "7.607253,8.265377,8.628707,9.079016,9.432387,9.619147,9.715561", \ - "7.645927,8.236754,8.637484,9.066546,9.425130,9.612990,9.700647", \ - "7.709727,8.312728,8.714601,9.149732,9.498957,9.696918,9.787921", \ - "7.961749,8.531702,8.880092,9.336619,9.682099,9.889578,9.983152", \ - "8.282397,8.836407,9.217391,9.661510,10.055610,10.254380,10.325150"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.823154,10.424600,10.741470,11.279020,11.585500,11.740050,11.959360", \ - "9.795427,10.404970,10.765550,11.191940,11.557120,11.767860,11.986900", \ - "9.721702,10.331340,10.664640,11.182640,11.519580,11.856270,11.803900", \ - "9.719843,10.329780,10.681900,11.074140,11.566950,11.810620,11.758580", \ - "9.865352,10.419090,10.775160,11.303080,11.666410,11.954280,11.904070", \ - "10.134300,10.753670,11.095410,11.561690,12.037140,12.263150,12.217370", \ - "10.414830,11.064920,11.431460,11.976560,12.380700,12.556660,12.794540"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.258034,7.865120,8.268586,8.695113,9.019509,9.194128,9.271815", \ - "7.277522,7.897325,8.283804,8.706933,9.027629,9.204870,9.280863", \ - "7.239081,7.881843,8.259685,8.686189,9.016456,9.186418,9.259828", \ - "7.248529,7.873499,8.230007,8.666337,9.004182,9.175831,9.250801", \ - "7.292893,7.927794,8.303801,8.722058,9.084705,9.266047,9.342564", \ - "7.573110,8.166228,8.530270,8.944293,9.297164,9.485688,9.560332", \ - "7.930849,8.483312,8.854407,9.317852,9.691140,9.883327,9.936817"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.712162,10.248230,10.526990,10.840360,11.091440,11.293200,11.226940", \ - "9.685548,10.222250,10.528610,10.840240,11.068440,11.318990,11.255760", \ - "9.672685,10.148280,10.451840,10.828490,11.033480,11.137770,11.072420", \ - "9.663571,10.144770,10.469360,10.808510,11.013380,11.087370,11.294070", \ - "9.760129,10.286310,10.564040,10.950760,11.159590,11.228720,11.438060", \ - "10.044110,10.552250,10.868720,11.249260,11.279950,11.565860,11.508600", \ - "10.339410,10.880760,11.193690,11.595130,11.875890,11.913990,12.139940"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.270417,7.915829,8.314067,8.719513,9.035620,9.205785,9.269731", \ - "7.285526,7.925518,8.325213,8.720928,9.046464,9.212466,9.283734", \ - "7.276209,7.921423,8.303748,8.708771,9.025703,9.189689,9.262276", \ - "7.282814,7.882175,8.282665,8.691419,9.010591,9.179518,9.247351", \ - "7.378211,7.971838,8.330748,8.757778,9.088153,9.265742,9.339574", \ - "7.581267,8.188138,8.563433,8.973175,9.305877,9.476077,9.560248", \ - "7.959167,8.522679,8.892408,9.337446,9.711090,9.890839,9.937361"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.747207,10.252380,10.552230,10.870990,11.068200,11.296120,11.231780", \ - "9.696547,10.232430,10.539920,10.845750,11.060990,11.058640,11.266780", \ - "9.660669,10.160350,10.461200,10.831580,11.023450,11.149870,11.084670", \ - "9.644338,10.149910,10.463390,10.800640,10.989950,11.092760,11.298980", \ - "9.753323,10.284850,10.603810,10.918540,11.156240,11.226750,11.436220", \ - "10.033140,10.594100,10.870480,11.250880,11.471970,11.554160,11.495770", \ - "10.312790,10.911000,11.236420,11.651900,11.787300,11.887740,12.114210"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.666776,8.295152,8.662184,9.107946,9.442493,9.614785,9.704511", \ - "7.680368,8.285935,8.700994,9.118699,9.449551,9.632981,9.717648", \ - "7.666489,8.274367,8.656817,9.104122,9.444970,9.626328,9.708040", \ - "7.677746,8.294939,8.661816,9.101430,9.432101,9.611127,9.694529", \ - "7.731420,8.341267,8.732835,9.147408,9.490712,9.691302,9.782058", \ - "8.002887,8.563526,8.933377,9.351545,9.698936,9.877429,9.976166", \ - "8.262893,8.860604,9.241165,9.702317,10.065170,10.256370,10.315270"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.827043,10.397420,10.784070,11.272820,11.587070,11.743820,11.963770", \ - "9.807183,10.360890,10.755300,11.199090,11.531460,11.778980,11.726670", \ - "9.735032,10.295770,10.675270,11.052050,11.544960,11.597130,11.817120", \ - "9.725617,10.279390,10.676920,11.059410,11.518560,11.815770,11.761790", \ - "9.863695,10.417450,10.816170,11.271480,11.671690,11.951860,11.903320", \ - "10.122950,10.752830,11.111240,11.500750,11.968650,12.251390,12.208110", \ - "10.446900,11.072130,11.439580,11.964980,12.455030,12.531580,12.768970"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.270417,7.915829,8.314067,8.719513,9.035620,9.205785,9.269731", \ - "7.285526,7.925518,8.325213,8.720928,9.046464,9.212466,9.283734", \ - "7.276209,7.921423,8.303748,8.708771,9.025703,9.189689,9.262276", \ - "7.282814,7.882175,8.282665,8.691419,9.010591,9.179518,9.247351", \ - "7.378211,7.971838,8.330748,8.757778,9.088153,9.265742,9.339574", \ - "7.581267,8.188138,8.563433,8.973175,9.305877,9.476077,9.560248", \ - "7.959167,8.522679,8.892408,9.337446,9.711090,9.890839,9.937361"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.747207,10.252380,10.552230,10.870990,11.068200,11.296120,11.231780", \ - "9.696547,10.232430,10.539920,10.845750,11.060990,11.058640,11.266780", \ - "9.660669,10.160350,10.461200,10.831580,11.023450,11.149870,11.084670", \ - "9.644338,10.149910,10.463390,10.800640,10.989950,11.092760,11.298980", \ - "9.753323,10.284850,10.603810,10.918540,11.156240,11.226750,11.436220", \ - "10.033140,10.594100,10.870480,11.250880,11.471970,11.554160,11.495770", \ - "10.312790,10.911000,11.236420,11.651900,11.787300,11.887740,12.114210"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.375046,7.983757,8.361995,8.753151,9.064407,9.212537,9.278593", \ - "7.383227,7.982704,8.372364,8.761287,9.067688,9.230793,9.289580", \ - "7.319856,7.944547,8.343086,8.733911,9.032204,9.192995,9.262637", \ - "7.278991,7.910675,8.324403,8.705120,9.013661,9.181065,9.238089", \ - "7.387631,7.979032,8.377510,8.775066,9.094883,9.262679,9.326631", \ - "7.662925,8.237606,8.594799,9.017194,9.329736,9.477695,9.555841", \ - "8.015370,8.544972,8.937027,9.379866,9.721549,9.904390,9.943152"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.750961,10.251510,10.527020,10.881590,11.115320,11.295250,11.230070", \ - "9.703437,10.185420,10.526900,10.846510,10.974150,11.067070,11.304040", \ - "9.637667,10.119190,10.450050,10.815160,10.954010,11.202330,11.097670", \ - "9.633890,10.155050,10.435600,10.809690,10.966620,11.100480,11.307480", \ - "9.759209,10.282540,10.554700,10.890120,11.104960,11.224360,11.433690", \ - "10.078570,10.583360,10.857770,11.238270,11.508130,11.543970,11.486330", \ - "10.343240,10.884650,11.251900,11.575660,11.894140,11.860340,12.086610"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.396322,8.968760,9.392254,9.846098,10.217570,10.442850,10.562550", \ - "8.359480,8.933254,9.331539,9.811200,10.181980,10.408490,10.528040", \ - "8.314945,8.901567,9.314573,9.756507,10.150250,10.369440,10.493020", \ - "8.324213,8.931583,9.288346,9.754900,10.146510,10.367410,10.495880", \ - "8.404660,9.013052,9.377005,9.853871,10.220860,10.450160,10.576620", \ - "8.613319,9.179351,9.560084,10.019200,10.386130,10.623370,10.753090", \ - "8.934666,9.491400,9.879358,10.319140,10.725690,10.944100,11.056410"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.477210,11.147320,11.513170,12.002070,12.661070,13.102610,13.080500", \ - "10.437430,11.108690,11.525620,12.035780,12.614450,13.011920,12.989100", \ - "10.370400,11.041930,11.472750,12.060780,12.582080,12.891030,13.139500", \ - "10.370600,10.984190,11.369620,11.996510,12.499620,12.890230,12.867240", \ - "10.366950,11.039270,11.440690,12.020230,12.558050,12.813470,13.065140", \ - "10.480620,11.105360,11.546380,12.066050,12.596200,13.030580,13.013330", \ - "10.524790,11.236860,11.604670,12.315350,12.894100,13.356430,13.353810"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.999863,8.606091,8.989711,9.440194,9.803971,10.011640,10.120540", \ - "7.961203,8.544959,8.953040,9.408858,9.757535,9.973391,10.085360", \ - "7.935867,8.528714,8.934018,9.371366,9.737618,9.939208,10.045960", \ - "7.948863,8.532349,8.914788,9.371680,9.734978,9.937465,10.038870", \ - "7.986509,8.619960,8.988239,9.451818,9.816170,10.029150,10.139610", \ - "8.238685,8.806976,9.153574,9.622242,9.986542,10.213890,10.332960", \ - "8.539672,9.123726,9.508302,9.955243,10.345300,10.561200,10.652630"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.407060,10.960430,11.355930,11.757430,12.157430,12.378250,12.597750", \ - "10.368360,10.977890,11.346810,11.769360,12.238430,12.287250,12.506420", \ - "10.301350,10.911420,11.233840,11.679110,12.058070,12.437890,12.385370", \ - "10.244860,10.855110,11.233600,11.614770,12.084030,12.165370,12.385040", \ - "10.288050,10.841840,11.228010,11.640820,12.063320,12.360700,12.310430", \ - "10.363050,10.988790,11.312840,11.837340,12.173310,12.318710,12.546410", \ - "10.441220,11.047280,11.451990,11.993980,12.425390,12.678210,12.645370"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.003748,8.643323,9.027177,9.464633,9.808908,9.999129,10.110760", \ - "7.984352,8.604704,8.991958,9.428672,9.779595,9.973926,10.074120", \ - "7.955029,8.564632,8.962303,9.394795,9.740030,9.933263,10.044010", \ - "7.955528,8.569443,8.952623,9.389954,9.731829,9.937267,10.029420", \ - "8.051844,8.651572,9.009137,9.465449,9.816596,10.017610,10.128270", \ - "8.278610,8.844881,9.220008,9.650742,9.992825,10.204930,10.316180", \ - "8.550568,9.140798,9.527272,9.989517,10.356520,10.552220,10.637920"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.354200,10.964190,11.351860,11.749500,12.127080,12.382130,12.329790", \ - "10.379460,10.939980,11.323470,11.766840,12.222490,12.296670,12.516880", \ - "10.312370,10.914300,11.227040,11.672570,12.053180,12.448290,12.397480", \ - "10.251270,10.869090,11.218960,11.594320,12.051830,12.171350,12.391810", \ - "10.290700,10.844490,11.231650,11.733350,12.188600,12.363040,12.313560", \ - "10.362730,10.988630,11.328530,11.780490,12.243040,12.321330,12.547640", \ - "10.433980,11.048040,11.446380,11.905700,12.397230,12.671070,12.638020"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.999863,8.606091,8.989711,9.440194,9.803971,10.011640,10.120540", \ - "7.961203,8.544959,8.953040,9.408858,9.757535,9.973391,10.085360", \ - "7.935867,8.528714,8.934018,9.371366,9.737618,9.939208,10.045960", \ - "7.948863,8.532349,8.914788,9.371680,9.734978,9.937465,10.038870", \ - "7.986509,8.619960,8.988239,9.451818,9.816170,10.029150,10.139610", \ - "8.238685,8.806976,9.153574,9.622242,9.986542,10.213890,10.332960", \ - "8.539672,9.123726,9.508302,9.955243,10.345300,10.561200,10.652630"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.407060,10.960430,11.355930,11.757430,12.157430,12.378250,12.597750", \ - "10.368360,10.977890,11.346810,11.769360,12.238430,12.287250,12.506420", \ - "10.301350,10.911420,11.233840,11.679110,12.058070,12.437890,12.385370", \ - "10.244860,10.855110,11.233600,11.614770,12.084030,12.165370,12.385040", \ - "10.288050,10.841840,11.228010,11.640820,12.063320,12.360700,12.310430", \ - "10.363050,10.988790,11.312840,11.837340,12.173310,12.318710,12.546410", \ - "10.441220,11.047280,11.451990,11.993980,12.425390,12.678210,12.645370"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.626518,8.209336,8.608843,9.041313,9.394453,9.586283,9.673548", \ - "7.589660,8.198766,8.549147,9.007780,9.360815,9.550361,9.636452", \ - "7.550175,8.158430,8.528208,8.980112,9.323585,9.508835,9.601068", \ - "7.540845,8.159348,8.509164,8.968247,9.321702,9.512072,9.598852", \ - "7.624297,8.208432,8.618321,9.054604,9.409561,9.613050,9.705667", \ - "7.851044,8.430883,8.788510,9.247708,9.599562,9.801637,9.910178", \ - "8.221741,8.786913,9.161116,9.603716,9.984057,10.186440,10.250140"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.295380,10.831260,11.127320,11.371610,11.678430,11.658140,11.939840", \ - "10.313330,10.794760,11.074060,11.444370,11.678100,11.839410,11.774770", \ - "10.248010,10.729420,11.059410,11.427220,11.594650,11.719370,11.653660", \ - "10.190140,10.681250,10.988370,11.364310,11.531030,11.717990,11.653860", \ - "10.175640,10.712040,11.018270,11.389610,11.488330,11.640380,11.847190", \ - "10.267560,10.822180,11.093180,11.476760,11.766130,11.884980,11.828480", \ - "10.325110,10.881430,11.214110,11.603170,11.886220,12.006220,11.963450"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.659816,8.260496,8.642837,9.070038,9.401753,9.579417,9.669293", \ - "7.598706,8.227932,8.605329,9.041062,9.367581,9.549749,9.638526", \ - "7.563957,8.188772,8.549761,8.998766,9.335997,9.515921,9.601481", \ - "7.552238,8.198145,8.565195,8.992007,9.327062,9.513616,9.592335", \ - "7.619433,8.258745,8.629320,9.066361,9.404738,9.600392,9.694216", \ - "7.918116,8.475613,8.839545,9.276707,9.607724,9.800983,9.894933", \ - "8.196343,8.791242,9.158417,9.619257,9.991827,10.184400,10.234330"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.299670,10.835110,11.130830,11.468820,11.775720,11.661010,11.869020", \ - "10.307940,10.804610,11.098650,11.444980,11.671220,11.848690,11.783900", \ - "10.203520,10.739510,11.055570,11.294330,11.699750,11.729520,11.663870", \ - "10.196370,10.677770,10.980750,11.350830,11.495940,11.722710,11.805490", \ - "10.178400,10.714510,10.994580,11.358660,11.631630,11.642020,11.850470", \ - "10.267110,10.821840,11.115690,11.458270,11.709050,11.888000,11.829970", \ - "10.317720,10.882730,11.203410,11.609060,11.796560,11.998610,11.956030"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.003748,8.643323,9.027177,9.464633,9.808908,9.999129,10.110760", \ - "7.984352,8.604704,8.991958,9.428672,9.779595,9.973926,10.074120", \ - "7.955029,8.564632,8.962303,9.394795,9.740030,9.933263,10.044010", \ - "7.955528,8.569443,8.952623,9.389954,9.731829,9.937267,10.029420", \ - "8.051844,8.651572,9.009137,9.465449,9.816596,10.017610,10.128270", \ - "8.278610,8.844881,9.220008,9.650742,9.992825,10.204930,10.316180", \ - "8.550568,9.140798,9.527272,9.989517,10.356520,10.552220,10.637920"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.354200,10.964190,11.351860,11.749500,12.127080,12.382130,12.329790", \ - "10.379460,10.939980,11.323470,11.766840,12.222490,12.296670,12.516880", \ - "10.312370,10.914300,11.227040,11.672570,12.053180,12.448290,12.397480", \ - "10.251270,10.869090,11.218960,11.594320,12.051830,12.171350,12.391810", \ - "10.290700,10.844490,11.231650,11.733350,12.188600,12.363040,12.313560", \ - "10.362730,10.988630,11.328530,11.780490,12.243040,12.321330,12.547640", \ - "10.433980,11.048040,11.446380,11.905700,12.397230,12.671070,12.638020"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.659816,8.260496,8.642837,9.070038,9.401753,9.579417,9.669293", \ - "7.598706,8.227932,8.605329,9.041062,9.367581,9.549749,9.638526", \ - "7.563957,8.188772,8.549761,8.998766,9.335997,9.515921,9.601481", \ - "7.552238,8.198145,8.565195,8.992007,9.327062,9.513616,9.592335", \ - "7.619433,8.258745,8.629320,9.066361,9.404738,9.600392,9.694216", \ - "7.918116,8.475613,8.839545,9.276707,9.607724,9.800983,9.894933", \ - "8.196343,8.791242,9.158417,9.619257,9.991827,10.184400,10.234330"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.299670,10.835110,11.130830,11.468820,11.775720,11.661010,11.869020", \ - "10.307940,10.804610,11.098650,11.444980,11.671220,11.848690,11.783900", \ - "10.203520,10.739510,11.055570,11.294330,11.699750,11.729520,11.663870", \ - "10.196370,10.677770,10.980750,11.350830,11.495940,11.722710,11.805490", \ - "10.178400,10.714510,10.994580,11.358660,11.631630,11.642020,11.850470", \ - "10.267110,10.821840,11.115690,11.458270,11.709050,11.888000,11.829970", \ - "10.317720,10.882730,11.203410,11.609060,11.796560,11.998610,11.956030"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.673824,8.320094,8.702200,9.101637,9.415996,9.585905,9.674788", \ - "7.616909,8.284591,8.666012,9.068441,9.386951,9.561238,9.637594", \ - "7.571431,8.246048,8.625914,9.023823,9.349262,9.521275,9.596729", \ - "7.572786,8.208346,8.617126,9.018300,9.331009,9.506018,9.588200", \ - "7.719887,8.295903,8.659213,9.083541,9.407337,9.590702,9.683212", \ - "7.961703,8.521258,8.886526,9.305325,9.625481,9.792347,9.888591", \ - "8.285282,8.843154,9.218138,9.647063,10.002870,10.171610,10.228840"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.298850,10.834090,11.107490,11.471930,11.733780,11.662450,11.868750", \ - "10.298390,10.810850,11.077620,11.422720,11.626900,11.854930,11.790260", \ - "10.215190,10.699500,11.037720,11.400890,11.663830,11.741020,11.782550", \ - "10.147400,10.683980,10.949640,11.322990,11.540660,11.729860,11.665560", \ - "10.181860,10.717430,11.012050,11.370130,11.565750,11.644860,11.851510", \ - "10.266740,10.821400,11.111580,11.482570,11.627670,11.884330,11.827320", \ - "10.309800,10.903250,11.235870,11.634410,11.938610,11.990940,12.220060"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.042745,8.637268,9.028391,9.476501,9.845497,10.045300,10.149000", \ - "8.054187,8.660821,9.038635,9.494098,9.859630,10.063500,10.171690", \ - "8.057385,8.637213,9.027153,9.482478,9.848529,10.052250,10.163050", \ - "8.029495,8.612290,8.992794,9.451017,9.820045,10.027630,10.132130", \ - "7.972237,8.599851,9.004570,9.439169,9.791083,10.011720,10.120390", \ - "8.045013,8.667489,9.051017,9.469237,9.847820,10.068240,10.178010", \ - "8.262897,8.788403,9.189837,9.662196,10.044390,10.254290,10.343690"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.960990,11.659300,12.061320,12.653380,13.319370,13.635080,13.946440", \ - "10.935400,11.631740,12.054740,12.648360,13.260190,13.669650,13.703090", \ - "10.863490,11.562710,11.976720,12.550710,13.215720,13.486050,13.895010", \ - "10.888190,11.566840,11.965690,12.543530,13.254200,13.458870,13.769340", \ - "10.991820,11.655960,12.065650,12.651490,13.363290,13.622200,13.931550", \ - "11.236720,11.932570,12.347130,12.940120,13.517470,13.968950,14.007370", \ - "11.604210,12.281340,12.715510,13.273300,14.038070,14.276820,14.626290"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.647758,8.269817,8.658352,9.077906,9.431362,9.618410,9.712331", \ - "7.657951,8.284200,8.649231,9.093281,9.445358,9.632297,9.720073", \ - "7.648487,8.266608,8.646452,9.075516,9.430089,9.615382,9.709294", \ - "7.583168,8.205511,8.601139,9.043092,9.377305,9.575619,9.668975", \ - "7.577202,8.179182,8.558420,9.019499,9.371043,9.567232,9.658771", \ - "7.647446,8.273230,8.661073,9.073005,9.422761,9.630182,9.731391", \ - "7.827708,8.430744,8.780525,9.256042,9.634283,9.842083,9.911323"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.886960,11.512000,11.863000,12.419320,12.910940,13.182350,13.210340", \ - "10.861980,11.444060,11.865830,12.285910,12.684480,12.942800,13.218300", \ - "10.790920,11.429440,11.788590,12.276560,12.749500,13.086740,13.038050", \ - "10.813600,11.395320,11.756970,12.317660,12.777770,13.024150,13.010820", \ - "10.857890,11.497640,11.856710,12.424740,12.816910,13.168290,13.171510", \ - "11.190160,11.802220,12.158030,12.627880,13.021360,13.243770,13.520720", \ - "11.457610,12.142860,12.515670,12.975770,13.568430,13.869340,13.879280"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.662841,8.316201,8.700181,9.118478,9.445571,9.625049,9.706801", \ - "7.684908,8.292106,8.715273,9.131370,9.462626,9.631407,9.721414", \ - "7.708091,8.314495,8.698945,9.096436,9.444239,9.624478,9.706225", \ - "7.646215,8.262476,8.619297,9.064599,9.398418,9.579706,9.659552", \ - "7.635383,8.227604,8.619778,9.035000,9.379811,9.555048,9.647528", \ - "7.677492,8.324241,8.698066,9.097399,9.441809,9.625095,9.716933", \ - "7.873358,8.482367,8.857884,9.278094,9.644402,9.838593,9.900073"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.894010,11.510080,11.871460,12.352660,12.863940,13.188890,13.194440", \ - "10.825470,11.464870,11.817590,12.367090,12.878010,12.963080,13.235380", \ - "10.809890,11.392010,11.734250,12.229440,12.666370,13.050300,13.054090", \ - "10.765130,11.413440,11.756290,12.238280,12.786480,13.035870,13.020570", \ - "10.896410,11.497260,11.893350,12.317840,12.905370,13.167860,13.171090", \ - "11.189150,11.799520,12.163150,12.664340,13.089680,13.234360,13.512330", \ - "11.492630,12.176460,12.545420,13.065220,13.612330,13.846420,13.855870"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.647758,8.269817,8.658352,9.077906,9.431362,9.618410,9.712331", \ - "7.657951,8.284200,8.649231,9.093281,9.445358,9.632297,9.720073", \ - "7.648487,8.266608,8.646452,9.075516,9.430089,9.615382,9.709294", \ - "7.583168,8.205511,8.601139,9.043092,9.377305,9.575619,9.668975", \ - "7.577202,8.179182,8.558420,9.019499,9.371043,9.567232,9.658771", \ - "7.647446,8.273230,8.661073,9.073005,9.422761,9.630182,9.731391", \ - "7.827708,8.430744,8.780525,9.256042,9.634283,9.842083,9.911323"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.886960,11.512000,11.863000,12.419320,12.910940,13.182350,13.210340", \ - "10.861980,11.444060,11.865830,12.285910,12.684480,12.942800,13.218300", \ - "10.790920,11.429440,11.788590,12.276560,12.749500,13.086740,13.038050", \ - "10.813600,11.395320,11.756970,12.317660,12.777770,13.024150,13.010820", \ - "10.857890,11.497640,11.856710,12.424740,12.816910,13.168290,13.171510", \ - "11.190160,11.802220,12.158030,12.627880,13.021360,13.243770,13.520720", \ - "11.457610,12.142860,12.515670,12.975770,13.568430,13.869340,13.879280"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.270100,7.886534,8.271662,8.696215,9.025447,9.196747,9.274431", \ - "7.279333,7.898649,8.290927,8.706534,9.042779,9.211659,9.288635", \ - "7.256574,7.881187,8.256004,8.683219,9.010300,9.188780,9.256680", \ - "7.199019,7.816359,8.204528,8.627917,8.957307,9.129406,9.206782", \ - "7.195728,7.773822,8.160209,8.597424,8.943261,9.115390,9.194806", \ - "7.262643,7.883907,8.250241,8.674110,9.013639,9.196105,9.279391", \ - "7.448491,8.037518,8.438365,8.882272,9.236965,9.433123,9.487166"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.818830,11.341100,11.624310,12.043640,12.386340,12.460880,12.717920", \ - "10.749340,11.325020,11.627130,12.045250,12.391160,12.493580,12.479180", \ - "10.734500,11.246730,11.550900,11.903190,12.145390,12.312650,12.571040", \ - "10.700390,11.268100,11.587050,11.944600,12.154650,12.284560,12.543110", \ - "10.800340,11.312780,11.687640,12.077040,12.263760,12.445730,12.703800", \ - "11.108050,11.622120,11.929090,12.221270,12.573240,12.794880,12.814350", \ - "11.400130,11.961520,12.285500,12.683870,13.049900,13.196600,13.190220"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.313801,7.931642,8.315197,8.713912,9.038630,9.207328,9.271775", \ - "7.301371,7.951091,8.336666,8.731702,9.052872,9.216161,9.284925", \ - "7.307242,7.931071,8.304650,8.710319,9.025302,9.190217,9.258154", \ - "7.230457,7.872728,8.248336,8.653668,8.966424,9.128172,9.197210", \ - "7.213487,7.819210,8.205277,8.601494,8.948700,9.118566,9.187511", \ - "7.342239,7.923737,8.301905,8.711386,9.033235,9.186200,9.266491", \ - "7.513265,8.082933,8.444031,8.909668,9.263906,9.435295,9.476741"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.780060,11.348320,11.629410,11.975230,12.302860,12.467750,12.454330", \ - "10.769250,11.281880,11.642880,11.989030,12.332580,12.513260,12.496270", \ - "10.701760,11.209190,11.561250,11.971560,12.299030,12.497750,12.586760", \ - "10.709040,11.234060,11.577960,11.863050,12.074430,12.294080,12.552690", \ - "10.822700,11.312540,11.652840,12.076220,12.403450,12.445290,12.702450", \ - "11.099090,11.613220,11.930770,12.346860,12.604110,12.808350,12.774550", \ - "11.434430,11.994500,12.310690,12.766610,12.943090,13.173520,13.165590"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.658004,8.287579,8.670539,9.099468,9.438701,9.616199,9.709507", \ - "7.667440,8.297150,8.680058,9.111713,9.449768,9.641438,9.721491", \ - "7.649825,8.288112,8.673569,9.096600,9.438369,9.622671,9.704414", \ - "7.622230,8.234730,8.621700,9.055464,9.386346,9.579639,9.656713", \ - "7.634563,8.211602,8.605287,9.029900,9.378005,9.566478,9.656458", \ - "7.692808,8.303700,8.657864,9.100474,9.433389,9.630678,9.731332", \ - "7.886836,8.465653,8.849908,9.284651,9.653145,9.851887,9.923764"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.115770,11.760270,12.131280,12.627560,13.160620,13.229770,13.522250", \ - "11.107950,11.730940,12.080200,12.625180,13.158860,13.257780,13.534550", \ - "11.018220,11.663610,12.054110,12.481380,13.033180,13.351740,13.357920", \ - "11.045720,11.633720,12.025600,12.525100,12.924590,13.328280,13.335100", \ - "11.091600,11.737260,12.122210,12.628320,13.045770,13.217520,13.606740", \ - "11.372210,12.018000,12.394170,12.893860,13.425290,13.543490,13.822640", \ - "11.704290,12.394930,12.802470,13.331540,13.814300,14.079480,14.092870"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.274824,7.907048,8.301508,8.698936,9.039663,9.206277,9.273798", \ - "7.282559,7.928816,8.294450,8.726120,9.052629,9.211489,9.282327", \ - "7.262559,7.891543,8.262409,8.692395,9.017326,9.183674,9.255378", \ - "7.215648,7.819180,8.209223,8.635935,8.961831,9.130361,9.199181", \ - "7.212597,7.793192,8.193985,8.601054,8.945685,9.116171,9.193282", \ - "7.289627,7.905395,8.259810,8.693547,9.022121,9.206803,9.284016", \ - "7.530816,8.064480,8.451023,8.889656,9.269488,9.450277,9.492083"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.056800,11.576650,11.917410,12.296510,12.628110,12.775600,12.762080", \ - "11.027760,11.547530,11.848890,12.285110,12.626560,12.804090,12.793410", \ - "10.960240,11.493410,11.843130,12.136870,12.423890,12.626850,12.886240", \ - "10.929730,11.505110,11.814830,12.179820,12.396390,12.603010,12.861830", \ - "11.032130,11.580150,11.914180,12.285530,12.502360,12.763790,12.750040", \ - "11.334240,11.835730,12.193960,12.558060,12.902920,13.088230,13.078360", \ - "11.636740,12.205120,12.528440,12.927600,13.176340,13.397230,13.661360"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.357311,7.937568,8.335867,8.745380,9.052186,9.209393,9.277199", \ - "7.373395,7.963883,8.352001,8.758410,9.064973,9.221589,9.290259", \ - "7.290657,7.954594,8.324981,8.729436,9.037235,9.193095,9.264764", \ - "7.284692,7.858970,8.245808,8.663046,8.975865,9.129986,9.201038", \ - "7.259535,7.857570,8.232837,8.626251,8.956158,9.118926,9.186599", \ - "7.352503,7.944300,8.315922,8.727793,9.041778,9.195891,9.274839", \ - "7.517671,8.121771,8.505879,8.924632,9.270992,9.450187,9.490037"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.009620,11.582560,11.912090,12.330810,12.534150,12.783610,12.769770", \ - "10.991340,11.566820,11.855520,12.298890,12.545860,12.821280,12.810040", \ - "10.923500,11.498800,11.775590,12.195520,12.527880,12.644640,12.629650", \ - "10.939390,11.459300,11.795150,12.218880,12.550760,12.611900,12.871370", \ - "11.031680,11.578210,11.866950,12.298080,12.630750,12.763240,12.749560", \ - "11.304240,11.881580,12.182810,12.539230,12.884680,13.079290,13.068930", \ - "11.670990,12.238350,12.539760,12.996770,13.221080,13.374850,13.638630"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.384463,8.990018,9.395289,9.825835,10.219410,10.438180,10.564260", \ - "8.308037,8.968703,9.367736,9.798729,10.185740,10.405060,10.530890", \ - "8.322286,8.936834,9.316750,9.760444,10.144070,10.373400,10.498420", \ - "8.312415,8.903395,9.310204,9.745266,10.136220,10.355270,10.477110", \ - "8.330385,8.905574,9.282565,9.747251,10.137050,10.364470,10.489140", \ - "8.366713,8.973645,9.344410,9.794278,10.177950,10.422380,10.552700", \ - "8.572362,9.107116,9.531006,9.973634,10.375080,10.594190,10.707900"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.555110,12.193510,12.624650,13.135470,13.852310,14.283860,14.534730", \ - "11.524550,12.220380,12.633040,13.157030,13.815890,14.298100,14.509130", \ - "11.446430,12.143140,12.560450,13.190670,13.772410,14.069880,14.380700", \ - "11.440600,12.136250,12.523590,13.117520,13.829340,14.139850,14.371920", \ - "11.429200,12.107030,12.532140,13.117780,13.803170,14.242950,14.281140", \ - "11.518920,12.232960,12.623090,13.146120,13.861440,14.304120,14.343170", \ - "11.635830,12.373900,12.799320,13.416770,14.098460,14.580450,14.627480"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.006920,8.599554,8.989612,9.442281,9.806396,10.013150,10.116570", \ - "7.933618,8.584261,8.964480,9.408318,9.772764,9.980739,10.079850", \ - "7.942436,8.531387,8.936303,9.373505,9.739411,9.941719,10.046570", \ - "7.914537,8.523268,8.897311,9.354323,9.717342,9.930801,10.032890", \ - "7.920386,8.496684,8.899522,9.357647,9.718247,9.923073,10.035890", \ - "8.005735,8.582137,8.973626,9.407255,9.770925,9.991665,10.107400", \ - "8.188180,8.757910,9.118213,9.586723,9.959465,10.178610,10.274100"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.437180,12.061960,12.406760,12.895510,13.289210,13.558010,13.833080", \ - "11.450710,12.032590,12.427880,12.916340,13.442050,13.745060,13.749640", \ - "11.373560,12.012170,12.391240,12.816350,13.208340,13.619450,13.621940", \ - "11.367930,11.950200,12.318680,12.875010,13.267130,13.609890,13.613080", \ - "11.336950,11.975880,12.327130,12.875580,13.134280,13.518340,13.794210", \ - "11.448990,12.073240,12.421650,12.909940,13.311160,13.743060,13.859400", \ - "11.528750,12.190320,12.564510,13.150990,13.655050,13.886230,13.898050"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.016748,8.641482,9.045431,9.469343,9.811897,10.008110,10.111270", \ - "7.999739,8.616947,8.989595,9.440262,9.780349,9.974212,10.080310", \ - "7.937134,8.560012,8.953879,9.403107,9.745696,9.941599,10.038860", \ - "7.958354,8.558559,8.939905,9.384814,9.722464,9.919422,10.021540", \ - "7.919640,8.546045,8.929695,9.372792,9.711442,9.910193,10.018800", \ - "8.051429,8.636569,9.016847,9.418457,9.776480,9.974645,10.088850", \ - "8.178658,8.776399,9.164066,9.595821,9.972201,10.166540,10.244000"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.441410,12.068470,12.415710,12.964450,13.474030,13.564260,13.839200", \ - "11.413190,12.051040,12.378880,12.859690,13.433510,13.492240,13.767730", \ - "11.390730,11.991080,12.336390,12.885310,13.395010,13.631980,13.636040", \ - "11.321110,11.960170,12.313170,12.794270,13.324520,13.618900,13.621750", \ - "11.340940,11.979450,12.318190,12.776230,13.327580,13.566850,13.796720", \ - "11.449520,12.048790,12.439150,12.918460,13.429340,13.582620,13.859580", \ - "11.523180,12.207900,12.606330,13.125260,13.664130,13.880010,14.096530"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.006920,8.599554,8.989612,9.442281,9.806396,10.013150,10.116570", \ - "7.933618,8.584261,8.964480,9.408318,9.772764,9.980739,10.079850", \ - "7.942436,8.531387,8.936303,9.373505,9.739411,9.941719,10.046570", \ - "7.914537,8.523268,8.897311,9.354323,9.717342,9.930801,10.032890", \ - "7.920386,8.496684,8.899522,9.357647,9.718247,9.923073,10.035890", \ - "8.005735,8.582137,8.973626,9.407255,9.770925,9.991665,10.107400", \ - "8.188180,8.757910,9.118213,9.586723,9.959465,10.178610,10.274100"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.437180,12.061960,12.406760,12.895510,13.289210,13.558010,13.833080", \ - "11.450710,12.032590,12.427880,12.916340,13.442050,13.745060,13.749640", \ - "11.373560,12.012170,12.391240,12.816350,13.208340,13.619450,13.621940", \ - "11.367930,11.950200,12.318680,12.875010,13.267130,13.609890,13.613080", \ - "11.336950,11.975880,12.327130,12.875580,13.134280,13.518340,13.794210", \ - "11.448990,12.073240,12.421650,12.909940,13.311160,13.743060,13.859400", \ - "11.528750,12.190320,12.564510,13.150990,13.655050,13.886230,13.898050"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.561546,8.226352,8.593209,9.041058,9.397441,9.580769,9.676779", \ - "7.554965,8.190403,8.567275,9.010299,9.363128,9.558598,9.642685", \ - "7.557927,8.154278,8.522012,8.970358,9.326967,9.515455,9.607849", \ - "7.541915,8.121122,8.523442,8.946136,9.298520,9.488715,9.580914", \ - "7.508988,8.122050,8.498315,8.925369,9.293303,9.494822,9.581605", \ - "7.642928,8.207152,8.582523,9.012145,9.361422,9.565420,9.659694", \ - "7.762960,8.371840,8.757354,9.189210,9.561432,9.769474,9.838271"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.366360,11.880370,12.195640,12.614550,12.953400,13.108250,13.093200", \ - "11.337050,11.905050,12.216130,12.501480,12.868150,13.023930,13.009990", \ - "11.316740,11.857480,12.180240,12.531420,12.873650,12.898690,13.154120", \ - "11.281270,11.822040,12.109010,12.460200,12.707670,12.995810,13.146440", \ - "11.281010,11.793380,12.116110,12.461100,12.802430,13.067640,13.055300", \ - "11.344500,11.909470,12.216700,12.633960,12.971160,13.135920,13.124780", \ - "11.445790,12.028680,12.381230,12.781260,13.115320,13.198350,13.465840"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.636470,8.262504,8.661624,9.081309,9.411012,9.584401,9.671702", \ - "7.595310,8.207625,8.632021,9.044496,9.379666,9.553142,9.639927", \ - "7.542633,8.211954,8.595800,8.997234,9.341078,9.516198,9.602481", \ - "7.531700,8.171308,8.533187,8.968949,9.310343,9.481142,9.573447", \ - "7.558634,8.162609,8.498959,8.959473,9.293393,9.479908,9.566091", \ - "7.665534,8.232698,8.621951,9.043130,9.367978,9.545588,9.645177", \ - "7.803933,8.379126,8.784658,9.205916,9.578215,9.760275,9.811823"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.372870,11.885050,12.210570,12.555600,12.881480,13.114350,13.099520", \ - "11.355970,11.888420,12.174080,12.584810,12.787760,13.043570,13.029910", \ - "11.277280,11.845030,12.132110,12.477080,12.803080,12.911280,13.168520", \ - "11.264600,11.777090,12.109730,12.519470,12.844400,12.993590,13.155310", \ - "11.284570,11.796920,12.096700,12.503910,12.704070,13.070310,13.057630", \ - "11.346120,11.909960,12.239900,12.517500,12.847030,13.136320,13.124550", \ - "11.440080,12.007490,12.371270,12.773800,13.149300,13.191240,13.459580"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.008750,8.600531,8.988445,9.458470,9.808037,10.008080,10.115380", \ - "7.990656,8.582640,8.951717,9.425305,9.779612,9.975608,10.080050", \ - "7.961838,8.527205,8.939544,9.389942,9.740392,9.936242,10.043020", \ - "7.912113,8.505137,8.927660,9.365759,9.720061,9.913857,10.017520", \ - "7.906850,8.521931,8.927260,9.348562,9.717258,9.917897,10.025770", \ - "7.975079,8.607788,8.983000,9.412213,9.780049,9.992216,10.108010", \ - "8.163438,8.772163,9.136389,9.612685,9.989177,10.187180,10.281280"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.652150,12.298110,12.655420,13.218770,13.749620,13.875910,14.154220", \ - "11.672860,12.261000,12.669650,13.102290,13.653780,13.787240,14.065580", \ - "11.599780,12.244980,12.635850,13.131700,13.663440,13.932230,14.018770", \ - "11.598640,12.186280,12.564730,13.059320,13.528050,13.930080,13.935010", \ - "11.566420,12.211640,12.567460,13.054990,13.588820,13.838850,13.902530", \ - "11.656060,12.302500,12.655830,13.195880,13.725670,13.879360,14.159630", \ - "11.743740,12.435550,12.846080,13.368400,13.930140,14.123610,14.134460"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.647496,8.246630,8.630497,9.067313,9.396560,9.582906,9.675341", \ - "7.546859,8.222481,8.597049,9.032284,9.366974,9.549950,9.641180", \ - "7.547467,8.179188,8.561932,8.994238,9.333746,9.521827,9.603068", \ - "7.524109,8.151210,8.537306,8.964632,9.303203,9.481721,9.576070", \ - "7.536565,8.146726,8.494456,8.958072,9.295734,9.486384,9.579283", \ - "7.661229,8.225372,8.602082,9.026609,9.373262,9.573434,9.658519", \ - "7.835554,8.361255,8.780629,9.217701,9.582308,9.777322,9.842807"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.623860,12.169270,12.479330,12.839490,13.185560,13.422320,13.410100", \ - "11.614130,12.133840,12.426290,12.852500,13.068130,13.333990,13.320330", \ - "11.541770,12.061770,12.393470,12.754190,13.100590,13.208310,13.466800", \ - "11.483020,12.058420,12.389650,12.683140,12.938530,13.205530,13.192910", \ - "11.508580,12.039990,12.329230,12.681460,13.029350,13.114420,13.373660", \ - "11.601320,12.122620,12.470400,12.826390,13.172550,13.156410,13.418720", \ - "11.654550,12.279890,12.645800,13.039880,13.407800,13.427800,13.695560"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.691094,8.308784,8.668451,9.087774,9.415951,9.587008,9.673340", \ - "7.649997,8.277060,8.644262,9.053708,9.382694,9.554691,9.641489", \ - "7.612335,8.216652,8.622412,9.014295,9.353077,9.520953,9.602943", \ - "7.548849,8.180818,8.570308,8.986037,9.305399,9.484695,9.568222", \ - "7.558070,8.177895,8.565205,8.968959,9.304516,9.481191,9.568954", \ - "7.678708,8.260737,8.641224,9.056685,9.376812,9.553775,9.651304", \ - "7.840441,8.417424,8.794200,9.226670,9.595505,9.770153,9.823605"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.600430,12.120270,12.467310,12.885470,13.086430,13.428800,13.416220", \ - "11.577050,12.096810,12.426480,12.778190,13.032730,13.350750,13.338380", \ - "11.502940,12.078810,12.386340,12.804890,13.004580,13.224710,13.211390", \ - "11.492270,12.012160,12.364440,12.717230,13.047110,13.214780,13.202490", \ - "11.512600,12.032320,12.348130,12.694000,13.036120,13.117140,13.376490", \ - "11.601960,12.123050,12.480140,12.811670,13.126560,13.156470,13.418460", \ - "11.708010,12.286070,12.635460,12.991780,13.301890,13.421930,13.689130"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.041705,8.637000,9.019616,9.483728,9.845025,10.044390,10.152050", \ - "8.015939,8.653123,9.034073,9.495562,9.846647,10.061570,10.171910", \ - "8.033361,8.646147,9.050613,9.482733,9.849710,10.052120,10.160070", \ - "8.023329,8.608801,8.990807,9.449673,9.804104,10.017640,10.132230", \ - "7.992994,8.589194,8.964210,9.431980,9.789827,10.000130,10.107810", \ - "8.012633,8.608731,8.984947,9.421168,9.800263,10.007540,10.115490", \ - "8.127227,8.683136,9.095945,9.527909,9.924641,10.126050,10.214900"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.785700,12.502460,12.957190,13.505520,14.247290,14.748090,14.871770", \ - "11.780010,12.496000,12.906420,13.525850,14.213630,14.797440,14.894410", \ - "11.751880,12.448510,12.882790,13.502360,14.182640,14.602210,14.697260", \ - "11.716940,12.441420,12.861930,13.407260,14.167920,14.578330,14.948270", \ - "11.778270,12.527520,12.961800,13.521720,14.282170,14.750520,14.849620", \ - "12.067960,12.763010,13.183430,13.756740,14.551030,15.026100,15.238020", \ - "12.438550,13.182290,13.581440,14.144840,14.913030,15.253720,15.627720"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.635036,8.264110,8.658033,9.079184,9.431610,9.624886,9.714278", \ - "7.660450,8.280266,8.668810,9.083774,9.445964,9.632949,9.721773", \ - "7.658097,8.240872,8.648064,9.072997,9.428167,9.615894,9.702777", \ - "7.604146,8.213889,8.590032,9.041736,9.387040,9.583816,9.668913", \ - "7.536801,8.185004,8.567720,9.008510,9.346491,9.548114,9.642945", \ - "7.621340,8.210839,8.573190,9.020263,9.375091,9.564498,9.658752", \ - "7.698225,8.307689,8.696816,9.118463,9.512422,9.707824,9.765386"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.712510,12.373500,12.730930,13.257930,13.825320,14.200950,14.359280", \ - "11.705460,12.328160,12.715970,13.268100,13.842270,14.193140,14.134010", \ - "11.653250,12.280890,12.658810,13.161930,13.649740,13.883020,14.214530", \ - "11.643980,12.267180,12.625190,13.149710,13.623150,14.129480,14.190590", \ - "11.712840,12.365330,12.734330,13.262350,13.700540,14.030680,14.362930", \ - "11.991070,12.594320,12.953220,13.476260,14.046740,14.301920,14.633810", \ - "12.329390,13.025970,13.424540,13.921330,14.508470,14.834880,14.899470"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.682815,8.284649,8.680069,9.110854,9.445652,9.616634,9.707028", \ - "7.698342,8.312894,8.689454,9.129193,9.459790,9.636924,9.726334", \ - "7.660117,8.304524,8.662380,9.105178,9.432694,9.623453,9.707006", \ - "7.621375,8.251298,8.622431,9.063034,9.383782,9.572593,9.663825", \ - "7.613786,8.201981,8.590948,9.025074,9.355367,9.544857,9.633064", \ - "7.641955,8.246761,8.604018,9.049410,9.382266,9.559647,9.655576", \ - "7.734125,8.328252,8.702466,9.167724,9.523394,9.703840,9.770799"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.898310,12.561000,12.945510,13.370840,13.948720,14.397630,14.465670", \ - "11.887940,12.493910,12.879950,13.384070,13.961630,14.168430,14.505930", \ - "11.806880,12.469760,12.846860,13.411690,13.935850,14.253970,14.318400", \ - "11.832980,12.456130,12.808650,13.399500,13.967240,14.230090,14.296160", \ - "11.893740,12.547100,12.908320,13.365100,13.906360,14.402300,14.469440", \ - "12.113620,12.777130,13.173000,13.694360,14.191670,14.395550,14.733900", \ - "12.515830,13.210090,13.595810,14.059070,14.534590,15.025610,15.206370"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.635036,8.264110,8.658033,9.079184,9.431610,9.624886,9.714278", \ - "7.660450,8.280266,8.668810,9.083774,9.445964,9.632949,9.721773", \ - "7.658097,8.240872,8.648064,9.072997,9.428167,9.615894,9.702777", \ - "7.604146,8.213889,8.590032,9.041736,9.387040,9.583816,9.668913", \ - "7.536801,8.185004,8.567720,9.008510,9.346491,9.548114,9.642945", \ - "7.621340,8.210839,8.573190,9.020263,9.375091,9.564498,9.658752", \ - "7.698225,8.307689,8.696816,9.118463,9.512422,9.707824,9.765386"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.712510,12.373500,12.730930,13.257930,13.825320,14.200950,14.359280", \ - "11.705460,12.328160,12.715970,13.268100,13.842270,14.193140,14.134010", \ - "11.653250,12.280890,12.658810,13.161930,13.649740,13.883020,14.214530", \ - "11.643980,12.267180,12.625190,13.149710,13.623150,14.129480,14.190590", \ - "11.712840,12.365330,12.734330,13.262350,13.700540,14.030680,14.362930", \ - "11.991070,12.594320,12.953220,13.476260,14.046740,14.301920,14.633810", \ - "12.329390,13.025970,13.424540,13.921330,14.508470,14.834880,14.899470"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.260049,7.884814,8.277235,8.697411,9.024072,9.199285,9.272234", \ - "7.279595,7.900802,8.287177,8.709979,9.038036,9.215966,9.286137", \ - "7.275588,7.875885,8.262970,8.679594,9.015353,9.182878,9.255532", \ - "7.212758,7.797162,8.202870,8.609535,8.954748,9.125263,9.202945", \ - "7.172688,7.768737,8.117685,8.585153,8.921611,9.096492,9.171743", \ - "7.201507,7.775196,8.184091,8.598483,8.947169,9.118395,9.201792", \ - "7.320391,7.896799,8.268431,8.721582,9.102400,9.281395,9.320946"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.657230,12.225090,12.525140,12.975830,13.324690,13.582060,13.621960", \ - "11.595190,12.185280,12.476820,12.862260,13.286010,13.356790,13.668850", \ - "11.565180,12.101240,12.452880,12.837830,13.228090,13.437590,13.476980", \ - "11.530900,12.123420,12.419950,12.878860,13.263510,13.411520,13.452530", \ - "11.647300,12.185160,12.527550,12.855760,13.204440,13.582820,13.624840", \ - "11.875440,12.466750,12.746050,13.200460,13.453390,13.579290,13.892890", \ - "12.247460,12.862100,13.152180,13.556390,13.953520,14.160690,14.191350"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.287291,7.903325,8.318420,8.711482,9.042100,9.199974,9.275842", \ - "7.300195,7.942680,8.326964,8.733178,9.050928,9.217854,9.284904", \ - "7.315015,7.911503,8.303390,8.695534,9.022681,9.192788,9.260606", \ - "7.202686,7.854909,8.243273,8.646877,8.966705,9.130815,9.199362", \ - "7.205347,7.788715,8.150191,8.600673,8.925589,9.101257,9.168008", \ - "7.258838,7.825261,8.221726,8.623430,8.959627,9.117222,9.198137", \ - "7.332970,7.943432,8.303880,8.755771,9.114864,9.288210,9.324530"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.785060,12.380740,12.726190,13.111940,13.438990,13.679580,13.727950", \ - "11.774910,12.313910,12.674300,13.124110,13.458080,13.723770,13.769030", \ - "11.714020,12.289550,12.584300,12.969570,13.405220,13.536040,13.853550", \ - "11.719840,12.258890,12.613900,13.005500,13.409750,13.511670,13.830760", \ - "11.779630,12.375410,12.722370,13.107200,13.490490,13.684040,13.730780", \ - "12.055440,12.614370,12.962930,13.298880,13.759460,13.946170,13.992790", \ - "12.448780,13.004890,13.371340,13.705420,13.963270,14.446040,14.496100"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.682376,8.286921,8.675096,9.099385,9.430771,9.618158,9.710002", \ - "7.700672,8.300281,8.681159,9.114564,9.450789,9.640525,9.716953", \ - "7.678926,8.288037,8.667298,9.097141,9.429633,9.624345,9.704573", \ - "7.612853,8.206423,8.601986,9.053552,9.385347,9.570172,9.663100", \ - "7.575693,8.161240,8.580037,9.017675,9.356869,9.543441,9.631928", \ - "7.633202,8.221285,8.598289,9.040441,9.376333,9.567241,9.653610", \ - "7.722733,8.293262,8.708502,9.131428,9.519105,9.709481,9.771483"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.007130,12.638540,13.000750,13.521020,14.042940,14.291890,14.621560", \ - "11.951190,12.607560,13.018420,13.541770,13.990100,14.365730,14.670090", \ - "11.940980,12.578180,12.924620,13.513880,13.970710,14.418570,14.477240", \ - "11.936290,12.544770,12.956600,13.474510,13.994040,14.392000,14.451550", \ - "11.997110,12.662450,12.992890,13.577640,14.101380,14.290070,14.623320", \ - "12.219240,12.885690,13.269560,13.728480,14.227460,14.561680,14.893200", \ - "12.606330,13.302420,13.687250,14.224700,14.809820,15.015040,15.349490"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.314321,7.905478,8.301133,8.696834,9.032938,9.198786,9.272943", \ - "7.288358,7.929970,8.276008,8.721573,9.051436,9.218770,9.283839", \ - "7.266911,7.899263,8.282078,8.686358,9.024196,9.184018,9.260125", \ - "7.224809,7.844925,8.199804,8.642572,8.961430,9.124329,9.200762", \ - "7.165037,7.785159,8.169492,8.595044,8.926063,9.092632,9.166510", \ - "7.252817,7.811125,8.168704,8.628353,8.954880,9.123922,9.195954", \ - "7.329879,7.916642,8.309146,8.731729,9.110167,9.288346,9.332152"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.891740,12.490870,12.805220,13.260460,13.653510,13.842400,13.880350", \ - "11.883740,12.425630,12.754960,13.143660,13.475910,13.614840,13.926190", \ - "11.854990,12.400940,12.728080,13.119480,13.539540,13.692650,13.732690", \ - "11.835370,12.418630,12.692640,13.156600,13.412590,13.668520,13.981300", \ - "11.937200,12.479690,12.797570,13.262690,13.519430,13.840360,13.880300", \ - "12.159420,12.713040,13.072400,13.466850,13.852520,14.107250,14.147510", \ - "12.514640,13.150340,13.459620,13.865910,14.267110,14.318080,14.633580"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.347798,7.954199,8.340838,8.737163,9.050682,9.207261,9.276921", \ - "7.365897,7.970801,8.350586,8.754833,9.063847,9.227412,9.289621", \ - "7.282825,7.950391,8.308303,8.726862,9.039551,9.202010,9.265231", \ - "7.278039,7.862744,8.265909,8.662450,8.975300,9.129536,9.199500", \ - "7.235030,7.817012,8.204324,8.616388,8.927405,9.094498,9.163217", \ - "7.269181,7.837910,8.239946,8.648210,8.964679,9.123966,9.194329", \ - "7.357257,7.956864,8.344970,8.762565,9.123675,9.297272,9.331535"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.119200,12.664110,13.012810,13.401360,13.812590,13.924930,14.240960", \ - "12.049190,12.650940,12.958470,13.414070,13.805400,13.967380,14.087250", \ - "12.025790,12.570540,12.934070,13.257730,13.776720,13.776250,14.094620", \ - "11.993750,12.595000,12.895330,13.293110,13.659890,13.753860,14.071940", \ - "12.111810,12.659350,12.991760,13.390040,13.816770,13.926240,14.244020", \ - "12.325400,12.891220,13.247460,13.575290,13.961480,14.188130,14.505310", \ - "12.744660,13.319660,13.627350,14.031430,14.308920,14.607710,14.925990"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.397572,9.000538,9.396834,9.831887,10.218610,10.443920,10.564400", \ - "8.367994,8.968332,9.356482,9.792839,10.185760,10.409300,10.533510", \ - "8.336789,8.931691,9.314729,9.778181,10.154390,10.374000,10.496280", \ - "8.302712,8.918598,9.302941,9.766316,10.136930,10.356550,10.483800", \ - "8.295257,8.894246,9.272976,9.761945,10.125490,10.358050,10.487370", \ - "8.311181,8.921781,9.327655,9.758378,10.143210,10.379140,10.505710", \ - "8.456633,8.997288,9.388215,9.862990,10.264230,10.478960,10.582700"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.383760,13.099610,13.477460,14.169170,14.801470,15.126170,15.496760", \ - "12.320050,13.046750,13.481000,14.072500,14.803380,15.338230,15.435400", \ - "12.335940,13.027170,13.395310,14.086840,14.741980,15.191390,15.287320", \ - "12.313150,12.991430,13.442450,13.996260,14.757940,15.259740,15.457180", \ - "12.279140,12.992670,13.438400,13.985260,14.705820,15.061870,15.431750", \ - "12.371080,13.087250,13.508840,14.078860,14.873120,15.326630,15.423840", \ - "12.539340,13.256550,13.700010,14.331110,14.976510,15.366370,15.742140"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.006412,8.608542,8.994854,9.447668,9.806174,10.012240,10.112170", \ - "7.968989,8.557946,8.953975,9.408791,9.772733,9.976816,10.087750", \ - "7.887768,8.546662,8.918318,9.381157,9.743981,9.942847,10.051140", \ - "7.919823,8.514188,8.901127,9.359046,9.717852,9.924389,10.029350", \ - "7.857929,8.511105,8.901723,9.348319,9.701353,9.926216,10.029350", \ - "7.932008,8.533621,8.896615,9.367430,9.711459,9.941730,10.052390", \ - "8.040133,8.601970,9.021722,9.457237,9.851142,10.055330,10.142750"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.308930,12.924860,13.316860,13.841150,14.291540,14.679940,14.738640", \ - "12.244170,12.905030,13.291150,13.809310,14.390530,14.619570,14.678410", \ - "12.247190,12.877140,13.264480,13.821630,14.263250,14.471050,14.862740", \ - "12.181430,12.842810,13.220520,13.732710,14.195200,14.446130,14.779180", \ - "12.197380,12.858000,13.205100,13.716560,14.294230,14.616520,14.677250", \ - "12.298260,12.901820,13.296680,13.811310,14.384960,14.605520,14.938790", \ - "12.420300,13.106720,13.486150,13.950260,14.460850,14.940900,15.005330"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.039567,8.639902,9.039915,9.465555,9.802298,10.005450,10.110460", \ - "8.005413,8.610168,8.990933,9.432734,9.777625,9.978693,10.079600", \ - "7.946131,8.578097,8.940867,9.401716,9.744845,9.941549,10.045140", \ - "7.915864,8.553131,8.940050,9.377407,9.715063,9.924973,10.027400", \ - "7.909288,8.538274,8.925297,9.363113,9.711264,9.908227,10.016790", \ - "7.969926,8.546410,8.958983,9.367732,9.727171,9.931205,10.042470", \ - "8.090849,8.648073,9.040695,9.492826,9.855060,10.056130,10.129090"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.436510,13.100500,13.485340,14.002770,14.579540,14.780180,15.115900", \ - "12.425010,13.088150,13.454180,13.902840,14.481570,14.711610,15.048950", \ - "12.389320,13.052440,13.397910,13.916020,14.492630,14.840560,14.905210", \ - "12.369830,12.976230,13.387380,13.956410,14.523970,14.816310,14.883100", \ - "12.385560,12.991640,13.421450,13.930890,14.369510,14.716040,15.054270", \ - "12.477050,13.083000,13.488760,14.003000,14.446260,14.968060,15.035400", \ - "12.564370,13.280290,13.686580,14.217640,14.666940,14.986930,15.329490"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.006412,8.608542,8.994854,9.447668,9.806174,10.012240,10.112170", \ - "7.968989,8.557946,8.953975,9.408791,9.772733,9.976816,10.087750", \ - "7.887768,8.546662,8.918318,9.381157,9.743981,9.942847,10.051140", \ - "7.919823,8.514188,8.901127,9.359046,9.717852,9.924389,10.029350", \ - "7.857929,8.511105,8.901723,9.348319,9.701353,9.926216,10.029350", \ - "7.932008,8.533621,8.896615,9.367430,9.711459,9.941730,10.052390", \ - "8.040133,8.601970,9.021722,9.457237,9.851142,10.055330,10.142750"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.308930,12.924860,13.316860,13.841150,14.291540,14.679940,14.738640", \ - "12.244170,12.905030,13.291150,13.809310,14.390530,14.619570,14.678410", \ - "12.247190,12.877140,13.264480,13.821630,14.263250,14.471050,14.862740", \ - "12.181430,12.842810,13.220520,13.732710,14.195200,14.446130,14.779180", \ - "12.197380,12.858000,13.205100,13.716560,14.294230,14.616520,14.677250", \ - "12.298260,12.901820,13.296680,13.811310,14.384960,14.605520,14.938790", \ - "12.420300,13.106720,13.486150,13.950260,14.460850,14.940900,15.005330"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.608862,8.203908,8.597295,9.049407,9.398156,9.580020,9.673068", \ - "7.579302,8.184466,8.558454,9.007962,9.368328,9.551195,9.642524", \ - "7.542244,8.165781,8.525568,8.976517,9.327708,9.521960,9.603040", \ - "7.523181,8.108387,8.503709,8.955623,9.299883,9.488658,9.582293", \ - "7.498834,8.084174,8.490079,8.915196,9.273757,9.479812,9.570607", \ - "7.554993,8.118544,8.516363,8.948141,9.303700,9.503111,9.596522", \ - "7.644731,8.229262,8.628837,9.055854,9.441336,9.626444,9.693669"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.196080,12.760870,13.084590,13.469570,13.861240,13.961980,14.271970", \ - "12.188930,12.724680,13.058280,13.510710,13.866770,13.901070,14.214120", \ - "12.150290,12.685790,13.002410,13.388140,13.784010,14.026880,14.067300", \ - "12.126610,12.662590,12.978950,13.433120,13.819020,14.000590,14.041950", \ - "12.142140,12.678150,12.971600,13.417200,13.675540,13.898830,14.213080", \ - "12.185240,12.778200,13.061620,13.509270,13.908740,14.159230,14.202410", \ - "12.325380,12.904340,13.275780,13.680140,14.078270,14.243270,14.286450"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.626849,8.273313,8.660583,9.076268,9.404986,9.586766,9.668348", \ - "7.616098,8.217513,8.596612,9.042373,9.377588,9.549446,9.640518", \ - "7.558744,8.170857,8.561408,9.004828,9.336683,9.512840,9.602952", \ - "7.562025,8.174443,8.533413,8.974298,9.312489,9.493985,9.577173", \ - "7.533595,8.139804,8.481671,8.952688,9.292508,9.476432,9.557537", \ - "7.586000,8.177290,8.541940,8.983524,9.313396,9.492983,9.581336", \ - "7.672664,8.268839,8.655991,9.096945,9.450848,9.633960,9.693874"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.381570,12.920060,13.265760,13.716650,13.976220,14.334970,14.377890", \ - "12.369400,12.907600,13.234670,13.620040,13.999690,14.266410,14.311780", \ - "12.276250,12.872350,13.178510,13.630820,13.928040,14.122790,14.440750", \ - "12.256900,12.852390,13.151800,13.535080,13.960230,14.098480,14.418040", \ - "12.289690,12.867500,13.201390,13.644340,14.015440,14.270620,14.318230", \ - "12.363810,12.905720,13.267380,13.714580,14.095410,14.250910,14.297540", \ - "12.526370,13.106360,13.421850,13.821580,14.116540,14.555200,14.609640"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.001925,8.628310,8.996496,9.457887,9.812033,10.005390,10.113310", \ - "7.982161,8.576206,8.989801,9.425294,9.780455,9.973164,10.082320", \ - "7.962843,8.528913,8.952239,9.392511,9.743287,9.942027,10.044870", \ - "7.904692,8.519208,8.928044,9.369643,9.719783,9.917883,10.023290", \ - "7.912301,8.499689,8.892062,9.336824,9.709069,9.916410,10.022180", \ - "7.925191,8.545134,8.907371,9.379294,9.725428,9.926779,10.044630", \ - "8.071271,8.635918,9.039688,9.469535,9.857356,10.058450,10.131490"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.545120,13.211040,13.559680,14.149460,14.599820,14.942090,14.999030", \ - "12.535480,13.145620,13.529910,14.054200,14.554880,14.878410,15.207480", \ - "12.496870,13.161970,13.542080,14.063050,14.511140,15.000840,15.058670", \ - "12.473340,13.139270,13.515950,13.968620,14.548610,14.978870,15.037310", \ - "12.487280,13.095340,13.506060,14.087930,14.477540,14.872670,14.932280", \ - "12.576800,13.185050,13.598080,14.162560,14.735660,14.854520,15.187040", \ - "12.712460,13.359080,13.769380,14.235900,14.759320,15.132070,15.468260"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.634923,8.242998,8.632452,9.065471,9.401492,9.582719,9.675404", \ - "7.583562,8.214642,8.606523,9.030291,9.363206,9.557020,9.638686", \ - "7.564504,8.165326,8.565368,8.995399,9.331498,9.520805,9.604079", \ - "7.542138,8.144837,8.532959,8.966542,9.298595,9.483826,9.575465", \ - "7.507011,8.090336,8.501191,8.923178,9.288728,9.477385,9.566039", \ - "7.565339,8.141103,8.531085,8.972986,9.295201,9.492393,9.590285", \ - "7.689006,8.259871,8.598860,9.076105,9.447240,9.637417,9.695893"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.487760,13.029650,13.401760,13.723140,14.180200,14.219010,14.530810", \ - "12.449970,13.019480,13.373320,13.762900,14.198790,14.426860,14.465470", \ - "12.438410,12.980380,13.315900,13.770030,14.160690,14.278530,14.317880", \ - "12.415710,12.957930,13.290220,13.677860,14.085300,14.256700,14.295640", \ - "12.371760,12.970730,13.274360,13.655520,14.124230,14.151410,14.464600", \ - "12.461350,13.060300,13.347630,13.733630,14.199710,14.404780,14.445240", \ - "12.610890,13.216480,13.563610,13.968390,14.366580,14.426710,14.743230"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.634501,8.260603,8.654527,9.093038,9.406834,9.590578,9.673279", \ - "7.651445,8.258279,8.650355,9.051643,9.379608,9.557207,9.640080", \ - "7.566266,8.229763,8.614823,9.021540,9.351913,9.522585,9.604894", \ - "7.515470,8.195874,8.578677,8.984876,9.310973,9.487532,9.570046", \ - "7.529210,8.139570,8.531032,8.955406,9.287520,9.470022,9.558816", \ - "7.615526,8.191093,8.565491,8.981075,9.315252,9.488793,9.579845", \ - "7.681218,8.278591,8.647255,9.102912,9.459273,9.639362,9.693202"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("12.683940,13.246170,13.533200,13.987810,14.397350,14.572980,14.617970", \ - "12.641370,13.185990,13.566180,13.886010,14.281430,14.504800,14.820560", \ - "12.607180,13.160450,13.529120,13.898850,14.288160,14.359690,14.677740", \ - "12.587500,13.131860,13.481300,13.937220,14.320740,14.339880,14.658720", \ - "12.601340,13.146040,13.458680,13.905560,14.161930,14.509610,14.555450", \ - "12.681940,13.226880,13.576870,13.963860,14.214790,14.480880,14.798790", \ - "12.798540,13.401530,13.738100,14.140250,14.402920,14.733390,14.780220"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR2_X1 - Cell Description : Combinational cell (OR2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OR2_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 17.731653; - - leakage_power () { - when : "!A1 & !A2"; - value : 20.783720; - } - leakage_power () { - when : "!A1 & A2"; - value : 15.916575; - } - leakage_power () { - when : "A1 & !A2"; - value : 16.588396; - } - leakage_power () { - when : "A1 & A2"; - value : 17.637918; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.904619; - fall_capacitance : 0.767267; - rise_capacitance : 0.904619; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.910052; - fall_capacitance : 0.858727; - rise_capacitance : 0.910052; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.149710,0.164043,0.176850,0.196216,0.225618,0.272171,0.350958", \ - "0.150978,0.165323,0.178145,0.197522,0.226931,0.273491,0.352279", \ - "0.164279,0.178619,0.191418,0.210777,0.240173,0.286732,0.365525", \ - "0.197058,0.211318,0.223981,0.243255,0.272659,0.319226,0.398025", \ - "0.250409,0.264817,0.277631,0.296837,0.326323,0.372966,0.451805", \ - "0.312503,0.328859,0.343130,0.364022,0.395035,0.442906,0.522270", \ - "0.378999,0.397405,0.413536,0.436711,0.469909,0.519683,0.601038"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0405873,0.0548169,0.0714915,0.104036,0.168361,0.296308,0.551773", \ - "0.0460064,0.0601929,0.0768507,0.109419,0.173764,0.301747,0.557212", \ - "0.0640460,0.0779855,0.0944866,0.127011,0.191468,0.319635,0.575227", \ - "0.0870091,0.101278,0.117633,0.149904,0.214182,0.342393,0.598087", \ - "0.108328,0.123878,0.140278,0.172005,0.236075,0.363989,0.619690", \ - "0.124436,0.142481,0.159877,0.191556,0.255031,0.382711,0.638183", \ - "0.133331,0.154368,0.173825,0.206417,0.269495,0.396654,0.651832"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0267397,0.0313247,0.0359621,0.0441577,0.0591309,0.0876925,0.145053", \ - "0.0267480,0.0313239,0.0359626,0.0441645,0.0591254,0.0876927,0.145046", \ - "0.0267725,0.0313309,0.0359732,0.0441662,0.0591327,0.0876957,0.145052", \ - "0.0267669,0.0313663,0.0360230,0.0442187,0.0591618,0.0877141,0.145059", \ - "0.0286678,0.0326569,0.0369707,0.0448734,0.0595834,0.0879502,0.145172", \ - "0.0357041,0.0394873,0.0432458,0.0502086,0.0637553,0.0906127,0.146347", \ - "0.0433299,0.0473269,0.0510029,0.0573467,0.0697291,0.0955409,0.150524"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0135919,0.0253037,0.0403675,0.0708989,0.132181,0.254704,0.499589", \ - "0.0135934,0.0253000,0.0403669,0.0709104,0.132158,0.254712,0.499591", \ - "0.0138508,0.0253847,0.0403877,0.0709001,0.132172,0.254708,0.499591", \ - "0.0159326,0.0264339,0.0409014,0.0710243,0.132136,0.254722,0.499591", \ - "0.0199557,0.0289133,0.0421343,0.0715438,0.132393,0.254630,0.499592", \ - "0.0255866,0.0337296,0.0450941,0.0725982,0.132809,0.254883,0.499591", \ - "0.0321534,0.0405871,0.0505899,0.0751051,0.133527,0.255314,0.499694"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.163691,0.178006,0.190817,0.210181,0.239580,0.286131,0.364921", \ - "0.167689,0.182025,0.194839,0.214211,0.243617,0.290171,0.368957", \ - "0.184737,0.199066,0.211850,0.231215,0.260618,0.307173,0.385963", \ - "0.215065,0.229366,0.241921,0.261151,0.290560,0.337121,0.415913", \ - "0.259525,0.273933,0.286753,0.306071,0.335519,0.382139,0.460958", \ - "0.312520,0.328128,0.341930,0.362305,0.393046,0.440749,0.520082", \ - "0.372657,0.389518,0.404422,0.426325,0.458877,0.508576,0.589943"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0433759,0.0577852,0.0745814,0.107285,0.171767,0.299919,0.555511", \ - "0.0486174,0.0629967,0.0797725,0.112470,0.176963,0.305130,0.560741", \ - "0.0671479,0.0812760,0.0978984,0.130523,0.195082,0.323386,0.579109", \ - "0.0924870,0.106841,0.123236,0.155613,0.220018,0.348344,0.604149", \ - "0.116985,0.132446,0.148905,0.180619,0.244771,0.372855,0.628664", \ - "0.137007,0.154645,0.171895,0.203644,0.267335,0.395165,0.650775", \ - "0.150382,0.170793,0.189766,0.222159,0.285419,0.412772,0.668100"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0267516,0.0313256,0.0359639,0.0441604,0.0591311,0.0876921,0.145051", \ - "0.0267741,0.0313254,0.0359624,0.0441586,0.0591333,0.0876891,0.145049", \ - "0.0267645,0.0313295,0.0359668,0.0441581,0.0591336,0.0876953,0.145049", \ - "0.0267640,0.0313390,0.0359859,0.0441807,0.0591438,0.0876985,0.145057", \ - "0.0277914,0.0320840,0.0365299,0.0445511,0.0593720,0.0878373,0.145113", \ - "0.0317550,0.0361038,0.0404573,0.0481807,0.0624003,0.0898341,0.146015", \ - "0.0363575,0.0407900,0.0451585,0.0528066,0.0668428,0.0940424,0.149444"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0140817,0.0257127,0.0406943,0.0711496,0.132374,0.254863,0.499736", \ - "0.0140550,0.0256998,0.0406892,0.0711477,0.132346,0.254864,0.499734", \ - "0.0141424,0.0257155,0.0406855,0.0711332,0.132340,0.254862,0.499736", \ - "0.0159464,0.0265702,0.0410673,0.0712395,0.132357,0.254887,0.499739", \ - "0.0195327,0.0287389,0.0421605,0.0716910,0.132525,0.254839,0.499756", \ - "0.0246416,0.0328963,0.0446510,0.0725690,0.132923,0.255007,0.499787", \ - "0.0305729,0.0389588,0.0492687,0.0745568,0.133486,0.255420,0.499869"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.887368,1.978547,2.064538,2.197970,2.332391,2.470724,2.538968", \ - "1.857768,1.941649,2.030921,2.163210,2.311073,2.438070,2.505401", \ - "1.829006,1.918553,2.006907,2.128012,2.284311,2.410276,2.478858", \ - "1.854844,1.930665,2.016691,2.140282,2.292189,2.423577,2.492160", \ - "1.956414,2.027166,2.097734,2.213671,2.358817,2.478741,2.555620", \ - "2.098860,2.149011,2.210204,2.332521,2.466174,2.591780,2.668952", \ - "2.304230,2.323434,2.360753,2.455004,2.587671,2.751077,2.837502"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.342819,1.397534,1.438372,1.452264,1.483864,1.448678,1.498071", \ - "1.349547,1.403331,1.440792,1.456947,1.463499,1.497860,1.479492", \ - "1.323376,1.362907,1.386289,1.401360,1.398155,1.441234,1.497386", \ - "1.335334,1.357320,1.367847,1.394192,1.396948,1.446520,1.441619", \ - "1.413654,1.439472,1.432681,1.427399,1.432412,1.413971,1.484560", \ - "1.517917,1.569477,1.564733,1.559914,1.550885,1.525859,1.533293", \ - "1.656173,1.756838,1.767174,1.752201,1.733537,1.691378,1.688600"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.168840,2.259071,2.345393,2.466681,2.617740,2.752801,2.816085", \ - "2.145419,2.236149,2.322084,2.457233,2.602959,2.731117,2.796640", \ - "2.114695,2.211965,2.299304,2.428295,2.569207,2.703822,2.771136", \ - "2.114586,2.202908,2.287809,2.408404,2.546903,2.688128,2.756631", \ - "2.142139,2.219538,2.301286,2.422329,2.562455,2.689287,2.762923", \ - "2.156547,2.232153,2.318099,2.447483,2.580575,2.726844,2.797523", \ - "2.231202,2.281380,2.348871,2.461768,2.618398,2.796577,2.880277"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.488237,1.564958,1.599910,1.646606,1.655801,1.670928,1.730590", \ - "1.477929,1.542027,1.583112,1.634761,1.655939,1.633018,1.693176", \ - "1.443841,1.507003,1.528823,1.555238,1.578467,1.630347,1.627768", \ - "1.465149,1.501148,1.521190,1.559104,1.549408,1.606305,1.609429", \ - "1.539070,1.567784,1.568932,1.578114,1.608709,1.598197,1.676018", \ - "1.640293,1.701154,1.688834,1.681074,1.681700,1.718587,1.733840", \ - "1.791585,1.877187,1.873406,1.862111,1.843459,1.810973,1.885511"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR2_X2 - Cell Description : Combinational cell (OR2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OR2_X2) { - - drive_strength : 2; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 35.637376; - - leakage_power () { - when : "!A1 & !A2"; - value : 41.886735; - } - leakage_power () { - when : "!A1 & A2"; - value : 31.938192; - } - leakage_power () { - when : "A1 & !A2"; - value : 33.305632; - } - leakage_power () { - when : "A1 & A2"; - value : 35.418945; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.652689; - fall_capacitance : 1.370519; - rise_capacitance : 1.652689; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.623151; - fall_capacitance : 1.512870; - rise_capacitance : 1.623151; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.051700; - function : "(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.138428,0.153747,0.165781,0.184141,0.212341,0.257572,0.335108", \ - "0.139626,0.154951,0.166989,0.185357,0.213567,0.258805,0.336344", \ - "0.153098,0.168363,0.180368,0.198710,0.226914,0.272156,0.349696", \ - "0.186097,0.201314,0.213183,0.231450,0.259653,0.304895,0.382393", \ - "0.238738,0.254439,0.266554,0.284810,0.313136,0.358472,0.436072", \ - "0.298342,0.316125,0.329703,0.349668,0.379578,0.426352,0.504620", \ - "0.362477,0.382507,0.397851,0.420014,0.452004,0.500383,0.580415"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0379461,0.0538947,0.0705420,0.103057,0.167309,0.295269,0.550768", \ - "0.0433554,0.0592420,0.0758770,0.108428,0.172725,0.300697,0.556205", \ - "0.0610457,0.0766324,0.0931175,0.125634,0.190074,0.318238,0.573888", \ - "0.0827705,0.0986870,0.115000,0.147303,0.211568,0.339760,0.595511", \ - "0.102418,0.119725,0.136028,0.167761,0.231814,0.359743,0.615477", \ - "0.116639,0.136703,0.153825,0.185380,0.248922,0.376628,0.632117", \ - "0.123514,0.146906,0.165984,0.198303,0.261355,0.388531,0.643740"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0249302,0.0298517,0.0343368,0.0423721,0.0572146,0.0857806,0.143502", \ - "0.0249407,0.0298515,0.0343378,0.0423728,0.0572144,0.0857776,0.143497", \ - "0.0249402,0.0298587,0.0343486,0.0423873,0.0572229,0.0857830,0.143503", \ - "0.0249484,0.0299127,0.0344141,0.0424416,0.0572586,0.0857990,0.143504", \ - "0.0277735,0.0318769,0.0358391,0.0433885,0.0578415,0.0861231,0.143650", \ - "0.0347101,0.0386557,0.0422187,0.0489494,0.0623455,0.0892742,0.145079", \ - "0.0423053,0.0464798,0.0499145,0.0559986,0.0681181,0.0938090,0.149233"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0125918,0.0257799,0.0408975,0.0714416,0.132658,0.255158,0.500055", \ - "0.0125925,0.0257821,0.0408980,0.0714466,0.132644,0.255152,0.500062", \ - "0.0129262,0.0258584,0.0409169,0.0714357,0.132638,0.255139,0.500064", \ - "0.0150614,0.0268422,0.0414237,0.0715983,0.132664,0.255140,0.500062", \ - "0.0191998,0.0291511,0.0425400,0.0720875,0.132951,0.255127,0.500076", \ - "0.0248751,0.0338298,0.0453227,0.0730681,0.133367,0.255466,0.500077", \ - "0.0313722,0.0407047,0.0506819,0.0754459,0.134090,0.255883,0.500252"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.152344,0.167647,0.179674,0.198032,0.226228,0.271458,0.348990", \ - "0.156297,0.171605,0.183637,0.201999,0.230205,0.275437,0.352974", \ - "0.173440,0.188722,0.200739,0.219094,0.247295,0.292530,0.370065", \ - "0.203630,0.218890,0.230817,0.249122,0.277331,0.322577,0.400109", \ - "0.247634,0.263173,0.275294,0.293535,0.321814,0.367133,0.444715", \ - "0.298914,0.315755,0.328844,0.348376,0.378054,0.424646,0.502873", \ - "0.357299,0.375558,0.389731,0.410664,0.442121,0.490605,0.570807"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0406750,0.0568215,0.0735923,0.106277,0.170723,0.298847,0.554495", \ - "0.0459174,0.0620233,0.0787733,0.111459,0.175917,0.304050,0.559717", \ - "0.0642463,0.0800502,0.0966499,0.129272,0.193823,0.322098,0.577881", \ - "0.0884908,0.104514,0.120930,0.153309,0.217707,0.346002,0.601874", \ - "0.111519,0.128705,0.145076,0.176938,0.241114,0.369188,0.625045", \ - "0.129836,0.149411,0.166408,0.198059,0.261794,0.389642,0.645297", \ - "0.141370,0.164002,0.182576,0.214727,0.277978,0.405368,0.660745"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0249454,0.0298509,0.0343348,0.0423719,0.0572109,0.0857798,0.143498", \ - "0.0249423,0.0298508,0.0343360,0.0423707,0.0572111,0.0857802,0.143501", \ - "0.0249392,0.0298551,0.0343394,0.0423754,0.0572174,0.0857805,0.143502", \ - "0.0249319,0.0298768,0.0343692,0.0423991,0.0572323,0.0857881,0.143505", \ - "0.0264589,0.0309738,0.0351759,0.0429416,0.0575617,0.0859706,0.143584", \ - "0.0303465,0.0350067,0.0392089,0.0467708,0.0608881,0.0883438,0.144667", \ - "0.0350239,0.0397097,0.0439111,0.0513854,0.0652749,0.0924336,0.148138"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0130952,0.0261877,0.0412273,0.0716959,0.132857,0.255300,0.500211", \ - "0.0130648,0.0261782,0.0412202,0.0716946,0.132854,0.255306,0.500204", \ - "0.0131889,0.0261873,0.0412070,0.0716739,0.132845,0.255322,0.500208", \ - "0.0150567,0.0269860,0.0415891,0.0717958,0.132867,0.255297,0.500210", \ - "0.0187065,0.0289685,0.0425745,0.0722364,0.133088,0.255328,0.500229", \ - "0.0238109,0.0329527,0.0448651,0.0730421,0.133467,0.255570,0.500256", \ - "0.0296489,0.0389101,0.0492534,0.0748749,0.134041,0.255976,0.500417"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("3.667560,3.861456,4.031928,4.253618,4.540731,4.746884,4.864141", \ - "3.585578,3.794804,3.966643,4.206130,4.474366,4.692753,4.802664", \ - "3.542022,3.740957,3.909008,4.142570,4.396759,4.622938,4.748439", \ - "3.595310,3.783613,3.942189,4.156273,4.443665,4.658709,4.783819", \ - "3.815385,3.960064,4.103756,4.316078,4.552352,4.784061,4.911015", \ - "4.105727,4.193267,4.310491,4.531681,4.792050,5.024068,5.149898", \ - "4.542096,4.549577,4.619387,4.776578,5.042717,5.327792,5.490561"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("2.652506,2.784247,2.856682,2.896355,2.942158,2.867583,2.958612", \ - "2.663489,2.789373,2.854412,2.897983,2.928663,2.964620,2.921056", \ - "2.615919,2.712391,2.768288,2.778186,2.779062,2.860075,2.964792", \ - "2.647769,2.681077,2.703583,2.739809,2.810771,2.768765,2.888980", \ - "2.796577,2.843728,2.846232,2.848980,2.799729,2.893357,2.890641", \ - "2.998835,3.130300,3.128827,3.078765,3.095853,3.066438,3.053218", \ - "3.302014,3.511672,3.538360,3.504860,3.466773,3.447933,3.439285"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("4.237947,4.423152,4.605007,4.828276,5.113819,5.319214,5.442253", \ - "4.190019,4.375804,4.560750,4.790692,5.051011,5.277145,5.394749", \ - "4.126000,4.335761,4.504956,4.727586,4.993988,5.223401,5.346494", \ - "4.130140,4.308423,4.478913,4.712354,4.966462,5.192675,5.316086", \ - "4.168382,4.348988,4.502140,4.720633,4.988521,5.211696,5.328924", \ - "4.198926,4.360942,4.513588,4.763479,5.037322,5.272794,5.399646", \ - "4.380733,4.463296,4.584471,4.782286,5.083374,5.410866,5.579031"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("2.939974,3.118003,3.194722,3.228636,3.231510,3.314311,3.426091", \ - "2.917763,3.068816,3.155137,3.245382,3.289436,3.367251,3.350240", \ - "2.855090,2.976759,3.034380,3.102437,3.139188,3.237179,3.225799", \ - "2.900175,2.964055,3.021269,3.046599,3.112086,3.219706,3.221227", \ - "3.038462,3.125363,3.122741,3.081537,3.139270,3.262881,3.260558", \ - "3.264325,3.377235,3.383564,3.352072,3.306223,3.414086,3.431864", \ - "3.555582,3.731485,3.765474,3.758569,3.723677,3.656994,3.666628"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR2_X4 - Cell Description : Combinational cell (OR2_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OR2_X4) { - - drive_strength : 4; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 71.263917; - - leakage_power () { - when : "!A1 & !A2"; - value : 83.773565; - } - leakage_power () { - when : "!A1 & A2"; - value : 63.861945; - } - leakage_power () { - when : "A1 & !A2"; - value : 66.596710; - } - leakage_power () { - when : "A1 & A2"; - value : 70.823450; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.210084; - fall_capacitance : 2.642982; - rise_capacitance : 3.210084; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.299602; - fall_capacitance : 3.078344; - rise_capacitance : 3.299602; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.231500; - function : "(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.132878,0.149098,0.160937,0.178988,0.206781,0.251544,0.328654", \ - "0.134070,0.150287,0.162132,0.180202,0.208005,0.252772,0.329885", \ - "0.147655,0.163808,0.175642,0.193712,0.221516,0.266225,0.343334", \ - "0.180767,0.196856,0.208539,0.226474,0.254357,0.299159,0.376225", \ - "0.232954,0.249721,0.261706,0.279662,0.307609,0.352522,0.429726", \ - "0.291462,0.310407,0.323849,0.343529,0.373050,0.419418,0.497340", \ - "0.354513,0.375858,0.391062,0.412926,0.444483,0.492353,0.571902"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0359717,0.0529225,0.0695942,0.102120,0.166390,0.294357,0.549959", \ - "0.0413772,0.0582544,0.0749164,0.107483,0.171800,0.299791,0.555391", \ - "0.0589057,0.0754503,0.0919520,0.124495,0.188971,0.317164,0.572899", \ - "0.0799651,0.0969206,0.113260,0.145561,0.209891,0.338147,0.594026", \ - "0.0986744,0.117202,0.133509,0.165316,0.229410,0.357513,0.613375", \ - "0.111814,0.133368,0.150495,0.182068,0.245627,0.373380,0.628954", \ - "0.117542,0.142681,0.161776,0.194080,0.257147,0.384357,0.639686"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0239700,0.0291261,0.0335705,0.0415570,0.0563654,0.0849693,0.142923", \ - "0.0239560,0.0291286,0.0335716,0.0415572,0.0563673,0.0849691,0.142927", \ - "0.0239547,0.0291360,0.0335845,0.0415695,0.0563741,0.0849737,0.142925", \ - "0.0239709,0.0291953,0.0336544,0.0416334,0.0564115,0.0849886,0.142935", \ - "0.0272152,0.0314940,0.0353566,0.0427396,0.0570819,0.0853583,0.143097", \ - "0.0341801,0.0382352,0.0417217,0.0483534,0.0616639,0.0886931,0.144632", \ - "0.0417167,0.0460482,0.0494040,0.0553802,0.0673792,0.0930516,0.148725"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0115100,0.0254054,0.0405299,0.0710691,0.132299,0.254787,0.499748", \ - "0.0115129,0.0254067,0.0405300,0.0710710,0.132302,0.254799,0.499747", \ - "0.0119207,0.0254892,0.0405459,0.0710797,0.132283,0.254766,0.499747", \ - "0.0141564,0.0264756,0.0410758,0.0712419,0.132290,0.254770,0.499736", \ - "0.0184322,0.0287938,0.0421805,0.0717368,0.132637,0.254801,0.499736", \ - "0.0240756,0.0335091,0.0449652,0.0727289,0.133022,0.255154,0.499750", \ - "0.0305144,0.0404272,0.0503400,0.0750931,0.133773,0.255559,0.500002"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.146839,0.163024,0.174860,0.192906,0.220696,0.265455,0.342562", \ - "0.150768,0.166972,0.178811,0.196870,0.224667,0.269428,0.346540", \ - "0.167951,0.184130,0.195953,0.214000,0.241798,0.286560,0.363670", \ - "0.198180,0.214293,0.226038,0.244023,0.271808,0.316620,0.393761", \ - "0.241823,0.258384,0.270335,0.288239,0.316133,0.360997,0.438232", \ - "0.292385,0.310292,0.323218,0.342460,0.371815,0.418034,0.495900", \ - "0.349971,0.369402,0.383429,0.404092,0.435196,0.483262,0.563063"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0386030,0.0557740,0.0725739,0.105271,0.169733,0.297885,0.553630", \ - "0.0438526,0.0609762,0.0777551,0.110455,0.174933,0.303100,0.558860", \ - "0.0621001,0.0788762,0.0954958,0.128141,0.192721,0.321047,0.576932", \ - "0.0857459,0.102795,0.119246,0.151653,0.216070,0.344476,0.600475", \ - "0.107957,0.126325,0.142710,0.174606,0.238801,0.366984,0.622998", \ - "0.125269,0.146284,0.163284,0.194946,0.258705,0.386608,0.642330", \ - "0.135781,0.160070,0.178641,0.210769,0.274035,0.401458,0.656948"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0239614,0.0291286,0.0335699,0.0415548,0.0563631,0.0849693,0.142923", \ - "0.0239638,0.0291290,0.0335715,0.0415569,0.0563628,0.0849700,0.142926", \ - "0.0239534,0.0291301,0.0335754,0.0415603,0.0563679,0.0849720,0.142924", \ - "0.0239533,0.0291574,0.0336052,0.0415874,0.0563839,0.0849772,0.142927", \ - "0.0257152,0.0304453,0.0345599,0.0422259,0.0567714,0.0851874,0.143018", \ - "0.0295793,0.0344501,0.0386086,0.0461121,0.0601912,0.0877017,0.144182", \ - "0.0342690,0.0391761,0.0433222,0.0507313,0.0645742,0.0917364,0.147635"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0120264,0.0258186,0.0408619,0.0713329,0.132490,0.254945,0.499883", \ - "0.0119985,0.0258035,0.0408544,0.0713299,0.132503,0.254931,0.499887", \ - "0.0121511,0.0258161,0.0408454,0.0713195,0.132495,0.254928,0.499879", \ - "0.0141235,0.0266193,0.0412391,0.0714454,0.132517,0.254942,0.499886", \ - "0.0178805,0.0285914,0.0422110,0.0718855,0.132773,0.254979,0.499912", \ - "0.0229703,0.0325682,0.0444784,0.0726992,0.133132,0.255278,0.499945", \ - "0.0287193,0.0385382,0.0488495,0.0745056,0.133704,0.255644,0.500158"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.111043,7.562213,7.899476,8.367155,8.899848,9.321486,9.526230", \ - "7.013750,7.424282,7.763520,8.227307,8.768077,9.189105,9.398721", \ - "6.925560,7.322669,7.654208,8.111372,8.652214,9.068047,9.285458", \ - "7.055290,7.410994,7.725593,8.146919,8.709730,9.141501,9.368274", \ - "7.494178,7.769117,8.046879,8.454631,8.970353,9.394581,9.630572", \ - "8.084012,8.222448,8.461371,8.866101,9.397379,9.872196,10.102540", \ - "8.977989,8.969285,9.074147,9.387152,9.919028,10.479630,10.799670"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("4.971622,5.279205,5.395147,5.525910,5.426534,5.485126,5.652101", \ - "4.957376,5.264574,5.400798,5.520126,5.564359,5.678478,5.575138", \ - "4.874795,5.088774,5.203739,5.347397,5.328189,5.480241,5.401914", \ - "4.970027,5.054889,5.081163,5.233668,5.228560,5.333521,5.559235", \ - "5.246475,5.376965,5.379624,5.360137,5.446194,5.356554,5.604558", \ - "5.638074,5.944540,5.926803,5.925344,5.824140,5.731003,5.987071", \ - "6.264455,6.701547,6.758439,6.694238,6.627609,6.616500,6.558440"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.313563,8.699688,9.048789,9.529857,10.045570,10.464350,10.674510", \ - "8.223349,8.605468,8.954633,9.419576,9.959554,10.376200,10.587040", \ - "8.097568,8.515667,8.863859,9.322391,9.854093,10.264610,10.485570", \ - "8.081785,8.471050,8.803706,9.247051,9.792030,10.206270,10.432950", \ - "8.195145,8.518659,8.847805,9.256058,9.786115,10.226230,10.452940", \ - "8.233920,8.550623,8.859737,9.329263,9.913097,10.364610,10.605730", \ - "8.604316,8.779261,9.001917,9.418108,9.986705,10.637820,10.970190"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("5.506323,5.901598,6.065950,6.186282,6.229646,6.385222,6.321451", \ - "5.462912,5.802548,5.982413,6.103709,6.130452,6.232675,6.442449", \ - "5.390735,5.669417,5.801063,5.920083,6.056632,6.239797,6.202102", \ - "5.470857,5.618556,5.709308,5.857527,6.022947,5.961916,6.221804", \ - "5.768226,5.907369,5.920672,5.888204,6.117498,6.060316,6.365265", \ - "6.153647,6.425117,6.474185,6.430400,6.226547,6.442448,6.456778", \ - "6.751582,7.189927,7.214118,7.188041,7.118400,7.156835,7.258883"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR3_X1 - Cell Description : Combinational cell (OR3_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OR3_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 18.526670; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 26.869800; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 15.856516; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 15.928831; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 17.624865; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 16.599986; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 17.644949; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 17.650553; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 20.037856; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.910973; - fall_capacitance : 0.765508; - rise_capacitance : 0.910973; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.907405; - fall_capacitance : 0.831100; - rise_capacitance : 0.907405; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.892065; - fall_capacitance : 0.855694; - rise_capacitance : 0.892065; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.565250; - function : "((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.238723,0.258396,0.276101,0.302359,0.340826,0.398544,0.489908", \ - "0.238422,0.258113,0.275811,0.302117,0.340588,0.398311,0.489682", \ - "0.248115,0.267794,0.285458,0.311733,0.350196,0.407917,0.499279", \ - "0.276880,0.296514,0.314039,0.340203,0.378653,0.436376,0.527729", \ - "0.326923,0.346547,0.364120,0.390196,0.428621,0.486330,0.577702", \ - "0.399125,0.418893,0.436571,0.462741,0.501237,0.559019,0.650458", \ - "0.480528,0.502092,0.521368,0.549376,0.589482,0.648733,0.741053"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0429422,0.0572796,0.0739826,0.106549,0.170884,0.298876,0.554359", \ - "0.0483939,0.0626964,0.0793850,0.111961,0.176329,0.304341,0.559816", \ - "0.0668900,0.0809711,0.0975105,0.130035,0.194477,0.322672,0.578269", \ - "0.0914726,0.105960,0.122370,0.154653,0.218933,0.347146,0.602858", \ - "0.114568,0.130436,0.146978,0.178755,0.242803,0.370756,0.626451", \ - "0.132335,0.150751,0.168397,0.200356,0.263873,0.391563,0.647043", \ - "0.142392,0.163857,0.183712,0.216730,0.279911,0.407086,0.662248"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0423738,0.0482542,0.0539080,0.0633725,0.0798148,0.109708,0.166720", \ - "0.0423758,0.0482387,0.0539113,0.0633625,0.0798223,0.109713,0.166717", \ - "0.0423738,0.0482544,0.0539115,0.0633709,0.0798158,0.109709,0.166720", \ - "0.0423823,0.0482472,0.0539041,0.0633805,0.0798190,0.109720,0.166723", \ - "0.0422956,0.0482267,0.0539151,0.0634267,0.0798759,0.109747,0.166739", \ - "0.0449815,0.0501429,0.0553098,0.0643716,0.0805047,0.110150,0.166964", \ - "0.0529731,0.0580025,0.0628318,0.0708399,0.0856382,0.113802,0.168983"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0138917,0.0254667,0.0404269,0.0709065,0.132180,0.254706,0.499598", \ - "0.0138929,0.0254699,0.0404349,0.0709170,0.132176,0.254720,0.499599", \ - "0.0141046,0.0255495,0.0404542,0.0709083,0.132132,0.254706,0.499594", \ - "0.0162035,0.0266552,0.0409883,0.0710391,0.132119,0.254697,0.499597", \ - "0.0201804,0.0292370,0.0423635,0.0715973,0.132357,0.254634,0.499604", \ - "0.0257923,0.0341043,0.0454899,0.0727451,0.132780,0.254844,0.499597", \ - "0.0323382,0.0410701,0.0511885,0.0754772,0.133510,0.255281,0.499691"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.280409,0.300063,0.317772,0.344049,0.382493,0.440203,0.531562", \ - "0.281891,0.301595,0.319246,0.345543,0.384023,0.441741,0.533100", \ - "0.295153,0.314789,0.332458,0.358748,0.397209,0.454921,0.546291", \ - "0.323518,0.343165,0.360488,0.386709,0.425165,0.482890,0.574243", \ - "0.366426,0.386050,0.403679,0.429818,0.468259,0.525982,0.617355", \ - "0.426551,0.446414,0.464225,0.490495,0.529047,0.586858,0.678302", \ - "0.497388,0.518449,0.537410,0.565197,0.605467,0.664890,0.757271"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0458709,0.0603913,0.0772159,0.109936,0.174440,0.302616,0.558228", \ - "0.0512396,0.0657403,0.0825501,0.115262,0.179783,0.307968,0.563577", \ - "0.0700632,0.0843455,0.101009,0.133645,0.198208,0.326524,0.582241", \ - "0.0968138,0.111380,0.127807,0.160216,0.224632,0.352961,0.608770", \ - "0.122846,0.138628,0.155208,0.186978,0.251128,0.379228,0.635051", \ - "0.144314,0.162362,0.179882,0.211867,0.275572,0.403395,0.659044", \ - "0.158691,0.179601,0.198983,0.231799,0.295142,0.422486,0.677832"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0423709,0.0482462,0.0539095,0.0633734,0.0798165,0.109711,0.166723", \ - "0.0423758,0.0482426,0.0539140,0.0633749,0.0798155,0.109711,0.166719", \ - "0.0423725,0.0482485,0.0538994,0.0633749,0.0798188,0.109712,0.166724", \ - "0.0423745,0.0482544,0.0539146,0.0633664,0.0798248,0.109711,0.166718", \ - "0.0423837,0.0482591,0.0539333,0.0634208,0.0798571,0.109735,0.166729", \ - "0.0443302,0.0497709,0.0551138,0.0642425,0.0803942,0.110071,0.166923", \ - "0.0492002,0.0548761,0.0602955,0.0692155,0.0847870,0.113281,0.168673"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0143694,0.0258816,0.0407641,0.0711692,0.132350,0.254867,0.499742", \ - "0.0143491,0.0258719,0.0407582,0.0711524,0.132366,0.254876,0.499744", \ - "0.0144057,0.0258814,0.0407585,0.0711576,0.132334,0.254860,0.499741", \ - "0.0162190,0.0267768,0.0411515,0.0712367,0.132349,0.254863,0.499746", \ - "0.0198057,0.0290685,0.0423853,0.0717265,0.132513,0.254838,0.499760", \ - "0.0249352,0.0333660,0.0450692,0.0727247,0.132917,0.254996,0.499796", \ - "0.0309538,0.0396039,0.0499716,0.0749479,0.133507,0.255368,0.499871"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.292328,0.311991,0.329694,0.355978,0.394420,0.452138,0.543492", \ - "0.295556,0.315207,0.332894,0.359188,0.397671,0.455391,0.546747", \ - "0.311331,0.330971,0.348641,0.374929,0.413387,0.471108,0.562468", \ - "0.339759,0.359402,0.376750,0.402957,0.441403,0.499115,0.590478", \ - "0.378895,0.398526,0.416070,0.441920,0.480381,0.538096,0.629465", \ - "0.429081,0.448884,0.466685,0.492981,0.531505,0.589283,0.680700", \ - "0.485071,0.505801,0.524526,0.552147,0.592082,0.651214,0.743479"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0462779,0.0612351,0.0784052,0.111500,0.176316,0.304743,0.560562", \ - "0.0516422,0.0665411,0.0836679,0.116732,0.181555,0.310008,0.565815", \ - "0.0708818,0.0854461,0.102358,0.135290,0.200135,0.328692,0.584603", \ - "0.0990410,0.113791,0.130328,0.162961,0.227600,0.356150,0.612149", \ - "0.127178,0.143059,0.159769,0.191726,0.256082,0.384373,0.640354", \ - "0.151424,0.169436,0.186974,0.219106,0.283056,0.411060,0.666889", \ - "0.169213,0.189952,0.209223,0.242087,0.305663,0.433243,0.688758"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0423779,0.0482423,0.0539063,0.0633684,0.0798032,0.109714,0.166720", \ - "0.0423699,0.0482421,0.0539123,0.0633707,0.0798018,0.109715,0.166719", \ - "0.0423721,0.0482400,0.0539013,0.0633612,0.0798042,0.109719,0.166722", \ - "0.0423728,0.0482539,0.0539147,0.0633761,0.0798225,0.109713,0.166723", \ - "0.0423734,0.0482555,0.0539235,0.0633837,0.0798321,0.109729,0.166722", \ - "0.0435884,0.0492353,0.0546890,0.0639265,0.0801838,0.109950,0.166849", \ - "0.0473657,0.0531370,0.0586703,0.0678079,0.0836752,0.112592,0.168351"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0149289,0.0265651,0.0414633,0.0717877,0.132814,0.255185,0.499997", \ - "0.0148665,0.0265110,0.0414229,0.0717582,0.132818,0.255207,0.499994", \ - "0.0147797,0.0263787,0.0412984,0.0716784,0.132781,0.255193,0.499990", \ - "0.0164909,0.0271192,0.0415285,0.0716412,0.132716,0.255173,0.499982", \ - "0.0199412,0.0292921,0.0426865,0.0720775,0.132813,0.255120,0.500000", \ - "0.0248670,0.0333423,0.0451813,0.0730087,0.133216,0.255245,0.500028", \ - "0.0306382,0.0392229,0.0497187,0.0750355,0.133796,0.255642,0.500098"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.106895,2.142381,2.198895,2.305524,2.453986,2.628036,2.765436", \ - "2.075535,2.113699,2.169845,2.268088,2.421446,2.595906,2.739874", \ - "2.046199,2.084551,2.137274,2.244234,2.394416,2.566700,2.705620", \ - "2.060468,2.098776,2.146757,2.252371,2.394412,2.575200,2.716434", \ - "2.121488,2.157380,2.206457,2.302042,2.450108,2.619197,2.763957", \ - "2.286398,2.299663,2.341982,2.422314,2.552196,2.723094,2.861418", \ - "2.501203,2.497310,2.521083,2.585118,2.712742,2.867697,3.002566"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.340817,1.399617,1.424466,1.471902,1.456818,1.481342,1.463828", \ - "1.349715,1.405010,1.435653,1.465589,1.498021,1.466441,1.516500", \ - "1.341238,1.382401,1.390881,1.436775,1.438621,1.482520,1.472594", \ - "1.358443,1.383551,1.380608,1.415766,1.420008,1.469322,1.465054", \ - "1.418482,1.443410,1.441502,1.437017,1.419224,1.468916,1.471593", \ - "1.506763,1.558100,1.549485,1.548747,1.491266,1.532282,1.539879", \ - "1.630165,1.708589,1.730340,1.709829,1.688988,1.645704,1.641399"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.385820,2.424196,2.477604,2.583788,2.727249,2.908799,3.048813", \ - "2.369993,2.407872,2.457296,2.563881,2.717058,2.885371,3.026746", \ - "2.338557,2.377231,2.434022,2.526611,2.680012,2.864166,3.002547", \ - "2.333817,2.371497,2.420022,2.524110,2.672175,2.842602,2.983954", \ - "2.339427,2.367260,2.425792,2.523428,2.669650,2.838826,2.982531", \ - "2.399214,2.425879,2.466381,2.551320,2.695636,2.862765,3.003396", \ - "2.487036,2.504083,2.542562,2.626802,2.761813,2.923075,3.065108"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.498768,1.561757,1.601200,1.652635,1.665045,1.710551,1.702860", \ - "1.492963,1.564268,1.605209,1.617189,1.640514,1.686382,1.678777", \ - "1.487162,1.538866,1.569994,1.608857,1.637801,1.689770,1.687559", \ - "1.492143,1.526096,1.542925,1.589466,1.586450,1.642826,1.646744", \ - "1.554261,1.592279,1.582996,1.596253,1.608083,1.666075,1.675703", \ - "1.641684,1.699476,1.698293,1.670024,1.689497,1.672212,1.755484", \ - "1.766420,1.844406,1.853448,1.836771,1.815952,1.782361,1.857220"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.643225,2.681314,2.728572,2.833194,2.978374,3.164575,3.303568", \ - "2.619982,2.659027,2.716702,2.820838,2.966410,3.145412,3.284973", \ - "2.602562,2.631713,2.684944,2.793374,2.933371,3.119894,3.257708", \ - "2.586319,2.624327,2.675467,2.770945,2.923153,3.103360,3.243783", \ - "2.584020,2.621432,2.673045,2.761792,2.919694,3.096739,3.236406", \ - "2.617322,2.648360,2.697932,2.791170,2.924024,3.106143,3.245608", \ - "2.652426,2.673902,2.725031,2.825304,2.961437,3.134854,3.278520"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.568338,1.671701,1.721334,1.765331,1.832641,1.855188,1.927534", \ - "1.546660,1.647277,1.709046,1.773449,1.823940,1.815605,1.888203", \ - "1.539114,1.611896,1.657687,1.700680,1.738349,1.803728,1.881231", \ - "1.557380,1.615323,1.651366,1.670541,1.739191,1.806863,1.821823", \ - "1.637569,1.683071,1.678290,1.719128,1.736034,1.804564,1.823826", \ - "1.731840,1.794932,1.792979,1.784708,1.790735,1.843640,1.868371", \ - "1.865779,1.947418,1.958292,1.948336,1.939196,1.911002,1.996382"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR3_X2 - Cell Description : Combinational cell (OR3_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OR3_X2) { - - drive_strength : 2; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 37.232962; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 54.210895; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 31.814645; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 31.963177; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 35.390721; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 33.329192; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 35.432815; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 35.444595; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 40.277653; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.663416; - fall_capacitance : 1.362989; - rise_capacitance : 1.663416; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.622813; - fall_capacitance : 1.461019; - rise_capacitance : 1.622813; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.605988; - fall_capacitance : 1.529705; - rise_capacitance : 1.605988; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.009600; - function : "((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.218402,0.239327,0.255833,0.280531,0.317031,0.372457,0.461336", \ - "0.217914,0.238855,0.255377,0.280092,0.316602,0.372031,0.460916", \ - "0.227770,0.248643,0.265132,0.289817,0.326315,0.381742,0.470624", \ - "0.256913,0.277795,0.294125,0.318697,0.355170,0.410596,0.499484", \ - "0.307449,0.328268,0.344630,0.369099,0.405551,0.460957,0.549847", \ - "0.378403,0.399814,0.416531,0.441269,0.477861,0.533403,0.622387", \ - "0.456665,0.480061,0.498271,0.524827,0.563129,0.620261,0.710499"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0398469,0.0558921,0.0725626,0.105098,0.169400,0.297365,0.552898", \ - "0.0452872,0.0612864,0.0779429,0.110506,0.174838,0.302829,0.558361", \ - "0.0634656,0.0791938,0.0957034,0.128222,0.192676,0.320853,0.576509", \ - "0.0866385,0.102798,0.119162,0.151453,0.215732,0.343936,0.599724", \ - "0.107861,0.125524,0.141933,0.173736,0.237799,0.365769,0.621529", \ - "0.123385,0.143895,0.161271,0.193050,0.256619,0.384351,0.639853", \ - "0.130922,0.154914,0.174368,0.207089,0.270246,0.397429,0.652629"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0393693,0.0455785,0.0509698,0.0601362,0.0763026,0.106009,0.163052", \ - "0.0393689,0.0455810,0.0509687,0.0601417,0.0763020,0.106004,0.163057", \ - "0.0393682,0.0455809,0.0509667,0.0601430,0.0763066,0.106007,0.163056", \ - "0.0393623,0.0455820,0.0509743,0.0601547,0.0763194,0.106014,0.163060", \ - "0.0391790,0.0455463,0.0509989,0.0602061,0.0763706,0.106046,0.163076", \ - "0.0433723,0.0487111,0.0534356,0.0618298,0.0773735,0.106653,0.163412", \ - "0.0513273,0.0565695,0.0609294,0.0684917,0.0828262,0.110955,0.166058"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0127804,0.0258343,0.0408740,0.0713879,0.132608,0.255079,0.500026", \ - "0.0127790,0.0258388,0.0408779,0.0713784,0.132609,0.255089,0.500025", \ - "0.0130603,0.0259188,0.0409006,0.0713876,0.132613,0.255105,0.500019", \ - "0.0152360,0.0269580,0.0414295,0.0715244,0.132595,0.255090,0.500025", \ - "0.0193320,0.0293741,0.0426629,0.0720584,0.132882,0.255079,0.500038", \ - "0.0249776,0.0341389,0.0456114,0.0731239,0.133282,0.255384,0.500016", \ - "0.0315466,0.0411239,0.0511823,0.0756929,0.134002,0.255802,0.500205"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.259878,0.280773,0.297284,0.321971,0.358468,0.413890,0.502766", \ - "0.261255,0.282169,0.298679,0.323384,0.359888,0.415314,0.504196", \ - "0.274542,0.295421,0.311920,0.336597,0.373096,0.428529,0.517409", \ - "0.302865,0.323746,0.339997,0.364610,0.401100,0.456526,0.545411", \ - "0.345871,0.366724,0.383182,0.407764,0.444213,0.499591,0.588481", \ - "0.404873,0.426328,0.443075,0.467854,0.504537,0.560130,0.649132", \ - "0.473526,0.496224,0.514066,0.540413,0.578932,0.636415,0.726726"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0427215,0.0589730,0.0757695,0.108464,0.172938,0.301095,0.556767", \ - "0.0480932,0.0643192,0.0810980,0.113795,0.178276,0.306439,0.562126", \ - "0.0667546,0.0827085,0.0993412,0.131979,0.196532,0.324836,0.580630", \ - "0.0922781,0.108528,0.124948,0.157373,0.221777,0.350094,0.605989", \ - "0.116628,0.134174,0.150631,0.182590,0.246773,0.374874,0.630763", \ - "0.136071,0.156135,0.173377,0.205212,0.268959,0.396833,0.652521", \ - "0.148204,0.171445,0.190422,0.222963,0.286260,0.413627,0.669037"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0393633,0.0455779,0.0509701,0.0601371,0.0763011,0.106011,0.163057", \ - "0.0393648,0.0455792,0.0509697,0.0601367,0.0763037,0.106003,0.163051", \ - "0.0393674,0.0455815,0.0509728,0.0601401,0.0763062,0.106014,0.163057", \ - "0.0393678,0.0455811,0.0509760,0.0601493,0.0763151,0.106009,0.163058", \ - "0.0393882,0.0456187,0.0510328,0.0602110,0.0763643,0.106038,0.163071", \ - "0.0422742,0.0479835,0.0529179,0.0615187,0.0771994,0.106538,0.163346", \ - "0.0470185,0.0529562,0.0580546,0.0666608,0.0819668,0.110421,0.165630"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0132761,0.0262451,0.0412117,0.0716430,0.132809,0.255274,0.500169", \ - "0.0132508,0.0262379,0.0412046,0.0716387,0.132794,0.255274,0.500176", \ - "0.0133361,0.0262481,0.0411974,0.0716239,0.132794,0.255245,0.500169", \ - "0.0152279,0.0270890,0.0415860,0.0717343,0.132803,0.255262,0.500173", \ - "0.0188918,0.0292015,0.0426858,0.0721906,0.133024,0.255264,0.500190", \ - "0.0240148,0.0333300,0.0451704,0.0731018,0.133402,0.255506,0.500213", \ - "0.0299717,0.0394927,0.0498641,0.0751527,0.133971,0.255896,0.500368"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.271663,0.292561,0.309061,0.333760,0.370255,0.425679,0.514553", \ - "0.274733,0.295656,0.312169,0.336882,0.373376,0.428806,0.517685", \ - "0.290630,0.311506,0.328005,0.352696,0.389194,0.444619,0.533498", \ - "0.318976,0.339853,0.356228,0.380894,0.417379,0.472812,0.561692", \ - "0.358068,0.378949,0.395305,0.419665,0.456148,0.511577,0.600449", \ - "0.407553,0.428796,0.445471,0.470167,0.506783,0.562320,0.651265", \ - "0.461794,0.484086,0.501656,0.527767,0.565929,0.623048,0.713158"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0430187,0.0597853,0.0769292,0.110006,0.174799,0.303195,0.559073", \ - "0.0484034,0.0650887,0.0821860,0.115241,0.180039,0.308451,0.564342", \ - "0.0675284,0.0838045,0.100683,0.133610,0.198443,0.326972,0.582995", \ - "0.0945493,0.111007,0.127579,0.160160,0.224809,0.353325,0.609411", \ - "0.121163,0.138825,0.155417,0.187404,0.251793,0.380083,0.636137", \ - "0.143523,0.163544,0.180842,0.212851,0.276824,0.404882,0.660712", \ - "0.159258,0.182310,0.201171,0.233751,0.297315,0.424960,0.680509"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0393701,0.0455794,0.0509739,0.0601334,0.0763025,0.106003,0.163053", \ - "0.0393711,0.0455816,0.0509689,0.0601353,0.0763009,0.106008,0.163053", \ - "0.0393684,0.0455789,0.0509718,0.0601375,0.0763064,0.106008,0.163054", \ - "0.0393689,0.0455791,0.0509755,0.0601433,0.0763122,0.106011,0.163057", \ - "0.0393721,0.0455890,0.0510024,0.0601734,0.0763406,0.106023,0.163063", \ - "0.0412501,0.0471274,0.0522352,0.0610444,0.0768871,0.106355,0.163244", \ - "0.0448808,0.0509666,0.0562245,0.0650857,0.0807028,0.109519,0.165173"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0138294,0.0269520,0.0419240,0.0722682,0.133266,0.255605,0.500431", \ - "0.0137590,0.0268972,0.0418841,0.0722469,0.133266,0.255574,0.500430", \ - "0.0136926,0.0267561,0.0417520,0.0721554,0.133217,0.255564,0.500418", \ - "0.0155068,0.0274418,0.0419687,0.0721254,0.133180,0.255574,0.500412", \ - "0.0190188,0.0294365,0.0430054,0.0725487,0.133329,0.255546,0.500420", \ - "0.0239410,0.0332930,0.0452963,0.0733986,0.133720,0.255781,0.500457", \ - "0.0296329,0.0390774,0.0496035,0.0752542,0.134283,0.256173,0.500587"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("4.049590,4.112206,4.223211,4.419650,4.698747,5.019576,5.259163", \ - "3.971832,4.054470,4.161396,4.350668,4.646015,4.961370,5.199122", \ - "3.910640,3.994929,4.102648,4.291811,4.555149,4.890599,5.136398", \ - "3.958181,4.021636,4.130166,4.322042,4.606808,4.912049,5.162762", \ - "4.085759,4.151246,4.252433,4.429300,4.710015,5.022780,5.262239", \ - "4.422431,4.459970,4.517874,4.666089,4.920960,5.218779,5.466566", \ - "4.872604,4.832182,4.871723,4.997415,5.224079,5.513606,5.760991"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("2.650819,2.774810,2.845837,2.858973,2.872780,2.935403,2.891856", \ - "2.665442,2.778471,2.819078,2.913882,2.876867,2.903797,2.995923", \ - "2.631135,2.716735,2.781582,2.798345,2.861495,2.943958,2.912175", \ - "2.676626,2.729973,2.748981,2.755088,2.861074,2.820772,2.940863", \ - "2.788670,2.857549,2.845820,2.858419,2.781846,2.876356,2.873007", \ - "2.963986,3.087612,3.085927,3.062016,2.992207,3.078940,3.080239", \ - "3.200379,3.411371,3.444512,3.374823,3.336803,3.246523,3.370073"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("4.607912,4.680247,4.786970,4.988139,5.272487,5.590346,5.833133", \ - "4.576313,4.639748,4.752012,4.945721,5.227656,5.545558,5.790240", \ - "4.519871,4.594541,4.684796,4.892280,5.176255,5.494667,5.738000", \ - "4.503574,4.566727,4.674658,4.867635,5.122653,5.458885,5.706121", \ - "4.519408,4.576812,4.667155,4.868996,5.118586,5.458771,5.705272", \ - "4.660295,4.690797,4.775043,4.939814,5.182538,5.503242,5.750131", \ - "4.824749,4.830981,4.903394,5.052657,5.313270,5.637338,5.880613"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("2.948627,3.112001,3.190358,3.214213,3.310341,3.394880,3.371426", \ - "2.943184,3.092197,3.189086,3.275493,3.261554,3.346538,3.323982", \ - "2.916801,3.039708,3.090720,3.191871,3.258770,3.221996,3.345997", \ - "2.949350,3.026391,3.055764,3.068286,3.188727,3.299908,3.298010", \ - "3.072851,3.147671,3.150012,3.134649,3.145987,3.256565,3.267763", \ - "3.242900,3.360472,3.345007,3.333941,3.364425,3.335266,3.352056", \ - "3.475223,3.659839,3.680792,3.665142,3.625333,3.623514,3.631628"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.134272,5.201167,5.309814,5.509646,5.781037,6.106938,6.355257", \ - "5.087656,5.160007,5.271979,5.465463,5.760202,6.067002,6.316003", \ - "5.031465,5.114690,5.222828,5.417791,5.699915,6.013050,6.261636", \ - "5.019660,5.086431,5.189445,5.390581,5.673201,5.989850,6.224682", \ - "5.017637,5.081092,5.179219,5.372733,5.651104,5.970767,6.211992", \ - "5.078106,5.141239,5.228828,5.392170,5.674450,5.990554,6.229873", \ - "5.123992,5.161575,5.258769,5.446110,5.737045,6.055107,6.301209"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("3.066640,3.308884,3.416028,3.501446,3.576734,3.686964,3.686291", \ - "3.048199,3.258354,3.380701,3.520750,3.629839,3.605926,3.742971", \ - "3.013355,3.185884,3.287482,3.406024,3.460259,3.584701,3.596650", \ - "3.062152,3.192664,3.250937,3.316608,3.355556,3.508657,3.644989", \ - "3.212864,3.331950,3.351846,3.391097,3.395791,3.527260,3.557603", \ - "3.414776,3.542881,3.575704,3.550903,3.542197,3.667837,3.704313", \ - "3.685317,3.878895,3.884659,3.873847,3.848024,3.868157,3.894493"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR3_X4 - Cell Description : Combinational cell (OR3_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OR3_X4) { - - drive_strength : 4; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 74.452070; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 108.412100; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 63.614945; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 63.911915; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 70.766925; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 66.643925; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 70.851190; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 70.874655; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 80.540905; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.188274; - fall_capacitance : 2.577061; - rise_capacitance : 3.188274; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.234320; - fall_capacitance : 2.906461; - rise_capacitance : 3.234320; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.273249; - fall_capacitance : 3.116444; - rise_capacitance : 3.273249; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.085600; - function : "((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.206126,0.227868,0.243868,0.267804,0.303288,0.357515,0.445084", \ - "0.205573,0.227307,0.243319,0.267286,0.302783,0.357016,0.444591", \ - "0.215612,0.237301,0.253269,0.277203,0.312719,0.366911,0.454482", \ - "0.244977,0.266651,0.282518,0.306317,0.341825,0.396015,0.483580", \ - "0.295832,0.317422,0.333280,0.356951,0.392372,0.446599,0.534160", \ - "0.365782,0.388192,0.404532,0.428683,0.464340,0.518722,0.606414", \ - "0.442277,0.466786,0.484582,0.510463,0.547799,0.603731,0.692910"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0375027,0.0545169,0.0712049,0.103750,0.168043,0.296040,0.551668", \ - "0.0429364,0.0598934,0.0765704,0.109148,0.173484,0.301507,0.557134", \ - "0.0608937,0.0775475,0.0940698,0.126614,0.191106,0.319312,0.575077", \ - "0.0831114,0.100247,0.116625,0.148969,0.213317,0.341598,0.597498", \ - "0.103060,0.121848,0.138243,0.170088,0.234190,0.362341,0.618250", \ - "0.117042,0.138952,0.156272,0.188013,0.251600,0.379371,0.634951", \ - "0.122960,0.148552,0.167949,0.200565,0.263688,0.390904,0.646260"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0376221,0.0440185,0.0492829,0.0582996,0.0743384,0.103948,0.161091", \ - "0.0376158,0.0440211,0.0492824,0.0583000,0.0743375,0.103946,0.161086", \ - "0.0376241,0.0440197,0.0492806,0.0583009,0.0743396,0.103950,0.161090", \ - "0.0376201,0.0440158,0.0492906,0.0583208,0.0743543,0.103955,0.161091", \ - "0.0373797,0.0439798,0.0493120,0.0583804,0.0744052,0.103993,0.161105", \ - "0.0424022,0.0478574,0.0524292,0.0605547,0.0757074,0.104765,0.161530", \ - "0.0504517,0.0557081,0.0598732,0.0671658,0.0812175,0.109314,0.164582"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0117429,0.0255289,0.0406002,0.0711319,0.132350,0.254839,0.499828", \ - "0.0117367,0.0255303,0.0406029,0.0711257,0.132335,0.254866,0.499832", \ - "0.0120880,0.0256149,0.0406233,0.0711269,0.132337,0.254856,0.499840", \ - "0.0143500,0.0266438,0.0411655,0.0712895,0.132343,0.254847,0.499827", \ - "0.0185588,0.0290323,0.0423531,0.0718002,0.132656,0.254850,0.499830", \ - "0.0242040,0.0338175,0.0452664,0.0728549,0.133053,0.255194,0.499827", \ - "0.0307215,0.0408537,0.0508446,0.0753824,0.133796,0.255599,0.500087"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.247648,0.269375,0.285368,0.309302,0.344783,0.399006,0.486575", \ - "0.248979,0.270712,0.286711,0.310663,0.346153,0.400383,0.487952", \ - "0.262329,0.284025,0.300015,0.323937,0.359418,0.413650,0.501221", \ - "0.290716,0.312427,0.328160,0.351995,0.387479,0.441737,0.529275", \ - "0.333764,0.355405,0.371346,0.394985,0.430429,0.484665,0.572327", \ - "0.391874,0.414306,0.430692,0.454849,0.490601,0.545048,0.632776", \ - "0.459333,0.483008,0.500416,0.526084,0.563726,0.620148,0.709431"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0402637,0.0575056,0.0743209,0.107033,0.171513,0.299683,0.555463", \ - "0.0456426,0.0628520,0.0796500,0.112369,0.176865,0.305047,0.560828", \ - "0.0642039,0.0810983,0.0977442,0.130404,0.194990,0.323334,0.579234", \ - "0.0888701,0.106092,0.122569,0.154994,0.219436,0.347836,0.603884", \ - "0.112092,0.130719,0.147170,0.179148,0.243364,0.371527,0.627599", \ - "0.130152,0.151531,0.168714,0.200534,0.264309,0.392233,0.647989", \ - "0.140790,0.165555,0.184449,0.216871,0.280177,0.407615,0.663113"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0376220,0.0440218,0.0492839,0.0582990,0.0743325,0.103946,0.161084", \ - "0.0376182,0.0440205,0.0492821,0.0583026,0.0743364,0.103948,0.161089", \ - "0.0376217,0.0440207,0.0492852,0.0583075,0.0743369,0.103949,0.161086", \ - "0.0376235,0.0440244,0.0492905,0.0583186,0.0743482,0.103952,0.161094", \ - "0.0376549,0.0440876,0.0493673,0.0583888,0.0744053,0.103992,0.161112", \ - "0.0410206,0.0469590,0.0517596,0.0601024,0.0754740,0.104620,0.161451", \ - "0.0458200,0.0518453,0.0567920,0.0652287,0.0803697,0.108825,0.164081"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0122426,0.0259449,0.0409364,0.0713727,0.132561,0.255001,0.499976", \ - "0.0122186,0.0259297,0.0409298,0.0713846,0.132555,0.254995,0.499985", \ - "0.0123322,0.0259445,0.0409208,0.0713762,0.132540,0.255001,0.499986", \ - "0.0143142,0.0267747,0.0413197,0.0714772,0.132562,0.255019,0.499980", \ - "0.0180609,0.0288400,0.0423745,0.0719412,0.132805,0.255041,0.500003", \ - "0.0231754,0.0329402,0.0448016,0.0728225,0.133169,0.255334,0.500037", \ - "0.0290664,0.0391016,0.0494355,0.0748099,0.133755,0.255684,0.500249"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.259443,0.281172,0.297158,0.321095,0.356582,0.410800,0.498366", \ - "0.262473,0.284204,0.300206,0.324157,0.359649,0.413880,0.501449", \ - "0.278424,0.300126,0.316109,0.340037,0.375526,0.429756,0.517329", \ - "0.306827,0.328513,0.344406,0.368282,0.403755,0.458001,0.545575", \ - "0.345938,0.367630,0.383489,0.407081,0.442542,0.496771,0.584369", \ - "0.394865,0.417074,0.433313,0.457272,0.492929,0.547308,0.635014", \ - "0.448202,0.471430,0.488567,0.513941,0.551201,0.607238,0.696256"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0404795,0.0582908,0.0754623,0.108558,0.173370,0.301785,0.557760", \ - "0.0458843,0.0635999,0.0807210,0.113803,0.178617,0.307058,0.563051", \ - "0.0649698,0.0822126,0.0991085,0.132066,0.196931,0.325512,0.581619", \ - "0.0911841,0.108643,0.125267,0.157917,0.222598,0.351208,0.607452", \ - "0.116756,0.135516,0.152109,0.184103,0.248530,0.376966,0.633250", \ - "0.137850,0.159177,0.176410,0.208399,0.272404,0.400533,0.656461", \ - "0.152224,0.176768,0.195541,0.228033,0.291615,0.419291,0.674987"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0376149,0.0440205,0.0492816,0.0583035,0.0743257,0.103944,0.161088", \ - "0.0376171,0.0440219,0.0492850,0.0583015,0.0743282,0.103945,0.161085", \ - "0.0376229,0.0440230,0.0492843,0.0583019,0.0743316,0.103946,0.161087", \ - "0.0376141,0.0440236,0.0492871,0.0583081,0.0743402,0.103954,0.161086", \ - "0.0376395,0.0440482,0.0493229,0.0583491,0.0743651,0.103966,0.161095", \ - "0.0398374,0.0459200,0.0508759,0.0594686,0.0750712,0.104385,0.161322", \ - "0.0434599,0.0497017,0.0548242,0.0635403,0.0790320,0.107815,0.163516"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0127833,0.0266598,0.0416595,0.0720104,0.133029,0.255354,0.500245", \ - "0.0127151,0.0265981,0.0416139,0.0719933,0.133012,0.255348,0.500240", \ - "0.0126735,0.0264515,0.0414787,0.0719018,0.132970,0.255335,0.500236", \ - "0.0145911,0.0271407,0.0417132,0.0718841,0.132942,0.255319,0.500224", \ - "0.0181937,0.0290768,0.0427008,0.0723020,0.133126,0.255336,0.500238", \ - "0.0230880,0.0328960,0.0449256,0.0731239,0.133491,0.255577,0.500270", \ - "0.0287015,0.0386637,0.0491600,0.0749221,0.134069,0.255961,0.500453"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.757020,7.889514,8.085800,8.483676,9.030957,9.630789,10.103110", \ - "7.600556,7.769175,7.959761,8.358270,8.907383,9.530687,9.972510", \ - "7.518456,7.649722,7.859231,8.243536,8.794142,9.388416,9.859077", \ - "7.567505,7.727538,7.911059,8.298886,8.842769,9.461596,9.911983", \ - "7.871781,7.986614,8.180103,8.530678,9.059224,9.652011,10.123780", \ - "8.605552,8.608660,8.729678,9.030607,9.471001,10.071090,10.525810", \ - "9.476727,9.364969,9.417892,9.629514,10.102030,10.666280,11.118350"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("5.007531,5.255424,5.400289,5.514739,5.587642,5.674764,5.568514", \ - "5.024845,5.277075,5.430906,5.487436,5.551198,5.611360,5.504024", \ - "4.965285,5.168959,5.287803,5.358143,5.547598,5.448635,5.621971", \ - "5.056585,5.153960,5.216735,5.266359,5.328696,5.508074,5.458093", \ - "5.275589,5.460930,5.406056,5.412017,5.508325,5.416614,5.661778", \ - "5.590130,5.924678,5.938456,5.848594,5.741187,5.912030,5.891367", \ - "6.107686,6.547275,6.613941,6.607649,6.537519,6.355866,6.489333"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("8.871875,9.035034,9.241316,9.627441,10.190960,10.798930,11.241840", \ - "8.810963,8.944270,9.147060,9.553471,10.092520,10.698480,11.161640", \ - "8.680121,8.843726,9.054845,9.423806,9.997769,10.609960,11.052490", \ - "8.662709,8.806004,8.998366,9.367008,9.925617,10.551820,11.000770", \ - "8.701241,8.811869,9.025107,9.381397,9.915661,10.528850,10.994970", \ - "8.969672,9.059710,9.210542,9.522439,10.007660,10.616010,11.090170", \ - "9.306983,9.329146,9.465239,9.752327,10.285970,10.891720,11.355590"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("5.561240,5.948846,6.128677,6.195829,6.442517,6.329250,6.534126", \ - "5.572923,5.908299,6.100223,6.266715,6.346120,6.504532,6.439266", \ - "5.529601,5.805671,5.952571,6.112106,6.129677,6.264970,6.493011", \ - "5.595836,5.741983,5.866954,6.010155,6.031554,6.184881,6.440601", \ - "5.834959,6.014032,6.037834,6.040951,6.091514,6.162867,6.437202", \ - "6.178332,6.451292,6.470287,6.396123,6.457547,6.398048,6.681196", \ - "6.630694,7.068309,7.090614,7.052561,6.973569,7.054398,7.061469"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.925254,10.070340,10.270520,10.664540,11.208710,11.847100,12.282500", \ - "9.832755,9.996827,10.202200,10.603890,11.137490,11.758160,12.207010", \ - "9.761693,9.892923,10.081930,10.481320,11.029390,11.649130,12.092190", \ - "9.695674,9.846084,10.031520,10.428880,10.992300,11.572120,12.038390", \ - "9.693700,9.834840,10.033970,10.403620,10.957800,11.541620,12.011380", \ - "9.874870,9.947223,10.135180,10.461780,10.997260,11.584660,12.051020", \ - "9.906080,9.992352,10.158600,10.511370,11.080760,11.722080,12.194250"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("5.801401,6.341067,6.605175,6.891554,6.978637,7.186315,7.165298", \ - "5.766322,6.288572,6.523037,6.728133,6.895633,7.025266,7.280434", \ - "5.712661,6.103798,6.309270,6.502105,6.753636,6.993032,6.997527", \ - "5.810815,6.091932,6.215409,6.464352,6.617482,6.835643,6.864970", \ - "6.150044,6.375139,6.393529,6.473237,6.714214,6.730048,7.011487", \ - "6.509815,6.852319,6.874110,6.858814,6.919491,7.050381,7.099301", \ - "7.076490,7.490197,7.508418,7.564210,7.492704,7.521351,7.567190"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR4_X1 - Cell Description : Combinational cell (OR4_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OR4_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 19.109487; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 32.938305; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 15.829004; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 15.868866; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 17.629511; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 15.941085; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 17.631895; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 17.637500; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 20.037789; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 16.611576; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 17.651883; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 17.657488; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 20.044981; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 17.663188; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 20.045931; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 20.050491; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 22.512292; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.890768; - fall_capacitance : 0.745316; - rise_capacitance : 0.890768; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.901455; - fall_capacitance : 0.813680; - rise_capacitance : 0.901455; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.892374; - fall_capacitance : 0.829995; - rise_capacitance : 0.892374; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.883900; - fall_capacitance : 0.854708; - rise_capacitance : 0.883900; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.524360; - function : "(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.320883,0.344917,0.366948,0.399558,0.446676,0.515433,0.620320", \ - "0.319253,0.343306,0.365337,0.397991,0.445110,0.513894,0.618788", \ - "0.326634,0.350630,0.372599,0.405222,0.452392,0.521178,0.626063", \ - "0.353196,0.377177,0.398966,0.431565,0.478651,0.547427,0.652305", \ - "0.400655,0.424648,0.446568,0.479081,0.526162,0.594907,0.699789", \ - "0.471637,0.495474,0.517316,0.549726,0.596690,0.665397,0.770262", \ - "0.564613,0.588871,0.611021,0.643655,0.690569,0.759251,0.864148"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0442814,0.0586985,0.0754259,0.107996,0.172348,0.300371,0.555836", \ - "0.0497369,0.0641241,0.0808356,0.113425,0.177781,0.305817,0.561301", \ - "0.0684173,0.0825938,0.0991622,0.131686,0.196143,0.324344,0.579925", \ - "0.0938782,0.108501,0.124911,0.157225,0.221504,0.349731,0.605455", \ - "0.118039,0.134085,0.150654,0.182542,0.246577,0.374530,0.630290", \ - "0.136791,0.155408,0.173178,0.205244,0.268850,0.396565,0.652040", \ - "0.147441,0.169177,0.189220,0.222516,0.285777,0.412953,0.668107"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0581149,0.0651387,0.0719767,0.0828567,0.101042,0.133001,0.191759", \ - "0.0581195,0.0651318,0.0719630,0.0828503,0.101036,0.133003,0.191759", \ - "0.0581225,0.0651453,0.0719651,0.0828588,0.101030,0.132994,0.191764", \ - "0.0581162,0.0651363,0.0719479,0.0828475,0.101033,0.133002,0.191763", \ - "0.0580566,0.0650792,0.0719138,0.0828239,0.101024,0.132995,0.191760", \ - "0.0570942,0.0644506,0.0714689,0.0826144,0.100946,0.132961,0.191742", \ - "0.0623625,0.0685441,0.0746305,0.0845618,0.101987,0.133594,0.192138"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0140785,0.0255875,0.0404785,0.0709294,0.132179,0.254738,0.499605", \ - "0.0140788,0.0255872,0.0404841,0.0709146,0.132134,0.254704,0.499603", \ - "0.0142663,0.0256650,0.0405136,0.0709253,0.132174,0.254738,0.499606", \ - "0.0163730,0.0267931,0.0410554,0.0710344,0.132149,0.254695,0.499605", \ - "0.0203108,0.0294383,0.0425122,0.0716267,0.132330,0.254634,0.499607", \ - "0.0258944,0.0343391,0.0457206,0.0728542,0.132772,0.254847,0.499602", \ - "0.0324868,0.0413173,0.0515184,0.0756982,0.133522,0.255253,0.499693"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.392352,0.416375,0.438410,0.470997,0.518108,0.586889,0.691767", \ - "0.392702,0.416733,0.438750,0.471404,0.518504,0.587300,0.692191", \ - "0.402789,0.426779,0.448809,0.481403,0.528510,0.597293,0.702182", \ - "0.428357,0.452356,0.473914,0.506533,0.553622,0.622410,0.727296", \ - "0.468336,0.492325,0.514276,0.546736,0.593828,0.662605,0.767502", \ - "0.526650,0.550609,0.572612,0.605181,0.652224,0.720997,0.825900", \ - "0.604026,0.628615,0.651081,0.684187,0.731639,0.800696,0.905804"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0472375,0.0618397,0.0786891,0.111413,0.175931,0.304126,0.559747", \ - "0.0526236,0.0672124,0.0840504,0.116771,0.181281,0.309488,0.565120", \ - "0.0716213,0.0860047,0.102702,0.135346,0.199917,0.328229,0.583953", \ - "0.0991778,0.113877,0.130330,0.162760,0.227168,0.355510,0.611334", \ - "0.126150,0.142109,0.158715,0.190611,0.254778,0.382879,0.638704", \ - "0.148498,0.166753,0.184375,0.216527,0.280271,0.408091,0.663736", \ - "0.163368,0.184548,0.204147,0.237236,0.300639,0.427988,0.683326"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0581174,0.0651435,0.0719903,0.0828774,0.101047,0.133004,0.191767", \ - "0.0581196,0.0651347,0.0719695,0.0828639,0.101049,0.133013,0.191764", \ - "0.0581201,0.0651491,0.0719810,0.0828705,0.101055,0.133013,0.191764", \ - "0.0581359,0.0651490,0.0719665,0.0828669,0.101057,0.133016,0.191770", \ - "0.0581348,0.0651453,0.0719872,0.0828776,0.101061,0.133017,0.191770", \ - "0.0581997,0.0652450,0.0720625,0.0829987,0.101177,0.133095,0.191823", \ - "0.0621988,0.0687796,0.0751582,0.0853195,0.102686,0.134022,0.192367"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0145486,0.0259996,0.0408236,0.0711773,0.132369,0.254901,0.499750", \ - "0.0145342,0.0259880,0.0408184,0.0711775,0.132347,0.254866,0.499750", \ - "0.0145802,0.0260047,0.0408165,0.0711685,0.132363,0.254867,0.499752", \ - "0.0163840,0.0269128,0.0412249,0.0712520,0.132358,0.254879,0.499750", \ - "0.0199645,0.0292753,0.0425301,0.0717618,0.132504,0.254842,0.499766", \ - "0.0251022,0.0336274,0.0453221,0.0728280,0.132904,0.254991,0.499801", \ - "0.0311821,0.0399344,0.0503711,0.0751964,0.133515,0.255350,0.499875"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.430708,0.454726,0.476733,0.509383,0.556469,0.625249,0.730135", \ - "0.431391,0.455422,0.477449,0.510107,0.557217,0.625986,0.730876", \ - "0.443431,0.467435,0.489415,0.522045,0.569149,0.637940,0.742828", \ - "0.470704,0.494704,0.516297,0.548912,0.596009,0.664783,0.769672", \ - "0.509281,0.533276,0.555143,0.587432,0.634516,0.703298,0.808181", \ - "0.559518,0.583492,0.605489,0.638035,0.685118,0.753894,0.858791", \ - "0.621885,0.646344,0.668732,0.701795,0.749223,0.818225,0.923278"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0477596,0.0627918,0.0799841,0.113093,0.177918,0.306361,0.562190", \ - "0.0532330,0.0682182,0.0853731,0.118454,0.183285,0.311751,0.567569", \ - "0.0726123,0.0872862,0.104231,0.137176,0.202025,0.330588,0.586503", \ - "0.101518,0.116389,0.132971,0.165602,0.230258,0.358803,0.614820", \ - "0.130511,0.146560,0.163297,0.195380,0.259726,0.388033,0.644027", \ - "0.155516,0.173745,0.191399,0.223709,0.287658,0.415662,0.671483", \ - "0.173724,0.194733,0.214206,0.247348,0.310962,0.438538,0.694061"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0581362,0.0651481,0.0719673,0.0828732,0.101046,0.133006,0.191760", \ - "0.0581193,0.0651396,0.0719622,0.0828808,0.101054,0.133006,0.191767", \ - "0.0581213,0.0651462,0.0719674,0.0828769,0.101052,0.133013,0.191766", \ - "0.0581171,0.0651427,0.0719601,0.0828681,0.101052,0.133010,0.191762", \ - "0.0581372,0.0651488,0.0719721,0.0828830,0.101052,0.133009,0.191765", \ - "0.0581629,0.0651886,0.0720145,0.0829295,0.101106,0.133053,0.191792", \ - "0.0613099,0.0679455,0.0744256,0.0847384,0.102293,0.133780,0.192219"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0151200,0.0266786,0.0415176,0.0718026,0.132808,0.255182,0.500001", \ - "0.0150655,0.0266366,0.0414904,0.0717709,0.132793,0.255213,0.500002", \ - "0.0149633,0.0265058,0.0413675,0.0716941,0.132779,0.255196,0.499994", \ - "0.0166458,0.0272438,0.0415898,0.0716537,0.132743,0.255153,0.499991", \ - "0.0200873,0.0294783,0.0428201,0.0720973,0.132812,0.255127,0.500003", \ - "0.0250154,0.0335934,0.0454263,0.0730992,0.133205,0.255233,0.500030", \ - "0.0308517,0.0395713,0.0501144,0.0752681,0.133819,0.255635,0.500102"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.442109,0.466119,0.488138,0.520759,0.567866,0.636646,0.741531", \ - "0.444512,0.468556,0.490577,0.523199,0.570316,0.639101,0.743993", \ - "0.458983,0.482979,0.504983,0.537611,0.584719,0.653500,0.758391", \ - "0.486654,0.510644,0.532258,0.564875,0.611979,0.680754,0.785643", \ - "0.524367,0.548361,0.570060,0.602280,0.649376,0.718152,0.823050", \ - "0.570832,0.594814,0.616804,0.649133,0.696217,0.764991,0.869889", \ - "0.625956,0.650211,0.672439,0.705329,0.752616,0.821538,0.926532"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0473176,0.0625564,0.0800077,0.113531,0.178867,0.307731,0.563903", \ - "0.0527789,0.0679494,0.0853463,0.118828,0.184148,0.313024,0.569202", \ - "0.0724021,0.0872326,0.104377,0.137665,0.202930,0.331885,0.588145", \ - "0.101991,0.117020,0.133752,0.166626,0.231612,0.360525,0.616819", \ - "0.132245,0.148465,0.165346,0.197655,0.262266,0.390870,0.647125", \ - "0.159137,0.177481,0.195265,0.227777,0.292011,0.420297,0.676344", \ - "0.179827,0.200895,0.220431,0.253698,0.317616,0.445484,0.701219"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0581156,0.0651328,0.0719711,0.0828642,0.101052,0.133000,0.191761", \ - "0.0581230,0.0651452,0.0719752,0.0828654,0.101047,0.133003,0.191763", \ - "0.0581290,0.0651399,0.0719769,0.0828808,0.101043,0.133006,0.191766", \ - "0.0581151,0.0651355,0.0719644,0.0828754,0.101053,0.133006,0.191767", \ - "0.0581370,0.0651486,0.0719709,0.0828685,0.101058,0.133010,0.191767", \ - "0.0581310,0.0651552,0.0720068,0.0828855,0.101082,0.133025,0.191779", \ - "0.0601425,0.0668780,0.0735032,0.0840231,0.101813,0.133493,0.192049"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0152658,0.0270413,0.0420793,0.0725445,0.133557,0.255751,0.500424", \ - "0.0152133,0.0269826,0.0420250,0.0724794,0.133524,0.255756,0.500419", \ - "0.0151233,0.0268143,0.0418315,0.0723224,0.133417,0.255714,0.500398", \ - "0.0168676,0.0275429,0.0419649,0.0721422,0.133272,0.255677,0.500368", \ - "0.0203651,0.0298003,0.0431933,0.0725313,0.133265,0.255571,0.500365", \ - "0.0252684,0.0338655,0.0457613,0.0735178,0.133654,0.255636,0.500367", \ - "0.0310140,0.0397379,0.0503321,0.0756167,0.134268,0.256022,0.500428"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.310743,2.298936,2.322870,2.370883,2.487768,2.665435,2.854066", \ - "2.278084,2.273873,2.290914,2.353588,2.458703,2.643662,2.824175", \ - "2.250211,2.245896,2.259373,2.311437,2.439630,2.615661,2.792803", \ - "2.260728,2.253196,2.267446,2.327979,2.444174,2.619201,2.801694", \ - "2.301789,2.298195,2.312544,2.363677,2.485290,2.661883,2.845737", \ - "2.407050,2.396295,2.409271,2.458460,2.572464,2.744674,2.922821", \ - "2.635326,2.601114,2.604103,2.632605,2.728411,2.881291,3.051738"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.365106,1.404711,1.435444,1.462702,1.492982,1.463147,1.512628", \ - "1.372182,1.412370,1.428134,1.462094,1.477484,1.514679,1.497912", \ - "1.361958,1.395560,1.414772,1.446328,1.419784,1.465967,1.521107", \ - "1.378763,1.393631,1.403191,1.404574,1.462177,1.444545,1.508191", \ - "1.432896,1.458451,1.454512,1.443673,1.445395,1.494242,1.497892", \ - "1.497870,1.555708,1.552954,1.549718,1.521121,1.533130,1.540273", \ - "1.608801,1.694314,1.698790,1.680065,1.659881,1.625369,1.678898"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.586557,2.582606,2.596090,2.660241,2.778309,2.953116,3.128478", \ - "2.572331,2.560666,2.581984,2.642672,2.752640,2.934385,3.114736", \ - "2.542860,2.538906,2.558067,2.614097,2.728073,2.905559,3.088707", \ - "2.533992,2.526884,2.538716,2.600640,2.712017,2.890755,3.072516", \ - "2.532779,2.520977,2.541537,2.596628,2.703309,2.879851,3.066100", \ - "2.558807,2.552912,2.567400,2.619924,2.725263,2.898879,3.081649", \ - "2.672423,2.655647,2.651680,2.698838,2.796891,2.951087,3.130018"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.498563,1.567555,1.611722,1.657119,1.643009,1.690234,1.682012", \ - "1.503004,1.560205,1.600789,1.622696,1.688987,1.668078,1.728797", \ - "1.502054,1.543761,1.576910,1.619739,1.626620,1.679432,1.677065", \ - "1.514233,1.553009,1.572768,1.607947,1.637890,1.626904,1.698927", \ - "1.565307,1.610904,1.604486,1.612645,1.643659,1.633363,1.710959", \ - "1.642249,1.698792,1.706685,1.680888,1.699706,1.681683,1.764797", \ - "1.742639,1.828201,1.828763,1.818722,1.796802,1.761931,1.836461"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.846306,2.838038,2.853415,2.911191,3.022031,3.206026,3.386167", \ - "2.821309,2.817650,2.837766,2.889137,3.012664,3.189809,3.365479", \ - "2.801052,2.795474,2.808637,2.866918,2.981603,3.161966,3.343457", \ - "2.786000,2.781946,2.792016,2.855591,2.966111,3.140035,3.328778", \ - "2.785100,2.777764,2.788002,2.841170,2.968227,3.131063,3.317831", \ - "2.791704,2.785899,2.799630,2.852129,2.968327,3.138350,3.318459", \ - "2.865568,2.845358,2.849486,2.891947,3.000353,3.157481,3.339999"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.572828,1.671310,1.736009,1.795045,1.851176,1.841753,1.914547", \ - "1.573185,1.672961,1.729770,1.801263,1.823801,1.883732,1.888277", \ - "1.572531,1.645379,1.702581,1.734743,1.813339,1.810407,1.888164", \ - "1.581180,1.638389,1.676656,1.725756,1.733381,1.801451,1.885058", \ - "1.656636,1.705891,1.713869,1.735030,1.778717,1.778790,1.866185", \ - "1.737857,1.800080,1.813683,1.801178,1.798592,1.859428,1.883919", \ - "1.846607,1.936425,1.941558,1.949516,1.929412,1.964671,1.981644"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.093392,3.089003,3.105074,3.164077,3.282811,3.459795,3.638424", \ - "3.078864,3.071010,3.089209,3.146120,3.256645,3.441140,3.618630", \ - "3.046017,3.042269,3.055003,3.120511,3.234877,3.408225,3.592699", \ - "3.036739,3.032622,3.043878,3.094580,3.222992,3.390282,3.573997", \ - "3.034214,3.027513,3.043510,3.098415,3.217457,3.386444,3.567323", \ - "3.032484,3.027971,3.038267,3.098694,3.213828,3.389311,3.567137", \ - "3.083134,3.064285,3.071794,3.123344,3.224502,3.397779,3.583042"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.574623,1.704239,1.796684,1.903983,1.955645,2.033162,2.053604", \ - "1.568559,1.694513,1.790332,1.848064,1.945505,1.992658,2.081952", \ - "1.568783,1.663089,1.743030,1.818420,1.893660,1.977073,2.002792", \ - "1.599426,1.673383,1.736348,1.801379,1.877063,1.961205,1.991182", \ - "1.687846,1.748688,1.767372,1.794077,1.838368,1.922715,1.955562", \ - "1.790717,1.856381,1.882325,1.889671,1.903343,1.979550,2.016551", \ - "1.917977,2.012877,2.026072,2.030746,2.027934,2.052526,2.082485"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR4_X2 - Cell Description : Combinational cell (OR4_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OR4_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 38.405310; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 66.499525; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 31.757246; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 31.839725; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 35.398491; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 31.988077; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 35.404600; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 35.416275; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 40.275791; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 33.352752; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 35.446780; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 35.458465; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 40.291809; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 35.470150; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 40.293993; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 40.303303; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 45.287972; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.631826; - fall_capacitance : 1.328989; - rise_capacitance : 1.631826; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.602007; - fall_capacitance : 1.418949; - rise_capacitance : 1.602007; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.578355; - fall_capacitance : 1.447502; - rise_capacitance : 1.578355; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.571510; - fall_capacitance : 1.511158; - rise_capacitance : 1.571510; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.291517,0.317005,0.337403,0.367912,0.412314,0.477856,0.579049", \ - "0.289595,0.315119,0.335525,0.366075,0.410469,0.476026,0.577222", \ - "0.297196,0.322613,0.342965,0.373474,0.417866,0.483415,0.584610", \ - "0.324203,0.349622,0.369793,0.400275,0.444638,0.510176,0.611373", \ - "0.372234,0.397664,0.417992,0.448338,0.492667,0.558180,0.659361", \ - "0.444308,0.469332,0.489474,0.519656,0.563853,0.629289,0.730444", \ - "0.534682,0.560735,0.581505,0.612272,0.656798,0.722336,0.823596"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0410619,0.0571273,0.0737749,0.106240,0.170378,0.298070,0.552950", \ - "0.0465080,0.0625326,0.0791650,0.111654,0.175821,0.303518,0.558414", \ - "0.0648971,0.0806644,0.0971517,0.129599,0.193881,0.321738,0.576771", \ - "0.0888979,0.105140,0.121483,0.153732,0.217855,0.345740,0.600890", \ - "0.111108,0.128900,0.145287,0.177082,0.241004,0.368643,0.623769", \ - "0.127482,0.148150,0.165599,0.197446,0.260863,0.388281,0.643168", \ - "0.135450,0.159617,0.179219,0.212094,0.275120,0.401979,0.656577"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0541076,0.0614730,0.0678238,0.0782454,0.0959687,0.127519,0.185942", \ - "0.0541082,0.0614803,0.0678129,0.0782354,0.0959639,0.127518,0.185941", \ - "0.0541012,0.0614822,0.0678199,0.0782283,0.0959650,0.127515,0.185936", \ - "0.0540924,0.0614676,0.0677914,0.0782252,0.0959635,0.127511,0.185932", \ - "0.0539626,0.0613494,0.0677063,0.0781698,0.0959423,0.127507,0.185922", \ - "0.0528370,0.0605772,0.0671873,0.0778942,0.0958215,0.127444,0.185893", \ - "0.0600684,0.0663926,0.0719098,0.0812606,0.0978552,0.128571,0.186561"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0129825,0.0259283,0.0408785,0.0712856,0.132338,0.254554,0.498845", \ - "0.0129885,0.0259331,0.0408848,0.0712838,0.132351,0.254537,0.498837", \ - "0.0132295,0.0260118,0.0409028,0.0712864,0.132337,0.254526,0.498843", \ - "0.0154179,0.0270796,0.0414461,0.0714219,0.132342,0.254526,0.498848", \ - "0.0194736,0.0295529,0.0427579,0.0719814,0.132596,0.254497,0.498853", \ - "0.0250764,0.0343460,0.0457930,0.0730971,0.133011,0.254796,0.498837", \ - "0.0316739,0.0413709,0.0514772,0.0757891,0.133743,0.255210,0.499019"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.362615,0.388044,0.408436,0.438945,0.483332,0.548879,0.650073", \ - "0.362775,0.388258,0.408678,0.439187,0.483583,0.549134,0.650331", \ - "0.372892,0.398329,0.418716,0.449220,0.493602,0.559154,0.660349", \ - "0.398509,0.423945,0.443895,0.474394,0.518769,0.584310,0.685506", \ - "0.438562,0.464002,0.484336,0.514688,0.559064,0.624605,0.725802", \ - "0.496971,0.522350,0.542697,0.573061,0.617406,0.682962,0.784183", \ - "0.572253,0.598568,0.619707,0.651000,0.696101,0.762138,0.863681"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0439568,0.0602296,0.0770007,0.109625,0.173950,0.301787,0.556828", \ - "0.0493467,0.0655973,0.0823500,0.114978,0.179312,0.307167,0.562208", \ - "0.0681997,0.0841958,0.100810,0.133368,0.197769,0.325739,0.580908", \ - "0.0944841,0.110810,0.127232,0.159579,0.223828,0.351833,0.607086", \ - "0.119693,0.137363,0.153806,0.185766,0.249791,0.377587,0.632838", \ - "0.139877,0.160101,0.177418,0.209319,0.272923,0.400477,0.655513", \ - "0.152345,0.175794,0.194932,0.227619,0.290808,0.417874,0.672616"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0541033,0.0614835,0.0678267,0.0782505,0.0959735,0.127523,0.185942", \ - "0.0541065,0.0614755,0.0678306,0.0782533,0.0959820,0.127528,0.185937", \ - "0.0541074,0.0614835,0.0678317,0.0782501,0.0959813,0.127528,0.185939", \ - "0.0541047,0.0614874,0.0678189,0.0782579,0.0959895,0.127529,0.185939", \ - "0.0541111,0.0614881,0.0678377,0.0782713,0.0959960,0.127540,0.185950", \ - "0.0543264,0.0617065,0.0680633,0.0784854,0.0961712,0.127665,0.186018", \ - "0.0590939,0.0661815,0.0721768,0.0818840,0.0985103,0.129076,0.186842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0134683,0.0263431,0.0412193,0.0715359,0.132532,0.254679,0.498995", \ - "0.0134531,0.0263321,0.0412109,0.0715410,0.132535,0.254713,0.498990", \ - "0.0135234,0.0263455,0.0412101,0.0715302,0.132553,0.254691,0.498982", \ - "0.0154072,0.0272067,0.0416041,0.0716193,0.132534,0.254700,0.498982", \ - "0.0190604,0.0293822,0.0427807,0.0721148,0.132766,0.254694,0.499012", \ - "0.0241919,0.0335752,0.0453668,0.0730827,0.133129,0.254925,0.499030", \ - "0.0301915,0.0398352,0.0502216,0.0752699,0.133725,0.255311,0.499190"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.400630,0.426096,0.446486,0.476997,0.521391,0.586936,0.688128", \ - "0.401157,0.426644,0.447048,0.477572,0.521973,0.587521,0.688715", \ - "0.413233,0.438663,0.459047,0.489549,0.533934,0.599490,0.700689", \ - "0.440459,0.465893,0.485916,0.516414,0.560793,0.626337,0.727535", \ - "0.479074,0.504506,0.524670,0.554815,0.599190,0.664732,0.765923", \ - "0.529328,0.554731,0.575086,0.605447,0.649810,0.715351,0.816555", \ - "0.589909,0.616102,0.637117,0.668335,0.713284,0.779247,0.880718"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0443667,0.0611450,0.0782639,0.111266,0.175913,0.303997,0.559246", \ - "0.0498562,0.0665721,0.0836497,0.116631,0.181281,0.309386,0.564651", \ - "0.0691556,0.0854838,0.102347,0.135204,0.199881,0.328098,0.583480", \ - "0.0968825,0.113410,0.129942,0.162492,0.226979,0.355198,0.610645", \ - "0.124254,0.142039,0.158609,0.190589,0.254812,0.382799,0.638221", \ - "0.147264,0.167441,0.184794,0.216878,0.280696,0.408433,0.663637", \ - "0.163238,0.186503,0.205512,0.238248,0.301685,0.428977,0.683918"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0541082,0.0614775,0.0678267,0.0782483,0.0959694,0.127524,0.185940", \ - "0.0541087,0.0614835,0.0678250,0.0782465,0.0959738,0.127521,0.185940", \ - "0.0541036,0.0614758,0.0678165,0.0782427,0.0959716,0.127522,0.185938", \ - "0.0541051,0.0614875,0.0678144,0.0782482,0.0959794,0.127531,0.185939", \ - "0.0541103,0.0614858,0.0678210,0.0782583,0.0959870,0.127532,0.185948", \ - "0.0542215,0.0616075,0.0679463,0.0783793,0.0960963,0.127607,0.185989", \ - "0.0580259,0.0651758,0.0712190,0.0810425,0.0979196,0.128712,0.186630"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0140345,0.0270467,0.0419290,0.0721568,0.133018,0.255013,0.499239", \ - "0.0139777,0.0269988,0.0418992,0.0721538,0.133012,0.255015,0.499248", \ - "0.0138858,0.0268586,0.0417627,0.0720691,0.132970,0.254993,0.499230", \ - "0.0156705,0.0275514,0.0419859,0.0720217,0.132932,0.254980,0.499235", \ - "0.0191795,0.0296030,0.0430810,0.0724650,0.133071,0.254979,0.499256", \ - "0.0240922,0.0335299,0.0454804,0.0733662,0.133445,0.255183,0.499268", \ - "0.0298591,0.0394225,0.0499457,0.0753532,0.134028,0.255583,0.499398"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.411895,0.437356,0.457751,0.488258,0.532657,0.598199,0.699388", \ - "0.414114,0.439601,0.460006,0.490528,0.534931,0.600483,0.701679", \ - "0.428695,0.454126,0.474510,0.505016,0.549407,0.614957,0.716154", \ - "0.456283,0.481712,0.501959,0.532453,0.576842,0.642381,0.743576", \ - "0.493918,0.519346,0.539499,0.569699,0.614078,0.679620,0.780802", \ - "0.540382,0.565802,0.586051,0.616315,0.660701,0.726232,0.827435", \ - "0.594288,0.620209,0.640983,0.671749,0.716473,0.782272,0.883645"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0438878,0.0608955,0.0782744,0.111710,0.176853,0.305375,0.560961", \ - "0.0493706,0.0662927,0.0836118,0.117001,0.182143,0.310671,0.566269", \ - "0.0689144,0.0854167,0.102481,0.135683,0.200788,0.329408,0.585111", \ - "0.0973446,0.114055,0.130739,0.163530,0.228356,0.356905,0.612670", \ - "0.126050,0.144018,0.160741,0.192950,0.257441,0.385708,0.641408", \ - "0.151034,0.171355,0.188843,0.221127,0.285243,0.413268,0.668713", \ - "0.169608,0.192945,0.212029,0.244929,0.308655,0.436261,0.691405"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0541037,0.0614758,0.0678287,0.0782469,0.0959666,0.127518,0.185939", \ - "0.0541091,0.0614834,0.0678265,0.0782455,0.0959764,0.127525,0.185939", \ - "0.0541044,0.0614680,0.0678271,0.0782456,0.0959801,0.127521,0.185937", \ - "0.0541033,0.0614827,0.0678239,0.0782520,0.0959755,0.127526,0.185941", \ - "0.0541048,0.0614694,0.0678241,0.0782549,0.0959824,0.127526,0.185946", \ - "0.0541548,0.0615329,0.0678815,0.0783106,0.0960324,0.127565,0.185966", \ - "0.0567316,0.0638778,0.0700006,0.0800104,0.0971919,0.128273,0.186372"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0141519,0.0274193,0.0425118,0.0729186,0.133772,0.255613,0.499671", \ - "0.0140962,0.0273518,0.0424491,0.0728705,0.133724,0.255596,0.499651", \ - "0.0140310,0.0271765,0.0422413,0.0726792,0.133624,0.255551,0.499634", \ - "0.0158998,0.0278598,0.0423697,0.0725183,0.133475,0.255484,0.499603", \ - "0.0194607,0.0299341,0.0434716,0.0729115,0.133529,0.255431,0.499617", \ - "0.0243588,0.0338262,0.0458273,0.0737980,0.133912,0.255588,0.499616", \ - "0.0300374,0.0396096,0.0501797,0.0757167,0.134491,0.255990,0.499720"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.392197,4.370064,4.396987,4.521585,4.748694,5.084253,5.404300", \ - "4.327153,4.312102,4.339715,4.450245,4.694723,5.018692,5.347223", \ - "4.268548,4.239649,4.273750,4.401433,4.629724,4.948148,5.281139", \ - "4.291793,4.257752,4.305849,4.417904,4.645158,4.980124,5.306095", \ - "4.379796,4.353708,4.389065,4.498035,4.720097,5.069756,5.398318", \ - "4.608117,4.591429,4.615874,4.704646,4.905756,5.241563,5.567619", \ - "5.079345,5.007587,5.001249,5.052857,5.237556,5.500938,5.825067"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.693219,2.812427,2.832617,2.876552,2.862265,2.951580,2.950911", \ - "2.704652,2.801812,2.846828,2.895091,2.964807,2.919725,2.919959", \ - "2.685105,2.762765,2.797898,2.848444,2.926773,2.961191,2.973591", \ - "2.708553,2.770125,2.763748,2.803674,2.844803,2.825438,2.988710", \ - "2.829816,2.878818,2.876478,2.839007,2.870689,2.849695,3.025341", \ - "2.961937,3.083201,3.075745,3.073144,3.033721,3.003316,3.046708", \ - "3.175670,3.377653,3.402199,3.343874,3.318177,3.247090,3.277566"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.959020,4.929315,4.963299,5.083956,5.306903,5.646811,5.976268", \ - "4.913213,4.900193,4.931138,5.039483,5.263228,5.596120,5.939011", \ - "4.872215,4.841958,4.880382,4.996935,5.209872,5.539428,5.874231", \ - "4.846720,4.823073,4.849834,4.969426,5.192805,5.508139,5.845839", \ - "4.834715,4.820965,4.850683,4.956320,5.190738,5.513572,5.844011", \ - "4.919103,4.881944,4.900639,5.014320,5.222300,5.544052,5.865018", \ - "5.155957,5.102114,5.105925,5.162791,5.343806,5.641553,5.982011"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.974064,3.113395,3.203313,3.257427,3.299977,3.407037,3.426992", \ - "2.960527,3.098096,3.170003,3.249254,3.256088,3.364213,3.384422", \ - "2.949003,3.063310,3.123791,3.199812,3.269214,3.254299,3.420990", \ - "2.994560,3.060337,3.115632,3.182964,3.189537,3.319998,3.363399", \ - "3.108964,3.185130,3.179986,3.203705,3.250353,3.246740,3.301441", \ - "3.236316,3.387777,3.381269,3.376913,3.287196,3.412916,3.472891", \ - "3.447459,3.648355,3.655158,3.658218,3.627506,3.606099,3.559940"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.476867,5.458538,5.481536,5.606481,5.841584,6.167932,6.495052", \ - "5.443850,5.413337,5.443827,5.569701,5.794201,6.132780,6.451549", \ - "5.394065,5.356877,5.386749,5.516725,5.745885,6.058389,6.393938", \ - "5.363329,5.342097,5.374747,5.488225,5.719814,6.043507,6.372346", \ - "5.354204,5.324593,5.360411,5.476516,5.701522,6.025513,6.355826", \ - "5.375801,5.356744,5.379420,5.491817,5.711673,6.030944,6.360006", \ - "5.527875,5.469884,5.490743,5.568288,5.771937,6.086373,6.401520"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.106754,3.322371,3.456471,3.555880,3.581556,3.714443,3.757114", \ - "3.089841,3.324514,3.455449,3.537479,3.662822,3.660860,3.705290", \ - "3.092602,3.267166,3.377647,3.433551,3.506370,3.653259,3.707951", \ - "3.145233,3.267479,3.321608,3.404313,3.514897,3.531596,3.733796", \ - "3.261143,3.381382,3.391727,3.405868,3.514820,3.532028,3.606009", \ - "3.442834,3.569274,3.578813,3.607737,3.610679,3.621966,3.700163", \ - "3.666130,3.852638,3.891596,3.876159,3.858830,3.899115,3.969200"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.993521,5.967912,5.992119,6.108587,6.345865,6.671863,7.002105", \ - "5.954140,5.923453,5.954988,6.078097,6.314708,6.640558,6.966957", \ - "5.903850,5.873016,5.907449,6.024751,6.252452,6.589046,6.915288", \ - "5.872900,5.852968,5.873820,5.992261,6.221540,6.551212,6.878989", \ - "5.864424,5.837417,5.863736,5.978745,6.208782,6.532697,6.862454", \ - "5.865119,5.848763,5.876536,5.986183,6.208410,6.531043,6.859667", \ - "5.966042,5.931667,5.946034,6.031169,6.246907,6.556429,6.879834"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.084471,3.415882,3.595299,3.776156,3.927652,3.961280,4.172072", \ - "3.072405,3.367353,3.527999,3.716479,3.844155,4.015554,4.091417", \ - "3.079155,3.303313,3.470700,3.617091,3.804383,3.850912,3.937081", \ - "3.166702,3.331215,3.448485,3.553970,3.662851,3.849447,3.944937", \ - "3.318572,3.464817,3.527855,3.615667,3.724535,3.816676,3.975914", \ - "3.535341,3.702124,3.705415,3.779746,3.834992,3.855355,3.960579", \ - "3.776991,3.997756,4.043490,4.065592,4.066258,4.067285,4.162129"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR4_X4 - Cell Description : Combinational cell (OR4_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OR4_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 76.797068; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 132.999050; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 63.500185; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 63.665010; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 70.782600; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 63.961695; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 70.794760; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 70.818130; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 80.537105; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 66.691140; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 70.879025; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 70.902395; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 80.569120; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 70.925860; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 80.573395; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 80.592110; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 90.561505; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.159725; - fall_capacitance : 2.547170; - rise_capacitance : 3.159725; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.214479; - fall_capacitance : 2.853306; - rise_capacitance : 3.214479; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.347783; - fall_capacitance : 3.090810; - rise_capacitance : 3.347783; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.485361; - fall_capacitance : 3.360539; - rise_capacitance : 3.485361; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 241.699000; - function : "(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.281102,0.307849,0.327906,0.357873,0.401504,0.466125,0.566282", \ - "0.279152,0.305914,0.325975,0.355976,0.399628,0.464256,0.564422", \ - "0.286872,0.313507,0.333580,0.363532,0.407185,0.471792,0.571951", \ - "0.314049,0.340718,0.360585,0.390521,0.434132,0.498708,0.598885", \ - "0.362292,0.388993,0.408954,0.438720,0.482289,0.546878,0.647016", \ - "0.434784,0.460962,0.480697,0.510288,0.553700,0.618209,0.718315", \ - "0.524101,0.551579,0.572025,0.602286,0.646165,0.710837,0.811077"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0393275,0.0563674,0.0730295,0.105511,0.169667,0.297359,0.552372", \ - "0.0447696,0.0617623,0.0784119,0.110919,0.175110,0.302824,0.557839", \ - "0.0630548,0.0797641,0.0962655,0.128736,0.193062,0.320960,0.576103", \ - "0.0864535,0.103697,0.120071,0.152345,0.216541,0.344515,0.599792", \ - "0.107827,0.126778,0.143178,0.175020,0.238973,0.366824,0.622118", \ - "0.123172,0.145253,0.162690,0.194543,0.257998,0.385468,0.640440", \ - "0.130034,0.155847,0.175430,0.208278,0.271326,0.398226,0.652952"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0526130,0.0602467,0.0664893,0.0767867,0.0944037,0.125874,0.184275", \ - "0.0526142,0.0602449,0.0664845,0.0767835,0.0944059,0.125875,0.184275", \ - "0.0526105,0.0602441,0.0664810,0.0767822,0.0943977,0.125866,0.184278", \ - "0.0526078,0.0602231,0.0664620,0.0767707,0.0944054,0.125871,0.184277", \ - "0.0524183,0.0600701,0.0663462,0.0767068,0.0943690,0.125847,0.184260", \ - "0.0514239,0.0593197,0.0658149,0.0763990,0.0942216,0.125785,0.184231", \ - "0.0591962,0.0656380,0.0709899,0.0802046,0.0966246,0.127114,0.185006"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0120981,0.0257541,0.0407228,0.0711342,0.132197,0.254407,0.498786", \ - "0.0120995,0.0257555,0.0407268,0.0711420,0.132217,0.254413,0.498786", \ - "0.0123904,0.0258387,0.0407475,0.0711462,0.132195,0.254414,0.498781", \ - "0.0146638,0.0269099,0.0413007,0.0712909,0.132201,0.254402,0.498788", \ - "0.0188001,0.0293547,0.0425838,0.0718338,0.132503,0.254402,0.498790", \ - "0.0243851,0.0341507,0.0455936,0.0729575,0.132887,0.254721,0.498777", \ - "0.0309084,0.0412097,0.0512801,0.0756177,0.133625,0.255114,0.499029"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.352208,0.378927,0.398978,0.428936,0.472568,0.537191,0.637347", \ - "0.352370,0.379111,0.399174,0.429151,0.472793,0.537418,0.637582", \ - "0.362543,0.389240,0.409285,0.439234,0.482857,0.547484,0.647648", \ - "0.388168,0.414855,0.434508,0.464447,0.508073,0.572723,0.672911", \ - "0.428290,0.454973,0.474975,0.504659,0.548270,0.612880,0.713051", \ - "0.486712,0.513323,0.533307,0.563105,0.606706,0.671327,0.771525", \ - "0.561225,0.588912,0.609684,0.640547,0.685051,0.750276,0.850838"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0421225,0.0593930,0.0761803,0.108821,0.173160,0.301031,0.556190", \ - "0.0475170,0.0647618,0.0815360,0.114179,0.178534,0.306409,0.561573", \ - "0.0663380,0.0832950,0.0999231,0.132501,0.196934,0.324966,0.580241", \ - "0.0920742,0.109405,0.125865,0.158240,0.222518,0.350595,0.606021", \ - "0.116532,0.135340,0.151799,0.183780,0.247846,0.375696,0.631130", \ - "0.135783,0.157366,0.174669,0.206574,0.270197,0.397808,0.652964", \ - "0.147206,0.172262,0.191370,0.224017,0.287229,0.414348,0.669230"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0526148,0.0602503,0.0664938,0.0768029,0.0944144,0.125874,0.184278", \ - "0.0526155,0.0602522,0.0664945,0.0767963,0.0944216,0.125875,0.184285", \ - "0.0526132,0.0602488,0.0664928,0.0768035,0.0944216,0.125876,0.184278", \ - "0.0526228,0.0602501,0.0664943,0.0768027,0.0944267,0.125879,0.184284", \ - "0.0526218,0.0602556,0.0665052,0.0768213,0.0944442,0.125900,0.184285", \ - "0.0529097,0.0605611,0.0667953,0.0770854,0.0946421,0.126028,0.184368", \ - "0.0579245,0.0652316,0.0711864,0.0808209,0.0973021,0.127639,0.185303"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0125943,0.0261706,0.0410601,0.0714028,0.132414,0.254571,0.498927", \ - "0.0125747,0.0261578,0.0410545,0.0714039,0.132400,0.254549,0.498934", \ - "0.0126653,0.0261727,0.0410489,0.0713944,0.132413,0.254552,0.498926", \ - "0.0146325,0.0270325,0.0414644,0.0714979,0.132407,0.254558,0.498929", \ - "0.0183522,0.0291758,0.0426077,0.0719817,0.132649,0.254589,0.498941", \ - "0.0234610,0.0333437,0.0451572,0.0729286,0.133007,0.254876,0.498984", \ - "0.0294141,0.0396066,0.0499741,0.0750838,0.133615,0.255211,0.499191"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.390261,0.416988,0.437035,0.466993,0.510624,0.575248,0.675406", \ - "0.390762,0.417501,0.437563,0.467540,0.511188,0.575816,0.675977", \ - "0.402897,0.429577,0.449620,0.479574,0.523203,0.587837,0.687998", \ - "0.430142,0.456826,0.476543,0.506485,0.550118,0.614745,0.714905", \ - "0.468793,0.495479,0.515303,0.544932,0.588542,0.653152,0.753327", \ - "0.519057,0.545709,0.565699,0.595499,0.639083,0.703713,0.803901", \ - "0.579014,0.606553,0.627261,0.657992,0.702313,0.767428,0.867903"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0424676,0.0602887,0.0774308,0.110459,0.175125,0.303238,0.558599", \ - "0.0479731,0.0657210,0.0828189,0.115830,0.180500,0.308639,0.564006", \ - "0.0672802,0.0845931,0.101477,0.134357,0.199077,0.327337,0.582826", \ - "0.0944898,0.112049,0.128667,0.161247,0.225764,0.354071,0.609675", \ - "0.121174,0.140093,0.156679,0.188697,0.252968,0.381084,0.636750", \ - "0.143310,0.164844,0.182180,0.214249,0.278112,0.405915,0.661239", \ - "0.158344,0.183166,0.202146,0.234849,0.298311,0.425678,0.680723"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0526211,0.0602500,0.0664922,0.0767955,0.0944101,0.125872,0.184274", \ - "0.0526239,0.0602498,0.0664940,0.0767980,0.0944113,0.125873,0.184276", \ - "0.0526138,0.0602517,0.0664897,0.0767980,0.0944196,0.125876,0.184284", \ - "0.0526153,0.0602536,0.0664950,0.0767975,0.0944176,0.125882,0.184277", \ - "0.0526166,0.0602516,0.0664999,0.0768094,0.0944283,0.125887,0.184283", \ - "0.0527708,0.0604103,0.0666552,0.0769563,0.0945409,0.125970,0.184330", \ - "0.0567540,0.0641606,0.0701707,0.0799046,0.0966114,0.127234,0.185059"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0131433,0.0268793,0.0417848,0.0720248,0.132882,0.254899,0.499187", \ - "0.0130805,0.0268306,0.0417455,0.0720004,0.132882,0.254898,0.499187", \ - "0.0130096,0.0266865,0.0416101,0.0719121,0.132822,0.254881,0.499177", \ - "0.0148949,0.0273797,0.0418396,0.0718949,0.132803,0.254869,0.499166", \ - "0.0184594,0.0293961,0.0429086,0.0723342,0.132963,0.254877,0.499196", \ - "0.0233688,0.0332943,0.0452720,0.0732184,0.133331,0.255119,0.499200", \ - "0.0290483,0.0391796,0.0496914,0.0751642,0.133916,0.255485,0.499391"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.401536,0.428254,0.448305,0.478266,0.521900,0.586523,0.686681", \ - "0.403732,0.430466,0.450532,0.480508,0.524158,0.588784,0.688945", \ - "0.418381,0.445076,0.465105,0.495061,0.538696,0.603319,0.703481", \ - "0.445985,0.472671,0.492567,0.522524,0.566141,0.630794,0.730947", \ - "0.483636,0.510320,0.530165,0.559814,0.603436,0.668060,0.768207", \ - "0.530116,0.556785,0.576672,0.606398,0.650010,0.714630,0.814803", \ - "0.583610,0.610875,0.631304,0.661593,0.705632,0.770543,0.870910"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0419960,0.0600580,0.0774663,0.110922,0.176098,0.304657,0.560342", \ - "0.0474941,0.0654590,0.0828036,0.116219,0.181384,0.309960,0.565665", \ - "0.0670448,0.0845391,0.101622,0.134849,0.200010,0.328681,0.584487", \ - "0.0949778,0.112725,0.129480,0.162299,0.227166,0.355844,0.611758", \ - "0.123021,0.142143,0.158895,0.191134,0.255667,0.384091,0.639973", \ - "0.147162,0.168862,0.186334,0.218626,0.282783,0.410876,0.666414", \ - "0.164841,0.189759,0.208819,0.241698,0.305456,0.433105,0.688394"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0526181,0.0602509,0.0664931,0.0768003,0.0944055,0.125871,0.184275", \ - "0.0526225,0.0602512,0.0664928,0.0767979,0.0944133,0.125878,0.184278", \ - "0.0526137,0.0602492,0.0664936,0.0768025,0.0944174,0.125878,0.184283", \ - "0.0526208,0.0602510,0.0664944,0.0768003,0.0944137,0.125878,0.184276", \ - "0.0526212,0.0602513,0.0664973,0.0768040,0.0944229,0.125886,0.184280", \ - "0.0526749,0.0603192,0.0665696,0.0768800,0.0944785,0.125924,0.184304", \ - "0.0554004,0.0628376,0.0688825,0.0787661,0.0957882,0.126722,0.184776"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0132468,0.0272512,0.0423670,0.0727846,0.133649,0.255505,0.499607", \ - "0.0131910,0.0271839,0.0422999,0.0727334,0.133619,0.255487,0.499619", \ - "0.0131476,0.0270043,0.0420925,0.0725550,0.133490,0.255431,0.499595", \ - "0.0151227,0.0276948,0.0422301,0.0723850,0.133346,0.255361,0.499554", \ - "0.0187496,0.0297340,0.0433005,0.0727856,0.133411,0.255317,0.499536", \ - "0.0236321,0.0335960,0.0456289,0.0736567,0.133791,0.255510,0.499551", \ - "0.0292464,0.0393663,0.0499339,0.0755415,0.134387,0.255908,0.499725"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("8.604016,8.545790,8.616834,8.847689,9.319840,9.959168,10.606990", \ - "8.473210,8.435996,8.485587,8.731080,9.185409,9.839992,10.492300", \ - "8.390517,8.313359,8.379722,8.607812,9.097750,9.746209,10.366300", \ - "8.420438,8.334065,8.429157,8.651973,9.102000,9.762257,10.414640", \ - "8.604376,8.551538,8.627775,8.835315,9.289235,9.942139,10.599890", \ - "9.109524,9.003322,9.058263,9.243692,9.674012,10.288450,10.941880", \ - "10.041200,9.879019,9.850247,9.960374,10.307440,10.860230,11.485400"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("5.138309,5.399493,5.469879,5.620519,5.540405,5.702012,5.684664", \ - "5.158212,5.375590,5.477019,5.617146,5.509395,5.640224,5.622448", \ - "5.125138,5.283783,5.350646,5.503447,5.532315,5.730678,5.737461", \ - "5.195150,5.291227,5.352152,5.400943,5.538064,5.490627,5.525344", \ - "5.373685,5.555844,5.529624,5.435978,5.411630,5.580144,5.756125", \ - "5.672021,5.952109,5.946790,5.952771,5.781686,5.940278,6.003372", \ - "6.075028,6.528164,6.530420,6.559144,6.496621,6.209292,6.260091"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("9.736871,9.680263,9.747406,9.989850,10.469160,11.121220,11.742650", \ - "9.682796,9.620660,9.671479,9.927588,10.370290,11.028880,11.677320", \ - "9.562882,9.513029,9.560483,9.806432,10.273790,10.928860,11.574770", \ - "9.531598,9.467656,9.511910,9.761591,10.212010,10.867630,11.516550", \ - "9.524222,9.463563,9.512528,9.736067,10.207510,10.840260,11.491070", \ - "9.704642,9.591035,9.654036,9.860483,10.275650,10.912910,11.558430", \ - "10.164620,10.027510,10.042850,10.161950,10.534850,11.138020,11.769720"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("5.693232,6.002403,6.173182,6.190647,6.423346,6.350226,6.662611", \ - "5.666169,6.013086,6.179748,6.223634,6.370669,6.536345,6.556578", \ - "5.664906,5.903514,6.072361,6.084716,6.363035,6.324408,6.637476", \ - "5.724041,5.916487,6.020626,6.051896,6.227339,6.206799,6.547576", \ - "5.971593,6.150246,6.102991,6.047932,6.165659,6.368003,6.455645", \ - "6.213551,6.521111,6.522245,6.421298,6.516597,6.485790,6.571447", \ - "6.645711,7.057460,7.113264,7.091097,6.965629,6.988732,7.077336"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("10.773010,10.731560,10.777350,11.043130,11.505420,12.137720,12.790820", \ - "10.707050,10.648350,10.717350,10.941520,11.435450,12.087600,12.702530", \ - "10.626290,10.535510,10.618340,10.840870,11.309220,11.967080,12.614530", \ - "10.565520,10.505560,10.558140,10.805520,11.246050,11.890980,12.549980", \ - "10.525790,10.470580,10.538680,10.774970,11.211800,11.860160,12.513050", \ - "10.612100,10.539810,10.595500,10.788750,11.242090,11.872300,12.521770", \ - "10.894400,10.780680,10.809160,10.961120,11.380440,11.971590,12.606070"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("5.928448,6.419691,6.691678,6.873206,7.138616,7.239253,7.304482", \ - "5.909552,6.423354,6.681946,6.864186,7.146376,7.132685,7.199511", \ - "5.926052,6.311857,6.505453,6.671095,6.909901,7.123683,7.213404", \ - "6.017232,6.301913,6.458785,6.515654,6.877811,6.903885,7.016101", \ - "6.300502,6.538434,6.567520,6.689312,6.638756,6.934343,7.061285", \ - "6.618233,6.948271,6.976234,7.003475,6.866094,7.156759,7.290332", \ - "7.070987,7.505464,7.553225,7.569710,7.548006,7.483749,7.693415"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("11.824110,11.731390,11.803950,12.037050,12.515140,13.160550,13.804390", \ - "11.734680,11.668380,11.732390,11.966970,12.426610,13.079520,13.733410", \ - "11.626020,11.570710,11.628750,11.855700,12.324830,12.981470,13.630940", \ - "11.588770,11.501040,11.566980,11.821150,12.260710,12.912180,13.568750", \ - "11.568210,11.490080,11.546310,11.767420,12.227490,12.873710,13.527350", \ - "11.587450,11.521130,11.556890,11.774710,12.242290,12.871750,13.520960", \ - "11.762830,11.670900,11.711360,11.892300,12.312330,12.946510,13.588370"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("5.885983,6.604034,6.982865,7.340699,7.674916,7.921689,7.859106", \ - "5.863726,6.533466,6.840336,7.248796,7.507712,7.840535,7.971451", \ - "5.846593,6.411941,6.702903,6.941280,7.317750,7.519141,7.670343", \ - "6.065330,6.439558,6.633280,6.924442,7.172974,7.538082,7.740115", \ - "6.379462,6.721692,6.806361,6.889882,7.141945,7.499211,7.682883", \ - "6.795886,7.164441,7.235522,7.341883,7.389490,7.617921,7.803961", \ - "7.328778,7.738451,7.856825,7.812395,7.954395,8.081907,8.259938"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFRS_X1 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and active low set, and drive strength X1 - *******************************************************************************************/ - - cell (SDFFRS_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - preset : "!SN"; - clear : "!RN"; - clear_preset_var1 : L; - clear_preset_var2 : L; - } - - area : 7.714000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 76.844856; - - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 59.787585; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & SN & !Q & QN"; - value : 65.900426; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 66.820169; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & SN & !Q & QN"; - value : 72.933011; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 57.203509; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & SN & !Q & QN"; - value : 63.316255; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & !SN & !Q & !QN"; - value : 71.031110; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & SN & !Q & QN"; - value : 79.956797; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & !SN & Q & !QN"; - value : 70.478733; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & SN & !Q & QN"; - value : 77.240567; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & SN & Q & !QN"; - value : 78.436266; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & !SN & Q & !QN"; - value : 77.511222; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & SN & !Q & QN"; - value : 84.273151; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & SN & Q & !QN"; - value : 85.468850; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & !SN & Q & !QN"; - value : 67.894562; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & SN & !Q & QN"; - value : 74.656396; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & SN & Q & !QN"; - value : 75.852189; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & !SN & Q & !QN"; - value : 73.096410; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & SN & !Q & QN"; - value : 86.135170; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & SN & Q & !QN"; - value : 81.343408; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 74.282599; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & SN & !Q & QN"; - value : 83.208286; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 75.329357; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & SN & !Q & QN"; - value : 84.254949; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 66.521470; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & SN & !Q & QN"; - value : 72.634311; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & !SN & !Q & !QN"; - value : 72.394398; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & SN & !Q & QN"; - value : 81.319991; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & !SN & Q & !QN"; - value : 76.347994; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & SN & !Q & QN"; - value : 89.386659; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & SN & Q & !QN"; - value : 84.594897; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & !SN & Q & !QN"; - value : 77.394657; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & SN & !Q & QN"; - value : 90.433416; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & SN & Q & !QN"; - value : 85.641559; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & !SN & Q & !QN"; - value : 77.212523; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & SN & !Q & QN"; - value : 83.974452; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & SN & Q & !QN"; - value : 85.170151; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & !SN & Q & !QN"; - value : 74.459698; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & SN & !Q & QN"; - value : 87.498458; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & SN & Q & !QN"; - value : 82.706601; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 71.578757; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & SN & !Q & QN"; - value : 66.219161; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 78.609821; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & SN & !Q & QN"; - value : 73.250510; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 68.993921; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & SN & !Q & QN"; - value : 63.634515; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & !SN & !Q & !QN"; - value : 64.531847; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & SN & !Q & QN"; - value : 65.142516; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & !SN & Q & !QN"; - value : 79.844108; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & SN & !Q & QN"; - value : 76.708092; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & SN & Q & !QN"; - value : 88.939731; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & !SN & Q & !QN"; - value : 86.875077; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & SN & !Q & QN"; - value : 83.739441; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & SN & Q & !QN"; - value : 95.970795; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & !SN & Q & !QN"; - value : 77.259272; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & SN & !Q & QN"; - value : 74.123446; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & SN & Q & !QN"; - value : 86.354895; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & !SN & Q & !QN"; - value : 72.781428; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & SN & !Q & QN"; - value : 75.632692; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & SN & Q & !QN"; - value : 81.878951; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 67.783611; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & SN & !Q & QN"; - value : 68.394281; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 68.830559; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & SN & !Q & QN"; - value : 69.441418; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 78.309127; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & SN & !Q & QN"; - value : 72.950291; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & !SN & !Q & !QN"; - value : 65.895610; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & SN & !Q & QN"; - value : 66.506374; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & !SN & Q & !QN"; - value : 76.033193; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & SN & !Q & QN"; - value : 78.884552; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & SN & Q & !QN"; - value : 85.130621; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & !SN & Q & !QN"; - value : 77.080236; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & SN & !Q & QN"; - value : 79.931594; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & SN & Q & !QN"; - value : 86.177663; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & !SN & Q & !QN"; - value : 86.574478; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & SN & !Q & QN"; - value : 83.439222; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & SN & Q & !QN"; - value : 95.670101; - } - leakage_power () { - when : "CK & D & RN & SE & SI & !SN & Q & !QN"; - value : 74.145191; - } - leakage_power () { - when : "CK & D & RN & SE & SI & SN & !Q & QN"; - value : 76.996550; - } - leakage_power () { - when : "CK & D & RN & SE & SI & SN & Q & !QN"; - value : 83.242619; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.110505; - fall_capacitance : 1.051279; - rise_capacitance : 1.110505; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & !SE & SN"; - sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.062470,-0.011632,0.043524", \ - "-0.106527,-0.054493,0.003970", \ - "0.158270,0.214532,0.277779"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.022054,0.014743,0.048930", \ - "-0.023762,0.007750,0.020239", \ - "0.114400,0.143772,0.117753"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & !SE & SN"; - sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.234010,0.205158,0.222690", \ - "0.291716,0.262956,0.280568", \ - "0.444818,0.415329,0.431341"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.234795,0.179050,0.115116", \ - "0.278718,0.222709,0.158518", \ - "0.342486,0.286229,0.223008"); - } - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.947970,3.925948,3.904759,3.888836,3.896112,3.951214,4.068661"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.259589,3.236546,3.207635,3.183096,3.183884,3.236093,3.356837"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.944838,3.926186,3.905229,3.889153,3.896741,3.951631,4.071529"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.283982,3.261048,3.232015,3.209933,3.207356,3.262164,3.383017"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.939874,3.917702,3.896585,3.880474,3.887456,3.942040,4.058874"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.261859,3.239066,3.210104,3.186694,3.186228,3.241338,3.361251"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.936961,3.921864,3.897280,3.881159,3.888359,3.942018,4.060219"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.282675,3.259634,3.230429,3.206877,3.207811,3.260828,3.379011"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.547386,0.524448,0.515143,0.511644,0.509515,0.508942,0.508997"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.443491,-0.446964,-0.451169,-0.454799,-0.457010,-0.458614,-0.459753"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.546912,0.523981,0.514680,0.511193,0.509071,0.508537,0.508606"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446228,-0.449690,-0.453886,-0.457497,-0.459681,-0.461239,-0.462316"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479698,0.483078,0.483878,0.484467,0.484494,0.486197,0.484938"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.329770,-0.362282,-0.401182,-0.420824,-0.431133,-0.437449,-0.442079"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479906,0.483300,0.484093,0.484684,0.484676,0.486431,0.485127"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.329738,-0.362215,-0.401111,-0.420746,-0.431044,-0.437344,-0.441953"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.276768,5.258029,5.234004,5.221412,5.226505,5.277832,5.395402"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.962957,3.941372,3.912438,3.888407,3.888570,3.936546,4.055340"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.359871,5.342477,5.317902,5.303402,5.311438,5.363060,5.478856"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.135833,4.113125,4.084112,4.060123,4.060526,4.107153,4.229912"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.328172,5.308504,5.285788,5.270928,5.277390,5.331184,5.445343"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.977217,3.954301,3.925433,3.902010,3.902604,3.953149,4.074364"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.268779,5.249955,5.225840,5.213157,5.217844,5.267794,5.386033"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.967625,3.944060,3.914928,3.892362,3.890900,3.941038,4.058610"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.351788,5.334390,5.309729,5.295038,5.302738,5.353965,5.469278"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.138523,4.115811,4.086589,4.062533,4.062842,4.110104,4.230204"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.320125,5.300421,5.277619,5.262559,5.268749,5.322289,5.435778"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.979639,3.956447,3.927936,3.904347,3.904920,3.953713,4.075328"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.546978,0.524061,0.514752,0.511267,0.509114,0.508626,0.508655"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446017,-0.449448,-0.453646,-0.457262,-0.459452,-0.461019,-0.462108"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543463,0.523365,0.514054,0.510093,0.508354,0.507932,0.507938"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447967,-0.451384,-0.455581,-0.459191,-0.461373,-0.462924,-0.463994"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543940,0.523848,0.514536,0.510563,0.508833,0.508443,0.508448"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447289,-0.450692,-0.454885,-0.458490,-0.460665,-0.462207,-0.463263"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479873,0.483243,0.484049,0.484638,0.484692,0.486350,0.485141"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.329632,-0.362164,-0.401062,-0.420698,-0.430998,-0.437302,-0.441914"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479762,0.483155,0.483947,0.484538,0.484531,0.486282,0.484978"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330020,-0.362496,-0.401392,-0.421027,-0.431324,-0.437623,-0.442269"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479727,0.483108,0.483909,0.484070,0.484486,0.486239,0.484983"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330226,-0.362732,-0.401628,-0.421261,-0.431555,-0.437849,-0.442450"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.231590,2.213230,2.188498,2.176942,2.189237,2.254394,2.368179"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.187336,1.165785,1.137982,1.118242,1.122776,1.172283,1.291030"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.270709,2.252097,2.228066,2.215319,2.227345,2.293152,2.401591"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.149800,1.126211,1.098288,1.080212,1.086028,1.138370,1.259365"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.223032,2.204691,2.179670,2.167918,2.179414,2.243923,2.358028"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.187261,1.164204,1.137898,1.118252,1.122463,1.171099,1.291447"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.263520,2.245023,2.221509,2.208487,2.219717,2.284776,2.393649"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.153709,1.130390,1.102505,1.084515,1.090341,1.143401,1.265110"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.542963,0.522813,0.513036,0.510000,0.507810,0.507330,0.507285"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449734,-0.452035,-0.456180,-0.459801,-0.462066,-0.463483,-0.464869"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543309,0.523141,0.513440,0.510367,0.508257,0.507757,0.507844"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449822,-0.452164,-0.456312,-0.459930,-0.462189,-0.463598,-0.464972"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.478938,0.482033,0.482486,0.483381,0.483422,0.484942,0.483672"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330736,-0.363293,-0.402176,-0.421769,-0.432103,-0.438413,-0.443040"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.478197,0.481227,0.481764,0.482672,0.482759,0.484340,0.483161"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.331908,-0.364624,-0.403371,-0.422980,-0.433343,-0.439689,-0.444366"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.232237,2.213877,2.189042,2.177571,2.189838,2.253373,2.368900"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.186016,1.164469,1.137229,1.117828,1.122872,1.169609,1.291079"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.271061,2.252588,2.228973,2.216313,2.228340,2.293779,2.402688"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.194456,1.170590,1.143196,1.124694,1.129423,1.181648,1.304414"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.231460,2.213095,2.188273,2.176828,2.189122,2.254772,2.368250"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.235577,1.212003,1.184643,1.164582,1.167790,1.217976,1.337173"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.223674,2.205341,2.180209,2.168565,2.180162,2.245385,2.358748"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.185941,1.162919,1.137146,1.117712,1.119522,1.171266,1.291495"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.231244; - fall_capacitance : 2.074671; - rise_capacitance : 2.231244; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.198479,-0.248834,-0.311771", \ - "-0.185174,-0.236900,-0.298792", \ - "-0.093336,-0.161515,-0.245487"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.569176,0.621548,0.684768", \ - "0.708336,0.760589,0.822511", \ - "1.193839,1.243450,1.307431"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.492900,0.573614,0.967991"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.944156,0.923691,0.913152,0.909990,0.906696,0.905226,0.903600"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.827456,-0.828228,-0.834542,-0.838065,-0.839257,-0.840034,-0.840528"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.944728,0.923629,0.913312,0.910241,0.907100,0.905094,0.902082"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.709813,-0.713594,-0.718716,-0.720228,-0.719846,-0.721664,-0.720282"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.944674,0.923550,0.913247,0.910175,0.907093,0.905010,0.902078"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.709851,-0.713689,-0.718810,-0.720323,-0.719941,-0.721760,-0.720377"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.980480,1.923068,1.882555,1.914148,2.002425,2.149811,2.367265"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032199,0.015587,-0.008628,-0.001596,0.059973,0.189657,0.398137"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.980532,1.923129,1.882604,1.914199,2.002429,2.149892,2.367268"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032230,0.015665,-0.008553,-0.001522,0.060048,0.189618,0.398212"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.980522,1.923117,1.882594,1.914189,2.002428,2.149879,2.367306"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032224,0.015650,-0.008568,-0.001536,0.060033,0.189604,0.398197"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.944707,0.923598,0.913287,0.910215,0.907096,0.905061,0.902080"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.709827,-0.713630,-0.718752,-0.720264,-0.719883,-0.721702,-0.720319"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.980468,1.923052,1.882541,1.914135,2.002423,2.149794,2.367264"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032191,0.015567,-0.008647,-0.001616,0.059954,0.189637,0.398118"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.923308,0.910410,0.904564,0.902762,0.899917,0.899028,0.896357"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.707649,-0.710844,-0.715850,-0.716583,-0.719135,-0.714942,-0.715542"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.924172,0.909752,0.904253,0.902005,0.899151,0.898319,0.895626"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.704892,-0.708489,-0.712506,-0.713725,-0.716161,-0.711914,-0.713158"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.922718,0.909520,0.903637,0.901768,0.898952,0.898017,0.895408"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.710896,-0.714214,-0.718913,-0.719385,-0.722564,-0.717982,-0.718721"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.921777,0.908857,0.903094,0.901239,0.898464,0.897522,0.894955"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.698603,-0.699816,-0.704066,-0.712228,-0.723260,-0.719052,-0.719634"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.922947,0.909758,0.903841,0.902003,0.899159,0.898262,0.895618"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.710647,-0.713939,-0.718636,-0.719109,-0.722276,-0.717689,-0.718419"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.922465,0.909558,0.903743,0.901925,0.899103,0.898198,0.895566"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.709852,-0.713068,-0.718077,-0.718804,-0.721353,-0.717152,-0.717743"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.923128,0.910233,0.904384,0.902595,0.899752,0.898885,0.896221"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.708564,-0.711754,-0.716759,-0.717487,-0.720034,-0.715830,-0.716417"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.928248,0.912092,0.905498,0.902735,0.899792,0.898714,0.896085"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.682562,-0.683740,-0.687898,-0.695873,-0.708173,-0.713486,-0.714128"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.065332; - fall_capacitance : 1.823259; - rise_capacitance : 2.065332; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.044166,-0.009347,0.018671", \ - "-0.091285,-0.067765,-0.036178", \ - "0.166794,0.210392,0.195512"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.057837,-0.007818,0.054642", \ - "-0.086061,-0.035018,0.027151", \ - "0.031918,0.088082,0.127447"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.276021,0.219766,0.185404", \ - "0.339473,0.283186,0.238527", \ - "0.468846,0.412688,0.390290"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.258015,0.228722,0.245360", \ - "0.292303,0.262867,0.279219", \ - "0.333962,0.290370,0.305280"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.713115,1.671809,1.662397,1.713233,1.813076,1.977948,2.216688"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.027406,-0.051762,-0.072866,-0.051787,0.034605,0.189159,0.421563"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.712327,1.670918,1.661477,1.712349,1.812187,1.977055,2.213511"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.034436,-0.054905,-0.079772,-0.056940,0.029535,0.186112,0.421260"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.511800,4.439933,4.400718,4.477229,4.661737,4.978016,5.429749"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.815727,2.789768,2.742354,2.756843,2.893808,3.186617,3.639725"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.512286,4.440425,4.398397,4.474682,4.662422,4.981916,5.430707"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.835287,2.810900,2.761020,2.777748,2.912565,3.203810,3.659480"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.713043,1.671440,1.662022,1.712873,1.812691,1.977646,2.216049"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.029834,-0.054172,-0.075259,-0.054162,0.032245,0.186839,0.419292"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.712144,1.670788,1.661298,1.711753,1.811940,1.976952,2.213378"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.035669,-0.056111,-0.080966,-0.058128,0.028344,0.184932,0.418185"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.712601,1.671225,1.661779,1.712156,1.812419,1.977464,2.216153"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.035004,-0.055420,-0.080271,-0.058048,0.029051,0.185649,0.418146"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.840615,5.769243,5.730668,5.807458,5.992539,6.309300,6.757187"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.519863,3.493462,3.446317,3.462657,3.596069,3.883849,4.330658"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.925082,5.852808,5.814480,5.890665,6.075821,6.392166,6.841333"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.691047,3.665645,3.618741,3.634673,3.767775,4.053869,4.505410"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.893144,5.821096,5.781911,5.857684,6.044076,6.359748,6.807480"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.532113,3.506657,3.458450,3.474175,3.609965,3.897631,4.352639"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.826794,4.792329,4.806044,4.895284,5.042977,5.259448,5.568644"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.381995,3.376627,3.319765,3.303988,3.359446,3.498237,3.736819"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.826707,4.791388,4.804649,4.894575,5.042887,5.258510,5.571873"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.327426,3.322380,3.267077,3.251198,3.305570,3.446553,3.682916"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.577356,1.540287,1.534935,1.584309,1.687101,1.854281,2.090787"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032592,0.013952,-0.006030,0.013617,0.096354,0.249979,0.486472"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.578015,1.540780,1.535243,1.585067,1.687407,1.854679,2.090768"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032803,0.014180,-0.005864,0.013719,0.096608,0.250230,0.486763"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.532361,5.496984,5.512831,5.601284,5.748943,5.966675,6.268746"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.700405,4.697469,4.641076,4.621395,4.678721,4.816999,5.055046"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.511267,5.475690,5.491921,5.579922,5.729791,5.945622,6.243285"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.745696,4.741274,4.685315,4.665504,4.724344,4.861028,5.097620"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.539684,5.504072,5.520497,5.608823,5.758883,5.975098,6.277300"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.763366,4.762047,4.706276,4.684438,4.739935,4.885320,5.116886"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.577983,1.540948,1.535203,1.585007,1.687444,1.854555,2.091097"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032858,0.014203,-0.005775,0.013885,0.096631,0.250265,0.486780"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.577636,1.540685,1.535099,1.584468,1.687232,1.854497,2.090948"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032469,0.013874,-0.006147,0.013516,0.096373,0.249902,0.486467"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.577835,1.540815,1.535063,1.584443,1.687238,1.854431,2.090939"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032264,0.013635,-0.006341,0.013322,0.096072,0.249717,0.486245"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.712044,1.670472,1.661974,1.711699,1.811437,1.976625,2.212774"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.032604,-0.054738,-0.075129,-0.055452,0.033216,0.188627,0.421166"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.712018,1.670451,1.662007,1.712489,1.811465,1.976593,2.212828"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.030873,-0.053060,-0.072808,-0.053783,0.034442,0.190128,0.422591"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.788950,2.717441,2.680372,2.762937,2.958553,3.282072,3.733909"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.716466,0.691951,0.648231,0.670431,0.810092,1.098500,1.546285"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.831166,2.759015,2.723865,2.803473,2.996609,3.320215,3.773976"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.685294,0.660853,0.617756,0.642622,0.784031,1.074760,1.525110"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.712536,1.670975,1.661122,1.712227,1.811973,1.977236,2.215652"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.032509,-0.054620,-0.074472,-0.055325,0.033349,0.188769,0.420701"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.712188,1.672104,1.660735,1.712816,1.811799,1.976871,2.215496"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.036296,-0.056783,-0.081461,-0.058714,0.024787,0.181268,0.416865"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.711771,1.670752,1.660890,1.712152,1.811949,1.977094,2.215368"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.034671,-0.056777,-0.081629,-0.059271,0.024913,0.183045,0.417195"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.789251,2.718091,2.680934,2.763495,2.957119,3.284409,3.734639"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.716462,0.692084,0.648244,0.670848,0.810398,1.096972,1.546343"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.831810,2.758432,2.722947,2.803050,2.993660,3.318477,3.773383"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.749597,0.722564,0.681203,0.705647,0.843083,1.130076,1.581116"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.789211,2.717980,2.680774,2.763448,2.956965,3.280301,3.734390"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.788420,0.763367,0.719548,0.740036,0.878637,1.164661,1.607517"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.772456,2.736614,2.750480,2.839441,2.988446,3.205169,3.496791"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.535165,1.539003,1.514131,1.515309,1.584674,1.736488,1.965255"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.735099,2.699172,2.713921,2.803157,2.951972,3.169615,3.464663"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.572406,1.576019,1.550727,1.553046,1.623359,1.769833,2.006004"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.843744; - fall_capacitance : 0.797095; - rise_capacitance : 0.843744; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SE & SN"; - sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.074453,-0.022904,0.031301", \ - "-0.113997,-0.060074,-0.001723", \ - "0.137211,0.193803,0.256994"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.022655,0.013549,0.046891", \ - "-0.026154,0.006487,0.018247", \ - "0.128675,0.159575,0.135341"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SE & SN"; - sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.266766,0.237314,0.254095", \ - "0.324699,0.294715,0.311412", \ - "0.487161,0.456529,0.471255"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.239173,0.182670,0.119674", \ - "0.287832,0.230808,0.167839", \ - "0.363546,0.306960,0.243794"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.415179,0.398560,0.391077,0.387852,0.385643,0.385252,0.384244"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.339866,-0.341858,-0.344846,-0.346526,-0.347410,-0.348164,-0.348420"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412172,0.397668,0.390185,0.386961,0.384750,0.384359,0.383340"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.343004,-0.344994,-0.347974,-0.349639,-0.350498,-0.351209,-0.351442"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.913940,3.902136,3.883768,3.873189,3.879270,3.912473,3.992797"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.914767,2.898366,2.878723,2.861585,2.856109,2.889122,2.973546"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.914488,3.902681,3.884366,3.873755,3.879718,3.913637,3.998084"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.935201,2.918819,2.898996,2.881462,2.878077,2.908619,2.994491"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.414788,0.398189,0.390704,0.387491,0.385258,0.384951,0.383916"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.342305,-0.344252,-0.347235,-0.348902,-0.349765,-0.350483,-0.350692"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.411971,0.397494,0.390005,0.386289,0.384495,0.384256,0.383200"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344254,-0.346191,-0.349169,-0.350831,-0.351686,-0.352389,-0.352578"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412446,0.397976,0.390487,0.386760,0.384975,0.384768,0.383710"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.343576,-0.345498,-0.348474,-0.350131,-0.350978,-0.351672,-0.351847"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.248259,5.235158,5.216409,5.205912,5.212485,5.244098,5.319354"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.619901,3.603507,3.584734,3.566394,3.561862,3.591771,3.679627"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.329653,5.317597,5.300811,5.288097,5.294320,5.326258,5.409146"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.790679,3.774103,3.754672,3.737491,3.733306,3.760147,3.842432"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.300768,5.288061,5.269368,5.257705,5.263137,5.294677,5.372785"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.631540,3.615311,3.595764,3.578941,3.575091,3.603022,3.692776"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357058,0.360545,0.361589,0.361400,0.360921,0.361354,0.361134"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.250644,-0.272238,-0.302873,-0.317084,-0.324625,-0.329288,-0.332476"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357267,0.360767,0.361805,0.361617,0.361103,0.361589,0.361322"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.250613,-0.272171,-0.302802,-0.317006,-0.324535,-0.329183,-0.332350"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.903109,3.892764,3.873533,3.861454,3.867583,3.900170,3.984301"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.941794,2.925042,2.905809,2.887879,2.882157,2.914248,2.998186"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.903659,3.891811,3.874193,3.862449,3.868096,3.901300,3.985513"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.937766,2.921333,2.901657,2.884465,2.880555,2.911021,2.999091"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357233,0.360711,0.361761,0.361572,0.361119,0.361520,0.361337"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.250504,-0.272122,-0.302753,-0.316958,-0.324489,-0.329140,-0.332311"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357120,0.360623,0.361660,0.360949,0.360905,0.361440,0.361174"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.250894,-0.272454,-0.303084,-0.317287,-0.324815,-0.329461,-0.332626"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357086,0.360577,0.361621,0.360948,0.360907,0.361396,0.361179"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.251098,-0.272690,-0.303319,-0.317521,-0.325046,-0.329688,-0.332847"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.236353,5.224279,5.204329,5.194165,5.198971,5.230687,5.305419"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.623183,3.606057,3.587400,3.568949,3.564341,3.594124,3.683036"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.318834,5.306720,5.289765,5.278627,5.282850,5.314002,5.397454"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.793192,3.776627,3.757314,3.740169,3.735735,3.762564,3.844776"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.288977,5.276201,5.257331,5.245441,5.250690,5.281204,5.354262"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.634048,3.618604,3.598413,3.581703,3.577510,3.605422,3.695717"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.411445,0.396911,0.388932,0.386195,0.383938,0.383607,0.382511"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344821,-0.346647,-0.349728,-0.351409,-0.352311,-0.353040,-0.353430"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.414480,0.396873,0.388957,0.386165,0.383958,0.383571,0.382558"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.343152,-0.345025,-0.348118,-0.349819,-0.350753,-0.351531,-0.351987"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.201181,2.190112,2.171400,2.159413,2.169432,2.216211,2.306119"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.891737,0.875146,0.857009,0.843256,0.840657,0.873912,0.955736"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.238561,2.227321,2.209421,2.197760,2.207291,2.257586,2.329227"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.853615,0.836959,0.818729,0.806100,0.802946,0.838144,0.923878"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.411936,0.397413,0.389415,0.386721,0.384473,0.384217,0.383157"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344724,-0.346527,-0.349606,-0.351282,-0.352178,-0.352897,-0.353273"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.411792,0.397308,0.389343,0.386613,0.384399,0.384103,0.383092"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344816,-0.346773,-0.349746,-0.351419,-0.352278,-0.352983,-0.353175"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.411993,0.397534,0.389485,0.386827,0.384550,0.384341,0.383245"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344782,-0.346679,-0.349645,-0.351310,-0.352157,-0.352846,-0.353016"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.199951,2.188881,2.170134,2.158458,2.168056,2.216039,2.304666"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.889660,0.873076,0.854926,0.842721,0.839678,0.869895,0.954576"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.237474,2.226261,2.208312,2.197394,2.206255,2.256652,2.340364"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.853740,0.837135,0.818890,0.804465,0.803533,0.838450,0.920647"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.200566,2.189162,2.170396,2.158825,2.168916,2.215871,2.305844"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.891992,0.875468,0.857419,0.844329,0.841456,0.874030,0.952903"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357517,0.360974,0.361478,0.361802,0.361343,0.361625,0.361406"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.248237,-0.269945,-0.300143,-0.314688,-0.322181,-0.326637,-0.329854"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357531,0.360986,0.361517,0.361852,0.361444,0.361767,0.361644"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249643,-0.271361,-0.301553,-0.316094,-0.323575,-0.328010,-0.331199"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.521794; - fall_capacitance : 1.450618; - rise_capacitance : 1.521794; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.151760,-0.210138,-0.279012", \ - "-0.108712,-0.166614,-0.235381", \ - "0.096730,0.021162,-0.066951"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.561848,0.602355,0.660766", \ - "0.576771,0.617194,0.675975", \ - "0.754675,0.794971,0.853195"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.635161,0.712022,1.075596"); - } - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.509795,0.493332,0.485501,0.483833,0.483357,0.483814,0.483362"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.380534,-0.383177,-0.389998,-0.396102,-0.399253,-0.403154,-0.403766"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.509820,0.493368,0.485531,0.483863,0.483359,0.483853,0.483364"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.380516,-0.383133,-0.389954,-0.396058,-0.399209,-0.403110,-0.403722"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.509765,0.493290,0.485467,0.483798,0.483353,0.483769,0.483360"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.380557,-0.383229,-0.390050,-0.396153,-0.399304,-0.403205,-0.403817"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.509925,0.494250,0.488091,0.485480,0.484351,0.484670,0.483678"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.445994,-0.446683,-0.450885,-0.455240,-0.455850,-0.456433,-0.455986"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.509969,0.494311,0.488141,0.485531,0.484356,0.484736,0.483681"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.445962,-0.446607,-0.450810,-0.455165,-0.455775,-0.456359,-0.455911"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.509960,0.494299,0.488131,0.485521,0.484355,0.484723,0.483681"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.445968,-0.446622,-0.450825,-0.455179,-0.455790,-0.456373,-0.455926"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.509798,0.493338,0.485506,0.483838,0.483356,0.483820,0.483362"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.380533,-0.383171,-0.389991,-0.396094,-0.399246,-0.403147,-0.403758"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.509914,0.494234,0.488077,0.485467,0.484350,0.484653,0.483677"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446002,-0.446702,-0.450905,-0.455259,-0.455870,-0.456453,-0.456006"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.533213,0.507512,0.498094,0.494468,0.490953,0.491503,0.489412"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446815,-0.447227,-0.452159,-0.455192,-0.457609,-0.459097,-0.457344"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.533003,0.507315,0.497862,0.494272,0.490736,0.491332,0.489217"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447721,-0.448107,-0.453037,-0.456067,-0.458481,-0.459961,-0.458198"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.533090,0.507327,0.495751,0.492416,0.491309,0.491443,0.489391"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447321,-0.447628,-0.452623,-0.455735,-0.458269,-0.459969,-0.458216"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.532264,0.506915,0.495629,0.492851,0.489947,0.490324,0.488286"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447939,-0.448287,-0.451711,-0.456332,-0.458503,-0.460159,-0.458409"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.532406,0.507176,0.495818,0.493107,0.490545,0.490605,0.488665"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448065,-0.447520,-0.452519,-0.456063,-0.458458,-0.460035,-0.459890"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.532952,0.507616,0.496277,0.493536,0.490586,0.491000,0.488897"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446064,-0.446377,-0.449801,-0.454424,-0.456596,-0.458260,-0.456518"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.533049,0.507352,0.497930,0.494316,0.490803,0.491374,0.489290"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447668,-0.448074,-0.453004,-0.456034,-0.458447,-0.459925,-0.458160"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.532351,0.507100,0.495818,0.493043,0.490539,0.490522,0.488660"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448105,-0.447615,-0.452614,-0.456157,-0.458552,-0.460129,-0.459985"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.911812; - fall_capacitance : 0.821773; - rise_capacitance : 0.911812; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.270655,0.280039,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.134805,0.150320,0.500500"); - } - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.180632,5.156340,5.128160,5.144644,5.236021,5.382025,5.626497"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.885043,5.872001,5.821759,5.797692,5.835195,5.959091,6.172696"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.881888,2.859786,2.831702,2.850168,2.929929,3.083883,3.297139"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.087519,3.075618,3.039566,3.032941,3.089676,3.205597,3.416311"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.180644,5.156377,5.128188,5.144666,5.236026,5.382071,5.626515"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.885058,5.872043,5.821803,5.797741,5.835243,5.959136,6.172165"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.887446,2.865404,2.837316,2.855831,2.932270,3.088826,3.308784"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.874884,2.862301,2.828269,2.819980,2.878396,2.993943,3.202414"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.180612,5.156347,5.127845,5.144610,5.236044,5.381808,5.616820"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.885081,5.872008,5.821777,5.797697,5.835207,5.959057,6.172662"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.887313,2.865261,2.837187,2.855694,2.932207,3.088707,3.308100"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.874852,2.862229,2.828190,2.819906,2.878333,2.993843,3.202212"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.080759,4.055622,4.012710,4.042802,4.130718,4.271905,4.498608"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.602334,3.586898,3.554184,3.553376,3.610840,3.749695,3.973578"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.767963,4.743132,4.717312,4.740429,4.833371,4.994851,5.234307"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("11.238210,11.224040,11.193750,11.198890,11.261980,11.399520,11.627280"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.412865,5.387464,5.358461,5.376056,5.457061,5.608319,5.833383"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("7.807874,7.794328,7.730542,7.694233,7.730009,7.842556,8.064332"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.892879,2.869425,2.841358,2.859541,2.939735,3.093218,3.316049"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.895789,2.883070,2.847576,2.841251,2.898541,3.014188,3.223091"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.510984,5.483485,5.454675,5.471794,5.552622,5.714729,5.941416"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.412875,5.387521,5.358498,5.376080,5.457069,5.608364,5.833400"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("7.807899,7.794375,7.730591,7.694282,7.730049,7.842597,8.064386"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.892909,2.869469,2.841389,2.859544,2.939738,3.093261,3.314655"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.895801,2.883108,2.847625,2.841300,2.898581,3.014234,3.222571"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.510913,5.483562,5.454749,5.471864,5.552697,5.714806,5.941482"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.412847,5.387363,5.358372,5.375963,5.457007,5.608214,5.833355"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("7.807869,7.794290,7.730502,7.694184,7.729970,7.842488,8.064264"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.892744,2.869319,2.841253,2.859476,2.939684,3.093144,3.314663"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.895764,2.883033,2.847544,2.841207,2.898514,3.014126,3.222457"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.514685,5.483200,5.454403,5.471509,5.552345,5.714617,5.941295"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.997468,2.972226,2.943438,2.963763,3.044918,3.200467,3.416520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.773560,2.767325,2.736534,2.722611,2.773856,2.896546,3.101104"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.704799,5.677883,5.649899,5.672159,5.751440,5.906499,6.126446"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.997294,2.971844,2.943569,2.963077,3.044478,3.200422,3.421529"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.790809,2.777576,2.735343,2.733787,2.787517,2.911372,3.115698"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.080791,4.055686,4.012757,4.042856,4.130723,4.271966,4.498611"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.602370,3.586976,3.554314,3.553444,3.610917,3.749770,3.973653"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.768049,4.743199,4.717477,4.740498,4.833388,4.994907,5.234317"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("11.239240,11.224120,11.193750,11.198950,11.262050,11.397890,11.627350"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.080724,4.055442,4.019996,4.042366,4.130685,4.274389,4.498524"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.631978,3.618306,3.584759,3.583025,3.642371,3.779014,4.001423"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.768034,4.743183,4.717466,4.740487,4.833385,4.994894,5.234315"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("11.238540,11.224110,11.193740,11.198930,11.262030,11.399120,11.627330"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.177677,5.153578,5.125218,5.141173,5.228642,5.378965,5.613244"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.950440,5.936198,5.886797,5.862944,5.902464,6.022712,6.234005"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.887348,2.865316,2.837233,2.855740,2.932217,3.088765,3.308428"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.874871,2.862286,2.828248,2.819969,2.878400,2.993909,3.202311"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.080744,4.055601,4.012693,4.042784,4.118413,4.271883,4.498602"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.602325,3.586878,3.554994,3.553356,3.610821,3.749675,3.973558"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.767956,4.743101,4.717298,4.740415,4.833369,4.994835,5.234305"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("11.238200,11.224020,11.193730,11.198870,11.261960,11.399500,11.627260"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.997407,2.972290,2.943487,2.963811,3.044919,3.200528,3.416525"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.780694,2.767369,2.736618,2.722669,2.773933,2.896616,3.101182"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.691356,5.664437,5.636503,5.659022,5.742359,5.897596,6.118108"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.997339,2.971905,2.943621,2.963075,3.044621,3.200486,3.421531"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.790772,2.777703,2.735424,2.733860,2.787595,2.911441,3.115776"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.997396,2.972275,2.943475,2.963799,3.044916,3.200513,3.416679"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.780691,2.767354,2.736603,2.722654,2.773918,2.896601,3.103036"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.691348,5.664420,5.636484,5.659009,5.742344,5.897581,6.118094"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.997328,2.971890,2.943609,2.963073,3.044472,3.200471,3.421528"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.790765,2.777688,2.735409,2.733845,2.787581,2.911426,3.115762"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.412850,5.387404,5.358415,5.376015,5.457017,5.608275,5.833374"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("7.807906,7.794365,7.730570,7.694256,7.730038,7.842555,8.064330"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.892781,2.869374,2.841299,2.859482,2.939690,3.093202,3.313086"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.895794,2.883098,2.847602,2.841270,2.898582,3.014191,3.222491"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.514825,5.483300,5.454502,5.471620,5.552460,5.714723,5.941396"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.997452,2.972206,2.943421,2.963745,3.044913,3.200446,3.416515"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.773550,2.767305,2.736513,2.722592,2.773836,2.896525,3.101084"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.691314,5.664338,5.636404,5.658938,5.742266,5.897511,6.118013"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.997278,2.971823,2.943552,2.963073,3.044622,3.200400,3.421524"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.790800,2.777555,2.735323,2.733766,2.787497,2.911352,3.115679"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.242970; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.319552,0.327155,0.334604,0.347423,0.369172,0.407293,0.478271", \ - "0.324804,0.332424,0.339894,0.352698,0.374446,0.412575,0.483558", \ - "0.342565,0.350165,0.357647,0.370460,0.392201,0.430339,0.501328", \ - "0.366442,0.374052,0.381576,0.394424,0.416148,0.454339,0.525318", \ - "0.389943,0.397553,0.405022,0.417524,0.439447,0.477779,0.548501", \ - "0.410701,0.418306,0.425755,0.438717,0.460700,0.498923,0.569810", \ - "0.427550,0.434930,0.442828,0.455104,0.477271,0.515919,0.587331"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.347606,0.361517,0.376859,0.406835,0.468599,0.594585,0.848144", \ - "0.352814,0.366736,0.382071,0.412037,0.473816,0.599798,0.853338", \ - "0.370701,0.384604,0.399941,0.429932,0.491683,0.617707,0.871232", \ - "0.395621,0.409502,0.424852,0.454804,0.516604,0.642604,0.896114", \ - "0.420346,0.434361,0.449443,0.479432,0.541119,0.667196,0.920802", \ - "0.443226,0.457084,0.471881,0.501718,0.564040,0.690037,0.943517", \ - "0.461948,0.475845,0.491070,0.521142,0.582894,0.708920,0.962446"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.016113,0.019821,0.023720,0.030924,0.044959,0.073515,0.133306", \ - "0.016111,0.019821,0.023721,0.030932,0.044964,0.073508,0.133306", \ - "0.016113,0.019821,0.023716,0.030933,0.044968,0.073515,0.133311", \ - "0.016113,0.019820,0.023714,0.030934,0.044959,0.073504,0.133301", \ - "0.016119,0.019825,0.023718,0.030934,0.044959,0.073519,0.133309", \ - "0.016099,0.019817,0.023725,0.030940,0.044970,0.073525,0.133307", \ - "0.016118,0.019828,0.023719,0.030922,0.044956,0.073503,0.133251"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020803,0.031411,0.045254,0.074358,0.134516,0.256024,0.499526", \ - "0.020802,0.031410,0.045255,0.074353,0.134508,0.256025,0.499503", \ - "0.020806,0.031410,0.045253,0.074353,0.134496,0.256041,0.499527", \ - "0.020806,0.031409,0.045253,0.074355,0.134508,0.256035,0.499521", \ - "0.020802,0.031411,0.045255,0.074353,0.134507,0.256027,0.499505", \ - "0.020799,0.031406,0.045259,0.074359,0.134503,0.256031,0.499524", \ - "0.020808,0.031409,0.045253,0.074361,0.134505,0.256025,0.499505"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087447,0.096800,0.105626,0.119696,0.142553,0.181683,0.253689", \ - "0.092031,0.101385,0.110215,0.124292,0.147146,0.186279,0.258280", \ - "0.110236,0.119574,0.128386,0.142475,0.165340,0.204479,0.276478", \ - "0.147274,0.156607,0.165461,0.179527,0.202447,0.241661,0.313676", \ - "0.196837,0.207569,0.217567,0.232631,0.256381,0.295973,0.368012", \ - "0.250136,0.262542,0.273914,0.291049,0.316813,0.358287,0.431551", \ - "0.305938,0.320567,0.333687,0.352957,0.381140,0.424802,0.499547"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.071972,0.087266,0.104478,0.137283,0.201534,0.329037,0.583359", \ - "0.076949,0.092256,0.109467,0.142280,0.206544,0.334065,0.588453", \ - "0.091525,0.106778,0.123924,0.156686,0.220957,0.348512,0.602917", \ - "0.115399,0.130850,0.148022,0.180631,0.244796,0.372356,0.626862", \ - "0.142268,0.158390,0.175823,0.208577,0.272692,0.400155,0.654631", \ - "0.167941,0.185204,0.203363,0.236216,0.300185,0.427618,0.681955", \ - "0.189418,0.208503,0.227587,0.261353,0.325330,0.452480,0.706735"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015677,0.019310,0.023328,0.030802,0.045103,0.073871,0.133728", \ - "0.015679,0.019311,0.023329,0.030799,0.045103,0.073864,0.133740", \ - "0.015692,0.019330,0.023353,0.030811,0.045107,0.073853,0.133719", \ - "0.016039,0.019603,0.023573,0.030954,0.045197,0.073886,0.133736", \ - "0.020685,0.023704,0.027132,0.033787,0.046993,0.074760,0.134028", \ - "0.026746,0.029762,0.032969,0.039145,0.051688,0.078253,0.135653", \ - "0.033475,0.036722,0.039900,0.045758,0.057503,0.082721,0.138553"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019216,0.030205,0.044385,0.073907,0.134467,0.256226,0.500044", \ - "0.019223,0.030207,0.044382,0.073912,0.134486,0.256246,0.499904", \ - "0.019209,0.030206,0.044387,0.073911,0.134468,0.256219,0.499891", \ - "0.020116,0.030837,0.044754,0.073999,0.134467,0.256196,0.499956", \ - "0.022160,0.032501,0.046009,0.074729,0.134694,0.256241,0.499894", \ - "0.025560,0.035303,0.048082,0.075843,0.135197,0.256390,0.500051", \ - "0.030440,0.039706,0.051578,0.077862,0.135950,0.256959,0.499949"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087416,0.096777,0.105609,0.119678,0.142542,0.181674,0.253699", \ - "0.092012,0.101376,0.110202,0.124284,0.147144,0.186278,0.258306", \ - "0.110213,0.119549,0.128376,0.142460,0.165337,0.204509,0.276519", \ - "0.147231,0.156566,0.165400,0.179522,0.202451,0.241683,0.313716", \ - "0.196998,0.207694,0.217475,0.232684,0.256444,0.296047,0.368091", \ - "0.250335,0.262970,0.274073,0.291186,0.316926,0.358326,0.431541", \ - "0.306497,0.321059,0.333891,0.353142,0.381333,0.424947,0.499629"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015696,0.019324,0.023348,0.030819,0.045119,0.073875,0.133780", \ - "0.015698,0.019325,0.023343,0.030815,0.045127,0.073878,0.133787", \ - "0.015708,0.019348,0.023368,0.030817,0.045123,0.073867,0.133785", \ - "0.016054,0.019619,0.023581,0.030983,0.045200,0.073912,0.133813", \ - "0.020695,0.023715,0.027167,0.033792,0.047019,0.074771,0.134096", \ - "0.026754,0.029750,0.032983,0.039151,0.051687,0.078263,0.135714", \ - "0.033440,0.036706,0.039925,0.045763,0.057500,0.082723,0.138602"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087447,0.096800,0.105626,0.119696,0.142553,0.181683,0.253689", \ - "0.092031,0.101385,0.110215,0.124292,0.147146,0.186279,0.258280", \ - "0.110236,0.119574,0.128386,0.142475,0.165340,0.204479,0.276478", \ - "0.147274,0.156607,0.165462,0.179527,0.202447,0.241661,0.313676", \ - "0.196837,0.207569,0.217567,0.232631,0.256381,0.295973,0.368012", \ - "0.250136,0.262542,0.273914,0.291049,0.316813,0.358287,0.431551", \ - "0.305938,0.320567,0.333687,0.352957,0.381140,0.424802,0.499547"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.071972,0.087266,0.104478,0.137283,0.201534,0.329037,0.583359", \ - "0.076949,0.092256,0.109467,0.142280,0.206544,0.334065,0.588453", \ - "0.091525,0.106778,0.123924,0.156686,0.220957,0.348512,0.602917", \ - "0.115399,0.130850,0.148022,0.180631,0.244796,0.372356,0.626862", \ - "0.142268,0.158390,0.175823,0.208577,0.272692,0.400155,0.654631", \ - "0.167941,0.185204,0.203363,0.236216,0.300185,0.427618,0.681955", \ - "0.189418,0.208503,0.227587,0.261353,0.325330,0.452480,0.706708"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015677,0.019310,0.023328,0.030802,0.045103,0.073871,0.133728", \ - "0.015679,0.019311,0.023329,0.030799,0.045103,0.073864,0.133740", \ - "0.015692,0.019330,0.023353,0.030811,0.045107,0.073853,0.133719", \ - "0.016039,0.019603,0.023572,0.030954,0.045197,0.073886,0.133736", \ - "0.020685,0.023704,0.027132,0.033787,0.046993,0.074760,0.134028", \ - "0.026746,0.029762,0.032969,0.039145,0.051688,0.078253,0.135653", \ - "0.033475,0.036722,0.039900,0.045758,0.057503,0.082721,0.138553"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019216,0.030205,0.044385,0.073907,0.134467,0.256226,0.500044", \ - "0.019223,0.030207,0.044382,0.073912,0.134486,0.256246,0.499904", \ - "0.019209,0.030206,0.044387,0.073911,0.134468,0.256219,0.499891", \ - "0.020116,0.030837,0.044754,0.073999,0.134467,0.256196,0.499956", \ - "0.022160,0.032501,0.046009,0.074742,0.134694,0.256241,0.499894", \ - "0.025560,0.035303,0.048082,0.075843,0.135197,0.256390,0.500051", \ - "0.030440,0.039706,0.051578,0.077862,0.135950,0.256959,0.500093"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087416,0.096777,0.105609,0.119678,0.142542,0.181674,0.253708", \ - "0.092012,0.101376,0.110202,0.124284,0.147144,0.186278,0.258306", \ - "0.110213,0.119550,0.128376,0.142460,0.165337,0.204509,0.276519", \ - "0.147231,0.156566,0.165397,0.179522,0.202451,0.241683,0.313716", \ - "0.196998,0.207694,0.217475,0.232684,0.256444,0.296047,0.368091", \ - "0.250335,0.262970,0.274073,0.291186,0.316926,0.358326,0.431541", \ - "0.306497,0.321059,0.333891,0.353142,0.381333,0.424947,0.499629"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015696,0.019324,0.023348,0.030819,0.045119,0.073875,0.133779", \ - "0.015698,0.019325,0.023343,0.030815,0.045127,0.073878,0.133787", \ - "0.015708,0.019347,0.023368,0.030817,0.045123,0.073867,0.133785", \ - "0.016054,0.019619,0.023579,0.030983,0.045200,0.073912,0.133813", \ - "0.020695,0.023715,0.027167,0.033792,0.047019,0.074771,0.134096", \ - "0.026754,0.029750,0.032983,0.039151,0.051687,0.078263,0.135714", \ - "0.033440,0.036706,0.039925,0.045763,0.057500,0.082723,0.138602"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087447,0.096800,0.105626,0.119696,0.142553,0.181683,0.253689", \ - "0.092031,0.101385,0.110215,0.124292,0.147146,0.186279,0.258280", \ - "0.110236,0.119574,0.128386,0.142475,0.165340,0.204479,0.276478", \ - "0.147274,0.156607,0.165462,0.179531,0.202447,0.241661,0.313676", \ - "0.196837,0.207569,0.217567,0.232631,0.256381,0.295973,0.368012", \ - "0.250136,0.262542,0.273914,0.291049,0.316813,0.358287,0.431551", \ - "0.305938,0.320567,0.333687,0.352957,0.381140,0.424802,0.499547"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.071972,0.087266,0.104478,0.137283,0.201534,0.329037,0.583359", \ - "0.076949,0.092256,0.109467,0.142280,0.206544,0.334065,0.588453", \ - "0.091525,0.106778,0.123924,0.156686,0.220957,0.348512,0.602917", \ - "0.115399,0.130850,0.148022,0.180631,0.244796,0.372356,0.626862", \ - "0.142268,0.158390,0.175823,0.208577,0.272692,0.400155,0.654631", \ - "0.167941,0.185204,0.203363,0.236216,0.300185,0.427618,0.681955", \ - "0.189418,0.208503,0.227587,0.261353,0.325330,0.452480,0.706735"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015677,0.019310,0.023328,0.030802,0.045103,0.073871,0.133728", \ - "0.015679,0.019311,0.023329,0.030799,0.045103,0.073864,0.133740", \ - "0.015692,0.019330,0.023353,0.030811,0.045107,0.073853,0.133719", \ - "0.016039,0.019603,0.023572,0.030953,0.045197,0.073886,0.133736", \ - "0.020685,0.023704,0.027132,0.033787,0.046993,0.074760,0.134028", \ - "0.026746,0.029762,0.032969,0.039145,0.051688,0.078253,0.135653", \ - "0.033475,0.036722,0.039900,0.045758,0.057503,0.082721,0.138553"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019216,0.030205,0.044385,0.073907,0.134467,0.256226,0.500044", \ - "0.019223,0.030207,0.044382,0.073912,0.134486,0.256246,0.499904", \ - "0.019209,0.030206,0.044387,0.073911,0.134468,0.256219,0.499891", \ - "0.020116,0.030837,0.044754,0.073999,0.134467,0.256196,0.499956", \ - "0.022160,0.032501,0.046009,0.074742,0.134694,0.256241,0.499894", \ - "0.025560,0.035303,0.048082,0.075843,0.135197,0.256390,0.500051", \ - "0.030440,0.039706,0.051578,0.077862,0.135950,0.256959,0.499949"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087416,0.096777,0.105609,0.119675,0.142541,0.181674,0.253708", \ - "0.092012,0.101376,0.110202,0.124284,0.147144,0.186278,0.258306", \ - "0.110213,0.119549,0.128376,0.142460,0.165337,0.204509,0.276519", \ - "0.147231,0.156566,0.165397,0.179522,0.202451,0.241683,0.313716", \ - "0.196998,0.207694,0.217475,0.232684,0.256444,0.296047,0.368091", \ - "0.250335,0.262970,0.274073,0.291186,0.316926,0.358326,0.431541", \ - "0.306497,0.321059,0.333891,0.353142,0.381333,0.424947,0.499629"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015696,0.019324,0.023348,0.030819,0.045120,0.073875,0.133779", \ - "0.015698,0.019325,0.023343,0.030815,0.045127,0.073878,0.133787", \ - "0.015708,0.019348,0.023368,0.030817,0.045123,0.073867,0.133785", \ - "0.016054,0.019619,0.023579,0.030983,0.045200,0.073912,0.133813", \ - "0.020695,0.023715,0.027167,0.033792,0.047019,0.074771,0.134096", \ - "0.026754,0.029750,0.032983,0.039151,0.051687,0.078263,0.135714", \ - "0.033440,0.036706,0.039925,0.045763,0.057500,0.082723,0.138602"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087463,0.096817,0.105647,0.119715,0.142566,0.181702,0.253710", \ - "0.092041,0.101394,0.110230,0.124303,0.147153,0.186287,0.258298", \ - "0.110253,0.119587,0.128402,0.142480,0.165344,0.204497,0.276507", \ - "0.147284,0.156614,0.165432,0.179530,0.202453,0.241670,0.313702", \ - "0.197078,0.207811,0.217680,0.232629,0.256391,0.295981,0.367961", \ - "0.249965,0.262686,0.274207,0.291077,0.316837,0.358282,0.431480", \ - "0.305530,0.320400,0.333892,0.353047,0.381219,0.424880,0.499562"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.071985,0.087282,0.104490,0.137296,0.201547,0.329041,0.583410", \ - "0.076960,0.092271,0.109482,0.142292,0.206557,0.334064,0.588379", \ - "0.091542,0.106790,0.123948,0.156705,0.220974,0.348529,0.602919", \ - "0.115454,0.130903,0.148051,0.180647,0.244825,0.372401,0.626832", \ - "0.142272,0.158376,0.175856,0.208612,0.272715,0.400162,0.654593", \ - "0.168045,0.185305,0.203398,0.236326,0.300298,0.427746,0.682112", \ - "0.189434,0.208511,0.227716,0.261504,0.325462,0.452510,0.706816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015680,0.019314,0.023333,0.030798,0.045103,0.073862,0.133720", \ - "0.015679,0.019312,0.023335,0.030794,0.045097,0.073848,0.133733", \ - "0.015691,0.019331,0.023352,0.030804,0.045103,0.073851,0.133712", \ - "0.016035,0.019604,0.023571,0.030959,0.045197,0.073886,0.133751", \ - "0.020659,0.023682,0.027129,0.033789,0.046999,0.074760,0.134031", \ - "0.026770,0.029747,0.032938,0.039150,0.051685,0.078264,0.135671", \ - "0.033535,0.036751,0.039888,0.045754,0.057497,0.082713,0.138555"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019209,0.030204,0.044385,0.073912,0.134467,0.256236,0.499922", \ - "0.019225,0.030206,0.044381,0.073904,0.134481,0.256229,0.499984", \ - "0.019200,0.030206,0.044386,0.073912,0.134469,0.256245,0.499994", \ - "0.020122,0.030836,0.044752,0.074009,0.134473,0.256212,0.499990", \ - "0.022176,0.032507,0.046003,0.074738,0.134672,0.256255,0.499975", \ - "0.025566,0.035302,0.048088,0.075832,0.135181,0.256425,0.500089", \ - "0.030447,0.039715,0.051568,0.077857,0.135944,0.256930,0.500137"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087440,0.096802,0.105628,0.119705,0.142581,0.181754,0.253780", \ - "0.092031,0.101394,0.110224,0.124322,0.147184,0.186360,0.258391", \ - "0.110234,0.119579,0.128403,0.142501,0.165382,0.204565,0.276603", \ - "0.147270,0.156583,0.165407,0.179528,0.202478,0.241687,0.313745", \ - "0.197096,0.207752,0.217530,0.232736,0.256503,0.296124,0.368244", \ - "0.250237,0.262962,0.274331,0.291198,0.316959,0.358419,0.431547", \ - "0.306191,0.321067,0.334117,0.353411,0.381590,0.425257,0.499899"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015696,0.019323,0.023345,0.030810,0.045110,0.073859,0.133767", \ - "0.015695,0.019325,0.023336,0.030797,0.045109,0.073860,0.133769", \ - "0.015706,0.019345,0.023368,0.030810,0.045113,0.073853,0.133769", \ - "0.016053,0.019615,0.023574,0.030966,0.045182,0.073897,0.133796", \ - "0.020683,0.023706,0.027161,0.033780,0.047001,0.074755,0.134085", \ - "0.026754,0.029751,0.032945,0.039151,0.051676,0.078250,0.135709", \ - "0.033440,0.036704,0.039884,0.045718,0.057460,0.082693,0.138590"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087463,0.096817,0.105647,0.119715,0.142566,0.181702,0.253710", \ - "0.092041,0.101394,0.110230,0.124303,0.147153,0.186287,0.258298", \ - "0.110253,0.119587,0.128402,0.142480,0.165344,0.204497,0.276507", \ - "0.147284,0.156614,0.165432,0.179530,0.202453,0.241670,0.313702", \ - "0.197078,0.207811,0.217680,0.232629,0.256391,0.295981,0.367961", \ - "0.249965,0.262686,0.274207,0.291077,0.316837,0.358282,0.431480", \ - "0.305530,0.320400,0.333892,0.353047,0.381219,0.424880,0.499562"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.071985,0.087282,0.104490,0.137296,0.201547,0.329041,0.583410", \ - "0.076960,0.092271,0.109482,0.142292,0.206557,0.334064,0.588379", \ - "0.091542,0.106790,0.123948,0.156705,0.220974,0.348529,0.602919", \ - "0.115454,0.130903,0.148051,0.180647,0.244825,0.372401,0.626832", \ - "0.142272,0.158376,0.175856,0.208612,0.272715,0.400162,0.654593", \ - "0.168045,0.185305,0.203398,0.236326,0.300298,0.427746,0.682112", \ - "0.189434,0.208511,0.227716,0.261504,0.325462,0.452510,0.706816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015680,0.019314,0.023333,0.030798,0.045103,0.073862,0.133720", \ - "0.015679,0.019312,0.023335,0.030794,0.045097,0.073848,0.133733", \ - "0.015691,0.019331,0.023352,0.030804,0.045103,0.073851,0.133712", \ - "0.016035,0.019604,0.023571,0.030959,0.045197,0.073886,0.133751", \ - "0.020659,0.023682,0.027129,0.033789,0.046999,0.074760,0.134031", \ - "0.026770,0.029747,0.032938,0.039150,0.051685,0.078264,0.135671", \ - "0.033535,0.036751,0.039888,0.045754,0.057497,0.082713,0.138555"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019209,0.030204,0.044385,0.073912,0.134467,0.256236,0.499922", \ - "0.019225,0.030206,0.044381,0.073904,0.134481,0.256229,0.499984", \ - "0.019200,0.030206,0.044386,0.073912,0.134469,0.256245,0.499994", \ - "0.020122,0.030836,0.044752,0.074009,0.134473,0.256212,0.499990", \ - "0.022176,0.032507,0.046003,0.074738,0.134672,0.256255,0.499975", \ - "0.025566,0.035302,0.048088,0.075832,0.135181,0.256425,0.500089", \ - "0.030447,0.039715,0.051568,0.077857,0.135944,0.256930,0.500137"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087440,0.096801,0.105628,0.119706,0.142581,0.181754,0.253781", \ - "0.092031,0.101394,0.110224,0.124322,0.147184,0.186360,0.258391", \ - "0.110234,0.119579,0.128405,0.142501,0.165382,0.204568,0.276601", \ - "0.147278,0.156583,0.165407,0.179528,0.202478,0.241687,0.313745", \ - "0.197096,0.207752,0.217530,0.232736,0.256497,0.296124,0.368244", \ - "0.250237,0.262962,0.274331,0.291198,0.316959,0.358419,0.431547", \ - "0.306191,0.321067,0.334117,0.353411,0.381590,0.425257,0.499899"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015696,0.019323,0.023345,0.030809,0.045110,0.073859,0.133773", \ - "0.015695,0.019325,0.023336,0.030797,0.045109,0.073860,0.133769", \ - "0.015706,0.019345,0.023366,0.030810,0.045113,0.073862,0.133778", \ - "0.016052,0.019615,0.023574,0.030966,0.045182,0.073897,0.133796", \ - "0.020683,0.023706,0.027161,0.033780,0.046997,0.074755,0.134085", \ - "0.026754,0.029751,0.032945,0.039151,0.051676,0.078250,0.135709", \ - "0.033440,0.036704,0.039884,0.045718,0.057460,0.082693,0.138590"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087463,0.096817,0.105647,0.119715,0.142566,0.181702,0.253710", \ - "0.092041,0.101394,0.110230,0.124303,0.147153,0.186287,0.258298", \ - "0.110253,0.119587,0.128402,0.142480,0.165344,0.204497,0.276507", \ - "0.147284,0.156614,0.165432,0.179530,0.202453,0.241670,0.313702", \ - "0.197078,0.207811,0.217680,0.232629,0.256391,0.295981,0.367961", \ - "0.249965,0.262686,0.274207,0.291077,0.316837,0.358282,0.431480", \ - "0.305530,0.320400,0.333892,0.353047,0.381219,0.424880,0.499562"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.071985,0.087282,0.104490,0.137296,0.201547,0.329041,0.583410", \ - "0.076960,0.092271,0.109482,0.142292,0.206557,0.334064,0.588379", \ - "0.091542,0.106790,0.123948,0.156705,0.220974,0.348529,0.602919", \ - "0.115454,0.130903,0.148051,0.180647,0.244825,0.372401,0.626832", \ - "0.142272,0.158376,0.175856,0.208612,0.272715,0.400162,0.654593", \ - "0.168045,0.185305,0.203398,0.236326,0.300298,0.427746,0.682112", \ - "0.189434,0.208511,0.227716,0.261504,0.325462,0.452510,0.706821"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015680,0.019314,0.023333,0.030798,0.045103,0.073862,0.133720", \ - "0.015679,0.019312,0.023335,0.030794,0.045097,0.073848,0.133733", \ - "0.015691,0.019331,0.023352,0.030804,0.045103,0.073851,0.133712", \ - "0.016035,0.019604,0.023571,0.030959,0.045197,0.073886,0.133751", \ - "0.020659,0.023682,0.027129,0.033789,0.046999,0.074760,0.134031", \ - "0.026770,0.029747,0.032938,0.039150,0.051685,0.078264,0.135671", \ - "0.033535,0.036751,0.039888,0.045754,0.057497,0.082713,0.138555"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019209,0.030204,0.044385,0.073912,0.134467,0.256236,0.499922", \ - "0.019225,0.030206,0.044381,0.073904,0.134481,0.256229,0.499984", \ - "0.019200,0.030206,0.044386,0.073912,0.134469,0.256245,0.499994", \ - "0.020122,0.030836,0.044752,0.074009,0.134473,0.256212,0.499990", \ - "0.022176,0.032507,0.046003,0.074738,0.134672,0.256255,0.499975", \ - "0.025566,0.035302,0.048088,0.075832,0.135181,0.256425,0.500089", \ - "0.030447,0.039715,0.051568,0.077857,0.135944,0.256930,0.500120"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087440,0.096800,0.105625,0.119706,0.142580,0.181754,0.253780", \ - "0.092031,0.101394,0.110224,0.124322,0.147184,0.186360,0.258391", \ - "0.110234,0.119577,0.128405,0.142501,0.165379,0.204565,0.276603", \ - "0.147278,0.156583,0.165407,0.179528,0.202478,0.241687,0.313745", \ - "0.197096,0.207752,0.217530,0.232736,0.256497,0.296124,0.368244", \ - "0.250237,0.262962,0.274331,0.291198,0.316959,0.358419,0.431547", \ - "0.306191,0.321067,0.334117,0.353411,0.381590,0.425257,0.499899"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015696,0.019323,0.023341,0.030809,0.045111,0.073859,0.133767", \ - "0.015695,0.019325,0.023336,0.030797,0.045109,0.073860,0.133769", \ - "0.015706,0.019343,0.023366,0.030810,0.045113,0.073853,0.133769", \ - "0.016052,0.019615,0.023574,0.030966,0.045182,0.073897,0.133796", \ - "0.020683,0.023706,0.027161,0.033780,0.046997,0.074755,0.134085", \ - "0.026754,0.029751,0.032945,0.039151,0.051676,0.078250,0.135709", \ - "0.033440,0.036704,0.039884,0.045718,0.057460,0.082693,0.138590"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087447,0.096800,0.105626,0.119696,0.142553,0.181683,0.253689", \ - "0.092031,0.101385,0.110215,0.124292,0.147146,0.186279,0.258280", \ - "0.110236,0.119574,0.128386,0.142475,0.165340,0.204479,0.276478", \ - "0.147274,0.156607,0.165461,0.179531,0.202447,0.241661,0.313676", \ - "0.196837,0.207569,0.217567,0.232631,0.256381,0.295973,0.368012", \ - "0.250136,0.262542,0.273914,0.291049,0.316813,0.358287,0.431551", \ - "0.305938,0.320567,0.333687,0.352957,0.381140,0.424802,0.499547"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.071972,0.087266,0.104478,0.137283,0.201534,0.329037,0.583359", \ - "0.076949,0.092256,0.109467,0.142280,0.206544,0.334065,0.588453", \ - "0.091525,0.106778,0.123924,0.156686,0.220957,0.348512,0.602917", \ - "0.115399,0.130850,0.148022,0.180631,0.244796,0.372356,0.626862", \ - "0.142268,0.158390,0.175823,0.208577,0.272692,0.400155,0.654631", \ - "0.167941,0.185204,0.203363,0.236216,0.300185,0.427618,0.681955", \ - "0.189418,0.208503,0.227587,0.261353,0.325330,0.452480,0.706708"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015677,0.019310,0.023328,0.030802,0.045103,0.073871,0.133728", \ - "0.015679,0.019311,0.023329,0.030799,0.045103,0.073864,0.133740", \ - "0.015692,0.019330,0.023353,0.030811,0.045107,0.073853,0.133719", \ - "0.016039,0.019603,0.023573,0.030953,0.045197,0.073886,0.133736", \ - "0.020685,0.023704,0.027132,0.033787,0.046993,0.074760,0.134028", \ - "0.026746,0.029762,0.032969,0.039145,0.051688,0.078253,0.135653", \ - "0.033475,0.036722,0.039900,0.045758,0.057503,0.082721,0.138553"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019216,0.030205,0.044385,0.073907,0.134467,0.256226,0.500044", \ - "0.019223,0.030207,0.044382,0.073912,0.134486,0.256246,0.499904", \ - "0.019209,0.030206,0.044387,0.073911,0.134468,0.256219,0.499891", \ - "0.020116,0.030837,0.044754,0.073999,0.134467,0.256196,0.499956", \ - "0.022160,0.032501,0.046009,0.074729,0.134694,0.256241,0.499894", \ - "0.025560,0.035303,0.048082,0.075843,0.135197,0.256390,0.500051", \ - "0.030440,0.039706,0.051578,0.077862,0.135950,0.256959,0.500093"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087416,0.096777,0.105609,0.119675,0.142542,0.181674,0.253708", \ - "0.092012,0.101376,0.110202,0.124284,0.147144,0.186278,0.258306", \ - "0.110213,0.119549,0.128376,0.142460,0.165337,0.204509,0.276519", \ - "0.147231,0.156566,0.165397,0.179522,0.202451,0.241683,0.313716", \ - "0.196998,0.207694,0.217475,0.232684,0.256444,0.296047,0.368091", \ - "0.250335,0.262970,0.274073,0.291186,0.316926,0.358326,0.431541", \ - "0.306497,0.321059,0.333891,0.353142,0.381333,0.424947,0.499629"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015696,0.019324,0.023348,0.030819,0.045119,0.073875,0.133779", \ - "0.015698,0.019325,0.023343,0.030815,0.045127,0.073878,0.133787", \ - "0.015708,0.019348,0.023368,0.030817,0.045123,0.073867,0.133785", \ - "0.016054,0.019619,0.023579,0.030983,0.045200,0.073912,0.133813", \ - "0.020695,0.023715,0.027167,0.033792,0.047019,0.074771,0.134096", \ - "0.026754,0.029750,0.032983,0.039151,0.051687,0.078263,0.135714", \ - "0.033440,0.036706,0.039925,0.045763,0.057500,0.082723,0.138602"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087463,0.096817,0.105647,0.119715,0.142566,0.181702,0.253710", \ - "0.092041,0.101394,0.110230,0.124303,0.147153,0.186287,0.258298", \ - "0.110253,0.119587,0.128402,0.142480,0.165344,0.204497,0.276507", \ - "0.147284,0.156614,0.165432,0.179530,0.202453,0.241670,0.313702", \ - "0.197078,0.207811,0.217680,0.232629,0.256391,0.295981,0.367961", \ - "0.249965,0.262686,0.274207,0.291077,0.316837,0.358282,0.431480", \ - "0.305530,0.320400,0.333892,0.353047,0.381219,0.424880,0.499562"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.071985,0.087282,0.104490,0.137296,0.201547,0.329041,0.583410", \ - "0.076960,0.092271,0.109482,0.142292,0.206557,0.334064,0.588379", \ - "0.091542,0.106790,0.123948,0.156705,0.220974,0.348529,0.602919", \ - "0.115454,0.130903,0.148051,0.180647,0.244825,0.372401,0.626832", \ - "0.142272,0.158376,0.175856,0.208612,0.272715,0.400162,0.654593", \ - "0.168045,0.185305,0.203398,0.236326,0.300298,0.427746,0.682112", \ - "0.189434,0.208511,0.227716,0.261504,0.325462,0.452510,0.706816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015680,0.019314,0.023333,0.030798,0.045103,0.073862,0.133720", \ - "0.015679,0.019312,0.023335,0.030794,0.045097,0.073848,0.133733", \ - "0.015691,0.019331,0.023352,0.030804,0.045103,0.073851,0.133712", \ - "0.016035,0.019604,0.023570,0.030959,0.045197,0.073886,0.133751", \ - "0.020659,0.023682,0.027129,0.033789,0.046999,0.074760,0.134031", \ - "0.026770,0.029747,0.032938,0.039150,0.051685,0.078264,0.135671", \ - "0.033535,0.036751,0.039888,0.045754,0.057497,0.082713,0.138555"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019209,0.030204,0.044385,0.073912,0.134467,0.256236,0.499922", \ - "0.019225,0.030206,0.044381,0.073904,0.134481,0.256229,0.499984", \ - "0.019200,0.030206,0.044386,0.073912,0.134469,0.256245,0.499994", \ - "0.020122,0.030836,0.044752,0.074009,0.134473,0.256212,0.499990", \ - "0.022176,0.032507,0.046003,0.074738,0.134672,0.256255,0.499975", \ - "0.025566,0.035302,0.048088,0.075832,0.135181,0.256425,0.500089", \ - "0.030447,0.039715,0.051568,0.077857,0.135944,0.256930,0.500137"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087440,0.096801,0.105628,0.119706,0.142580,0.181754,0.253781", \ - "0.092031,0.101394,0.110224,0.124322,0.147184,0.186360,0.258391", \ - "0.110235,0.119577,0.128403,0.142501,0.165382,0.204568,0.276603", \ - "0.147278,0.156583,0.165407,0.179528,0.202478,0.241687,0.313745", \ - "0.197096,0.207752,0.217530,0.232736,0.256503,0.296124,0.368244", \ - "0.250237,0.262962,0.274331,0.291198,0.316959,0.358419,0.431547", \ - "0.306191,0.321067,0.334117,0.353411,0.381590,0.425257,0.499899"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015696,0.019323,0.023345,0.030809,0.045111,0.073859,0.133773", \ - "0.015695,0.019325,0.023336,0.030797,0.045109,0.073860,0.133769", \ - "0.015708,0.019343,0.023368,0.030810,0.045113,0.073862,0.133769", \ - "0.016052,0.019615,0.023574,0.030966,0.045182,0.073897,0.133796", \ - "0.020683,0.023706,0.027161,0.033780,0.047001,0.074755,0.134085", \ - "0.026754,0.029751,0.032945,0.039151,0.051676,0.078250,0.135709", \ - "0.033440,0.036704,0.039884,0.045718,0.057460,0.082693,0.138590"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087465,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ - "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ - "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ - "0.147355,0.156645,0.165491,0.179535,0.202465,0.241667,0.313702", \ - "0.197246,0.207927,0.217659,0.232725,0.256478,0.296061,0.368107", \ - "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ - "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327451,0.581746", \ - "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ - "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ - "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ - "0.141549,0.157635,0.175100,0.207789,0.271880,0.399359,0.653824", \ - "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ - "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015678,0.019308,0.023332,0.030796,0.045108,0.073853,0.133727", \ - "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ - "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ - "0.016038,0.019603,0.023573,0.030950,0.045198,0.073890,0.133749", \ - "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ - "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ - "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256192,0.499958", \ - "0.019002,0.030052,0.044260,0.073808,0.134376,0.256232,0.499990", \ - "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ - "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ - "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ - "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499966", \ - "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087454,0.096804,0.105635,0.119712,0.142590,0.181761,0.253746", \ - "0.092044,0.101403,0.110241,0.124326,0.147200,0.186386,0.258366", \ - "0.110255,0.119590,0.128417,0.142522,0.165393,0.204579,0.276576", \ - "0.147327,0.156598,0.165427,0.179558,0.202469,0.241701,0.313704", \ - "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ - "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ - "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499412"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015686,0.019320,0.023342,0.030814,0.045111,0.073852,0.133639", \ - "0.015694,0.019320,0.023337,0.030805,0.045107,0.073859,0.133640", \ - "0.015708,0.019340,0.023362,0.030813,0.045114,0.073861,0.133637", \ - "0.016047,0.019612,0.023578,0.030958,0.045188,0.073894,0.133654", \ - "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133926", \ - "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ - "0.033458,0.036710,0.039933,0.045758,0.057492,0.082685,0.138483"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087465,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ - "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ - "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ - "0.147355,0.156646,0.165491,0.179539,0.202465,0.241667,0.313702", \ - "0.197246,0.207927,0.217659,0.232725,0.256478,0.296061,0.368107", \ - "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ - "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327451,0.581746", \ - "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ - "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ - "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ - "0.141549,0.157635,0.175100,0.207789,0.271880,0.399359,0.653824", \ - "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ - "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015678,0.019308,0.023332,0.030796,0.045108,0.073853,0.133727", \ - "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ - "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ - "0.016038,0.019605,0.023573,0.030948,0.045198,0.073890,0.133749", \ - "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ - "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ - "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256192,0.499958", \ - "0.019002,0.030052,0.044260,0.073808,0.134376,0.256232,0.499990", \ - "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ - "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ - "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ - "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499945", \ - "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087454,0.096804,0.105635,0.119712,0.142590,0.181760,0.253745", \ - "0.092044,0.101403,0.110241,0.124326,0.147200,0.186378,0.258366", \ - "0.110255,0.119590,0.128417,0.142522,0.165393,0.204579,0.276576", \ - "0.147327,0.156598,0.165427,0.179548,0.202469,0.241701,0.313704", \ - "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ - "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ - "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499412"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015686,0.019320,0.023342,0.030817,0.045110,0.073852,0.133638", \ - "0.015694,0.019320,0.023337,0.030805,0.045107,0.073854,0.133640", \ - "0.015708,0.019340,0.023362,0.030813,0.045114,0.073861,0.133637", \ - "0.016047,0.019612,0.023578,0.030968,0.045188,0.073894,0.133654", \ - "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133926", \ - "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ - "0.033458,0.036710,0.039933,0.045758,0.057492,0.082685,0.138483"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087465,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ - "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ - "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ - "0.147355,0.156645,0.165491,0.179539,0.202465,0.241667,0.313702", \ - "0.197246,0.207927,0.217659,0.232725,0.256478,0.296061,0.368107", \ - "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ - "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327451,0.581746", \ - "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ - "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ - "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ - "0.141549,0.157635,0.175100,0.207789,0.271880,0.399359,0.653824", \ - "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ - "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015678,0.019308,0.023332,0.030796,0.045108,0.073853,0.133727", \ - "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ - "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ - "0.016038,0.019603,0.023573,0.030948,0.045198,0.073890,0.133749", \ - "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ - "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ - "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256192,0.499958", \ - "0.019002,0.030052,0.044260,0.073808,0.134376,0.256232,0.499990", \ - "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ - "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ - "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ - "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499945", \ - "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087454,0.096804,0.105635,0.119712,0.142590,0.181760,0.253746", \ - "0.092043,0.101403,0.110241,0.124326,0.147200,0.186386,0.258365", \ - "0.110255,0.119590,0.128417,0.142522,0.165393,0.204579,0.276576", \ - "0.147327,0.156598,0.165427,0.179546,0.202469,0.241701,0.313704", \ - "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ - "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ - "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499412"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015686,0.019320,0.023342,0.030815,0.045111,0.073854,0.133641", \ - "0.015693,0.019320,0.023337,0.030805,0.045107,0.073859,0.133642", \ - "0.015708,0.019340,0.023362,0.030813,0.045114,0.073861,0.133637", \ - "0.016047,0.019612,0.023578,0.030971,0.045188,0.073894,0.133654", \ - "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133926", \ - "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ - "0.033458,0.036710,0.039933,0.045758,0.057492,0.082685,0.138483"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087470,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ - "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ - "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ - "0.147355,0.156645,0.165491,0.179533,0.202465,0.241667,0.313702", \ - "0.197246,0.207927,0.217659,0.232725,0.256478,0.296061,0.368107", \ - "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ - "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327452,0.581746", \ - "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ - "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ - "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ - "0.141549,0.157635,0.175100,0.207789,0.271880,0.399360,0.653824", \ - "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ - "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015678,0.019309,0.023332,0.030796,0.045107,0.073853,0.133727", \ - "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ - "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ - "0.016037,0.019603,0.023573,0.030950,0.045198,0.073890,0.133749", \ - "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ - "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ - "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256190,0.499957", \ - "0.019002,0.030052,0.044260,0.073808,0.134376,0.256233,0.499989", \ - "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ - "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ - "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ - "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499966", \ - "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087454,0.096805,0.105635,0.119712,0.142594,0.181761,0.253746", \ - "0.092044,0.101403,0.110241,0.124326,0.147200,0.186386,0.258365", \ - "0.110255,0.119590,0.128417,0.142522,0.165393,0.204580,0.276577", \ - "0.147297,0.156598,0.165427,0.179546,0.202469,0.241701,0.313704", \ - "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ - "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ - "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499413"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015686,0.019317,0.023342,0.030816,0.045108,0.073852,0.133641", \ - "0.015694,0.019320,0.023337,0.030805,0.045107,0.073859,0.133642", \ - "0.015708,0.019340,0.023362,0.030813,0.045114,0.073860,0.133637", \ - "0.016050,0.019612,0.023578,0.030971,0.045188,0.073894,0.133654", \ - "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133925", \ - "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ - "0.033458,0.036710,0.039933,0.045758,0.057492,0.082686,0.138482"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087470,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ - "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ - "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ - "0.147354,0.156645,0.165491,0.179535,0.202465,0.241667,0.313702", \ - "0.197246,0.207927,0.217659,0.232725,0.256478,0.296061,0.368107", \ - "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ - "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327452,0.581746", \ - "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ - "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ - "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ - "0.141549,0.157635,0.175100,0.207789,0.271880,0.399360,0.653824", \ - "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ - "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015678,0.019309,0.023332,0.030796,0.045107,0.073853,0.133727", \ - "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ - "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ - "0.016038,0.019603,0.023572,0.030950,0.045198,0.073890,0.133749", \ - "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ - "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ - "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256190,0.499957", \ - "0.019002,0.030052,0.044260,0.073808,0.134376,0.256233,0.499989", \ - "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ - "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ - "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ - "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499945", \ - "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087454,0.096805,0.105635,0.119712,0.142596,0.181760,0.253747", \ - "0.092044,0.101403,0.110241,0.124326,0.147200,0.186386,0.258366", \ - "0.110255,0.119590,0.128417,0.142522,0.165393,0.204580,0.276577", \ - "0.147327,0.156598,0.165427,0.179552,0.202469,0.241701,0.313704", \ - "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ - "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ - "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499413"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015686,0.019317,0.023342,0.030816,0.045109,0.073852,0.133640", \ - "0.015694,0.019320,0.023337,0.030805,0.045107,0.073859,0.133640", \ - "0.015708,0.019340,0.023362,0.030813,0.045114,0.073860,0.133637", \ - "0.016047,0.019612,0.023578,0.030960,0.045188,0.073894,0.133654", \ - "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133925", \ - "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ - "0.033458,0.036710,0.039933,0.045758,0.057492,0.082686,0.138482"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087470,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ - "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ - "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ - "0.147354,0.156646,0.165491,0.179535,0.202465,0.241667,0.313702", \ - "0.197246,0.207927,0.217659,0.232725,0.256478,0.296061,0.368107", \ - "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ - "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327452,0.581746", \ - "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ - "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ - "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ - "0.141549,0.157635,0.175100,0.207789,0.271880,0.399360,0.653824", \ - "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ - "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015678,0.019309,0.023332,0.030796,0.045107,0.073853,0.133727", \ - "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ - "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ - "0.016038,0.019605,0.023573,0.030950,0.045198,0.073890,0.133749", \ - "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ - "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ - "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256190,0.499957", \ - "0.019002,0.030052,0.044260,0.073808,0.134376,0.256233,0.499989", \ - "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ - "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ - "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ - "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499945", \ - "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087454,0.096805,0.105635,0.119711,0.142594,0.181760,0.253747", \ - "0.092043,0.101403,0.110241,0.124326,0.147200,0.186386,0.258366", \ - "0.110255,0.119590,0.128417,0.142522,0.165393,0.204580,0.276577", \ - "0.147327,0.156598,0.165427,0.179548,0.202469,0.241701,0.313704", \ - "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ - "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ - "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499413"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015686,0.019317,0.023342,0.030812,0.045108,0.073852,0.133640", \ - "0.015693,0.019320,0.023337,0.030805,0.045107,0.073859,0.133640", \ - "0.015708,0.019340,0.023362,0.030813,0.045114,0.073860,0.133637", \ - "0.016047,0.019612,0.023578,0.030968,0.045188,0.073894,0.133654", \ - "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133925", \ - "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ - "0.033458,0.036710,0.039933,0.045758,0.057492,0.082686,0.138482"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087465,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ - "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ - "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ - "0.147355,0.156646,0.165491,0.179535,0.202465,0.241667,0.313702", \ - "0.197246,0.207927,0.217659,0.232725,0.256478,0.296061,0.368107", \ - "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ - "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327451,0.581746", \ - "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ - "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ - "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ - "0.141549,0.157635,0.175100,0.207789,0.271880,0.399359,0.653824", \ - "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ - "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015678,0.019308,0.023332,0.030796,0.045108,0.073853,0.133727", \ - "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ - "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ - "0.016037,0.019605,0.023572,0.030950,0.045198,0.073890,0.133749", \ - "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ - "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ - "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256192,0.499958", \ - "0.019002,0.030052,0.044260,0.073808,0.134376,0.256232,0.499990", \ - "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ - "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ - "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ - "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499945", \ - "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087454,0.096804,0.105635,0.119710,0.142590,0.181780,0.253746", \ - "0.092044,0.101403,0.110241,0.124326,0.147200,0.186386,0.258365", \ - "0.110255,0.119590,0.128417,0.142522,0.165393,0.204579,0.276576", \ - "0.147327,0.156598,0.165427,0.179546,0.202469,0.241701,0.313704", \ - "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ - "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ - "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499412"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015686,0.019320,0.023342,0.030812,0.045111,0.073844,0.133641", \ - "0.015694,0.019320,0.023337,0.030805,0.045107,0.073859,0.133642", \ - "0.015708,0.019340,0.023362,0.030813,0.045114,0.073861,0.133637", \ - "0.016047,0.019612,0.023578,0.030971,0.045188,0.073894,0.133654", \ - "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133926", \ - "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ - "0.033458,0.036710,0.039933,0.045758,0.057492,0.082685,0.138483"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087470,0.096821,0.105646,0.119716,0.142559,0.181691,0.253699", \ - "0.092043,0.101402,0.110225,0.124305,0.147145,0.186282,0.258279", \ - "0.110255,0.119589,0.128395,0.142483,0.165344,0.204502,0.276513", \ - "0.147355,0.156645,0.165491,0.179539,0.202465,0.241667,0.313702", \ - "0.197246,0.207927,0.217658,0.232725,0.256478,0.296061,0.368107", \ - "0.250424,0.263083,0.274237,0.291085,0.316849,0.358323,0.431531", \ - "0.306133,0.320780,0.333785,0.353071,0.381335,0.424926,0.499543"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.070527,0.085773,0.102959,0.135735,0.199947,0.327452,0.581746", \ - "0.075543,0.090787,0.107973,0.140757,0.204975,0.332486,0.586846", \ - "0.090319,0.105510,0.122643,0.155365,0.219590,0.347164,0.601546", \ - "0.114522,0.129925,0.147073,0.179644,0.243780,0.371365,0.625834", \ - "0.141549,0.157635,0.175100,0.207789,0.271880,0.399360,0.653824", \ - "0.167236,0.184504,0.202561,0.235547,0.299462,0.426844,0.681149", \ - "0.189147,0.208238,0.227438,0.261098,0.325051,0.452175,0.706450"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015678,0.019309,0.023332,0.030796,0.045107,0.073853,0.133727", \ - "0.015679,0.019308,0.023328,0.030794,0.045101,0.073856,0.133721", \ - "0.015689,0.019331,0.023352,0.030800,0.045102,0.073858,0.133710", \ - "0.016037,0.019603,0.023573,0.030948,0.045198,0.073890,0.133749", \ - "0.020640,0.023666,0.027124,0.033785,0.046995,0.074760,0.134025", \ - "0.026701,0.029690,0.032926,0.039141,0.051683,0.078253,0.135656", \ - "0.033443,0.036687,0.039898,0.045747,0.057497,0.082708,0.138548"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.019009,0.030053,0.044265,0.073810,0.134373,0.256190,0.499957", \ - "0.019002,0.030052,0.044260,0.073808,0.134376,0.256233,0.499989", \ - "0.019003,0.030057,0.044271,0.073818,0.134375,0.256179,0.499922", \ - "0.019978,0.030728,0.044659,0.073911,0.134400,0.256179,0.499924", \ - "0.022089,0.032431,0.045936,0.074663,0.134627,0.256242,0.499942", \ - "0.025577,0.035294,0.048064,0.075781,0.135126,0.256410,0.499945", \ - "0.030432,0.039714,0.051556,0.077811,0.135873,0.256914,0.500236"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.087454,0.096805,0.105635,0.119710,0.142595,0.181760,0.253746", \ - "0.092044,0.101403,0.110241,0.124326,0.147200,0.186378,0.258365", \ - "0.110255,0.119590,0.128417,0.142522,0.165393,0.204580,0.276577", \ - "0.147327,0.156598,0.165427,0.179558,0.202469,0.241701,0.313704", \ - "0.196959,0.207785,0.217589,0.232640,0.256478,0.296082,0.368132", \ - "0.249803,0.262554,0.273919,0.290932,0.316891,0.358346,0.431511", \ - "0.306060,0.320899,0.333697,0.353086,0.381277,0.424954,0.499413"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.015686,0.019317,0.023342,0.030812,0.045109,0.073854,0.133641", \ - "0.015694,0.019320,0.023337,0.030805,0.045107,0.073854,0.133642", \ - "0.015708,0.019340,0.023362,0.030813,0.045114,0.073860,0.133637", \ - "0.016047,0.019612,0.023578,0.030958,0.045188,0.073894,0.133654", \ - "0.020693,0.023704,0.027148,0.033793,0.047012,0.074763,0.133925", \ - "0.026799,0.029787,0.032998,0.039177,0.051690,0.078260,0.135632", \ - "0.033458,0.036710,0.039933,0.045758,0.057492,0.082686,0.138482"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.203293,0.217307,0.232688,0.262662,0.324461,0.450480,0.704048", \ - "0.207998,0.222004,0.237388,0.267376,0.329172,0.455186,0.708761", \ - "0.226083,0.240093,0.255496,0.285466,0.347255,0.473270,0.726872", \ - "0.262779,0.276789,0.292138,0.322137,0.383953,0.509992,0.763609", \ - "0.319964,0.333796,0.348969,0.378886,0.440558,0.566538,0.820115", \ - "0.390286,0.403643,0.418247,0.447525,0.508435,0.634053,0.887324", \ - "0.465541,0.478531,0.492420,0.521147,0.581059,0.705821,0.957948"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020980,0.031540,0.045349,0.074411,0.134566,0.256024,0.499506", \ - "0.020979,0.031539,0.045348,0.074431,0.134568,0.256017,0.499487", \ - "0.020983,0.031540,0.045351,0.074418,0.134555,0.256026,0.499481", \ - "0.020997,0.031546,0.045351,0.074421,0.134550,0.256014,0.499503", \ - "0.021089,0.031625,0.045412,0.074445,0.134559,0.256021,0.499482", \ - "0.021834,0.032153,0.045778,0.074616,0.134610,0.256021,0.499479", \ - "0.023024,0.033097,0.046434,0.074921,0.134748,0.256063,0.499499"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.203293,0.217307,0.232688,0.262661,0.324461,0.450480,0.704048", \ - "0.207998,0.222004,0.237388,0.267376,0.329172,0.455186,0.708761", \ - "0.226083,0.240093,0.255496,0.285466,0.347255,0.473270,0.726872", \ - "0.262779,0.276789,0.292138,0.322137,0.383953,0.509992,0.763609", \ - "0.319964,0.333796,0.348969,0.378886,0.440558,0.566538,0.820115", \ - "0.390286,0.403643,0.418247,0.447525,0.508435,0.634053,0.887324", \ - "0.465541,0.478531,0.492420,0.521147,0.581059,0.705821,0.957948"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020980,0.031540,0.045349,0.074412,0.134566,0.256024,0.499506", \ - "0.020979,0.031539,0.045348,0.074431,0.134568,0.256018,0.499487", \ - "0.020983,0.031540,0.045351,0.074418,0.134555,0.256026,0.499481", \ - "0.020997,0.031546,0.045351,0.074421,0.134550,0.256014,0.499503", \ - "0.021089,0.031625,0.045412,0.074445,0.134559,0.256021,0.499482", \ - "0.021834,0.032153,0.045778,0.074616,0.134610,0.256021,0.499479", \ - "0.023024,0.033097,0.046434,0.074921,0.134748,0.256063,0.499499"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.203293,0.217307,0.232688,0.262662,0.324461,0.450480,0.704048", \ - "0.207998,0.222004,0.237388,0.267376,0.329172,0.455186,0.708761", \ - "0.226083,0.240093,0.255496,0.285466,0.347255,0.473270,0.726872", \ - "0.262779,0.276789,0.292138,0.322137,0.383953,0.509992,0.763608", \ - "0.319964,0.333796,0.348969,0.378886,0.440558,0.566538,0.820115", \ - "0.390286,0.403643,0.418247,0.447525,0.508435,0.634053,0.887324", \ - "0.465541,0.478531,0.492420,0.521147,0.581059,0.705821,0.957948"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020980,0.031540,0.045349,0.074411,0.134566,0.256024,0.499506", \ - "0.020979,0.031539,0.045348,0.074431,0.134568,0.256018,0.499487", \ - "0.020983,0.031540,0.045351,0.074418,0.134555,0.256026,0.499481", \ - "0.020997,0.031546,0.045351,0.074421,0.134550,0.256014,0.499491", \ - "0.021089,0.031625,0.045412,0.074445,0.134559,0.256021,0.499482", \ - "0.021834,0.032153,0.045778,0.074616,0.134610,0.256021,0.499479", \ - "0.023024,0.033097,0.046434,0.074921,0.134748,0.256063,0.499499"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.203286,0.217297,0.232679,0.262666,0.324465,0.450481,0.704049", \ - "0.207988,0.222000,0.237390,0.267371,0.329162,0.455188,0.708764", \ - "0.226074,0.240085,0.255472,0.285440,0.347259,0.473273,0.726873", \ - "0.262721,0.276744,0.292130,0.322120,0.383939,0.509989,0.763592", \ - "0.319743,0.333604,0.349072,0.378672,0.440321,0.566258,0.819869", \ - "0.390322,0.403570,0.418135,0.447167,0.508061,0.633474,0.886753", \ - "0.465506,0.478494,0.492386,0.520286,0.580190,0.704998,0.957894"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020980,0.031540,0.045349,0.074417,0.134567,0.256022,0.499504", \ - "0.020974,0.031540,0.045351,0.074431,0.134554,0.256026,0.499506", \ - "0.020983,0.031540,0.045351,0.074412,0.134554,0.256022,0.499484", \ - "0.020997,0.031547,0.045360,0.074408,0.134561,0.256027,0.499486", \ - "0.021095,0.031627,0.045411,0.074438,0.134558,0.256026,0.499503", \ - "0.021838,0.032157,0.045781,0.074625,0.134624,0.256020,0.499479", \ - "0.023025,0.033097,0.046431,0.074922,0.134756,0.256061,0.499483"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.203286,0.217297,0.232679,0.262665,0.324465,0.450480,0.704049", \ - "0.207988,0.222000,0.237390,0.267371,0.329162,0.455188,0.708764", \ - "0.226074,0.240085,0.255472,0.285440,0.347259,0.473273,0.726873", \ - "0.262721,0.276744,0.292130,0.322120,0.383939,0.509989,0.763592", \ - "0.319744,0.333604,0.349072,0.378672,0.440321,0.566258,0.819869", \ - "0.390322,0.403570,0.418135,0.447167,0.508061,0.633474,0.886753", \ - "0.465506,0.478494,0.492386,0.520286,0.580190,0.704998,0.957894"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020980,0.031540,0.045349,0.074419,0.134567,0.256022,0.499504", \ - "0.020974,0.031540,0.045351,0.074431,0.134554,0.256026,0.499506", \ - "0.020983,0.031540,0.045351,0.074412,0.134554,0.256022,0.499484", \ - "0.020997,0.031547,0.045360,0.074408,0.134561,0.256027,0.499486", \ - "0.021095,0.031627,0.045411,0.074438,0.134558,0.256026,0.499503", \ - "0.021838,0.032157,0.045781,0.074625,0.134624,0.256020,0.499479", \ - "0.023025,0.033097,0.046431,0.074922,0.134756,0.256061,0.499483"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.203286,0.217297,0.232679,0.262665,0.324465,0.450479,0.704049", \ - "0.207988,0.222000,0.237390,0.267371,0.329162,0.455188,0.708764", \ - "0.226074,0.240085,0.255472,0.285440,0.347259,0.473273,0.726873", \ - "0.262721,0.276744,0.292130,0.322120,0.383939,0.509989,0.763592", \ - "0.319743,0.333604,0.349072,0.378672,0.440321,0.566258,0.819869", \ - "0.390322,0.403570,0.418135,0.447168,0.508061,0.633474,0.886753", \ - "0.465506,0.478494,0.492386,0.520286,0.580190,0.704998,0.957894"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020980,0.031540,0.045349,0.074419,0.134567,0.256026,0.499504", \ - "0.020974,0.031540,0.045351,0.074431,0.134554,0.256026,0.499506", \ - "0.020983,0.031540,0.045351,0.074412,0.134554,0.256022,0.499484", \ - "0.020997,0.031547,0.045360,0.074408,0.134561,0.256027,0.499486", \ - "0.021095,0.031627,0.045411,0.074438,0.134558,0.256026,0.499503", \ - "0.021838,0.032157,0.045781,0.074635,0.134624,0.256020,0.499479", \ - "0.023025,0.033097,0.046431,0.074922,0.134756,0.256061,0.499483"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.203292,0.217307,0.232688,0.262662,0.324464,0.450480,0.704048", \ - "0.207998,0.222004,0.237388,0.267376,0.329172,0.455186,0.708761", \ - "0.226083,0.240093,0.255496,0.285466,0.347255,0.473270,0.726872", \ - "0.262779,0.276789,0.292138,0.322137,0.383953,0.509992,0.763609", \ - "0.319964,0.333796,0.348969,0.378886,0.440558,0.566538,0.820115", \ - "0.390287,0.403643,0.418247,0.447525,0.508435,0.634053,0.887324", \ - "0.465541,0.478531,0.492420,0.521147,0.581059,0.705821,0.957948"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020980,0.031540,0.045349,0.074411,0.134566,0.256024,0.499506", \ - "0.020979,0.031539,0.045348,0.074431,0.134568,0.256017,0.499487", \ - "0.020983,0.031540,0.045351,0.074418,0.134555,0.256026,0.499481", \ - "0.020997,0.031546,0.045351,0.074421,0.134550,0.256014,0.499503", \ - "0.021089,0.031625,0.045412,0.074445,0.134559,0.256021,0.499482", \ - "0.021834,0.032153,0.045778,0.074616,0.134610,0.256021,0.499479", \ - "0.023024,0.033097,0.046434,0.074921,0.134748,0.256063,0.499499"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.203286,0.217297,0.232679,0.262665,0.324465,0.450480,0.704050", \ - "0.207988,0.222000,0.237390,0.267371,0.329162,0.455188,0.708764", \ - "0.226074,0.240085,0.255472,0.285440,0.347259,0.473273,0.726873", \ - "0.262721,0.276744,0.292130,0.322120,0.383939,0.509989,0.763592", \ - "0.319744,0.333604,0.349072,0.378672,0.440313,0.566258,0.819869", \ - "0.390322,0.403570,0.418135,0.447167,0.508061,0.633474,0.886753", \ - "0.465506,0.478494,0.492386,0.520286,0.580190,0.704998,0.957894"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020980,0.031540,0.045349,0.074419,0.134567,0.256022,0.499504", \ - "0.020974,0.031540,0.045351,0.074431,0.134554,0.256026,0.499506", \ - "0.020983,0.031540,0.045351,0.074412,0.134554,0.256022,0.499484", \ - "0.020997,0.031547,0.045360,0.074408,0.134561,0.256027,0.499485", \ - "0.021095,0.031627,0.045411,0.074438,0.134572,0.256026,0.499503", \ - "0.021838,0.032157,0.045781,0.074625,0.134624,0.256020,0.499479", \ - "0.023025,0.033097,0.046431,0.074922,0.134756,0.256061,0.499483"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.202802,0.216742,0.232105,0.262089,0.323921,0.449978,0.703617", \ - "0.207509,0.221445,0.236809,0.266804,0.328630,0.454690,0.708326", \ - "0.225596,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ - "0.262236,0.276210,0.291514,0.321519,0.383365,0.509437,0.763072", \ - "0.319364,0.333131,0.348506,0.378151,0.439907,0.565879,0.819456", \ - "0.389868,0.403122,0.417768,0.446806,0.507747,0.633204,0.886512", \ - "0.465513,0.478302,0.492243,0.519803,0.579680,0.704560,0.957984"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020864,0.031449,0.045281,0.074361,0.134506,0.256048,0.499469", \ - "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499492", \ - "0.020862,0.031452,0.045283,0.074378,0.134520,0.256035,0.499502", \ - "0.020871,0.031460,0.045288,0.074372,0.134504,0.256040,0.499480", \ - "0.020965,0.031537,0.045348,0.074379,0.134534,0.256035,0.499476", \ - "0.021690,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ - "0.022803,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.202802,0.216742,0.232105,0.262089,0.323921,0.449978,0.703617", \ - "0.207509,0.221445,0.236809,0.266804,0.328630,0.454690,0.708326", \ - "0.225595,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ - "0.262235,0.276210,0.291514,0.321519,0.383365,0.509437,0.763072", \ - "0.319364,0.333131,0.348506,0.378131,0.439907,0.565879,0.819456", \ - "0.389868,0.403122,0.417768,0.446806,0.507747,0.633204,0.886512", \ - "0.465513,0.478302,0.492243,0.519803,0.579680,0.704560,0.957984"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020864,0.031449,0.045281,0.074361,0.134507,0.256048,0.499470", \ - "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499492", \ - "0.020862,0.031452,0.045283,0.074378,0.134520,0.256035,0.499502", \ - "0.020872,0.031460,0.045288,0.074372,0.134504,0.256040,0.499480", \ - "0.020965,0.031537,0.045348,0.074378,0.134534,0.256035,0.499476", \ - "0.021690,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ - "0.022803,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.202802,0.216742,0.232105,0.262089,0.323921,0.449978,0.703617", \ - "0.207509,0.221445,0.236809,0.266804,0.328630,0.454690,0.708322", \ - "0.225595,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ - "0.262236,0.276210,0.291509,0.321519,0.383365,0.509437,0.763072", \ - "0.319364,0.333131,0.348506,0.378131,0.439907,0.565879,0.819456", \ - "0.389868,0.403122,0.417768,0.446806,0.507747,0.633204,0.886512", \ - "0.465513,0.478302,0.492243,0.519803,0.579680,0.704560,0.957984"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020864,0.031449,0.045281,0.074361,0.134507,0.256048,0.499470", \ - "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499455", \ - "0.020862,0.031452,0.045283,0.074378,0.134520,0.256035,0.499502", \ - "0.020871,0.031460,0.045287,0.074372,0.134504,0.256040,0.499480", \ - "0.020965,0.031537,0.045348,0.074378,0.134534,0.256035,0.499476", \ - "0.021690,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ - "0.022803,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.202802,0.216742,0.232105,0.262089,0.323919,0.449978,0.703617", \ - "0.207509,0.221446,0.236809,0.266804,0.328630,0.454690,0.708326", \ - "0.225594,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ - "0.262235,0.276194,0.291509,0.321519,0.383365,0.509437,0.763072", \ - "0.319364,0.333131,0.348506,0.378151,0.439907,0.565879,0.819456", \ - "0.389864,0.403122,0.417768,0.446806,0.507747,0.633204,0.886510", \ - "0.465512,0.478301,0.492242,0.519803,0.579680,0.704560,0.957983"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020864,0.031449,0.045281,0.074361,0.134505,0.256048,0.499469", \ - "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499489", \ - "0.020864,0.031452,0.045283,0.074378,0.134520,0.256035,0.499488", \ - "0.020872,0.031460,0.045287,0.074372,0.134504,0.256040,0.499480", \ - "0.020965,0.031537,0.045348,0.074379,0.134534,0.256035,0.499476", \ - "0.021684,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ - "0.022804,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.202802,0.216742,0.232105,0.262089,0.323919,0.449978,0.703617", \ - "0.207509,0.221444,0.236809,0.266804,0.328630,0.454690,0.708322", \ - "0.225594,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ - "0.262235,0.276189,0.291514,0.321519,0.383365,0.509437,0.763072", \ - "0.319364,0.333131,0.348506,0.378151,0.439907,0.565879,0.819456", \ - "0.389864,0.403122,0.417768,0.446806,0.507747,0.633204,0.886510", \ - "0.465511,0.478301,0.492242,0.519803,0.579680,0.704560,0.957983"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020864,0.031449,0.045281,0.074361,0.134505,0.256048,0.499469", \ - "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499500", \ - "0.020864,0.031452,0.045283,0.074378,0.134520,0.256035,0.499488", \ - "0.020872,0.031461,0.045288,0.074372,0.134504,0.256040,0.499480", \ - "0.020965,0.031537,0.045348,0.074379,0.134534,0.256035,0.499476", \ - "0.021684,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ - "0.022804,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.202802,0.216742,0.232105,0.262089,0.323919,0.449978,0.703617", \ - "0.207509,0.221444,0.236809,0.266804,0.328630,0.454690,0.708326", \ - "0.225594,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ - "0.262236,0.276194,0.291514,0.321519,0.383365,0.509437,0.763072", \ - "0.319364,0.333131,0.348506,0.378151,0.439907,0.565879,0.819456", \ - "0.389864,0.403122,0.417768,0.446806,0.507747,0.633204,0.886510", \ - "0.465511,0.478301,0.492242,0.519803,0.579680,0.704560,0.957983"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020864,0.031449,0.045281,0.074361,0.134505,0.256048,0.499469", \ - "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499489", \ - "0.020864,0.031452,0.045283,0.074378,0.134520,0.256035,0.499488", \ - "0.020871,0.031460,0.045288,0.074372,0.134504,0.256040,0.499480", \ - "0.020965,0.031537,0.045348,0.074379,0.134534,0.256035,0.499476", \ - "0.021684,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ - "0.022804,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.202802,0.216742,0.232105,0.262089,0.323918,0.449978,0.703617", \ - "0.207509,0.221445,0.236809,0.266804,0.328630,0.454690,0.708322", \ - "0.225595,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ - "0.262236,0.276210,0.291514,0.321519,0.383365,0.509437,0.763072", \ - "0.319364,0.333131,0.348506,0.378131,0.439907,0.565879,0.819456", \ - "0.389868,0.403122,0.417768,0.446806,0.507747,0.633204,0.886512", \ - "0.465513,0.478302,0.492243,0.519803,0.579680,0.704560,0.957984"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020864,0.031449,0.045281,0.074360,0.134506,0.256048,0.499470", \ - "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499455", \ - "0.020862,0.031452,0.045283,0.074378,0.134520,0.256035,0.499502", \ - "0.020871,0.031460,0.045288,0.074372,0.134504,0.256040,0.499480", \ - "0.020965,0.031537,0.045348,0.074378,0.134534,0.256035,0.499476", \ - "0.021690,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ - "0.022803,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.202802,0.216742,0.232105,0.262089,0.323921,0.449978,0.703617", \ - "0.207509,0.221446,0.236809,0.266804,0.328630,0.454690,0.708326", \ - "0.225594,0.239527,0.254891,0.284886,0.346711,0.472770,0.726400", \ - "0.262235,0.276189,0.291509,0.321519,0.383365,0.509437,0.763072", \ - "0.319364,0.333131,0.348506,0.378151,0.439907,0.565879,0.819456", \ - "0.389864,0.403122,0.417768,0.446806,0.507747,0.633204,0.886510", \ - "0.465512,0.478301,0.492242,0.519803,0.579680,0.704560,0.957983"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.020864,0.031449,0.045281,0.074360,0.134506,0.256048,0.499469", \ - "0.020861,0.031451,0.045282,0.074368,0.134516,0.256022,0.499489", \ - "0.020864,0.031452,0.045283,0.074378,0.134520,0.256035,0.499488", \ - "0.020872,0.031461,0.045287,0.074372,0.134504,0.256040,0.499480", \ - "0.020965,0.031537,0.045348,0.074379,0.134534,0.256035,0.499476", \ - "0.021684,0.032042,0.045695,0.074574,0.134587,0.256033,0.499479", \ - "0.022804,0.032923,0.046301,0.074836,0.134698,0.256091,0.499509"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.171487,4.233866,4.276209,4.452217,4.516079,4.539112,4.558066", \ - "4.163280,4.228727,4.269446,4.442556,4.514300,4.539555,4.533593", \ - "4.141071,4.207705,4.249730,4.424964,4.474826,4.519013,4.512684", \ - "4.134666,4.199073,4.239616,4.414842,4.477675,4.502195,4.510642", \ - "4.159024,4.222851,4.260579,4.436421,4.498620,4.531937,4.519356", \ - "4.212223,4.278977,4.321586,4.495987,4.543839,4.586596,4.605401", \ - "4.338793,4.399750,4.443254,4.614579,4.669643,4.693952,4.707855"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.231473,4.297990,4.340152,4.381494,4.414370,4.434614,4.437120", \ - "4.226627,4.290338,4.335671,4.376502,4.403314,4.424913,4.435167", \ - "4.209677,4.274060,4.314638,4.357581,4.361296,4.403573,4.417153", \ - "4.204489,4.270777,4.310253,4.350016,4.364494,4.407015,4.415496", \ - "4.232368,4.298966,4.339457,4.379555,4.405523,4.420276,4.435195", \ - "4.297814,4.362825,4.401334,4.446203,4.475551,4.495655,4.504596", \ - "4.412625,4.475306,4.517109,4.554484,4.573675,4.606480,4.613525"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.972841,3.135010,3.261772,3.417488,3.581301,3.767394,4.050537", \ - "2.951543,3.112508,3.235518,3.381211,3.563864,3.751187,4.025308", \ - "2.914344,3.075205,3.200206,3.365123,3.536251,3.715379,3.990832", \ - "2.952559,3.095192,3.205918,3.373013,3.547350,3.741866,4.017256", \ - "2.959184,3.110460,3.263943,3.435410,3.608485,3.814417,4.091750", \ - "3.003149,3.116096,3.241523,3.424481,3.676181,3.941614,4.227064", \ - "3.173783,3.242130,3.343178,3.507175,3.728736,4.017882,4.399169"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.784003,2.056707,2.262797,2.429436,2.511806,2.521100,2.565928", \ - "1.783127,2.045478,2.260386,2.443979,2.483011,2.561426,2.535806", \ - "1.745176,2.019805,2.222128,2.383481,2.476645,2.475020,2.522168", \ - "1.743722,1.991907,2.189365,2.342548,2.434348,2.451454,2.501588", \ - "1.808866,2.026881,2.179513,2.336513,2.421668,2.443187,2.499976", \ - "1.912120,2.110280,2.283045,2.389791,2.438869,2.483707,2.523542", \ - "2.034657,2.254212,2.419886,2.566471,2.597139,2.625135,2.615586"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.301639,3.421207,3.483682,3.543864,3.585787,3.629872,3.657685", \ - "3.289157,3.408487,3.476683,3.523589,3.580995,3.624471,3.618197", \ - "3.277378,3.391544,3.466495,3.521314,3.556514,3.602309,3.628857", \ - "3.289190,3.403323,3.469387,3.518701,3.572695,3.619632,3.614717", \ - "3.345817,3.451114,3.511014,3.549764,3.605922,3.651151,3.681577", \ - "3.440018,3.537438,3.589816,3.634657,3.665813,3.725997,3.744972", \ - "3.600477,3.674493,3.716663,3.740493,3.801271,3.809557,3.841165"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.972862,3.135014,3.261774,3.417487,3.581294,3.767380,4.050531", \ - "2.951544,3.112511,3.235519,3.381205,3.563864,3.751185,4.025304", \ - "2.914342,3.075205,3.200204,3.365125,3.536251,3.715365,3.990811", \ - "2.952561,3.095194,3.205937,3.373014,3.547350,3.741865,4.017247", \ - "2.959187,3.110461,3.263944,3.435410,3.608478,3.814413,4.091735", \ - "3.003152,3.116098,3.241525,3.424481,3.676183,3.941615,4.227058", \ - "3.173785,3.242128,3.343176,3.507175,3.728733,4.017883,4.399161"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.784001,2.056708,2.262793,2.429425,2.511796,2.521081,2.565919", \ - "1.783129,2.045479,2.260387,2.443978,2.482996,2.561425,2.535791", \ - "1.745174,2.019806,2.222127,2.383473,2.476640,2.475002,2.522157", \ - "1.743722,1.991906,2.189363,2.342540,2.434343,2.451440,2.501583", \ - "1.808863,2.026881,2.179732,2.336434,2.421664,2.443175,2.499971", \ - "1.912120,2.110278,2.283044,2.389781,2.438857,2.483696,2.523531", \ - "2.034656,2.254213,2.419886,2.566464,2.597132,2.625132,2.614236"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.300732,3.420292,3.482766,3.542947,3.585513,3.628992,3.654440", \ - "3.288255,3.407581,3.475774,3.522682,3.580150,3.623568,3.617413", \ - "3.276514,3.390833,3.465617,3.520437,3.555662,3.601415,3.628116", \ - "3.288381,3.402504,3.468580,3.517875,3.571859,3.618785,3.614131", \ - "3.345103,3.450390,3.510282,3.549092,3.605153,3.650336,3.680876", \ - "3.439462,3.537190,3.589190,3.633986,3.665132,3.725773,3.744332", \ - "3.600026,3.674024,3.716132,3.739578,3.800686,3.809089,3.840519"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.972838,3.135007,3.261769,3.417483,3.581303,3.767407,4.050543", \ - "2.951539,3.112501,3.235511,3.381211,3.563859,3.751185,4.025313", \ - "2.914342,3.075200,3.200200,3.365116,3.536245,3.715390,3.990854", \ - "2.952554,3.095185,3.205936,3.373792,3.547345,3.741864,4.017264", \ - "2.959178,3.110455,3.263938,3.435405,3.608489,3.814418,4.091765", \ - "3.003144,3.116092,3.241517,3.424477,3.676176,3.941610,4.227069", \ - "3.173780,3.242130,3.343177,3.507174,3.728737,4.017879,4.399177"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.783998,2.056699,2.262793,2.429443,2.511812,2.521117,2.565936", \ - "1.783120,2.045470,2.260379,2.443976,2.483025,2.561426,2.535822", \ - "1.745174,2.019797,2.222123,2.383487,2.476647,2.475039,2.522179", \ - "1.743722,1.991904,2.189362,2.342554,2.434349,2.451467,2.501593", \ - "1.808866,2.026878,2.179731,2.336441,2.421668,2.443198,2.499980", \ - "1.912116,2.110280,2.283042,2.389799,2.438878,2.483716,2.523552", \ - "2.034656,2.254207,2.419882,2.566476,2.597144,2.625135,2.615599"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.301089,3.420758,3.483195,3.543631,3.584868,3.629667,3.655096", \ - "3.288551,3.408044,3.476182,3.523111,3.580566,3.624166,3.618127", \ - "3.276884,3.391067,3.466039,3.520857,3.556131,3.602044,3.628784", \ - "3.288717,3.402898,3.468941,3.518247,3.589900,3.619404,3.614803", \ - "3.345408,3.450708,3.510628,3.549530,3.605588,3.650890,3.681582", \ - "3.439799,3.535318,3.588844,3.634462,3.665621,3.726573,3.744995", \ - "3.600278,3.674274,3.713441,3.739922,3.801086,3.809517,3.841222"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.952929,4.128465,4.253583,4.423206,4.586384,4.758740,5.037648", \ - "3.898344,4.070381,4.184720,4.360718,4.527065,4.706396,4.973221", \ - "3.854262,4.004286,4.141256,4.298717,4.468376,4.643063,4.917673", \ - "3.904202,4.057298,4.179482,4.346367,4.518455,4.708764,4.983364", \ - "3.987814,4.162887,4.322419,4.487431,4.667894,4.869012,5.144682", \ - "4.164155,4.302346,4.432370,4.628321,4.874584,5.146336,5.429493", \ - "4.522194,4.631878,4.746843,4.930204,5.160094,5.446217,5.827731"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.384314,2.662129,2.877706,3.061138,3.116056,3.166908,3.162772", \ - "2.369660,2.630165,2.839769,3.024814,3.072054,3.144588,3.119920", \ - "2.315269,2.592262,2.802441,2.979322,3.030794,3.037639,3.084892", \ - "2.335276,2.583045,2.785335,2.950570,3.012814,3.024249,3.072590", \ - "2.458209,2.683930,2.848181,2.990661,3.066868,3.080414,3.133956", \ - "2.680308,2.903755,3.072364,3.214616,3.220381,3.228101,3.288655", \ - "2.986029,3.219654,3.397977,3.550473,3.588390,3.606829,3.589099"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.824188,3.943914,4.006326,4.066277,4.105977,4.148517,4.173752", \ - "3.793764,3.912794,3.981255,4.040668,4.083065,4.124944,4.151297", \ - "3.765540,3.882794,3.956656,4.011226,4.045577,4.088692,4.117599", \ - "3.788549,3.902408,3.975334,4.023800,4.077773,4.121069,4.114148", \ - "3.894460,3.992699,4.060894,4.098820,4.153514,4.198332,4.227975", \ - "4.069774,4.160582,4.215707,4.259866,4.290051,4.333767,4.362667", \ - "4.326424,4.408980,4.445845,4.474106,4.534780,4.541939,4.570830"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.952912,4.128454,4.253589,4.420187,4.586313,4.758712,5.037636", \ - "3.898329,4.070375,4.184708,4.360720,4.527082,4.706393,4.973203", \ - "3.854250,4.004276,4.141252,4.298722,4.468376,4.643040,4.917646", \ - "3.904189,4.057290,4.179474,4.346363,4.518455,4.708762,4.983360", \ - "3.987802,4.162879,4.322405,4.487424,4.667882,4.869003,5.144655", \ - "4.164144,4.302338,4.432362,4.628315,4.874575,5.146335,5.429482", \ - "4.522182,4.631867,4.746831,4.930202,5.160092,5.446215,5.827715"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.384303,2.662118,2.877696,3.061129,3.116038,3.166900,3.162752", \ - "2.369655,2.630152,2.839754,3.024800,3.072026,3.144583,3.119890", \ - "2.315257,2.592253,2.802433,2.979317,3.030775,3.037608,3.084871", \ - "2.335266,2.583031,2.785325,2.950564,3.012803,3.024223,3.072577", \ - "2.458197,2.683921,2.848174,2.990645,3.066859,3.080391,3.133945", \ - "2.680300,2.903749,3.072358,3.214613,3.220356,3.228065,3.288632", \ - "2.986020,3.219648,3.397971,3.550456,3.588374,3.606823,3.589071"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.825292,3.945017,4.007388,4.067270,4.107257,4.149625,4.174205", \ - "3.794869,3.913965,3.982358,4.028081,4.084241,4.126105,4.152406", \ - "3.766587,3.883839,3.957687,4.012470,4.046712,4.089864,4.115462", \ - "3.789274,3.903402,3.976363,4.024869,4.078842,4.122137,4.115240", \ - "3.895343,3.993592,4.061796,4.099770,4.154573,4.199392,4.228999", \ - "4.070510,4.161334,4.216423,4.260675,4.290880,4.334792,4.363670", \ - "4.326798,4.409581,4.446417,4.474849,4.535532,4.542761,4.571752"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.952917,4.128457,4.253592,4.420189,4.586316,4.758718,5.037639", \ - "3.898334,4.070378,4.184712,4.360721,4.527082,4.706394,4.973207", \ - "3.854254,4.004280,4.141255,4.298725,4.468379,4.643045,4.917652", \ - "3.904194,4.057294,4.179478,4.346366,4.518457,4.708764,4.983361", \ - "3.987807,4.162883,4.322417,4.487427,4.667886,4.869006,5.144661", \ - "4.164149,4.302342,4.432366,4.628319,4.874579,5.146336,5.429485", \ - "4.522186,4.631871,4.746836,4.930204,5.160094,5.446217,5.827718"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.384308,2.662121,2.877698,3.061131,3.116043,3.166901,3.162757", \ - "2.369658,2.630155,2.839757,3.024803,3.072033,3.144585,3.119897", \ - "2.315262,2.592255,2.802435,2.979318,3.030780,3.037615,3.084876", \ - "2.335270,2.583035,2.785327,2.950565,3.012806,3.024229,3.072580", \ - "2.458201,2.683924,2.848175,2.990648,3.066861,3.080397,3.133948", \ - "2.680303,2.903751,3.072359,3.214614,3.220362,3.228073,3.288637", \ - "2.986022,3.219650,3.397972,3.550460,3.588378,3.606825,3.587618"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.825300,3.945012,4.007309,4.067189,4.107342,4.149647,4.175076", \ - "3.794873,3.914041,3.982389,4.046446,4.084242,4.126110,4.152421", \ - "3.766592,3.883757,3.957696,4.012303,4.076124,4.089776,4.118741", \ - "3.789279,3.903140,3.976363,4.024869,4.078859,4.122157,4.115252", \ - "3.895346,3.993596,4.061799,4.099716,4.154585,4.199407,4.229019", \ - "4.070514,4.161338,4.216426,4.260455,4.290899,4.334713,4.363680", \ - "4.327003,4.409585,4.446419,4.474808,4.535545,4.542777,4.571760"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.972858,3.135007,3.261774,3.417481,3.581291,3.767388,4.050534", \ - "2.951538,3.112502,3.235511,3.381202,3.563858,3.751182,4.025306", \ - "2.914338,3.075198,3.200200,3.365116,3.536245,3.715372,3.990826", \ - "2.952554,3.095186,3.205911,3.373792,3.547344,3.741862,4.017252", \ - "2.959179,3.110454,3.263938,3.435404,3.608478,3.814412,4.091745", \ - "3.003145,3.116092,3.241519,3.424476,3.676177,3.941611,4.227061", \ - "3.173780,3.242126,3.343174,3.507171,3.728732,4.017879,4.399165"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.783995,2.056698,2.262789,2.429429,2.511799,2.521092,2.565923", \ - "1.783121,2.045470,2.260380,2.443975,2.483004,2.561423,2.535801", \ - "1.745170,2.019798,2.222122,2.383475,2.476640,2.475014,2.522164", \ - "1.743720,1.991901,2.189359,2.342542,2.434342,2.451448,2.501585", \ - "1.808862,2.026877,2.179730,2.336508,2.421662,2.443182,2.499973", \ - "1.912116,2.110275,2.283040,2.389785,2.438861,2.483702,2.523537", \ - "2.034653,2.254208,2.419881,2.566466,2.597134,2.625131,2.614244"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.300046,3.419644,3.482138,3.542477,3.583437,3.628317,3.653585", \ - "3.287512,3.406934,3.475114,3.521971,3.579334,3.622749,3.616616", \ - "3.275847,3.390048,3.464958,3.519710,3.554879,3.600632,3.627295", \ - "3.287705,3.401864,3.467875,3.517119,3.571115,3.618045,3.613329", \ - "3.344444,3.449715,3.509608,3.548408,3.604427,3.649551,3.680143", \ - "3.438919,3.534407,3.587938,3.633438,3.664468,3.725346,3.743620", \ - "3.599480,3.673488,3.715759,3.739402,3.800109,3.808391,3.839844"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.952934,4.128468,4.253586,4.423208,4.586389,4.758748,5.037652", \ - "3.898348,4.070383,4.184724,4.360719,4.527065,4.706398,4.973226", \ - "3.854265,4.004290,4.141258,4.298719,4.468378,4.643069,4.917680", \ - "3.904206,4.057301,4.179449,4.346369,4.518457,4.708765,4.983365", \ - "3.987818,4.162890,4.322421,4.487434,4.667898,4.869015,5.144689", \ - "4.164159,4.302349,4.432374,4.628323,4.874587,5.146337,5.429497", \ - "4.522198,4.631881,4.746847,4.930206,5.160095,5.446218,5.827735"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.384317,2.662131,2.877708,3.061140,3.116061,3.166910,3.162777", \ - "2.369662,2.630167,2.839772,3.024817,3.072062,3.144589,3.119928", \ - "2.315273,2.592264,2.802442,2.979324,3.030799,3.037648,3.084898", \ - "2.335278,2.583048,2.785337,2.950572,3.012817,3.024255,3.072593", \ - "2.458212,2.683932,2.848182,2.990665,3.066870,3.080420,3.133960", \ - "2.680310,2.903756,3.072365,3.214617,3.220388,3.228110,3.288661", \ - "2.986031,3.219655,3.397978,3.550478,3.588395,3.606831,3.589107"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.825283,3.945154,4.015907,4.067149,4.107306,4.149673,4.174250", \ - "3.794872,3.913959,3.982344,4.028477,4.084190,4.126114,4.152460", \ - "3.766069,3.883741,3.957737,4.012496,4.046730,4.089908,4.118756", \ - "3.789293,3.903406,3.976369,4.024863,4.078854,4.122171,4.115279", \ - "3.895363,3.993618,4.061828,4.099658,4.154562,4.199405,4.229181", \ - "4.070531,4.161358,4.216442,4.260452,4.290913,4.334849,4.363704", \ - "4.326836,4.409620,4.446440,4.474865,4.535551,4.542824,4.571793"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.733965,3.886352,3.984721,4.115618,4.210536,4.261079,4.272047", \ - "3.679110,3.829663,3.938510,4.054578,4.153061,4.200842,4.211529", \ - "3.638864,3.767729,3.880307,4.002460,4.097733,4.144185,4.161465", \ - "3.685998,3.811595,3.919008,4.035142,4.146369,4.202983,4.218224", \ - "3.765985,3.911362,4.051372,4.178842,4.297412,4.358038,4.381117", \ - "3.951147,4.063512,4.167490,4.314013,4.485504,4.627752,4.656480", \ - "4.296721,4.379119,4.459974,4.599917,4.766032,4.933368,5.064036"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.910665,1.993579,2.052171,2.086347,2.151662,2.160336,2.201595", \ - "1.880653,1.973387,2.016764,2.050626,2.131166,2.115406,2.155751", \ - "1.837028,1.911400,1.970239,2.001714,2.064247,2.076003,2.116150", \ - "1.823805,1.899470,1.940513,1.986386,2.039958,2.055721,2.100476", \ - "1.956284,2.008029,2.012372,2.039267,2.089786,2.109983,2.157612", \ - "2.173179,2.254047,2.267931,2.246818,2.242623,2.260650,2.306506", \ - "2.493198,2.601518,2.627972,2.631208,2.606852,2.621802,2.602659"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.648837,4.702496,4.751321,4.809736,4.844294,4.900519,4.903706", \ - "4.617499,4.663875,4.724815,4.771578,4.819328,4.841557,4.879009", \ - "4.591264,4.642459,4.688128,4.808287,4.805026,4.840977,4.843971", \ - "4.620576,4.659393,4.779697,4.821327,4.821631,4.864179,4.870779", \ - "4.719375,4.808558,4.864301,4.843093,4.901163,4.943184,4.950983", \ - "4.835643,4.912840,4.954822,4.981246,5.044855,5.068103,5.105498", \ - "5.149778,5.208922,5.183193,5.221472,5.251787,5.288536,5.293214"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.733965,3.886354,3.984717,4.115617,4.210532,4.261076,4.272040", \ - "3.679112,3.829665,3.938511,4.054577,4.153060,4.200840,4.211518", \ - "3.638868,3.767729,3.880308,4.002460,4.097730,4.144170,4.161457", \ - "3.686001,3.812403,3.919007,4.040479,4.146370,4.202981,4.218217", \ - "3.765986,3.911362,4.051373,4.178839,4.297411,4.358029,4.381101", \ - "3.951150,4.063514,4.167492,4.314013,4.485496,4.627751,4.656474", \ - "4.296722,4.379120,4.459973,4.599916,4.766027,4.933368,5.064028"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.910665,1.993577,2.052171,2.086340,2.151659,2.160324,2.201590", \ - "1.880653,1.973388,2.016761,2.050616,2.131165,2.115390,2.155742", \ - "1.837029,1.911397,1.970240,2.001707,2.064244,2.075991,2.116145", \ - "1.823804,1.899468,1.940511,1.986384,2.039959,2.055712,2.100473", \ - "1.956285,2.008028,2.012370,2.039263,2.089786,2.109974,2.157610", \ - "2.173178,2.254046,2.267929,2.246811,2.242614,2.260637,2.306329", \ - "2.493199,2.601519,2.627967,2.631202,2.606845,2.621801,2.602645"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.648045,4.701658,4.750514,4.811986,4.843499,4.899651,4.902166", \ - "4.616715,4.663079,4.724007,4.770777,4.818509,4.844377,4.878207", \ - "4.590496,4.641698,4.687351,4.807422,4.804226,4.840179,4.843199", \ - "4.623994,4.658606,4.778965,4.820612,4.820873,4.863403,4.870025", \ - "4.718753,4.807921,4.863653,4.842426,4.900471,4.942471,4.950248", \ - "4.835141,4.912242,4.954292,4.980745,5.044300,5.067577,5.104845", \ - "5.149423,5.208549,5.185449,5.221049,5.251306,5.287989,5.292615"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.733946,3.886332,3.984708,4.115605,4.210537,4.261077,4.272055", \ - "3.679091,3.829643,3.938491,4.054565,4.153052,4.200842,4.211540", \ - "3.638844,3.767712,3.880290,4.002445,4.097727,4.144198,4.161473", \ - "3.685981,3.811250,3.918994,4.040467,4.146361,4.202982,4.218234", \ - "3.765972,3.911349,4.051359,4.178835,4.297404,4.358045,4.381135", \ - "3.951135,4.063500,4.167479,4.314006,4.485508,4.627749,4.656487", \ - "4.296713,4.379110,4.459969,4.599912,4.766032,4.933366,5.064045"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.910663,1.993579,2.052167,2.086351,2.151662,2.160346,2.201598", \ - "1.880653,1.973383,2.016763,2.050633,2.131163,2.115421,2.155760", \ - "1.837025,1.911401,1.970236,2.001717,2.064247,2.076014,2.116156", \ - "1.823806,1.899470,1.940513,1.986384,2.039955,2.055728,2.100478", \ - "1.956281,2.008028,2.012372,2.039267,2.089782,2.109991,2.157613", \ - "2.173179,2.254044,2.267930,2.246822,2.242631,2.260663,2.306345", \ - "2.493197,2.601514,2.627974,2.631211,2.606856,2.621800,2.602672"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.648424,4.697170,4.750847,4.809226,4.843742,4.900460,4.904066", \ - "4.617070,4.663421,4.724326,4.770921,4.818745,4.840992,4.877537", \ - "4.590873,4.641891,4.687693,4.808609,4.804492,4.840464,4.843492", \ - "4.620240,4.658219,4.779278,4.820953,4.821148,4.863682,4.870309", \ - "4.719104,4.808252,4.863969,4.842844,4.900721,4.942663,4.950575", \ - "4.835464,4.912522,4.954630,4.980299,5.044045,5.066365,5.105109", \ - "5.149671,5.208798,5.185499,5.221274,5.251532,5.288242,5.292866"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.734032,3.886438,3.984808,4.115693,4.210299,4.261297,4.272202", \ - "3.679188,3.829737,3.938584,4.054647,4.153029,4.200950,4.211688", \ - "3.638943,3.767819,3.880390,4.002568,4.097847,4.144348,4.161636", \ - "3.691247,3.811687,3.919107,4.034811,4.146401,4.203095,4.218366", \ - "3.766092,3.911471,4.051475,4.178952,4.297520,4.358188,4.381311", \ - "3.951149,4.063597,4.167599,4.314119,4.485638,4.627868,4.656637", \ - "4.296807,4.379203,4.460110,4.600048,4.766178,4.933509,5.064220"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.910598,1.993495,2.052061,2.086239,2.151507,2.160196,2.201447", \ - "1.880593,1.973306,2.016664,2.050528,2.131023,2.115260,2.155592", \ - "1.837053,1.911317,1.970124,2.001596,2.064092,2.075850,2.115977", \ - "1.823750,1.899394,1.940420,1.986266,2.039799,2.055567,2.100299", \ - "1.956218,2.008004,2.012276,2.039166,2.089626,2.109830,2.157434", \ - "2.173118,2.253963,2.267838,2.246719,2.242503,2.260538,2.306355", \ - "2.493135,2.601422,2.627891,2.631110,2.606728,2.621638,2.602535"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.647100,4.700472,4.749184,4.807194,4.841272,4.896319,4.898282", \ - "4.615714,4.663074,4.722548,4.767116,4.816032,4.837712,4.872562", \ - "4.591986,4.640529,4.686004,4.798341,4.801831,4.836824,4.838303", \ - "4.617864,4.656825,4.777497,4.818799,4.818410,4.859966,4.865421", \ - "4.717565,4.806596,4.862110,4.840576,4.897888,4.939116,4.945429", \ - "4.833813,4.910808,4.952674,4.979586,5.045037,5.073123,5.099976", \ - "5.147496,5.206949,5.185132,5.218944,5.248611,5.284416,5.288583"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.734018,3.886430,3.984794,4.115688,4.210291,4.261293,4.272191", \ - "3.679176,3.829730,3.938579,4.054640,4.153026,4.200946,4.211670", \ - "3.638934,3.767808,3.880383,4.002564,4.097840,4.144324,4.161624", \ - "3.686071,3.811675,3.919098,4.035232,4.146399,4.203092,4.218352", \ - "3.766079,3.911459,4.051468,4.178942,4.297518,4.358172,4.381285", \ - "3.951141,4.063591,4.167593,4.314112,4.485621,4.627867,4.656626", \ - "4.296799,4.379197,4.460100,4.600041,4.766166,4.933507,5.064205"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.910593,1.993488,2.052058,2.086224,2.151500,2.160175,2.201437", \ - "1.880586,1.973303,2.016656,2.050509,2.131019,2.115231,2.155575", \ - "1.837049,1.911307,1.970122,2.001583,2.064084,2.075828,2.115965", \ - "1.823744,1.899386,1.940413,1.986260,2.039797,2.055549,2.100292", \ - "1.956215,2.007999,2.012269,2.039156,2.089624,2.109812,2.157427", \ - "2.173112,2.253959,2.267831,2.246704,2.242484,2.260514,2.306171", \ - "2.493130,2.601419,2.627880,2.631097,2.606714,2.621635,2.602510"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.648039,4.701428,4.750138,4.808200,4.842430,4.897438,4.899560", \ - "4.616641,4.664020,4.723520,4.768093,4.817095,4.838753,4.874541", \ - "4.592889,4.641446,4.686917,4.799320,4.802833,4.837831,4.839349", \ - "4.621048,4.657686,4.778394,4.819795,4.819366,4.860942,4.866445", \ - "4.718320,4.807364,4.862888,4.841410,4.898809,4.940067,4.946374", \ - "4.834420,4.911433,4.953329,4.980269,5.045805,5.073938,5.100915", \ - "5.147940,5.207418,5.185636,5.219518,5.249255,5.285129,5.289428"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.734023,3.886433,3.984798,4.115689,4.210293,4.261293,4.272193", \ - "3.679180,3.829733,3.938580,4.054642,4.153027,4.200947,4.211674", \ - "3.638938,3.767812,3.880385,4.002566,4.097841,4.144329,4.161626", \ - "3.686076,3.812526,3.919100,4.035235,4.146400,4.203093,4.218355", \ - "3.766084,3.911463,4.051471,4.178945,4.297518,4.358175,4.381290", \ - "3.951145,4.063594,4.167596,4.314114,4.485625,4.627868,4.656629", \ - "4.296802,4.379200,4.460103,4.600043,4.766169,4.933508,5.064208"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.910595,1.993490,2.052059,2.086228,2.151502,2.160179,2.201440", \ - "1.880588,1.973304,2.016658,2.050514,2.131020,2.115238,2.155579", \ - "1.837051,1.911310,1.970123,2.001586,2.064086,2.075833,2.115968", \ - "1.823746,1.899389,1.940415,1.986261,2.039798,2.055554,2.100294", \ - "1.956216,2.008000,2.012271,2.039159,2.089625,2.109816,2.157429", \ - "2.173114,2.253961,2.267833,2.246708,2.242488,2.260519,2.306174", \ - "2.493132,2.601420,2.627883,2.631101,2.606718,2.621636,2.602515"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.648043,4.701432,4.750138,4.809243,4.842292,4.897241,4.899573", \ - "4.616656,4.664027,4.723518,4.768110,4.817105,4.838771,4.874565", \ - "4.592895,4.641448,4.686926,4.799332,4.802842,4.837850,4.839367", \ - "4.621052,4.657691,4.778404,4.819733,4.819381,4.860960,4.866461", \ - "4.718322,4.807368,4.862898,4.841424,4.898815,4.940077,4.946388", \ - "4.834428,4.911512,4.953339,4.980281,5.045813,5.073950,5.100906", \ - "5.147947,5.207423,5.185639,5.219521,5.249252,5.285136,5.289423"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.733944,3.886332,3.984702,4.115603,4.210531,4.261073,4.272045", \ - "3.679091,3.829644,3.938492,4.054563,4.153051,4.200838,4.211526", \ - "3.638846,3.767710,3.880290,4.002445,4.097723,4.144179,4.161463", \ - "3.691152,3.812385,3.918993,4.035128,4.146361,4.202980,4.218223", \ - "3.765971,3.911348,4.051359,4.178830,4.297404,4.358033,4.381114", \ - "3.951136,4.063501,4.167480,4.314004,4.485496,4.627749,4.656479", \ - "4.296712,4.379110,4.459965,4.599910,4.766025,4.933366,5.064034"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.910663,1.993575,2.052167,2.086342,2.151657,2.160331,2.201591", \ - "1.880651,1.973384,2.016760,2.050620,2.131162,2.115400,2.155747", \ - "1.837026,1.911397,1.970236,2.001709,2.064242,2.075998,2.116147", \ - "1.823803,1.899467,1.940510,1.986381,2.039954,2.055716,2.100474", \ - "1.956282,2.008026,2.012368,2.039262,2.089782,2.109978,2.157610", \ - "2.173177,2.254044,2.267927,2.246812,2.242617,2.260645,2.306333", \ - "2.493196,2.601515,2.627967,2.631202,2.606847,2.621799,2.602653"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.647515,4.696227,4.749879,4.808172,4.842614,4.899608,4.901873", \ - "4.616183,4.662486,4.723355,4.769897,4.817615,4.839725,4.876107", \ - "4.589972,4.640954,4.686731,4.807569,4.803374,4.839206,4.842076", \ - "4.619347,4.657298,4.778325,4.819936,4.820046,4.862443,4.868911", \ - "4.718257,4.807376,4.863067,4.841826,4.899662,4.941475,4.949201", \ - "4.834712,4.911739,4.953818,4.979444,5.043083,5.065279,5.103802", \ - "5.149056,5.208151,5.182381,5.220526,5.250680,5.287234,5.291654"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.734036,3.886441,3.984812,4.115695,4.210302,4.261298,4.272205", \ - "3.679192,3.829739,3.938585,4.054648,4.153030,4.200951,4.211692", \ - "3.638946,3.767822,3.880392,4.002569,4.097848,4.144354,4.161639", \ - "3.691250,3.811690,3.919110,4.040558,4.146401,4.203096,4.218370", \ - "3.766096,3.911474,4.051477,4.178955,4.297521,4.358192,4.381319", \ - "3.951151,4.063599,4.167600,4.314121,4.485642,4.627869,4.656640", \ - "4.296810,4.379205,4.460112,4.600051,4.766181,4.933510,5.064224"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.910599,1.993497,2.052062,2.086243,2.151509,2.160202,2.201450", \ - "1.880595,1.973307,2.016666,2.050533,2.131024,2.115268,2.155597", \ - "1.837054,1.911319,1.970125,2.001600,2.064095,2.075856,2.115980", \ - "1.823752,1.899396,1.940423,1.986267,2.039800,2.055572,2.100301", \ - "1.956219,2.008005,2.012278,2.039169,2.089627,2.109835,2.157436", \ - "2.173120,2.253964,2.267840,2.246723,2.242508,2.260544,2.306191", \ - "2.493137,2.601423,2.627895,2.631114,2.606732,2.621639,2.602542"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.648038,4.701427,4.750154,4.808147,4.845404,4.897951,4.899398", \ - "4.616644,4.664020,4.723522,4.768098,4.817071,4.839598,4.873651", \ - "4.592893,4.641452,4.686942,4.799289,4.802844,4.837866,4.839401", \ - "4.621065,4.657702,4.778405,4.819637,4.819387,4.860978,4.866482", \ - "4.718344,4.807395,4.862912,4.841424,4.898804,4.940079,4.946459", \ - "4.834448,4.911465,4.953358,4.980315,5.045832,5.073994,5.100946", \ - "5.147978,5.207456,5.185662,5.219534,5.249270,5.285175,5.289478"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.950236,3.097875,3.228625,3.345502,3.409906,3.439045,3.445190", \ - "2.939206,3.081678,3.218811,3.349063,3.402763,3.409199,3.403316", \ - "2.928128,3.069656,3.194758,3.326669,3.383168,3.412353,3.419099", \ - "2.934982,3.072392,3.202691,3.314067,3.367295,3.407266,3.406568", \ - "2.986749,3.112568,3.226892,3.336441,3.391094,3.422683,3.431986", \ - "3.072084,3.187573,3.294825,3.404154,3.453565,3.482914,3.493558", \ - "3.161279,3.265097,3.390990,3.508578,3.556659,3.550539,3.564080"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.950231,3.097704,3.228441,3.345304,3.409665,3.438764,3.444878", \ - "2.939046,3.081505,3.218625,3.348838,3.402524,3.408873,3.402987", \ - "2.927968,3.069484,3.194575,3.326463,3.382940,3.412080,3.418795", \ - "2.934826,3.072225,3.202513,3.313865,3.367073,3.406999,3.406267", \ - "2.986605,3.112413,3.226727,3.336260,3.390875,3.422438,3.431680", \ - "3.071966,3.187444,3.294680,3.403996,3.453381,3.482681,3.493285", \ - "3.161197,3.265005,3.390889,3.508452,3.556516,3.550333,3.563828"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.952392,3.098291,3.229076,3.345991,3.410436,3.439619,3.445708", \ - "2.939601,3.082101,3.219260,3.349548,3.403303,3.409687,3.403853", \ - "2.928515,3.070067,3.195194,3.327147,3.383682,3.412903,3.419589", \ - "2.935346,3.072778,3.203101,3.314520,3.367782,3.407786,3.406594", \ - "2.987067,3.112907,3.227252,3.336833,3.391543,3.423143,3.432436", \ - "3.072319,3.187829,3.295108,3.404472,3.453918,3.483315,3.493925", \ - "3.161406,3.265245,3.391156,3.508788,3.556900,3.550854,3.564376"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.885970,3.032752,3.163582,3.279728,3.343564,3.372782,3.379979", \ - "2.876522,3.017949,3.154773,3.284475,3.337411,3.332720,3.373146", \ - "2.864983,3.006513,3.131595,3.262844,3.318533,3.347404,3.355130", \ - "2.870826,3.008562,3.135459,3.249720,3.301693,3.332284,3.341007", \ - "2.920804,3.049365,3.162559,3.272116,3.325324,3.355669,3.366337", \ - "3.013069,3.123797,3.231866,3.340984,3.389440,3.417786,3.428653", \ - "3.099944,3.203672,3.329391,3.449184,3.494845,3.488659,3.499238"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.886174,3.032916,3.163767,3.279779,3.343816,3.373024,3.380261", \ - "2.876675,3.018108,3.154944,3.284686,3.337634,3.332988,3.373396", \ - "2.865131,3.006663,3.131764,3.263028,3.318785,3.347651,3.355413", \ - "2.870965,3.008710,3.135617,3.249884,3.301929,3.332522,3.341277", \ - "2.920928,3.049497,3.162710,3.272299,3.325506,3.355921,3.366562", \ - "3.013173,3.123906,3.231972,3.341128,3.389634,3.417991,3.428898", \ - "3.100004,3.203734,3.329475,3.449279,3.495014,3.488828,3.499454"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.887145,3.033558,3.164462,3.280563,3.344715,3.374000,3.381377", \ - "2.877275,3.018743,3.155623,3.285464,3.338508,3.334003,3.374500", \ - "2.865719,3.007291,3.132440,3.263775,3.319670,3.348641,3.356511", \ - "2.871538,3.009315,3.136263,3.250595,3.302777,3.333477,3.342348", \ - "2.921452,3.050050,3.163308,3.272979,3.326273,3.356841,3.367590", \ - "3.013600,3.124363,3.232463,3.346191,3.390330,3.418816,3.429870", \ - "3.100281,3.204043,3.329832,3.449702,3.495575,3.489536,3.500338"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.949169,3.097710,3.228459,3.345313,3.409704,3.438765,3.444785", \ - "2.939063,3.081527,3.218649,3.348874,3.402548,3.408912,3.402913", \ - "2.927986,3.069505,3.194596,3.326488,3.382942,3.412071,3.418688", \ - "2.934842,3.072244,3.202533,3.313892,3.367072,3.406983,3.406150", \ - "2.986616,3.112427,3.226738,3.336263,3.390882,3.422393,3.431566", \ - "3.071964,3.187443,3.294685,3.403991,3.453352,3.482629,3.493128", \ - "3.161180,3.264989,3.390866,3.508432,3.556459,3.550260,3.563649"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.886375,3.033414,3.164303,3.280368,3.344476,3.373777,3.381079", \ - "2.877146,3.018608,3.155479,3.285272,3.338299,3.333730,3.374233", \ - "2.865592,3.007155,3.132290,3.263608,3.319425,3.348384,3.356209", \ - "2.871408,3.009180,3.136123,3.250442,3.302546,3.333237,3.342060", \ - "2.921327,3.049924,3.163165,3.272800,3.325781,3.356576,3.367348", \ - "3.013490,3.124250,3.232354,3.341554,3.390127,3.418598,3.429604", \ - "3.100211,3.203970,3.329736,3.449599,3.495393,3.489356,3.500103"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.833250,2.922009,2.983654,3.091064,3.346088,4.577258,4.914972", \ - "2.818229,2.911949,2.973407,3.094426,3.339504,4.571401,4.869813", \ - "2.808189,2.899703,2.964540,3.071167,3.319774,4.547847,4.887702", \ - "2.819091,2.900216,2.972806,3.062824,3.307946,4.536838,4.875817", \ - "2.886767,2.952152,3.013740,3.098756,3.356158,4.584748,4.907569", \ - "2.982148,3.049049,3.097223,3.180743,3.440634,4.664818,4.981119", \ - "3.089220,3.141068,3.196712,3.291780,3.533282,4.719802,5.061803"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.833092,2.921839,2.983470,3.090859,3.345851,4.576995,4.914643", \ - "2.818071,2.911779,2.973222,3.094262,3.339270,4.571129,4.869490", \ - "2.808032,2.899534,2.964360,3.070965,3.319541,4.547580,4.887401", \ - "2.819036,2.900050,2.972630,3.062570,3.307729,4.536575,4.875520", \ - "2.886625,2.951998,3.013578,3.099016,3.355945,4.584507,4.907267", \ - "2.982031,3.048922,3.097081,3.180587,3.440456,4.664589,4.980854", \ - "3.089122,3.140976,3.196612,3.291659,3.533144,4.719600,5.061557"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.833633,2.922417,2.984089,3.091554,3.346592,4.577827,4.915459", \ - "2.818612,2.912355,2.973840,3.094924,3.340022,4.571933,4.908679", \ - "2.808562,2.900098,2.964960,3.071619,3.320264,4.548379,4.888179", \ - "2.819446,2.900594,2.972852,3.063256,3.308415,4.537346,4.876272", \ - "2.887077,2.952440,3.014085,3.099567,3.356592,4.585194,4.908008", \ - "2.982381,3.049299,3.097500,3.181048,3.440976,4.665208,4.981474", \ - "3.089330,3.141212,3.196875,3.291981,3.533512,4.720104,5.062092"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.833184,2.922376,2.984067,3.091943,3.346805,4.578832,4.918447", \ - "2.818485,2.912257,2.973842,3.095417,3.340198,4.572994,4.876357", \ - "2.808344,2.900093,2.965010,3.071587,3.320036,4.549522,4.889240", \ - "2.819575,2.900054,2.973101,3.063574,3.308371,4.538654,4.879379", \ - "2.887248,2.952842,3.014431,3.099639,3.357262,4.586730,4.911227", \ - "2.982766,3.049853,3.098086,3.181793,3.441973,4.667026,4.984861", \ - "3.082408,3.142582,3.197726,3.292999,3.534890,4.722281,5.065634"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.833330,2.922530,2.984235,3.092147,3.347033,4.579070,4.918735", \ - "2.818626,2.911947,2.973997,3.095621,3.340396,4.573268,4.873992", \ - "2.808482,2.900241,2.965174,3.071765,3.320242,4.549751,4.889516", \ - "2.819702,2.902368,2.973228,3.063733,3.308559,4.538865,4.879644", \ - "2.887364,2.952966,3.014575,3.099815,3.357425,4.586960,4.911448", \ - "2.982866,3.049962,3.098191,3.181936,3.442150,4.667215,4.985093", \ - "3.082444,3.142717,3.197810,3.293095,3.535046,4.722438,5.065839"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.833921,2.923167,2.984915,3.092922,3.347911,4.580056,4.919811", \ - "2.819211,2.912579,2.974661,3.096386,3.341253,4.574258,4.877654", \ - "2.809063,2.900852,2.965840,3.072501,3.321113,4.550733,4.890583", \ - "2.820164,2.900781,2.973833,3.064434,3.309398,4.539818,4.880707", \ - "2.887877,2.953510,3.015170,3.100484,3.358181,4.587857,4.912468", \ - "2.983284,3.050412,3.098672,3.182498,3.442835,4.668024,4.986061", \ - "3.082737,3.143022,3.198164,3.293517,3.535605,4.723127,5.066721"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.833108,2.921858,2.983490,3.090900,3.345858,4.576981,4.914548", \ - "2.818089,2.911798,2.973247,3.094238,3.339286,4.571111,4.907764", \ - "2.808051,2.899554,2.964378,3.070978,3.319546,4.547562,4.887290", \ - "2.818954,2.900070,2.972647,3.062646,3.307725,4.536552,4.875400", \ - "2.886636,2.952012,3.013581,3.099013,3.355947,4.584456,4.907149", \ - "2.982030,3.048921,3.097084,3.180576,3.440422,4.664532,4.980689", \ - "3.089105,3.140958,3.196588,3.291632,3.533081,4.719519,5.061371"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.833799,2.923034,2.984772,3.092431,3.347723,4.579845,4.919500", \ - "2.819093,2.912910,2.974531,3.096213,3.341076,4.574001,4.877383", \ - "2.808943,2.900731,2.965695,3.072339,3.320926,4.550487,4.890284", \ - "2.820149,2.902830,2.973751,3.064229,3.309222,4.539590,4.880400", \ - "2.887761,2.953386,3.015031,3.100308,3.358020,4.587615,4.912206", \ - "2.983183,3.050304,3.098567,3.182353,3.442651,4.667822,4.985781", \ - "3.082656,3.142850,3.198070,3.293411,3.535432,4.722966,5.066483"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.564480; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.254007,0.266847,0.278260,0.295701,0.322790,0.366827,0.442368", \ - "0.259219,0.272053,0.283473,0.300906,0.327999,0.372041,0.447557", \ - "0.277096,0.289936,0.301351,0.318796,0.345868,0.389953,0.465451", \ - "0.302034,0.314837,0.326256,0.343676,0.370788,0.414849,0.490335", \ - "0.326752,0.339576,0.350849,0.368297,0.395308,0.439440,0.515020", \ - "0.349602,0.362397,0.373292,0.390585,0.418235,0.462293,0.537748", \ - "0.368340,0.381174,0.392473,0.410009,0.437077,0.481169,0.556656"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.246206,0.264745,0.283692,0.318119,0.383408,0.511574,0.766923", \ - "0.251462,0.270014,0.288982,0.323393,0.388680,0.516857,0.772209", \ - "0.269213,0.287754,0.306736,0.341147,0.406432,0.534620,0.789978", \ - "0.293082,0.311636,0.330663,0.365117,0.430386,0.558624,0.813984", \ - "0.316554,0.335123,0.354105,0.388500,0.453701,0.582069,0.837174", \ - "0.337317,0.355890,0.374854,0.409425,0.474957,0.603232,0.858517", \ - "0.354137,0.372492,0.391914,0.425793,0.491508,0.620188,0.875982"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018291,0.022972,0.027714,0.036096,0.051491,0.080053,0.137207", \ - "0.018293,0.022972,0.027713,0.036096,0.051491,0.080057,0.137186", \ - "0.018296,0.022973,0.027708,0.036098,0.051489,0.080052,0.137193", \ - "0.018294,0.022972,0.027709,0.036110,0.051483,0.080070,0.137199", \ - "0.018294,0.022981,0.027710,0.036106,0.051488,0.080067,0.137209", \ - "0.018294,0.022972,0.027707,0.036090,0.051488,0.080056,0.137192", \ - "0.018295,0.022979,0.027715,0.036100,0.051486,0.080060,0.137171"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021604,0.032425,0.046013,0.074399,0.133599,0.254787,0.499234", \ - "0.021605,0.032425,0.046011,0.074400,0.133602,0.254787,0.499235", \ - "0.021616,0.032434,0.046012,0.074403,0.133601,0.254789,0.499235", \ - "0.021625,0.032445,0.046017,0.074407,0.133601,0.254787,0.499237", \ - "0.021653,0.032458,0.046032,0.074415,0.133604,0.254790,0.499234", \ - "0.021658,0.032472,0.046044,0.074414,0.133614,0.254789,0.499238", \ - "0.021685,0.032493,0.046054,0.074430,0.133613,0.254785,0.499235"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.604979,0.625625,0.646989,0.682464,0.747667,0.875843,1.132172", \ - "0.608941,0.629626,0.651013,0.686428,0.751582,0.879929,1.136234", \ - "0.627350,0.648097,0.669427,0.705921,0.770105,0.898405,1.154710", \ - "0.660615,0.681201,0.704110,0.739009,0.803072,0.931583,1.187983", \ - "0.707706,0.730202,0.751440,0.785123,0.850423,0.978840,1.235344", \ - "0.770259,0.793397,0.814379,0.850034,0.915126,1.043242,1.299627", \ - "0.856923,0.879330,0.899470,0.934771,0.999744,1.127817,1.384011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031257,0.040973,0.052195,0.077163,0.134172,0.255421,0.500095", \ - "0.031263,0.040972,0.052195,0.077156,0.134168,0.255422,0.500081", \ - "0.031261,0.040970,0.052194,0.077157,0.134184,0.255416,0.500013", \ - "0.031262,0.040965,0.052192,0.077158,0.134166,0.255381,0.499941", \ - "0.031246,0.040955,0.052190,0.077157,0.134166,0.255386,0.500062", \ - "0.031231,0.040936,0.052178,0.077146,0.134163,0.255381,0.500093", \ - "0.031244,0.040974,0.052196,0.077163,0.134155,0.255364,0.500097"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.604979,0.625625,0.646989,0.682464,0.747652,0.875848,1.132221", \ - "0.608940,0.629626,0.651013,0.686428,0.751571,0.879932,1.136226", \ - "0.627350,0.648098,0.669428,0.705920,0.770042,0.898408,1.154696", \ - "0.660615,0.681201,0.704110,0.739008,0.803072,0.931584,1.187971", \ - "0.707706,0.730201,0.751440,0.785110,0.850424,0.978846,1.235331", \ - "0.770253,0.793393,0.814380,0.850037,0.915127,1.043230,1.299615", \ - "0.856923,0.879330,0.899519,0.934772,0.999748,1.127791,1.384011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031257,0.040973,0.052195,0.077163,0.134175,0.255421,0.500091", \ - "0.031264,0.040972,0.052195,0.077156,0.134167,0.255422,0.500081", \ - "0.031261,0.040970,0.052194,0.077157,0.134160,0.255416,0.500011", \ - "0.031262,0.040965,0.052192,0.077158,0.134166,0.255381,0.499941", \ - "0.031246,0.040955,0.052190,0.077157,0.134166,0.255386,0.500062", \ - "0.031231,0.040936,0.052178,0.077146,0.134163,0.255381,0.500092", \ - "0.031244,0.040974,0.052188,0.077163,0.134155,0.255364,0.500097"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.604993,0.625623,0.646995,0.682469,0.747632,0.875845,1.132209", \ - "0.608955,0.629625,0.651020,0.686435,0.751582,0.879923,1.136206", \ - "0.627363,0.648092,0.669432,0.705932,0.770048,0.898398,1.154686", \ - "0.660628,0.681204,0.704089,0.739020,0.803088,0.931574,1.187943", \ - "0.707718,0.730213,0.751451,0.785108,0.850425,0.978839,1.235314", \ - "0.770253,0.793392,0.814407,0.850023,0.915118,1.043224,1.299580", \ - "0.856927,0.879338,0.899459,0.934770,0.999744,1.127800,1.383982"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031258,0.040973,0.052195,0.077163,0.134161,0.255421,0.500088", \ - "0.031263,0.040973,0.052196,0.077156,0.134168,0.255422,0.500080", \ - "0.031262,0.040976,0.052194,0.077157,0.134160,0.255416,0.500011", \ - "0.031263,0.040966,0.052188,0.077159,0.134166,0.255381,0.499941", \ - "0.031247,0.040956,0.052190,0.077157,0.134166,0.255386,0.500062", \ - "0.031231,0.040937,0.052174,0.077146,0.134163,0.255381,0.500043", \ - "0.031244,0.040974,0.052196,0.077163,0.134155,0.255364,0.500096"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.604699,0.625365,0.646640,0.682190,0.747493,0.875862,1.132342", \ - "0.608665,0.629431,0.650618,0.686180,0.751507,0.879869,1.136303", \ - "0.627023,0.647813,0.669183,0.705614,0.769939,0.898316,1.154750", \ - "0.660349,0.680861,0.703778,0.738761,0.802913,0.931399,1.187946", \ - "0.707854,0.730117,0.751337,0.785202,0.850407,0.978867,1.235426", \ - "0.770488,0.793349,0.814518,0.850024,0.915172,1.043565,1.299937", \ - "0.857450,0.879596,0.899949,0.935257,1.000253,1.128361,1.384676"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031246,0.040952,0.052186,0.077150,0.134159,0.255421,0.500040", \ - "0.031241,0.040949,0.052177,0.077144,0.134161,0.255386,0.500000", \ - "0.031242,0.040950,0.052175,0.077150,0.134173,0.255423,0.500093", \ - "0.031250,0.040951,0.052175,0.077152,0.134159,0.255402,0.499963", \ - "0.031238,0.040939,0.052176,0.077141,0.134160,0.255361,0.500004", \ - "0.031229,0.040927,0.052168,0.077138,0.134162,0.255364,0.500089", \ - "0.031249,0.040956,0.052187,0.077158,0.134151,0.255368,0.500104"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.604698,0.625351,0.646721,0.682189,0.747489,0.875861,1.132280", \ - "0.608662,0.629423,0.650620,0.686249,0.751506,0.879869,1.136303", \ - "0.627024,0.647815,0.669180,0.705614,0.769940,0.898311,1.154751", \ - "0.660409,0.680952,0.703778,0.738761,0.802911,0.931398,1.187947", \ - "0.707854,0.730117,0.751337,0.785201,0.850401,0.978862,1.235426", \ - "0.770488,0.793348,0.814528,0.850024,0.915173,1.043543,1.299937", \ - "0.857450,0.879595,0.899958,0.935242,1.000252,1.128356,1.384677"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031246,0.040952,0.052183,0.077149,0.134160,0.255421,0.500104", \ - "0.031240,0.040950,0.052177,0.077151,0.134161,0.255387,0.500001", \ - "0.031242,0.040950,0.052175,0.077150,0.134174,0.255419,0.500093", \ - "0.031254,0.040947,0.052175,0.077152,0.134159,0.255402,0.499963", \ - "0.031238,0.040939,0.052176,0.077141,0.134160,0.255361,0.500004", \ - "0.031229,0.040927,0.052168,0.077138,0.134161,0.255364,0.500089", \ - "0.031249,0.040956,0.052187,0.077158,0.134151,0.255368,0.500104"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.604697,0.625349,0.646722,0.682203,0.747488,0.875859,1.132340", \ - "0.608662,0.629377,0.650615,0.686193,0.751506,0.879867,1.136300", \ - "0.627024,0.647794,0.669187,0.705666,0.769938,0.898319,1.154748", \ - "0.660409,0.680950,0.703779,0.738761,0.802908,0.931395,1.187944", \ - "0.707854,0.730117,0.751337,0.785211,0.850398,0.978859,1.235423", \ - "0.770488,0.793348,0.814528,0.850037,0.915171,1.043558,1.299935", \ - "0.857472,0.879638,0.899958,0.935249,1.000250,1.128354,1.384675"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031246,0.040952,0.052179,0.077149,0.134172,0.255421,0.500031", \ - "0.031240,0.040951,0.052177,0.077144,0.134161,0.255386,0.500000", \ - "0.031242,0.040958,0.052175,0.077153,0.134174,0.255423,0.500093", \ - "0.031254,0.040947,0.052175,0.077152,0.134159,0.255402,0.499963", \ - "0.031238,0.040939,0.052176,0.077141,0.134160,0.255361,0.500004", \ - "0.031229,0.040927,0.052168,0.077138,0.134161,0.255364,0.500089", \ - "0.031252,0.040958,0.052187,0.077158,0.134151,0.255368,0.500104"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.604992,0.625630,0.646990,0.682469,0.747662,0.875845,1.132210", \ - "0.608954,0.629631,0.651016,0.686434,0.751581,0.879933,1.136215", \ - "0.627362,0.648098,0.669432,0.705932,0.770105,0.898409,1.154686", \ - "0.660627,0.681204,0.704088,0.739019,0.803076,0.931579,1.187957", \ - "0.707717,0.730213,0.751450,0.785116,0.850425,0.978848,1.235314", \ - "0.770253,0.793392,0.814401,0.850027,0.915130,1.043234,1.299598", \ - "0.856905,0.879337,0.899465,0.934776,0.999744,1.127800,1.383995"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031258,0.040973,0.052195,0.077163,0.134175,0.255421,0.500089", \ - "0.031263,0.040972,0.052196,0.077156,0.134168,0.255422,0.500081", \ - "0.031262,0.040970,0.052194,0.077157,0.134184,0.255416,0.500011", \ - "0.031263,0.040966,0.052188,0.077159,0.134166,0.255381,0.499941", \ - "0.031247,0.040956,0.052190,0.077157,0.134166,0.255386,0.500062", \ - "0.031231,0.040937,0.052174,0.077146,0.134163,0.255381,0.500091", \ - "0.031246,0.040974,0.052196,0.077163,0.134155,0.255364,0.500097"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.604699,0.625352,0.646744,0.682211,0.747497,0.875863,1.132282", \ - "0.608664,0.629423,0.650622,0.686200,0.751514,0.879870,1.136304", \ - "0.627088,0.647817,0.669190,0.705614,0.769933,0.898313,1.154753", \ - "0.660409,0.680954,0.703784,0.738761,0.802913,0.931400,1.187949", \ - "0.707854,0.730117,0.751337,0.785224,0.850402,0.978864,1.235407", \ - "0.770490,0.793351,0.814531,0.850044,0.915175,1.043545,1.299939", \ - "0.857450,0.879638,0.900010,0.935243,1.000254,1.128357,1.384678"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031246,0.040952,0.052180,0.077149,0.134171,0.255421,0.500104", \ - "0.031241,0.040950,0.052177,0.077144,0.134161,0.255387,0.500001", \ - "0.031245,0.040950,0.052175,0.077150,0.134173,0.255419,0.500093", \ - "0.031254,0.040947,0.052179,0.077152,0.134159,0.255402,0.499963", \ - "0.031238,0.040939,0.052176,0.077141,0.134160,0.255361,0.500004", \ - "0.031229,0.040927,0.052168,0.077138,0.134161,0.255364,0.500089", \ - "0.031249,0.040958,0.052180,0.077158,0.134151,0.255368,0.500104"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.509341,0.527791,0.546573,0.580616,0.645355,0.773727,1.029912", \ - "0.513387,0.531871,0.550685,0.584688,0.649422,0.777751,1.033943", \ - "0.531660,0.550075,0.568904,0.602860,0.667575,0.795954,1.052133", \ - "0.566367,0.584699,0.603433,0.637411,0.702119,0.830487,1.086649", \ - "0.608366,0.626759,0.645513,0.679415,0.744174,0.872628,1.128873", \ - "0.654753,0.673049,0.691717,0.725618,0.790476,0.918907,1.175113", \ - "0.706334,0.724652,0.743070,0.776951,0.841629,0.970113,1.226281"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021361,0.031904,0.045203,0.073349,0.132880,0.254886,0.499779", \ - "0.021334,0.031876,0.045189,0.073337,0.132841,0.254899,0.499763", \ - "0.021322,0.031860,0.045173,0.073319,0.132871,0.254888,0.499823", \ - "0.021314,0.031853,0.045168,0.073319,0.132846,0.254908,0.499685", \ - "0.021305,0.031849,0.045165,0.073311,0.132844,0.254886,0.499762", \ - "0.021304,0.031845,0.045163,0.073309,0.132867,0.254935,0.499683", \ - "0.021304,0.031847,0.045162,0.073309,0.132857,0.254863,0.499634"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.509343,0.527792,0.546576,0.580681,0.645356,0.773729,1.029889", \ - "0.513409,0.531873,0.550687,0.584689,0.649423,0.777752,1.033944", \ - "0.531662,0.550077,0.568906,0.602862,0.667577,0.795955,1.052133", \ - "0.566368,0.584701,0.603435,0.637413,0.702121,0.830488,1.086650", \ - "0.608368,0.626760,0.645515,0.679417,0.744175,0.872629,1.128874", \ - "0.654755,0.673050,0.691718,0.725619,0.790477,0.918908,1.175114", \ - "0.706334,0.724653,0.743071,0.776953,0.841630,0.970114,1.226282"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021361,0.031904,0.045203,0.073349,0.132884,0.254885,0.499680", \ - "0.021339,0.031876,0.045189,0.073337,0.132841,0.254899,0.499831", \ - "0.021322,0.031860,0.045173,0.073319,0.132871,0.254888,0.499823", \ - "0.021313,0.031853,0.045168,0.073319,0.132846,0.254908,0.499685", \ - "0.021305,0.031849,0.045165,0.073311,0.132844,0.254886,0.499762", \ - "0.021304,0.031845,0.045163,0.073309,0.132867,0.254935,0.499683", \ - "0.021308,0.031847,0.045162,0.073309,0.132857,0.254863,0.499634"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.509318,0.527769,0.546552,0.580600,0.645337,0.773706,1.029902", \ - "0.513365,0.531836,0.550663,0.584667,0.649404,0.777736,1.033932", \ - "0.531639,0.550054,0.568883,0.602841,0.667558,0.795940,1.052123", \ - "0.566348,0.584679,0.603413,0.637394,0.702103,0.830473,1.086639", \ - "0.608348,0.626742,0.645495,0.679399,0.744159,0.872615,1.128864", \ - "0.654736,0.673056,0.691700,0.725602,0.790462,0.918895,1.175105", \ - "0.706319,0.724638,0.743056,0.776938,0.841616,0.970103,1.226254"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021361,0.031904,0.045202,0.073349,0.132880,0.254894,0.499779", \ - "0.021334,0.031877,0.045189,0.073337,0.132841,0.254899,0.499763", \ - "0.021322,0.031860,0.045173,0.073319,0.132871,0.254888,0.499822", \ - "0.021315,0.031853,0.045168,0.073317,0.132846,0.254907,0.499685", \ - "0.021305,0.031849,0.045165,0.073312,0.132844,0.254887,0.499762", \ - "0.021303,0.031844,0.045163,0.073309,0.132867,0.254932,0.499683", \ - "0.021304,0.031847,0.045162,0.073309,0.132857,0.254863,0.499634"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.509366,0.527812,0.546594,0.580645,0.645390,0.773784,1.029999", \ - "0.513429,0.531890,0.550711,0.584751,0.649461,0.777818,1.034027", \ - "0.531670,0.550098,0.568930,0.602882,0.667618,0.796016,1.052220", \ - "0.566378,0.584728,0.603468,0.637476,0.702171,0.830556,1.086742", \ - "0.608422,0.626802,0.645565,0.679456,0.744239,0.872709,1.128977", \ - "0.654818,0.673133,0.691786,0.725692,0.790568,0.918982,1.175231", \ - "0.706417,0.724738,0.743136,0.777043,0.841727,0.970224,1.226411"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021366,0.031903,0.045203,0.073342,0.132870,0.254884,0.499773", \ - "0.021339,0.031876,0.045190,0.073337,0.132840,0.254839,0.499761", \ - "0.021318,0.031860,0.045173,0.073320,0.132871,0.254884,0.499823", \ - "0.021308,0.031853,0.045168,0.073321,0.132847,0.254902,0.499679", \ - "0.021310,0.031849,0.045165,0.073319,0.132845,0.254881,0.499764", \ - "0.021303,0.031843,0.045163,0.073308,0.132844,0.254891,0.499678", \ - "0.021307,0.031847,0.045165,0.073308,0.132856,0.254866,0.499632"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.509366,0.527812,0.546593,0.580651,0.645391,0.773783,1.029997", \ - "0.513429,0.531890,0.550711,0.584751,0.649461,0.777808,1.034027", \ - "0.531670,0.550098,0.568929,0.602882,0.667618,0.796016,1.052220", \ - "0.566371,0.584728,0.603468,0.637453,0.702171,0.830555,1.086742", \ - "0.608422,0.626802,0.645565,0.679456,0.744239,0.872708,1.128977", \ - "0.654817,0.673108,0.691786,0.725692,0.790568,0.918982,1.175231", \ - "0.706417,0.724738,0.743137,0.777043,0.841727,0.970224,1.226411"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021366,0.031903,0.045203,0.073347,0.132877,0.254887,0.499784", \ - "0.021339,0.031876,0.045190,0.073337,0.132840,0.254898,0.499761", \ - "0.021318,0.031860,0.045173,0.073320,0.132871,0.254884,0.499823", \ - "0.021314,0.031853,0.045168,0.073318,0.132847,0.254902,0.499679", \ - "0.021310,0.031849,0.045165,0.073319,0.132845,0.254881,0.499764", \ - "0.021303,0.031843,0.045163,0.073308,0.132844,0.254891,0.499678", \ - "0.021307,0.031847,0.045165,0.073308,0.132856,0.254866,0.499632"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.509366,0.527812,0.546593,0.580678,0.645390,0.773782,1.029997", \ - "0.513422,0.531890,0.550711,0.584751,0.649461,0.777808,1.034027", \ - "0.531670,0.550098,0.568929,0.602882,0.667618,0.796016,1.052220", \ - "0.566371,0.584728,0.603468,0.637452,0.702171,0.830555,1.086742", \ - "0.608422,0.626802,0.645564,0.679456,0.744239,0.872708,1.128977", \ - "0.654817,0.673133,0.691786,0.725692,0.790568,0.918982,1.175231", \ - "0.706417,0.724737,0.743140,0.777043,0.841727,0.970224,1.226411"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021366,0.031903,0.045203,0.073349,0.132871,0.254887,0.499783", \ - "0.021339,0.031876,0.045190,0.073337,0.132840,0.254898,0.499834", \ - "0.021318,0.031860,0.045173,0.073320,0.132871,0.254884,0.499823", \ - "0.021314,0.031853,0.045168,0.073318,0.132847,0.254902,0.499679", \ - "0.021310,0.031849,0.045165,0.073319,0.132845,0.254881,0.499764", \ - "0.021303,0.031843,0.045163,0.073308,0.132844,0.254891,0.499678", \ - "0.021307,0.031847,0.045162,0.073308,0.132856,0.254866,0.499632"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.509318,0.527769,0.546552,0.580592,0.645338,0.773727,1.029903", \ - "0.513365,0.531836,0.550664,0.584668,0.649405,0.777736,1.033933", \ - "0.531639,0.550054,0.568884,0.602842,0.667558,0.795940,1.052123", \ - "0.566348,0.584679,0.603414,0.637395,0.702103,0.830474,1.086639", \ - "0.608348,0.626742,0.645496,0.679399,0.744159,0.872616,1.128864", \ - "0.654736,0.673024,0.691700,0.725603,0.790463,0.918895,1.175105", \ - "0.706320,0.724638,0.743056,0.776938,0.841617,0.970103,1.226254"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021361,0.031904,0.045202,0.073349,0.132880,0.254953,0.499776", \ - "0.021334,0.031877,0.045189,0.073337,0.132841,0.254899,0.499763", \ - "0.021322,0.031860,0.045173,0.073319,0.132871,0.254888,0.499823", \ - "0.021315,0.031853,0.045168,0.073317,0.132846,0.254907,0.499685", \ - "0.021305,0.031849,0.045165,0.073312,0.132844,0.254887,0.499762", \ - "0.021303,0.031844,0.045163,0.073309,0.132867,0.254932,0.499683", \ - "0.021304,0.031847,0.045162,0.073309,0.132857,0.254863,0.499634"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.509366,0.527812,0.546593,0.580640,0.645390,0.773778,1.029998", \ - "0.513429,0.531890,0.550711,0.584751,0.649461,0.777818,1.034027", \ - "0.531670,0.550098,0.568929,0.602882,0.667618,0.796016,1.052220", \ - "0.566371,0.584728,0.603468,0.637468,0.702171,0.830556,1.086742", \ - "0.608422,0.626802,0.645565,0.679456,0.744239,0.872709,1.128977", \ - "0.654818,0.673133,0.691786,0.725692,0.790568,0.918982,1.175231", \ - "0.706417,0.724737,0.743136,0.777043,0.841727,0.970224,1.226410"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021366,0.031903,0.045203,0.073349,0.132880,0.254892,0.499775", \ - "0.021339,0.031876,0.045190,0.073337,0.132840,0.254839,0.499761", \ - "0.021318,0.031860,0.045173,0.073320,0.132871,0.254884,0.499823", \ - "0.021314,0.031853,0.045168,0.073321,0.132847,0.254902,0.499679", \ - "0.021310,0.031849,0.045165,0.073319,0.132845,0.254881,0.499764", \ - "0.021303,0.031843,0.045163,0.073308,0.132844,0.254891,0.499678", \ - "0.021307,0.031847,0.045165,0.073308,0.132856,0.254866,0.499632"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.106994,0.119402,0.130355,0.146993,0.172771,0.214928,0.289289", \ - "0.111679,0.124090,0.135036,0.151685,0.177481,0.219630,0.293994", \ - "0.129816,0.142210,0.153119,0.169775,0.195574,0.237726,0.312128", \ - "0.166730,0.179066,0.189989,0.206535,0.232368,0.274560,0.349011", \ - "0.221464,0.234795,0.246288,0.263371,0.289536,0.331928,0.406440", \ - "0.280923,0.296609,0.310113,0.329462,0.357920,0.402213,0.477578", \ - "0.343047,0.361287,0.376924,0.398929,0.430265,0.477300,0.555004"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.087115,0.105064,0.123714,0.157659,0.222567,0.350894,0.606572", \ - "0.092162,0.110109,0.128760,0.162702,0.227630,0.355955,0.611637", \ - "0.106741,0.124679,0.143311,0.177214,0.242106,0.370461,0.626166", \ - "0.132064,0.150056,0.168638,0.202396,0.267189,0.395523,0.651308", \ - "0.162140,0.180986,0.200141,0.234081,0.298855,0.427041,0.682835", \ - "0.192948,0.213101,0.233154,0.267790,0.332726,0.460826,0.716567", \ - "0.221603,0.243634,0.264834,0.300316,0.365554,0.493506,0.749042"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017891,0.022124,0.026483,0.034300,0.048826,0.077222,0.135866", \ - "0.017890,0.022124,0.026491,0.034297,0.048811,0.077224,0.135876", \ - "0.017888,0.022132,0.026503,0.034325,0.048813,0.077229,0.135872", \ - "0.017953,0.022227,0.026584,0.034385,0.048858,0.077241,0.135868", \ - "0.021284,0.024925,0.028688,0.035779,0.049693,0.077698,0.136040", \ - "0.027398,0.031157,0.034792,0.041449,0.054396,0.080803,0.137337", \ - "0.034128,0.038345,0.042051,0.048429,0.060688,0.086020,0.140870"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.019893,0.030847,0.044481,0.072977,0.132822,0.254911,0.499779", \ - "0.019886,0.030847,0.044480,0.072974,0.132786,0.254951,0.499752", \ - "0.019865,0.030830,0.044469,0.072969,0.132793,0.254934,0.499763", \ - "0.020342,0.031116,0.044633,0.073033,0.132800,0.254926,0.499724", \ - "0.022234,0.032887,0.046074,0.073860,0.133034,0.254919,0.499723", \ - "0.025141,0.035583,0.048340,0.075349,0.133722,0.255058,0.499788", \ - "0.029350,0.039651,0.051836,0.077722,0.134720,0.255552,0.499902"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.106994,0.119402,0.130355,0.146993,0.172771,0.214928,0.289289", \ - "0.111679,0.124090,0.135036,0.151685,0.177481,0.219630,0.293994", \ - "0.129816,0.142210,0.153119,0.169775,0.195574,0.237726,0.312128", \ - "0.166730,0.179066,0.189989,0.206535,0.232368,0.274560,0.349011", \ - "0.221464,0.234795,0.246288,0.263371,0.289536,0.331928,0.406440", \ - "0.280923,0.296609,0.310113,0.329462,0.357920,0.402213,0.477578", \ - "0.343047,0.361287,0.376924,0.398929,0.430265,0.477300,0.555004"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.087115,0.105064,0.123714,0.157659,0.222567,0.350894,0.606572", \ - "0.092162,0.110109,0.128760,0.162702,0.227630,0.355955,0.611637", \ - "0.106741,0.124679,0.143311,0.177214,0.242106,0.370461,0.626166", \ - "0.132064,0.150056,0.168638,0.202396,0.267189,0.395523,0.651308", \ - "0.162140,0.180986,0.200141,0.234081,0.298855,0.427041,0.682835", \ - "0.192948,0.213101,0.233154,0.267790,0.332726,0.460826,0.716567", \ - "0.221603,0.243634,0.264834,0.300316,0.365554,0.493506,0.749042"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017891,0.022124,0.026483,0.034300,0.048826,0.077222,0.135866", \ - "0.017890,0.022124,0.026491,0.034297,0.048811,0.077224,0.135876", \ - "0.017888,0.022132,0.026503,0.034325,0.048813,0.077229,0.135872", \ - "0.017953,0.022227,0.026584,0.034385,0.048858,0.077241,0.135868", \ - "0.021284,0.024925,0.028688,0.035779,0.049693,0.077698,0.136040", \ - "0.027398,0.031157,0.034792,0.041449,0.054396,0.080803,0.137337", \ - "0.034128,0.038345,0.042051,0.048429,0.060688,0.086020,0.140870"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.019893,0.030847,0.044481,0.072977,0.132822,0.254911,0.499779", \ - "0.019886,0.030847,0.044480,0.072974,0.132786,0.254951,0.499752", \ - "0.019865,0.030830,0.044469,0.072969,0.132793,0.254934,0.499763", \ - "0.020342,0.031116,0.044633,0.073033,0.132800,0.254926,0.499724", \ - "0.022234,0.032887,0.046074,0.073860,0.133034,0.254919,0.499723", \ - "0.025141,0.035583,0.048340,0.075349,0.133722,0.255058,0.499788", \ - "0.029350,0.039651,0.051836,0.077722,0.134720,0.255552,0.499902"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.106994,0.119402,0.130355,0.146993,0.172771,0.214928,0.289289", \ - "0.111679,0.124090,0.135036,0.151685,0.177481,0.219630,0.293994", \ - "0.129816,0.142210,0.153119,0.169775,0.195574,0.237726,0.312128", \ - "0.166728,0.179066,0.189989,0.206535,0.232368,0.274560,0.349011", \ - "0.221464,0.234795,0.246288,0.263371,0.289536,0.331928,0.406440", \ - "0.280923,0.296609,0.310113,0.329462,0.357920,0.402213,0.477578", \ - "0.343047,0.361287,0.376924,0.398929,0.430265,0.477300,0.555004"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.087115,0.105064,0.123714,0.157659,0.222567,0.350894,0.606572", \ - "0.092153,0.110109,0.128760,0.162702,0.227630,0.355955,0.611637", \ - "0.106741,0.124679,0.143311,0.177214,0.242106,0.370461,0.626166", \ - "0.132064,0.150056,0.168638,0.202396,0.267189,0.395523,0.651308", \ - "0.162140,0.180986,0.200141,0.234081,0.298855,0.427041,0.682835", \ - "0.192948,0.213101,0.233154,0.267790,0.332726,0.460826,0.716567", \ - "0.221603,0.243634,0.264834,0.300316,0.365554,0.493506,0.749042"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017891,0.022124,0.026483,0.034300,0.048826,0.077222,0.135866", \ - "0.017890,0.022124,0.026491,0.034297,0.048811,0.077224,0.135876", \ - "0.017888,0.022132,0.026503,0.034325,0.048813,0.077229,0.135872", \ - "0.017954,0.022227,0.026584,0.034385,0.048858,0.077241,0.135868", \ - "0.021284,0.024925,0.028688,0.035779,0.049693,0.077698,0.136040", \ - "0.027398,0.031157,0.034792,0.041449,0.054396,0.080803,0.137337", \ - "0.034128,0.038345,0.042051,0.048429,0.060688,0.086020,0.140870"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.019893,0.030847,0.044481,0.072977,0.132822,0.254911,0.499779", \ - "0.019890,0.030847,0.044480,0.072974,0.132786,0.254951,0.499752", \ - "0.019865,0.030830,0.044469,0.072969,0.132793,0.254934,0.499763", \ - "0.020342,0.031116,0.044633,0.073033,0.132800,0.254926,0.499724", \ - "0.022234,0.032887,0.046074,0.073860,0.133034,0.254919,0.499723", \ - "0.025141,0.035583,0.048340,0.075349,0.133722,0.255058,0.499788", \ - "0.029350,0.039651,0.051836,0.077722,0.134720,0.255552,0.499902"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.106991,0.119399,0.130346,0.146993,0.172779,0.214912,0.289315", \ - "0.111676,0.124089,0.135032,0.151686,0.177476,0.219625,0.293989", \ - "0.129806,0.142192,0.153110,0.169771,0.195569,0.237721,0.312123", \ - "0.166667,0.178994,0.190003,0.206526,0.232359,0.274552,0.349023", \ - "0.221599,0.234906,0.246261,0.263263,0.289425,0.331814,0.406299", \ - "0.281083,0.296762,0.310142,0.329470,0.357925,0.402258,0.477646", \ - "0.343237,0.361663,0.377185,0.399303,0.430620,0.477681,0.555237"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.087174,0.105127,0.123780,0.157724,0.222638,0.350954,0.606640", \ - "0.092220,0.110171,0.128824,0.162767,0.227693,0.356012,0.611708", \ - "0.106793,0.124736,0.143370,0.177274,0.242177,0.370521,0.626226", \ - "0.132130,0.150115,0.168683,0.202447,0.267237,0.395566,0.651318", \ - "0.162297,0.181127,0.200200,0.234143,0.298907,0.427092,0.682888", \ - "0.193346,0.213482,0.233136,0.267996,0.332910,0.461010,0.716743", \ - "0.221500,0.243761,0.264819,0.300497,0.365761,0.493680,0.749211"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017893,0.022123,0.026484,0.034296,0.048807,0.077243,0.135869", \ - "0.017888,0.022123,0.026490,0.034295,0.048811,0.077224,0.135876", \ - "0.017888,0.022132,0.026501,0.034324,0.048813,0.077229,0.135872", \ - "0.017955,0.022222,0.026583,0.034384,0.048859,0.077242,0.135866", \ - "0.021276,0.024915,0.028699,0.035785,0.049702,0.077706,0.136045", \ - "0.027363,0.031137,0.034785,0.041449,0.054398,0.080807,0.137342", \ - "0.034095,0.038296,0.042018,0.048378,0.060651,0.085999,0.140845"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.019900,0.030853,0.044485,0.072979,0.132797,0.254929,0.499777", \ - "0.019900,0.030853,0.044485,0.072977,0.132807,0.254918,0.499748", \ - "0.019872,0.030837,0.044473,0.072971,0.132810,0.254935,0.499766", \ - "0.020354,0.031123,0.044639,0.073030,0.132809,0.254945,0.499766", \ - "0.022236,0.032888,0.046078,0.073865,0.133044,0.254920,0.499723", \ - "0.025114,0.035567,0.048344,0.075340,0.133710,0.255049,0.499887", \ - "0.029367,0.039638,0.051845,0.077718,0.134696,0.255574,0.499908"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108494,0.121600,0.133271,0.151130,0.178976,0.224438,0.301216", \ - "0.113203,0.126300,0.137969,0.155847,0.183696,0.229150,0.305948", \ - "0.131331,0.144411,0.156090,0.173962,0.201801,0.247265,0.324089", \ - "0.168220,0.181230,0.192829,0.210719,0.238639,0.284142,0.360944", \ - "0.223260,0.237320,0.249476,0.267891,0.296092,0.341779,0.418532", \ - "0.283169,0.299913,0.314261,0.335455,0.366212,0.413907,0.490894", \ - "0.346106,0.365639,0.382348,0.407211,0.441409,0.492286,0.570164"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018787,0.023491,0.028363,0.037036,0.052993,0.082275,0.137787", \ - "0.018784,0.023491,0.028361,0.037020,0.052991,0.082279,0.137786", \ - "0.018781,0.023502,0.028372,0.037040,0.053006,0.082284,0.137786", \ - "0.018845,0.023592,0.028459,0.037108,0.053035,0.082260,0.137729", \ - "0.022249,0.026329,0.030552,0.038419,0.053776,0.082567,0.137718", \ - "0.028779,0.033100,0.037305,0.044730,0.058931,0.085454,0.138201", \ - "0.036003,0.040967,0.045369,0.052616,0.066297,0.091197,0.140391"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108494,0.121600,0.133271,0.151130,0.178976,0.224438,0.301216", \ - "0.113203,0.126300,0.137969,0.155847,0.183696,0.229150,0.305948", \ - "0.131331,0.144411,0.156090,0.173962,0.201801,0.247265,0.324089", \ - "0.168220,0.181230,0.192829,0.210719,0.238639,0.284142,0.360944", \ - "0.223260,0.237320,0.249476,0.267891,0.296092,0.341779,0.418532", \ - "0.283170,0.299913,0.314261,0.335455,0.366212,0.413907,0.490894", \ - "0.346106,0.365639,0.382348,0.407211,0.441409,0.492286,0.570164"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018787,0.023491,0.028363,0.037037,0.052993,0.082275,0.137787", \ - "0.018784,0.023491,0.028361,0.037020,0.052991,0.082279,0.137786", \ - "0.018781,0.023502,0.028372,0.037040,0.053006,0.082284,0.137786", \ - "0.018845,0.023592,0.028459,0.037108,0.053035,0.082260,0.137729", \ - "0.022249,0.026329,0.030552,0.038419,0.053776,0.082567,0.137718", \ - "0.028779,0.033100,0.037305,0.044730,0.058931,0.085454,0.138201", \ - "0.036003,0.040967,0.045369,0.052616,0.066297,0.091197,0.140391"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108494,0.121600,0.133271,0.151130,0.178976,0.224438,0.301216", \ - "0.113203,0.126300,0.137969,0.155847,0.183696,0.229150,0.305948", \ - "0.131331,0.144411,0.156090,0.173962,0.201801,0.247265,0.324089", \ - "0.168220,0.181230,0.192829,0.210719,0.238639,0.284142,0.360944", \ - "0.223260,0.237320,0.249476,0.267891,0.296092,0.341779,0.418532", \ - "0.283169,0.299913,0.314261,0.335455,0.366212,0.413907,0.490894", \ - "0.346106,0.365639,0.382348,0.407211,0.441409,0.492286,0.570164"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018787,0.023491,0.028363,0.037036,0.052993,0.082275,0.137787", \ - "0.018784,0.023491,0.028361,0.037020,0.052991,0.082279,0.137786", \ - "0.018781,0.023502,0.028372,0.037040,0.053006,0.082284,0.137786", \ - "0.018845,0.023592,0.028459,0.037108,0.053035,0.082260,0.137729", \ - "0.022248,0.026329,0.030552,0.038419,0.053776,0.082567,0.137718", \ - "0.028779,0.033100,0.037305,0.044730,0.058931,0.085454,0.138201", \ - "0.036003,0.040967,0.045369,0.052616,0.066297,0.091197,0.140391"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108487,0.121590,0.133265,0.151135,0.178979,0.224430,0.301219", \ - "0.113196,0.126293,0.137966,0.155836,0.183678,0.229144,0.305933", \ - "0.131324,0.144405,0.156068,0.173935,0.201807,0.247275,0.324077", \ - "0.168155,0.181186,0.192821,0.210709,0.238624,0.284133,0.360936", \ - "0.223006,0.237120,0.249586,0.267673,0.295861,0.341519,0.418291", \ - "0.283247,0.299823,0.314143,0.335087,0.365849,0.413380,0.490373", \ - "0.346064,0.365607,0.382320,0.406328,0.440572,0.491525,0.570111"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018783,0.023488,0.028359,0.037024,0.052989,0.082271,0.137779", \ - "0.018782,0.023490,0.028358,0.037015,0.053000,0.082273,0.137779", \ - "0.018782,0.023501,0.028370,0.037034,0.053000,0.082268,0.137771", \ - "0.018842,0.023591,0.028461,0.037110,0.053032,0.082257,0.137728", \ - "0.022279,0.026350,0.030537,0.038431,0.053785,0.082562,0.137730", \ - "0.028747,0.033119,0.037321,0.044777,0.058961,0.085481,0.138199", \ - "0.036006,0.040941,0.045374,0.052736,0.066390,0.091254,0.140379"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.106991,0.119399,0.130346,0.146993,0.172779,0.214912,0.289315", \ - "0.111676,0.124089,0.135032,0.151686,0.177476,0.219625,0.293989", \ - "0.129806,0.142192,0.153110,0.169771,0.195569,0.237721,0.312123", \ - "0.166669,0.178994,0.190003,0.206526,0.232359,0.274552,0.349023", \ - "0.221599,0.234906,0.246261,0.263263,0.289425,0.331814,0.406299", \ - "0.281083,0.296762,0.310142,0.329470,0.357925,0.402258,0.477646", \ - "0.343237,0.361663,0.377185,0.399303,0.430620,0.477681,0.555237"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.087174,0.105127,0.123780,0.157724,0.222638,0.350954,0.606640", \ - "0.092220,0.110171,0.128824,0.162767,0.227693,0.356012,0.611708", \ - "0.106793,0.124736,0.143370,0.177274,0.242177,0.370521,0.626226", \ - "0.132130,0.150115,0.168683,0.202447,0.267237,0.395566,0.651318", \ - "0.162297,0.181127,0.200200,0.234143,0.298907,0.427092,0.682888", \ - "0.193346,0.213482,0.233136,0.267996,0.332910,0.460987,0.716743", \ - "0.221500,0.243761,0.264819,0.300497,0.365761,0.493680,0.749211"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017893,0.022123,0.026484,0.034296,0.048807,0.077243,0.135869", \ - "0.017888,0.022123,0.026490,0.034295,0.048811,0.077224,0.135876", \ - "0.017888,0.022132,0.026501,0.034324,0.048813,0.077229,0.135872", \ - "0.017952,0.022222,0.026583,0.034384,0.048859,0.077242,0.135866", \ - "0.021276,0.024915,0.028699,0.035785,0.049702,0.077706,0.136045", \ - "0.027363,0.031137,0.034785,0.041449,0.054398,0.080807,0.137342", \ - "0.034095,0.038296,0.042018,0.048378,0.060651,0.085999,0.140845"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.019900,0.030853,0.044485,0.072979,0.132797,0.254929,0.499777", \ - "0.019900,0.030853,0.044485,0.072977,0.132807,0.254918,0.499748", \ - "0.019872,0.030837,0.044473,0.072971,0.132810,0.254935,0.499766", \ - "0.020354,0.031123,0.044639,0.073030,0.132809,0.254945,0.499766", \ - "0.022236,0.032888,0.046078,0.073865,0.133044,0.254920,0.499723", \ - "0.025114,0.035567,0.048344,0.075340,0.133710,0.255080,0.499887", \ - "0.029367,0.039638,0.051845,0.077718,0.134696,0.255574,0.499908"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.106991,0.119399,0.130346,0.146993,0.172779,0.214912,0.289315", \ - "0.111676,0.124089,0.135032,0.151686,0.177476,0.219625,0.293989", \ - "0.129806,0.142192,0.153110,0.169771,0.195569,0.237721,0.312123", \ - "0.166667,0.178994,0.190003,0.206526,0.232359,0.274552,0.349023", \ - "0.221599,0.234906,0.246261,0.263263,0.289425,0.331814,0.406299", \ - "0.281083,0.296762,0.310142,0.329470,0.357925,0.402258,0.477646", \ - "0.343237,0.361663,0.377185,0.399303,0.430620,0.477681,0.555237"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.087174,0.105127,0.123780,0.157724,0.222638,0.350954,0.606640", \ - "0.092218,0.110171,0.128824,0.162767,0.227693,0.356012,0.611708", \ - "0.106793,0.124736,0.143370,0.177274,0.242177,0.370521,0.626226", \ - "0.132130,0.150115,0.168683,0.202447,0.267237,0.395566,0.651318", \ - "0.162297,0.181127,0.200200,0.234143,0.298907,0.427092,0.682888", \ - "0.193346,0.213482,0.233136,0.267996,0.332910,0.460987,0.716743", \ - "0.221500,0.243761,0.264819,0.300497,0.365761,0.493680,0.749211"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017893,0.022123,0.026484,0.034296,0.048807,0.077243,0.135869", \ - "0.017888,0.022123,0.026490,0.034295,0.048811,0.077224,0.135876", \ - "0.017888,0.022132,0.026501,0.034324,0.048813,0.077229,0.135872", \ - "0.017955,0.022222,0.026583,0.034384,0.048859,0.077255,0.135866", \ - "0.021276,0.024915,0.028699,0.035785,0.049702,0.077706,0.136045", \ - "0.027363,0.031137,0.034785,0.041449,0.054398,0.080807,0.137342", \ - "0.034095,0.038296,0.042018,0.048378,0.060651,0.085999,0.140845"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.019900,0.030853,0.044485,0.072979,0.132797,0.254929,0.499777", \ - "0.019896,0.030853,0.044485,0.072977,0.132807,0.254918,0.499748", \ - "0.019872,0.030837,0.044473,0.072971,0.132810,0.254935,0.499766", \ - "0.020354,0.031123,0.044639,0.073030,0.132809,0.254945,0.499766", \ - "0.022236,0.032888,0.046078,0.073865,0.133044,0.254920,0.499723", \ - "0.025114,0.035567,0.048344,0.075340,0.133710,0.255080,0.499887", \ - "0.029367,0.039638,0.051845,0.077718,0.134694,0.255574,0.499908"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.106994,0.119402,0.130355,0.146993,0.172771,0.214928,0.289289", \ - "0.111679,0.124090,0.135036,0.151685,0.177481,0.219630,0.293994", \ - "0.129816,0.142210,0.153119,0.169775,0.195574,0.237726,0.312128", \ - "0.166728,0.179066,0.189989,0.206535,0.232368,0.274560,0.349011", \ - "0.221464,0.234795,0.246288,0.263371,0.289536,0.331928,0.406440", \ - "0.280923,0.296609,0.310113,0.329462,0.357920,0.402213,0.477579", \ - "0.343047,0.361287,0.376924,0.398929,0.430265,0.477300,0.555004"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.087115,0.105064,0.123714,0.157659,0.222567,0.350894,0.606572", \ - "0.092162,0.110109,0.128760,0.162702,0.227630,0.355955,0.611637", \ - "0.106741,0.124679,0.143311,0.177214,0.242106,0.370461,0.626166", \ - "0.132064,0.150056,0.168638,0.202396,0.267189,0.395523,0.651308", \ - "0.162140,0.180986,0.200141,0.234081,0.298855,0.427041,0.682835", \ - "0.192948,0.213101,0.233154,0.267790,0.332726,0.460826,0.716567", \ - "0.221603,0.243634,0.264834,0.300316,0.365554,0.493506,0.749042"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017891,0.022124,0.026483,0.034300,0.048826,0.077222,0.135866", \ - "0.017890,0.022124,0.026491,0.034297,0.048811,0.077224,0.135876", \ - "0.017888,0.022132,0.026503,0.034325,0.048813,0.077229,0.135872", \ - "0.017954,0.022227,0.026584,0.034385,0.048858,0.077241,0.135868", \ - "0.021284,0.024925,0.028688,0.035779,0.049693,0.077698,0.136040", \ - "0.027398,0.031157,0.034792,0.041449,0.054396,0.080803,0.137337", \ - "0.034128,0.038345,0.042051,0.048429,0.060688,0.086020,0.140870"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.019893,0.030847,0.044481,0.072977,0.132822,0.254911,0.499779", \ - "0.019886,0.030847,0.044480,0.072974,0.132786,0.254951,0.499752", \ - "0.019865,0.030830,0.044469,0.072969,0.132793,0.254934,0.499763", \ - "0.020342,0.031116,0.044633,0.073033,0.132800,0.254926,0.499724", \ - "0.022234,0.032887,0.046074,0.073860,0.133034,0.254919,0.499723", \ - "0.025141,0.035583,0.048340,0.075349,0.133722,0.255058,0.499788", \ - "0.029350,0.039651,0.051836,0.077722,0.134720,0.255552,0.499902"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.106991,0.119399,0.130346,0.146993,0.172779,0.214912,0.289315", \ - "0.111676,0.124089,0.135032,0.151686,0.177476,0.219625,0.293989", \ - "0.129806,0.142192,0.153110,0.169771,0.195569,0.237721,0.312123", \ - "0.166667,0.178994,0.190003,0.206526,0.232359,0.274552,0.349023", \ - "0.221599,0.234906,0.246261,0.263263,0.289425,0.331814,0.406299", \ - "0.281083,0.296762,0.310142,0.329470,0.357925,0.402258,0.477646", \ - "0.343237,0.361663,0.377185,0.399303,0.430620,0.477681,0.555237"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.087174,0.105127,0.123780,0.157724,0.222638,0.350954,0.606640", \ - "0.092213,0.110171,0.128824,0.162767,0.227693,0.356012,0.611708", \ - "0.106793,0.124736,0.143370,0.177274,0.242177,0.370521,0.626226", \ - "0.132130,0.150115,0.168683,0.202447,0.267237,0.395566,0.651318", \ - "0.162297,0.181127,0.200200,0.234143,0.298907,0.427092,0.682888", \ - "0.193346,0.213482,0.233136,0.267996,0.332910,0.461010,0.716743", \ - "0.221500,0.243761,0.264819,0.300497,0.365761,0.493680,0.749211"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017893,0.022123,0.026484,0.034296,0.048807,0.077243,0.135869", \ - "0.017888,0.022123,0.026490,0.034295,0.048811,0.077224,0.135876", \ - "0.017888,0.022132,0.026501,0.034324,0.048813,0.077229,0.135872", \ - "0.017955,0.022222,0.026583,0.034384,0.048859,0.077242,0.135866", \ - "0.021276,0.024915,0.028699,0.035785,0.049702,0.077706,0.136045", \ - "0.027363,0.031137,0.034785,0.041449,0.054398,0.080807,0.137342", \ - "0.034095,0.038296,0.042018,0.048378,0.060651,0.085999,0.140845"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.019900,0.030853,0.044485,0.072979,0.132797,0.254929,0.499777", \ - "0.019898,0.030853,0.044485,0.072977,0.132807,0.254918,0.499748", \ - "0.019872,0.030837,0.044473,0.072971,0.132810,0.254935,0.499766", \ - "0.020354,0.031123,0.044639,0.073030,0.132809,0.254945,0.499766", \ - "0.022236,0.032888,0.046078,0.073865,0.133044,0.254920,0.499723", \ - "0.025114,0.035567,0.048344,0.075340,0.133710,0.255049,0.499887", \ - "0.029367,0.039638,0.051845,0.077718,0.134696,0.255574,0.499908"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108487,0.121590,0.133265,0.151135,0.178979,0.224430,0.301218", \ - "0.113196,0.126293,0.137966,0.155836,0.183678,0.229144,0.305933", \ - "0.131324,0.144405,0.156068,0.173935,0.201807,0.247275,0.324077", \ - "0.168155,0.181186,0.192821,0.210709,0.238624,0.284133,0.360936", \ - "0.223006,0.237120,0.249586,0.267673,0.295851,0.341519,0.418291", \ - "0.283247,0.299823,0.314143,0.335087,0.365849,0.413380,0.490373", \ - "0.346064,0.365607,0.382320,0.406328,0.440572,0.491525,0.570111"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018783,0.023488,0.028359,0.037024,0.052989,0.082271,0.137779", \ - "0.018782,0.023490,0.028358,0.037015,0.053000,0.082273,0.137779", \ - "0.018782,0.023501,0.028370,0.037034,0.053000,0.082268,0.137771", \ - "0.018842,0.023591,0.028461,0.037110,0.053032,0.082257,0.137728", \ - "0.022279,0.026350,0.030537,0.038431,0.053788,0.082562,0.137730", \ - "0.028747,0.033119,0.037321,0.044777,0.058961,0.085481,0.138199", \ - "0.036006,0.040941,0.045374,0.052736,0.066390,0.091254,0.140379"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108487,0.121590,0.133265,0.151135,0.178979,0.224428,0.301218", \ - "0.113196,0.126293,0.137966,0.155836,0.183678,0.229144,0.305933", \ - "0.131324,0.144405,0.156068,0.173935,0.201807,0.247275,0.324077", \ - "0.168155,0.181186,0.192821,0.210709,0.238624,0.284133,0.360936", \ - "0.223006,0.237120,0.249586,0.267673,0.295861,0.341519,0.418291", \ - "0.283247,0.299824,0.314143,0.335087,0.365849,0.413380,0.490373", \ - "0.346064,0.365607,0.382320,0.406328,0.440572,0.491525,0.570111"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018783,0.023488,0.028359,0.037024,0.052989,0.082283,0.137779", \ - "0.018782,0.023490,0.028358,0.037015,0.053000,0.082273,0.137779", \ - "0.018782,0.023501,0.028370,0.037034,0.053000,0.082268,0.137771", \ - "0.018842,0.023591,0.028461,0.037110,0.053032,0.082257,0.137728", \ - "0.022279,0.026350,0.030537,0.038431,0.053785,0.082562,0.137730", \ - "0.028747,0.033119,0.037321,0.044777,0.058961,0.085481,0.138199", \ - "0.036006,0.040941,0.045374,0.052736,0.066390,0.091254,0.140379"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108494,0.121600,0.133271,0.151130,0.178977,0.224438,0.301216", \ - "0.113203,0.126300,0.137969,0.155847,0.183696,0.229150,0.305948", \ - "0.131331,0.144411,0.156090,0.173962,0.201801,0.247265,0.324089", \ - "0.168221,0.181230,0.192829,0.210719,0.238639,0.284142,0.360944", \ - "0.223260,0.237320,0.249476,0.267891,0.296092,0.341779,0.418532", \ - "0.283170,0.299913,0.314261,0.335455,0.366212,0.413907,0.490894", \ - "0.346106,0.365639,0.382348,0.407211,0.441409,0.492286,0.570164"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018787,0.023491,0.028363,0.037036,0.052994,0.082275,0.137787", \ - "0.018784,0.023491,0.028361,0.037020,0.052991,0.082279,0.137786", \ - "0.018781,0.023502,0.028372,0.037040,0.053006,0.082284,0.137786", \ - "0.018845,0.023592,0.028459,0.037108,0.053035,0.082260,0.137729", \ - "0.022248,0.026329,0.030552,0.038419,0.053776,0.082567,0.137718", \ - "0.028779,0.033100,0.037305,0.044730,0.058931,0.085454,0.138201", \ - "0.036003,0.040967,0.045369,0.052616,0.066297,0.091197,0.140391"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108487,0.121590,0.133265,0.151135,0.178979,0.224430,0.301219", \ - "0.113196,0.126293,0.137966,0.155836,0.183678,0.229144,0.305933", \ - "0.131324,0.144405,0.156068,0.173935,0.201807,0.247275,0.324077", \ - "0.168155,0.181186,0.192821,0.210709,0.238624,0.284133,0.360936", \ - "0.223006,0.237120,0.249586,0.267673,0.295851,0.341519,0.418291", \ - "0.283247,0.299824,0.314143,0.335087,0.365849,0.413380,0.490373", \ - "0.346064,0.365607,0.382320,0.406328,0.440572,0.491525,0.570111"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018783,0.023488,0.028359,0.037024,0.052989,0.082271,0.137779", \ - "0.018782,0.023490,0.028358,0.037015,0.053000,0.082273,0.137779", \ - "0.018782,0.023501,0.028370,0.037034,0.053000,0.082268,0.137771", \ - "0.018842,0.023591,0.028461,0.037110,0.053032,0.082257,0.137728", \ - "0.022279,0.026350,0.030537,0.038431,0.053788,0.082562,0.137730", \ - "0.028747,0.033119,0.037321,0.044777,0.058961,0.085481,0.138199", \ - "0.036006,0.040941,0.045374,0.052736,0.066390,0.091254,0.140379"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ - "0.112092,0.124486,0.135430,0.152087,0.177890,0.220043,0.294426", \ - "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ - "0.166990,0.179312,0.190326,0.206890,0.232741,0.274949,0.349396", \ - "0.221820,0.235145,0.246641,0.263489,0.289655,0.332082,0.406546", \ - "0.281009,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ - "0.343709,0.361992,0.377529,0.399559,0.430881,0.477905,0.555531"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.452156,0.470419,0.489021,0.522812,0.587531,0.715755,0.971515", \ - "0.457176,0.475424,0.494059,0.527818,0.592527,0.720714,0.976462", \ - "0.470389,0.488715,0.507211,0.541107,0.605737,0.733961,0.989749", \ - "0.492978,0.511257,0.529864,0.563625,0.628343,0.756562,1.012352", \ - "0.526824,0.545086,0.563476,0.597590,0.662319,0.790540,1.046327", \ - "0.569022,0.587288,0.606148,0.639538,0.704252,0.832480,1.088270", \ - "0.616398,0.634666,0.653256,0.687240,0.751960,0.880178,1.135972"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ - "0.017871,0.022107,0.026480,0.034318,0.048834,0.077261,0.135825", \ - "0.017867,0.022120,0.026498,0.034327,0.048850,0.077244,0.135818", \ - "0.017926,0.022207,0.026578,0.034403,0.048868,0.077259,0.135819", \ - "0.021206,0.024857,0.028646,0.035770,0.049710,0.077705,0.136005", \ - "0.027329,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ - "0.033998,0.038193,0.041915,0.048329,0.060622,0.085992,0.140835"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021250,0.031793,0.045105,0.073270,0.132816,0.254878,0.499781", \ - "0.021248,0.031790,0.045103,0.073268,0.132810,0.254877,0.499648", \ - "0.021248,0.031788,0.045108,0.073266,0.132820,0.254847,0.499655", \ - "0.021259,0.031788,0.045107,0.073263,0.132808,0.254866,0.499651", \ - "0.021250,0.031793,0.045110,0.073270,0.132814,0.254883,0.499650", \ - "0.021251,0.031789,0.045106,0.073264,0.132817,0.254844,0.499656", \ - "0.021323,0.031830,0.045138,0.073274,0.132812,0.254862,0.499643"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ - "0.112092,0.124486,0.135430,0.152087,0.177890,0.220043,0.294426", \ - "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ - "0.166992,0.179312,0.190326,0.206890,0.232741,0.274949,0.349396", \ - "0.221820,0.235145,0.246641,0.263489,0.289652,0.332082,0.406546", \ - "0.281009,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ - "0.343709,0.361992,0.377529,0.399559,0.430881,0.477905,0.555531"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.452156,0.470419,0.489021,0.522812,0.587531,0.715755,0.971515", \ - "0.457176,0.475424,0.494059,0.527818,0.592527,0.720714,0.976462", \ - "0.470389,0.488715,0.507211,0.541107,0.605737,0.733961,0.989749", \ - "0.492978,0.511257,0.529864,0.563625,0.628343,0.756562,1.012352", \ - "0.526824,0.545086,0.563477,0.597590,0.662319,0.790540,1.046327", \ - "0.569022,0.587288,0.606148,0.639538,0.704252,0.832480,1.088270", \ - "0.616398,0.634666,0.653256,0.687240,0.751960,0.880178,1.135972"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ - "0.017871,0.022107,0.026480,0.034318,0.048834,0.077261,0.135825", \ - "0.017867,0.022120,0.026498,0.034327,0.048850,0.077244,0.135818", \ - "0.017925,0.022208,0.026578,0.034403,0.048868,0.077259,0.135819", \ - "0.021206,0.024857,0.028646,0.035770,0.049714,0.077705,0.136005", \ - "0.027329,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ - "0.033998,0.038193,0.041915,0.048329,0.060622,0.085992,0.140835"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021250,0.031793,0.045105,0.073270,0.132816,0.254878,0.499781", \ - "0.021248,0.031790,0.045103,0.073268,0.132810,0.254877,0.499648", \ - "0.021248,0.031788,0.045108,0.073266,0.132820,0.254847,0.499655", \ - "0.021259,0.031788,0.045107,0.073263,0.132808,0.254866,0.499651", \ - "0.021250,0.031793,0.045110,0.073270,0.132814,0.254883,0.499650", \ - "0.021251,0.031789,0.045106,0.073264,0.132817,0.254844,0.499656", \ - "0.021323,0.031830,0.045138,0.073274,0.132812,0.254862,0.499643"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ - "0.112092,0.124486,0.135430,0.152087,0.177890,0.220043,0.294426", \ - "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ - "0.166992,0.179312,0.190326,0.206890,0.232741,0.274949,0.349396", \ - "0.221820,0.235145,0.246641,0.263489,0.289655,0.332082,0.406546", \ - "0.281009,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ - "0.343709,0.361992,0.377529,0.399559,0.430881,0.477905,0.555531"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.452156,0.470419,0.489021,0.522812,0.587531,0.715755,0.971515", \ - "0.457176,0.475424,0.494059,0.527818,0.592527,0.720714,0.976462", \ - "0.470389,0.488715,0.507211,0.541107,0.605737,0.733961,0.989749", \ - "0.492978,0.511257,0.529864,0.563625,0.628343,0.756562,1.012352", \ - "0.526824,0.545086,0.563476,0.597590,0.662319,0.790540,1.046327", \ - "0.569022,0.587288,0.606148,0.639538,0.704252,0.832480,1.088270", \ - "0.616398,0.634666,0.653256,0.687240,0.751960,0.880178,1.135972"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ - "0.017871,0.022107,0.026480,0.034318,0.048834,0.077261,0.135825", \ - "0.017867,0.022120,0.026498,0.034327,0.048850,0.077244,0.135818", \ - "0.017925,0.022208,0.026578,0.034403,0.048868,0.077259,0.135819", \ - "0.021206,0.024857,0.028646,0.035770,0.049710,0.077705,0.136005", \ - "0.027329,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ - "0.033998,0.038193,0.041915,0.048329,0.060622,0.085992,0.140835"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021250,0.031793,0.045105,0.073270,0.132816,0.254878,0.499781", \ - "0.021248,0.031790,0.045103,0.073268,0.132810,0.254877,0.499648", \ - "0.021248,0.031788,0.045108,0.073266,0.132820,0.254847,0.499655", \ - "0.021259,0.031788,0.045107,0.073263,0.132808,0.254866,0.499651", \ - "0.021250,0.031793,0.045110,0.073270,0.132814,0.254883,0.499650", \ - "0.021251,0.031789,0.045106,0.073264,0.132817,0.254844,0.499656", \ - "0.021323,0.031830,0.045138,0.073274,0.132812,0.254862,0.499643"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ - "0.112092,0.124486,0.135427,0.152087,0.177890,0.220043,0.294426", \ - "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ - "0.166992,0.179312,0.190326,0.206890,0.232741,0.274949,0.349396", \ - "0.221820,0.235145,0.246641,0.263489,0.289653,0.332081,0.406546", \ - "0.281008,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ - "0.343710,0.361993,0.377529,0.399632,0.430882,0.477905,0.555532"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.452263,0.470519,0.489173,0.522970,0.587689,0.715912,0.971663", \ - "0.457298,0.475554,0.494214,0.527977,0.592687,0.720872,0.976623", \ - "0.470634,0.488724,0.507455,0.541264,0.605902,0.734127,0.989914", \ - "0.493163,0.511425,0.530112,0.563807,0.628512,0.756731,1.012520", \ - "0.526814,0.545074,0.563817,0.597791,0.662527,0.790735,1.046519", \ - "0.569526,0.587785,0.606178,0.639808,0.704521,0.832744,1.088540", \ - "0.616693,0.634968,0.653562,0.687547,0.752265,0.880478,1.136279"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ - "0.017871,0.022108,0.026481,0.034318,0.048834,0.077261,0.135825", \ - "0.017867,0.022120,0.026498,0.034327,0.048850,0.077244,0.135818", \ - "0.017925,0.022208,0.026578,0.034403,0.048868,0.077259,0.135819", \ - "0.021206,0.024857,0.028646,0.035770,0.049710,0.077705,0.136005", \ - "0.027328,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ - "0.033999,0.038193,0.041915,0.048320,0.060622,0.085992,0.140835"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021249,0.031789,0.045104,0.073270,0.132816,0.254878,0.499711", \ - "0.021256,0.031791,0.045103,0.073264,0.132809,0.254876,0.499649", \ - "0.021246,0.031791,0.045108,0.073266,0.132821,0.254850,0.499655", \ - "0.021248,0.031789,0.045105,0.073262,0.132807,0.254865,0.499651", \ - "0.021250,0.031791,0.045109,0.073265,0.132820,0.254861,0.499641", \ - "0.021249,0.031792,0.045109,0.073262,0.132819,0.254816,0.499657", \ - "0.021315,0.031828,0.045140,0.073273,0.132810,0.254881,0.499654"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108742,0.121695,0.133237,0.150878,0.178270,0.222703,0.298684", \ - "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303386", \ - "0.131576,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ - "0.168397,0.181295,0.192751,0.210418,0.237863,0.282332,0.358319", \ - "0.223407,0.237308,0.249550,0.267420,0.295254,0.339905,0.415935", \ - "0.283764,0.300236,0.314447,0.335063,0.365283,0.411735,0.488412", \ - "0.347475,0.366566,0.383078,0.406260,0.439746,0.489434,0.568878"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018592,0.023234,0.028019,0.036482,0.051944,0.080568,0.137719", \ - "0.018594,0.023233,0.028015,0.036482,0.051939,0.080558,0.137695", \ - "0.018593,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ - "0.018648,0.023326,0.028109,0.036554,0.051962,0.080553,0.137718", \ - "0.021972,0.026000,0.030140,0.037864,0.052729,0.080910,0.137824", \ - "0.028313,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ - "0.035309,0.040167,0.044490,0.051691,0.064807,0.089711,0.142612"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108742,0.121695,0.133237,0.150878,0.178270,0.222703,0.298684", \ - "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303386", \ - "0.131576,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ - "0.168399,0.181295,0.192744,0.210418,0.237863,0.282332,0.358319", \ - "0.223407,0.237308,0.249550,0.267420,0.295254,0.339905,0.415935", \ - "0.283764,0.300236,0.314447,0.335063,0.365283,0.411735,0.488412", \ - "0.347475,0.366566,0.383078,0.406260,0.439746,0.489434,0.568878"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018592,0.023234,0.028019,0.036482,0.051944,0.080568,0.137719", \ - "0.018594,0.023234,0.028015,0.036482,0.051939,0.080558,0.137695", \ - "0.018593,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ - "0.018650,0.023326,0.028106,0.036554,0.051962,0.080553,0.137718", \ - "0.021972,0.026000,0.030140,0.037864,0.052729,0.080910,0.137824", \ - "0.028313,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ - "0.035309,0.040167,0.044490,0.051691,0.064807,0.089711,0.142612"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108742,0.121695,0.133237,0.150878,0.178270,0.222703,0.298684", \ - "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303386", \ - "0.131576,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ - "0.168399,0.181295,0.192744,0.210418,0.237863,0.282332,0.358319", \ - "0.223407,0.237308,0.249550,0.267420,0.295254,0.339905,0.415935", \ - "0.283764,0.300236,0.314447,0.335063,0.365283,0.411735,0.488412", \ - "0.347475,0.366566,0.383078,0.406260,0.439746,0.489434,0.568878"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018592,0.023234,0.028019,0.036482,0.051944,0.080568,0.137719", \ - "0.018594,0.023234,0.028015,0.036482,0.051939,0.080558,0.137695", \ - "0.018593,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ - "0.018650,0.023326,0.028106,0.036554,0.051962,0.080553,0.137718", \ - "0.021972,0.026000,0.030140,0.037864,0.052729,0.080910,0.137824", \ - "0.028313,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ - "0.035309,0.040167,0.044490,0.051691,0.064807,0.089711,0.142612"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108742,0.121695,0.133237,0.150878,0.178268,0.222703,0.298684", \ - "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303381", \ - "0.131577,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ - "0.168399,0.181276,0.192751,0.210418,0.237863,0.282332,0.358319", \ - "0.223407,0.237308,0.249550,0.267442,0.295254,0.339905,0.415935", \ - "0.283761,0.300236,0.314446,0.335063,0.365283,0.411735,0.488410", \ - "0.347469,0.366565,0.383067,0.406260,0.439746,0.489434,0.568878"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018592,0.023234,0.028019,0.036482,0.051944,0.080568,0.137719", \ - "0.018594,0.023233,0.028016,0.036482,0.051939,0.080558,0.137700", \ - "0.018592,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ - "0.018650,0.023323,0.028109,0.036554,0.051962,0.080553,0.137718", \ - "0.021972,0.026000,0.030139,0.037862,0.052729,0.080910,0.137824", \ - "0.028320,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ - "0.035303,0.040168,0.044486,0.051691,0.064807,0.089711,0.142613"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ - "0.112092,0.124486,0.135427,0.152087,0.177890,0.220043,0.294426", \ - "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ - "0.166990,0.179312,0.190325,0.206890,0.232741,0.274949,0.349396", \ - "0.221820,0.235145,0.246641,0.263489,0.289654,0.332081,0.406546", \ - "0.281008,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ - "0.343710,0.361993,0.377529,0.399632,0.430882,0.477905,0.555532"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.452263,0.470519,0.489173,0.522970,0.587689,0.715912,0.971663", \ - "0.457298,0.475554,0.494214,0.527977,0.592687,0.720872,0.976623", \ - "0.470634,0.488724,0.507455,0.541264,0.605902,0.734127,0.989914", \ - "0.493163,0.511425,0.530112,0.563807,0.628512,0.756731,1.012520", \ - "0.526814,0.545074,0.563817,0.597791,0.662527,0.790735,1.046519", \ - "0.569526,0.587785,0.606178,0.639808,0.704521,0.832744,1.088540", \ - "0.616693,0.634968,0.653562,0.687547,0.752265,0.880478,1.136279"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ - "0.017871,0.022108,0.026481,0.034318,0.048834,0.077261,0.135825", \ - "0.017867,0.022120,0.026498,0.034327,0.048850,0.077244,0.135818", \ - "0.017926,0.022207,0.026577,0.034403,0.048868,0.077259,0.135819", \ - "0.021206,0.024857,0.028646,0.035770,0.049709,0.077705,0.136005", \ - "0.027328,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ - "0.033999,0.038193,0.041915,0.048320,0.060622,0.085992,0.140835"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021249,0.031789,0.045104,0.073270,0.132816,0.254878,0.499711", \ - "0.021256,0.031791,0.045103,0.073264,0.132809,0.254876,0.499649", \ - "0.021246,0.031791,0.045108,0.073266,0.132821,0.254850,0.499655", \ - "0.021248,0.031789,0.045105,0.073262,0.132807,0.254865,0.499651", \ - "0.021250,0.031791,0.045109,0.073265,0.132820,0.254861,0.499641", \ - "0.021249,0.031792,0.045109,0.073262,0.132819,0.254816,0.499657", \ - "0.021315,0.031828,0.045140,0.073273,0.132810,0.254881,0.499654"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ - "0.112092,0.124486,0.135427,0.152087,0.177890,0.220043,0.294426", \ - "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ - "0.166990,0.179312,0.190325,0.206890,0.232741,0.274949,0.349396", \ - "0.221820,0.235145,0.246641,0.263489,0.289652,0.332081,0.406546", \ - "0.281008,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ - "0.343710,0.361993,0.377529,0.399632,0.430882,0.477905,0.555532"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.452263,0.470519,0.489173,0.522970,0.587689,0.715912,0.971663", \ - "0.457298,0.475554,0.494214,0.527977,0.592687,0.720872,0.976623", \ - "0.470634,0.488724,0.507455,0.541264,0.605902,0.734127,0.989914", \ - "0.493163,0.511425,0.530112,0.563807,0.628512,0.756731,1.012520", \ - "0.526814,0.545074,0.563817,0.597791,0.662527,0.790735,1.046519", \ - "0.569526,0.587785,0.606178,0.639808,0.704521,0.832744,1.088540", \ - "0.616693,0.634968,0.653562,0.687547,0.752265,0.880478,1.136279"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ - "0.017871,0.022108,0.026481,0.034318,0.048834,0.077261,0.135825", \ - "0.017867,0.022120,0.026498,0.034327,0.048850,0.077244,0.135818", \ - "0.017926,0.022208,0.026577,0.034403,0.048868,0.077259,0.135819", \ - "0.021206,0.024857,0.028646,0.035770,0.049714,0.077705,0.136005", \ - "0.027328,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ - "0.033999,0.038193,0.041915,0.048320,0.060622,0.085992,0.140835"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021249,0.031789,0.045104,0.073270,0.132816,0.254878,0.499711", \ - "0.021256,0.031791,0.045103,0.073264,0.132809,0.254876,0.499649", \ - "0.021246,0.031791,0.045108,0.073266,0.132821,0.254850,0.499655", \ - "0.021248,0.031789,0.045105,0.073262,0.132807,0.254865,0.499651", \ - "0.021250,0.031791,0.045109,0.073265,0.132820,0.254861,0.499641", \ - "0.021249,0.031792,0.045109,0.073262,0.132819,0.254816,0.499657", \ - "0.021315,0.031828,0.045140,0.073273,0.132810,0.254881,0.499654"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ - "0.112092,0.124486,0.135430,0.152087,0.177890,0.220043,0.294426", \ - "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ - "0.166992,0.179312,0.190326,0.206890,0.232741,0.274949,0.349396", \ - "0.221820,0.235145,0.246641,0.263489,0.289652,0.332082,0.406546", \ - "0.281009,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ - "0.343709,0.361992,0.377529,0.399559,0.430881,0.477905,0.555531"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.452156,0.470419,0.489021,0.522812,0.587531,0.715755,0.971515", \ - "0.457176,0.475424,0.494059,0.527818,0.592527,0.720714,0.976462", \ - "0.470389,0.488715,0.507211,0.541107,0.605737,0.733961,0.989749", \ - "0.492978,0.511257,0.529864,0.563625,0.628343,0.756562,1.012352", \ - "0.526824,0.545086,0.563477,0.597590,0.662319,0.790540,1.046327", \ - "0.569022,0.587288,0.606148,0.639538,0.704252,0.832480,1.088270", \ - "0.616398,0.634666,0.653256,0.687240,0.751960,0.880178,1.135972"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ - "0.017871,0.022107,0.026480,0.034318,0.048834,0.077261,0.135825", \ - "0.017867,0.022120,0.026498,0.034327,0.048850,0.077244,0.135818", \ - "0.017925,0.022208,0.026578,0.034403,0.048868,0.077259,0.135819", \ - "0.021206,0.024857,0.028646,0.035770,0.049714,0.077705,0.136005", \ - "0.027329,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ - "0.033998,0.038193,0.041915,0.048329,0.060622,0.085992,0.140835"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021250,0.031793,0.045105,0.073270,0.132816,0.254878,0.499781", \ - "0.021248,0.031790,0.045103,0.073268,0.132810,0.254877,0.499648", \ - "0.021248,0.031788,0.045108,0.073266,0.132820,0.254847,0.499655", \ - "0.021259,0.031788,0.045107,0.073263,0.132808,0.254866,0.499651", \ - "0.021250,0.031793,0.045110,0.073270,0.132814,0.254883,0.499650", \ - "0.021251,0.031789,0.045106,0.073264,0.132817,0.254844,0.499656", \ - "0.021323,0.031830,0.045138,0.073274,0.132812,0.254862,0.499643"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.107405,0.119799,0.130739,0.147396,0.173197,0.215350,0.289742", \ - "0.112092,0.124486,0.135427,0.152087,0.177890,0.220043,0.294426", \ - "0.130214,0.142589,0.153501,0.170165,0.195963,0.238138,0.312528", \ - "0.166992,0.179312,0.190325,0.206890,0.232741,0.274949,0.349396", \ - "0.221820,0.235145,0.246641,0.263489,0.289655,0.332081,0.406546", \ - "0.281008,0.296783,0.310347,0.329483,0.357970,0.402289,0.477653", \ - "0.343710,0.361993,0.377529,0.399632,0.430882,0.477905,0.555532"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.452263,0.470519,0.489173,0.522970,0.587689,0.715912,0.971663", \ - "0.457298,0.475554,0.494214,0.527977,0.592687,0.720872,0.976623", \ - "0.470634,0.488724,0.507455,0.541264,0.605902,0.734127,0.989914", \ - "0.493163,0.511425,0.530112,0.563807,0.628512,0.756731,1.012520", \ - "0.526814,0.545074,0.563817,0.597791,0.662527,0.790735,1.046519", \ - "0.569526,0.587785,0.606178,0.639808,0.704521,0.832744,1.088540", \ - "0.616693,0.634968,0.653562,0.687547,0.752265,0.880478,1.136279"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.017871,0.022105,0.026484,0.034309,0.048827,0.077256,0.135826", \ - "0.017871,0.022108,0.026481,0.034318,0.048834,0.077261,0.135825", \ - "0.017867,0.022120,0.026498,0.034327,0.048850,0.077243,0.135818", \ - "0.017925,0.022207,0.026577,0.034403,0.048868,0.077259,0.135819", \ - "0.021206,0.024857,0.028646,0.035770,0.049710,0.077705,0.136005", \ - "0.027328,0.031092,0.034727,0.041445,0.054418,0.080825,0.137322", \ - "0.033999,0.038193,0.041915,0.048320,0.060622,0.085992,0.140835"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.021249,0.031789,0.045104,0.073270,0.132816,0.254878,0.499711", \ - "0.021256,0.031791,0.045103,0.073264,0.132809,0.254876,0.499649", \ - "0.021246,0.031791,0.045108,0.073266,0.132821,0.254850,0.499655", \ - "0.021248,0.031789,0.045105,0.073262,0.132807,0.254865,0.499651", \ - "0.021250,0.031791,0.045109,0.073265,0.132820,0.254861,0.499641", \ - "0.021249,0.031792,0.045109,0.073262,0.132819,0.254816,0.499657", \ - "0.021315,0.031828,0.045140,0.073273,0.132810,0.254881,0.499654"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108742,0.121695,0.133237,0.150878,0.178268,0.222703,0.298684", \ - "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303386", \ - "0.131577,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ - "0.168397,0.181273,0.192744,0.210418,0.237863,0.282332,0.358319", \ - "0.223407,0.237308,0.249550,0.267442,0.295254,0.339905,0.415935", \ - "0.283762,0.300236,0.314446,0.335063,0.365283,0.411735,0.488410", \ - "0.347469,0.366565,0.383067,0.406260,0.439746,0.489434,0.568878"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018592,0.023234,0.028019,0.036482,0.051944,0.080567,0.137719", \ - "0.018594,0.023233,0.028016,0.036483,0.051939,0.080558,0.137695", \ - "0.018592,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ - "0.018648,0.023325,0.028106,0.036554,0.051962,0.080553,0.137718", \ - "0.021972,0.026000,0.030139,0.037862,0.052729,0.080910,0.137824", \ - "0.028319,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ - "0.035302,0.040168,0.044486,0.051691,0.064807,0.089711,0.142613"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108742,0.121695,0.133237,0.150878,0.178268,0.222703,0.298684", \ - "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303386", \ - "0.131577,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ - "0.168397,0.181273,0.192744,0.210418,0.237863,0.282332,0.358319", \ - "0.223407,0.237308,0.249550,0.267442,0.295254,0.339905,0.415935", \ - "0.283762,0.300236,0.314446,0.335063,0.365283,0.411735,0.488410", \ - "0.347469,0.366565,0.383067,0.406260,0.439746,0.489434,0.568878"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018592,0.023234,0.028019,0.036482,0.051944,0.080567,0.137719", \ - "0.018594,0.023233,0.028016,0.036483,0.051939,0.080558,0.137695", \ - "0.018592,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ - "0.018648,0.023325,0.028106,0.036554,0.051962,0.080553,0.137718", \ - "0.021972,0.026000,0.030139,0.037862,0.052729,0.080910,0.137824", \ - "0.028319,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ - "0.035303,0.040168,0.044486,0.051691,0.064807,0.089711,0.142613"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108742,0.121695,0.133237,0.150877,0.178267,0.222703,0.298684", \ - "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303386", \ - "0.131576,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ - "0.168399,0.181295,0.192744,0.210418,0.237863,0.282332,0.358319", \ - "0.223407,0.237308,0.249550,0.267420,0.295254,0.339905,0.415935", \ - "0.283764,0.300236,0.314447,0.335063,0.365283,0.411735,0.488412", \ - "0.347475,0.366566,0.383078,0.406260,0.439746,0.489434,0.568878"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018592,0.023234,0.028019,0.036481,0.051944,0.080568,0.137719", \ - "0.018594,0.023234,0.028015,0.036482,0.051939,0.080558,0.137695", \ - "0.018593,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ - "0.018650,0.023326,0.028106,0.036554,0.051962,0.080553,0.137718", \ - "0.021972,0.026000,0.030140,0.037864,0.052729,0.080910,0.137824", \ - "0.028313,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ - "0.035309,0.040167,0.044490,0.051691,0.064807,0.089711,0.142612"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.108742,0.121695,0.133237,0.150878,0.178270,0.222703,0.298684", \ - "0.113449,0.126398,0.137941,0.155588,0.182976,0.227410,0.303381", \ - "0.131577,0.144502,0.156039,0.173683,0.201084,0.245523,0.321496", \ - "0.168399,0.181276,0.192744,0.210418,0.237863,0.282332,0.358319", \ - "0.223407,0.237308,0.249550,0.267442,0.295254,0.339905,0.415935", \ - "0.283761,0.300236,0.314446,0.335063,0.365283,0.411735,0.488410", \ - "0.347469,0.366565,0.383067,0.406260,0.439746,0.489434,0.568878"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.018592,0.023234,0.028019,0.036481,0.051944,0.080567,0.137719", \ - "0.018594,0.023233,0.028015,0.036482,0.051939,0.080558,0.137700", \ - "0.018592,0.023244,0.028028,0.036496,0.051943,0.080558,0.137697", \ - "0.018650,0.023323,0.028106,0.036554,0.051962,0.080553,0.137718", \ - "0.021972,0.026000,0.030139,0.037862,0.052729,0.080910,0.137824", \ - "0.028320,0.032593,0.036719,0.043981,0.057717,0.083937,0.138942", \ - "0.035303,0.040168,0.044486,0.051691,0.064807,0.089711,0.142613"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.232534,4.297807,4.342103,4.384068,4.413426,4.433577,4.439311", \ - "4.223477,4.289213,4.335129,4.371745,4.405056,4.417233,4.437181", \ - "4.209685,4.272993,4.316547,4.357245,4.384563,4.399393,4.419485", \ - "4.205176,4.269727,4.316847,4.345191,4.387639,4.398005,4.418058", \ - "4.233792,4.298669,4.339527,4.383385,4.395083,4.433099,4.442372", \ - "4.298462,4.361965,4.406635,4.445469,4.475853,4.474327,4.496802", \ - "4.412833,4.474930,4.516993,4.551960,4.563289,4.603742,4.617250"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.167503,4.233319,4.274105,4.452426,4.517404,4.524831,4.549071", \ - "4.162257,4.228611,4.268412,4.437396,4.503845,4.522846,4.552590", \ - "4.142136,4.207653,4.248828,4.420995,4.484026,4.502945,4.528876", \ - "4.134653,4.198719,4.238761,4.417119,4.481470,4.500885,4.501748", \ - "4.159036,4.222759,4.258388,4.434149,4.488075,4.513577,4.542258", \ - "4.215245,4.282063,4.320702,4.497420,4.567452,4.581366,4.599694", \ - "4.337995,4.399932,4.444368,4.610077,4.674908,4.704241,4.707083"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.303742,3.420316,3.495269,3.538905,3.609492,3.642043,3.648712", \ - "3.291215,3.407480,3.474378,3.545385,3.570287,3.602565,3.644286", \ - "3.277378,3.398242,3.464153,3.515916,3.580556,3.614634,3.621196", \ - "3.283651,3.402069,3.466952,3.513686,3.562879,3.621072,3.641747", \ - "3.340277,3.449965,3.508790,3.544563,3.594988,3.630327,3.673796", \ - "3.442268,3.534151,3.587450,3.629442,3.691105,3.725220,3.737730", \ - "3.594926,3.673211,3.710967,3.735054,3.790741,3.822452,3.834117"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.302835,3.419400,3.494352,3.537989,3.608594,3.641165,3.649218", \ - "3.290314,3.406573,3.473469,3.544478,3.569440,3.601657,3.643485", \ - "3.276514,3.397365,3.463274,3.515037,3.580522,3.613741,3.620457", \ - "3.282842,3.401251,3.466122,3.512860,3.562041,3.620254,3.641010", \ - "3.339562,3.449242,3.508058,3.543891,3.594216,3.629507,3.673091", \ - "3.441712,3.533572,3.586823,3.628779,3.690427,3.724579,3.737088", \ - "3.594473,3.672741,3.710635,3.734534,3.790153,3.821982,3.833466"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.303192,3.419867,3.494779,3.538392,3.609181,3.641800,3.646099", \ - "3.290610,3.407038,3.473878,3.544902,3.569874,3.602267,3.644258", \ - "3.276886,3.397708,3.463698,3.515461,3.580981,3.614361,3.621129", \ - "3.283179,3.401646,3.466615,3.513234,3.562420,3.620630,3.641771", \ - "3.339869,3.449560,3.508404,3.544332,3.594657,3.630074,3.673804", \ - "3.442039,3.533933,3.586466,3.629259,3.690926,3.725125,3.736654", \ - "3.594729,3.672992,3.710859,3.734881,3.790561,3.822405,3.834176"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.818682,3.942900,4.004101,4.060944,4.130295,4.161051,4.161744", \ - "3.788240,3.911757,3.985595,4.022054,4.072364,4.138879,4.139239", \ - "3.765410,3.881699,3.954597,4.006260,4.069526,4.100915,4.108966", \ - "3.790651,3.908711,3.973062,4.018681,4.067185,4.099880,4.139700", \ - "3.888910,3.999197,4.061145,4.093568,4.142851,4.211047,4.218939", \ - "4.064339,4.159422,4.213443,4.254953,4.314036,4.346435,4.355459", \ - "4.328337,4.406086,4.443632,4.490320,4.524232,4.554779,4.563673"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.819778,3.944083,4.005079,4.062089,4.131423,4.162172,4.165742", \ - "3.793332,3.912939,3.986818,4.023759,4.073532,4.139786,4.140364", \ - "3.766452,3.882743,3.955663,4.007319,4.070662,4.102505,4.110095", \ - "3.791384,3.909534,3.974089,4.019746,4.068246,4.100933,4.140811", \ - "3.889787,4.000095,4.062049,4.094515,4.143853,4.212120,4.219958", \ - "4.065088,4.160173,4.214157,4.255759,4.314922,4.347468,4.356458", \ - "4.328913,4.406687,4.444203,4.491128,4.524977,4.555610,4.564591"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.819788,3.944100,4.017788,4.062007,4.131220,4.162191,4.162990", \ - "3.793460,3.912917,3.986793,4.023090,4.073535,4.139800,4.140384", \ - "3.766457,3.882669,3.955822,4.007015,4.070673,4.102010,4.110106", \ - "3.791389,3.909550,3.974089,4.019747,4.068265,4.100954,4.140822", \ - "3.889791,4.000099,4.062052,4.094461,4.143866,4.212133,4.219979", \ - "4.065091,4.160178,4.214161,4.255687,4.314937,4.347388,4.356470", \ - "4.329125,4.406587,4.444206,4.491077,4.524991,4.555624,4.564600"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.302150,3.418753,3.493718,3.537237,3.607774,3.640450,3.644557", \ - "3.289571,3.405927,3.472812,3.543759,3.568641,3.600847,3.642683", \ - "3.275849,3.396743,3.462617,3.514313,3.578942,3.612949,3.619640", \ - "3.282167,3.400611,3.465548,3.512105,3.561301,3.619379,3.640210", \ - "3.338905,3.448566,3.507384,3.543209,3.593495,3.628730,3.672360", \ - "3.441158,3.533022,3.585560,3.628234,3.689761,3.723847,3.736380", \ - "3.593937,3.672206,3.709997,3.733964,3.789581,3.821279,3.832793"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.819773,3.944076,4.018770,4.061970,4.131192,4.162212,4.165763", \ - "3.789345,3.912908,3.986746,4.023061,4.073489,4.139784,4.140426", \ - "3.768175,3.882744,3.955857,4.007349,4.070685,4.102538,4.110125", \ - "3.791402,3.909536,3.974139,4.019743,4.068265,4.100982,4.140834", \ - "3.889812,4.000118,4.062079,4.094404,4.143899,4.212124,4.220146", \ - "4.065100,4.160199,4.214178,4.255685,4.314941,4.347517,4.356498", \ - "4.328951,4.406622,4.444375,4.491134,4.525002,4.555665,4.564639"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.651171,4.701662,4.762231,4.804682,4.833923,4.884934,4.893517", \ - "4.619772,4.670179,4.722456,4.774799,4.809095,4.854921,4.870915", \ - "4.593535,4.641443,4.699124,4.798760,4.794553,4.836617,4.834794", \ - "4.622843,4.657874,4.777645,4.816319,4.811133,4.841979,4.862860", \ - "4.721634,4.807354,4.861883,4.838076,4.890651,4.922281,4.941876", \ - "4.829828,4.911567,4.952473,4.994259,5.047786,5.078404,5.097772", \ - "5.152000,5.207653,5.187158,5.216230,5.241203,5.267118,5.284769"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.650380,4.700842,4.761417,4.803649,4.832911,4.882636,4.910454", \ - "4.618965,4.669383,4.721654,4.774014,4.808273,4.854102,4.867607", \ - "4.592767,4.640674,4.698349,4.797541,4.793752,4.835848,4.834016", \ - "4.624000,4.657175,4.776912,4.815573,4.810372,4.841193,4.862100", \ - "4.721012,4.806699,4.861235,4.837407,4.889958,4.921563,4.941144", \ - "4.829324,4.911050,4.951946,4.993700,5.047187,5.077754,5.097115", \ - "5.151239,5.207280,5.186779,5.215803,5.240721,5.266565,5.284165"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.650756,4.701206,4.761738,4.804177,4.833394,4.897546,4.893014", \ - "4.619340,4.669802,4.721976,4.774153,4.808524,4.854361,4.870433", \ - "4.593142,4.641013,4.698678,4.802598,4.794020,4.835677,4.835610", \ - "4.622506,4.657484,4.777227,4.815867,4.810655,4.841529,4.862392", \ - "4.721361,4.807057,4.861553,4.837708,4.890217,4.921826,4.941478", \ - "4.829646,4.911332,4.952224,4.993981,5.047442,5.078192,5.097387", \ - "5.151891,5.207530,5.186875,5.216033,5.240958,5.266838,5.284507"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.649435,4.699662,4.760070,4.802058,4.830771,4.877868,4.923994", \ - "4.618002,4.668221,4.720365,4.763846,4.805798,4.851362,4.865520", \ - "4.591978,4.639490,4.696945,4.804181,4.791388,4.825976,4.829216", \ - "4.620130,4.663134,4.775452,4.813531,4.807921,4.838023,4.857345", \ - "4.719830,4.812724,4.859747,4.835575,4.887383,4.918098,4.936310", \ - "4.827957,4.909614,4.950327,4.991753,5.044907,5.073420,5.092226", \ - "5.149727,5.211276,5.184599,5.213697,5.238095,5.262975,5.313108"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.650373,4.700616,4.761034,4.802993,4.831672,4.882747,4.888896", \ - "4.618929,4.669165,4.721335,4.764829,4.806854,4.851733,4.866534", \ - "4.592878,4.640406,4.697867,4.805175,4.792375,4.826980,4.830262", \ - "4.621818,4.664000,4.776349,4.814656,4.808868,4.838985,4.858372", \ - "4.720584,4.813498,4.860524,4.836407,4.888296,4.919035,4.937250", \ - "4.828576,4.910306,4.950980,4.992447,5.045679,5.074239,5.093162", \ - "5.150172,5.211748,5.185077,5.214268,5.238723,5.263674,5.313972"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.650378,4.700621,4.761033,4.811312,4.831784,4.883848,4.888923", \ - "4.618896,4.669169,4.721332,4.764836,4.806866,4.851749,4.863850", \ - "4.592885,4.640409,4.697876,4.805188,4.792393,4.827006,4.830278", \ - "4.621822,4.664004,4.776356,4.814668,4.808885,4.839005,4.858381", \ - "4.720587,4.813500,4.860534,4.836420,4.888304,4.919048,4.937266", \ - "4.828585,4.910249,4.950990,4.992459,5.045690,5.074254,5.093156", \ - "5.150178,5.211752,5.179065,5.214272,5.238731,5.263684,5.313965"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.649848,4.700268,4.760768,4.803108,4.832270,4.878287,4.927600", \ - "4.618434,4.668866,4.721005,4.773133,4.807392,4.853092,4.868994", \ - "4.592241,4.640076,4.697715,4.801467,4.792900,4.834432,4.832909", \ - "4.621612,4.656563,4.776274,4.814849,4.809551,4.840284,4.860989", \ - "4.720514,4.806181,4.860651,4.836741,4.889156,4.920632,4.940099", \ - "4.828891,4.910655,4.951411,4.993105,5.046464,5.077069,5.096077", \ - "5.151276,5.206883,5.186198,5.215284,5.240103,5.265823,5.283289"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.650373,4.700617,4.761044,4.803090,4.831760,4.893244,4.925137", \ - "4.618931,4.669168,4.721338,4.764828,4.806836,4.852430,4.866610", \ - "4.592884,4.640413,4.697887,4.805131,4.792401,4.827019,4.830317", \ - "4.621830,4.664012,4.776359,4.814595,4.808896,4.839035,4.858409", \ - "4.720608,4.813522,4.860550,4.836422,4.888298,4.919061,4.937342", \ - "4.828597,4.910271,4.951015,4.992485,5.045709,5.074291,5.093202", \ - "5.150209,5.211783,5.185132,5.214288,5.238754,5.263735,5.314005"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.564479,2.747883,2.890878,3.051396,3.220673,3.315481,3.347103", \ - "2.546095,2.727893,2.862581,3.031677,3.200897,3.297771,3.325945", \ - "2.534829,2.705144,2.845252,3.016083,3.174890,3.270156,3.306219", \ - "2.549610,2.711885,2.847039,3.001711,3.175671,3.277381,3.314100", \ - "2.617055,2.768138,2.899299,3.061181,3.222814,3.327173,3.371709", \ - "2.668664,2.803655,2.928645,3.110071,3.307087,3.441696,3.486244", \ - "2.836401,2.936555,3.031859,3.191733,3.394398,3.571416,3.660479"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.781626,1.953486,2.038325,2.115474,2.190837,2.193001,2.262953", \ - "1.769107,1.941315,2.026185,2.103052,2.166482,2.235812,2.237021", \ - "1.750795,1.922376,1.996852,2.071366,2.156497,2.158880,2.229303", \ - "1.745610,1.898923,1.986890,2.055642,2.117919,2.120695,2.189680", \ - "1.773911,1.923720,1.991982,2.019561,2.053446,2.122713,2.126358", \ - "1.836867,2.001088,2.057166,2.094964,2.114713,2.178066,2.191933", \ - "1.941035,2.118154,2.201963,2.244271,2.241526,2.218970,2.295738"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.564478,2.747884,2.890879,3.051390,3.220667,3.315473,3.347090", \ - "2.546095,2.727894,2.862579,3.031672,3.200897,3.297769,3.325929", \ - "2.534831,2.705146,2.845253,3.016084,3.174889,3.270144,3.306214", \ - "2.549612,2.711887,2.847041,3.001705,3.175670,3.277375,3.314094", \ - "2.617058,2.768139,2.899300,3.061181,3.222811,3.327160,3.371702", \ - "2.668660,2.803657,2.928646,3.110071,3.307078,3.441695,3.486232", \ - "2.836401,2.936556,3.031857,3.191731,3.394399,3.571409,3.660466"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.781625,1.953486,2.038325,2.115473,2.190837,2.192985,2.262952", \ - "1.769104,1.941314,2.026184,2.103051,2.166475,2.235812,2.237015", \ - "1.750793,1.922375,1.996849,2.071362,2.156497,2.158863,2.229302", \ - "1.745609,1.898920,1.986891,2.055643,2.117918,2.120678,2.189679", \ - "1.773911,1.923721,1.991982,2.019552,2.053428,2.122702,2.126341", \ - "1.836868,2.001089,2.057162,2.094960,2.114703,2.178065,2.191924", \ - "1.941035,2.118153,2.201962,2.244270,2.241521,2.218951,2.295736"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.564474,2.747876,2.890870,3.051396,3.220661,3.315488,3.347116", \ - "2.546090,2.727885,2.862576,3.031677,3.200894,3.297771,3.325964", \ - "2.534821,2.705136,2.845245,3.016077,3.174887,3.270169,3.306224", \ - "2.548275,2.711878,2.847032,3.001713,3.175668,3.277385,3.314105", \ - "2.617050,2.768134,2.899293,3.061177,3.222814,3.327187,3.371716", \ - "2.668660,2.803651,2.928642,3.110067,3.307094,3.441694,3.486258", \ - "2.836400,2.936551,3.031858,3.191732,3.394395,3.571422,3.660493"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.781629,1.953484,2.038321,2.115471,2.190834,2.193017,2.262953", \ - "1.768893,1.941315,2.026183,2.103050,2.166485,2.235809,2.237028", \ - "1.750798,1.922374,1.996852,2.071367,2.156494,2.158897,2.229303", \ - "1.745611,1.898924,1.986886,2.055637,2.117918,2.120713,2.189680", \ - "1.773909,1.923716,1.991978,2.019568,2.053462,2.122722,2.126376", \ - "1.836866,2.001085,2.057168,2.094963,2.114720,2.178065,2.191941", \ - "1.941035,2.118153,2.201960,2.244268,2.241529,2.218988,2.295738"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.559461,2.734865,2.878335,3.039429,3.201304,3.301111,3.338742", \ - "2.533288,2.707524,2.850760,3.019315,3.188380,3.286206,3.315053", \ - "2.521777,2.692038,2.832108,3.003292,3.162395,3.257931,3.294436", \ - "2.535284,2.691128,2.833702,2.987917,3.162464,3.264971,3.302011", \ - "2.604295,2.755048,2.874108,3.047326,3.210704,3.314291,3.357651", \ - "2.656588,2.790959,2.916462,3.097629,3.294921,3.422944,3.475590", \ - "2.818443,2.925326,3.023625,3.184681,3.386562,3.562940,3.651346"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.789582,1.961477,2.046139,2.122877,2.199333,2.200175,2.269804", \ - "1.791925,1.949244,2.034377,2.110442,2.173482,2.243081,2.244084", \ - "1.758884,1.930406,2.004560,2.078756,2.163501,2.215488,2.236752", \ - "1.753587,1.920816,1.994602,2.063759,2.125589,2.128059,2.201669", \ - "1.782229,1.932178,1.999899,2.036490,2.061047,2.130489,2.134218", \ - "1.842210,2.007013,2.066231,2.103397,2.122907,2.186646,2.198028", \ - "1.952397,2.125960,2.212526,2.249416,2.243146,2.223433,2.300195"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.950187,3.096891,3.226535,3.340569,3.399870,3.417910,3.436312", \ - "2.941815,3.080727,3.216712,3.344544,3.392507,3.411042,3.428198", \ - "2.930726,3.068670,3.209107,3.321743,3.372773,3.390885,3.410018", \ - "2.928426,3.071397,3.202859,3.311367,3.356823,3.410925,3.397777", \ - "2.987623,3.111611,3.241117,3.358674,3.380355,3.435058,3.423198", \ - "3.074818,3.186445,3.309412,3.398958,3.442826,3.468043,3.484739", \ - "3.153825,3.263867,3.392787,3.531165,3.559583,3.563188,3.555517"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.950180,3.096718,3.226349,3.340374,3.399631,3.417623,3.436006", \ - "2.941655,3.080553,3.216525,3.344359,3.392265,3.410770,3.427874", \ - "2.930566,3.068498,3.208925,3.321535,3.372543,3.390607,3.409710", \ - "2.928269,3.071230,3.202681,3.311226,3.356598,3.410659,3.397472", \ - "2.987478,3.111456,3.240953,3.358497,3.380133,3.434816,3.422889", \ - "3.074700,3.186315,3.309270,3.398798,3.442640,3.467806,3.484462", \ - "3.153741,3.263774,3.392685,3.531043,3.559439,3.563111,3.555261"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.952337,3.097319,3.226986,3.341062,3.400408,3.418493,3.436822", \ - "2.942209,3.081149,3.217161,3.345032,3.393051,3.411603,3.428726", \ - "2.931112,3.069082,3.209541,3.322222,3.373292,3.391444,3.410513", \ - "2.928790,3.071783,3.203270,3.311888,3.357315,3.411446,3.398251", \ - "2.987941,3.111951,3.241474,3.359061,3.380808,3.435515,3.423654", \ - "3.075053,3.186701,3.309667,3.399277,3.443184,3.468450,3.485111", \ - "3.153954,3.264015,3.392960,3.531368,3.559822,3.563498,3.555817"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.885946,3.031754,3.161555,3.275043,3.333451,3.351407,3.371014", \ - "2.878040,3.016938,3.152700,3.279531,3.327275,3.345673,3.364333", \ - "2.867599,3.005541,3.145936,3.257978,3.308054,3.326058,3.344973", \ - "2.863864,3.007585,3.133325,3.245613,3.291099,3.345206,3.351765", \ - "2.913803,3.048322,3.176817,3.294227,3.314862,3.368529,3.357663", \ - "3.015801,3.122689,3.246409,3.335948,3.378923,3.413857,3.419814", \ - "3.092499,3.204024,3.336023,3.444076,3.484606,3.501529,3.490726"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.559453,2.734859,2.878331,3.039416,3.201287,3.301082,3.338729", \ - "2.533278,2.707516,2.850752,3.019303,3.188378,3.286202,3.315026", \ - "2.521771,2.692033,2.832105,3.003289,3.162390,3.257910,3.294428", \ - "2.535298,2.691120,2.833698,2.987902,3.162459,3.264963,3.302004", \ - "2.604289,2.755041,2.874097,3.047322,3.210700,3.314273,3.357639", \ - "2.656580,2.790954,2.916457,3.097624,3.294904,3.422911,3.475567", \ - "2.818433,2.925321,3.023620,3.184676,3.386559,3.562925,3.651323"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.789573,1.961471,2.046134,2.122872,2.199329,2.200144,2.269799", \ - "1.791922,1.949236,2.034371,2.110435,2.173469,2.243078,2.244069", \ - "1.758874,1.930399,2.004550,2.078746,2.163497,2.215484,2.236747", \ - "1.753579,1.920812,1.994598,2.063756,2.125584,2.128027,2.201662", \ - "1.782224,1.932174,1.999895,2.036475,2.061015,2.130469,2.134187", \ - "1.842203,2.007006,2.066220,2.103386,2.122887,2.186152,2.198012", \ - "1.952392,2.125953,2.212522,2.249410,2.243132,2.223398,2.300188"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.559456,2.734862,2.878333,3.039419,3.201291,3.301088,3.338732", \ - "2.533282,2.707519,2.850755,3.019306,3.188379,3.286203,3.315031", \ - "2.521774,2.692036,2.832107,3.003290,3.162392,3.257914,3.294429", \ - "2.535282,2.691123,2.833700,2.987906,3.162460,3.260326,3.302005", \ - "2.604292,2.755044,2.874100,3.047323,3.210701,3.314277,3.357642", \ - "2.656583,2.790956,2.916459,3.097626,3.294907,3.422918,3.475572", \ - "2.818436,2.925323,3.023622,3.184678,3.386560,3.562928,3.651328"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.789576,1.961473,2.046136,2.122873,2.199330,2.200151,2.269801", \ - "1.791975,1.949239,2.034373,2.110437,2.173472,2.243080,2.244073", \ - "1.758877,1.930401,2.004553,2.078748,2.163498,2.215486,2.236748", \ - "1.753582,1.920814,1.994600,2.063757,2.125585,2.128034,2.201664", \ - "1.782226,1.932176,1.999897,2.036478,2.061022,2.130473,2.134194", \ - "1.842205,2.007008,2.066223,2.103389,2.122891,2.186154,2.198016", \ - "1.952394,2.125955,2.212523,2.249411,2.243466,2.223406,2.300190"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.564471,2.747876,2.890871,3.051388,3.220658,3.315478,3.347100", \ - "2.546088,2.727886,2.862573,3.031670,3.200893,3.297769,3.325942", \ - "2.534822,2.705137,2.845246,3.016078,3.174885,3.270152,3.306218", \ - "2.548276,2.711879,2.847033,3.001704,3.175666,3.277378,3.314098", \ - "2.617051,2.768133,2.899293,3.061176,3.222810,3.327169,3.371707", \ - "2.668657,2.803651,2.928642,3.110067,3.307082,3.441694,3.486242", \ - "2.836398,2.936552,3.031855,3.191729,3.394395,3.571413,3.660476"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.781625,1.953483,2.038321,2.115469,2.190833,2.192995,2.262951", \ - "1.769105,1.941312,2.026181,2.103048,2.166476,2.235809,2.237018", \ - "1.750794,1.922373,1.996847,2.071361,2.156493,2.158874,2.229301", \ - "1.745609,1.898919,1.986887,2.055638,2.117915,2.120689,2.189678", \ - "1.773909,1.923717,1.991978,2.019555,2.053438,2.122708,2.126353", \ - "1.836866,2.001085,2.057162,2.094958,2.114707,2.178063,2.191929", \ - "1.941033,2.118151,2.201959,2.244266,2.241521,2.218963,2.295735"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.559463,2.734867,2.878336,3.039432,3.201309,3.301119,3.338745", \ - "2.533291,2.707527,2.850762,3.019319,3.188381,3.286207,3.315060", \ - "2.521778,2.692039,2.832110,3.003293,3.162396,3.257937,3.294438", \ - "2.535286,2.691130,2.833703,2.987921,3.162465,3.264974,3.302012", \ - "2.604297,2.755051,2.874112,3.047327,3.210705,3.314296,3.357655", \ - "2.656591,2.790961,2.916464,3.097630,3.294925,3.422952,3.475597", \ - "2.818445,2.925327,3.023627,3.184683,3.386562,3.562944,3.651352"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.789585,1.961479,2.046140,2.122879,2.199335,2.200183,2.269806", \ - "1.791737,1.949246,2.034379,2.110443,2.173486,2.243082,2.244088", \ - "1.758887,1.930408,2.004563,2.078759,2.163502,2.215490,2.236754", \ - "1.753589,1.920816,1.994603,2.063760,2.125590,2.128068,2.201671", \ - "1.782231,1.932179,1.999900,2.036494,2.061056,2.130495,2.134227", \ - "1.842212,2.007015,2.066235,2.103400,2.122912,2.186647,2.198033", \ - "1.952399,2.125962,2.212528,2.249417,2.243150,2.223442,2.300197"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.886148,3.031918,3.161740,3.275257,3.333703,3.351640,3.371292", \ - "2.878193,3.017096,3.152868,3.279737,3.327492,3.345949,3.364587", \ - "2.867746,3.005691,3.146112,3.258161,3.308300,3.326293,3.345253", \ - "2.863998,3.007732,3.133481,3.245538,3.291329,3.345452,3.352074", \ - "2.913922,3.048454,3.176975,3.294423,3.316375,3.368790,3.357879", \ - "3.015905,3.122798,3.246521,3.336089,3.379111,3.414058,3.420054", \ - "3.092554,3.204086,3.336110,3.444168,3.484769,3.501707,3.490937"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.887116,3.032560,3.162434,3.276039,3.334598,3.352683,3.372411", \ - "2.878792,3.017731,3.153547,3.280515,3.328365,3.346970,3.365686", \ - "2.868334,3.006319,3.146794,3.258906,3.309183,3.327281,3.346354", \ - "2.864567,3.008337,3.134126,3.246229,3.292175,3.346411,3.353075", \ - "2.914443,3.049007,3.177578,3.295110,3.315803,3.369714,3.358909", \ - "3.016332,3.123254,3.247014,3.336661,3.379806,3.414888,3.421028", \ - "3.092830,3.204395,3.336467,3.444590,3.485330,3.502418,3.491824"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.949124,3.096741,3.226368,3.340381,3.399587,3.417631,3.435909", \ - "2.941671,3.080575,3.216550,3.344359,3.392290,3.410755,3.427793", \ - "2.930584,3.068520,3.208943,3.321563,3.372549,3.390603,3.409606", \ - "2.933213,3.071249,3.202701,3.312280,3.356602,3.410640,3.397360", \ - "2.987490,3.111470,3.240961,3.358494,3.380144,3.434767,3.422778", \ - "3.074698,3.186315,3.309248,3.398795,3.442614,3.467759,3.484308", \ - "3.153726,3.263758,3.392661,3.531015,3.559382,3.562908,3.555084"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.886349,3.032420,3.162278,3.275848,3.334360,3.352404,3.372117", \ - "2.878664,3.017596,3.153405,3.280327,3.328161,3.346689,3.365424", \ - "2.868207,3.006184,3.146636,3.258742,3.308944,3.327037,3.346056", \ - "2.864442,3.008203,3.133988,3.246119,3.291950,3.346163,3.352545", \ - "2.914323,3.048882,3.177428,3.294917,3.316967,3.369441,3.358672", \ - "3.016222,3.123142,3.246899,3.336517,3.379609,3.414670,3.420767", \ - "3.092764,3.204322,3.336327,3.444490,3.485155,3.502230,3.491594"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.810507,3.007652,3.170255,3.375133,3.628569,3.873574,4.229439", \ - "2.791160,2.987684,3.150014,3.354517,3.605774,3.865822,4.204738", \ - "2.759108,2.956299,3.122651,3.340143,3.581679,3.838541,4.186407", \ - "2.786020,2.969328,3.131432,3.347576,3.583916,3.848622,4.199114", \ - "2.871741,3.045025,3.207651,3.410768,3.650872,3.898115,4.270144", \ - "2.926461,3.096614,3.247146,3.475443,3.764520,4.039864,4.402320", \ - "3.128398,3.252685,3.376242,3.568838,3.854739,4.169619,4.576846"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.417193,4.621874,4.716616,4.790695,4.847850,4.853021,4.872277", \ - "4.411674,4.616606,4.711383,4.780587,4.828456,4.833882,4.937806", \ - "4.413860,4.603218,4.691958,4.753193,4.789058,4.863199,4.928727", \ - "4.418231,4.607110,4.704119,4.762471,4.819837,4.825273,4.929480", \ - "4.405431,4.610593,4.704291,4.783383,4.808460,4.881569,4.933711", \ - "4.420859,4.610382,4.707648,4.772718,4.799765,4.874355,4.933297", \ - "4.433297,4.622829,4.719365,4.792975,4.856623,4.908129,4.928435"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.810510,3.007655,3.170256,3.375127,3.628566,3.873557,4.229426", \ - "2.791164,2.987687,3.150015,3.354512,3.605770,3.865822,4.204759", \ - "2.759110,2.956300,3.122652,3.340143,3.581678,3.838541,4.186323", \ - "2.786070,2.969331,3.131433,3.347577,3.583911,3.848623,4.199109", \ - "2.871745,3.045025,3.207654,3.410769,3.650601,3.898097,4.270136", \ - "2.926462,3.096616,3.247147,3.475452,3.764522,4.039866,4.402308", \ - "3.128400,3.252687,3.376243,3.568834,3.854740,4.169611,4.576833"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.417192,4.621875,4.716619,4.790695,4.847850,4.853005,4.872256", \ - "4.411672,4.616606,4.711381,4.780585,4.828450,4.833861,4.937805", \ - "4.413860,4.603215,4.691953,4.753183,4.789041,4.863188,4.928725", \ - "4.418232,4.607109,4.704119,4.762465,4.819830,4.825251,4.929478", \ - "4.405429,4.610594,4.704291,4.783384,4.808449,4.881565,4.933710", \ - "4.420861,4.610381,4.707647,4.772713,4.799749,4.874346,4.933295", \ - "4.433297,4.622826,4.719362,4.792972,4.856619,4.908126,4.928426"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.810500,3.007646,3.170251,3.375136,3.628569,3.873590,4.229494", \ - "2.791153,2.987677,3.150008,3.354519,3.605773,3.865818,4.204752", \ - "2.759104,2.956294,3.122647,3.340139,3.581678,3.838539,4.186328", \ - "2.786060,2.969324,3.131428,3.347570,3.583917,3.848617,4.199114", \ - "2.871736,3.045022,3.207646,3.410764,3.650871,3.898132,4.270149", \ - "2.926474,3.096611,3.247144,3.475439,3.764515,4.039859,4.402329", \ - "3.128395,3.252682,3.376239,3.568840,3.854734,4.169625,4.576857"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.417177,4.621856,4.716601,4.790682,4.847843,4.853033,4.872294", \ - "4.411660,4.616589,4.711366,4.780577,4.828455,4.833900,4.937803", \ - "4.413843,4.603203,4.691946,4.753189,4.789069,4.863204,4.928724", \ - "4.418212,4.607094,4.704103,4.762464,4.819836,4.825292,4.929476", \ - "4.405416,4.610575,4.704275,4.783369,4.808464,4.881566,4.933705", \ - "4.420841,4.610366,4.707631,4.772710,4.799774,4.874358,4.933293", \ - "4.433281,4.622814,4.719351,4.792966,4.856616,4.908126,4.928440"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.810775,3.007955,3.170664,3.375602,3.628690,3.873837,4.230876", \ - "2.791436,2.987948,3.150389,3.354993,3.606406,3.866458,4.206186", \ - "2.759439,2.956668,3.123125,3.340668,3.582301,3.839367,4.187816", \ - "2.786505,2.969806,3.132022,3.348189,3.584688,3.849605,4.200781", \ - "2.872340,3.045671,3.208443,3.411558,3.647529,3.899379,4.272122", \ - "2.927356,3.097561,3.248338,3.476646,3.765777,4.041424,4.404709", \ - "3.129601,3.253987,3.377586,3.579602,3.856384,4.171655,4.579696"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.416500,4.621366,4.712994,4.790800,4.846048,4.851127,4.942717", \ - "4.425601,4.614640,4.710137,4.779022,4.826616,4.831913,4.937243", \ - "4.411367,4.602249,4.708459,4.759478,4.787147,4.861069,4.929050", \ - "4.415472,4.604825,4.706051,4.760604,4.817886,4.891623,4.929251", \ - "4.404766,4.609875,4.701042,4.775276,4.806181,4.879858,4.900507", \ - "4.413854,4.618741,4.707935,4.769672,4.796622,4.870778,4.933132", \ - "4.429310,4.634075,4.716064,4.790007,4.830992,4.903891,4.942395"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.829062,2.921540,2.995767,3.086123,3.335811,4.582165,4.907401", \ - "2.820420,2.911264,2.985496,3.089697,3.342666,4.571570,4.898653", \ - "2.810370,2.899625,2.962383,3.065865,3.305363,4.526405,4.879510", \ - "2.821358,2.901785,2.970671,3.057987,3.301033,4.562760,4.867323", \ - "2.886757,2.951184,3.011556,3.094111,3.345880,4.606075,4.899160", \ - "2.984479,3.047991,3.094975,3.175781,3.430305,4.656978,4.972689", \ - "3.089194,3.137378,3.196163,3.285916,3.523026,4.742326,5.054361"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.828904,2.921370,2.995585,3.085917,3.335573,4.581873,4.907082", \ - "2.820262,2.910550,2.985313,3.089496,3.342431,4.571305,4.898335", \ - "2.810213,2.899455,2.962202,3.065662,3.305127,4.526132,4.879208", \ - "2.821099,2.901619,2.970302,3.057788,3.300815,4.562501,4.867023", \ - "2.886615,2.951030,3.011397,3.093933,3.345663,4.605836,4.898855", \ - "2.984361,3.047864,3.094833,3.175624,3.430124,4.656741,4.972420", \ - "3.089113,3.137287,3.196063,3.283237,3.522885,4.742127,5.054112"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.829446,2.921950,2.996201,3.086601,3.336316,4.582704,4.907900", \ - "2.820803,2.911128,2.985928,3.090121,3.343182,4.572163,4.899169", \ - "2.810743,2.900020,2.962803,3.066304,3.305860,4.526947,4.879991", \ - "2.821607,2.902161,2.970875,3.058480,3.301507,4.563263,4.867784", \ - "2.887068,2.951515,3.011907,3.094489,3.346318,4.606518,4.899604", \ - "2.984712,3.048242,3.095252,3.176086,3.430651,4.657369,4.973049", \ - "3.089321,3.137526,3.196326,3.283564,3.523261,4.742625,5.054654"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.828992,2.921906,2.996175,3.086681,3.336602,4.585939,4.910902", \ - "2.820677,2.911432,2.985930,3.090288,3.342625,4.551817,4.902597", \ - "2.810521,2.900048,2.962853,3.066544,3.306135,4.528099,4.883055", \ - "2.821638,2.901962,2.971193,3.058811,3.301423,4.565810,4.870904", \ - "2.887239,2.951803,3.012258,3.094526,3.346991,4.608070,4.902836", \ - "2.985094,3.048747,3.095838,3.176831,3.431654,4.659784,4.977385", \ - "3.084813,3.138322,3.195480,3.287141,3.524643,4.744792,5.058215"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.810767,3.007948,3.170655,3.375584,3.628676,3.873803,4.230849", \ - "2.791429,2.987902,3.150381,3.354976,3.606393,3.866453,4.206187", \ - "2.759429,2.956659,3.123116,3.340660,3.582291,3.839361,4.187881", \ - "2.786451,2.969796,3.131836,3.348183,3.584673,3.849602,4.200769", \ - "2.872333,3.045660,3.208437,3.411550,3.645525,3.899343,4.272103", \ - "2.927351,3.097554,3.248328,3.476639,3.765773,4.041421,4.404683", \ - "3.129587,3.253980,3.377579,3.579594,3.856379,4.171636,4.579668"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.416490,4.621361,4.712988,4.790798,4.846042,4.851099,4.942713", \ - "4.425597,4.614633,4.710131,4.779015,4.826604,4.831877,4.937241", \ - "4.411357,4.602238,4.708455,4.759473,4.787116,4.861049,4.929045", \ - "4.415464,4.604815,4.706047,4.760589,4.817872,4.891621,4.929247", \ - "4.404755,4.609869,4.701035,4.775269,4.806160,4.879848,4.900487", \ - "4.413844,4.618736,4.707930,4.769659,4.796592,4.870760,4.933126", \ - "4.429298,4.634069,4.716053,4.789996,4.830972,4.903884,4.942386"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.810771,3.007952,3.170658,3.375589,3.628682,3.873811,4.230856", \ - "2.791433,2.987905,3.150384,3.354981,3.606397,3.866455,4.206160", \ - "2.759433,2.956663,3.123120,3.340663,3.582294,3.839364,4.187885", \ - "2.786455,2.969801,3.131840,3.348186,3.584677,3.849603,4.200772", \ - "2.872337,3.045665,3.208440,3.411553,3.651596,3.899351,4.272108", \ - "2.927375,3.097563,3.248332,3.476642,3.765775,4.041422,4.404690", \ - "3.129598,3.253983,3.377582,3.579597,3.856382,4.171641,4.579675"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.416494,4.621363,4.712990,4.790799,4.846043,4.851105,4.942713", \ - "4.425599,4.614635,4.710133,4.779017,4.826607,4.831884,4.937242", \ - "4.411360,4.602241,4.708456,4.759484,4.787122,4.861054,4.929047", \ - "4.415468,4.604818,4.706050,4.760593,4.817876,4.891622,4.929249", \ - "4.404759,4.609871,4.701037,4.775271,4.806165,4.879851,4.900492", \ - "4.413848,4.618738,4.707931,4.769662,4.796598,4.870764,4.933128", \ - "4.429302,4.634072,4.716056,4.789999,4.830976,4.903886,4.942389"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.810502,3.007647,3.170250,3.375126,3.628563,3.873566,4.229431", \ - "2.791155,2.987679,3.150008,3.354510,3.605767,3.865817,4.204766", \ - "2.759103,2.956294,3.122646,3.340137,3.581674,3.838537,4.186321", \ - "2.786062,2.969324,3.131427,3.347570,3.583910,3.848617,4.199107", \ - "2.871738,3.045020,3.207647,3.410763,3.650597,3.898107,4.270137", \ - "2.926472,3.096627,3.247143,3.475439,3.764516,4.039860,4.402312", \ - "3.128395,3.252682,3.376239,3.568833,3.854735,4.169613,4.576838"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.417175,4.621856,4.716602,4.790682,4.847842,4.853012,4.872267", \ - "4.411656,4.616588,4.711364,4.780574,4.828447,4.833872,4.937802", \ - "4.413842,4.603198,4.691940,4.753176,4.789046,4.863191,4.928721", \ - "4.418213,4.607091,4.704102,4.762456,4.819827,4.825263,4.929474", \ - "4.405412,4.610575,4.704274,4.783370,4.808450,4.881562,4.933705", \ - "4.420841,4.610363,4.707629,4.772703,4.799753,4.874346,4.933290", \ - "4.433279,4.622810,4.719347,4.792961,4.856612,4.908122,4.928427"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.810777,3.007958,3.170667,3.375607,3.628694,3.873846,4.230883", \ - "2.791438,2.987950,3.150391,3.354998,3.606410,3.866460,4.206229", \ - "2.759442,2.956671,3.123128,3.340670,3.582304,3.839369,4.187820", \ - "2.786507,2.969808,3.131848,3.348191,3.584692,3.849607,4.200785", \ - "2.872342,3.045675,3.208445,3.411560,3.651829,3.899388,4.272128", \ - "2.927359,3.097585,3.248340,3.476650,3.765778,4.041425,4.404716", \ - "3.129603,3.253989,3.377588,3.579605,3.856385,4.171660,4.579704"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.416504,4.621368,4.712996,4.790801,4.846049,4.851135,4.942719", \ - "4.425603,4.614642,4.710139,4.779024,4.826620,4.831923,4.937244", \ - "4.411370,4.602252,4.708460,4.759481,4.787156,4.861074,4.929052", \ - "4.415474,4.604827,4.706052,4.760608,4.817890,4.891624,4.929252", \ - "4.404770,4.609877,4.701044,4.775278,4.806187,4.879860,4.900513", \ - "4.413857,4.618742,4.707937,4.769676,4.796630,4.870783,4.933133", \ - "4.429313,4.634077,4.716068,4.790010,4.830997,4.903893,4.942398"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.829134,2.922060,2.996350,3.086884,3.336832,4.586144,4.911180", \ - "2.820818,2.911823,2.986092,3.090487,3.342787,4.552055,4.900512", \ - "2.810659,2.900197,2.963016,3.066718,3.306357,4.528313,4.883320", \ - "2.821869,2.900859,2.971153,3.058966,3.301604,4.566076,4.871165", \ - "2.887353,2.951927,3.012402,3.094699,3.347148,4.608309,4.903050", \ - "2.985193,3.048855,3.095941,3.176970,3.431824,4.660036,4.977616", \ - "3.084884,3.138384,3.195562,3.284678,3.524792,4.744958,5.058412"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.829722,2.922697,2.997036,3.087655,3.337709,4.587118,4.912254", \ - "2.821403,2.912455,2.986762,3.091213,3.343653,4.553053,4.901582", \ - "2.811239,2.900808,2.963681,3.067365,3.307223,4.529294,4.884407", \ - "2.822429,2.901453,2.971791,3.059666,3.302443,4.567036,4.872230", \ - "2.887865,2.952471,3.012992,3.095367,3.347903,4.609210,4.904072", \ - "2.985611,3.049305,3.096420,3.177527,3.432509,4.660843,4.978587", \ - "3.085142,3.138691,3.195916,3.285098,3.525351,4.745650,5.059296"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.828922,2.921389,2.995601,3.085948,3.335703,4.581883,4.906971", \ - "2.820281,2.910570,2.985335,3.089509,3.342439,4.571338,4.898248", \ - "2.810232,2.899476,2.962221,3.065665,3.305128,4.526121,4.879097", \ - "2.821116,2.901638,2.970320,3.057869,3.300814,4.562472,4.866905", \ - "2.886627,2.951044,3.011405,3.093932,3.345669,4.605782,4.898739", \ - "2.984361,3.047862,3.094836,3.175615,3.430094,4.656684,4.972257", \ - "3.089096,3.137270,3.196038,3.285768,3.522825,4.742043,5.053928"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.829603,2.922565,2.996885,3.087808,3.337434,4.586757,4.911949", \ - "2.821284,2.912085,2.986624,3.091072,3.343515,4.552811,4.903617", \ - "2.811120,2.900687,2.963538,3.067208,3.307011,4.529061,4.884094", \ - "2.822212,2.902557,2.971656,3.059522,3.302275,4.566730,4.871929", \ - "2.887751,2.952348,3.012854,3.095195,3.347748,4.608959,4.903814", \ - "2.985511,3.049198,3.096317,3.177386,3.432332,4.660553,4.978312", \ - "3.085095,3.138619,3.195823,3.287552,3.525185,4.745479,5.059062"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFRS_X2 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and active low set, and drive strength X2 - *******************************************************************************************/ - - cell (SDFFRS_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - preset : "!SN"; - clear : "!RN"; - clear_preset_var1 : L; - clear_preset_var2 : L; - } - - area : 8.246000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 102.566446; - - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 95.173850; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & SN & !Q & QN"; - value : 92.067711; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 102.206529; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & SN & !Q & QN"; - value : 99.100295; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 92.589926; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & SN & !Q & QN"; - value : 89.483502; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & !SN & !Q & !QN"; - value : 106.405842; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & SN & !Q & QN"; - value : 106.126409; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & !SN & Q & !QN"; - value : 96.660277; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & SN & !Q & QN"; - value : 99.741421; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & SN & Q & !QN"; - value : 100.948434; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & !SN & Q & !QN"; - value : 103.692481; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & SN & !Q & QN"; - value : 106.774196; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & SN & Q & !QN"; - value : 107.981114; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & !SN & Q & !QN"; - value : 94.076163; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & SN & !Q & QN"; - value : 97.157212; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & SN & Q & !QN"; - value : 98.364416; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & !SN & Q & !QN"; - value : 99.277337; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & SN & !Q & QN"; - value : 108.639216; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & SN & Q & !QN"; - value : 103.855815; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 109.656894; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & SN & !Q & QN"; - value : 109.377756; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 110.703661; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & SN & !Q & QN"; - value : 110.424523; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 101.907887; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & SN & !Q & QN"; - value : 98.801558; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & !SN & !Q & !QN"; - value : 107.768950; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & SN & !Q & QN"; - value : 107.489622; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & !SN & Q & !QN"; - value : 102.528864; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & SN & !Q & QN"; - value : 111.890753; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & SN & Q & !QN"; - value : 107.106961; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & !SN & Q & !QN"; - value : 103.575631; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & SN & !Q & QN"; - value : 112.937520; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & SN & Q & !QN"; - value : 108.154014; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & !SN & Q & !QN"; - value : 103.394124; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & SN & !Q & QN"; - value : 106.475268; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & SN & Q & !QN"; - value : 107.682472; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & !SN & Q & !QN"; - value : 100.640635; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & SN & !Q & QN"; - value : 110.002428; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & SN & Q & !QN"; - value : 105.219017; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 98.677706; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & SN & !Q & QN"; - value : 92.388536; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 105.708485; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & SN & !Q & QN"; - value : 99.419885; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 96.092452; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & SN & !Q & QN"; - value : 89.803757; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & !SN & !Q & !QN"; - value : 91.630473; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & SN & !Q & QN"; - value : 91.311767; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & !SN & Q & !QN"; - value : 106.028873; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & SN & !Q & QN"; - value : 99.208396; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & SN & Q & !QN"; - value : 111.457496; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & !SN & Q & !QN"; - value : 113.060602; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & SN & !Q & QN"; - value : 106.240029; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & SN & Q & !QN"; - value : 118.488275; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & !SN & Q & !QN"; - value : 103.444284; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & SN & !Q & QN"; - value : 96.623616; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & SN & Q & !QN"; - value : 108.872337; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & !SN & Q & !QN"; - value : 98.966535; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & SN & !Q & QN"; - value : 98.132948; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & SN & Q & !QN"; - value : 104.396773; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 94.882276; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & SN & !Q & QN"; - value : 94.563665; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 95.929233; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & SN & !Q & QN"; - value : 95.610812; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 105.407944; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & SN & !Q & QN"; - value : 99.119627; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & !SN & !Q & !QN"; - value : 92.994141; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & SN & !Q & QN"; - value : 92.675720; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & !SN & Q & !QN"; - value : 102.218337; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & SN & !Q & QN"; - value : 101.384750; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & SN & Q & !QN"; - value : 107.648576; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & !SN & Q & !QN"; - value : 103.265390; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & SN & !Q & QN"; - value : 102.431897; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & SN & Q & !QN"; - value : 108.695532; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & !SN & Q & !QN"; - value : 112.759110; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & SN & !Q & QN"; - value : 105.939487; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & SN & Q & !QN"; - value : 118.187733; - } - leakage_power () { - when : "CK & D & RN & SE & SI & !SN & Q & !QN"; - value : 100.330298; - } - leakage_power () { - when : "CK & D & RN & SE & SI & SN & !Q & QN"; - value : 99.496806; - } - leakage_power () { - when : "CK & D & RN & SE & SI & SN & Q & !QN"; - value : 105.760441; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.099217; - fall_capacitance : 1.035432; - rise_capacitance : 1.099217; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & !SE & SN"; - sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.064535,-0.013260,0.043533", \ - "-0.107249,-0.054294,0.005081", \ - "0.158744,0.215560,0.280967"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.022211,0.014028,0.048137", \ - "-0.022506,0.009176,0.020985", \ - "0.116422,0.145011,0.122298"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & !SE & SN"; - sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.234644,0.205695,0.221747", \ - "0.292303,0.263281,0.279081", \ - "0.447461,0.417496,0.431300"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.232092,0.175560,0.110220", \ - "0.276334,0.219570,0.154318", \ - "0.342012,0.285201,0.219820"); - } - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.020024,4.003725,3.976192,3.964165,3.973373,4.024308,4.140400"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.167883,3.144904,3.116458,3.093236,3.094423,3.144103,3.265168"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.020888,4.004813,3.980144,3.965295,3.974815,4.027890,4.142802"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.192643,3.172446,3.143624,3.118881,3.117965,3.171293,3.292323"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.014391,3.995646,3.967983,3.955764,3.964594,4.015227,4.130818"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.170375,3.147395,3.118962,3.095809,3.094801,3.146630,3.267389"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.012231,3.996285,3.968428,3.956357,3.965635,4.018349,4.132785"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.187904,3.167516,3.137382,3.113306,3.112659,3.166374,3.286465"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.547694,0.524593,0.515824,0.512208,0.509239,0.509187,0.508945"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.444214,-0.446719,-0.450899,-0.454549,-0.456800,-0.458389,-0.459242"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.547161,0.524041,0.515291,0.511686,0.508789,0.508689,0.508549"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446903,-0.449458,-0.453630,-0.457264,-0.459491,-0.461039,-0.461838"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480060,0.483369,0.484133,0.484712,0.484691,0.486246,0.484791"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.329841,-0.361901,-0.400885,-0.420500,-0.430901,-0.437183,-0.441851"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480204,0.483502,0.484273,0.484853,0.484862,0.486387,0.484970"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.329772,-0.361858,-0.400840,-0.420449,-0.430843,-0.437115,-0.441768"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.346124,5.327880,5.302657,5.289963,5.297520,5.346504,5.461495"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.869449,3.847722,3.819305,3.796723,3.797388,3.844117,3.969072"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.427751,5.408931,5.385109,5.370641,5.379153,5.428755,5.543734"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.998896,3.976533,3.947841,3.923569,3.923620,3.965807,4.091069"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.394852,5.376789,5.352010,5.338215,5.345941,5.394886,5.508724"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.887199,3.862980,3.835969,3.812929,3.812900,3.859400,3.986176"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.338044,5.319782,5.294467,5.281479,5.288831,5.337414,5.451952"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.874070,3.850174,3.821781,3.799355,3.799289,3.845911,3.969688"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.419660,5.400839,5.376909,5.362264,5.370460,5.419682,5.534147"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.001400,3.979035,3.950347,3.925178,3.925548,3.968782,4.090897"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.386754,5.368585,5.343860,5.329686,5.337270,5.385834,5.500256"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.889715,3.865464,3.836663,3.813469,3.814803,3.862430,3.985862"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.547220,0.524114,0.515357,0.511754,0.508826,0.508773,0.508592"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446721,-0.449244,-0.453419,-0.457057,-0.459290,-0.460847,-0.461657"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543817,0.523413,0.514654,0.510413,0.508052,0.508071,0.507877"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448525,-0.451043,-0.455217,-0.458854,-0.461085,-0.462635,-0.463436"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.544337,0.523940,0.515180,0.510927,0.508573,0.508625,0.508429"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447729,-0.450231,-0.454403,-0.458035,-0.460257,-0.461798,-0.462586"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480179,0.483452,0.484237,0.484815,0.484886,0.486323,0.484991"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.329649,-0.361791,-0.400774,-0.420385,-0.430780,-0.437055,-0.441712"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480058,0.483353,0.484126,0.484705,0.484721,0.486230,0.484825"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.329928,-0.362020,-0.401003,-0.420616,-0.431013,-0.437291,-0.442004"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480025,0.483307,0.484089,0.484075,0.484661,0.486187,0.484831"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330113,-0.362235,-0.401218,-0.420830,-0.431225,-0.437499,-0.442156"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.308109,2.289418,2.263999,2.252815,2.263868,2.329843,2.442118"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.187442,1.165164,1.138025,1.119366,1.123249,1.173084,1.289132"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.347531,2.329096,2.303491,2.291761,2.301953,2.367205,2.476470"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.151438,1.129245,1.101290,1.084658,1.088199,1.139331,1.259855"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.299394,2.280317,2.255161,2.243786,2.254554,2.320789,2.430941"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.187379,1.165258,1.137931,1.119371,1.122553,1.172653,1.288875"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.339874,2.321291,2.296170,2.283699,2.293573,2.358743,2.466303"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.155956,1.133700,1.105770,1.087396,1.092233,1.142923,1.264224"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543785,0.523473,0.513983,0.511037,0.508084,0.508072,0.507866"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448357,-0.451035,-0.455622,-0.459287,-0.461507,-0.463165,-0.464273"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543617,0.523276,0.513881,0.510859,0.507980,0.507881,0.507778"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448744,-0.451489,-0.456075,-0.459741,-0.461961,-0.463619,-0.464727"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479573,0.482776,0.483015,0.484126,0.484177,0.485543,0.484182"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330403,-0.362626,-0.401577,-0.421200,-0.431557,-0.437829,-0.442488"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480051,0.483243,0.483526,0.484627,0.484734,0.486111,0.484846"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330245,-0.362493,-0.401444,-0.421062,-0.431411,-0.437675,-0.442320"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.308228,2.289541,2.264160,2.252920,2.264182,2.328698,2.442162"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.185850,1.163605,1.136433,1.117847,1.122539,1.169869,1.288843"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.346874,2.328349,2.302655,2.291058,2.301172,2.366735,2.475798"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.194047,1.171212,1.144232,1.126093,1.130155,1.180912,1.302705"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.307372,2.288673,2.263222,2.252128,2.263190,2.329243,2.444115"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.235264,1.212025,1.185713,1.164603,1.168218,1.217583,1.335246"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.299478,2.280381,2.255276,2.243834,2.254872,2.319949,2.430986"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.185786,1.164495,1.136336,1.118641,1.121808,1.169314,1.288592"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.634777; - fall_capacitance : 2.472142; - rise_capacitance : 2.634777; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.191150,-0.242333,-0.306582", \ - "-0.177744,-0.229997,-0.293207", \ - "-0.038197,-0.111574,-0.200166"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.569176,0.621548,0.687363", \ - "0.708336,0.760589,0.825139", \ - "1.192541,1.243450,1.308805"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.586316,0.662369,1.061161"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.159935,1.157626,1.158181,1.158691,1.157482,1.156314,1.155281"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.879973,-0.883353,-0.889320,-0.894827,-0.892912,-0.890984,-0.891448"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.160127,1.156208,1.156296,1.158961,1.157599,1.156473,1.153779"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.768300,-0.772534,-0.779620,-0.780459,-0.778162,-0.782959,-0.776785"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.160072,1.156126,1.156231,1.158895,1.157593,1.156388,1.153775"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.768341,-0.772627,-0.779712,-0.780553,-0.778256,-0.783055,-0.776880"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.185138,2.147201,2.117236,2.154457,2.241578,2.390432,2.607407"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.063932,-0.080736,-0.106589,-0.100007,-0.040050,0.086762,0.302143"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.185177,2.147263,2.117285,2.154507,2.241582,2.390498,2.607411"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.063901,-0.080661,-0.106514,-0.099932,-0.039975,0.086838,0.302218"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.185168,2.147251,2.117275,2.154497,2.241581,2.390485,2.607635"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.063908,-0.080675,-0.106528,-0.099946,-0.039989,0.086823,0.302204"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.160105,1.156174,1.156270,1.158935,1.157596,1.156440,1.153777"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.768316,-0.772569,-0.779654,-0.780495,-0.778198,-0.782996,-0.776821"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.185126,2.147185,2.117223,2.154443,2.241577,2.390415,2.607406"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.063939,-0.080755,-0.106609,-0.100027,-0.040069,0.086742,0.302124"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.141982,1.146970,1.149458,1.152245,1.151159,1.150649,1.147812"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.769083,-0.771103,-0.773307,-0.773532,-0.773191,-0.775743,-0.771539"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.142242,1.146179,1.149188,1.151437,1.150308,1.149861,1.146998"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.758250,-0.761127,-0.765893,-0.766198,-0.764015,-0.768387,-0.762290"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.141138,1.145984,1.148434,1.151205,1.150312,1.149572,1.146793"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.773234,-0.771810,-0.776949,-0.778585,-0.777047,-0.781144,-0.776936"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.140452,1.145423,1.147988,1.150721,1.149708,1.149143,1.146410"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.773166,-0.775243,-0.777446,-0.777660,-0.777319,-0.779855,-0.775633"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.141375,1.146232,1.148643,1.151442,1.150523,1.149820,1.147006"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.772970,-0.771525,-0.776658,-0.778289,-0.776745,-0.780840,-0.776621"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.141140,1.146124,1.148637,1.151406,1.150347,1.149824,1.147020"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.771293,-0.773336,-0.775538,-0.775754,-0.775414,-0.779889,-0.773744"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.141801,1.146792,1.149275,1.152076,1.150993,1.150505,1.147676"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.770004,-0.772018,-0.774220,-0.774442,-0.774094,-0.776637,-0.772419"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.146277,1.148070,1.150193,1.152104,1.150894,1.150212,1.147420"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.762236,-0.761960,-0.766687,-0.766683,-0.764874,-0.767641,-0.765125"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.963771; - fall_capacitance : 1.722493; - rise_capacitance : 1.963771; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.044065,-0.008993,0.018944", \ - "-0.097285,-0.066492,-0.035964", \ - "0.165766,0.210100,0.197780"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.058042,-0.008800,0.054940", \ - "-0.083352,-0.030921,0.029616", \ - "0.035796,0.092394,0.132857"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.272474,0.215536,0.183710", \ - "0.336276,0.279123,0.237089", \ - "0.464968,0.408376,0.390849"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.259514,0.229720,0.244567", \ - "0.293316,0.263550,0.278231", \ - "0.334990,0.290662,0.303012"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.688509,1.650254,1.640790,1.690755,1.790814,1.954351,2.194499"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.029095,-0.053063,-0.076583,-0.053473,0.035971,0.192192,0.422835"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.688415,1.648296,1.640555,1.690519,1.790605,1.954887,2.193600"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.030316,-0.054165,-0.077754,-0.054667,0.029773,0.191083,0.423868"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.561428,4.493956,4.449815,4.525887,4.711044,5.031725,5.480323"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.723812,2.699556,2.651243,2.666700,2.801829,3.091535,3.542611"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.562417,4.491504,4.450120,4.526276,4.711580,5.032750,5.482322"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.743133,2.718851,2.672008,2.684675,2.819463,3.110544,3.562446"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.688928,1.649789,1.640323,1.690302,1.790401,1.953938,2.194145"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.031274,-0.055601,-0.079106,-0.055981,0.033477,0.189734,0.420423"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.686637,1.647360,1.639619,1.689005,1.789104,1.953237,2.192717"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.033140,-0.057329,-0.080906,-0.057805,0.029994,0.187951,0.418661"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.687978,1.647895,1.640145,1.689519,1.789627,1.953791,2.193268"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.032517,-0.056519,-0.080093,-0.056987,0.030820,0.188787,0.419509"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.886595,5.816017,5.776127,5.853107,6.036679,6.354701,6.800924"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.426103,3.401936,3.353699,3.369526,3.505260,3.789210,4.234713"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.968480,5.897459,5.857743,5.933379,6.118848,6.435497,6.883607"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.553237,3.529752,3.481344,3.496773,3.631171,3.910744,4.367235"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.935838,5.865064,5.825090,5.901135,6.086221,6.402921,6.854662"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.442794,3.418803,3.370601,3.386440,3.520315,3.806250,4.254655"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.707279,4.669108,4.685237,4.775226,4.923407,5.139731,5.449107"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.451288,3.449444,3.392380,3.378284,3.434792,3.580489,3.813629"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.706240,4.668455,4.684008,4.776080,4.922486,5.141052,5.446862"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.400387,3.395375,3.341554,3.325566,3.380322,3.525763,3.764359"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.553001,1.516800,1.511770,1.561954,1.666015,1.834283,2.071305"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032608,0.013684,-0.006228,0.013906,0.097424,0.252160,0.489992"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.553966,1.517208,1.511986,1.562492,1.666326,1.834710,2.069973"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032943,0.014037,-0.006035,0.013978,0.097725,0.252453,0.490203"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.411081,5.375884,5.392197,5.481129,5.630097,5.846083,6.150288"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.768232,4.761079,4.709289,4.692629,4.747281,4.891270,5.123337"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.390759,5.355517,5.371823,5.459752,5.611063,5.827532,6.126417"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.809882,4.805310,4.751247,4.734472,4.792054,4.933319,5.168091"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.421681,5.387028,5.401125,5.489596,5.642770,5.859727,6.162593"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.827257,4.825680,4.771637,4.754699,4.807021,4.956247,5.187074"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.553893,1.517164,1.511976,1.562828,1.666380,1.834471,2.070820"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.033001,0.014016,-0.005898,0.014251,0.097762,0.252503,0.490233"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.553182,1.517065,1.511856,1.562114,1.666155,1.834396,2.069831"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032578,0.013789,-0.006229,0.014029,0.097466,0.252256,0.490010"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.553740,1.517015,1.511827,1.562092,1.666155,1.834335,2.071456"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032544,0.013640,-0.006341,0.013806,0.097317,0.252059,0.489904"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.687861,1.647563,1.639006,1.689993,1.789725,1.954490,2.193407"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.029661,-0.055017,-0.077841,-0.054426,0.034913,0.189251,0.426027"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.690111,1.648727,1.640272,1.691043,1.790995,1.955054,2.194636"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.027235,-0.051453,-0.074879,-0.051565,0.037540,0.192175,0.428936"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.839383,2.769828,2.731653,2.814527,3.006412,3.334352,3.789243"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.717480,0.692792,0.649808,0.671919,0.810584,1.096573,1.543493"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.880923,2.812192,2.774959,2.855219,3.046693,3.372097,3.823824"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.687892,0.663519,0.620915,0.645459,0.786054,1.075667,1.523926"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.687825,1.647529,1.638971,1.689962,1.789696,1.954468,2.193390"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.029874,-0.055229,-0.078052,-0.054635,0.034704,0.189042,0.425820"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.688188,1.647210,1.637620,1.689617,1.789487,1.953704,2.193491"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.038057,-0.059401,-0.081513,-0.058479,0.025951,0.185851,0.416341"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.687997,1.649045,1.638993,1.689637,1.789629,1.953200,2.192697"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.037687,-0.059320,-0.081526,-0.058385,0.025511,0.186159,0.418829"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.839407,2.769901,2.731672,2.814547,3.006107,3.334395,3.789297"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.716421,0.692585,0.649611,0.671760,0.810529,1.096175,1.543482"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.880023,2.811135,2.773336,2.854184,3.043730,3.371176,3.822977"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.749863,0.725787,0.682162,0.706309,0.843867,1.132474,1.578719"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.839191,2.769790,2.731515,2.814433,3.006447,3.334254,3.789151"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.788274,0.764434,0.720255,0.741519,0.879184,1.159873,1.608758"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.744370,2.709122,2.724145,2.811946,2.961817,3.177449,3.472255"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.605921,1.609865,1.585983,1.590057,1.658642,1.811494,2.040168"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.707215,2.671625,2.686521,2.776357,2.925034,3.144022,3.439545"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.642901,1.646954,1.623172,1.625681,1.697000,1.843512,2.081712"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.843755; - fall_capacitance : 0.800529; - rise_capacitance : 0.843755; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SE & SN"; - sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.076575,-0.024469,0.032473", \ - "-0.113459,-0.058730,0.001961", \ - "0.137646,0.194292,0.259314"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.022902,0.013316,0.046708", \ - "-0.024201,0.007430,0.019918", \ - "0.132778,0.163669,0.138863"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SE & SN"; - sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.268294,0.238787,0.253697", \ - "0.325780,0.296277,0.310892", \ - "0.489621,0.458736,0.472040"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.236657,0.179745,0.114444", \ - "0.285582,0.228304,0.163676", \ - "0.363112,0.306471,0.241474"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.415374,0.398803,0.391335,0.388141,0.385672,0.385578,0.384287"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.339889,-0.341431,-0.344489,-0.346155,-0.347095,-0.347767,-0.348198"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412951,0.398555,0.391101,0.387906,0.385493,0.385325,0.384106"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.341004,-0.342597,-0.345645,-0.347309,-0.348233,-0.348882,-0.349327"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.991665,3.979791,3.961236,3.948620,3.954312,3.987050,4.069498"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.823300,2.807640,2.786194,2.771112,2.764349,2.797317,2.875858"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.988947,3.977223,3.958906,3.949236,3.955322,3.989216,4.070522"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.844675,2.826749,2.807688,2.791397,2.785247,2.818286,2.898800"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.414901,0.398325,0.390870,0.387688,0.385259,0.385164,0.383934"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.342396,-0.343956,-0.347010,-0.348663,-0.349585,-0.350225,-0.350612"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.411998,0.397623,0.390167,0.386318,0.384482,0.384462,0.383219"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344198,-0.345755,-0.348800,-0.350460,-0.351380,-0.352012,-0.352391"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412518,0.398150,0.390692,0.386832,0.385005,0.385017,0.383771"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.343403,-0.344943,-0.347986,-0.349640,-0.350552,-0.351176,-0.351541"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.318621,5.306332,5.287019,5.276764,5.279737,5.309961,5.387739"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.526220,3.509970,3.490953,3.473666,3.468676,3.498002,3.584464"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.396066,5.384797,5.367433,5.356403,5.358262,5.391028,5.474289"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.649612,3.637801,3.618731,3.601034,3.595730,3.623146,3.701202"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.367435,5.355448,5.336177,5.325111,5.330017,5.358442,5.441641"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.542218,3.523948,3.507348,3.490462,3.486085,3.514823,3.600303"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357592,0.360827,0.361807,0.361633,0.360945,0.361576,0.361158"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.251071,-0.272858,-0.302624,-0.316792,-0.324343,-0.329014,-0.332194"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357739,0.360960,0.361948,0.361774,0.361116,0.361713,0.361336"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.251002,-0.272814,-0.302578,-0.316742,-0.324284,-0.328946,-0.332111"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.980794,3.968874,3.950314,3.936616,3.942589,3.974599,4.056465"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.849649,2.833506,2.814364,2.794818,2.789532,2.823060,2.903223"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.978083,3.966267,3.947853,3.938130,3.943608,3.975098,4.057491"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.846255,2.829426,2.810390,2.792601,2.787722,2.820695,2.899094"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357712,0.360911,0.361912,0.361737,0.361140,0.361653,0.361358"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.250877,-0.272749,-0.302513,-0.316677,-0.324222,-0.328886,-0.332055"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357590,0.360812,0.361801,0.360941,0.360907,0.361560,0.361192"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.251156,-0.272978,-0.302741,-0.316908,-0.324455,-0.329122,-0.332295"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357557,0.360766,0.361763,0.360941,0.360909,0.361517,0.361197"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.251340,-0.273193,-0.302956,-0.317122,-0.324667,-0.329330,-0.332499"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.306736,5.294365,5.274956,5.264397,5.266888,5.297204,5.374667"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.528817,3.512274,3.493650,3.476246,3.471307,3.500389,3.587028"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.385335,5.373909,5.356336,5.345061,5.346567,5.380080,5.461189"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.656232,3.639310,3.620686,3.603463,3.598314,3.621773,3.706608"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.355584,5.343600,5.324146,5.312815,5.317303,5.346279,5.427464"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.544892,3.529325,3.509943,3.493005,3.488652,3.517211,3.601785"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.415159,0.397588,0.389449,0.386949,0.384505,0.384450,0.383201"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344631,-0.346111,-0.349174,-0.350836,-0.351803,-0.352496,-0.352883"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.416358,0.398759,0.390705,0.388130,0.385743,0.385594,0.384420"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.341633,-0.343173,-0.346237,-0.347898,-0.348861,-0.349558,-0.349948"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.275810,2.264386,2.245219,2.234520,2.243363,2.291912,2.366561"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.891567,0.875489,0.858063,0.844268,0.842031,0.873748,0.954390"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.313995,2.302631,2.282972,2.271714,2.279877,2.332077,2.405721"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.851606,0.837380,0.820514,0.804862,0.804205,0.837895,0.922210"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.415123,0.397553,0.389412,0.386917,0.384474,0.384428,0.383183"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344843,-0.346322,-0.349384,-0.351046,-0.352011,-0.352704,-0.353090"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.411823,0.397439,0.389346,0.386806,0.384405,0.384310,0.383112"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344762,-0.346335,-0.349384,-0.351048,-0.351971,-0.352606,-0.352988"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412021,0.397663,0.389485,0.387019,0.384553,0.384546,0.383264"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344731,-0.346242,-0.349287,-0.350943,-0.351854,-0.352472,-0.352832"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.275694,2.264262,2.245165,2.234326,2.243157,2.292058,2.366337"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.890198,0.874196,0.856044,0.844115,0.841803,0.870536,0.954113"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.312922,2.301573,2.281881,2.270474,2.279471,2.331126,2.406196"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.854034,0.837683,0.820817,0.805269,0.803799,0.838173,0.919099"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.274685,2.263278,2.244112,2.233432,2.242299,2.290976,2.366936"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.891669,0.875616,0.857560,0.844587,0.841548,0.874000,0.951077"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.358495,0.361700,0.362111,0.362506,0.361907,0.362373,0.362078"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.248306,-0.270533,-0.299612,-0.314124,-0.321602,-0.326071,-0.329237"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357998,0.361191,0.361648,0.362015,0.361460,0.361901,0.361672"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249995,-0.272254,-0.301329,-0.315841,-0.323313,-0.327771,-0.330921"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.811146; - fall_capacitance : 1.788904; - rise_capacitance : 1.811146; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.192066,-0.249762,-0.319231", \ - "-0.133477,-0.191716,-0.262323", \ - "0.144085,0.067817,-0.023004"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.556657,0.597092,0.656550", \ - "0.567484,0.608408,0.667761", \ - "0.743323,0.784457,0.843581"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.625698,0.696506,1.053288"); - } - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.727006,0.729354,0.731368,0.734820,0.735365,0.736458,0.736224"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.437598,-0.443905,-0.451648,-0.460002,-0.462316,-0.461352,-0.461138"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.727031,0.729390,0.731398,0.734850,0.735367,0.736497,0.735537"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.437580,-0.443862,-0.451604,-0.459957,-0.462271,-0.461308,-0.461093"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.726976,0.729312,0.731333,0.734784,0.735361,0.736413,0.736221"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.437619,-0.443956,-0.451698,-0.460052,-0.462366,-0.461403,-0.461188"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.725833,0.729070,0.732003,0.734652,0.735190,0.736243,0.734119"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.506720,-0.508360,-0.513318,-0.515204,-0.516805,-0.513896,-0.514112"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.725877,0.729131,0.732054,0.734703,0.735196,0.736309,0.734123"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.506689,-0.508285,-0.513243,-0.515129,-0.516730,-0.513822,-0.514037"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.725868,0.729119,0.732044,0.734693,0.735195,0.736296,0.734122"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.506695,-0.508300,-0.513258,-0.515144,-0.516745,-0.513060,-0.514052"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.727009,0.729360,0.731373,0.734824,0.735364,0.736464,0.735534"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.437596,-0.443899,-0.451640,-0.459994,-0.462308,-0.461345,-0.461130"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.725822,0.729054,0.731990,0.734638,0.735189,0.736226,0.734118"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.506729,-0.508380,-0.513338,-0.515224,-0.516825,-0.513916,-0.514132"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.747410,0.742388,0.740754,0.742457,0.742144,0.742750,0.741618"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.506318,-0.510018,-0.515216,-0.517435,-0.518145,-0.515170,-0.515981"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.747200,0.742190,0.740523,0.742261,0.741927,0.742577,0.741423"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.507225,-0.510898,-0.516095,-0.518311,-0.519018,-0.517925,-0.516835"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.747233,0.742241,0.740735,0.740835,0.742079,0.742665,0.740953"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.507094,-0.507509,-0.515185,-0.517725,-0.518078,-0.515697,-0.517352"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.746316,0.741215,0.739640,0.739723,0.741063,0.741701,0.740927"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.507319,-0.511127,-0.516328,-0.518524,-0.519215,-0.518162,-0.517073"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.746553,0.741511,0.739852,0.740196,0.741362,0.741990,0.741165"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.507003,-0.507702,-0.515446,-0.518331,-0.516856,-0.516211,-0.517536"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.747003,0.741915,0.740288,0.740407,0.741702,0.742376,0.741537"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.505446,-0.509219,-0.514420,-0.516618,-0.517310,-0.514364,-0.515184"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.747246,0.742228,0.740590,0.742304,0.741994,0.742618,0.741496"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.507171,-0.510865,-0.516061,-0.518277,-0.518983,-0.517889,-0.516797"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.746498,0.741434,0.739852,0.740132,0.741356,0.741907,0.741160"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.507043,-0.507797,-0.515540,-0.518426,-0.516951,-0.516305,-0.517631"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.897514; - fall_capacitance : 0.808058; - rise_capacitance : 0.897514; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.266992,0.277246,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.154953,0.166147,0.500500"); - } - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.492686,5.465909,5.436876,5.454314,5.536046,5.697574,5.922976"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.875234,5.860481,5.813389,5.789259,5.827812,5.950099,6.164172"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.947047,2.921979,2.893620,2.910817,2.989471,3.145375,3.357652"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.985876,2.973055,2.942179,2.933881,2.988892,3.101985,3.313958"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.492695,5.466901,5.436912,5.454338,5.536060,5.697615,5.922759"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.875252,5.860521,5.813434,5.789300,5.827851,5.950141,6.164233"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.952097,2.927069,2.898699,2.915936,2.994198,3.149702,3.368309"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.773069,2.761102,2.727083,2.720847,2.776947,2.891448,3.095845"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.491610,5.465836,5.436828,5.454259,5.536032,5.697500,5.922752"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.875237,5.860444,5.813352,5.789225,5.827777,5.950034,6.164108"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.951968,2.926921,2.898573,2.915819,2.994151,3.149578,3.368301"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.773056,2.761050,2.727028,2.720790,2.776885,2.891346,3.095734"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.378381,4.356624,4.336614,4.355613,4.438505,4.580919,4.812078"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.694495,3.680629,3.648058,3.646011,3.705931,3.844685,4.062551"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.736974,4.711919,4.683243,4.707333,4.798936,4.962719,5.199753"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("14.868020,14.852990,14.823610,14.834610,14.904100,15.051180,15.279470"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.473390,5.448987,5.419930,5.435573,5.511574,5.668613,5.893703"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("7.826837,7.819095,7.745234,7.700174,7.733452,7.857600,8.072109"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.958693,2.933642,2.905126,2.921369,3.000237,3.154360,3.375700"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.795191,2.780872,2.747789,2.742205,2.797501,2.912092,3.121004"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.567701,5.539851,5.510938,5.530981,5.610865,5.769737,5.998647"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.473408,5.449028,5.419967,5.435604,5.511580,5.668659,5.893713"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("7.826867,7.819140,7.745282,7.700217,7.733503,7.857661,8.072152"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.958718,2.933682,2.905159,2.921372,3.000240,3.154404,3.375706"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.795205,2.780909,2.747826,2.742245,2.797540,2.912133,3.121060"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.567753,5.539914,5.511004,5.531046,5.610943,5.769807,5.998714"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.473658,5.448862,5.419861,5.435485,5.511331,5.668500,5.893624"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("7.826634,7.819065,7.745200,7.700137,7.733426,7.857536,8.072039"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.958593,2.933531,2.905027,2.921323,3.000198,3.154279,3.377122"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.795191,2.780833,2.747751,2.742176,2.797474,2.912027,3.121522"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.571109,5.539559,5.510653,5.530881,5.610765,5.769622,5.998574"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.060146,3.034560,3.007158,3.025496,3.107875,3.263500,3.479993"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.672913,2.664170,2.628464,2.621619,2.680988,2.793999,3.005121"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.626010,5.598889,5.571870,5.591721,5.672094,5.824438,6.046410"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.061657,3.036259,3.007103,3.025528,3.107490,3.262787,3.483125"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.687647,2.671375,2.640210,2.632800,2.692303,2.809458,3.016987"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.378344,4.356688,4.336665,4.355670,4.438508,4.580980,4.812079"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.693810,3.680821,3.648135,3.646091,3.706007,3.844762,4.062627"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.737054,4.712016,4.683304,4.707375,4.798934,4.962710,5.199760"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("14.868010,14.852300,14.823640,14.834640,14.904140,15.051230,15.279530"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.384955,4.353434,4.326184,4.354957,4.431856,4.580896,4.796956"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.722791,3.710238,3.676909,3.675390,3.734658,3.873463,4.089748"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.737043,4.712002,4.683300,4.707369,4.798937,4.962705,5.199759"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("14.868000,14.852280,14.823630,14.834630,14.904130,15.051220,15.279510"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.489601,5.468681,5.433534,5.450704,5.533119,5.688265,5.919991"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.906055,5.926862,5.876444,5.848524,5.895238,6.007953,6.224407"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.952002,2.926974,2.898619,2.915865,2.994161,3.149636,3.368310"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.773096,2.761112,2.727096,2.720854,2.776953,2.891408,3.095793"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.378365,4.356602,4.336596,4.355594,4.426185,4.580897,4.812073"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.694487,3.680609,3.648039,3.645991,3.706315,3.844665,4.062531"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.736960,4.711900,4.683230,4.709379,4.798931,4.962715,5.199752"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("14.868010,14.852970,14.823590,14.834590,14.904080,15.051160,15.279450"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.060186,3.034625,3.007202,3.025540,3.107877,3.263561,3.477769"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.672968,2.664103,2.628536,2.621724,2.681063,2.794072,3.003579"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.612998,5.585954,5.559141,5.579643,5.663003,5.818670,6.037953"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.061695,3.036318,3.007149,3.025525,3.107495,3.262847,3.485968"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.688602,2.671437,2.640299,2.632884,2.692380,2.809531,3.016654"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.060176,3.034611,3.007190,3.025529,3.107874,3.263546,3.479991"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.672962,2.664089,2.628522,2.621709,2.681048,2.794057,3.005184"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.612992,5.585938,5.559121,5.579627,5.662988,5.818648,6.037939"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.061684,3.036304,3.007137,3.025524,3.107493,3.262833,3.483126"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.688595,2.671422,2.640285,2.632869,2.692365,2.809516,3.017050"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.473680,5.448927,5.419906,5.435544,5.511344,5.668568,5.893638"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("7.826673,7.819136,7.745272,7.700204,7.733485,7.857608,8.072099"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.958628,2.933586,2.905073,2.921330,3.000204,3.154338,3.375700"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.795221,2.780902,2.747822,2.742235,2.797544,2.912089,3.121020"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.571204,5.539650,5.510748,5.530986,5.610868,5.769727,5.998672"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.060131,3.034540,3.007142,3.025479,3.107870,3.263479,3.479988"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.672904,2.664150,2.628444,2.621599,2.680968,2.793978,3.005101"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.612974,5.585866,5.558547,5.579570,5.662917,5.818524,6.037866"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.061642,3.036239,3.007086,3.025524,3.107485,3.262766,3.485961"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.687639,2.671355,2.640190,2.632779,2.692283,2.809438,3.016556"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.632900; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.356064,0.365993,0.373907,0.387070,0.409384,0.448327,0.520199", \ - "0.361328,0.371270,0.379201,0.392352,0.414686,0.453641,0.525494", \ - "0.379306,0.389234,0.397148,0.410299,0.432642,0.471599,0.543463", \ - "0.403666,0.413586,0.421457,0.434598,0.456940,0.495913,0.567839", \ - "0.427731,0.437700,0.445469,0.458410,0.480923,0.519833,0.591777", \ - "0.449332,0.459114,0.467112,0.480207,0.502385,0.541781,0.613797", \ - "0.467261,0.477146,0.484951,0.498422,0.520926,0.559655,0.631367"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.364983,0.380590,0.395407,0.424961,0.486529,0.612681,0.866873", \ - "0.370177,0.385775,0.400625,0.430192,0.491754,0.617877,0.872066", \ - "0.388150,0.403753,0.418598,0.448135,0.509705,0.635853,0.890036", \ - "0.413397,0.429019,0.443820,0.473406,0.534989,0.661124,0.915292", \ - "0.438598,0.454187,0.468855,0.498414,0.560045,0.686206,0.940378", \ - "0.461973,0.477585,0.492453,0.521783,0.582836,0.709559,0.963445", \ - "0.480547,0.496128,0.511748,0.541176,0.602481,0.728812,0.983128"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017338,0.021787,0.025818,0.033100,0.047156,0.075686,0.135381", \ - "0.017336,0.021788,0.025819,0.033094,0.047159,0.075693,0.135387", \ - "0.017333,0.021788,0.025816,0.033098,0.047156,0.075694,0.135385", \ - "0.017339,0.021786,0.025819,0.033102,0.047168,0.075692,0.135382", \ - "0.017338,0.021788,0.025817,0.033091,0.047153,0.075695,0.135381", \ - "0.017339,0.021785,0.025815,0.033091,0.047153,0.075679,0.135378", \ - "0.017341,0.021791,0.025820,0.033099,0.047156,0.075683,0.135335"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018605,0.030910,0.044827,0.073791,0.133924,0.255780,0.499962", \ - "0.018601,0.030910,0.044827,0.073789,0.133925,0.255779,0.499962", \ - "0.018602,0.030909,0.044827,0.073794,0.133925,0.255781,0.499956", \ - "0.018604,0.030911,0.044826,0.073800,0.133922,0.255780,0.499962", \ - "0.018602,0.030910,0.044826,0.073796,0.133921,0.255778,0.499954", \ - "0.018613,0.030910,0.044821,0.073795,0.133922,0.255780,0.499954", \ - "0.018603,0.030909,0.044821,0.073794,0.133921,0.255777,0.499959"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081485,0.092868,0.101971,0.116326,0.139459,0.178885,0.251138", \ - "0.086054,0.097442,0.106553,0.120898,0.144041,0.183473,0.255744", \ - "0.103935,0.115282,0.124392,0.138753,0.161905,0.201335,0.273620", \ - "0.140727,0.152059,0.161224,0.175592,0.198823,0.238306,0.310606", \ - "0.188348,0.201618,0.211924,0.227509,0.251741,0.291694,0.363987", \ - "0.238600,0.254413,0.266629,0.284170,0.310490,0.352474,0.426112", \ - "0.291789,0.310024,0.324343,0.344143,0.373204,0.417661,0.492816"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067339,0.085589,0.103201,0.136322,0.200803,0.328702,0.583861", \ - "0.071753,0.090001,0.107611,0.140734,0.205221,0.333122,0.588281", \ - "0.088380,0.106543,0.124059,0.157086,0.221542,0.349506,0.604750", \ - "0.117001,0.135409,0.152895,0.185648,0.249960,0.377831,0.633143", \ - "0.145421,0.165170,0.183057,0.215923,0.280110,0.407632,0.662799", \ - "0.169867,0.192159,0.210980,0.244088,0.307847,0.435348,0.690132", \ - "0.188699,0.214065,0.234855,0.269046,0.332583,0.459502,0.714033"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015708,0.019970,0.024052,0.031588,0.045952,0.074747,0.134665", \ - "0.015709,0.019968,0.024057,0.031591,0.045948,0.074744,0.134658", \ - "0.015720,0.019995,0.024079,0.031611,0.045956,0.074762,0.134660", \ - "0.016358,0.020432,0.024407,0.031832,0.046087,0.074808,0.134686", \ - "0.022029,0.025469,0.028846,0.035396,0.048436,0.075926,0.135022", \ - "0.028931,0.032426,0.035524,0.041557,0.053838,0.080053,0.137033", \ - "0.036539,0.040402,0.043520,0.049252,0.060635,0.085300,0.140456"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017419,0.029853,0.043961,0.073335,0.133868,0.255917,0.500225", \ - "0.017419,0.029854,0.043958,0.073329,0.133863,0.255910,0.500361", \ - "0.017435,0.029870,0.043979,0.073331,0.133900,0.255929,0.500365", \ - "0.019118,0.030863,0.044527,0.073504,0.133899,0.255945,0.500394", \ - "0.023458,0.033824,0.046578,0.074692,0.134300,0.255959,0.500361", \ - "0.029421,0.039019,0.050236,0.076379,0.135054,0.256354,0.500454", \ - "0.036258,0.046189,0.056300,0.079748,0.136067,0.257073,0.500743"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081478,0.092856,0.101965,0.116301,0.139440,0.178863,0.251133", \ - "0.086050,0.097439,0.106541,0.120897,0.144034,0.183458,0.255729", \ - "0.103928,0.115281,0.124383,0.138735,0.161887,0.201319,0.273603", \ - "0.140707,0.152055,0.161170,0.175583,0.198795,0.238297,0.310593", \ - "0.188337,0.201605,0.211810,0.227462,0.251734,0.291679,0.363967", \ - "0.238896,0.254515,0.266326,0.284141,0.310492,0.352466,0.426117", \ - "0.292065,0.309915,0.323889,0.344148,0.373208,0.417591,0.492748"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015709,0.019968,0.024058,0.031599,0.045955,0.074751,0.134668", \ - "0.015712,0.019965,0.024052,0.031590,0.045947,0.074746,0.134664", \ - "0.015721,0.019996,0.024079,0.031604,0.045961,0.074750,0.134658", \ - "0.016358,0.020429,0.024409,0.031837,0.046087,0.074823,0.134690", \ - "0.022028,0.025467,0.028847,0.035392,0.048441,0.075935,0.135022", \ - "0.028885,0.032405,0.035569,0.041561,0.053837,0.080050,0.137022", \ - "0.036496,0.040417,0.043598,0.049243,0.060628,0.085296,0.140461"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081485,0.092868,0.101971,0.116326,0.139459,0.178885,0.251138", \ - "0.086054,0.097442,0.106553,0.120898,0.144041,0.183473,0.255744", \ - "0.103935,0.115282,0.124392,0.138753,0.161905,0.201335,0.273620", \ - "0.140727,0.152059,0.161224,0.175592,0.198823,0.238306,0.310606", \ - "0.188348,0.201618,0.211924,0.227509,0.251741,0.291694,0.363987", \ - "0.238600,0.254413,0.266629,0.284170,0.310490,0.352474,0.426112", \ - "0.291789,0.310024,0.324343,0.344143,0.373204,0.417661,0.492816"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067339,0.085589,0.103201,0.136322,0.200803,0.328702,0.583861", \ - "0.071753,0.090001,0.107611,0.140734,0.205221,0.333122,0.588281", \ - "0.088380,0.106543,0.124059,0.157086,0.221542,0.349506,0.604750", \ - "0.117001,0.135409,0.152895,0.185648,0.249960,0.377831,0.633143", \ - "0.145421,0.165170,0.183057,0.215923,0.280110,0.407632,0.662799", \ - "0.169867,0.192159,0.210980,0.244088,0.307847,0.435348,0.690132", \ - "0.188699,0.214065,0.234855,0.269046,0.332583,0.459502,0.714033"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015708,0.019970,0.024052,0.031588,0.045952,0.074747,0.134665", \ - "0.015709,0.019968,0.024057,0.031591,0.045948,0.074744,0.134658", \ - "0.015720,0.019995,0.024079,0.031611,0.045956,0.074762,0.134660", \ - "0.016358,0.020432,0.024407,0.031832,0.046087,0.074808,0.134686", \ - "0.022029,0.025469,0.028846,0.035396,0.048436,0.075926,0.135022", \ - "0.028931,0.032426,0.035524,0.041557,0.053838,0.080053,0.137033", \ - "0.036539,0.040402,0.043520,0.049252,0.060635,0.085300,0.140456"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017419,0.029853,0.043961,0.073335,0.133868,0.255917,0.500225", \ - "0.017419,0.029854,0.043958,0.073329,0.133863,0.255910,0.500361", \ - "0.017435,0.029870,0.043979,0.073331,0.133900,0.255929,0.500365", \ - "0.019118,0.030863,0.044527,0.073504,0.133899,0.255945,0.500394", \ - "0.023458,0.033824,0.046578,0.074692,0.134300,0.255959,0.500361", \ - "0.029421,0.039019,0.050236,0.076379,0.135054,0.256354,0.500454", \ - "0.036258,0.046189,0.056300,0.079748,0.136067,0.257073,0.500743"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081478,0.092856,0.101965,0.116305,0.139440,0.178863,0.251133", \ - "0.086050,0.097439,0.106541,0.120897,0.144034,0.183458,0.255729", \ - "0.103928,0.115281,0.124383,0.138735,0.161887,0.201319,0.273603", \ - "0.140707,0.152055,0.161170,0.175583,0.198795,0.238297,0.310593", \ - "0.188337,0.201605,0.211810,0.227462,0.251734,0.291679,0.363967", \ - "0.238896,0.254515,0.266326,0.284141,0.310492,0.352466,0.426122", \ - "0.292065,0.309915,0.323889,0.344148,0.373208,0.417591,0.492748"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015709,0.019968,0.024058,0.031589,0.045955,0.074751,0.134668", \ - "0.015712,0.019965,0.024052,0.031590,0.045947,0.074746,0.134664", \ - "0.015721,0.019996,0.024079,0.031604,0.045961,0.074750,0.134658", \ - "0.016358,0.020429,0.024409,0.031837,0.046087,0.074823,0.134690", \ - "0.022028,0.025467,0.028847,0.035392,0.048441,0.075935,0.135022", \ - "0.028885,0.032405,0.035569,0.041561,0.053837,0.080050,0.137051", \ - "0.036496,0.040417,0.043598,0.049243,0.060628,0.085296,0.140461"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081485,0.092868,0.101971,0.116326,0.139459,0.178885,0.251138", \ - "0.086054,0.097442,0.106553,0.120898,0.144041,0.183473,0.255744", \ - "0.103935,0.115282,0.124392,0.138753,0.161905,0.201335,0.273620", \ - "0.140727,0.152059,0.161224,0.175592,0.198823,0.238306,0.310606", \ - "0.188348,0.201618,0.211924,0.227509,0.251741,0.291694,0.363987", \ - "0.238600,0.254413,0.266629,0.284170,0.310490,0.352474,0.426112", \ - "0.291789,0.310024,0.324343,0.344143,0.373204,0.417661,0.492816"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067339,0.085589,0.103201,0.136322,0.200803,0.328702,0.583861", \ - "0.071753,0.090001,0.107611,0.140734,0.205221,0.333122,0.588281", \ - "0.088380,0.106543,0.124059,0.157086,0.221542,0.349506,0.604750", \ - "0.117001,0.135409,0.152895,0.185648,0.249960,0.377831,0.633143", \ - "0.145421,0.165170,0.183057,0.215923,0.280110,0.407632,0.662799", \ - "0.169867,0.192159,0.210980,0.244088,0.307847,0.435348,0.690132", \ - "0.188699,0.214065,0.234855,0.269046,0.332583,0.459502,0.714033"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015708,0.019970,0.024052,0.031588,0.045952,0.074747,0.134665", \ - "0.015709,0.019968,0.024057,0.031591,0.045948,0.074744,0.134658", \ - "0.015720,0.019995,0.024079,0.031611,0.045956,0.074762,0.134660", \ - "0.016358,0.020432,0.024407,0.031832,0.046087,0.074808,0.134686", \ - "0.022029,0.025469,0.028846,0.035396,0.048436,0.075926,0.135022", \ - "0.028931,0.032426,0.035524,0.041557,0.053838,0.080053,0.137033", \ - "0.036539,0.040402,0.043520,0.049252,0.060635,0.085300,0.140456"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017419,0.029853,0.043961,0.073335,0.133868,0.255917,0.500225", \ - "0.017419,0.029854,0.043958,0.073329,0.133863,0.255910,0.500361", \ - "0.017435,0.029870,0.043979,0.073331,0.133900,0.255929,0.500365", \ - "0.019118,0.030863,0.044527,0.073504,0.133899,0.255945,0.500394", \ - "0.023458,0.033824,0.046578,0.074692,0.134300,0.255959,0.500361", \ - "0.029421,0.039019,0.050236,0.076379,0.135054,0.256354,0.500454", \ - "0.036258,0.046189,0.056300,0.079748,0.136067,0.257073,0.500743"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081478,0.092856,0.101965,0.116301,0.139443,0.178863,0.251125", \ - "0.086050,0.097439,0.106541,0.120897,0.144034,0.183458,0.255729", \ - "0.103928,0.115281,0.124383,0.138735,0.161887,0.201319,0.273603", \ - "0.140707,0.152055,0.161170,0.175583,0.198795,0.238297,0.310593", \ - "0.188337,0.201605,0.211810,0.227462,0.251734,0.291679,0.363967", \ - "0.238896,0.254515,0.266326,0.284142,0.310492,0.352466,0.426117", \ - "0.292065,0.309915,0.323889,0.344148,0.373208,0.417591,0.492748"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015709,0.019968,0.024058,0.031595,0.045947,0.074751,0.134662", \ - "0.015712,0.019965,0.024052,0.031590,0.045947,0.074746,0.134664", \ - "0.015721,0.019996,0.024079,0.031604,0.045961,0.074750,0.134658", \ - "0.016358,0.020429,0.024409,0.031837,0.046087,0.074823,0.134690", \ - "0.022028,0.025467,0.028847,0.035392,0.048441,0.075935,0.135022", \ - "0.028885,0.032405,0.035569,0.041561,0.053837,0.080050,0.137022", \ - "0.036496,0.040417,0.043598,0.049243,0.060628,0.085296,0.140461"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081499,0.092890,0.101984,0.116341,0.139479,0.178904,0.251172", \ - "0.086068,0.097453,0.106558,0.120916,0.144056,0.183497,0.255753", \ - "0.103953,0.115301,0.124391,0.138757,0.161908,0.201350,0.273632", \ - "0.140744,0.152074,0.161215,0.175590,0.198812,0.238318,0.310606", \ - "0.188366,0.201692,0.211988,0.227525,0.251755,0.291706,0.364031", \ - "0.238807,0.254578,0.266658,0.284241,0.310619,0.352595,0.426160", \ - "0.292125,0.310236,0.324069,0.344210,0.373222,0.417650,0.492816"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067366,0.085620,0.103232,0.136353,0.200834,0.328733,0.583892", \ - "0.071786,0.090031,0.107640,0.140763,0.205247,0.333148,0.588320", \ - "0.088405,0.106582,0.124090,0.157115,0.221572,0.349540,0.604704", \ - "0.117029,0.135446,0.152939,0.185727,0.250002,0.377865,0.633167", \ - "0.145495,0.165231,0.183076,0.215907,0.280099,0.407650,0.662878", \ - "0.169847,0.192186,0.211119,0.244178,0.307912,0.435390,0.690227", \ - "0.188764,0.214108,0.234888,0.269126,0.332586,0.459508,0.714226"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015714,0.019969,0.024054,0.031600,0.045954,0.074742,0.134657", \ - "0.015711,0.019971,0.024053,0.031588,0.045947,0.074756,0.134655", \ - "0.015724,0.020000,0.024081,0.031605,0.045965,0.074760,0.134665", \ - "0.016356,0.020432,0.024411,0.031837,0.046085,0.074797,0.134684", \ - "0.022036,0.025459,0.028833,0.035396,0.048435,0.075933,0.135022", \ - "0.028905,0.032407,0.035522,0.041554,0.053834,0.080046,0.137050", \ - "0.036475,0.040377,0.043571,0.049240,0.060623,0.085296,0.140463"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017425,0.029854,0.043962,0.073336,0.133868,0.255918,0.500224", \ - "0.017425,0.029853,0.043961,0.073335,0.133885,0.255955,0.500232", \ - "0.017418,0.029872,0.043980,0.073332,0.133871,0.255917,0.500453", \ - "0.019118,0.030867,0.044529,0.073497,0.133896,0.255957,0.500361", \ - "0.023446,0.033819,0.046578,0.074697,0.134299,0.255970,0.500343", \ - "0.029433,0.039019,0.050222,0.076369,0.135062,0.256419,0.500274", \ - "0.036247,0.046177,0.056302,0.079746,0.136069,0.257071,0.500876"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081491,0.092875,0.101983,0.116332,0.139482,0.178938,0.251220", \ - "0.086072,0.097455,0.106569,0.120927,0.144078,0.183534,0.255819", \ - "0.103948,0.115300,0.124395,0.138766,0.161933,0.201384,0.273680", \ - "0.140740,0.152076,0.161186,0.175592,0.198819,0.238339,0.310635", \ - "0.188353,0.201626,0.211839,0.227517,0.251774,0.291751,0.364085", \ - "0.238776,0.254748,0.266694,0.284121,0.310485,0.352470,0.426062", \ - "0.291963,0.310433,0.324208,0.344264,0.373319,0.417726,0.492918"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015710,0.019964,0.024052,0.031581,0.045946,0.074737,0.134675", \ - "0.015713,0.019965,0.024049,0.031577,0.045945,0.074742,0.134659", \ - "0.015722,0.019994,0.024073,0.031591,0.045961,0.074731,0.134663", \ - "0.016357,0.020427,0.024408,0.031830,0.046070,0.074794,0.134688", \ - "0.022030,0.025465,0.028849,0.035386,0.048427,0.075913,0.135013", \ - "0.028897,0.032373,0.035525,0.041560,0.053826,0.080040,0.137018", \ - "0.036500,0.040331,0.043543,0.049223,0.060597,0.085267,0.140457"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081499,0.092890,0.101984,0.116341,0.139480,0.178904,0.251172", \ - "0.086068,0.097453,0.106558,0.120916,0.144056,0.183497,0.255753", \ - "0.103953,0.115301,0.124391,0.138757,0.161908,0.201350,0.273632", \ - "0.140744,0.152074,0.161215,0.175588,0.198812,0.238318,0.310606", \ - "0.188366,0.201692,0.211988,0.227525,0.251755,0.291706,0.364031", \ - "0.238807,0.254578,0.266658,0.284241,0.310619,0.352578,0.426160", \ - "0.292125,0.310236,0.324069,0.344210,0.373222,0.417650,0.492816"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067366,0.085620,0.103232,0.136353,0.200834,0.328733,0.583892", \ - "0.071786,0.090031,0.107640,0.140763,0.205247,0.333148,0.588320", \ - "0.088405,0.106582,0.124090,0.157115,0.221572,0.349540,0.604704", \ - "0.117029,0.135446,0.152939,0.185727,0.250002,0.377865,0.633167", \ - "0.145495,0.165231,0.183076,0.215907,0.280099,0.407650,0.662878", \ - "0.169847,0.192186,0.211119,0.244178,0.307912,0.435391,0.690227", \ - "0.188764,0.214108,0.234888,0.269126,0.332586,0.459508,0.714226"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015714,0.019969,0.024054,0.031600,0.045953,0.074742,0.134657", \ - "0.015711,0.019971,0.024053,0.031588,0.045947,0.074756,0.134655", \ - "0.015724,0.020000,0.024081,0.031605,0.045965,0.074760,0.134665", \ - "0.016356,0.020432,0.024411,0.031836,0.046085,0.074797,0.134684", \ - "0.022036,0.025459,0.028833,0.035396,0.048435,0.075933,0.135022", \ - "0.028905,0.032407,0.035522,0.041554,0.053834,0.080047,0.137050", \ - "0.036475,0.040377,0.043571,0.049240,0.060623,0.085296,0.140463"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017425,0.029854,0.043962,0.073336,0.133868,0.255918,0.500224", \ - "0.017425,0.029853,0.043961,0.073335,0.133885,0.255955,0.500232", \ - "0.017418,0.029872,0.043980,0.073332,0.133871,0.255917,0.500453", \ - "0.019118,0.030867,0.044529,0.073497,0.133896,0.255957,0.500361", \ - "0.023446,0.033819,0.046578,0.074697,0.134299,0.255970,0.500343", \ - "0.029433,0.039019,0.050222,0.076369,0.135062,0.256420,0.500274", \ - "0.036247,0.046177,0.056302,0.079746,0.136069,0.257071,0.500876"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081491,0.092875,0.101982,0.116331,0.139482,0.178937,0.251221", \ - "0.086072,0.097455,0.106569,0.120927,0.144078,0.183534,0.255819", \ - "0.103948,0.115300,0.124395,0.138766,0.161933,0.201384,0.273680", \ - "0.140770,0.152093,0.161186,0.175592,0.198819,0.238339,0.310635", \ - "0.188353,0.201626,0.211839,0.227517,0.251774,0.291792,0.364162", \ - "0.238776,0.254748,0.266694,0.284121,0.310485,0.352470,0.426062", \ - "0.291963,0.310433,0.324208,0.344264,0.373319,0.417726,0.492918"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015710,0.019964,0.024052,0.031581,0.045940,0.074737,0.134674", \ - "0.015713,0.019965,0.024049,0.031577,0.045945,0.074742,0.134659", \ - "0.015722,0.019994,0.024073,0.031591,0.045961,0.074731,0.134663", \ - "0.016354,0.020426,0.024407,0.031830,0.046070,0.074794,0.134688", \ - "0.022030,0.025465,0.028849,0.035386,0.048427,0.075918,0.135000", \ - "0.028897,0.032373,0.035525,0.041560,0.053826,0.080040,0.137018", \ - "0.036500,0.040331,0.043543,0.049223,0.060597,0.085267,0.140457"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081499,0.092890,0.101984,0.116341,0.139480,0.178904,0.251172", \ - "0.086068,0.097453,0.106558,0.120916,0.144056,0.183497,0.255753", \ - "0.103953,0.115301,0.124391,0.138757,0.161908,0.201350,0.273632", \ - "0.140744,0.152074,0.161215,0.175590,0.198812,0.238318,0.310606", \ - "0.188366,0.201692,0.211988,0.227525,0.251755,0.291706,0.364031", \ - "0.238807,0.254578,0.266658,0.284241,0.310619,0.352578,0.426160", \ - "0.292125,0.310236,0.324069,0.344210,0.373222,0.417650,0.492816"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067366,0.085620,0.103232,0.136353,0.200834,0.328733,0.583892", \ - "0.071786,0.090031,0.107640,0.140763,0.205247,0.333148,0.588320", \ - "0.088405,0.106582,0.124090,0.157115,0.221572,0.349540,0.604704", \ - "0.117029,0.135446,0.152939,0.185727,0.250002,0.377865,0.633167", \ - "0.145495,0.165231,0.183076,0.215907,0.280099,0.407650,0.662878", \ - "0.169847,0.192186,0.211119,0.244178,0.307912,0.435390,0.690227", \ - "0.188764,0.214108,0.234888,0.269126,0.332586,0.459508,0.714226"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015714,0.019969,0.024054,0.031600,0.045953,0.074742,0.134657", \ - "0.015711,0.019971,0.024053,0.031588,0.045947,0.074756,0.134655", \ - "0.015724,0.020000,0.024081,0.031605,0.045965,0.074760,0.134665", \ - "0.016356,0.020432,0.024411,0.031837,0.046085,0.074797,0.134684", \ - "0.022036,0.025459,0.028833,0.035396,0.048435,0.075933,0.135022", \ - "0.028905,0.032407,0.035522,0.041554,0.053834,0.080047,0.137050", \ - "0.036475,0.040377,0.043571,0.049240,0.060623,0.085296,0.140463"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017425,0.029854,0.043962,0.073336,0.133868,0.255918,0.500224", \ - "0.017425,0.029853,0.043961,0.073335,0.133885,0.255955,0.500232", \ - "0.017418,0.029872,0.043980,0.073332,0.133871,0.255917,0.500453", \ - "0.019118,0.030867,0.044529,0.073497,0.133896,0.255957,0.500361", \ - "0.023446,0.033819,0.046578,0.074697,0.134299,0.255970,0.500343", \ - "0.029433,0.039019,0.050222,0.076369,0.135062,0.256419,0.500274", \ - "0.036247,0.046177,0.056302,0.079746,0.136069,0.257071,0.500876"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081491,0.092875,0.101982,0.116331,0.139482,0.178937,0.251221", \ - "0.086072,0.097455,0.106569,0.120927,0.144078,0.183534,0.255819", \ - "0.103948,0.115300,0.124395,0.138766,0.161933,0.201384,0.273680", \ - "0.140770,0.152093,0.161186,0.175592,0.198819,0.238339,0.310635", \ - "0.188353,0.201626,0.211839,0.227517,0.251774,0.291792,0.364162", \ - "0.238776,0.254748,0.266694,0.284121,0.310485,0.352470,0.426062", \ - "0.291963,0.310433,0.324208,0.344264,0.373319,0.417726,0.492918"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015710,0.019964,0.024052,0.031581,0.045946,0.074737,0.134674", \ - "0.015713,0.019965,0.024049,0.031577,0.045945,0.074742,0.134659", \ - "0.015722,0.019994,0.024073,0.031591,0.045961,0.074731,0.134663", \ - "0.016354,0.020426,0.024407,0.031830,0.046070,0.074794,0.134688", \ - "0.022030,0.025465,0.028849,0.035386,0.048427,0.075918,0.135000", \ - "0.028897,0.032373,0.035525,0.041560,0.053826,0.080040,0.137018", \ - "0.036500,0.040331,0.043543,0.049223,0.060597,0.085267,0.140457"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081485,0.092868,0.101971,0.116326,0.139459,0.178885,0.251138", \ - "0.086054,0.097442,0.106553,0.120898,0.144041,0.183473,0.255744", \ - "0.103935,0.115282,0.124392,0.138753,0.161905,0.201335,0.273620", \ - "0.140727,0.152059,0.161224,0.175592,0.198823,0.238306,0.310606", \ - "0.188348,0.201618,0.211924,0.227509,0.251741,0.291694,0.363987", \ - "0.238600,0.254413,0.266629,0.284170,0.310490,0.352474,0.426112", \ - "0.291789,0.310024,0.324343,0.344143,0.373204,0.417661,0.492817"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067339,0.085589,0.103201,0.136322,0.200803,0.328702,0.583861", \ - "0.071753,0.090001,0.107611,0.140734,0.205221,0.333122,0.588281", \ - "0.088380,0.106543,0.124059,0.157086,0.221542,0.349506,0.604750", \ - "0.117001,0.135409,0.152895,0.185648,0.249960,0.377831,0.633143", \ - "0.145421,0.165170,0.183057,0.215923,0.280110,0.407632,0.662799", \ - "0.169867,0.192159,0.210980,0.244088,0.307847,0.435348,0.690132", \ - "0.188699,0.214065,0.234855,0.269046,0.332583,0.459502,0.714033"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015708,0.019970,0.024052,0.031588,0.045952,0.074747,0.134665", \ - "0.015709,0.019968,0.024057,0.031591,0.045948,0.074744,0.134658", \ - "0.015720,0.019995,0.024079,0.031611,0.045956,0.074762,0.134660", \ - "0.016358,0.020432,0.024407,0.031832,0.046087,0.074808,0.134686", \ - "0.022029,0.025469,0.028846,0.035396,0.048436,0.075926,0.135022", \ - "0.028931,0.032426,0.035524,0.041557,0.053838,0.080053,0.137033", \ - "0.036539,0.040402,0.043520,0.049252,0.060635,0.085300,0.140456"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017419,0.029853,0.043961,0.073335,0.133868,0.255917,0.500225", \ - "0.017419,0.029854,0.043958,0.073329,0.133863,0.255910,0.500361", \ - "0.017435,0.029870,0.043979,0.073331,0.133900,0.255929,0.500365", \ - "0.019118,0.030863,0.044527,0.073504,0.133899,0.255945,0.500394", \ - "0.023458,0.033824,0.046578,0.074692,0.134300,0.255959,0.500361", \ - "0.029421,0.039019,0.050236,0.076379,0.135054,0.256354,0.500454", \ - "0.036258,0.046189,0.056300,0.079748,0.136067,0.257073,0.500743"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081478,0.092856,0.101965,0.116301,0.139442,0.178863,0.251133", \ - "0.086050,0.097439,0.106541,0.120897,0.144034,0.183458,0.255729", \ - "0.103928,0.115281,0.124383,0.138735,0.161887,0.201319,0.273603", \ - "0.140707,0.152055,0.161170,0.175583,0.198795,0.238297,0.310593", \ - "0.188337,0.201605,0.211810,0.227462,0.251734,0.291679,0.363967", \ - "0.238896,0.254515,0.266326,0.284142,0.310492,0.352466,0.426122", \ - "0.292065,0.309915,0.323889,0.344148,0.373208,0.417591,0.492748"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015709,0.019968,0.024058,0.031596,0.045949,0.074751,0.134668", \ - "0.015712,0.019965,0.024052,0.031590,0.045947,0.074746,0.134664", \ - "0.015721,0.019996,0.024079,0.031604,0.045961,0.074750,0.134658", \ - "0.016358,0.020429,0.024409,0.031837,0.046087,0.074823,0.134690", \ - "0.022028,0.025467,0.028847,0.035392,0.048441,0.075935,0.135022", \ - "0.028885,0.032405,0.035569,0.041561,0.053837,0.080050,0.137051", \ - "0.036496,0.040417,0.043598,0.049243,0.060628,0.085296,0.140461"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081499,0.092890,0.101984,0.116341,0.139479,0.178904,0.251172", \ - "0.086068,0.097453,0.106558,0.120916,0.144056,0.183497,0.255753", \ - "0.103953,0.115301,0.124391,0.138757,0.161908,0.201350,0.273632", \ - "0.140744,0.152074,0.161215,0.175590,0.198812,0.238318,0.310606", \ - "0.188366,0.201692,0.211988,0.227525,0.251755,0.291706,0.364031", \ - "0.238807,0.254578,0.266658,0.284241,0.310619,0.352595,0.426160", \ - "0.292125,0.310236,0.324069,0.344210,0.373222,0.417650,0.492816"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067366,0.085620,0.103232,0.136353,0.200834,0.328733,0.583892", \ - "0.071786,0.090031,0.107640,0.140763,0.205247,0.333148,0.588320", \ - "0.088405,0.106582,0.124090,0.157115,0.221572,0.349540,0.604704", \ - "0.117029,0.135446,0.152939,0.185727,0.250002,0.377865,0.633167", \ - "0.145495,0.165231,0.183076,0.215907,0.280099,0.407650,0.662878", \ - "0.169847,0.192186,0.211119,0.244178,0.307912,0.435390,0.690203", \ - "0.188764,0.214108,0.234888,0.269126,0.332586,0.459508,0.714226"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015714,0.019969,0.024054,0.031600,0.045954,0.074742,0.134657", \ - "0.015711,0.019971,0.024053,0.031588,0.045947,0.074756,0.134655", \ - "0.015724,0.020000,0.024081,0.031605,0.045965,0.074760,0.134665", \ - "0.016356,0.020432,0.024411,0.031837,0.046085,0.074797,0.134684", \ - "0.022036,0.025459,0.028833,0.035396,0.048435,0.075933,0.135022", \ - "0.028905,0.032407,0.035522,0.041554,0.053834,0.080046,0.137050", \ - "0.036475,0.040377,0.043571,0.049240,0.060623,0.085296,0.140463"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017425,0.029854,0.043962,0.073336,0.133868,0.255918,0.500224", \ - "0.017425,0.029853,0.043961,0.073335,0.133885,0.255955,0.500232", \ - "0.017418,0.029872,0.043980,0.073332,0.133871,0.255917,0.500453", \ - "0.019118,0.030867,0.044529,0.073497,0.133896,0.255957,0.500361", \ - "0.023446,0.033819,0.046578,0.074697,0.134299,0.255970,0.500343", \ - "0.029433,0.039019,0.050222,0.076369,0.135062,0.256419,0.500458", \ - "0.036247,0.046177,0.056302,0.079746,0.136069,0.257071,0.500876"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081491,0.092875,0.101983,0.116331,0.139483,0.178937,0.251221", \ - "0.086072,0.097455,0.106569,0.120927,0.144078,0.183534,0.255819", \ - "0.103948,0.115300,0.124395,0.138766,0.161933,0.201384,0.273680", \ - "0.140770,0.152093,0.161186,0.175592,0.198819,0.238339,0.310635", \ - "0.188353,0.201626,0.211839,0.227517,0.251774,0.291792,0.364162", \ - "0.238776,0.254748,0.266694,0.284121,0.310485,0.352470,0.426062", \ - "0.291963,0.310433,0.324208,0.344264,0.373319,0.417726,0.492918"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015710,0.019964,0.024052,0.031581,0.045937,0.074737,0.134674", \ - "0.015713,0.019965,0.024049,0.031577,0.045945,0.074742,0.134659", \ - "0.015722,0.019994,0.024073,0.031591,0.045961,0.074731,0.134663", \ - "0.016354,0.020426,0.024408,0.031830,0.046070,0.074794,0.134688", \ - "0.022030,0.025465,0.028849,0.035386,0.048427,0.075918,0.135000", \ - "0.028897,0.032373,0.035525,0.041560,0.053826,0.080040,0.137018", \ - "0.036500,0.040331,0.043543,0.049223,0.060597,0.085267,0.140457"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081507,0.092898,0.101990,0.116343,0.139480,0.178911,0.251153", \ - "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ - "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ - "0.140780,0.152135,0.161271,0.175584,0.198813,0.238316,0.310605", \ - "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ - "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ - "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067152,0.085375,0.102969,0.136079,0.200568,0.328467,0.583544", \ - "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ - "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ - "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ - "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ - "0.169057,0.191496,0.210352,0.243634,0.307592,0.435110,0.689490", \ - "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ - "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ - "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ - "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ - "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ - "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ - "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017370,0.029797,0.043906,0.073288,0.133860,0.255949,0.500378", \ - "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ - "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ - "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ - "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ - "0.029491,0.039061,0.050241,0.076354,0.135040,0.256429,0.500412", \ - "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081497,0.092884,0.101989,0.116339,0.139495,0.178956,0.251208", \ - "0.086078,0.097466,0.106577,0.120939,0.144095,0.183561,0.255808", \ - "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ - "0.140729,0.152100,0.161199,0.175595,0.198817,0.238344,0.310603", \ - "0.188306,0.201616,0.211907,0.227558,0.251827,0.291754,0.364078", \ - "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426166", \ - "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015710,0.019967,0.024055,0.031593,0.045946,0.074739,0.134588", \ - "0.015716,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ - "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ - "0.016359,0.020431,0.024412,0.031841,0.046084,0.074807,0.134610", \ - "0.022044,0.025473,0.028845,0.035387,0.048435,0.075931,0.134936", \ - "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136965", \ - "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081507,0.092898,0.101990,0.116343,0.139480,0.178911,0.251153", \ - "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ - "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ - "0.140780,0.152135,0.161271,0.175584,0.198813,0.238316,0.310605", \ - "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ - "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ - "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067152,0.085375,0.102969,0.136079,0.200568,0.328467,0.583544", \ - "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ - "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ - "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ - "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ - "0.169057,0.191496,0.210352,0.243634,0.307592,0.435110,0.689524", \ - "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ - "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ - "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ - "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ - "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ - "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ - "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017370,0.029797,0.043906,0.073288,0.133860,0.255949,0.500378", \ - "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ - "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ - "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ - "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ - "0.029491,0.039061,0.050241,0.076354,0.135040,0.256429,0.500429", \ - "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081497,0.092884,0.101989,0.116346,0.139496,0.178955,0.251208", \ - "0.086079,0.097466,0.106577,0.120939,0.144095,0.183561,0.255808", \ - "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ - "0.140729,0.152100,0.161199,0.175595,0.198817,0.238344,0.310603", \ - "0.188306,0.201616,0.211907,0.227558,0.251827,0.291754,0.364078", \ - "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426166", \ - "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015710,0.019967,0.024055,0.031602,0.045945,0.074738,0.134588", \ - "0.015709,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ - "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ - "0.016359,0.020431,0.024412,0.031841,0.046084,0.074807,0.134610", \ - "0.022044,0.025473,0.028845,0.035387,0.048435,0.075931,0.134936", \ - "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136965", \ - "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081507,0.092898,0.101990,0.116343,0.139480,0.178911,0.251153", \ - "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ - "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ - "0.140780,0.152135,0.161271,0.175584,0.198813,0.238316,0.310605", \ - "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ - "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ - "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067152,0.085375,0.102969,0.136079,0.200568,0.328467,0.583544", \ - "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ - "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ - "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ - "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ - "0.169057,0.191496,0.210352,0.243634,0.307592,0.435110,0.689490", \ - "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ - "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ - "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ - "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ - "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ - "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ - "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017370,0.029797,0.043906,0.073288,0.133860,0.255949,0.500378", \ - "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ - "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ - "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ - "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ - "0.029491,0.039061,0.050241,0.076354,0.135040,0.256429,0.500412", \ - "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081497,0.092884,0.101989,0.116347,0.139495,0.178956,0.251208", \ - "0.086078,0.097466,0.106577,0.120939,0.144095,0.183561,0.255808", \ - "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ - "0.140729,0.152100,0.161199,0.175595,0.198817,0.238344,0.310603", \ - "0.188306,0.201616,0.211907,0.227558,0.251805,0.291754,0.364078", \ - "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426166", \ - "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015710,0.019967,0.024055,0.031598,0.045946,0.074739,0.134587", \ - "0.015715,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ - "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ - "0.016359,0.020431,0.024412,0.031841,0.046084,0.074807,0.134610", \ - "0.022044,0.025473,0.028845,0.035387,0.048436,0.075931,0.134936", \ - "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136965", \ - "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081507,0.092898,0.101991,0.116343,0.139480,0.178911,0.251153", \ - "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ - "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ - "0.140780,0.152135,0.161271,0.175584,0.198813,0.238315,0.310605", \ - "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ - "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ - "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067152,0.085375,0.102969,0.136079,0.200561,0.328466,0.583541", \ - "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ - "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ - "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ - "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ - "0.169057,0.191496,0.210352,0.243634,0.307592,0.435114,0.689524", \ - "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ - "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ - "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ - "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ - "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ - "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ - "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017370,0.029797,0.043906,0.073287,0.133855,0.255995,0.500382", \ - "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ - "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ - "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ - "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ - "0.029491,0.039061,0.050241,0.076354,0.135040,0.256423,0.500429", \ - "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081497,0.092884,0.101989,0.116346,0.139495,0.178956,0.251206", \ - "0.086078,0.097466,0.106577,0.120939,0.144095,0.183561,0.255809", \ - "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ - "0.140729,0.152090,0.161199,0.175595,0.198817,0.238344,0.310603", \ - "0.188306,0.201616,0.211907,0.227540,0.251805,0.291753,0.364078", \ - "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426180", \ - "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015712,0.019967,0.024055,0.031601,0.045948,0.074739,0.134588", \ - "0.015715,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ - "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ - "0.016359,0.020432,0.024412,0.031841,0.046084,0.074807,0.134609", \ - "0.022044,0.025473,0.028845,0.035389,0.048436,0.075931,0.134936", \ - "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136961", \ - "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081507,0.092898,0.101991,0.116343,0.139480,0.178911,0.251153", \ - "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ - "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ - "0.140780,0.152135,0.161271,0.175584,0.198813,0.238315,0.310605", \ - "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ - "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ - "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067152,0.085375,0.102969,0.136079,0.200561,0.328466,0.583541", \ - "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ - "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ - "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ - "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ - "0.169057,0.191496,0.210352,0.243634,0.307592,0.435114,0.689490", \ - "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ - "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ - "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ - "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ - "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ - "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ - "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017370,0.029797,0.043906,0.073287,0.133855,0.255995,0.500382", \ - "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ - "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ - "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ - "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ - "0.029491,0.039061,0.050241,0.076354,0.135040,0.256423,0.500412", \ - "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081497,0.092884,0.101989,0.116339,0.139495,0.178955,0.251209", \ - "0.086078,0.097466,0.106577,0.120939,0.144095,0.183561,0.255809", \ - "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ - "0.140729,0.152100,0.161199,0.175595,0.198817,0.238344,0.310603", \ - "0.188306,0.201616,0.211907,0.227540,0.251805,0.291753,0.364078", \ - "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426180", \ - "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015712,0.019967,0.024055,0.031593,0.045960,0.074738,0.134586", \ - "0.015715,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ - "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ - "0.016359,0.020431,0.024412,0.031841,0.046084,0.074807,0.134609", \ - "0.022044,0.025473,0.028845,0.035389,0.048436,0.075931,0.134936", \ - "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136961", \ - "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081507,0.092898,0.101991,0.116343,0.139480,0.178911,0.251153", \ - "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ - "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ - "0.140780,0.152135,0.161271,0.175584,0.198813,0.238315,0.310605", \ - "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ - "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ - "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067152,0.085375,0.102969,0.136079,0.200561,0.328466,0.583541", \ - "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ - "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ - "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ - "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ - "0.169057,0.191496,0.210352,0.243634,0.307592,0.435114,0.689490", \ - "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ - "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ - "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ - "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ - "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ - "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ - "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017370,0.029797,0.043906,0.073287,0.133855,0.255995,0.500382", \ - "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ - "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ - "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ - "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ - "0.029491,0.039061,0.050241,0.076354,0.135040,0.256423,0.500412", \ - "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081497,0.092884,0.101989,0.116339,0.139495,0.178955,0.251209", \ - "0.086078,0.097466,0.106577,0.120939,0.144095,0.183561,0.255809", \ - "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ - "0.140729,0.152100,0.161199,0.175595,0.198817,0.238344,0.310603", \ - "0.188306,0.201616,0.211907,0.227540,0.251805,0.291753,0.364078", \ - "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426180", \ - "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015712,0.019967,0.024055,0.031593,0.045960,0.074738,0.134586", \ - "0.015715,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ - "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ - "0.016359,0.020431,0.024412,0.031841,0.046084,0.074807,0.134609", \ - "0.022044,0.025473,0.028845,0.035389,0.048436,0.075931,0.134936", \ - "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136961", \ - "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081507,0.092898,0.101990,0.116343,0.139480,0.178911,0.251153", \ - "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ - "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ - "0.140780,0.152135,0.161271,0.175584,0.198813,0.238316,0.310605", \ - "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ - "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ - "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067152,0.085375,0.102969,0.136079,0.200568,0.328467,0.583544", \ - "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ - "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ - "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ - "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ - "0.169057,0.191496,0.210352,0.243634,0.307592,0.435114,0.689490", \ - "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ - "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ - "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ - "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ - "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ - "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ - "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017370,0.029797,0.043906,0.073288,0.133860,0.255949,0.500378", \ - "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ - "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ - "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ - "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ - "0.029491,0.039061,0.050241,0.076354,0.135040,0.256423,0.500412", \ - "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081497,0.092884,0.101989,0.116350,0.139497,0.178956,0.251208", \ - "0.086078,0.097466,0.106577,0.120939,0.144095,0.183561,0.255808", \ - "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ - "0.140729,0.152100,0.161199,0.175595,0.198831,0.238344,0.310603", \ - "0.188306,0.201616,0.211907,0.227558,0.251805,0.291754,0.364078", \ - "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426166", \ - "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015710,0.019967,0.024055,0.031591,0.045943,0.074739,0.134587", \ - "0.015715,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ - "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ - "0.016359,0.020431,0.024412,0.031841,0.046079,0.074807,0.134610", \ - "0.022044,0.025473,0.028845,0.035387,0.048436,0.075931,0.134936", \ - "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136965", \ - "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081507,0.092898,0.101991,0.116343,0.139480,0.178911,0.251153", \ - "0.086070,0.097453,0.106574,0.120921,0.144058,0.183479,0.255735", \ - "0.103952,0.115297,0.124405,0.138761,0.161924,0.201371,0.273643", \ - "0.140780,0.152135,0.161271,0.175584,0.198813,0.238315,0.310605", \ - "0.188457,0.201788,0.211995,0.227551,0.251821,0.291786,0.364077", \ - "0.238992,0.254794,0.266647,0.284216,0.310550,0.352575,0.426170", \ - "0.291923,0.310429,0.324193,0.344357,0.373407,0.417773,0.492897"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.067152,0.085375,0.102969,0.136079,0.200561,0.328466,0.583541", \ - "0.071582,0.089797,0.107387,0.140494,0.204986,0.332907,0.587934", \ - "0.088240,0.106360,0.123860,0.156872,0.221329,0.349318,0.604508", \ - "0.116826,0.135219,0.152693,0.185474,0.249731,0.377646,0.632793", \ - "0.145149,0.164850,0.182704,0.215611,0.279751,0.407383,0.662476", \ - "0.169057,0.191496,0.210352,0.243634,0.307592,0.435114,0.689524", \ - "0.187637,0.213077,0.233932,0.268260,0.331663,0.458479,0.713219"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015716,0.019975,0.024054,0.031597,0.045958,0.074738,0.134666", \ - "0.015714,0.019973,0.024055,0.031590,0.045950,0.074745,0.134660", \ - "0.015725,0.019998,0.024081,0.031608,0.045961,0.074757,0.134675", \ - "0.016358,0.020432,0.024412,0.031837,0.046087,0.074797,0.134686", \ - "0.022027,0.025453,0.028842,0.035394,0.048434,0.075931,0.135023", \ - "0.028900,0.032374,0.035522,0.041564,0.053840,0.080053,0.137028", \ - "0.036517,0.040339,0.043560,0.049225,0.060612,0.085291,0.140466"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.017370,0.029797,0.043906,0.073287,0.133855,0.255995,0.500382", \ - "0.017370,0.029798,0.043912,0.073294,0.133858,0.255972,0.500428", \ - "0.017364,0.029817,0.043929,0.073292,0.133858,0.255969,0.500347", \ - "0.019078,0.030822,0.044487,0.073462,0.133891,0.255967,0.500419", \ - "0.023431,0.033795,0.046542,0.074666,0.134272,0.256095,0.500387", \ - "0.029491,0.039061,0.050241,0.076354,0.135040,0.256423,0.500429", \ - "0.036363,0.046294,0.056369,0.079764,0.136083,0.257139,0.500807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.081497,0.092884,0.101989,0.116348,0.139495,0.178954,0.251206", \ - "0.086078,0.097466,0.106577,0.120939,0.144095,0.183561,0.255809", \ - "0.103955,0.115308,0.124409,0.138779,0.161949,0.201409,0.273663", \ - "0.140729,0.152100,0.161199,0.175595,0.198831,0.238344,0.310603", \ - "0.188306,0.201616,0.211907,0.227540,0.251805,0.291753,0.364078", \ - "0.238974,0.254645,0.266453,0.284163,0.310631,0.352502,0.426180", \ - "0.291928,0.310262,0.323984,0.344184,0.373244,0.417650,0.492679"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.015712,0.019967,0.024055,0.031588,0.045961,0.074739,0.134588", \ - "0.015715,0.019968,0.024052,0.031583,0.045950,0.074750,0.134584", \ - "0.015725,0.019997,0.024077,0.031595,0.045960,0.074737,0.134590", \ - "0.016359,0.020431,0.024412,0.031841,0.046079,0.074807,0.134609", \ - "0.022044,0.025473,0.028845,0.035389,0.048436,0.075931,0.134936", \ - "0.028882,0.032392,0.035561,0.041562,0.053826,0.080053,0.136961", \ - "0.036525,0.040366,0.043588,0.049265,0.060648,0.085292,0.140357"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197345,0.212939,0.227833,0.257508,0.319214,0.445476,0.699779", \ - "0.202097,0.217694,0.232588,0.262262,0.323983,0.450244,0.704551", \ - "0.219824,0.235404,0.250302,0.279974,0.341693,0.467948,0.722258", \ - "0.256369,0.271979,0.286829,0.316505,0.378203,0.504449,0.758755", \ - "0.313510,0.328969,0.343545,0.372908,0.434296,0.560329,0.814621", \ - "0.382953,0.397913,0.411562,0.439871,0.500049,0.625283,0.879042", \ - "0.457430,0.471874,0.484534,0.511394,0.570023,0.693695,0.947422"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018570,0.030894,0.044815,0.073806,0.133933,0.255758,0.499936", \ - "0.018577,0.030892,0.044816,0.073804,0.133932,0.255763,0.499937", \ - "0.018572,0.030892,0.044819,0.073796,0.133932,0.255763,0.499941", \ - "0.018585,0.030901,0.044823,0.073789,0.133920,0.255763,0.499950", \ - "0.018640,0.030947,0.044856,0.073837,0.133922,0.255761,0.499941", \ - "0.019089,0.031325,0.045173,0.074007,0.133986,0.255772,0.499941", \ - "0.019751,0.031954,0.045707,0.074278,0.134095,0.255798,0.499946"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197345,0.212939,0.227833,0.257499,0.319213,0.445475,0.699779", \ - "0.202097,0.217694,0.232588,0.262262,0.323983,0.450244,0.704551", \ - "0.219824,0.235404,0.250302,0.279974,0.341693,0.467948,0.722258", \ - "0.256369,0.271979,0.286829,0.316505,0.378203,0.504449,0.758755", \ - "0.313510,0.328969,0.343545,0.372908,0.434296,0.560329,0.814621", \ - "0.382953,0.397913,0.411562,0.439871,0.500049,0.625283,0.879042", \ - "0.457430,0.471874,0.484534,0.511394,0.570023,0.693695,0.947422"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018570,0.030894,0.044815,0.073803,0.133933,0.255763,0.499936", \ - "0.018577,0.030892,0.044816,0.073804,0.133932,0.255763,0.499937", \ - "0.018572,0.030892,0.044819,0.073796,0.133932,0.255763,0.499941", \ - "0.018585,0.030901,0.044823,0.073789,0.133920,0.255763,0.499950", \ - "0.018640,0.030947,0.044856,0.073837,0.133922,0.255761,0.499941", \ - "0.019089,0.031325,0.045173,0.074007,0.133986,0.255772,0.499941", \ - "0.019751,0.031954,0.045707,0.074278,0.134095,0.255798,0.499946"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197345,0.212939,0.227833,0.257508,0.319213,0.445475,0.699780", \ - "0.202097,0.217694,0.232588,0.262262,0.323983,0.450244,0.704551", \ - "0.219824,0.235404,0.250302,0.279974,0.341693,0.467948,0.722258", \ - "0.256369,0.271979,0.286829,0.316505,0.378203,0.504449,0.758755", \ - "0.313510,0.328969,0.343545,0.372908,0.434296,0.560329,0.814621", \ - "0.382953,0.397913,0.411562,0.439871,0.500049,0.625283,0.879042", \ - "0.457430,0.471874,0.484534,0.511394,0.570023,0.693695,0.947422"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018570,0.030894,0.044815,0.073806,0.133933,0.255763,0.499936", \ - "0.018577,0.030892,0.044816,0.073804,0.133932,0.255763,0.499937", \ - "0.018572,0.030892,0.044819,0.073796,0.133932,0.255763,0.499941", \ - "0.018585,0.030901,0.044823,0.073789,0.133920,0.255763,0.499950", \ - "0.018640,0.030947,0.044856,0.073837,0.133922,0.255761,0.499941", \ - "0.019089,0.031325,0.045173,0.074007,0.133986,0.255772,0.499941", \ - "0.019751,0.031954,0.045707,0.074278,0.134095,0.255798,0.499946"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197340,0.212937,0.227828,0.257505,0.319212,0.445472,0.699780", \ - "0.202092,0.217689,0.232585,0.262262,0.323977,0.450239,0.704547", \ - "0.219808,0.235407,0.250298,0.279970,0.341685,0.467936,0.722246", \ - "0.256306,0.271921,0.286837,0.316498,0.378201,0.504444,0.758750", \ - "0.313452,0.328780,0.343443,0.372800,0.434182,0.560210,0.814396", \ - "0.382752,0.397544,0.411562,0.439476,0.499655,0.624879,0.878653", \ - "0.457385,0.471832,0.484800,0.510947,0.569537,0.693749,0.946964"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018576,0.030894,0.044817,0.073799,0.133926,0.255763,0.499936", \ - "0.018577,0.030892,0.044815,0.073805,0.133929,0.255765,0.499944", \ - "0.018577,0.030894,0.044820,0.073796,0.133927,0.255759,0.499948", \ - "0.018585,0.030898,0.044820,0.073796,0.133920,0.255763,0.499952", \ - "0.018643,0.030947,0.044857,0.073835,0.133921,0.255762,0.499942", \ - "0.019083,0.031324,0.045174,0.074008,0.133977,0.255776,0.499945", \ - "0.019747,0.031955,0.045706,0.074289,0.134088,0.255800,0.499942"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197340,0.212937,0.227828,0.257508,0.319212,0.445475,0.699780", \ - "0.202090,0.217689,0.232585,0.262262,0.323977,0.450239,0.704547", \ - "0.219808,0.235407,0.250298,0.279970,0.341685,0.467936,0.722246", \ - "0.256306,0.271921,0.286837,0.316498,0.378201,0.504444,0.758750", \ - "0.313452,0.328780,0.343443,0.372800,0.434182,0.560210,0.814396", \ - "0.382752,0.397544,0.411562,0.439476,0.499655,0.624879,0.878653", \ - "0.457385,0.471832,0.484800,0.510947,0.569537,0.693749,0.946964"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018576,0.030894,0.044817,0.073805,0.133926,0.255759,0.499936", \ - "0.018570,0.030892,0.044815,0.073805,0.133929,0.255765,0.499944", \ - "0.018577,0.030894,0.044820,0.073796,0.133927,0.255759,0.499948", \ - "0.018585,0.030898,0.044820,0.073796,0.133920,0.255763,0.499952", \ - "0.018643,0.030947,0.044857,0.073835,0.133921,0.255762,0.499942", \ - "0.019083,0.031324,0.045174,0.074008,0.133977,0.255776,0.499945", \ - "0.019747,0.031955,0.045706,0.074289,0.134088,0.255800,0.499942"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197340,0.212937,0.227828,0.257505,0.319212,0.445472,0.699777", \ - "0.202092,0.217689,0.232585,0.262262,0.323977,0.450239,0.704547", \ - "0.219808,0.235407,0.250298,0.279970,0.341685,0.467936,0.722246", \ - "0.256306,0.271921,0.286837,0.316498,0.378201,0.504444,0.758750", \ - "0.313452,0.328780,0.343443,0.372800,0.434182,0.560210,0.814396", \ - "0.382752,0.397544,0.411562,0.439476,0.499655,0.624879,0.878653", \ - "0.457385,0.471832,0.484800,0.510947,0.569537,0.693749,0.946964"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018576,0.030894,0.044817,0.073799,0.133926,0.255763,0.499936", \ - "0.018577,0.030892,0.044815,0.073805,0.133929,0.255765,0.499944", \ - "0.018577,0.030894,0.044820,0.073796,0.133927,0.255759,0.499948", \ - "0.018585,0.030898,0.044820,0.073796,0.133920,0.255763,0.499952", \ - "0.018643,0.030947,0.044857,0.073835,0.133921,0.255762,0.499942", \ - "0.019083,0.031324,0.045174,0.074008,0.133977,0.255776,0.499945", \ - "0.019747,0.031955,0.045706,0.074289,0.134088,0.255800,0.499942"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197345,0.212939,0.227833,0.257500,0.319213,0.445476,0.699779", \ - "0.202097,0.217694,0.232588,0.262262,0.323983,0.450244,0.704551", \ - "0.219824,0.235404,0.250302,0.279974,0.341693,0.467948,0.722258", \ - "0.256369,0.271982,0.286829,0.316505,0.378203,0.504449,0.758755", \ - "0.313510,0.328969,0.343545,0.372908,0.434296,0.560329,0.814621", \ - "0.382953,0.397913,0.411562,0.439871,0.500049,0.625283,0.879042", \ - "0.457430,0.471874,0.484534,0.511394,0.570023,0.693695,0.947422"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018570,0.030894,0.044815,0.073803,0.133933,0.255757,0.499936", \ - "0.018577,0.030892,0.044816,0.073804,0.133932,0.255763,0.499937", \ - "0.018572,0.030892,0.044819,0.073796,0.133932,0.255763,0.499941", \ - "0.018585,0.030900,0.044823,0.073789,0.133920,0.255763,0.499950", \ - "0.018640,0.030947,0.044856,0.073837,0.133922,0.255761,0.499941", \ - "0.019089,0.031325,0.045173,0.074007,0.133986,0.255772,0.499941", \ - "0.019751,0.031954,0.045707,0.074278,0.134095,0.255798,0.499946"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197340,0.212937,0.227828,0.257505,0.319212,0.445470,0.699777", \ - "0.202092,0.217689,0.232585,0.262262,0.323977,0.450239,0.704547", \ - "0.219808,0.235407,0.250298,0.279970,0.341685,0.467936,0.722246", \ - "0.256306,0.271921,0.286837,0.316498,0.378201,0.504444,0.758750", \ - "0.313452,0.328780,0.343443,0.372800,0.434182,0.560210,0.814396", \ - "0.382752,0.397544,0.411562,0.439476,0.499655,0.624879,0.878653", \ - "0.457385,0.471832,0.484800,0.510947,0.569537,0.693749,0.946964"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018576,0.030894,0.044817,0.073799,0.133926,0.255756,0.499936", \ - "0.018577,0.030892,0.044815,0.073805,0.133929,0.255765,0.499944", \ - "0.018577,0.030894,0.044820,0.073796,0.133927,0.255759,0.499948", \ - "0.018585,0.030898,0.044820,0.073796,0.133920,0.255763,0.499952", \ - "0.018643,0.030947,0.044857,0.073835,0.133921,0.255762,0.499942", \ - "0.019083,0.031324,0.045174,0.074008,0.133977,0.255776,0.499945", \ - "0.019747,0.031955,0.045706,0.074289,0.134088,0.255800,0.499942"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197290,0.212832,0.227696,0.257371,0.319084,0.445353,0.699667", \ - "0.202039,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ - "0.219757,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ - "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ - "0.313417,0.328818,0.343390,0.372757,0.434118,0.560165,0.814359", \ - "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878859", \ - "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947235"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018531,0.030849,0.044774,0.073765,0.133916,0.255762,0.499942", \ - "0.018532,0.030845,0.044772,0.073763,0.133915,0.255772,0.499952", \ - "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ - "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ - "0.018591,0.030900,0.044819,0.073795,0.133905,0.255766,0.499967", \ - "0.019019,0.031262,0.045117,0.073968,0.133963,0.255781,0.499942", \ - "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197290,0.212832,0.227696,0.257371,0.319088,0.445357,0.699664", \ - "0.202039,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ - "0.219757,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ - "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ - "0.313417,0.328818,0.343390,0.372792,0.434159,0.560209,0.814445", \ - "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878859", \ - "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947235"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018531,0.030849,0.044774,0.073765,0.133916,0.255768,0.499933", \ - "0.018532,0.030845,0.044772,0.073763,0.133915,0.255772,0.499952", \ - "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ - "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ - "0.018591,0.030900,0.044819,0.073794,0.133905,0.255766,0.499969", \ - "0.019019,0.031262,0.045117,0.073968,0.133963,0.255781,0.499942", \ - "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197290,0.212832,0.227696,0.257371,0.319088,0.445353,0.699668", \ - "0.202039,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ - "0.219757,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ - "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ - "0.313417,0.328818,0.343390,0.372792,0.434159,0.560209,0.814445", \ - "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878858", \ - "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947235"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018531,0.030849,0.044774,0.073765,0.133916,0.255762,0.499951", \ - "0.018532,0.030845,0.044772,0.073763,0.133915,0.255772,0.499952", \ - "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ - "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ - "0.018591,0.030900,0.044819,0.073794,0.133905,0.255766,0.499969", \ - "0.019019,0.031262,0.045117,0.073968,0.133963,0.255781,0.499951", \ - "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197290,0.212832,0.227696,0.257371,0.319088,0.445355,0.699669", \ - "0.202047,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ - "0.219760,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ - "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ - "0.313417,0.328818,0.343390,0.372792,0.434159,0.560209,0.814445", \ - "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878859", \ - "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947236"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018531,0.030848,0.044773,0.073765,0.133916,0.255761,0.499950", \ - "0.018528,0.030845,0.044772,0.073763,0.133912,0.255772,0.499952", \ - "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ - "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ - "0.018591,0.030900,0.044816,0.073794,0.133905,0.255766,0.499969", \ - "0.019020,0.031262,0.045117,0.073968,0.133963,0.255781,0.499945", \ - "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197290,0.212832,0.227696,0.257371,0.319088,0.445359,0.699667", \ - "0.202039,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ - "0.219760,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ - "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ - "0.313417,0.328818,0.343390,0.372792,0.434159,0.560209,0.814445", \ - "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878859", \ - "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947236"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018531,0.030848,0.044773,0.073765,0.133916,0.255771,0.499951", \ - "0.018532,0.030845,0.044772,0.073763,0.133915,0.255772,0.499952", \ - "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ - "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ - "0.018591,0.030900,0.044816,0.073794,0.133905,0.255766,0.499969", \ - "0.019020,0.031262,0.045117,0.073968,0.133963,0.255781,0.499945", \ - "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197290,0.212832,0.227696,0.257371,0.319088,0.445355,0.699666", \ - "0.202039,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ - "0.219760,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ - "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ - "0.313417,0.328818,0.343390,0.372792,0.434159,0.560209,0.814445", \ - "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878859", \ - "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947236"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018531,0.030848,0.044773,0.073765,0.133916,0.255761,0.499951", \ - "0.018532,0.030845,0.044772,0.073763,0.133915,0.255772,0.499952", \ - "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ - "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ - "0.018591,0.030900,0.044816,0.073794,0.133905,0.255766,0.499969", \ - "0.019020,0.031262,0.045117,0.073968,0.133963,0.255781,0.499945", \ - "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197290,0.212832,0.227696,0.257371,0.319088,0.445358,0.699665", \ - "0.202047,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ - "0.219757,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ - "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ - "0.313417,0.328818,0.343390,0.372792,0.434159,0.560209,0.814445", \ - "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878859", \ - "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947235"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018531,0.030849,0.044774,0.073765,0.133916,0.255768,0.499950", \ - "0.018528,0.030845,0.044772,0.073763,0.133915,0.255772,0.499952", \ - "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ - "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ - "0.018591,0.030900,0.044819,0.073794,0.133905,0.255766,0.499969", \ - "0.019019,0.031262,0.045117,0.073968,0.133963,0.255781,0.499942", \ - "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.197290,0.212832,0.227696,0.257371,0.319088,0.445355,0.699667", \ - "0.202039,0.217585,0.232454,0.262136,0.323844,0.450122,0.704430", \ - "0.219760,0.235293,0.250175,0.279845,0.341552,0.467826,0.722137", \ - "0.256261,0.271834,0.286678,0.316355,0.378048,0.504310,0.758616", \ - "0.313417,0.328818,0.343390,0.372792,0.434159,0.560209,0.814445", \ - "0.383026,0.397940,0.411458,0.439639,0.499817,0.625085,0.878858", \ - "0.457560,0.471848,0.484779,0.511059,0.569714,0.694010,0.947236"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018531,0.030848,0.044773,0.073765,0.133916,0.255761,0.499942", \ - "0.018532,0.030845,0.044772,0.073763,0.133912,0.255772,0.499952", \ - "0.018530,0.030849,0.044772,0.073759,0.133915,0.255761,0.499951", \ - "0.018540,0.030854,0.044781,0.073777,0.133904,0.255770,0.499976", \ - "0.018591,0.030900,0.044816,0.073794,0.133905,0.255766,0.499969", \ - "0.019020,0.031262,0.045117,0.073968,0.133963,0.255781,0.499951", \ - "0.019663,0.031869,0.045625,0.074227,0.134054,0.255821,0.499978"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.834215,5.952353,6.029317,6.272219,6.425865,6.471799,6.528903", \ - "5.824357,5.940544,6.014923,6.276237,6.430510,6.485047,6.540772", \ - "5.806339,5.922173,5.998629,6.256150,6.407166,6.457148,6.522307", \ - "5.799540,5.919411,5.996762,6.248248,6.412975,6.467198,6.508442", \ - "5.821389,5.942080,6.019136,6.259721,6.408581,6.497513,6.489601", \ - "5.881809,5.997295,6.073724,6.329140,6.478442,6.568957,6.588156", \ - "5.992047,6.108027,6.185724,6.433264,6.604410,6.646954,6.694282"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.050969,6.183391,6.254496,6.328380,6.389780,6.418089,6.450192", \ - "6.044639,6.180613,6.256164,6.310789,6.393887,6.421204,6.453503", \ - "6.024669,6.161762,6.229748,6.299449,6.369375,6.401921,6.433136", \ - "6.023760,6.161770,6.237269,6.315135,6.372205,6.400062,6.427031", \ - "6.052287,6.185543,6.253915,6.331452,6.401197,6.451726,6.470947", \ - "6.113092,6.246372,6.324719,6.381629,6.451339,6.501214,6.494586", \ - "6.229319,6.360864,6.424503,6.497366,6.574231,6.618875,6.631534"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.105997,4.430659,4.625969,4.883250,5.126924,5.355274,5.634695", \ - "4.098703,4.370399,4.590358,4.843969,5.084719,5.321639,5.610377", \ - "4.027475,4.301253,4.512885,4.771344,5.028374,5.262504,5.552162", \ - "4.052773,4.304602,4.517926,4.761757,5.024962,5.264391,5.568525", \ - "4.100266,4.377996,4.595256,4.838248,5.115575,5.377076,5.682639", \ - "4.273835,4.426482,4.602778,4.865847,5.207382,5.568709,5.873070", \ - "4.629196,4.688172,4.808559,5.025081,5.346185,5.710695,6.156941"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.778714,3.164038,3.442616,3.786304,3.949424,3.947024,3.988101", \ - "2.736426,3.140996,3.426106,3.697469,3.912848,3.910609,3.949205", \ - "2.719590,3.098140,3.378107,3.632788,3.828070,3.831879,3.877874", \ - "2.789742,3.156014,3.402165,3.661931,3.820388,3.825827,3.878321", \ - "2.978981,3.267805,3.469912,3.656430,3.805225,3.865394,3.874162", \ - "3.199918,3.544920,3.704320,3.877336,3.902141,4.045450,4.121318", \ - "3.544130,3.870373,4.047922,4.246212,4.333074,4.307128,4.376393"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.905453,5.099130,5.210600,5.270789,5.373475,5.393974,5.456479", \ - "4.875045,5.081742,5.188158,5.261473,5.370194,5.391167,5.461840", \ - "4.855718,5.043106,5.150066,5.235769,5.319142,5.409905,5.410183", \ - "4.866182,5.050152,5.154472,5.213774,5.334768,5.362386,5.436922", \ - "4.985929,5.122883,5.204040,5.293991,5.381966,5.405250,5.485223", \ - "5.173456,5.274217,5.344151,5.385691,5.480058,5.500292,5.582114", \ - "5.443144,5.507895,5.544968,5.580052,5.642820,5.657160,5.735002"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.105999,4.430664,4.625971,4.883253,5.126923,5.355266,5.634674", \ - "4.098709,4.370400,4.590360,4.843970,5.084711,5.321635,5.610375", \ - "4.027479,4.301253,4.512886,4.771344,5.028375,5.262502,5.552159", \ - "4.052776,4.304603,4.517928,4.761756,5.024960,5.264382,5.568523", \ - "4.100268,4.378001,4.595261,4.838244,5.115568,5.377072,5.682638", \ - "4.273839,4.426484,4.602781,4.865849,5.207379,5.568708,5.873061", \ - "4.629199,4.688172,4.808556,5.025082,5.346185,5.710689,6.156935"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.778715,3.164038,3.442615,3.786306,3.949424,3.947010,3.988088", \ - "2.736426,3.140998,3.426106,3.697460,3.912846,3.910594,3.949190", \ - "2.719590,3.098139,3.378107,3.632778,3.828064,3.831861,3.877855", \ - "2.789743,3.156016,3.402166,3.661931,3.820389,3.825815,3.878312", \ - "2.978983,3.267804,3.469913,3.656420,3.805217,3.865383,3.874144", \ - "3.199915,3.544922,3.704317,3.877330,3.902122,4.045445,4.121314", \ - "3.544132,3.870381,4.047920,4.246208,4.333072,4.307120,4.376389"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.904463,5.098145,5.209576,5.305054,5.372548,5.393073,5.457383", \ - "4.874066,5.080767,5.187175,5.260499,5.369248,5.390243,5.460983", \ - "4.854779,5.042155,5.149126,5.234832,5.318213,5.409008,5.409330", \ - "4.865301,5.049265,5.153588,5.212884,5.333887,5.361521,5.436094", \ - "4.985154,5.122098,5.207416,5.293193,5.381153,5.404433,5.484438", \ - "5.172807,5.273555,5.343478,5.385000,5.479351,5.499556,5.580613", \ - "5.442664,5.507374,5.544736,5.579490,5.642230,5.656518,5.734199"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.105988,4.430645,4.625958,4.883238,5.126917,5.355276,5.634717", \ - "4.098690,4.370388,4.590345,4.843955,5.084703,5.321636,5.610377", \ - "4.027464,4.301242,4.512874,4.771332,5.028364,5.262500,5.552162", \ - "4.052764,4.304594,4.517915,4.761748,5.024955,5.264397,5.568525", \ - "4.100259,4.377983,4.595244,4.838245,5.115573,5.377075,5.682638", \ - "4.273828,4.426475,4.602768,4.865839,5.207379,5.568704,5.873077", \ - "4.629188,4.688168,4.808549,5.025076,5.346178,5.710697,6.156945"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.778705,3.164027,3.442606,3.786293,3.949415,3.947032,3.988111", \ - "2.736422,3.140986,3.426096,3.697470,3.912844,3.910622,3.949218", \ - "2.719588,3.098133,3.378098,3.632790,3.828069,3.831896,3.877891", \ - "2.789741,3.156005,3.402155,3.661922,3.820379,3.825835,3.878328", \ - "2.978977,3.267800,3.469905,3.656432,3.805227,3.865401,3.874179", \ - "3.199919,3.544912,3.704316,3.877336,3.902155,4.045450,4.121319", \ - "3.544125,3.870373,4.047917,4.246209,4.333070,4.307132,4.376393"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.904788,5.098457,5.209947,5.291070,5.372574,5.393575,5.461147", \ - "4.874492,5.081079,5.187709,5.261021,5.369658,5.390760,5.461595", \ - "4.855090,5.042472,5.149448,5.235189,5.318652,5.409478,5.409973", \ - "4.865607,5.049570,5.153893,5.213210,5.334306,5.362000,5.436727", \ - "4.985440,5.122387,5.207883,5.293509,5.381541,5.404935,5.485021", \ - "5.173072,5.273026,5.343795,5.385320,5.479690,5.500022,5.581975", \ - "5.442868,5.507268,5.544681,5.579970,5.642537,5.656937,5.734744"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.082378,5.413983,5.610658,5.863098,6.112652,6.342796,6.626407", \ - "5.027303,5.318690,5.538789,5.802493,6.048592,6.274468,6.554120", \ - "4.943235,5.230251,5.433120,5.701368,5.956766,6.183644,6.462244", \ - "4.983930,5.284715,5.470246,5.699529,5.974449,6.220618,6.520100", \ - "5.147206,5.412100,5.627168,5.891067,6.167111,6.421072,6.730307", \ - "5.428588,5.603387,5.794545,6.063524,6.417022,6.770599,7.074108", \ - "5.980597,6.083841,6.191521,6.443488,6.762940,7.126949,7.577333"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.378089,3.769303,4.041911,4.348524,4.553195,4.630326,4.583168", \ - "3.322219,3.740951,4.009647,4.317517,4.501134,4.493852,4.531424", \ - "3.289755,3.670949,3.942170,4.232178,4.395446,4.394083,4.444058", \ - "3.382177,3.748002,3.980802,4.200198,4.398979,4.399005,4.452686", \ - "3.628933,3.926671,4.136945,4.338404,4.482339,4.557090,4.507179", \ - "3.973385,4.324661,4.509846,4.666860,4.726798,4.817129,4.910631", \ - "4.497940,4.840040,5.042450,5.234251,5.330406,5.287088,5.344286"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.412879,5.619332,5.726476,5.801430,5.890479,5.908393,5.969285", \ - "5.377388,5.583674,5.687259,5.736861,5.801006,5.887672,5.949261", \ - "5.331772,5.532315,5.637310,5.721216,5.804778,5.825026,5.894252", \ - "5.369306,5.554844,5.652131,5.735708,5.767620,5.880571,5.930207", \ - "5.532694,5.672044,5.748621,5.840716,5.925085,5.948522,6.024602", \ - "5.794787,5.904641,5.958802,6.005044,6.098718,6.118067,6.194213", \ - "6.169674,6.232092,6.270482,6.276852,6.366517,6.380752,6.454500"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.082356,5.413972,5.610646,5.863130,6.118679,6.342782,6.626449", \ - "5.027285,5.318673,5.538778,5.802491,6.048592,6.274473,6.554113", \ - "4.943220,5.230230,5.433106,5.701359,5.956766,6.183638,6.462221", \ - "4.983906,5.284704,5.470235,5.733295,5.974429,6.220596,6.520085", \ - "5.147191,5.412089,5.627156,5.891052,6.167096,6.421054,6.730303", \ - "5.428574,5.603374,5.794538,6.063516,6.417014,6.770505,7.074091", \ - "5.980584,6.083835,6.191508,6.443484,6.762934,7.126932,7.577320"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.378083,3.769288,4.041892,4.348505,4.553190,4.630323,4.583142", \ - "3.322208,3.740940,4.009631,4.317500,4.501124,4.493821,4.531394", \ - "3.289744,3.670932,3.942151,4.232160,4.395431,4.394047,4.444020", \ - "3.382165,3.747990,3.980788,4.200176,4.398975,4.398980,4.452661", \ - "3.628924,3.926657,4.136934,4.338385,4.482329,4.557081,4.507141", \ - "3.973381,4.324652,4.509835,4.666840,4.726770,4.817074,4.910624", \ - "4.497934,4.840031,5.042442,5.234235,5.330396,5.287071,5.344276"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.414051,5.620511,5.722838,5.806546,5.892085,5.909556,5.973529", \ - "5.378548,5.584845,5.688499,5.738042,5.802148,5.888815,5.950432", \ - "5.332891,5.533447,5.638451,5.722353,5.805901,5.826194,5.895360", \ - "5.370529,5.555917,5.647649,5.736803,5.768677,5.881725,5.931296", \ - "5.533645,5.673005,5.749591,5.841744,5.926127,5.950212,6.025676", \ - "5.795598,5.905471,5.952552,6.005907,6.099686,6.119035,6.195184", \ - "6.170319,6.232741,6.271172,6.277597,6.367349,6.381611,6.455391"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.082363,5.413977,5.610651,5.863134,6.118696,6.342785,6.626452", \ - "5.027292,5.318679,5.538783,5.802495,6.048594,6.274474,6.554115", \ - "4.943228,5.230236,5.433111,5.701363,5.956768,6.183641,6.462226", \ - "4.983915,5.284710,5.470240,5.699515,5.974435,6.220602,6.520090", \ - "5.147199,5.412095,5.627162,5.891058,6.167101,6.421058,6.730304", \ - "5.428581,5.603381,5.794543,6.063521,6.417018,6.770507,7.074095", \ - "5.980590,6.083840,6.191514,6.443486,6.762937,7.126936,7.577323"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.378087,3.769292,4.041897,4.348510,4.553192,4.630325,4.583149", \ - "3.322213,3.740943,4.009635,4.317504,4.501127,4.493829,4.531401", \ - "3.289749,3.670936,3.942156,4.232164,4.395435,4.394056,4.444029", \ - "3.382170,3.747993,3.980791,4.200181,4.398977,4.398987,4.452668", \ - "3.628928,3.926661,4.136937,4.338390,4.482332,4.557085,4.507150", \ - "3.973373,4.324655,4.509838,4.666845,4.726777,4.817119,4.910627", \ - "4.497936,4.840035,5.042435,5.234239,5.330399,5.287076,5.344280"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.414058,5.620515,5.722999,5.805643,5.891722,5.909556,5.973806", \ - "5.378555,5.584851,5.688503,5.738046,5.802144,5.888823,5.950429", \ - "5.332899,5.533456,5.638454,5.722360,5.805910,5.826196,5.895365", \ - "5.370536,5.555924,5.647653,5.736795,5.768685,5.881725,5.931300", \ - "5.533067,5.673011,5.749597,5.841743,5.926129,5.950220,6.025676", \ - "5.795603,5.905476,5.952558,6.005913,6.099682,6.119036,6.195188", \ - "6.170307,6.233023,6.277209,6.277598,6.367344,6.381612,6.455397"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.105987,4.430649,4.625957,4.883238,5.126914,5.355264,5.634688", \ - "4.098694,4.370387,4.590346,4.843954,5.084687,5.321630,5.610374", \ - "4.027465,4.301239,4.512873,4.771331,5.028363,5.262497,5.552158", \ - "4.052764,4.304591,4.517915,4.761745,5.024951,5.264384,5.568522", \ - "4.100258,4.377987,4.595252,4.838236,5.115564,5.377069,5.682636", \ - "4.273828,4.426474,4.602770,4.865838,5.207373,5.568702,5.873065", \ - "4.629190,4.688165,4.808548,5.025074,5.346177,5.710688,6.156937"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.778705,3.164026,3.442604,3.786295,3.949414,3.947014,3.988093", \ - "2.736420,3.140986,3.426095,3.697457,3.912839,3.910600,3.949197", \ - "2.719586,3.098130,3.378096,3.632776,3.828060,3.831870,3.877865", \ - "2.789740,3.156005,3.402155,3.661921,3.820379,3.825819,3.878314", \ - "2.978977,3.267798,3.469904,3.656419,3.805214,3.865386,3.874153", \ - "3.199914,3.544913,3.704312,3.877326,3.902128,4.045443,4.121312", \ - "3.544126,3.870367,4.047914,4.246202,4.333066,4.307120,4.376387"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.903663,5.097304,5.208766,5.289854,5.371449,5.392155,5.454632", \ - "4.873371,5.079928,5.186518,5.259787,5.368356,5.389339,5.460038", \ - "4.853976,5.041329,5.148296,5.233980,5.317350,5.408068,5.408401", \ - "4.864514,5.048451,5.152743,5.212016,5.333037,5.360630,5.435193", \ - "4.984398,5.121317,5.206781,5.292367,5.380313,5.403586,5.483533", \ - "5.172114,5.272040,5.342778,5.384256,5.478542,5.498750,5.582409", \ - "5.442035,5.506403,5.543789,5.579002,5.641501,5.655763,5.733394"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.082383,5.413987,5.610662,5.863100,6.112599,6.342800,6.626408", \ - "5.027308,5.318695,5.538793,5.802496,6.048593,6.274469,6.554122", \ - "4.943240,5.230256,5.433125,5.701371,5.956768,6.183647,6.462250", \ - "4.983937,5.284719,5.470252,5.699536,5.974455,6.220625,6.520104", \ - "5.147211,5.412104,5.627173,5.891073,6.167116,6.421077,6.730309", \ - "5.428593,5.603392,5.794548,6.063528,6.417026,6.770601,7.074113", \ - "5.980603,6.083848,6.191526,6.443489,6.762942,7.126954,7.577336"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.378092,3.769306,4.041915,4.348529,4.553197,4.630327,4.583176", \ - "3.322223,3.740954,4.009651,4.317521,4.501137,4.493861,4.531433", \ - "3.289759,3.670953,3.942174,4.232183,4.395450,4.394093,4.444068", \ - "3.382180,3.748005,3.980806,4.200204,4.398980,4.399012,4.452693", \ - "3.628935,3.926675,4.136947,4.338409,4.482343,4.557093,4.507189", \ - "3.973389,4.324663,4.509848,4.666865,4.726806,4.817133,4.885640", \ - "4.497942,4.840043,5.042453,5.234255,5.330408,5.287093,5.344289"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.414060,5.620523,5.721807,5.808063,5.891723,5.909597,5.974619", \ - "5.378560,5.584858,5.688461,5.738064,5.802228,5.888882,5.950474", \ - "5.332911,5.533469,5.638475,5.722387,5.805954,5.826229,5.895448", \ - "5.370555,5.555945,5.653250,5.736832,5.768756,5.881742,5.931379", \ - "5.533093,5.673041,5.745825,5.841761,5.926156,5.950289,6.025739", \ - "5.795633,5.905508,5.959686,6.005955,6.099689,6.119084,6.195285", \ - "6.170357,6.232793,6.277263,6.277626,6.367354,6.381662,6.455495"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.865599,5.184008,5.359490,5.582741,5.756197,5.846553,5.871006", \ - "4.804900,5.091142,5.288917,5.512593,5.688737,5.778486,5.805785", \ - "4.719613,4.994812,5.194154,5.422044,5.599122,5.695983,5.720137", \ - "4.784768,5.059518,5.222173,5.443822,5.625324,5.736107,5.774912", \ - "4.946752,5.180433,5.377343,5.596000,5.804746,5.923207,5.979007", \ - "5.214425,5.367387,5.535177,5.766775,6.035550,6.271978,6.323442", \ - "5.746686,5.832805,5.933342,6.107365,6.395769,6.652535,6.841891"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.941102,3.142674,3.219380,3.299633,3.425743,3.410862,3.432591", \ - "2.897120,3.092364,3.189622,3.269224,3.374328,3.430235,3.384604", \ - "2.846692,3.033198,3.109258,3.179540,3.267650,3.259835,3.290175", \ - "2.894426,3.043287,3.117185,3.139065,3.132632,3.265358,3.305917", \ - "3.107053,3.253280,3.271943,3.283852,3.358325,3.318465,3.362554", \ - "3.484364,3.660787,3.674088,3.642557,3.576997,3.676232,3.737126", \ - "4.011385,4.221523,4.269876,4.255628,4.151442,4.167739,4.207142"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.262947,6.459269,6.556510,6.629166,6.706288,6.772723,6.804787", \ - "6.224544,6.418723,6.503214,6.596737,6.613571,6.681730,6.739134", \ - "6.182802,6.379110,6.464152,6.532755,6.619959,6.691020,6.684628", \ - "6.221779,6.394480,6.472057,6.563635,6.638751,6.643040,6.738274", \ - "6.379129,6.511675,6.578145,6.660540,6.735273,6.739501,6.808182", \ - "6.648400,6.742196,6.775098,6.833461,6.879657,6.950035,7.013937", \ - "7.015479,7.082627,7.116062,7.150722,7.186091,7.249318,7.259023"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.865602,5.184013,5.359490,5.582742,5.756194,5.846543,5.870984", \ - "4.804904,5.091143,5.288917,5.512594,5.688737,5.778481,5.805782", \ - "4.719617,4.994813,5.194154,5.422046,5.599121,5.695980,5.720124", \ - "4.784772,5.059523,5.222173,5.443822,5.625320,5.736098,5.774906", \ - "4.946759,5.180438,5.377346,5.595995,5.804739,5.923192,5.979005", \ - "5.214427,5.367387,5.535180,5.766776,6.035542,6.271976,6.323433", \ - "5.746688,5.832809,5.933343,6.107358,6.395768,6.652535,6.841884"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.941104,3.142675,3.219378,3.299629,3.425744,3.410850,3.432579", \ - "2.897120,3.092362,3.189621,3.269220,3.374326,3.430236,3.384589", \ - "2.846693,3.033197,3.109256,3.179536,3.267645,3.259817,3.290157", \ - "2.894426,3.043284,3.117184,3.139057,3.132612,3.265347,3.305905", \ - "3.107051,3.253280,3.271944,3.283847,3.358325,3.318448,3.362535", \ - "3.484365,3.660787,3.674085,3.642553,3.576982,3.676227,3.738295", \ - "4.011386,4.221524,4.269875,4.255627,4.151433,4.167735,4.207142"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.262129,6.458470,6.555669,6.598273,6.704986,6.771483,6.764639", \ - "6.223391,6.417934,6.502385,6.595900,6.612726,6.680896,6.738339", \ - "6.182020,6.378322,6.463350,6.531941,6.619138,6.690273,6.683834", \ - "6.221044,6.393765,6.471301,6.562867,6.637969,6.642243,6.737554", \ - "6.378491,6.511014,6.577475,6.659858,6.734560,6.738757,6.806762", \ - "6.647881,6.741659,6.774551,6.833103,6.879042,6.949381,7.013253", \ - "7.015105,7.082234,7.115673,7.150291,7.185602,7.248773,7.258290"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.865574,5.183979,5.359467,5.582720,5.756185,5.846558,5.871030", \ - "4.804874,5.091117,5.288894,5.512571,5.688723,5.778486,5.805788", \ - "4.719589,4.994790,5.194132,5.422022,5.599109,5.695979,5.720151", \ - "4.784747,5.059493,5.222153,5.443802,5.625317,5.736111,5.774918", \ - "4.946732,5.180413,5.377324,5.595990,5.804742,5.923220,5.979008", \ - "5.214411,5.367374,5.535161,5.766763,6.035550,6.271975,6.323452", \ - "5.746673,5.832792,5.933330,6.107365,6.395762,6.652530,6.841898"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.941099,3.142668,3.219376,3.299631,3.425735,3.410870,3.432601", \ - "2.897119,3.092361,3.189617,3.269220,3.374323,3.430236,3.384618", \ - "2.846691,3.033194,3.109254,3.179538,3.267649,3.259851,3.290193", \ - "2.894424,3.043285,3.117179,3.139065,3.132648,3.265365,3.305926", \ - "3.107054,3.253276,3.271936,3.283850,3.358319,3.318480,3.362571", \ - "3.484360,3.660782,3.674085,3.642554,3.577007,3.676231,3.737123", \ - "4.011380,4.221517,4.269871,4.255622,4.151445,4.167738,4.207138"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.262618,6.458631,6.556123,6.598789,6.705819,6.703202,6.761602", \ - "6.224279,6.418088,6.502845,6.596324,6.613151,6.681269,6.738714", \ - "6.181688,6.373659,6.463807,6.532390,6.619548,6.689791,6.684242", \ - "6.221508,6.393938,6.471740,6.563259,6.638375,6.642691,6.737386", \ - "6.378928,6.511432,6.577910,6.660178,6.734738,6.739177,6.821375", \ - "6.648286,6.743156,6.774941,6.831876,6.879378,6.949726,7.013592", \ - "7.015440,7.082568,7.115740,7.150517,7.185883,7.249044,7.260554"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.865677,5.184086,5.359546,5.582829,5.756281,5.846572,5.871187", \ - "4.804944,5.091222,5.289006,5.512717,5.688841,5.778650,5.805902", \ - "4.719718,4.994898,5.194266,5.422059,5.599212,5.696051,5.720277", \ - "4.784854,5.059605,5.222269,5.443912,5.625447,5.734944,5.775044", \ - "4.946851,5.180540,5.377445,5.596114,5.804868,5.923366,5.979141", \ - "5.214524,5.367512,5.535282,5.766881,6.035681,6.272088,6.323588", \ - "5.746798,5.832922,5.933463,6.107510,6.395892,6.652683,6.842050"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.940887,3.142591,3.219300,3.299566,3.425938,3.409965,3.432092", \ - "2.897074,3.092297,3.189541,3.269129,3.374196,3.436051,3.384481", \ - "2.846641,3.033122,3.109180,3.179443,3.267522,3.259726,3.290063", \ - "2.894371,3.043222,3.117104,3.138986,3.132556,3.265230,3.305785", \ - "3.107003,3.253204,3.271852,3.283763,3.358172,3.318363,3.362451", \ - "3.484304,3.660713,3.674018,3.642464,3.576909,3.676324,3.738146", \ - "4.011326,4.221449,4.269806,4.255530,4.151345,4.167608,4.206994"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.260173,6.457307,6.557749,6.596180,6.702408,6.766999,6.760898", \ - "6.223247,6.419497,6.500824,6.593871,6.610090,6.708168,6.733073", \ - "6.180875,6.376934,6.461785,6.537638,6.616494,6.685448,6.678649", \ - "6.220403,6.391816,6.469558,6.560677,6.635224,6.638605,6.735313", \ - "6.379259,6.509330,6.575622,6.657904,6.731417,6.734374,6.802267", \ - "6.646363,6.739625,6.797431,6.834367,6.910411,6.944931,7.006028", \ - "7.026494,7.080128,7.114648,7.148717,7.182204,7.244574,7.233478"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.865656,5.184075,5.359532,5.582821,5.756272,5.846554,5.871150", \ - "4.804925,5.091205,5.288992,5.512710,5.688838,5.778641,5.805897", \ - "4.719698,4.994880,5.194251,5.422053,5.599207,5.696047,5.720256", \ - "4.784833,5.059593,5.222254,5.443904,5.625435,5.734925,5.775033", \ - "4.946838,5.180528,5.377434,5.596096,5.804852,5.923339,5.979137", \ - "5.214508,5.367497,5.535274,5.766871,6.035661,6.272083,6.323572", \ - "5.746786,5.832914,5.933454,6.107488,6.395885,6.652681,6.842038"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.940881,3.142585,3.219290,3.299552,3.425933,3.409940,3.432067", \ - "2.897065,3.092287,3.189533,3.269117,3.374187,3.436041,3.384451", \ - "2.846632,3.033112,3.109169,3.179429,3.267508,3.259691,3.290028", \ - "2.894364,3.043210,3.117096,3.138967,3.132517,3.265207,3.305760", \ - "3.106993,3.253197,3.271846,3.283749,3.358165,3.318328,3.362415", \ - "3.484299,3.660706,3.674007,3.642451,3.576878,3.676312,3.736967", \ - "4.011322,4.221444,4.269797,4.255522,4.151324,4.167596,4.206989"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.261120,6.458270,6.558855,6.597301,6.711993,6.768779,6.757246", \ - "6.224181,6.420449,6.501809,6.594879,6.611101,6.709325,6.734135", \ - "6.181779,6.377863,6.462722,6.538596,6.617464,6.686511,6.679658", \ - "6.221257,6.390934,6.470469,6.561655,6.636154,6.639609,6.736308", \ - "6.377792,6.510108,6.576411,6.658765,6.732313,6.735268,6.803243", \ - "6.646993,6.740426,6.798107,6.835063,6.911231,6.945790,7.006908", \ - "7.026963,7.080604,7.115171,7.149319,7.182895,7.245329,7.234273"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.865664,5.184080,5.359536,5.582824,5.756275,5.846557,5.871157", \ - "4.804933,5.091211,5.288997,5.512712,5.688839,5.778643,5.805898", \ - "4.719706,4.994886,5.194256,5.422055,5.599209,5.696048,5.720260", \ - "4.784842,5.059598,5.222259,5.443907,5.625438,5.734930,5.775035", \ - "4.946845,5.180534,5.377439,5.596101,5.804856,5.923345,5.979138", \ - "5.214515,5.367503,5.535278,5.766875,6.035666,6.272084,6.323575", \ - "5.746792,5.832918,5.933458,6.107494,6.395887,6.652683,6.842040"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.940883,3.142587,3.219293,3.299555,3.425935,3.409946,3.432073", \ - "2.897069,3.092290,3.189535,3.269120,3.374190,3.436045,3.384458", \ - "2.846635,3.033116,3.109173,3.179433,3.267512,3.259700,3.290036", \ - "2.894367,3.043213,3.117099,3.138972,3.132526,3.265213,3.305767", \ - "3.106997,3.253200,3.271849,3.283752,3.358168,3.318336,3.362424", \ - "3.484301,3.660708,3.674010,3.642455,3.576885,3.676316,3.736970", \ - "4.011324,4.221446,4.269800,4.255525,4.151330,4.167600,4.206992"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.261129,6.458278,6.558817,6.597289,6.711162,6.768989,6.757241", \ - "6.224190,6.420457,6.501807,6.594877,6.611101,6.709255,6.734127", \ - "6.181786,6.377869,6.462730,6.538603,6.617471,6.686499,6.679655", \ - "6.221264,6.390942,6.470474,6.561658,6.636155,6.639603,6.736309", \ - "6.380005,6.510120,6.576428,6.658771,6.732310,6.735274,6.803239", \ - "6.646804,6.740438,6.798119,6.835071,6.911224,6.945799,7.006914", \ - "7.027058,7.080614,7.115176,7.122534,7.182887,7.245340,7.234288"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.865573,5.183982,5.359465,5.582719,5.756181,5.846545,5.871001", \ - "4.804875,5.091116,5.288892,5.512571,5.688722,5.778479,5.805783", \ - "4.719589,4.994787,5.194130,5.422023,5.599108,5.695976,5.720134", \ - "4.784747,5.059496,5.222151,5.443802,5.625310,5.736100,5.774910", \ - "4.946736,5.180415,5.377325,5.595982,5.804732,5.923199,5.979006", \ - "5.214410,5.367372,5.535164,5.766762,6.035538,6.271972,6.323439", \ - "5.746673,5.832793,5.933330,6.107353,6.395760,6.652530,6.841888"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.941099,3.142668,3.219373,3.299624,3.425735,3.410854,3.432585", \ - "2.897117,3.092358,3.189615,3.269215,3.374319,3.430232,3.384597", \ - "2.846690,3.033192,3.109251,3.179531,3.267641,3.259826,3.290168", \ - "2.894423,3.043281,3.117178,3.139055,3.132620,3.265350,3.305910", \ - "3.107050,3.253275,3.271936,3.283842,3.358317,3.318456,3.362545", \ - "3.484360,3.660781,3.674080,3.642547,3.576986,3.676457,3.737120", \ - "4.011381,4.221517,4.269869,4.255619,4.151432,4.167732,4.207137"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.261690,6.457679,6.555129,6.597512,6.704578,6.770943,6.760151", \ - "6.223353,6.417139,6.501849,6.595270,6.612010,6.679994,6.737250", \ - "6.180768,6.372716,6.462821,6.531351,6.618418,6.688580,6.682785", \ - "6.220600,6.393003,6.470764,6.562224,6.636904,6.641436,6.735930", \ - "6.378079,6.510539,6.576985,6.659196,6.733668,6.737963,6.818523", \ - "6.647516,6.741240,6.774105,6.831035,6.878389,6.948580,7.012257", \ - "7.014802,7.081902,7.115047,7.149754,7.185019,7.248014,7.259265"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.865683,5.184089,5.359550,5.582831,5.756283,5.846576,5.871196", \ - "4.804950,5.091227,5.289010,5.512719,5.688842,5.778653,5.805903", \ - "4.719724,4.994903,5.194270,5.422061,5.599213,5.696052,5.720283", \ - "4.784860,5.059608,5.222273,5.443914,5.625451,5.734949,5.775047", \ - "4.946856,5.180544,5.377449,5.596119,5.804873,5.923374,5.979142", \ - "5.214529,5.367517,5.535285,5.766884,6.035687,6.272089,6.323592", \ - "5.746802,5.832925,5.933467,6.107517,6.395894,6.652684,6.842053"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("2.940889,3.142592,3.219303,3.299570,3.425939,3.409972,3.432099", \ - "2.897077,3.092300,3.189543,3.269132,3.374199,3.436054,3.384489", \ - "2.846644,3.033125,3.109183,3.179447,3.267526,3.259736,3.290073", \ - "2.894373,3.043225,3.117106,3.138991,3.132567,3.265237,3.305792", \ - "3.107007,3.253206,3.271854,3.283767,3.358174,3.318372,3.362461", \ - "3.484306,3.660715,3.674021,3.642468,3.576918,3.676328,3.738149", \ - "4.011327,4.221451,4.269808,4.255532,4.151350,4.167612,4.206996"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.261126,6.458279,6.558829,6.597267,6.710459,6.770844,6.761978", \ - "6.224191,6.420461,6.501816,6.594873,6.611123,6.709229,6.734175", \ - "6.181796,6.377875,6.462746,6.538607,6.617499,6.686512,6.679735", \ - "6.221278,6.390957,6.470483,6.561631,6.636018,6.639633,6.736376", \ - "6.380038,6.510146,6.576455,6.658770,6.732336,6.735335,6.803295", \ - "6.646826,6.740316,6.798134,6.835105,6.911212,6.945816,7.007006", \ - "7.026995,7.080651,7.115196,7.122545,7.182884,7.245351,7.234378"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.493831,4.708042,4.878457,5.056899,5.178482,5.237173,5.247262", \ - "4.478155,4.699496,4.866666,5.071844,5.169801,5.229146,5.239093", \ - "4.448870,4.676343,4.828760,5.034962,5.131204,5.191140,5.208688", \ - "4.458854,4.658442,4.813916,5.017420,5.094479,5.158244,5.178567", \ - "4.552933,4.725869,4.877675,5.070742,5.137818,5.203633,5.227102", \ - "4.708964,4.867821,4.979707,5.143894,5.198903,5.268234,5.295709", \ - "4.870355,5.015823,5.142164,5.312951,5.394892,5.405976,5.435100"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.493676,4.707872,4.878273,5.056820,5.178239,5.237063,5.246957", \ - "4.477995,4.699327,4.866484,5.071639,5.169570,5.228875,5.238781", \ - "4.448715,4.676175,4.828581,5.034766,5.130969,5.190864,5.208392", \ - "4.458703,4.658278,4.813740,5.017229,5.094250,5.157972,5.178274", \ - "4.552793,4.725717,4.877514,5.070561,5.137611,5.203385,5.226806", \ - "4.708850,4.867693,4.979571,5.143744,5.198708,5.267994,5.295443", \ - "4.870276,5.015734,5.142069,5.312833,5.394740,5.405769,5.434859"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.494216,4.708457,4.878900,5.057385,5.178645,5.237897,5.247822", \ - "4.478534,4.699908,4.867104,5.072326,5.170325,5.229701,5.239604", \ - "4.449243,4.676744,4.829186,5.035424,5.131724,5.191695,5.209165", \ - "4.459207,4.658821,4.814318,5.017854,5.094974,5.158772,5.179022", \ - "4.553241,4.726200,4.878026,5.071131,5.138245,5.204096,5.227536", \ - "4.709189,4.868072,4.979975,5.144191,5.199271,5.268647,5.296064", \ - "4.870473,5.015961,5.142315,5.313146,5.395144,5.406290,5.435378"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.445684,4.659972,4.830431,5.008827,5.129206,5.187528,5.199178", \ - "4.432244,4.653096,4.819759,5.024601,5.122285,5.181125,5.196048", \ - "4.404670,4.630092,4.782576,4.988343,5.084051,5.144097,5.161437", \ - "4.412855,4.612614,4.766698,4.970166,5.047013,5.110402,5.127732", \ - "4.507819,4.682126,4.834965,5.024443,5.090570,5.155639,5.180014", \ - "4.666646,4.810655,4.934607,5.079264,5.155082,5.223087,5.252359", \ - "4.826303,4.972525,5.101964,5.273366,5.354141,5.383745,5.392217"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.445851,4.660131,4.830585,5.008599,5.129435,5.188840,5.199476", \ - "4.427792,4.653253,4.819928,5.024791,5.122535,5.181402,5.196318", \ - "4.404810,4.630246,4.782749,4.988562,5.084266,5.144338,5.161736", \ - "4.412989,4.612758,4.766855,4.970366,5.047211,5.110628,5.128018", \ - "4.507939,4.682257,4.835115,5.024610,5.090786,5.155884,5.180260", \ - "4.666745,4.810748,4.934727,5.079419,5.155233,5.223267,5.252615", \ - "4.826353,4.972590,5.102053,5.273472,5.354275,5.383912,5.392455"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.446413,4.660763,4.831254,5.009781,5.130266,5.188752,5.204802", \ - "4.432970,4.653883,4.820604,5.025539,5.123425,5.182402,5.197406", \ - "4.405382,4.630866,4.783421,4.989324,5.085116,5.145307,5.162821", \ - "4.413544,4.613354,4.767490,4.971092,5.048028,5.111565,5.129078", \ - "4.508446,4.682801,4.835707,5.025271,5.091565,5.156785,5.181269", \ - "4.667160,4.811191,4.935218,5.079991,5.155895,5.224071,5.253569", \ - "4.826615,4.972893,5.102405,5.273894,5.354814,5.384602,5.393326"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.493688,4.707888,4.878295,5.056807,5.178265,5.236903,5.246851", \ - "4.478009,4.699342,4.866500,5.071660,5.169575,5.228862,5.238686", \ - "4.448730,4.676190,4.828594,5.034771,5.130987,5.190861,5.208274", \ - "4.458716,4.658296,4.813756,5.017234,5.094264,5.157964,5.178148", \ - "4.552801,4.725726,4.877519,5.070565,5.137599,5.203346,5.226680", \ - "4.708845,4.867692,4.979563,5.143724,5.198700,5.267953,5.295278", \ - "4.870259,5.015713,5.142038,5.312800,5.394698,5.405699,5.434667"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.446291,4.660630,4.831119,5.009615,5.130084,5.189523,5.200331", \ - "4.432850,4.653750,4.820457,5.025374,5.123185,5.182141,5.197142", \ - "4.405262,4.630733,4.783266,4.989124,5.084916,5.145081,5.162525", \ - "4.413423,4.613225,4.767347,4.970905,5.047840,5.111352,5.128794", \ - "4.508331,4.682679,4.835564,5.025109,5.091352,5.156539,5.181026", \ - "4.667056,4.811094,4.935095,5.079832,5.155747,5.223891,5.253317", \ - "4.826554,4.972818,5.102304,5.273780,5.354668,5.384443,5.393086"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.430870,4.595245,4.685479,4.801567,5.091198,6.389664,6.703556", \ - "4.414057,4.574681,4.672251,4.815296,5.083829,6.381959,6.694891", \ - "4.385890,4.550107,4.633815,4.776935,5.040259,6.339978,6.658590", \ - "4.396257,4.536738,4.625080,4.770981,5.007474,6.309881,6.625417", \ - "4.502230,4.612878,4.693930,4.775942,5.077667,6.376312,6.677835", \ - "4.664031,4.761211,4.818458,4.883997,5.142757,6.445460,6.752611", \ - "4.830455,4.914759,4.962064,5.067513,5.317061,6.551403,6.893035"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.430718,4.595083,4.685296,4.801324,5.090961,6.389507,6.704181", \ - "4.413904,4.574514,4.672071,4.815200,5.083603,6.381690,6.694582", \ - "4.385738,4.549942,4.633638,4.776742,5.040028,6.339704,6.658299", \ - "4.396108,4.536576,4.624907,4.770794,5.007248,6.309612,6.625128", \ - "4.502091,4.612728,4.693771,4.825040,5.077281,6.375757,6.677573", \ - "4.663917,4.761085,4.818326,4.883852,5.142565,6.445222,6.752347", \ - "4.830378,4.914672,4.961970,5.067398,5.316911,6.551117,6.892797"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.431243,4.595650,4.685935,4.802018,5.091701,6.390150,6.703358", \ - "4.414429,4.575079,4.672671,4.815752,5.084330,6.382491,6.695389", \ - "4.386253,4.550501,4.634222,4.777372,5.040755,6.340511,6.659053", \ - "4.396600,4.537084,4.625465,4.771399,5.007945,6.310391,6.625859", \ - "4.502531,4.613201,4.694268,4.825589,5.077894,6.376450,6.678287", \ - "4.664252,4.761458,4.818716,4.884284,5.143116,6.445859,6.753609", \ - "4.830575,4.914897,4.962214,5.067702,5.317305,6.551628,6.893303"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.431152,4.596040,4.688218,4.802191,5.091883,6.391241,6.706546", \ - "4.412027,4.575053,4.672710,4.815912,5.084523,6.383596,6.698394", \ - "4.386158,4.550558,4.634607,4.777602,5.041031,6.341648,6.662088", \ - "4.396684,4.537241,4.625776,4.771787,5.008520,6.311700,6.628988", \ - "4.502770,4.613490,4.694688,4.826112,5.078624,6.378001,6.681529", \ - "4.664699,4.761919,4.819569,4.885079,5.144131,6.447691,6.756541", \ - "4.831265,4.915640,4.963067,5.068718,5.318692,6.553881,6.896840"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.431293,4.596232,4.688575,4.802363,5.092044,6.391526,6.705507", \ - "4.414495,4.575204,4.672871,4.816196,5.084728,6.383807,6.698670", \ - "4.386291,4.550699,4.634772,4.777809,5.041215,6.341854,6.662393", \ - "4.396813,4.537380,4.625934,4.771968,5.008690,6.311959,6.629264", \ - "4.502874,4.613609,4.694828,4.826270,5.078813,6.378216,6.681764", \ - "4.664794,4.762012,4.819672,4.885231,5.144259,6.447854,6.756791", \ - "4.831314,4.915702,4.963153,5.068820,5.318808,6.553941,6.897071"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.431864,4.596854,4.689214,4.803130,5.092903,6.392412,6.706712", \ - "4.415060,4.575818,4.673535,4.816929,5.085599,6.384821,6.699765", \ - "4.386856,4.551305,4.635432,4.778559,5.042049,6.342807,6.663485", \ - "4.397353,4.537965,4.626562,4.772685,5.009491,6.312882,6.630332", \ - "4.503373,4.614146,4.695412,4.826916,5.079578,6.379104,6.682785", \ - "4.665203,4.762449,4.820157,4.885791,5.144920,6.448650,6.757735", \ - "4.831576,4.916005,4.963501,5.069237,5.319340,6.554710,6.897931"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.430730,4.595101,4.685345,4.801408,5.090971,6.389482,6.702602", \ - "4.412163,4.574529,4.672087,4.815111,5.083604,6.381699,6.694483", \ - "4.385752,4.549957,4.633654,4.776744,5.040042,6.339695,6.658178", \ - "4.396121,4.536590,4.624920,4.770786,5.007259,6.309599,6.625000", \ - "4.502100,4.612736,4.693774,4.825042,5.077266,6.375713,6.677443", \ - "4.663912,4.761083,4.818314,4.883828,5.142553,6.445177,6.752179", \ - "4.830360,4.914650,4.961938,5.067363,5.316866,6.551043,6.892602"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.431753,4.596676,4.688804,4.803002,5.092737,6.392208,6.708097", \ - "4.414952,4.575696,4.673395,4.816775,5.085405,6.384578,6.699453", \ - "4.386740,4.551177,4.635292,4.778368,5.041875,6.342606,6.663142", \ - "4.397243,4.537827,4.626429,4.772501,5.009327,6.312626,6.630003", \ - "4.503264,4.614028,4.695275,4.826761,5.079388,6.378877,6.682501", \ - "4.665104,4.762354,4.820035,4.885637,5.144783,6.448480,6.757899", \ - "4.831516,4.915932,4.963403,5.069127,5.319212,6.554471,6.897693"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.756800; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.267157,0.281911,0.293347,0.310877,0.337924,0.381567,0.456860", \ - "0.272351,0.287094,0.298565,0.316103,0.343148,0.386767,0.462051", \ - "0.290324,0.305073,0.316538,0.334047,0.361100,0.404741,0.480017", \ - "0.315585,0.330348,0.341784,0.359318,0.386387,0.430013,0.505277", \ - "0.340769,0.355509,0.366841,0.384357,0.411440,0.455097,0.530371", \ - "0.364163,0.378903,0.390395,0.407718,0.434227,0.478446,0.553432", \ - "0.382728,0.397447,0.409687,0.427104,0.453890,0.497702,0.573107"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.268375,0.289143,0.308125,0.342531,0.407806,0.535847,0.790714", \ - "0.273645,0.294420,0.313419,0.347820,0.413092,0.541150,0.796016", \ - "0.291617,0.312381,0.331362,0.365768,0.431054,0.559110,0.813974", \ - "0.315967,0.336730,0.355671,0.390067,0.455345,0.583429,0.838359", \ - "0.340031,0.360839,0.379693,0.413870,0.479337,0.607353,0.862298", \ - "0.361625,0.382247,0.401326,0.435658,0.500782,0.629273,0.884275", \ - "0.379531,0.400274,0.419155,0.453879,0.519329,0.647164,0.901901"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019921,0.024967,0.029565,0.037715,0.052540,0.080707,0.138245", \ - "0.019923,0.024965,0.029571,0.037710,0.052539,0.080704,0.138234", \ - "0.019920,0.024969,0.029570,0.037713,0.052538,0.080702,0.138249", \ - "0.019923,0.024968,0.029570,0.037726,0.052543,0.080704,0.138224", \ - "0.019924,0.024966,0.029568,0.037719,0.052542,0.080719,0.138250", \ - "0.019926,0.024965,0.029562,0.037710,0.052540,0.080710,0.138238", \ - "0.019923,0.024967,0.029566,0.037717,0.052540,0.080713,0.138190"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020841,0.033333,0.047088,0.075504,0.134657,0.255657,0.499516", \ - "0.020842,0.033332,0.047088,0.075512,0.134657,0.255652,0.499518", \ - "0.020848,0.033336,0.047091,0.075507,0.134657,0.255652,0.499518", \ - "0.020851,0.033342,0.047094,0.075509,0.134660,0.255654,0.499516", \ - "0.020861,0.033350,0.047094,0.075510,0.134660,0.255659,0.499518", \ - "0.020868,0.033349,0.047080,0.075523,0.134668,0.255658,0.499517", \ - "0.020879,0.033366,0.047119,0.075522,0.134666,0.255661,0.499516"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.693257,0.717837,0.738615,0.773993,0.839066,0.966710,1.221561", \ - "0.697192,0.721687,0.742470,0.777963,0.842978,0.970651,1.225466", \ - "0.715676,0.740337,0.761048,0.796477,0.861499,0.989171,1.243997", \ - "0.749168,0.773761,0.794509,0.829956,0.895059,1.022726,1.277580", \ - "0.796611,0.821158,0.841997,0.877349,0.942259,1.070133,1.325001", \ - "0.860489,0.884986,0.905673,0.941421,1.006415,1.134138,1.388991", \ - "0.944019,0.968700,0.989572,1.025081,1.089977,1.217626,1.472516"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029022,0.040587,0.052801,0.078665,0.135525,0.256091,0.500240", \ - "0.029018,0.040585,0.052809,0.078670,0.135536,0.256097,0.500209", \ - "0.029017,0.040583,0.052796,0.078661,0.135533,0.256111,0.500229", \ - "0.029022,0.040587,0.052800,0.078662,0.135536,0.256100,0.500250", \ - "0.029011,0.040575,0.052799,0.078655,0.135537,0.256123,0.500289", \ - "0.029013,0.040572,0.052800,0.078649,0.135522,0.256157,0.500280", \ - "0.029007,0.040565,0.052780,0.078654,0.135520,0.256094,0.500199"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.693256,0.717836,0.738614,0.774043,0.839066,0.966710,1.221575", \ - "0.697192,0.721686,0.742470,0.777963,0.842978,0.970651,1.225466", \ - "0.715676,0.740336,0.761048,0.796477,0.861499,0.989171,1.243997", \ - "0.749167,0.773760,0.794508,0.829955,0.895059,1.022726,1.277580", \ - "0.796611,0.821157,0.841968,0.877349,0.942258,1.070132,1.325001", \ - "0.860489,0.884986,0.905673,0.941420,1.006415,1.134138,1.389070", \ - "0.944010,0.968700,0.989546,1.025081,1.089977,1.217626,1.472515"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029022,0.040587,0.052801,0.078664,0.135527,0.256091,0.500194", \ - "0.029018,0.040585,0.052809,0.078670,0.135536,0.256097,0.500209", \ - "0.029017,0.040583,0.052796,0.078661,0.135533,0.256111,0.500229", \ - "0.029022,0.040587,0.052800,0.078662,0.135536,0.256100,0.500250", \ - "0.029011,0.040575,0.052798,0.078655,0.135537,0.256123,0.500289", \ - "0.029013,0.040572,0.052800,0.078649,0.135522,0.256157,0.500289", \ - "0.029001,0.040565,0.052783,0.078654,0.135520,0.256094,0.500195"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.693271,0.717852,0.738628,0.774188,0.839103,0.966717,1.221504", \ - "0.697206,0.721701,0.742483,0.777975,0.842988,0.970658,1.225470", \ - "0.715690,0.740350,0.761061,0.796489,0.861508,0.989178,1.244001", \ - "0.749180,0.773773,0.794520,0.829966,0.895068,1.022733,1.277585", \ - "0.796622,0.821168,0.841978,0.877358,0.942266,1.070138,1.325005", \ - "0.860498,0.884984,0.905682,0.941429,1.006421,1.134143,1.388994", \ - "0.944026,0.968717,0.989579,1.025088,1.089982,1.217630,1.472518"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029022,0.040587,0.052801,0.078660,0.135535,0.256091,0.500254", \ - "0.029019,0.040585,0.052810,0.078670,0.135537,0.256097,0.500209", \ - "0.029017,0.040583,0.052797,0.078661,0.135533,0.256111,0.500229", \ - "0.029022,0.040588,0.052800,0.078663,0.135536,0.256100,0.500250", \ - "0.029011,0.040575,0.052798,0.078655,0.135537,0.256123,0.500289", \ - "0.029014,0.040576,0.052800,0.078649,0.135522,0.256157,0.500280", \ - "0.029007,0.040565,0.052780,0.078654,0.135520,0.256094,0.500195"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.692882,0.717418,0.738312,0.773870,0.838844,0.966624,1.221615", \ - "0.696813,0.721348,0.742189,0.777725,0.842732,0.970527,1.225531", \ - "0.715316,0.739865,0.760821,0.796311,0.861293,0.989073,1.244071", \ - "0.748961,0.773499,0.794290,0.829742,0.894834,1.022647,1.277625", \ - "0.796367,0.820978,0.841854,0.877222,0.942304,1.070127,1.325099", \ - "0.860648,0.885247,0.906098,0.941424,1.006439,1.134239,1.389202", \ - "0.944672,0.969282,0.990086,1.025556,1.090443,1.218126,1.473174"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029004,0.040569,0.052782,0.078651,0.135523,0.256093,0.500223", \ - "0.029001,0.040565,0.052787,0.078656,0.135532,0.256098,0.500325", \ - "0.029004,0.040573,0.052784,0.078643,0.135522,0.256089,0.500217", \ - "0.029007,0.040573,0.052787,0.078651,0.135534,0.256113,0.500237", \ - "0.029005,0.040562,0.052791,0.078651,0.135535,0.256138,0.500258", \ - "0.029003,0.040565,0.052789,0.078645,0.135513,0.256094,0.500275", \ - "0.028994,0.040558,0.052783,0.078654,0.135523,0.256091,0.500203"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.692882,0.717418,0.738251,0.773762,0.838822,0.966624,1.221571", \ - "0.696814,0.721348,0.742189,0.777725,0.842732,0.970527,1.225531", \ - "0.715317,0.739866,0.760821,0.796311,0.861293,0.989073,1.244071", \ - "0.748935,0.773519,0.794319,0.829742,0.894834,1.022647,1.277625", \ - "0.796367,0.820978,0.841855,0.877222,0.942304,1.070167,1.325121", \ - "0.860648,0.885247,0.906100,0.941424,1.006439,1.134240,1.389202", \ - "0.944672,0.969282,0.990086,1.025556,1.090443,1.218126,1.473174"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029004,0.040569,0.052797,0.078649,0.135525,0.256093,0.500275", \ - "0.029001,0.040565,0.052787,0.078656,0.135532,0.256098,0.500325", \ - "0.029004,0.040573,0.052784,0.078643,0.135521,0.256089,0.500217", \ - "0.029011,0.040573,0.052789,0.078651,0.135534,0.256113,0.500237", \ - "0.029005,0.040562,0.052791,0.078651,0.135535,0.256113,0.500264", \ - "0.029003,0.040565,0.052789,0.078645,0.135513,0.256094,0.500275", \ - "0.028994,0.040558,0.052783,0.078654,0.135523,0.256091,0.500203"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.692882,0.717418,0.738250,0.773764,0.838844,0.966624,1.221571", \ - "0.696814,0.721348,0.742189,0.777725,0.842732,0.970527,1.225531", \ - "0.715317,0.739866,0.760821,0.796311,0.861293,0.989073,1.244071", \ - "0.748934,0.773519,0.794319,0.829742,0.894834,1.022647,1.277625", \ - "0.796400,0.820978,0.841854,0.877222,0.942304,1.070167,1.325121", \ - "0.860648,0.885247,0.906100,0.941424,1.006439,1.134239,1.389202", \ - "0.944678,0.969264,0.990116,1.025556,1.090443,1.218126,1.473174"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029004,0.040569,0.052797,0.078649,0.135523,0.256093,0.500275", \ - "0.029001,0.040565,0.052787,0.078656,0.135532,0.256098,0.500325", \ - "0.029004,0.040573,0.052784,0.078643,0.135522,0.256089,0.500217", \ - "0.029011,0.040573,0.052789,0.078651,0.135534,0.256113,0.500237", \ - "0.029004,0.040562,0.052791,0.078651,0.135535,0.256113,0.500264", \ - "0.029003,0.040565,0.052789,0.078645,0.135513,0.256094,0.500275", \ - "0.028994,0.040560,0.052779,0.078654,0.135523,0.256091,0.500203"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.693270,0.717851,0.738627,0.774187,0.839056,0.966716,1.221564", \ - "0.697206,0.721700,0.742483,0.777974,0.842988,0.970657,1.225470", \ - "0.715690,0.740349,0.761060,0.796488,0.861508,0.989177,1.244001", \ - "0.749179,0.773772,0.794520,0.829966,0.895068,1.022733,1.277584", \ - "0.796622,0.821167,0.841978,0.877358,0.942266,1.070138,1.325004", \ - "0.860497,0.884983,0.905681,0.941428,1.006421,1.134143,1.389066", \ - "0.944026,0.968717,0.989578,1.025087,1.089982,1.217630,1.472518"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029022,0.040587,0.052801,0.078660,0.135537,0.256091,0.500242", \ - "0.029019,0.040585,0.052810,0.078670,0.135537,0.256097,0.500209", \ - "0.029017,0.040583,0.052797,0.078661,0.135533,0.256111,0.500229", \ - "0.029022,0.040588,0.052800,0.078663,0.135536,0.256100,0.500250", \ - "0.029011,0.040575,0.052798,0.078655,0.135537,0.256123,0.500289", \ - "0.029014,0.040576,0.052800,0.078649,0.135522,0.256157,0.500238", \ - "0.029007,0.040565,0.052780,0.078654,0.135520,0.256094,0.500195"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.692882,0.717418,0.738282,0.773760,0.838816,0.966624,1.221572", \ - "0.696813,0.721348,0.742189,0.777725,0.842732,0.970527,1.225531", \ - "0.715316,0.739865,0.760821,0.796311,0.861293,0.989073,1.244071", \ - "0.748934,0.773519,0.794290,0.829742,0.894834,1.022647,1.277625", \ - "0.796400,0.820978,0.841761,0.877222,0.942304,1.070167,1.325121", \ - "0.860648,0.885247,0.906098,0.941424,1.006439,1.134239,1.389202", \ - "0.944672,0.969282,0.990116,1.025556,1.090443,1.218126,1.473174"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029004,0.040569,0.052792,0.078649,0.135534,0.256093,0.500263", \ - "0.029001,0.040565,0.052787,0.078656,0.135532,0.256098,0.500325", \ - "0.029004,0.040573,0.052784,0.078643,0.135522,0.256089,0.500217", \ - "0.029011,0.040573,0.052787,0.078651,0.135534,0.256113,0.500237", \ - "0.029004,0.040562,0.052795,0.078651,0.135535,0.256113,0.500264", \ - "0.029003,0.040565,0.052789,0.078645,0.135513,0.256094,0.500275", \ - "0.028994,0.040558,0.052779,0.078654,0.135523,0.256091,0.500203"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.525529,0.546225,0.565202,0.599399,0.664104,0.792335,1.047890", \ - "0.529588,0.550304,0.569283,0.603445,0.668166,0.796388,1.051950", \ - "0.547877,0.568578,0.587539,0.621705,0.686405,0.814608,1.070172", \ - "0.582574,0.603232,0.622124,0.656275,0.720940,0.849143,1.104672", \ - "0.624562,0.645239,0.664218,0.698325,0.762979,0.891239,1.146793", \ - "0.671137,0.691740,0.710421,0.744521,0.809381,0.937491,1.193253", \ - "0.722262,0.743009,0.761585,0.795614,0.860333,0.988506,1.244061"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020745,0.033005,0.046510,0.074655,0.133940,0.255673,0.499930", \ - "0.020732,0.032988,0.046496,0.074634,0.133941,0.255611,0.499928", \ - "0.020719,0.032978,0.046483,0.074627,0.133935,0.255654,0.499935", \ - "0.020711,0.032972,0.046477,0.074624,0.133928,0.255679,0.499922", \ - "0.020709,0.032969,0.046476,0.074620,0.133926,0.255671,0.499895", \ - "0.020707,0.032970,0.046476,0.074628,0.133912,0.255624,0.499847", \ - "0.020709,0.032965,0.046468,0.074619,0.133934,0.255633,0.499885"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.525531,0.546227,0.565204,0.599377,0.664098,0.792334,1.047891", \ - "0.529592,0.550306,0.569285,0.603447,0.668167,0.796389,1.051950", \ - "0.547879,0.568580,0.587541,0.621707,0.686406,0.814609,1.070172", \ - "0.582575,0.603234,0.622125,0.656276,0.720942,0.849145,1.104673", \ - "0.624564,0.645240,0.664220,0.698327,0.762980,0.891240,1.146794", \ - "0.671138,0.691742,0.710423,0.744523,0.809383,0.937492,1.193253", \ - "0.722264,0.743011,0.761586,0.795615,0.860334,0.988507,1.244061"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020745,0.033005,0.046510,0.074655,0.133956,0.255678,0.499930", \ - "0.020726,0.032988,0.046496,0.074634,0.133941,0.255611,0.499928", \ - "0.020719,0.032978,0.046483,0.074627,0.133935,0.255654,0.499935", \ - "0.020711,0.032972,0.046477,0.074624,0.133928,0.255679,0.499922", \ - "0.020709,0.032969,0.046476,0.074620,0.133926,0.255671,0.499895", \ - "0.020707,0.032970,0.046476,0.074628,0.133912,0.255624,0.499847", \ - "0.020709,0.032965,0.046468,0.074619,0.133934,0.255633,0.499885"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.525508,0.546204,0.565181,0.599354,0.664087,0.792321,1.047882", \ - "0.529579,0.550283,0.569262,0.603426,0.668148,0.796374,1.051940", \ - "0.547836,0.568558,0.587519,0.621686,0.686388,0.814594,1.070162", \ - "0.582554,0.603213,0.622105,0.656257,0.720925,0.849131,1.104663", \ - "0.624545,0.645221,0.664201,0.698309,0.762936,0.891227,1.146785", \ - "0.671120,0.691736,0.710406,0.744506,0.809368,0.937480,1.193246", \ - "0.722248,0.742996,0.761571,0.795600,0.860321,0.988496,1.244053"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020745,0.033005,0.046510,0.074656,0.133939,0.255679,0.499937", \ - "0.020730,0.032989,0.046495,0.074634,0.133941,0.255612,0.499928", \ - "0.020714,0.032977,0.046483,0.074627,0.133935,0.255654,0.499935", \ - "0.020711,0.032972,0.046477,0.074624,0.133928,0.255678,0.499922", \ - "0.020709,0.032970,0.046476,0.074620,0.133926,0.255670,0.499894", \ - "0.020707,0.032970,0.046476,0.074628,0.133912,0.255624,0.499847", \ - "0.020709,0.032965,0.046468,0.074618,0.133934,0.255634,0.499885"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.525509,0.546240,0.565220,0.599395,0.664133,0.792387,1.047998", \ - "0.529623,0.550320,0.569302,0.603478,0.668202,0.796438,1.052029", \ - "0.547896,0.568599,0.587562,0.621732,0.686445,0.814662,1.070254", \ - "0.582619,0.603269,0.622158,0.656313,0.720989,0.849205,1.104761", \ - "0.624604,0.645284,0.664265,0.698342,0.763010,0.891321,1.146890", \ - "0.671181,0.691816,0.710485,0.744591,0.809452,0.937579,1.193341", \ - "0.722327,0.743092,0.761659,0.795701,0.860427,0.988613,1.244189"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020744,0.033005,0.046507,0.074643,0.133950,0.255680,0.499904", \ - "0.020736,0.032988,0.046495,0.074635,0.133941,0.255630,0.499929", \ - "0.020718,0.032977,0.046482,0.074629,0.133935,0.255649,0.499935", \ - "0.020721,0.032971,0.046477,0.074624,0.133929,0.255672,0.499922", \ - "0.020713,0.032969,0.046476,0.074621,0.133926,0.255673,0.499960", \ - "0.020707,0.032969,0.046475,0.074628,0.133916,0.255625,0.499858", \ - "0.020711,0.032964,0.046468,0.074620,0.133934,0.255622,0.499873"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.525509,0.546240,0.565220,0.599420,0.664136,0.792388,1.047972", \ - "0.529623,0.550320,0.569301,0.603478,0.668202,0.796438,1.052029", \ - "0.547895,0.568599,0.587562,0.621732,0.686445,0.814662,1.070254", \ - "0.582619,0.603263,0.622158,0.656313,0.720989,0.849205,1.104761", \ - "0.624594,0.645284,0.664265,0.698341,0.763010,0.891321,1.146890", \ - "0.671181,0.691802,0.710485,0.744591,0.809452,0.937579,1.193341", \ - "0.722326,0.743092,0.761659,0.795701,0.860427,0.988613,1.244189"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020744,0.033005,0.046507,0.074654,0.133941,0.255677,0.499938", \ - "0.020736,0.032988,0.046495,0.074635,0.133941,0.255630,0.499929", \ - "0.020718,0.032977,0.046482,0.074629,0.133935,0.255649,0.499935", \ - "0.020721,0.032971,0.046477,0.074624,0.133929,0.255672,0.499922", \ - "0.020711,0.032969,0.046476,0.074621,0.133926,0.255673,0.499960", \ - "0.020707,0.032969,0.046475,0.074628,0.133916,0.255625,0.499858", \ - "0.020711,0.032964,0.046468,0.074620,0.133934,0.255622,0.499873"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.525509,0.546240,0.565220,0.599421,0.664136,0.792386,1.047972", \ - "0.529623,0.550320,0.569301,0.603478,0.668202,0.796438,1.052029", \ - "0.547895,0.568599,0.587562,0.621732,0.686444,0.814662,1.070254", \ - "0.582619,0.603263,0.622158,0.656313,0.720989,0.849205,1.104761", \ - "0.624604,0.645284,0.664265,0.698341,0.763010,0.891321,1.146890", \ - "0.671198,0.691802,0.710485,0.744591,0.809452,0.937579,1.193341", \ - "0.722347,0.743091,0.761658,0.795689,0.860427,0.988612,1.244189"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020744,0.033005,0.046507,0.074654,0.133941,0.255675,0.499938", \ - "0.020736,0.032988,0.046495,0.074635,0.133941,0.255630,0.499929", \ - "0.020718,0.032977,0.046482,0.074629,0.133935,0.255649,0.499935", \ - "0.020721,0.032971,0.046477,0.074624,0.133929,0.255672,0.499922", \ - "0.020713,0.032969,0.046476,0.074621,0.133926,0.255673,0.499960", \ - "0.020706,0.032969,0.046475,0.074628,0.133916,0.255625,0.499858", \ - "0.020717,0.032964,0.046468,0.074619,0.133934,0.255622,0.499873"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.525509,0.546205,0.565182,0.599367,0.664090,0.792321,1.047883", \ - "0.529580,0.550284,0.569263,0.603427,0.668148,0.796374,1.051940", \ - "0.547837,0.568559,0.587520,0.621687,0.686389,0.814594,1.070162", \ - "0.582555,0.603214,0.622105,0.656257,0.720934,0.849131,1.104663", \ - "0.624534,0.645222,0.664201,0.698310,0.762936,0.891227,1.146785", \ - "0.671121,0.691725,0.710406,0.744506,0.809369,0.937480,1.193246", \ - "0.722232,0.742996,0.761572,0.795601,0.860321,0.988496,1.244053"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020745,0.033005,0.046510,0.074643,0.133937,0.255674,0.499937", \ - "0.020730,0.032989,0.046495,0.074634,0.133941,0.255612,0.499928", \ - "0.020714,0.032977,0.046483,0.074627,0.133935,0.255654,0.499935", \ - "0.020711,0.032972,0.046477,0.074624,0.133927,0.255678,0.499922", \ - "0.020711,0.032970,0.046476,0.074620,0.133926,0.255670,0.499894", \ - "0.020707,0.032970,0.046476,0.074628,0.133912,0.255624,0.499847", \ - "0.020711,0.032965,0.046468,0.074618,0.133934,0.255634,0.499885"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.525509,0.546240,0.565220,0.599406,0.664137,0.792379,1.047998", \ - "0.529623,0.550320,0.569301,0.603478,0.668202,0.796438,1.052029", \ - "0.547896,0.568599,0.587562,0.621732,0.686445,0.814662,1.070254", \ - "0.582619,0.603263,0.622158,0.656313,0.720998,0.849205,1.104761", \ - "0.624604,0.645284,0.664265,0.698342,0.763010,0.891321,1.146890", \ - "0.671198,0.691816,0.710485,0.744591,0.809452,0.937579,1.193341", \ - "0.722326,0.743091,0.761659,0.795689,0.860427,0.988613,1.244189"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020744,0.033005,0.046507,0.074655,0.133941,0.255625,0.499904", \ - "0.020736,0.032988,0.046495,0.074635,0.133941,0.255630,0.499929", \ - "0.020718,0.032977,0.046482,0.074629,0.133935,0.255649,0.499935", \ - "0.020721,0.032971,0.046477,0.074624,0.133926,0.255672,0.499922", \ - "0.020713,0.032969,0.046476,0.074621,0.133926,0.255673,0.499960", \ - "0.020706,0.032969,0.046475,0.074628,0.133916,0.255625,0.499858", \ - "0.020711,0.032964,0.046468,0.074619,0.133934,0.255622,0.499873"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ - "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287989", \ - "0.122965,0.136655,0.147340,0.163755,0.189369,0.231439,0.305729", \ - "0.159674,0.173287,0.183937,0.200305,0.225966,0.268058,0.342390", \ - "0.212691,0.227677,0.239141,0.256188,0.282306,0.324638,0.399047", \ - "0.269628,0.287303,0.300495,0.319876,0.348448,0.392945,0.468349", \ - "0.329647,0.349928,0.364906,0.386987,0.418582,0.465834,0.543574"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.080232,0.100234,0.118780,0.152684,0.217491,0.345517,0.600734", \ - "0.084737,0.104732,0.123277,0.157174,0.221994,0.350022,0.605138", \ - "0.101396,0.121356,0.139854,0.173688,0.238448,0.366498,0.621823", \ - "0.132798,0.152804,0.171218,0.204796,0.269426,0.397382,0.652606", \ - "0.166829,0.188518,0.207502,0.241428,0.305908,0.433553,0.688742", \ - "0.197213,0.221412,0.241637,0.276585,0.341066,0.468607,0.723111", \ - "0.222370,0.250002,0.272228,0.308730,0.373348,0.500374,0.755065"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ - "0.018220,0.022975,0.027345,0.035197,0.049754,0.078188,0.136732", \ - "0.018221,0.022990,0.027363,0.035210,0.049766,0.078166,0.136731", \ - "0.018339,0.023133,0.027493,0.035311,0.049829,0.078210,0.136759", \ - "0.022640,0.026663,0.030376,0.037315,0.051014,0.078810,0.136982", \ - "0.029337,0.033489,0.037090,0.043655,0.056444,0.082595,0.138622", \ - "0.036680,0.041314,0.045056,0.051368,0.063428,0.088376,0.142656"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019075,0.031597,0.045398,0.073991,0.133738,0.255587,0.499973", \ - "0.019072,0.031597,0.045401,0.073988,0.133735,0.255584,0.499984", \ - "0.019072,0.031598,0.045404,0.074000,0.133709,0.255582,0.499943", \ - "0.020046,0.032127,0.045725,0.074138,0.133749,0.255616,0.500068", \ - "0.024244,0.035287,0.048024,0.075413,0.134111,0.255616,0.500007", \ - "0.030035,0.040573,0.052046,0.077643,0.135137,0.255944,0.499938", \ - "0.036641,0.047667,0.058328,0.081564,0.136506,0.256651,0.500357"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ - "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287989", \ - "0.122965,0.136655,0.147340,0.163755,0.189369,0.231439,0.305729", \ - "0.159678,0.173287,0.183937,0.200305,0.225966,0.268058,0.342390", \ - "0.212691,0.227677,0.239141,0.256188,0.282306,0.324638,0.399047", \ - "0.269628,0.287303,0.300495,0.319876,0.348448,0.392945,0.468349", \ - "0.329647,0.349928,0.364906,0.386987,0.418582,0.465834,0.543574"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.080232,0.100234,0.118780,0.152684,0.217491,0.345517,0.600734", \ - "0.084737,0.104732,0.123277,0.157174,0.221994,0.350022,0.605138", \ - "0.101396,0.121356,0.139854,0.173688,0.238453,0.366498,0.621823", \ - "0.132798,0.152804,0.171218,0.204796,0.269426,0.397382,0.652606", \ - "0.166829,0.188518,0.207502,0.241428,0.305908,0.433553,0.688742", \ - "0.197213,0.221412,0.241637,0.276585,0.341066,0.468607,0.723111", \ - "0.222370,0.250002,0.272228,0.308730,0.373348,0.500374,0.755065"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ - "0.018220,0.022975,0.027345,0.035197,0.049754,0.078188,0.136732", \ - "0.018221,0.022990,0.027363,0.035210,0.049766,0.078166,0.136731", \ - "0.018338,0.023133,0.027493,0.035311,0.049829,0.078210,0.136759", \ - "0.022640,0.026663,0.030376,0.037315,0.051014,0.078810,0.136982", \ - "0.029337,0.033489,0.037090,0.043655,0.056444,0.082595,0.138622", \ - "0.036680,0.041314,0.045056,0.051368,0.063428,0.088376,0.142656"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019075,0.031597,0.045398,0.073991,0.133738,0.255587,0.499973", \ - "0.019072,0.031597,0.045401,0.073988,0.133735,0.255584,0.499984", \ - "0.019072,0.031598,0.045404,0.074000,0.133733,0.255582,0.499943", \ - "0.020046,0.032127,0.045725,0.074138,0.133749,0.255616,0.500068", \ - "0.024244,0.035287,0.048024,0.075413,0.134111,0.255616,0.500007", \ - "0.030035,0.040573,0.052046,0.077643,0.135137,0.255944,0.499938", \ - "0.036641,0.047667,0.058328,0.081564,0.136506,0.256651,0.500357"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ - "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287989", \ - "0.122965,0.136655,0.147340,0.163755,0.189369,0.231439,0.305729", \ - "0.159678,0.173287,0.183937,0.200305,0.225966,0.268058,0.342390", \ - "0.212691,0.227677,0.239141,0.256188,0.282306,0.324638,0.399047", \ - "0.269628,0.287304,0.300495,0.319876,0.348448,0.392945,0.468349", \ - "0.329647,0.349928,0.364906,0.386987,0.418582,0.465834,0.543574"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.080232,0.100234,0.118780,0.152684,0.217491,0.345517,0.600734", \ - "0.084737,0.104732,0.123277,0.157174,0.221994,0.350022,0.605138", \ - "0.101396,0.121356,0.139854,0.173688,0.238453,0.366498,0.621823", \ - "0.132798,0.152804,0.171218,0.204796,0.269426,0.397382,0.652606", \ - "0.166829,0.188518,0.207502,0.241428,0.305908,0.433553,0.688742", \ - "0.197213,0.221412,0.241637,0.276585,0.341066,0.468607,0.723111", \ - "0.222370,0.250002,0.272228,0.308730,0.373348,0.500374,0.755065"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ - "0.018220,0.022975,0.027345,0.035197,0.049754,0.078188,0.136732", \ - "0.018221,0.022990,0.027363,0.035210,0.049766,0.078166,0.136731", \ - "0.018338,0.023133,0.027493,0.035311,0.049829,0.078210,0.136759", \ - "0.022640,0.026663,0.030376,0.037315,0.051014,0.078810,0.136982", \ - "0.029337,0.033489,0.037090,0.043655,0.056444,0.082595,0.138622", \ - "0.036680,0.041314,0.045056,0.051368,0.063428,0.088376,0.142656"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019075,0.031597,0.045398,0.073991,0.133738,0.255587,0.499973", \ - "0.019072,0.031597,0.045401,0.073988,0.133735,0.255584,0.499984", \ - "0.019072,0.031598,0.045404,0.074000,0.133733,0.255582,0.499943", \ - "0.020046,0.032127,0.045725,0.074138,0.133749,0.255616,0.500068", \ - "0.024244,0.035287,0.048024,0.075413,0.134111,0.255616,0.500007", \ - "0.030035,0.040573,0.052046,0.077643,0.135137,0.255944,0.499938", \ - "0.036641,0.047667,0.058328,0.081564,0.136506,0.256651,0.500357"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ - "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287982", \ - "0.122956,0.136662,0.147341,0.163755,0.189369,0.231439,0.305729", \ - "0.159618,0.173227,0.183921,0.200311,0.225972,0.268071,0.342384", \ - "0.212604,0.227716,0.239061,0.256143,0.282256,0.324585,0.398989", \ - "0.269702,0.287297,0.300575,0.319999,0.348443,0.392865,0.468387", \ - "0.329050,0.349496,0.364906,0.387080,0.418646,0.465939,0.543739"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.080261,0.100256,0.118803,0.152708,0.217516,0.345543,0.600742", \ - "0.084754,0.104752,0.123297,0.157197,0.222016,0.350045,0.605218", \ - "0.101409,0.121380,0.139877,0.173712,0.238473,0.366522,0.621784", \ - "0.132794,0.152838,0.171228,0.204814,0.269448,0.397415,0.652621", \ - "0.166849,0.188465,0.207455,0.241395,0.305877,0.433599,0.688720", \ - "0.197489,0.221433,0.241659,0.276712,0.340738,0.468731,0.723580", \ - "0.222693,0.250297,0.272252,0.308298,0.373583,0.500604,0.754543"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ - "0.018220,0.022975,0.027345,0.035198,0.049754,0.078187,0.136736", \ - "0.018222,0.022992,0.027361,0.035210,0.049766,0.078167,0.136731", \ - "0.018338,0.023135,0.027498,0.035311,0.049829,0.078203,0.136762", \ - "0.022655,0.026659,0.030383,0.037323,0.051020,0.078813,0.136984", \ - "0.029331,0.033484,0.037079,0.043641,0.056440,0.082588,0.138606", \ - "0.036754,0.041387,0.045056,0.051348,0.063411,0.088373,0.142658"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019075,0.031601,0.045398,0.073995,0.133740,0.255582,0.500015", \ - "0.019077,0.031600,0.045402,0.073992,0.133713,0.255584,0.499952", \ - "0.019074,0.031601,0.045408,0.074002,0.133717,0.255582,0.499999", \ - "0.020048,0.032130,0.045726,0.074140,0.133758,0.255605,0.500048", \ - "0.024251,0.035294,0.048024,0.075417,0.134100,0.255635,0.500009", \ - "0.030004,0.040586,0.052049,0.077634,0.135165,0.255928,0.499935", \ - "0.036602,0.047634,0.058331,0.081607,0.136501,0.256620,0.500376"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100903,0.115128,0.126279,0.143502,0.170353,0.214193,0.289837", \ - "0.105649,0.119880,0.131033,0.148260,0.175124,0.218963,0.294614", \ - "0.123416,0.137610,0.148758,0.165990,0.192862,0.236708,0.312361", \ - "0.160136,0.174247,0.185329,0.202571,0.229474,0.273350,0.349013", \ - "0.213246,0.228885,0.240686,0.258651,0.286023,0.330137,0.405906", \ - "0.270312,0.288849,0.302574,0.323381,0.353486,0.399772,0.476058", \ - "0.330438,0.351655,0.367507,0.391513,0.425047,0.474180,0.552860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018821,0.023891,0.028622,0.037001,0.052241,0.081066,0.137766", \ - "0.018822,0.023893,0.028615,0.036987,0.052237,0.081068,0.137765", \ - "0.018823,0.023904,0.028635,0.036992,0.052243,0.081062,0.137740", \ - "0.018927,0.024042,0.028764,0.037090,0.052296,0.081077,0.137747", \ - "0.023319,0.027641,0.031686,0.039086,0.053432,0.081595,0.137822", \ - "0.030261,0.034808,0.038854,0.045937,0.059337,0.085353,0.138819", \ - "0.037899,0.043094,0.047408,0.054387,0.067232,0.091715,0.141744"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100903,0.115128,0.126279,0.143494,0.170353,0.214191,0.289837", \ - "0.105649,0.119880,0.131033,0.148260,0.175124,0.218963,0.294614", \ - "0.123416,0.137610,0.148758,0.165990,0.192862,0.236708,0.312361", \ - "0.160136,0.174247,0.185329,0.202571,0.229474,0.273350,0.349013", \ - "0.213246,0.228885,0.240686,0.258651,0.286023,0.330137,0.405906", \ - "0.270312,0.288849,0.302574,0.323381,0.353486,0.399772,0.476058", \ - "0.330438,0.351655,0.367507,0.391513,0.425047,0.474180,0.552860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018821,0.023891,0.028622,0.036999,0.052241,0.081067,0.137766", \ - "0.018822,0.023893,0.028615,0.036987,0.052237,0.081068,0.137765", \ - "0.018823,0.023904,0.028635,0.036992,0.052243,0.081062,0.137740", \ - "0.018927,0.024042,0.028764,0.037090,0.052296,0.081077,0.137747", \ - "0.023319,0.027641,0.031686,0.039086,0.053432,0.081595,0.137822", \ - "0.030261,0.034808,0.038854,0.045937,0.059337,0.085353,0.138819", \ - "0.037899,0.043094,0.047408,0.054387,0.067232,0.091715,0.141744"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100903,0.115128,0.126279,0.143502,0.170353,0.214192,0.289838", \ - "0.105649,0.119880,0.131033,0.148260,0.175124,0.218963,0.294614", \ - "0.123416,0.137610,0.148758,0.165990,0.192862,0.236708,0.312361", \ - "0.160136,0.174247,0.185329,0.202571,0.229474,0.273350,0.349013", \ - "0.213246,0.228885,0.240686,0.258651,0.286023,0.330137,0.405906", \ - "0.270312,0.288849,0.302574,0.323381,0.353486,0.399772,0.476058", \ - "0.330438,0.351655,0.367507,0.391513,0.425047,0.474180,0.552860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018821,0.023891,0.028622,0.037001,0.052240,0.081067,0.137766", \ - "0.018822,0.023893,0.028615,0.036987,0.052237,0.081068,0.137765", \ - "0.018823,0.023904,0.028635,0.036992,0.052243,0.081062,0.137740", \ - "0.018927,0.024042,0.028764,0.037090,0.052296,0.081077,0.137747", \ - "0.023319,0.027641,0.031686,0.039086,0.053432,0.081595,0.137822", \ - "0.030261,0.034808,0.038854,0.045937,0.059337,0.085353,0.138819", \ - "0.037899,0.043094,0.047408,0.054387,0.067232,0.091715,0.141744"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100902,0.115125,0.126276,0.143494,0.170351,0.214189,0.289837", \ - "0.105646,0.119877,0.131031,0.148257,0.175115,0.218954,0.294601", \ - "0.123401,0.137610,0.148750,0.165985,0.192853,0.236695,0.312345", \ - "0.160076,0.174195,0.185328,0.202567,0.229472,0.273344,0.349004", \ - "0.213173,0.228675,0.240574,0.258543,0.285904,0.330021,0.405688", \ - "0.270045,0.288414,0.302574,0.322967,0.353103,0.399403,0.475699", \ - "0.330382,0.351618,0.367811,0.391040,0.424573,0.474219,0.552436"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018818,0.023891,0.028617,0.036998,0.052242,0.081067,0.137761", \ - "0.018824,0.023890,0.028619,0.036988,0.052241,0.081068,0.137734", \ - "0.018822,0.023909,0.028635,0.036992,0.052247,0.081061,0.137730", \ - "0.018926,0.024043,0.028769,0.037087,0.052298,0.081075,0.137743", \ - "0.023330,0.027666,0.031701,0.039088,0.053437,0.081595,0.137816", \ - "0.030292,0.034870,0.038854,0.045992,0.059378,0.085390,0.138823", \ - "0.037890,0.043106,0.047370,0.054475,0.067304,0.091697,0.141748"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ - "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287982", \ - "0.122956,0.136662,0.147341,0.163755,0.189369,0.231439,0.305729", \ - "0.159618,0.173227,0.183921,0.200311,0.225972,0.268071,0.342384", \ - "0.212604,0.227716,0.239061,0.256143,0.282256,0.324585,0.398989", \ - "0.269702,0.287297,0.300575,0.319999,0.348443,0.392865,0.468387", \ - "0.329050,0.349496,0.364906,0.387080,0.418646,0.465939,0.543739"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.080261,0.100256,0.118803,0.152708,0.217516,0.345543,0.600742", \ - "0.084754,0.104752,0.123297,0.157197,0.222016,0.350045,0.605218", \ - "0.101409,0.121380,0.139877,0.173712,0.238473,0.366522,0.621784", \ - "0.132794,0.152838,0.171228,0.204814,0.269448,0.397415,0.652621", \ - "0.166849,0.188465,0.207455,0.241395,0.305877,0.433599,0.688720", \ - "0.197489,0.221433,0.241659,0.276712,0.340738,0.468731,0.723580", \ - "0.222693,0.250297,0.272252,0.308298,0.373583,0.500604,0.754543"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ - "0.018220,0.022975,0.027345,0.035198,0.049754,0.078187,0.136736", \ - "0.018222,0.022992,0.027361,0.035210,0.049766,0.078167,0.136731", \ - "0.018338,0.023135,0.027498,0.035311,0.049829,0.078203,0.136762", \ - "0.022655,0.026659,0.030383,0.037323,0.051020,0.078813,0.136984", \ - "0.029331,0.033484,0.037079,0.043641,0.056440,0.082588,0.138606", \ - "0.036754,0.041387,0.045056,0.051348,0.063411,0.088373,0.142658"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019075,0.031601,0.045398,0.073995,0.133740,0.255582,0.500015", \ - "0.019077,0.031600,0.045402,0.073992,0.133713,0.255584,0.499952", \ - "0.019074,0.031601,0.045408,0.074002,0.133717,0.255582,0.499999", \ - "0.020048,0.032130,0.045726,0.074140,0.133758,0.255605,0.500048", \ - "0.024251,0.035294,0.048024,0.075417,0.134100,0.255635,0.500009", \ - "0.030004,0.040586,0.052049,0.077634,0.135141,0.255928,0.499935", \ - "0.036602,0.047634,0.058331,0.081607,0.136501,0.256620,0.500376"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ - "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287982", \ - "0.122956,0.136662,0.147341,0.163755,0.189369,0.231439,0.305729", \ - "0.159618,0.173227,0.183921,0.200311,0.225972,0.268071,0.342384", \ - "0.212604,0.227716,0.239061,0.256143,0.282256,0.324585,0.398989", \ - "0.269702,0.287297,0.300575,0.319999,0.348443,0.392865,0.468387", \ - "0.329050,0.349496,0.364906,0.387080,0.418646,0.465939,0.543739"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.080261,0.100256,0.118803,0.152708,0.217516,0.345543,0.600742", \ - "0.084754,0.104752,0.123297,0.157197,0.222016,0.350045,0.605218", \ - "0.101409,0.121380,0.139877,0.173712,0.238473,0.366522,0.621784", \ - "0.132794,0.152838,0.171228,0.204814,0.269448,0.397415,0.652621", \ - "0.166849,0.188465,0.207455,0.241395,0.305877,0.433599,0.688720", \ - "0.197489,0.221433,0.241659,0.276712,0.340738,0.468708,0.723580", \ - "0.222693,0.250297,0.272252,0.308298,0.373583,0.500604,0.754543"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ - "0.018220,0.022975,0.027345,0.035198,0.049754,0.078187,0.136736", \ - "0.018222,0.022992,0.027361,0.035210,0.049766,0.078167,0.136731", \ - "0.018338,0.023135,0.027498,0.035311,0.049829,0.078203,0.136762", \ - "0.022655,0.026659,0.030383,0.037323,0.051020,0.078813,0.136984", \ - "0.029331,0.033484,0.037079,0.043641,0.056440,0.082588,0.138606", \ - "0.036754,0.041387,0.045056,0.051348,0.063411,0.088373,0.142658"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019075,0.031601,0.045398,0.073995,0.133740,0.255582,0.500015", \ - "0.019077,0.031600,0.045402,0.073992,0.133713,0.255584,0.499952", \ - "0.019074,0.031601,0.045408,0.074002,0.133717,0.255582,0.499999", \ - "0.020048,0.032130,0.045726,0.074140,0.133758,0.255605,0.500048", \ - "0.024251,0.035294,0.048024,0.075417,0.134100,0.255635,0.500009", \ - "0.030004,0.040586,0.052049,0.077634,0.135165,0.255938,0.499935", \ - "0.036602,0.047634,0.058331,0.081607,0.136501,0.256620,0.500376"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ - "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287989", \ - "0.122965,0.136655,0.147340,0.163755,0.189369,0.231439,0.305729", \ - "0.159678,0.173287,0.183937,0.200305,0.225966,0.268058,0.342390", \ - "0.212691,0.227677,0.239141,0.256188,0.282306,0.324638,0.399047", \ - "0.269628,0.287304,0.300495,0.319876,0.348448,0.392945,0.468349", \ - "0.329647,0.349928,0.364906,0.386987,0.418582,0.465834,0.543574"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.080232,0.100234,0.118780,0.152684,0.217491,0.345517,0.600734", \ - "0.084737,0.104732,0.123277,0.157174,0.221994,0.350022,0.605138", \ - "0.101396,0.121356,0.139854,0.173688,0.238453,0.366498,0.621823", \ - "0.132798,0.152804,0.171218,0.204796,0.269426,0.397382,0.652606", \ - "0.166829,0.188518,0.207502,0.241428,0.305908,0.433553,0.688742", \ - "0.197213,0.221412,0.241637,0.276585,0.341066,0.468607,0.723111", \ - "0.222370,0.250002,0.272228,0.308730,0.373348,0.500374,0.755065"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ - "0.018220,0.022975,0.027345,0.035197,0.049754,0.078188,0.136732", \ - "0.018221,0.022990,0.027363,0.035210,0.049766,0.078166,0.136731", \ - "0.018338,0.023133,0.027493,0.035311,0.049829,0.078210,0.136759", \ - "0.022640,0.026663,0.030376,0.037315,0.051014,0.078810,0.136982", \ - "0.029337,0.033489,0.037090,0.043655,0.056444,0.082595,0.138622", \ - "0.036680,0.041314,0.045056,0.051368,0.063428,0.088376,0.142656"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019075,0.031597,0.045398,0.073991,0.133738,0.255587,0.499973", \ - "0.019072,0.031597,0.045401,0.073988,0.133735,0.255584,0.499984", \ - "0.019072,0.031598,0.045404,0.074000,0.133733,0.255582,0.499943", \ - "0.020046,0.032127,0.045725,0.074138,0.133749,0.255616,0.500068", \ - "0.024244,0.035287,0.048020,0.075413,0.134111,0.255616,0.500007", \ - "0.030035,0.040573,0.052046,0.077643,0.135137,0.255944,0.499938", \ - "0.036641,0.047667,0.058328,0.081564,0.136506,0.256651,0.500357"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100463,0.114188,0.124875,0.141287,0.166893,0.208949,0.283236", \ - "0.105206,0.118930,0.129622,0.146038,0.171639,0.213698,0.287982", \ - "0.122956,0.136662,0.147341,0.163755,0.189369,0.231439,0.305729", \ - "0.159618,0.173227,0.183921,0.200311,0.225972,0.268071,0.342384", \ - "0.212604,0.227716,0.239061,0.256143,0.282256,0.324585,0.398989", \ - "0.269702,0.287297,0.300575,0.319999,0.348443,0.392865,0.468387", \ - "0.329050,0.349496,0.364906,0.387080,0.418646,0.465939,0.543739"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.080261,0.100256,0.118803,0.152708,0.217516,0.345543,0.600742", \ - "0.084754,0.104752,0.123297,0.157197,0.222016,0.350045,0.605218", \ - "0.101409,0.121380,0.139877,0.173712,0.238473,0.366522,0.621784", \ - "0.132794,0.152838,0.171228,0.204814,0.269448,0.397415,0.652621", \ - "0.166849,0.188465,0.207455,0.241395,0.305877,0.433599,0.688720", \ - "0.197489,0.221433,0.241659,0.276712,0.340738,0.468731,0.723580", \ - "0.222693,0.250297,0.272252,0.308298,0.373583,0.500604,0.754543"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018222,0.022972,0.027348,0.035206,0.049753,0.078173,0.136739", \ - "0.018220,0.022975,0.027345,0.035198,0.049754,0.078187,0.136736", \ - "0.018222,0.022992,0.027361,0.035210,0.049766,0.078167,0.136731", \ - "0.018338,0.023135,0.027498,0.035311,0.049829,0.078203,0.136762", \ - "0.022655,0.026659,0.030383,0.037323,0.051020,0.078813,0.136984", \ - "0.029331,0.033484,0.037079,0.043641,0.056440,0.082588,0.138606", \ - "0.036754,0.041387,0.045056,0.051348,0.063411,0.088373,0.142658"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.019075,0.031601,0.045398,0.073995,0.133740,0.255582,0.500015", \ - "0.019077,0.031600,0.045402,0.073992,0.133713,0.255584,0.499952", \ - "0.019074,0.031601,0.045408,0.074002,0.133717,0.255582,0.499999", \ - "0.020048,0.032130,0.045726,0.074140,0.133758,0.255605,0.500048", \ - "0.024251,0.035294,0.048024,0.075417,0.134100,0.255635,0.500009", \ - "0.030004,0.040586,0.052049,0.077634,0.135165,0.255928,0.499935", \ - "0.036602,0.047634,0.058331,0.081607,0.136501,0.256620,0.500376"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100902,0.115125,0.126276,0.143500,0.170351,0.214192,0.289837", \ - "0.105644,0.119877,0.131031,0.148257,0.175115,0.218954,0.294601", \ - "0.123401,0.137610,0.148750,0.165985,0.192853,0.236695,0.312345", \ - "0.160076,0.174195,0.185328,0.202567,0.229472,0.273344,0.349004", \ - "0.213173,0.228675,0.240574,0.258543,0.285904,0.330021,0.405688", \ - "0.270045,0.288414,0.302574,0.322967,0.353103,0.399403,0.475699", \ - "0.330382,0.351618,0.367811,0.391040,0.424573,0.474219,0.552436"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018818,0.023891,0.028617,0.037002,0.052242,0.081064,0.137761", \ - "0.018822,0.023890,0.028619,0.036988,0.052241,0.081068,0.137734", \ - "0.018822,0.023909,0.028635,0.036992,0.052247,0.081061,0.137730", \ - "0.018926,0.024043,0.028769,0.037087,0.052298,0.081075,0.137743", \ - "0.023330,0.027666,0.031701,0.039088,0.053437,0.081595,0.137816", \ - "0.030292,0.034870,0.038854,0.045992,0.059378,0.085390,0.138823", \ - "0.037890,0.043106,0.047370,0.054475,0.067304,0.091697,0.141748"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100902,0.115125,0.126276,0.143494,0.170351,0.214189,0.289835", \ - "0.105646,0.119877,0.131031,0.148257,0.175115,0.218954,0.294601", \ - "0.123401,0.137610,0.148750,0.165985,0.192853,0.236695,0.312345", \ - "0.160076,0.174195,0.185328,0.202567,0.229472,0.273344,0.349004", \ - "0.213173,0.228675,0.240574,0.258543,0.285904,0.330021,0.405688", \ - "0.270045,0.288414,0.302574,0.322967,0.353103,0.399403,0.475699", \ - "0.330382,0.351618,0.367811,0.391040,0.424573,0.474219,0.552437"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018818,0.023891,0.028617,0.036998,0.052242,0.081067,0.137762", \ - "0.018824,0.023890,0.028619,0.036988,0.052241,0.081068,0.137734", \ - "0.018822,0.023909,0.028635,0.036992,0.052247,0.081061,0.137730", \ - "0.018926,0.024043,0.028769,0.037087,0.052298,0.081075,0.137743", \ - "0.023330,0.027666,0.031701,0.039088,0.053437,0.081595,0.137816", \ - "0.030292,0.034870,0.038854,0.045992,0.059378,0.085390,0.138823", \ - "0.037890,0.043106,0.047370,0.054475,0.067304,0.091697,0.141748"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100903,0.115128,0.126279,0.143494,0.170353,0.214193,0.289837", \ - "0.105649,0.119880,0.131033,0.148260,0.175124,0.218963,0.294614", \ - "0.123416,0.137610,0.148758,0.165990,0.192862,0.236708,0.312361", \ - "0.160136,0.174249,0.185329,0.202571,0.229474,0.273350,0.349013", \ - "0.213246,0.228885,0.240686,0.258651,0.286023,0.330137,0.405906", \ - "0.270312,0.288849,0.302574,0.323381,0.353486,0.399772,0.476058", \ - "0.330438,0.351655,0.367507,0.391513,0.425047,0.474180,0.552860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018821,0.023891,0.028622,0.036999,0.052241,0.081066,0.137766", \ - "0.018822,0.023893,0.028615,0.036987,0.052237,0.081068,0.137765", \ - "0.018823,0.023904,0.028635,0.036992,0.052243,0.081062,0.137740", \ - "0.018927,0.024045,0.028764,0.037090,0.052296,0.081077,0.137747", \ - "0.023319,0.027641,0.031686,0.039086,0.053432,0.081595,0.137822", \ - "0.030261,0.034808,0.038854,0.045937,0.059337,0.085353,0.138819", \ - "0.037899,0.043094,0.047408,0.054387,0.067232,0.091715,0.141744"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100902,0.115125,0.126276,0.143494,0.170351,0.214190,0.289835", \ - "0.105646,0.119877,0.131031,0.148257,0.175115,0.218954,0.294601", \ - "0.123401,0.137610,0.148750,0.165985,0.192853,0.236695,0.312345", \ - "0.160076,0.174195,0.185328,0.202567,0.229472,0.273344,0.349004", \ - "0.213173,0.228675,0.240574,0.258543,0.285904,0.330021,0.405688", \ - "0.270045,0.288414,0.302574,0.322967,0.353103,0.399403,0.475699", \ - "0.330382,0.351618,0.367811,0.391040,0.424573,0.474219,0.552436"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018818,0.023891,0.028617,0.036998,0.052242,0.081052,0.137762", \ - "0.018824,0.023890,0.028619,0.036988,0.052241,0.081068,0.137734", \ - "0.018822,0.023909,0.028635,0.036992,0.052247,0.081061,0.137730", \ - "0.018926,0.024043,0.028769,0.037087,0.052298,0.081075,0.137743", \ - "0.023330,0.027666,0.031701,0.039088,0.053437,0.081595,0.137816", \ - "0.030292,0.034870,0.038854,0.045992,0.059378,0.085390,0.138823", \ - "0.037890,0.043106,0.047370,0.054475,0.067304,0.091697,0.141748"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100635,0.114375,0.125078,0.141523,0.167151,0.209243,0.283580", \ - "0.105374,0.119123,0.129829,0.146272,0.171908,0.214014,0.288333", \ - "0.123128,0.136843,0.147535,0.163982,0.189632,0.231744,0.306064", \ - "0.159745,0.173430,0.184123,0.200532,0.226229,0.268357,0.342717", \ - "0.212704,0.227832,0.239262,0.256309,0.282442,0.324799,0.399214", \ - "0.269510,0.287284,0.300649,0.320201,0.348793,0.393331,0.468800", \ - "0.329412,0.349805,0.364920,0.387650,0.419206,0.466498,0.544268"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.467221,0.487877,0.506669,0.540626,0.605367,0.733372,0.988590", \ - "0.472149,0.492769,0.511596,0.545543,0.610284,0.738276,0.993496", \ - "0.485326,0.505928,0.524666,0.558635,0.623390,0.751365,1.006620", \ - "0.507599,0.528223,0.546960,0.580924,0.645743,0.773701,1.028812", \ - "0.540597,0.561255,0.579941,0.614287,0.678838,0.806832,1.062064", \ - "0.581742,0.602626,0.621162,0.654882,0.719634,0.847537,1.102870", \ - "0.629482,0.650098,0.668960,0.703025,0.767706,0.895755,1.150660"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078244,0.136777", \ - "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ - "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ - "0.018366,0.023169,0.027536,0.035370,0.049875,0.078253,0.136784", \ - "0.022674,0.026688,0.030413,0.037355,0.051049,0.078860,0.137018", \ - "0.029403,0.033547,0.037127,0.043682,0.056475,0.082631,0.138645", \ - "0.036799,0.041402,0.045128,0.051346,0.063427,0.088398,0.142664"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020700,0.032951,0.046445,0.074594,0.133923,0.255653,0.499955", \ - "0.020700,0.032951,0.046446,0.074591,0.133925,0.255597,0.499947", \ - "0.020705,0.032948,0.046449,0.074595,0.133895,0.255646,0.499910", \ - "0.020705,0.032950,0.046449,0.074590,0.133915,0.255668,0.499982", \ - "0.020706,0.032957,0.046450,0.074598,0.133920,0.255613,0.499945", \ - "0.020724,0.032958,0.046455,0.074601,0.133932,0.255598,0.499924", \ - "0.020735,0.032947,0.046428,0.074569,0.133894,0.255641,0.499979"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100635,0.114375,0.125078,0.141523,0.167151,0.209243,0.283580", \ - "0.105374,0.119123,0.129829,0.146272,0.171908,0.214014,0.288333", \ - "0.123128,0.136843,0.147535,0.163982,0.189632,0.231744,0.306064", \ - "0.159745,0.173430,0.184123,0.200532,0.226229,0.268357,0.342717", \ - "0.212704,0.227832,0.239262,0.256309,0.282442,0.324799,0.399214", \ - "0.269510,0.287284,0.300649,0.320201,0.348793,0.393331,0.468800", \ - "0.329412,0.349805,0.364920,0.387650,0.419206,0.466498,0.544268"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.467221,0.487877,0.506669,0.540626,0.605367,0.733372,0.988590", \ - "0.472149,0.492769,0.511596,0.545543,0.610284,0.738276,0.993496", \ - "0.485326,0.505928,0.524666,0.558635,0.623390,0.751365,1.006620", \ - "0.507599,0.528223,0.546960,0.580924,0.645743,0.773701,1.028812", \ - "0.540597,0.561255,0.579941,0.614287,0.678838,0.806832,1.062064", \ - "0.581742,0.602626,0.621162,0.654882,0.719634,0.847537,1.102870", \ - "0.629482,0.650098,0.668960,0.703025,0.767706,0.895755,1.150660"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078244,0.136777", \ - "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ - "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ - "0.018366,0.023169,0.027536,0.035370,0.049875,0.078253,0.136784", \ - "0.022674,0.026688,0.030413,0.037355,0.051049,0.078860,0.137018", \ - "0.029403,0.033547,0.037127,0.043682,0.056475,0.082631,0.138645", \ - "0.036799,0.041402,0.045128,0.051346,0.063427,0.088398,0.142664"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020700,0.032951,0.046445,0.074594,0.133922,0.255653,0.499955", \ - "0.020700,0.032951,0.046446,0.074592,0.133925,0.255597,0.499947", \ - "0.020705,0.032948,0.046449,0.074595,0.133895,0.255646,0.499910", \ - "0.020705,0.032950,0.046449,0.074590,0.133915,0.255668,0.499982", \ - "0.020706,0.032957,0.046450,0.074598,0.133920,0.255613,0.499945", \ - "0.020724,0.032958,0.046455,0.074601,0.133932,0.255598,0.499924", \ - "0.020735,0.032947,0.046428,0.074569,0.133894,0.255641,0.499979"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100635,0.114375,0.125078,0.141523,0.167151,0.209243,0.283580", \ - "0.105374,0.119123,0.129829,0.146272,0.171908,0.214014,0.288333", \ - "0.123128,0.136843,0.147535,0.163982,0.189632,0.231744,0.306064", \ - "0.159745,0.173430,0.184123,0.200532,0.226229,0.268357,0.342717", \ - "0.212704,0.227832,0.239262,0.256309,0.282442,0.324799,0.399214", \ - "0.269510,0.287284,0.300649,0.320201,0.348793,0.393331,0.468800", \ - "0.329412,0.349805,0.364920,0.387650,0.419206,0.466498,0.544268"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.467221,0.487877,0.506669,0.540626,0.605367,0.733372,0.988590", \ - "0.472149,0.492769,0.511596,0.545543,0.610284,0.738276,0.993496", \ - "0.485326,0.505928,0.524666,0.558635,0.623390,0.751365,1.006620", \ - "0.507599,0.528223,0.546960,0.580924,0.645743,0.773701,1.028812", \ - "0.540597,0.561255,0.579941,0.614287,0.678838,0.806832,1.062064", \ - "0.581742,0.602626,0.621162,0.654882,0.719634,0.847537,1.102870", \ - "0.629482,0.650098,0.668960,0.703025,0.767706,0.895755,1.150660"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078244,0.136777", \ - "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ - "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ - "0.018366,0.023169,0.027536,0.035370,0.049875,0.078253,0.136784", \ - "0.022674,0.026688,0.030413,0.037355,0.051049,0.078860,0.137018", \ - "0.029403,0.033547,0.037127,0.043682,0.056475,0.082631,0.138645", \ - "0.036799,0.041402,0.045128,0.051346,0.063427,0.088398,0.142664"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020700,0.032951,0.046445,0.074594,0.133923,0.255653,0.499955", \ - "0.020700,0.032951,0.046446,0.074592,0.133925,0.255597,0.499947", \ - "0.020705,0.032948,0.046449,0.074595,0.133895,0.255646,0.499910", \ - "0.020705,0.032950,0.046449,0.074590,0.133915,0.255668,0.499982", \ - "0.020706,0.032957,0.046450,0.074598,0.133920,0.255613,0.499945", \ - "0.020724,0.032958,0.046455,0.074601,0.133932,0.255598,0.499924", \ - "0.020735,0.032947,0.046428,0.074569,0.133894,0.255641,0.499979"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100635,0.114375,0.125078,0.141523,0.167152,0.209243,0.283581", \ - "0.105374,0.119123,0.129828,0.146272,0.171908,0.214014,0.288333", \ - "0.123127,0.136843,0.147535,0.163982,0.189631,0.231744,0.306064", \ - "0.159745,0.173430,0.184123,0.200532,0.226229,0.268357,0.342717", \ - "0.212704,0.227832,0.239262,0.256310,0.282452,0.324812,0.399235", \ - "0.269505,0.287284,0.300649,0.320201,0.348793,0.393331,0.468800", \ - "0.329412,0.349805,0.364920,0.387650,0.419206,0.466499,0.544267"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.467437,0.488030,0.506816,0.540788,0.605503,0.733524,0.988739", \ - "0.472366,0.492984,0.511750,0.545699,0.610437,0.738425,0.993695", \ - "0.485489,0.506095,0.524828,0.558792,0.623550,0.751527,1.006784", \ - "0.507914,0.528393,0.547092,0.581128,0.645908,0.773818,1.029070", \ - "0.540793,0.561621,0.580207,0.614288,0.679006,0.807068,1.062294", \ - "0.581979,0.602605,0.621301,0.655308,0.719840,0.847785,1.103084", \ - "0.629710,0.650313,0.669241,0.703317,0.767745,0.896029,1.150946"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078246,0.136777", \ - "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ - "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ - "0.018366,0.023169,0.027536,0.035370,0.049875,0.078253,0.136784", \ - "0.022674,0.026688,0.030413,0.037355,0.051045,0.078861,0.137007", \ - "0.029406,0.033548,0.037126,0.043682,0.056475,0.082631,0.138645", \ - "0.036796,0.041402,0.045128,0.051347,0.063427,0.088399,0.142665"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020706,0.032950,0.046446,0.074596,0.133918,0.255665,0.499947", \ - "0.020705,0.032949,0.046446,0.074597,0.133926,0.255668,0.499910", \ - "0.020704,0.032949,0.046448,0.074590,0.133897,0.255666,0.499910", \ - "0.020703,0.032951,0.046450,0.074588,0.133915,0.255668,0.499982", \ - "0.020710,0.032956,0.046454,0.074597,0.133918,0.255662,0.499962", \ - "0.020715,0.032959,0.046455,0.074597,0.133932,0.255619,0.499998", \ - "0.020731,0.032949,0.046427,0.074579,0.133894,0.255595,0.499975"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100983,0.115258,0.126392,0.143519,0.170112,0.213303,0.288248", \ - "0.105727,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ - "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ - "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ - "0.213325,0.229011,0.240805,0.258656,0.285715,0.329173,0.404189", \ - "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474510", \ - "0.331183,0.352309,0.368436,0.391510,0.424571,0.473306,0.551504"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018886,0.023931,0.028560,0.036741,0.051630,0.079927,0.137582", \ - "0.018886,0.023933,0.028557,0.036744,0.051629,0.079919,0.137588", \ - "0.018891,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ - "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ - "0.023410,0.027661,0.031585,0.038806,0.052789,0.080458,0.137783", \ - "0.030333,0.034760,0.038660,0.045549,0.058541,0.084182,0.139210", \ - "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100983,0.115258,0.126392,0.143519,0.170118,0.213309,0.288242", \ - "0.105727,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ - "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ - "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ - "0.213325,0.229011,0.240805,0.258693,0.285753,0.329218,0.404270", \ - "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474510", \ - "0.331183,0.352309,0.368436,0.391510,0.424571,0.473306,0.551504"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018886,0.023931,0.028560,0.036741,0.051631,0.079919,0.137586", \ - "0.018886,0.023933,0.028557,0.036744,0.051629,0.079919,0.137588", \ - "0.018891,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ - "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ - "0.023410,0.027661,0.031585,0.038802,0.052789,0.080447,0.137783", \ - "0.030333,0.034760,0.038660,0.045549,0.058541,0.084182,0.139210", \ - "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100983,0.115258,0.126392,0.143519,0.170118,0.213304,0.288245", \ - "0.105727,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ - "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ - "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ - "0.213325,0.229011,0.240805,0.258693,0.285753,0.329218,0.404270", \ - "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474511", \ - "0.331183,0.352310,0.368436,0.391510,0.424571,0.473306,0.551504"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018886,0.023931,0.028560,0.036741,0.051631,0.079928,0.137590", \ - "0.018886,0.023933,0.028557,0.036744,0.051629,0.079919,0.137588", \ - "0.018891,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ - "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ - "0.023410,0.027661,0.031585,0.038802,0.052789,0.080447,0.137783", \ - "0.030333,0.034760,0.038660,0.045549,0.058541,0.084182,0.139225", \ - "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100983,0.115258,0.126392,0.143519,0.170118,0.213306,0.288244", \ - "0.105732,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ - "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ - "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ - "0.213325,0.229011,0.240812,0.258693,0.285753,0.329218,0.404270", \ - "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474510", \ - "0.331183,0.352309,0.368436,0.391510,0.424571,0.473306,0.551504"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018886,0.023931,0.028560,0.036741,0.051631,0.079924,0.137590", \ - "0.018885,0.023933,0.028557,0.036744,0.051630,0.079919,0.137588", \ - "0.018892,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ - "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ - "0.023410,0.027661,0.031588,0.038802,0.052789,0.080447,0.137783", \ - "0.030332,0.034760,0.038660,0.045549,0.058542,0.084182,0.139210", \ - "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100635,0.114375,0.125078,0.141523,0.167152,0.209243,0.283581", \ - "0.105374,0.119123,0.129828,0.146272,0.171908,0.214014,0.288333", \ - "0.123127,0.136843,0.147535,0.163982,0.189632,0.231744,0.306064", \ - "0.159745,0.173430,0.184123,0.200532,0.226229,0.268357,0.342717", \ - "0.212704,0.227832,0.239262,0.256310,0.282452,0.324812,0.399235", \ - "0.269505,0.287284,0.300649,0.320201,0.348793,0.393331,0.468800", \ - "0.329412,0.349805,0.364920,0.387650,0.419206,0.466499,0.544267"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.467437,0.488030,0.506816,0.540788,0.605503,0.733524,0.988739", \ - "0.472366,0.492984,0.511750,0.545699,0.610437,0.738425,0.993695", \ - "0.485489,0.506095,0.524828,0.558792,0.623550,0.751527,1.006784", \ - "0.507914,0.528390,0.547177,0.581128,0.645908,0.773818,1.029070", \ - "0.540793,0.561621,0.580207,0.614288,0.679006,0.807068,1.062294", \ - "0.581979,0.602605,0.621301,0.655308,0.719840,0.847785,1.103084", \ - "0.629710,0.650313,0.669241,0.703317,0.767745,0.896029,1.150973"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078246,0.136777", \ - "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ - "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ - "0.018366,0.023169,0.027536,0.035370,0.049875,0.078253,0.136784", \ - "0.022674,0.026688,0.030413,0.037355,0.051045,0.078861,0.137007", \ - "0.029406,0.033548,0.037126,0.043682,0.056475,0.082631,0.138645", \ - "0.036796,0.041402,0.045128,0.051347,0.063427,0.088399,0.142665"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020706,0.032950,0.046446,0.074596,0.133918,0.255665,0.499947", \ - "0.020705,0.032949,0.046446,0.074597,0.133926,0.255668,0.499910", \ - "0.020704,0.032949,0.046448,0.074590,0.133897,0.255666,0.499910", \ - "0.020703,0.032951,0.046448,0.074588,0.133915,0.255668,0.499982", \ - "0.020710,0.032956,0.046454,0.074597,0.133918,0.255662,0.499962", \ - "0.020715,0.032959,0.046455,0.074597,0.133932,0.255619,0.499998", \ - "0.020731,0.032949,0.046427,0.074579,0.133894,0.255595,0.499966"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100635,0.114375,0.125078,0.141523,0.167152,0.209243,0.283581", \ - "0.105374,0.119123,0.129828,0.146272,0.171908,0.214014,0.288333", \ - "0.123127,0.136843,0.147535,0.163982,0.189631,0.231744,0.306064", \ - "0.159745,0.173431,0.184123,0.200532,0.226229,0.268357,0.342717", \ - "0.212704,0.227832,0.239262,0.256310,0.282452,0.324812,0.399235", \ - "0.269505,0.287284,0.300649,0.320201,0.348793,0.393331,0.468800", \ - "0.329412,0.349805,0.364920,0.387650,0.419206,0.466499,0.544267"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.467437,0.488030,0.506816,0.540788,0.605503,0.733524,0.988739", \ - "0.472366,0.492984,0.511750,0.545699,0.610437,0.738425,0.993695", \ - "0.485489,0.506095,0.524828,0.558792,0.623550,0.751527,1.006784", \ - "0.507914,0.528393,0.547092,0.581128,0.645908,0.773818,1.029070", \ - "0.540793,0.561621,0.580207,0.614287,0.679006,0.807068,1.062294", \ - "0.581979,0.602605,0.621301,0.655308,0.719840,0.847785,1.103084", \ - "0.629710,0.650313,0.669241,0.703317,0.767745,0.896029,1.150973"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078246,0.136777", \ - "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ - "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ - "0.018366,0.023168,0.027536,0.035370,0.049875,0.078253,0.136784", \ - "0.022674,0.026688,0.030413,0.037355,0.051045,0.078861,0.137007", \ - "0.029406,0.033548,0.037126,0.043682,0.056475,0.082631,0.138645", \ - "0.036796,0.041402,0.045128,0.051347,0.063427,0.088399,0.142665"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020706,0.032950,0.046446,0.074596,0.133918,0.255665,0.499947", \ - "0.020705,0.032949,0.046446,0.074597,0.133926,0.255668,0.499910", \ - "0.020704,0.032949,0.046448,0.074590,0.133897,0.255666,0.499910", \ - "0.020703,0.032951,0.046450,0.074588,0.133915,0.255668,0.499982", \ - "0.020710,0.032956,0.046454,0.074597,0.133918,0.255662,0.499962", \ - "0.020715,0.032959,0.046455,0.074597,0.133932,0.255619,0.499998", \ - "0.020731,0.032949,0.046427,0.074579,0.133894,0.255595,0.499966"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100635,0.114375,0.125078,0.141523,0.167151,0.209243,0.283580", \ - "0.105374,0.119123,0.129829,0.146272,0.171908,0.214014,0.288333", \ - "0.123128,0.136843,0.147535,0.163982,0.189632,0.231744,0.306064", \ - "0.159745,0.173430,0.184123,0.200532,0.226229,0.268357,0.342717", \ - "0.212704,0.227832,0.239262,0.256309,0.282442,0.324799,0.399214", \ - "0.269510,0.287284,0.300650,0.320201,0.348793,0.393331,0.468800", \ - "0.329412,0.349805,0.364920,0.387650,0.419206,0.466498,0.544268"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.467221,0.487877,0.506669,0.540626,0.605367,0.733372,0.988590", \ - "0.472149,0.492769,0.511597,0.545543,0.610284,0.738276,0.993497", \ - "0.485326,0.505928,0.524666,0.558635,0.623390,0.751365,1.006620", \ - "0.507552,0.528223,0.547021,0.580924,0.645743,0.773701,1.028812", \ - "0.540597,0.561255,0.579941,0.614287,0.678838,0.806832,1.062064", \ - "0.581742,0.602626,0.621162,0.654882,0.719634,0.847537,1.102870", \ - "0.629482,0.650098,0.668960,0.703026,0.767706,0.895755,1.150660"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078244,0.136777", \ - "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ - "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ - "0.018366,0.023169,0.027536,0.035370,0.049875,0.078253,0.136784", \ - "0.022674,0.026688,0.030413,0.037355,0.051049,0.078860,0.137018", \ - "0.029403,0.033547,0.037127,0.043682,0.056475,0.082631,0.138645", \ - "0.036799,0.041402,0.045128,0.051346,0.063427,0.088398,0.142664"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020700,0.032951,0.046445,0.074594,0.133923,0.255653,0.499955", \ - "0.020700,0.032951,0.046446,0.074592,0.133925,0.255597,0.499947", \ - "0.020705,0.032948,0.046449,0.074595,0.133895,0.255646,0.499910", \ - "0.020702,0.032950,0.046450,0.074590,0.133915,0.255668,0.499982", \ - "0.020706,0.032957,0.046450,0.074598,0.133920,0.255613,0.499945", \ - "0.020724,0.032958,0.046455,0.074601,0.133932,0.255598,0.499924", \ - "0.020735,0.032947,0.046428,0.074569,0.133894,0.255641,0.499979"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100635,0.114375,0.125078,0.141523,0.167152,0.209243,0.283581", \ - "0.105374,0.119123,0.129828,0.146272,0.171908,0.214014,0.288333", \ - "0.123127,0.136843,0.147535,0.163982,0.189631,0.231744,0.306064", \ - "0.159745,0.173430,0.184123,0.200532,0.226229,0.268357,0.342717", \ - "0.212704,0.227832,0.239262,0.256310,0.282452,0.324812,0.399235", \ - "0.269505,0.287284,0.300649,0.320201,0.348793,0.393331,0.468800", \ - "0.329412,0.349805,0.364920,0.387650,0.419206,0.466499,0.544267"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.467437,0.488030,0.506816,0.540788,0.605503,0.733524,0.988739", \ - "0.472366,0.492984,0.511750,0.545699,0.610437,0.738425,0.993695", \ - "0.485489,0.506095,0.524828,0.558792,0.623550,0.751527,1.006784", \ - "0.507914,0.528393,0.547092,0.581128,0.645908,0.773818,1.029070", \ - "0.540793,0.561621,0.580207,0.614287,0.679006,0.807068,1.062294", \ - "0.581979,0.602605,0.621301,0.655308,0.719840,0.847785,1.103084", \ - "0.629710,0.650313,0.669241,0.703317,0.767745,0.896029,1.150973"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018247,0.023010,0.027385,0.035249,0.049813,0.078246,0.136777", \ - "0.018248,0.023007,0.027385,0.035246,0.049815,0.078234,0.136759", \ - "0.018250,0.023028,0.027405,0.035258,0.049829,0.078221,0.136758", \ - "0.018366,0.023169,0.027536,0.035370,0.049875,0.078253,0.136784", \ - "0.022674,0.026688,0.030413,0.037355,0.051045,0.078861,0.137007", \ - "0.029406,0.033548,0.037126,0.043682,0.056475,0.082631,0.138645", \ - "0.036796,0.041402,0.045128,0.051347,0.063427,0.088399,0.142665"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.020706,0.032950,0.046446,0.074596,0.133918,0.255665,0.499947", \ - "0.020705,0.032949,0.046446,0.074597,0.133926,0.255668,0.499910", \ - "0.020704,0.032949,0.046448,0.074590,0.133897,0.255666,0.499910", \ - "0.020703,0.032951,0.046450,0.074588,0.133915,0.255668,0.499982", \ - "0.020710,0.032956,0.046454,0.074597,0.133918,0.255662,0.499962", \ - "0.020715,0.032959,0.046455,0.074597,0.133932,0.255619,0.499998", \ - "0.020731,0.032949,0.046427,0.074579,0.133894,0.255595,0.499966"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100983,0.115258,0.126392,0.143519,0.170118,0.213310,0.288248", \ - "0.105727,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ - "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ - "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ - "0.213325,0.229011,0.240812,0.258693,0.285753,0.329218,0.404270", \ - "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474510", \ - "0.331183,0.352309,0.368436,0.391510,0.424571,0.473306,0.551504"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018886,0.023931,0.028560,0.036741,0.051631,0.079921,0.137582", \ - "0.018886,0.023933,0.028557,0.036744,0.051629,0.079919,0.137588", \ - "0.018892,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ - "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ - "0.023410,0.027661,0.031588,0.038802,0.052789,0.080447,0.137783", \ - "0.030332,0.034760,0.038660,0.045549,0.058542,0.084182,0.139210", \ - "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100983,0.115258,0.126392,0.143519,0.170117,0.213306,0.288246", \ - "0.105727,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ - "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ - "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ - "0.213325,0.229011,0.240812,0.258693,0.285753,0.329218,0.404270", \ - "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474510", \ - "0.331183,0.352309,0.368436,0.391510,0.424571,0.473306,0.551504"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018886,0.023931,0.028560,0.036741,0.051631,0.079924,0.137582", \ - "0.018886,0.023933,0.028557,0.036744,0.051629,0.079919,0.137588", \ - "0.018892,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ - "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ - "0.023410,0.027661,0.031588,0.038802,0.052789,0.080447,0.137783", \ - "0.030332,0.034760,0.038660,0.045549,0.058542,0.084182,0.139210", \ - "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100983,0.115258,0.126392,0.143519,0.170118,0.213310,0.288242", \ - "0.105732,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ - "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ - "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ - "0.213325,0.229011,0.240805,0.258693,0.285753,0.329218,0.404270", \ - "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474510", \ - "0.331183,0.352310,0.368436,0.391510,0.424571,0.473306,0.551504"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018886,0.023931,0.028560,0.036741,0.051631,0.079919,0.137585", \ - "0.018885,0.023933,0.028557,0.036744,0.051629,0.079919,0.137588", \ - "0.018891,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ - "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ - "0.023410,0.027661,0.031585,0.038802,0.052789,0.080447,0.137783", \ - "0.030333,0.034760,0.038660,0.045549,0.058541,0.084182,0.139210", \ - "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100983,0.115258,0.126392,0.143519,0.170117,0.213306,0.288248", \ - "0.105727,0.120010,0.131150,0.148286,0.174873,0.218069,0.293008", \ - "0.123491,0.137738,0.148882,0.166012,0.192610,0.235814,0.310756", \ - "0.160170,0.174341,0.185422,0.202570,0.229202,0.272432,0.347387", \ - "0.213325,0.229011,0.240812,0.258693,0.285753,0.329218,0.404270", \ - "0.270724,0.289336,0.302889,0.323375,0.353094,0.398653,0.474511", \ - "0.331183,0.352309,0.368436,0.391510,0.424571,0.473306,0.551504"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.018886,0.023931,0.028560,0.036741,0.051631,0.079924,0.137582", \ - "0.018886,0.023933,0.028557,0.036744,0.051630,0.079919,0.137588", \ - "0.018892,0.023951,0.028576,0.036751,0.051631,0.079923,0.137603", \ - "0.018991,0.024084,0.028703,0.036848,0.051686,0.079924,0.137607", \ - "0.023410,0.027661,0.031588,0.038802,0.052789,0.080447,0.137783", \ - "0.030332,0.034760,0.038660,0.045549,0.058542,0.084182,0.139225", \ - "0.037942,0.042970,0.047019,0.053844,0.066188,0.090339,0.143288"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.050969,6.183391,6.254496,6.328380,6.389780,6.418089,6.450192", \ - "6.044639,6.180613,6.256164,6.310789,6.393887,6.421204,6.453503", \ - "6.024669,6.161762,6.229748,6.299449,6.369375,6.401921,6.433136", \ - "6.023760,6.161770,6.237269,6.315135,6.372205,6.400062,6.427031", \ - "6.052287,6.185543,6.253915,6.331452,6.401197,6.451726,6.470947", \ - "6.113092,6.246372,6.324719,6.381629,6.451339,6.501214,6.494586", \ - "6.229319,6.360864,6.424503,6.497366,6.574231,6.618875,6.631534"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.834215,5.952353,6.029317,6.272219,6.425865,6.471799,6.528903", \ - "5.824357,5.940544,6.014923,6.276237,6.430510,6.485047,6.540772", \ - "5.806339,5.922173,5.998629,6.256150,6.407166,6.457148,6.522307", \ - "5.799540,5.919411,5.996762,6.248248,6.412975,6.467198,6.508442", \ - "5.821389,5.942080,6.019136,6.259721,6.408581,6.497513,6.489601", \ - "5.881809,5.997295,6.073724,6.329140,6.478442,6.568957,6.588156", \ - "5.992047,6.108027,6.185724,6.433264,6.604410,6.646954,6.694282"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.905453,5.099130,5.210600,5.270789,5.373475,5.393974,5.456479", \ - "4.875045,5.081742,5.188158,5.261473,5.370194,5.391167,5.461840", \ - "4.855718,5.043106,5.150066,5.235769,5.319142,5.409905,5.410183", \ - "4.866182,5.050152,5.154472,5.213774,5.334768,5.362386,5.436922", \ - "4.985929,5.122883,5.204040,5.293991,5.381966,5.405250,5.485223", \ - "5.173456,5.274217,5.344151,5.385691,5.480058,5.500292,5.582114", \ - "5.443144,5.507895,5.544968,5.580052,5.642820,5.657160,5.735002"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.904463,5.098145,5.209576,5.305054,5.372548,5.393073,5.457383", \ - "4.874066,5.080767,5.187175,5.260499,5.369248,5.390243,5.460983", \ - "4.854779,5.042155,5.149126,5.234832,5.318213,5.409008,5.409330", \ - "4.865301,5.049265,5.153588,5.212884,5.333887,5.361521,5.436094", \ - "4.985154,5.122098,5.207416,5.293193,5.381153,5.404433,5.484438", \ - "5.172807,5.273555,5.343478,5.385000,5.479351,5.499556,5.580613", \ - "5.442664,5.507374,5.544736,5.579490,5.642230,5.656518,5.734199"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.904788,5.098457,5.209947,5.291070,5.372574,5.393575,5.461147", \ - "4.874492,5.081079,5.187709,5.261021,5.369658,5.390760,5.461595", \ - "4.855090,5.042472,5.149448,5.235189,5.318652,5.409478,5.409973", \ - "4.865607,5.049570,5.153893,5.213210,5.334306,5.362000,5.436727", \ - "4.985440,5.122387,5.207883,5.293509,5.381541,5.404935,5.485021", \ - "5.173072,5.273026,5.343795,5.385320,5.479690,5.500022,5.581975", \ - "5.442868,5.507268,5.544681,5.579970,5.642537,5.656937,5.734744"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.412879,5.619332,5.726476,5.801430,5.890479,5.908393,5.969285", \ - "5.377388,5.583674,5.687259,5.736861,5.801006,5.887672,5.949261", \ - "5.331772,5.532315,5.637310,5.721216,5.804778,5.825026,5.894252", \ - "5.369306,5.554844,5.652131,5.735708,5.767620,5.880571,5.930207", \ - "5.532694,5.672044,5.748621,5.840716,5.925085,5.948522,6.024602", \ - "5.794787,5.904641,5.958802,6.005044,6.098718,6.118067,6.194213", \ - "6.169674,6.232092,6.270482,6.276852,6.366517,6.380752,6.454500"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.414051,5.620511,5.722838,5.806546,5.892085,5.909556,5.973529", \ - "5.378548,5.584845,5.688499,5.738042,5.802148,5.888815,5.950432", \ - "5.332891,5.533447,5.638451,5.722353,5.805901,5.826194,5.895360", \ - "5.370529,5.555917,5.647649,5.736803,5.768677,5.881725,5.931296", \ - "5.533645,5.673005,5.749591,5.841744,5.926127,5.950212,6.025676", \ - "5.795598,5.905471,5.952552,6.005907,6.099686,6.119035,6.195184", \ - "6.170319,6.232741,6.271172,6.277597,6.367349,6.381611,6.455391"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.414058,5.620515,5.722999,5.805643,5.891722,5.909556,5.973806", \ - "5.378555,5.584851,5.688503,5.738046,5.802144,5.888823,5.950429", \ - "5.332899,5.533456,5.638454,5.722360,5.805910,5.826196,5.895365", \ - "5.370536,5.555924,5.647653,5.736795,5.768685,5.881725,5.931300", \ - "5.533067,5.673011,5.749597,5.841743,5.926129,5.950220,6.025676", \ - "5.795603,5.905476,5.952558,6.005913,6.099682,6.119036,6.195188", \ - "6.170307,6.233023,6.277209,6.277598,6.367344,6.381612,6.455397"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.903663,5.097304,5.208766,5.289854,5.371449,5.392155,5.454632", \ - "4.873371,5.079928,5.186518,5.259787,5.368356,5.389339,5.460038", \ - "4.853976,5.041329,5.148296,5.233980,5.317350,5.408068,5.408401", \ - "4.864514,5.048451,5.152743,5.212016,5.333037,5.360630,5.435193", \ - "4.984398,5.121317,5.206781,5.292367,5.380313,5.403586,5.483533", \ - "5.172114,5.272040,5.342778,5.384256,5.478542,5.498750,5.582409", \ - "5.442035,5.506403,5.543789,5.579002,5.641501,5.655763,5.733394"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.414060,5.620523,5.721807,5.808063,5.891723,5.909597,5.974619", \ - "5.378560,5.584858,5.688461,5.738064,5.802228,5.888882,5.950474", \ - "5.332911,5.533469,5.638475,5.722387,5.805954,5.826229,5.895448", \ - "5.370555,5.555945,5.653250,5.736832,5.768756,5.881742,5.931379", \ - "5.533093,5.673041,5.745825,5.841761,5.926156,5.950289,6.025739", \ - "5.795633,5.905508,5.959686,6.005955,6.099689,6.119084,6.195285", \ - "6.170357,6.232793,6.277263,6.277626,6.367354,6.381662,6.455495"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.262947,6.459269,6.556510,6.629166,6.706288,6.772723,6.804787", \ - "6.224544,6.418723,6.503214,6.596737,6.613571,6.681730,6.739134", \ - "6.182802,6.379110,6.464152,6.532755,6.619959,6.691020,6.684628", \ - "6.221779,6.394480,6.472057,6.563635,6.638751,6.643040,6.738274", \ - "6.379129,6.511675,6.578145,6.660540,6.735273,6.739501,6.808182", \ - "6.648400,6.742196,6.775098,6.833461,6.879657,6.950035,7.013937", \ - "7.015479,7.082627,7.116062,7.150722,7.186091,7.249318,7.259023"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.262129,6.458470,6.555669,6.598273,6.704986,6.771483,6.764639", \ - "6.223391,6.417934,6.502385,6.595900,6.612726,6.680896,6.738339", \ - "6.182020,6.378322,6.463350,6.531941,6.619138,6.690273,6.683834", \ - "6.221044,6.393765,6.471301,6.562867,6.637969,6.642243,6.737554", \ - "6.378491,6.511014,6.577475,6.659858,6.734560,6.738757,6.806762", \ - "6.647881,6.741659,6.774551,6.833103,6.879042,6.949381,7.013253", \ - "7.015105,7.082234,7.115673,7.150291,7.185602,7.248773,7.258290"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.262618,6.458631,6.556123,6.598789,6.705819,6.703202,6.761602", \ - "6.224279,6.418088,6.502845,6.596324,6.613151,6.681269,6.738714", \ - "6.181688,6.373659,6.463807,6.532390,6.619548,6.689791,6.684242", \ - "6.221508,6.393938,6.471740,6.563259,6.638375,6.642691,6.737386", \ - "6.378928,6.511432,6.577910,6.660178,6.734738,6.739177,6.821375", \ - "6.648286,6.743156,6.774941,6.831876,6.879378,6.949726,7.013592", \ - "7.015440,7.082568,7.115740,7.150517,7.185883,7.249044,7.260554"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.260173,6.457307,6.557749,6.596180,6.702408,6.766999,6.760898", \ - "6.223247,6.419497,6.500824,6.593871,6.610090,6.708168,6.733073", \ - "6.180875,6.376934,6.461785,6.537638,6.616494,6.685448,6.678649", \ - "6.220403,6.391816,6.469558,6.560677,6.635224,6.638605,6.735313", \ - "6.379259,6.509330,6.575622,6.657904,6.731417,6.734374,6.802267", \ - "6.646363,6.739625,6.797431,6.834367,6.910411,6.944931,7.006028", \ - "7.026494,7.080128,7.114648,7.148717,7.182204,7.244574,7.233478"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.261120,6.458270,6.558855,6.597301,6.711993,6.768779,6.757246", \ - "6.224181,6.420449,6.501809,6.594879,6.611101,6.709325,6.734135", \ - "6.181779,6.377863,6.462722,6.538596,6.617464,6.686511,6.679658", \ - "6.221257,6.390934,6.470469,6.561655,6.636154,6.639609,6.736308", \ - "6.377792,6.510108,6.576411,6.658765,6.732313,6.735268,6.803243", \ - "6.646993,6.740426,6.798107,6.835063,6.911231,6.945790,7.006908", \ - "7.026963,7.080604,7.115171,7.149319,7.182895,7.245329,7.234273"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.261129,6.458278,6.558817,6.597289,6.711162,6.768989,6.757241", \ - "6.224190,6.420457,6.501807,6.594877,6.611101,6.709255,6.734127", \ - "6.181786,6.377869,6.462730,6.538603,6.617471,6.686499,6.679655", \ - "6.221264,6.390942,6.470474,6.561658,6.636155,6.639603,6.736309", \ - "6.380005,6.510120,6.576428,6.658771,6.732310,6.735274,6.803239", \ - "6.646804,6.740438,6.798119,6.835071,6.911224,6.945799,7.006914", \ - "7.027058,7.080614,7.115176,7.122534,7.182887,7.245340,7.234288"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.261690,6.457679,6.555129,6.597512,6.704578,6.770943,6.760151", \ - "6.223353,6.417139,6.501849,6.595270,6.612010,6.679994,6.737250", \ - "6.180768,6.372716,6.462821,6.531351,6.618418,6.688580,6.682785", \ - "6.220600,6.393003,6.470764,6.562224,6.636904,6.641436,6.735930", \ - "6.378079,6.510539,6.576985,6.659196,6.733668,6.737963,6.818523", \ - "6.647516,6.741240,6.774105,6.831035,6.878389,6.948580,7.012257", \ - "7.014802,7.081902,7.115047,7.149754,7.185019,7.248014,7.259265"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.261126,6.458279,6.558829,6.597267,6.710459,6.770844,6.761978", \ - "6.224191,6.420461,6.501816,6.594873,6.611123,6.709229,6.734175", \ - "6.181796,6.377875,6.462746,6.538607,6.617499,6.686512,6.679735", \ - "6.221278,6.390957,6.470483,6.561631,6.636018,6.639633,6.736376", \ - "6.380038,6.510146,6.576455,6.658770,6.732336,6.735335,6.803295", \ - "6.646826,6.740316,6.798134,6.835105,6.911212,6.945816,7.007006", \ - "7.026995,7.080651,7.115196,7.122545,7.182884,7.245351,7.234378"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.990859,4.294623,4.515882,4.776105,5.033330,5.175429,5.240859", \ - "3.958007,4.264381,4.493503,4.728492,5.008927,5.163378,5.210979", \ - "3.904840,4.205471,4.424820,4.701786,4.949537,5.099341,5.159212", \ - "3.927817,4.207426,4.414458,4.665771,4.926606,5.097057,5.153364", \ - "4.065410,4.310775,4.512686,4.727832,5.006495,5.181482,5.242711", \ - "4.221426,4.405318,4.573466,4.838576,5.155812,5.349287,5.420412", \ - "4.582408,4.659324,4.772967,4.976651,5.292261,5.559600,5.699281"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.026393,3.290330,3.400643,3.499729,3.614346,3.621306,3.660513", \ - "2.994826,3.283171,3.415281,3.497825,3.580684,3.587758,3.728142", \ - "2.975657,3.254499,3.361493,3.474638,3.565570,3.653842,3.702848", \ - "3.003227,3.272439,3.342096,3.418274,3.440880,3.577396,3.624123", \ - "3.105072,3.353599,3.447459,3.477305,3.511282,3.551975,3.560965", \ - "3.320108,3.598589,3.658128,3.656658,3.668610,3.708584,3.727212", \ - "3.613865,3.916492,4.024644,4.045336,3.991434,3.960399,4.013094"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.990861,4.294625,4.515883,4.776103,5.033329,5.175409,5.240857", \ - "3.958010,4.264383,4.493505,4.728484,5.008927,5.163376,5.210970", \ - "3.904842,4.205472,4.424820,4.701788,4.949536,5.099330,5.159210", \ - "3.927920,4.207428,4.414460,4.665768,4.926603,5.097054,5.153354", \ - "4.065413,4.310777,4.512690,4.727825,5.006492,5.181482,5.242709", \ - "4.221428,4.405321,4.573469,4.838578,5.155812,5.349278,5.420394", \ - "4.582411,4.659326,4.772968,4.976647,5.292262,5.559592,5.699271"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.026397,3.290330,3.400641,3.499725,3.614343,3.621289,3.660496", \ - "2.994827,3.283172,3.415281,3.497823,3.580678,3.587739,3.728169", \ - "2.975659,3.254500,3.361493,3.474639,3.540579,3.653842,3.702846", \ - "3.003228,3.272442,3.342094,3.418271,3.440867,3.577391,3.624119", \ - "3.105071,3.353600,3.447460,3.477302,3.511275,3.551962,3.560947", \ - "3.320111,3.598592,3.658127,3.656654,3.668604,3.708578,3.727198", \ - "3.613867,3.916496,4.024646,4.045338,3.991434,3.960393,4.013090"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.990849,4.294612,4.515872,4.776098,5.033324,5.175447,5.240860", \ - "3.957998,4.264371,4.493491,4.728492,5.008919,5.163376,5.210989", \ - "3.904831,4.205461,4.424810,4.701775,4.949529,5.099350,5.159214", \ - "3.927909,4.207415,4.414448,4.665765,4.926603,5.097057,5.153374", \ - "4.065401,4.310766,4.512676,4.727833,5.006490,5.181478,5.242717", \ - "4.221420,4.405310,4.573458,4.838568,5.155805,5.349293,5.420433", \ - "4.582400,4.659318,4.772963,4.976651,5.292255,5.559604,5.699292"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.026387,3.290321,3.400632,3.499718,3.614336,3.621315,3.660526", \ - "2.994823,3.283160,3.415261,3.497812,3.580678,3.587771,3.728165", \ - "2.975653,3.254487,3.361479,3.474620,3.540576,3.653834,3.702845", \ - "3.003224,3.272426,3.342085,3.418261,3.440881,3.577393,3.624171", \ - "3.105069,3.353590,3.447445,3.477293,3.511277,3.551980,3.560978", \ - "3.320101,3.598578,3.658117,3.656646,3.668603,3.708582,3.727220", \ - "3.613860,3.916481,4.024630,4.045319,3.991421,3.960397,4.013091"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.981622,4.284609,4.506922,4.767761,5.025067,5.167799,5.234609", \ - "3.948642,4.255095,4.484221,4.719341,5.000102,5.155122,5.205378", \ - "3.895906,4.195824,4.415118,4.692345,4.940358,5.090385,5.150668", \ - "3.919671,4.198435,4.405595,4.656366,4.917532,5.086695,5.144951", \ - "4.047746,4.301291,4.493461,4.718650,4.997217,5.171191,5.232981", \ - "4.215311,4.400109,4.570949,4.829765,5.146235,5.339216,5.410776", \ - "4.547612,4.638123,4.763746,4.970436,5.283860,5.553525,5.694991"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.034913,3.309847,3.428469,3.507793,3.621909,3.628351,3.663425", \ - "3.003227,3.304089,3.406660,3.505356,3.589315,3.595258,3.771802", \ - "2.976877,3.262800,3.371435,3.482614,3.517014,3.661801,3.704357", \ - "3.011791,3.285697,3.351225,3.426723,3.470337,3.616385,3.634191", \ - "3.138058,3.363135,3.456682,3.486665,3.521039,3.520791,3.569569", \ - "3.318407,3.607781,3.666426,3.675232,3.683600,3.666939,3.728038", \ - "3.630879,3.920067,4.032577,4.040173,3.996661,3.963474,4.032121"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.493831,4.708042,4.878457,5.056899,5.178482,5.237173,5.247262", \ - "4.478155,4.699496,4.866666,5.071844,5.169801,5.229146,5.239093", \ - "4.448870,4.676343,4.828760,5.034962,5.131204,5.191140,5.208688", \ - "4.458854,4.658442,4.813916,5.017420,5.094479,5.158244,5.178567", \ - "4.552933,4.725869,4.877675,5.070742,5.137818,5.203633,5.227102", \ - "4.708964,4.867821,4.979707,5.143894,5.198903,5.268234,5.295709", \ - "4.870355,5.015823,5.142164,5.312951,5.394892,5.405976,5.435100"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.493676,4.707872,4.878273,5.056820,5.178239,5.237063,5.246957", \ - "4.477995,4.699327,4.866484,5.071639,5.169570,5.228875,5.238781", \ - "4.448715,4.676175,4.828581,5.034766,5.130969,5.190864,5.208392", \ - "4.458703,4.658278,4.813740,5.017229,5.094250,5.157972,5.178274", \ - "4.552793,4.725717,4.877514,5.070561,5.137611,5.203385,5.226806", \ - "4.708850,4.867693,4.979571,5.143744,5.198708,5.267994,5.295443", \ - "4.870276,5.015734,5.142069,5.312833,5.394740,5.405769,5.434859"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.494216,4.708457,4.878900,5.057385,5.178645,5.237897,5.247822", \ - "4.478534,4.699908,4.867104,5.072326,5.170325,5.229701,5.239604", \ - "4.449243,4.676744,4.829186,5.035424,5.131724,5.191695,5.209165", \ - "4.459207,4.658821,4.814318,5.017854,5.094974,5.158772,5.179022", \ - "4.553241,4.726200,4.878026,5.071131,5.138245,5.204096,5.227536", \ - "4.709189,4.868072,4.979975,5.144191,5.199271,5.268647,5.296064", \ - "4.870473,5.015961,5.142315,5.313146,5.395144,5.406290,5.435378"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.445684,4.659972,4.830431,5.008827,5.129206,5.187528,5.199178", \ - "4.432244,4.653096,4.819759,5.024601,5.122285,5.181125,5.196048", \ - "4.404670,4.630092,4.782576,4.988343,5.084051,5.144097,5.161437", \ - "4.412855,4.612614,4.766698,4.970166,5.047013,5.110402,5.127732", \ - "4.507819,4.682126,4.834965,5.024443,5.090570,5.155639,5.180014", \ - "4.666646,4.810655,4.934607,5.079264,5.155082,5.223087,5.252359", \ - "4.826303,4.972525,5.101964,5.273366,5.354141,5.383745,5.392217"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.981609,4.284597,4.506913,4.767750,5.025060,5.167765,5.234606", \ - "3.948627,4.255083,4.484213,4.719319,5.000098,5.155118,5.205372", \ - "3.895891,4.195811,4.415107,4.692340,4.940354,5.090365,5.150663", \ - "3.919657,4.198421,4.405586,4.656353,4.917521,5.086684,5.144934", \ - "4.047732,4.301279,4.493451,4.718629,4.997209,5.171189,5.232974", \ - "4.215300,4.400102,4.570943,4.829758,5.146229,5.339200,5.410740", \ - "4.547602,4.638112,4.763736,4.970421,5.283855,5.553508,5.694972"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.034903,3.309836,3.428458,3.507773,3.621893,3.628313,3.663390", \ - "3.003212,3.304080,3.406647,3.505341,3.589293,3.595215,3.771795", \ - "2.976862,3.262787,3.371422,3.482605,3.516986,3.661790,3.704344", \ - "3.011777,3.285689,3.351208,3.426706,3.470310,3.616375,3.634174", \ - "3.138050,3.363121,3.456671,3.486648,3.521016,3.520749,3.569529", \ - "3.318394,3.607771,3.666410,3.675215,3.682155,3.666903,3.728001", \ - "3.630874,3.920057,4.032567,4.040160,3.996648,3.963452,4.032108"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.981615,4.284603,4.506917,4.767753,5.025062,5.167772,5.234606", \ - "3.948634,4.255088,4.484217,4.719325,5.000100,5.155119,5.205374", \ - "3.895898,4.195816,4.415111,4.692342,4.940355,5.090369,5.150664", \ - "3.919664,4.198426,4.405590,4.656358,4.917524,5.086687,5.144938", \ - "4.047739,4.301284,4.493455,4.718634,4.997211,5.171189,5.232976", \ - "4.215305,4.400106,4.570947,4.829761,5.146231,5.339203,5.410747", \ - "4.547606,4.638117,4.763740,4.970426,5.283857,5.553512,5.694976"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.034908,3.309840,3.428462,3.507779,3.621899,3.628323,3.663400", \ - "3.003218,3.304084,3.406651,3.505346,3.589300,3.595226,3.771799", \ - "2.976868,3.262792,3.371426,3.482609,3.516994,3.661794,3.704350", \ - "3.011783,3.285693,3.351213,3.426711,3.470317,3.616379,3.634180", \ - "3.138055,3.363126,3.456675,3.486653,3.521023,3.520760,3.569540", \ - "3.318399,3.607776,3.666415,3.675221,3.683586,3.714937,3.728012", \ - "3.630877,3.920061,4.032571,4.040165,3.996653,3.963459,4.032114"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.990848,4.294612,4.515871,4.776093,5.033321,5.175421,5.240857", \ - "3.957997,4.264370,4.493492,4.728480,5.008918,5.163373,5.210976", \ - "3.904830,4.205460,4.424809,4.701776,4.949528,5.099334,5.159210", \ - "3.927908,4.207415,4.414449,4.665760,4.926597,5.097053,5.153361", \ - "4.065402,4.310766,4.512678,4.727822,5.006486,5.181478,5.242711", \ - "4.221420,4.405311,4.573459,4.838569,5.155805,5.349281,5.420408", \ - "4.582402,4.659318,4.772962,4.976643,5.292255,5.559593,5.699278"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.026389,3.290319,3.400628,3.499711,3.614330,3.621291,3.660501", \ - "2.994821,3.283159,3.415263,3.497807,3.580667,3.587743,3.728162", \ - "2.975653,3.254487,3.361477,3.474621,3.540567,3.653831,3.702840", \ - "3.003222,3.272427,3.342081,3.418256,3.440861,3.577384,3.624164", \ - "3.105066,3.353588,3.447269,3.477287,3.511265,3.551961,3.560952", \ - "3.320103,3.598579,3.658114,3.656639,3.668593,3.708571,3.727199", \ - "3.613860,3.916483,4.024631,4.045319,3.991419,3.960386,4.013083"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.981627,4.284613,4.506926,4.767765,5.025069,5.167808,5.234610", \ - "3.948647,4.255099,4.484224,4.719348,5.000104,5.155124,5.205380", \ - "3.895911,4.195828,4.415121,4.692346,4.940360,5.090390,5.150669", \ - "3.919676,4.198438,4.405598,4.656370,4.917535,5.086698,5.144956", \ - "4.047750,4.301295,4.493464,4.718655,4.997220,5.171192,5.232983", \ - "4.215316,4.400112,4.570951,4.829768,5.146236,5.339220,5.410785", \ - "4.547615,4.638126,4.763749,4.970440,5.283862,5.553529,5.694997"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.034916,3.309851,3.428473,3.507798,3.621914,3.628362,3.663436", \ - "3.003231,3.304092,3.406664,3.505361,3.589322,3.595270,3.771805", \ - "2.976882,3.262803,3.371439,3.482617,3.517022,3.661805,3.704361", \ - "3.011796,3.285700,3.351230,3.426727,3.470345,3.616389,3.634196", \ - "3.138061,3.363139,3.456685,3.486671,3.521046,3.520803,3.569580", \ - "3.318410,3.607784,3.666431,3.675237,3.683605,3.666950,3.728048", \ - "3.630881,3.920071,4.032580,4.040177,3.996666,3.963480,4.032125"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.445851,4.660131,4.830585,5.008599,5.129435,5.188840,5.199476", \ - "4.427792,4.653253,4.819928,5.024791,5.122535,5.181402,5.196318", \ - "4.404810,4.630246,4.782749,4.988562,5.084266,5.144338,5.161736", \ - "4.412989,4.612758,4.766855,4.970366,5.047211,5.110628,5.128018", \ - "4.507939,4.682257,4.835115,5.024610,5.090786,5.155884,5.180260", \ - "4.666745,4.810748,4.934727,5.079419,5.155233,5.223267,5.252615", \ - "4.826353,4.972590,5.102053,5.273472,5.354275,5.383912,5.392455"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.446413,4.660763,4.831254,5.009781,5.130266,5.188752,5.204802", \ - "4.432970,4.653883,4.820604,5.025539,5.123425,5.182402,5.197406", \ - "4.405382,4.630866,4.783421,4.989324,5.085116,5.145307,5.162821", \ - "4.413544,4.613354,4.767490,4.971092,5.048028,5.111565,5.129078", \ - "4.508446,4.682801,4.835707,5.025271,5.091565,5.156785,5.181269", \ - "4.667160,4.811191,4.935218,5.079991,5.155895,5.224071,5.253569", \ - "4.826615,4.972893,5.102405,5.273894,5.354814,5.384602,5.393326"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.493688,4.707888,4.878295,5.056807,5.178265,5.236903,5.246851", \ - "4.478009,4.699342,4.866500,5.071660,5.169575,5.228862,5.238686", \ - "4.448730,4.676190,4.828594,5.034771,5.130987,5.190861,5.208274", \ - "4.458716,4.658296,4.813756,5.017234,5.094264,5.157964,5.178148", \ - "4.552801,4.725726,4.877519,5.070565,5.137599,5.203346,5.226680", \ - "4.708845,4.867692,4.979563,5.143724,5.198700,5.267953,5.295278", \ - "4.870259,5.015713,5.142038,5.312800,5.394698,5.405699,5.434667"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.446291,4.660630,4.831119,5.009615,5.130084,5.189523,5.200331", \ - "4.432850,4.653750,4.820457,5.025374,5.123185,5.182141,5.197142", \ - "4.405262,4.630733,4.783266,4.989124,5.084916,5.145081,5.162525", \ - "4.413423,4.613225,4.767347,4.970905,5.047840,5.111352,5.128794", \ - "4.508331,4.682679,4.835564,5.025109,5.091352,5.156539,5.181026", \ - "4.667056,4.811094,4.935095,5.079832,5.155747,5.223891,5.253317", \ - "4.826554,4.972818,5.102304,5.273780,5.354668,5.384443,5.393086"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.211094,4.538028,4.796023,5.091709,5.425093,5.725209,6.090638", \ - "4.181749,4.520844,4.763564,5.067439,5.383126,5.706311,6.052283", \ - "4.128274,4.454267,4.698410,5.011404,5.341532,5.647439,6.008694", \ - "4.148495,4.457399,4.690689,4.999990,5.326218,5.641171,6.000900", \ - "4.305508,4.596118,4.797435,5.091011,5.415198,5.717689,6.111116", \ - "4.474480,4.688538,4.878422,5.187418,5.585545,5.916063,6.322122", \ - "4.846158,4.965356,5.090573,5.350679,5.724616,6.143624,6.581214"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.929575,6.271777,6.426884,6.545138,6.540491,6.696323,6.768281", \ - "5.921872,6.265187,6.388890,6.543101,6.641395,6.663598,6.732541", \ - "5.901341,6.245425,6.386613,6.505240,6.568609,6.723663,6.797376", \ - "5.910319,6.253763,6.373733,6.491317,6.629645,6.647881,6.721916", \ - "5.893817,6.236163,6.389085,6.498441,6.611829,6.633985,6.702501", \ - "5.872634,6.209920,6.369739,6.493683,6.598254,6.622191,6.686312", \ - "5.901562,6.242579,6.369026,6.445709,6.501056,6.655777,6.731633"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.211097,4.538029,4.796026,5.091706,5.425090,5.725203,6.090634", \ - "4.181752,4.520847,4.763566,5.067438,5.383118,5.706312,6.052268", \ - "4.128277,4.454270,4.698412,5.011405,5.341532,5.647441,6.008695", \ - "4.148498,4.457402,4.690691,4.999992,5.326216,5.641171,6.000890", \ - "4.305527,4.596127,4.797438,5.091012,5.415196,5.717676,6.111113", \ - "4.474484,4.688542,4.878425,5.187420,5.585547,5.916053,6.322123", \ - "4.846163,4.965360,5.090573,5.350677,5.724616,6.143625,6.581201"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.929571,6.271772,6.426880,6.545132,6.540465,6.696309,6.768265", \ - "5.921868,6.265181,6.388879,6.543096,6.641388,6.663578,6.732518", \ - "5.901335,6.245418,6.386604,6.505208,6.568588,6.723655,6.797422", \ - "5.910315,6.253758,6.373723,6.491306,6.629639,6.647863,6.721897", \ - "5.893805,6.236157,6.389080,6.498433,6.611822,6.633964,6.702480", \ - "5.872629,6.209913,6.369733,6.493677,6.598248,6.622173,6.686292", \ - "5.901559,6.242575,6.369018,6.445693,6.501031,6.655763,6.731619"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.211085,4.538020,4.796013,5.091704,5.425088,5.725212,6.090638", \ - "4.181740,4.520834,4.763555,5.067432,5.383129,5.706305,6.052381", \ - "4.128266,4.454259,4.698402,5.011395,5.341524,5.647432,6.008686", \ - "4.148488,4.457390,4.690680,4.999981,5.326213,5.641165,6.000905", \ - "4.305499,4.596112,4.797427,5.091002,5.415193,5.717697,6.111115", \ - "4.474469,4.688529,4.878415,5.187411,5.585537,5.916068,6.322115", \ - "4.846155,4.965348,5.090569,5.350676,5.724612,6.143617,6.581224"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.929545,6.271741,6.426846,6.545103,6.540482,6.696310,6.768273", \ - "5.921843,6.265152,6.388858,6.543066,6.641368,6.663591,6.732534", \ - "5.901314,6.245393,6.386579,6.505189,6.568591,6.723642,6.797411", \ - "5.910289,6.253727,6.373701,6.491288,6.629618,6.647872,6.721910", \ - "5.893778,6.236126,6.389047,6.498408,6.611801,6.633976,6.702498", \ - "5.872604,6.209886,6.369702,6.493647,6.598224,6.622182,6.686306", \ - "5.901532,6.242543,6.368992,6.445686,6.501047,6.655764,6.731621"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.211357,4.538335,4.796348,5.092407,5.425679,5.724254,6.090861", \ - "4.182019,4.521161,4.763921,5.067735,5.383672,5.707077,6.053796", \ - "4.128589,4.454627,4.698806,5.011874,5.342123,5.648269,6.010125", \ - "4.148917,4.458325,4.691199,5.000317,5.326938,5.642145,6.002568", \ - "4.306127,4.596769,4.798166,5.091760,5.417160,5.719278,6.113390", \ - "4.476512,4.689476,4.879432,5.188624,5.586776,5.917624,6.324502", \ - "4.850623,4.966615,5.091514,5.350750,5.726215,6.145578,6.584004"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.925399,6.268252,6.423257,6.541048,6.537298,6.690939,6.764810", \ - "5.917586,6.261075,6.420323,6.538984,6.637547,6.655913,6.726669", \ - "5.924210,6.267898,6.382305,6.501042,6.564648,6.717169,6.788056", \ - "5.903138,6.249901,6.404457,6.486059,6.625499,6.644651,6.715341", \ - "5.891752,6.241243,6.382915,6.497129,6.607476,6.624363,6.693326", \ - "5.867294,6.211086,6.365232,6.483238,6.592721,6.616359,6.676171", \ - "5.895585,6.236305,6.361888,6.510175,6.501348,6.649914,6.724140"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.430870,4.595245,4.685479,4.801567,5.091198,6.389664,6.703556", \ - "4.414057,4.574681,4.672251,4.815296,5.083829,6.381959,6.694891", \ - "4.385890,4.550107,4.633815,4.776935,5.040259,6.339978,6.658590", \ - "4.396257,4.536738,4.625080,4.770981,5.007474,6.309881,6.625417", \ - "4.502230,4.612878,4.693930,4.775942,5.077667,6.376312,6.677835", \ - "4.664031,4.761211,4.818458,4.883997,5.142757,6.445460,6.752611", \ - "4.830455,4.914759,4.962064,5.067513,5.317061,6.551403,6.893035"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.430718,4.595083,4.685296,4.801324,5.090961,6.389507,6.704181", \ - "4.413904,4.574514,4.672071,4.815200,5.083603,6.381690,6.694582", \ - "4.385738,4.549942,4.633638,4.776742,5.040028,6.339704,6.658299", \ - "4.396108,4.536576,4.624907,4.770794,5.007248,6.309612,6.625128", \ - "4.502091,4.612728,4.693771,4.825040,5.077281,6.375757,6.677573", \ - "4.663917,4.761085,4.818326,4.883852,5.142565,6.445222,6.752347", \ - "4.830378,4.914672,4.961970,5.067398,5.316911,6.551117,6.892797"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.431243,4.595650,4.685935,4.802018,5.091701,6.390150,6.703358", \ - "4.414429,4.575079,4.672671,4.815752,5.084330,6.382491,6.695389", \ - "4.386253,4.550501,4.634222,4.777372,5.040755,6.340511,6.659053", \ - "4.396600,4.537084,4.625465,4.771399,5.007945,6.310391,6.625859", \ - "4.502531,4.613201,4.694268,4.825589,5.077894,6.376450,6.678287", \ - "4.664252,4.761458,4.818716,4.884284,5.143116,6.445859,6.753609", \ - "4.830575,4.914897,4.962214,5.067702,5.317305,6.551628,6.893303"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.431152,4.596040,4.688218,4.802191,5.091883,6.391241,6.706546", \ - "4.412027,4.575053,4.672710,4.815912,5.084523,6.383596,6.698394", \ - "4.386158,4.550558,4.634607,4.777602,5.041031,6.341648,6.662088", \ - "4.396684,4.537241,4.625776,4.771787,5.008520,6.311700,6.628988", \ - "4.502770,4.613490,4.694688,4.826112,5.078624,6.378001,6.681529", \ - "4.664699,4.761919,4.819569,4.885079,5.144131,6.447691,6.756541", \ - "4.831265,4.915640,4.963067,5.068718,5.318692,6.553881,6.896840"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.211341,4.538318,4.796336,5.092387,5.425666,5.724231,6.090770", \ - "4.182002,4.521148,4.763908,5.067720,5.383648,5.707072,6.053678", \ - "4.128572,4.454612,4.698792,5.011863,5.342114,5.648265,6.010173", \ - "4.148900,4.458310,4.691186,5.000307,5.326925,5.642139,6.002545", \ - "4.306114,4.596758,4.798153,5.091749,5.417148,5.719251,6.113378", \ - "4.476500,4.689467,4.879421,5.188613,5.586769,5.917602,6.324499", \ - "4.850612,4.966607,5.091511,5.350735,5.726203,6.145574,6.583976"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.925384,6.268238,6.423248,6.541039,6.537260,6.690918,6.764786", \ - "5.917569,6.261060,6.420314,6.538975,6.637537,6.655885,6.726638", \ - "5.924198,6.267887,6.382287,6.501025,6.564619,6.717160,6.788045", \ - "5.903122,6.249974,6.403872,6.486039,6.625490,6.644624,6.715312", \ - "5.891738,6.241230,6.382904,6.497119,6.607467,6.624334,6.693295", \ - "5.867277,6.211070,6.365220,6.483227,6.592713,6.616330,6.676142", \ - "5.895570,6.236292,6.361871,6.510168,6.501310,6.649891,6.723034"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.211348,4.538325,4.796341,5.092395,5.425670,5.724242,6.090778", \ - "4.182010,4.521154,4.763913,5.067726,5.383655,5.707075,6.053686", \ - "4.128580,4.454619,4.698798,5.011867,5.342117,5.648267,6.010123", \ - "4.148908,4.458341,4.691191,5.000311,5.326930,5.642141,6.002551", \ - "4.306119,4.596764,4.798159,5.091754,5.417152,5.719258,6.113382", \ - "4.476506,4.689472,4.879426,5.188618,5.586772,5.917608,6.324501", \ - "4.850620,4.966611,5.091516,5.350740,5.726207,6.145576,6.583983"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.925391,6.268244,6.423252,6.541043,6.537269,6.690925,6.764794", \ - "5.917576,6.261066,6.420318,6.538979,6.637541,6.655893,6.726647", \ - "5.924204,6.267892,6.382293,6.501030,6.564627,6.717164,6.788050", \ - "5.903129,6.249892,6.404452,6.486045,6.625494,6.644631,6.715321", \ - "5.891745,6.241235,6.382908,6.497124,6.607471,6.624342,6.693304", \ - "5.867284,6.211076,6.365224,6.483231,6.592716,6.616338,6.676150", \ - "5.895577,6.236298,6.361877,6.510171,6.501320,6.649898,6.723042"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.211085,4.538018,4.796013,5.091698,5.425082,5.725202,6.090627", \ - "4.181740,4.520834,4.763554,5.067428,5.383115,5.706305,6.052359", \ - "4.128265,4.454258,4.698400,5.011394,5.341522,5.647433,6.008687", \ - "4.148487,4.457390,4.690680,4.999981,5.326208,5.641164,6.000891", \ - "4.305516,4.596115,4.797427,5.091001,5.415189,5.717679,6.111109", \ - "4.474470,4.688531,4.878415,5.187411,5.585537,5.916054,6.322115", \ - "4.846155,4.965351,5.090567,5.350671,5.724609,6.143617,6.581205"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.929546,6.271742,6.426849,6.545104,6.540458,6.696299,6.768259", \ - "5.921843,6.265152,6.388852,6.543067,6.641367,6.663573,6.732515", \ - "5.901312,6.245391,6.386576,6.505183,6.568576,6.723640,6.797409", \ - "5.910437,6.253728,6.372583,6.491282,6.629617,6.647856,6.721893", \ - "5.893779,6.236127,6.389049,6.498406,6.611800,6.633958,6.702478", \ - "5.872604,6.209884,6.369702,6.493648,6.598225,6.622165,6.686288", \ - "5.901534,6.242545,6.368989,6.445673,6.501023,6.655753,6.731611"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.211363,4.538340,4.796352,5.092412,5.425683,5.724263,6.090794", \ - "4.182025,4.521166,4.763925,5.067740,5.383679,5.707079,6.053718", \ - "4.128595,4.454632,4.698810,5.011878,5.342126,5.648271,6.010177", \ - "4.148923,4.458329,4.691203,5.000321,5.326942,5.642146,6.002574", \ - "4.306130,4.596773,4.798171,5.091763,5.417164,5.719286,6.113393", \ - "4.476516,4.689480,4.879436,5.188628,5.586779,5.917630,6.324504", \ - "4.850626,4.966617,5.091529,5.350755,5.726218,6.145580,6.584011"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.925404,6.268256,6.423261,6.541052,6.537309,6.690945,6.764818", \ - "5.917591,6.261080,6.420327,6.538988,6.637550,6.655922,6.726678", \ - "5.924214,6.267901,6.382311,6.501048,6.564656,6.717172,6.788061", \ - "5.903143,6.249905,6.404461,6.486065,6.625502,6.644659,6.715350", \ - "5.891758,6.241247,6.382919,6.497136,6.607479,6.624372,6.693335", \ - "5.867299,6.211091,6.365236,6.483243,6.592724,6.616367,6.676179", \ - "5.895589,6.236309,6.361894,6.510178,6.501359,6.649921,6.723064"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.431293,4.596232,4.688575,4.802363,5.092044,6.391526,6.705507", \ - "4.414495,4.575204,4.672871,4.816196,5.084728,6.383807,6.698670", \ - "4.386291,4.550699,4.634772,4.777809,5.041215,6.341854,6.662393", \ - "4.396813,4.537380,4.625934,4.771968,5.008690,6.311959,6.629264", \ - "4.502874,4.613609,4.694828,4.826270,5.078813,6.378216,6.681764", \ - "4.664794,4.762012,4.819672,4.885231,5.144259,6.447854,6.756791", \ - "4.831314,4.915702,4.963153,5.068820,5.318808,6.553941,6.897071"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.431864,4.596854,4.689214,4.803130,5.092903,6.392412,6.706712", \ - "4.415060,4.575818,4.673535,4.816929,5.085599,6.384821,6.699765", \ - "4.386856,4.551305,4.635432,4.778559,5.042049,6.342807,6.663485", \ - "4.397353,4.537965,4.626562,4.772685,5.009491,6.312882,6.630332", \ - "4.503373,4.614146,4.695412,4.826916,5.079578,6.379104,6.682785", \ - "4.665203,4.762449,4.820157,4.885791,5.144920,6.448650,6.757735", \ - "4.831576,4.916005,4.963501,5.069237,5.319340,6.554710,6.897931"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.430730,4.595101,4.685345,4.801408,5.090971,6.389482,6.702602", \ - "4.412163,4.574529,4.672087,4.815111,5.083604,6.381699,6.694483", \ - "4.385752,4.549957,4.633654,4.776744,5.040042,6.339695,6.658178", \ - "4.396121,4.536590,4.624920,4.770786,5.007259,6.309599,6.625000", \ - "4.502100,4.612736,4.693774,4.825042,5.077266,6.375713,6.677443", \ - "4.663912,4.761083,4.818314,4.883828,5.142553,6.445177,6.752179", \ - "4.830360,4.914650,4.961938,5.067363,5.316866,6.551043,6.892602"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.431753,4.596676,4.688804,4.803002,5.092737,6.392208,6.708097", \ - "4.414952,4.575696,4.673395,4.816775,5.085405,6.384578,6.699453", \ - "4.386740,4.551177,4.635292,4.778368,5.041875,6.342606,6.663142", \ - "4.397243,4.537827,4.626429,4.772501,5.009327,6.312626,6.630003", \ - "4.503264,4.614028,4.695275,4.826761,5.079388,6.378877,6.682501", \ - "4.665104,4.762354,4.820035,4.885637,5.144783,6.448480,6.757899", \ - "4.831516,4.915932,4.963403,5.069127,5.319212,6.554471,6.897693"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFR_X1 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and drive strength X1 - *******************************************************************************************/ - - cell (SDFFR_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - clear : "!RN"; - } - - area : 6.650000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 68.510058; - - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & !Q & QN"; - value : 58.814880; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & !Q & QN"; - value : 65.847369; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & !Q & QN"; - value : 56.230699; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & !Q & QN"; - value : 66.797141; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & !Q & QN"; - value : 66.764148; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & Q & !QN"; - value : 72.040989; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & !Q & QN"; - value : 73.796732; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & Q & !QN"; - value : 79.073573; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & !Q & QN"; - value : 64.180062; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & Q & !QN"; - value : 69.456808; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & !Q & QN"; - value : 70.514329; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & Q & !QN"; - value : 69.798295; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & !Q & QN"; - value : 70.048639; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & !Q & QN"; - value : 71.095397; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & !Q & QN"; - value : 65.548755; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & !Q & QN"; - value : 68.160343; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & !Q & QN"; - value : 73.765828; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & Q & !QN"; - value : 73.049794; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & !Q & QN"; - value : 74.812585; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & Q & !QN"; - value : 74.096552; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & !Q & QN"; - value : 73.498023; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & Q & !QN"; - value : 78.774864; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & !Q & QN"; - value : 71.877627; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & Q & !QN"; - value : 71.161498; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & !Q & QN"; - value : 58.848766; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & !Q & QN"; - value : 65.880116; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & !Q & QN"; - value : 56.264016; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & !Q & QN"; - value : 57.771267; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & !Q & QN"; - value : 64.668333; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & Q & !QN"; - value : 74.713330; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & !Q & QN"; - value : 71.699682; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & Q & !QN"; - value : 81.744298; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & !Q & QN"; - value : 62.083678; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & Q & !QN"; - value : 72.128484; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & !Q & QN"; - value : 63.591584; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & Q & !QN"; - value : 67.657290; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & !Q & QN"; - value : 61.023136; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & !Q & QN"; - value : 62.070188; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & !Q & QN"; - value : 65.579887; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & !Q & QN"; - value : 59.135125; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & !Q & QN"; - value : 66.843453; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & Q & !QN"; - value : 70.909064; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & !Q & QN"; - value : 67.890505; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & Q & !QN"; - value : 71.956021; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & !Q & QN"; - value : 71.399549; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & Q & !QN"; - value : 81.443690; - } - leakage_power () { - when : "CK & D & RN & SE & SI & !Q & QN"; - value : 64.955442; - } - leakage_power () { - when : "CK & D & RN & SE & SI & Q & !QN"; - value : 69.020958; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.129074; - fall_capacitance : 1.058864; - rise_capacitance : 1.129074; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & !SE"; - sdf_cond : "RN_AND_NEG_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.069272,-0.015884,0.041444", \ - "-0.108294,-0.053453,0.008402", \ - "0.160420,0.219045,0.285763"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.022244,0.017766,0.050639", \ - "-0.025191,0.012744,0.026150", \ - "0.117204,0.157860,0.149554"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & !SE"; - sdf_cond : "RN_AND_NEG_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.241841,0.207493,0.216506", \ - "0.299627,0.264806,0.274291", \ - "0.455501,0.419808,0.426914"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.227781,0.169219,0.101639", \ - "0.272272,0.214094,0.146698", \ - "0.340339,0.281719,0.215027"); - } - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.824945,3.807329,3.782765,3.770254,3.777692,3.828232,3.945298"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.108723,3.085961,3.057613,3.034545,3.033965,3.087132,3.199651"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.818093,3.799009,3.773473,3.760776,3.768773,3.818866,3.936192"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.091479,3.069574,3.039677,3.016655,3.014012,3.072605,3.187510"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.547233,0.524011,0.515337,0.511751,0.509016,0.508702,0.508802"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446963,-0.449054,-0.453123,-0.456713,-0.458945,-0.460560,-0.461297"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480332,0.483272,0.484255,0.484817,0.485109,0.486409,0.485258"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.329012,-0.361273,-0.400353,-0.419990,-0.430389,-0.436660,-0.441384"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.009398,4.991286,4.966100,4.952681,4.959929,5.005999,5.138234"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.427692,3.405256,3.376965,3.354056,3.353533,3.397680,3.516564"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.976672,4.957506,4.935067,4.922202,4.927257,4.974181,5.106582"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.428024,3.408090,3.380389,3.356975,3.357771,3.401723,3.518445"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.001498,4.983182,4.957882,4.944290,4.951238,4.996433,5.126660"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.430134,3.407734,3.379466,3.356494,3.355652,3.400803,3.517955"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.967900,4.948667,4.926031,4.911778,4.917905,4.964770,5.096330"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.427952,3.407846,3.379928,3.357057,3.356789,3.401872,3.518711"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543367,0.523078,0.514401,0.510393,0.508023,0.507794,0.507870"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448656,-0.451775,-0.455843,-0.459427,-0.461652,-0.463248,-0.463964"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.547248,0.524076,0.515396,0.511802,0.509011,0.508794,0.508827"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446250,-0.448989,-0.453263,-0.456937,-0.459142,-0.460849,-0.461621"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479975,0.482915,0.483899,0.484464,0.484761,0.486069,0.484926"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330145,-0.362401,-0.401480,-0.421112,-0.431505,-0.437765,-0.442510"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480324,0.483261,0.484245,0.484808,0.485108,0.486388,0.485258"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.329196,-0.361458,-0.400537,-0.420170,-0.430564,-0.436828,-0.441577"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.434809,2.415500,2.390273,2.379658,2.388952,2.455312,2.564685"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.150167,1.126631,1.100886,1.083677,1.088214,1.137183,1.255098"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.425266,2.406721,2.381404,2.370126,2.379618,2.446354,2.554487"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.150840,1.126520,1.100815,1.083649,1.087110,1.138978,1.257255"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543220,0.522906,0.513870,0.510656,0.507959,0.507667,0.507818"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449306,-0.452082,-0.456379,-0.460036,-0.462258,-0.463943,-0.464718"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479445,0.482696,0.483267,0.484154,0.484518,0.485714,0.484666"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330729,-0.362987,-0.402082,-0.421703,-0.432061,-0.438320,-0.443030"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.433734,2.414755,2.389721,2.377900,2.388352,2.454749,2.564159"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.192388,1.170266,1.143847,1.125796,1.129444,1.179340,1.299392"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.394537,2.377013,2.353350,2.340042,2.352129,2.417989,2.525098"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.185963,1.164920,1.135640,1.117789,1.123974,1.171364,1.287955"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.425744,2.406833,2.381559,2.369540,2.379695,2.446235,2.554570"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.194905,1.172353,1.146328,1.127949,1.131990,1.182772,1.300615"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.385893,2.368188,2.344428,2.331006,2.342795,2.408266,2.515222"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.186282,1.165241,1.136386,1.117772,1.122938,1.172218,1.287241"); - } - - } - - internal_power () { - - when : "CK & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543203,0.522857,0.513797,0.510607,0.507888,0.507589,0.507720"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.450223,-0.452316,-0.456395,-0.459982,-0.462211,-0.463812,-0.464534"); - } - - } - - internal_power () { - - when : "CK & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.546557,0.523325,0.514641,0.510584,0.508229,0.508070,0.508125"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449512,-0.451206,-0.455483,-0.459152,-0.461352,-0.463044,-0.463800"); - } - - } - - internal_power () { - - when : "CK & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479768,0.482670,0.483339,0.484227,0.484586,0.485805,0.484743"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330593,-0.362931,-0.401999,-0.421621,-0.432011,-0.438269,-0.442978"); - } - - } - - internal_power () { - - when : "CK & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480476,0.483387,0.484374,0.484933,0.485261,0.486494,0.485401"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.328692,-0.361007,-0.400072,-0.419697,-0.430090,-0.436358,-0.441078"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.490408; - fall_capacitance : 1.456233; - rise_capacitance : 1.490408; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.101682,-0.155655,-0.223225", \ - "-0.061039,-0.115783,-0.183141", \ - "-0.021331,-0.077404,-0.145575"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.277565,0.329630,0.392533", \ - "0.415798,0.467524,0.531410", \ - "0.900306,0.952349,1.015251"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.292635,0.391759,0.746220"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.516390,0.501421,0.490789,0.489440,0.487359,0.487282,0.486075"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449117,-0.452316,-0.447618,-0.457576,-0.459702,-0.460577,-0.459620"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.521478,0.501269,0.490816,0.489357,0.487258,0.487112,0.485710"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.452557,-0.455460,-0.451116,-0.457025,-0.460839,-0.463067,-0.463186"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.516358,0.501377,0.490753,0.489404,0.487355,0.487237,0.486073"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449137,-0.452367,-0.447669,-0.457626,-0.459752,-0.460628,-0.459671"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.742166,1.722361,1.702738,1.696297,1.709043,1.765096,1.826594"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.106585,-0.108257,-0.112023,-0.116382,-0.116858,-0.109592,-0.082693"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.742210,1.722422,1.702789,1.696348,1.709048,1.765161,1.830939"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.106549,-0.108176,-0.111943,-0.116301,-0.116778,-0.109513,-0.082614"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.742201,1.722410,1.702779,1.696338,1.709047,1.765149,1.830939"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.106554,-0.108191,-0.111957,-0.116315,-0.116792,-0.109528,-0.082629"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.516392,0.501425,0.490793,0.489444,0.487358,0.487288,0.486075"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449114,-0.452309,-0.447611,-0.457568,-0.459694,-0.460570,-0.459613"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.742154,1.722345,1.702725,1.696283,1.709041,1.765079,1.826593"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.106593,-0.108276,-0.112043,-0.116401,-0.116877,-0.109612,-0.082713"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.476841,0.472781,0.469458,0.469273,0.469335,0.469637,0.468372"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446327,-0.447471,-0.450022,-0.451291,-0.452119,-0.452507,-0.451685"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.476625,0.472578,0.469220,0.469071,0.469113,0.469461,0.468171"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447264,-0.448383,-0.450932,-0.452198,-0.453022,-0.453401,-0.452568"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.475759,0.469163,0.468384,0.468160,0.468178,0.468525,0.467324"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449799,-0.450014,-0.452575,-0.453621,-0.454001,-0.455098,-0.454413"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.475308,0.471229,0.467986,0.467749,0.467884,0.468133,0.466970"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.450377,-0.451583,-0.454133,-0.455397,-0.456220,-0.456594,-0.455753"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.475864,0.469404,0.468587,0.468397,0.468388,0.468774,0.467540"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.450980,-0.449711,-0.452275,-0.453317,-0.453691,-0.454783,-0.454090"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.475995,0.471929,0.468634,0.468433,0.468522,0.468808,0.467580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448506,-0.449676,-0.452227,-0.453493,-0.454317,-0.454698,-0.453866"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.476662,0.472607,0.469278,0.469106,0.469171,0.469494,0.468236"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447243,-0.448381,-0.450930,-0.452195,-0.453018,-0.453396,-0.452560"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.475197,0.471213,0.467985,0.467734,0.467881,0.468111,0.466969"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.452834,-0.451677,-0.454170,-0.455422,-0.456248,-0.456621,-0.455779"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.953935; - fall_capacitance : 1.812476; - rise_capacitance : 1.953935; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.047563,-0.008139,0.018773", \ - "-0.106836,-0.066097,-0.035162", \ - "0.166366,0.201623,0.195011"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.060081,-0.011245,0.056206", \ - "-0.084541,-0.029384,0.035480", \ - "0.031296,0.093957,0.161309"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.272288,0.214488,0.179040", \ - "0.336843,0.278406,0.232147", \ - "0.469471,0.411442,0.386927"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.268651,0.233543,0.241615", \ - "0.304820,0.268953,0.277732", \ - "0.334393,0.299142,0.305784"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.820333,1.782348,1.770761,1.818362,1.915776,2.078785,2.313732"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.029347,-0.052974,-0.075936,-0.058153,0.028245,0.178207,0.409577"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.501699,4.431415,4.387079,4.459845,4.640187,4.949905,5.392413"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.627888,2.604817,2.557997,2.567831,2.697723,2.984659,3.421868"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.819874,1.781416,1.769765,1.816708,1.914870,2.077877,2.313071"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.035485,-0.055710,-0.078674,-0.056417,0.024053,0.175477,0.407065"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.820990,1.782562,1.770326,1.818640,1.915994,2.079104,2.314328"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.028231,-0.046116,-0.069434,-0.054435,0.031944,0.180557,0.409400"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.683968,5.611026,5.572348,5.638040,5.821588,6.126507,6.597688"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.984844,2.962658,2.914899,2.926111,3.053376,3.328437,3.769815"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.653877,5.579194,5.536648,5.620292,5.790761,6.096061,6.565545"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.963185,2.941223,2.893884,2.905617,3.033434,3.309716,3.751650"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.717572,4.682509,4.694745,4.782245,4.930796,5.142696,5.450759"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.205572,3.204341,3.152227,3.132487,3.182163,3.319071,3.550332"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.687222,1.650003,1.640707,1.690501,1.791724,1.954318,2.187825"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.034416,0.016838,-0.003153,0.014110,0.093368,0.243699,0.475223"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.076496,5.041634,5.054006,5.140754,5.288934,5.502535,5.796005"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.387153,4.381702,4.331654,4.313789,4.367755,4.497670,4.756673"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.111367,5.076407,5.088669,5.176781,5.320643,5.537054,5.831121"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.411853,4.406556,4.356016,4.334061,4.385265,4.518567,4.775771"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.686888,1.649679,1.640370,1.689751,1.791333,1.954992,2.187506"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.033272,0.015711,-0.004303,0.012977,0.092226,0.242557,0.474127"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.687644,1.650035,1.640718,1.690492,1.791729,1.954293,2.187829"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.034251,0.016651,-0.003347,0.013912,0.093185,0.243514,0.475036"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.819245,1.781421,1.770555,1.817958,1.915872,2.078883,2.313262"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.025976,-0.049086,-0.069763,-0.054682,0.031535,0.180250,0.408201"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.101665,3.032621,2.992118,3.067734,3.251423,3.581006,4.017132"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.680799,0.658634,0.616790,0.636205,0.770140,1.051093,1.491033"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.820347,1.780261,1.769002,1.817094,1.914633,2.077440,2.312928"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.036387,-0.056318,-0.079064,-0.061380,0.019903,0.174827,0.403679"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.818544,1.781611,1.770223,1.816834,1.915120,2.078216,2.312544"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.028667,-0.051300,-0.074964,-0.056891,0.024314,0.178194,0.406052"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.101480,3.032024,2.992164,3.067790,3.251393,3.580965,4.017183"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.750537,0.726696,0.684130,0.702665,0.835374,1.112116,1.553600"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.061356,2.993177,2.951601,3.027973,3.219544,3.540841,3.984170"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.718674,0.696841,0.654204,0.671305,0.803410,1.080461,1.518901"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.846289,2.811225,2.822904,2.910835,3.059629,3.273129,3.564001"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.732284,1.738704,1.715897,1.715556,1.780622,1.931344,2.155598"); - } - - } - - internal_power () { - - when : "CK & D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.689929,1.653056,1.643820,1.693667,1.795658,1.956707,2.189506"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.102424,-0.120310,-0.140211,-0.124163,-0.046000,0.102046,0.330651"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.837874,2.803493,2.814754,2.903921,3.050890,3.268506,3.561655"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.814902,1.812051,1.759972,1.738093,1.792029,1.933981,2.157172"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.875507,2.838708,2.852832,2.940659,3.087201,3.302001,3.595391"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.795241,1.791572,1.738743,1.717565,1.769568,1.913471,2.139424"); - } - - } - - internal_power () { - - when : "CK & D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.686793,1.649291,1.640082,1.689931,1.791217,1.955294,2.186846"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032886,0.015209,-0.004806,0.012483,0.090709,0.242124,0.473638"); - } - - } - - internal_power () { - - when : "CK & D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.687564,1.649770,1.641093,1.690631,1.791847,1.954862,2.185742"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.034782,0.017133,-0.002881,0.014413,0.092634,0.243880,0.475494"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.858209; - fall_capacitance : 0.819206; - rise_capacitance : 0.858209; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SE"; - sdf_cond : "RN_AND_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.081176,-0.027902,0.029178", \ - "-0.112409,-0.056060,0.006962", \ - "0.139713,0.197631,0.264709"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.023400,0.016729,0.049121", \ - "-0.026780,0.011306,0.025507", \ - "0.135319,0.178310,0.170107"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SE"; - sdf_cond : "RN_AND_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.276579,0.241449,0.249339", \ - "0.334403,0.298799,0.306975", \ - "0.498918,0.462304,0.468654"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.232649,0.174442,0.106838", \ - "0.282056,0.223505,0.156661", \ - "0.361040,0.303127,0.236074"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412729,0.398242,0.390875,0.387700,0.385410,0.385068,0.384106"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.341321,-0.343444,-0.346630,-0.348296,-0.349258,-0.349915,-0.350133"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.794761,3.783089,3.765091,3.753189,3.759518,3.789659,3.874799"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.764081,2.747607,2.728608,2.711394,2.706090,2.739290,2.814701"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.414093,0.397309,0.389938,0.386314,0.384414,0.384161,0.383174"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344065,-0.346171,-0.349349,-0.351010,-0.351965,-0.352604,-0.352799"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412926,0.398425,0.390549,0.387876,0.385520,0.385275,0.384221"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.341333,-0.343310,-0.346511,-0.348179,-0.349191,-0.349870,-0.350256"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.972876,4.961371,4.943377,4.933609,4.940866,4.968870,5.051610"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.083480,3.066310,3.048131,3.030988,3.025790,3.053102,3.131323"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.942998,4.931369,4.913232,4.902072,4.905969,4.936555,5.020017"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.086135,3.069776,3.051031,3.034338,3.027047,3.056371,3.133023"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357493,0.360923,0.362033,0.361778,0.361322,0.361620,0.361508"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249333,-0.272344,-0.301705,-0.316216,-0.323813,-0.328468,-0.331655"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.783862,3.772155,3.754003,3.741815,3.746980,3.778560,3.861751"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.766661,2.750178,2.731190,2.712877,2.708713,2.741685,2.815490"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357133,0.360566,0.361679,0.360969,0.360928,0.361280,0.361177"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.250467,-0.273478,-0.302836,-0.317343,-0.324935,-0.329578,-0.332749"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357483,0.360912,0.362025,0.361770,0.361321,0.361609,0.361508"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249517,-0.272535,-0.301893,-0.316402,-0.323994,-0.328641,-0.331856"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.961274,4.949746,4.931587,4.921625,4.925392,4.955868,5.037778"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.086056,3.068795,3.050504,3.033568,3.028325,3.055178,3.133916"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.930991,4.919314,4.901027,4.889596,4.892662,4.923162,5.005421"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.100654,3.084004,3.065550,3.047983,3.044652,3.069739,3.145186"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412797,0.398249,0.390476,0.387709,0.385433,0.385078,0.384124"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.341570,-0.343625,-0.346827,-0.348496,-0.349507,-0.350183,-0.350564"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.399776,2.389435,2.370243,2.357974,2.367983,2.417485,2.496031"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.852788,0.834304,0.818984,0.804501,0.803785,0.836825,0.919861"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.411585,0.397088,0.389306,0.386555,0.384285,0.383958,0.383027"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344590,-0.346724,-0.349905,-0.351569,-0.352528,-0.353172,-0.353373"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.415408,0.397554,0.390174,0.386513,0.384620,0.384438,0.383409"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.343852,-0.345835,-0.349034,-0.350700,-0.351707,-0.352375,-0.352745"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.398617,2.388287,2.369091,2.356855,2.366886,2.416194,2.494314"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.852795,0.834312,0.819006,0.804525,0.803218,0.836791,0.916695"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.363284,2.350908,2.332482,2.321750,2.329918,2.378124,2.451956"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.845648,0.828911,0.811640,0.799386,0.797179,0.829390,0.907242"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357574,0.360949,0.361726,0.361837,0.361462,0.361651,0.361651"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249054,-0.271014,-0.301502,-0.316075,-0.323614,-0.328043,-0.331203"); - } - - } - - internal_power () { - - when : "CK & D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.387398,2.376772,2.357679,2.345021,2.354671,2.403559,2.480847"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.808325,0.791645,0.774330,0.762761,0.761453,0.795542,0.873517"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356906,0.360321,0.361063,0.361188,0.360799,0.361014,0.360993"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.250927,-0.273990,-0.303356,-0.317846,-0.325435,-0.330078,-0.333249"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357615,0.361041,0.362153,0.361895,0.361474,0.361714,0.361651"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249025,-0.272065,-0.301436,-0.315922,-0.323514,-0.328167,-0.331349"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.387308,2.376932,2.357591,2.345081,2.354714,2.403617,2.481545"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.855408,0.836866,0.821775,0.807111,0.805659,0.839295,0.919050"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.351231,2.338767,2.319834,2.309593,2.317676,2.364707,2.437894"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.858102,0.841554,0.824204,0.811913,0.809699,0.841632,0.918585"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.987973; - fall_capacitance : 0.898768; - rise_capacitance : 0.987973; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.257223,0.267005,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.227305,0.231627,0.500500"); - } - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.265169,3.240118,3.210673,3.228231,3.305772,3.463667,3.678391"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.245930,2.233731,2.201436,2.196148,2.247515,2.372931,2.580153"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.256820,3.231004,3.201696,3.219368,3.295986,3.446706,3.665139"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.411128,2.398911,2.365822,2.356116,2.411710,2.531643,2.744034"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.265061,3.239998,3.210588,3.228127,3.305864,3.463575,3.678309"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.245920,2.233697,2.201408,2.196112,2.247478,2.372953,2.580096"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.931330,4.906127,4.876057,4.898094,4.989365,5.148672,5.389967"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.556655,6.542372,6.514455,6.522382,6.587386,6.725382,6.964601"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.277242,3.252910,3.222732,3.241174,3.320477,3.475813,3.695325"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.266179,2.254475,2.221936,2.215557,2.267595,2.391405,2.600344"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.518845,5.490760,5.460307,5.475223,5.554261,5.711055,5.950808"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.277268,3.252951,3.222767,3.241179,3.320481,3.475857,3.697199"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.266195,2.254520,2.221986,2.215612,2.267653,2.391458,2.600186"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.518559,5.489864,5.459791,5.474318,5.553370,5.710168,5.952471"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.277170,3.252816,3.222630,3.241144,3.320136,3.475733,3.695284"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.266157,2.254444,2.221906,2.215516,2.267560,2.391373,2.600279"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.518505,5.489765,5.455646,5.474246,5.555124,5.710543,5.950346"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.374734,5.346726,5.319442,5.340119,5.420274,5.571196,5.796278"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.301790,3.275410,3.245990,3.264057,3.344894,3.501048,3.721858"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.172411,2.164536,2.131038,2.124877,2.177782,2.302769,2.508622"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.931458,4.905590,4.876197,4.898064,4.989369,5.148768,5.389969"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.557476,6.542242,6.514631,6.522368,6.587386,6.725410,6.964644"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.931432,4.905587,4.876184,4.898051,4.989649,5.148754,5.389965"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.556390,6.542229,6.514615,6.522354,6.587293,6.725395,6.964630"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.265090,3.240053,3.210633,3.228016,3.305874,3.463633,3.678367"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.245940,2.233757,2.201469,2.195740,2.247546,2.373030,2.580205"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.931313,4.905756,4.876042,4.898096,4.990046,5.148653,5.389961"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.556650,6.542353,6.514435,6.522363,6.587289,6.725361,6.964581"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.376909,5.346811,5.317118,5.340200,5.420358,5.571268,5.796363"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.301420,3.275469,3.246040,3.264106,3.344895,3.501107,3.721861"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.177305,2.164589,2.130306,2.124951,2.177859,2.302847,2.508697"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.376919,5.346796,5.317103,5.340184,5.420344,5.571255,5.796349"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.301408,3.275455,3.246029,3.264094,3.344892,3.501093,3.721859"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.177299,2.164574,2.130292,2.124938,2.177844,2.302832,2.508682"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.277198,3.252872,3.222677,3.241150,3.320409,3.475792,3.697161"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.266193,2.254500,2.221966,2.215588,2.267628,2.391446,2.600145"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.529080,5.491778,5.464472,5.478798,5.559373,5.715297,5.955249"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.374725,5.346707,5.319423,5.340098,5.420254,5.571177,5.796260"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.301775,3.275389,3.245973,3.264040,3.344888,3.501027,3.721853"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.172403,2.164517,2.131018,2.124857,2.177762,2.302749,2.508603"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.424800; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.223603,0.243094,0.260674,0.286906,0.325301,0.381461,0.468870", \ - "0.228841,0.248356,0.265923,0.292154,0.330558,0.386724,0.474138", \ - "0.246236,0.265732,0.283314,0.309537,0.347967,0.404125,0.491561", \ - "0.270243,0.289733,0.307286,0.333474,0.371920,0.428126,0.515639", \ - "0.294607,0.314013,0.331510,0.357623,0.396060,0.452285,0.539821", \ - "0.316407,0.335839,0.353400,0.379329,0.417872,0.474726,0.562581", \ - "0.334279,0.353817,0.371414,0.397617,0.436954,0.492938,0.581121"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.194026,0.211581,0.230250,0.264363,0.329736,0.458147,0.713406", \ - "0.199232,0.216783,0.235451,0.269567,0.334945,0.463355,0.718608", \ - "0.217258,0.234821,0.253484,0.287604,0.352956,0.481364,0.736639", \ - "0.242717,0.260256,0.278907,0.313049,0.378430,0.506842,0.762060", \ - "0.268319,0.285794,0.304412,0.338459,0.403813,0.532187,0.787603", \ - "0.291446,0.308986,0.327586,0.362167,0.427544,0.555974,0.810947", \ - "0.311362,0.328849,0.347840,0.381751,0.447134,0.575647,0.829591"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.036125,0.042684,0.048981,0.059238,0.075776,0.103289,0.157840", \ - "0.036139,0.042679,0.048977,0.059237,0.075774,0.103285,0.157840", \ - "0.036122,0.042694,0.048987,0.059253,0.075776,0.103296,0.157866", \ - "0.036143,0.042695,0.048990,0.059253,0.075779,0.103292,0.157862", \ - "0.036124,0.042688,0.048989,0.059262,0.075766,0.103269,0.157827", \ - "0.036196,0.042762,0.049070,0.059336,0.075858,0.103336,0.157875", \ - "0.036252,0.042816,0.049129,0.059403,0.075909,0.103381,0.157845"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.020748,0.031810,0.045711,0.074546,0.134269,0.255398,0.499153", \ - "0.020751,0.031812,0.045713,0.074542,0.134270,0.255398,0.499151", \ - "0.020746,0.031806,0.045704,0.074546,0.134268,0.255396,0.499152", \ - "0.020740,0.031803,0.045701,0.074542,0.134267,0.255397,0.499151", \ - "0.020746,0.031804,0.045702,0.074541,0.134266,0.255398,0.499153", \ - "0.020753,0.031812,0.045715,0.074539,0.134265,0.255394,0.499154", \ - "0.020783,0.031832,0.045728,0.074549,0.134270,0.255392,0.499152"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.261806,0.282306,0.300928,0.328848,0.368425,0.422256,0.504838", \ - "0.266306,0.286801,0.305420,0.333349,0.372934,0.426772,0.509347", \ - "0.284786,0.305259,0.323900,0.351846,0.391416,0.445250,0.527837", \ - "0.316312,0.336796,0.355417,0.383315,0.422885,0.476765,0.559343", \ - "0.362235,0.382734,0.401266,0.429257,0.468816,0.522708,0.605309", \ - "0.424835,0.445425,0.464266,0.492199,0.531870,0.585901,0.668650", \ - "0.497093,0.518659,0.538976,0.567720,0.608539,0.663114,0.745901"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.038715,0.045693,0.052482,0.062728,0.075813,0.097635,0.149435", \ - "0.038705,0.045693,0.052481,0.062727,0.075814,0.097640,0.149412", \ - "0.038692,0.045715,0.052487,0.062730,0.075812,0.097629,0.149409", \ - "0.038703,0.045708,0.052480,0.062725,0.075822,0.097628,0.149409", \ - "0.038712,0.045708,0.052495,0.062742,0.075830,0.097626,0.149391", \ - "0.039764,0.046529,0.053098,0.063127,0.076052,0.097728,0.149435", \ - "0.044314,0.051267,0.057762,0.067216,0.078620,0.098869,0.149841"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.261806,0.282306,0.300928,0.328848,0.368425,0.422259,0.504835", \ - "0.266306,0.286801,0.305420,0.333349,0.372934,0.426772,0.509347", \ - "0.284786,0.305259,0.323900,0.351846,0.391416,0.445250,0.527837", \ - "0.316311,0.336796,0.355417,0.383315,0.422884,0.476765,0.559342", \ - "0.362235,0.382734,0.401266,0.429257,0.468815,0.522708,0.605309", \ - "0.424835,0.445425,0.464266,0.492199,0.531871,0.585901,0.668650", \ - "0.497093,0.518659,0.538976,0.567720,0.608539,0.663114,0.745901"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.038715,0.045693,0.052482,0.062728,0.075813,0.097635,0.149408", \ - "0.038705,0.045693,0.052481,0.062727,0.075814,0.097640,0.149412", \ - "0.038692,0.045715,0.052487,0.062730,0.075812,0.097629,0.149409", \ - "0.038703,0.045708,0.052480,0.062725,0.075822,0.097628,0.149409", \ - "0.038712,0.045708,0.052495,0.062742,0.075830,0.097626,0.149391", \ - "0.039764,0.046529,0.053098,0.063127,0.076050,0.097728,0.149435", \ - "0.044314,0.051267,0.057762,0.067216,0.078620,0.098869,0.149841"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.261808,0.282308,0.300930,0.328847,0.368426,0.422258,0.504838", \ - "0.266308,0.286798,0.305422,0.333351,0.372936,0.426774,0.509348", \ - "0.284787,0.305261,0.323902,0.351848,0.391418,0.445251,0.527838", \ - "0.316313,0.336798,0.355419,0.383317,0.422886,0.476766,0.559343", \ - "0.362237,0.382736,0.401268,0.429259,0.468817,0.522709,0.605310", \ - "0.424837,0.445427,0.464268,0.492201,0.531871,0.585903,0.668651", \ - "0.497095,0.518661,0.538977,0.567721,0.608540,0.663115,0.745902"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.038716,0.045693,0.052483,0.062727,0.075814,0.097635,0.149406", \ - "0.038705,0.045702,0.052481,0.062727,0.075814,0.097640,0.149413", \ - "0.038692,0.045715,0.052487,0.062731,0.075812,0.097629,0.149409", \ - "0.038703,0.045708,0.052480,0.062725,0.075822,0.097629,0.149409", \ - "0.038712,0.045708,0.052495,0.062742,0.075831,0.097626,0.149391", \ - "0.039764,0.046530,0.053098,0.063127,0.076052,0.097728,0.149436", \ - "0.044314,0.051267,0.057762,0.067216,0.078621,0.098869,0.149841"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.261708,0.282194,0.300834,0.328760,0.368343,0.422241,0.504881", \ - "0.266216,0.286719,0.305351,0.333263,0.372866,0.426762,0.509412", \ - "0.284710,0.305175,0.323810,0.351767,0.391332,0.445237,0.527888", \ - "0.316284,0.336770,0.355362,0.383317,0.422895,0.476797,0.559408", \ - "0.362078,0.382534,0.401215,0.429130,0.468715,0.522615,0.605275", \ - "0.424624,0.445248,0.463877,0.491731,0.531377,0.585290,0.667951", \ - "0.496656,0.518660,0.538340,0.567650,0.608448,0.662914,0.745722"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.038689,0.045684,0.052448,0.062710,0.075784,0.097594,0.149376", \ - "0.038691,0.045682,0.052450,0.062710,0.075784,0.097590,0.149373", \ - "0.038695,0.045690,0.052455,0.062686,0.075783,0.097593,0.149377", \ - "0.038683,0.045693,0.052450,0.062692,0.075785,0.097599,0.149366", \ - "0.038695,0.045692,0.052474,0.062710,0.075790,0.097589,0.149372", \ - "0.039767,0.046514,0.053085,0.063101,0.076023,0.097703,0.149414", \ - "0.044308,0.051226,0.057778,0.067195,0.078607,0.098846,0.149828"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.261708,0.282194,0.300834,0.328762,0.368343,0.422240,0.504881", \ - "0.266216,0.286720,0.305351,0.333263,0.372866,0.426762,0.509412", \ - "0.284710,0.305175,0.323810,0.351766,0.391332,0.445237,0.527888", \ - "0.316284,0.336770,0.355362,0.383317,0.422895,0.476797,0.559408", \ - "0.362079,0.382534,0.401215,0.429130,0.468715,0.522615,0.605275", \ - "0.424641,0.445248,0.463877,0.491731,0.531378,0.585290,0.667951", \ - "0.496656,0.518661,0.538340,0.567650,0.608448,0.662914,0.745722"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.038689,0.045684,0.052448,0.062704,0.075784,0.097590,0.149376", \ - "0.038691,0.045682,0.052450,0.062710,0.075784,0.097590,0.149373", \ - "0.038695,0.045690,0.052455,0.062686,0.075783,0.097593,0.149377", \ - "0.038683,0.045693,0.052450,0.062692,0.075785,0.097599,0.149366", \ - "0.038695,0.045692,0.052474,0.062710,0.075790,0.097589,0.149372", \ - "0.039788,0.046514,0.053085,0.063101,0.076023,0.097703,0.149414", \ - "0.044308,0.051226,0.057778,0.067195,0.078607,0.098846,0.149828"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.261708,0.282194,0.300834,0.328762,0.368343,0.422240,0.504882", \ - "0.266216,0.286720,0.305351,0.333263,0.372866,0.426762,0.509412", \ - "0.284710,0.305175,0.323810,0.351766,0.391332,0.445237,0.527888", \ - "0.316284,0.336770,0.355362,0.383317,0.422896,0.476797,0.559408", \ - "0.362079,0.382534,0.401225,0.429130,0.468715,0.522615,0.605275", \ - "0.424624,0.445248,0.463877,0.491731,0.531378,0.585290,0.667951", \ - "0.496656,0.518661,0.538340,0.567650,0.608448,0.662914,0.745722"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.038689,0.045684,0.052448,0.062704,0.075784,0.097590,0.149373", \ - "0.038691,0.045682,0.052450,0.062710,0.075784,0.097590,0.149373", \ - "0.038695,0.045690,0.052455,0.062686,0.075783,0.097593,0.149377", \ - "0.038683,0.045693,0.052450,0.062692,0.075785,0.097599,0.149366", \ - "0.038695,0.045692,0.052476,0.062710,0.075790,0.097589,0.149372", \ - "0.039767,0.046514,0.053085,0.063101,0.076023,0.097703,0.149414", \ - "0.044308,0.051226,0.057778,0.067195,0.078607,0.098846,0.149828"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.261808,0.282308,0.300930,0.328847,0.368426,0.422258,0.504836", \ - "0.266308,0.286798,0.305422,0.333350,0.372936,0.426774,0.509348", \ - "0.284787,0.305261,0.323902,0.351848,0.391418,0.445251,0.527838", \ - "0.316313,0.336798,0.355419,0.383317,0.422886,0.476766,0.559343", \ - "0.362237,0.382736,0.401268,0.429259,0.468817,0.522709,0.605310", \ - "0.424837,0.445427,0.464268,0.492201,0.531873,0.585903,0.668651", \ - "0.497095,0.518661,0.538977,0.567721,0.608540,0.663115,0.745902"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.038716,0.045693,0.052483,0.062727,0.075814,0.097635,0.149408", \ - "0.038705,0.045702,0.052481,0.062727,0.075814,0.097640,0.149413", \ - "0.038692,0.045715,0.052487,0.062731,0.075812,0.097629,0.149409", \ - "0.038703,0.045708,0.052480,0.062725,0.075822,0.097629,0.149409", \ - "0.038712,0.045708,0.052495,0.062742,0.075831,0.097626,0.149391", \ - "0.039764,0.046530,0.053098,0.063127,0.076051,0.097728,0.149436", \ - "0.044314,0.051267,0.057762,0.067216,0.078620,0.098869,0.149841"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.261708,0.282194,0.300834,0.328761,0.368343,0.422240,0.504881", \ - "0.266216,0.286719,0.305351,0.333263,0.372866,0.426762,0.509412", \ - "0.284710,0.305175,0.323810,0.351766,0.391332,0.445237,0.527888", \ - "0.316284,0.336770,0.355362,0.383317,0.422895,0.476797,0.559408", \ - "0.362079,0.382534,0.401215,0.429130,0.468715,0.522615,0.605275", \ - "0.424624,0.445248,0.463877,0.491731,0.531377,0.585290,0.667951", \ - "0.496656,0.518660,0.538340,0.567650,0.608448,0.662914,0.745722"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.038689,0.045684,0.052448,0.062704,0.075784,0.097590,0.149373", \ - "0.038691,0.045682,0.052450,0.062710,0.075784,0.097590,0.149373", \ - "0.038695,0.045690,0.052455,0.062686,0.075783,0.097593,0.149377", \ - "0.038683,0.045693,0.052450,0.062692,0.075785,0.097599,0.149366", \ - "0.038695,0.045692,0.052474,0.062710,0.075790,0.097589,0.149372", \ - "0.039771,0.046514,0.053085,0.063101,0.076023,0.097703,0.149414", \ - "0.044308,0.051226,0.057778,0.067195,0.078609,0.098846,0.149828"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.526366,0.546028,0.563736,0.590479,0.630468,0.689321,0.779392", \ - "0.530723,0.550369,0.568077,0.594728,0.634470,0.693002,0.782885", \ - "0.548508,0.568135,0.585783,0.612398,0.652009,0.710276,0.799978", \ - "0.584873,0.604561,0.622139,0.648712,0.688300,0.746442,0.836007", \ - "0.643452,0.663034,0.680833,0.707531,0.747083,0.805093,0.894821", \ - "0.722299,0.741890,0.759560,0.786341,0.825711,0.883830,0.973424", \ - "0.812816,0.832391,0.849852,0.876265,0.915570,0.973718,1.063002"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.036428,0.043039,0.049475,0.060436,0.078535,0.106703,0.160774", \ - "0.036396,0.042979,0.049373,0.060169,0.078029,0.106250,0.160523", \ - "0.036378,0.042944,0.049308,0.059989,0.077666,0.105884,0.160295", \ - "0.036375,0.042933,0.049282,0.059914,0.077523,0.105724,0.160198", \ - "0.036364,0.042923,0.049270,0.059895,0.077439,0.105630,0.160137", \ - "0.036367,0.042922,0.049261,0.059862,0.077375,0.105565,0.160091", \ - "0.036354,0.042914,0.049259,0.059843,0.077333,0.105519,0.160063"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.526366,0.545996,0.563738,0.590480,0.630472,0.689322,0.779392", \ - "0.530724,0.550370,0.568078,0.594729,0.634471,0.693003,0.782885", \ - "0.548509,0.568136,0.585784,0.612399,0.652010,0.710277,0.799978", \ - "0.584874,0.604546,0.622139,0.648713,0.688301,0.746443,0.836008", \ - "0.643453,0.663035,0.680834,0.707532,0.747084,0.805094,0.894822", \ - "0.722291,0.741881,0.759561,0.786248,0.825611,0.883932,0.973425", \ - "0.812817,0.832392,0.849853,0.876266,0.915571,0.973719,1.063003"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.036428,0.043032,0.049475,0.060436,0.078535,0.106702,0.160774", \ - "0.036396,0.042979,0.049373,0.060169,0.078029,0.106250,0.160523", \ - "0.036378,0.042944,0.049308,0.059989,0.077666,0.105884,0.160295", \ - "0.036375,0.042933,0.049283,0.059914,0.077523,0.105724,0.160198", \ - "0.036363,0.042923,0.049271,0.059895,0.077439,0.105630,0.160137", \ - "0.036367,0.042922,0.049261,0.059859,0.077373,0.105555,0.160091", \ - "0.036354,0.042914,0.049259,0.059843,0.077333,0.105519,0.160063"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.526357,0.546019,0.563714,0.590458,0.630455,0.689310,0.779383", \ - "0.530709,0.550355,0.568063,0.594715,0.634458,0.692991,0.782877", \ - "0.548494,0.568123,0.585769,0.612385,0.651998,0.710266,0.799970", \ - "0.584863,0.604533,0.622124,0.648700,0.688289,0.746433,0.836000", \ - "0.643439,0.663023,0.680821,0.707520,0.747073,0.805084,0.894814", \ - "0.722280,0.741880,0.759565,0.786236,0.825602,0.883924,0.973507", \ - "0.812814,0.832380,0.849844,0.876256,0.915562,0.973712,1.062997"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.036427,0.043039,0.049489,0.060436,0.078534,0.106702,0.160773", \ - "0.036395,0.042979,0.049373,0.060169,0.078028,0.106250,0.160521", \ - "0.036378,0.042944,0.049308,0.059989,0.077666,0.105884,0.160295", \ - "0.036373,0.042933,0.049282,0.059914,0.077523,0.105724,0.160197", \ - "0.036365,0.042918,0.049270,0.059894,0.077438,0.105630,0.160122", \ - "0.036366,0.042922,0.049259,0.059859,0.077372,0.105555,0.160091", \ - "0.036354,0.042912,0.049258,0.059843,0.077333,0.105519,0.160062"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.526372,0.546034,0.563755,0.590507,0.630508,0.689378,0.779469", \ - "0.530748,0.550395,0.568101,0.594755,0.634503,0.693045,0.782945", \ - "0.548531,0.568162,0.585831,0.612429,0.652046,0.710323,0.800042", \ - "0.584907,0.604593,0.622169,0.648747,0.688343,0.746489,0.836073", \ - "0.643501,0.663077,0.680873,0.707574,0.747131,0.805149,0.894893", \ - "0.722343,0.741936,0.759608,0.786399,0.825763,0.883991,0.973506", \ - "0.812896,0.832474,0.849923,0.876335,0.915646,0.973794,1.063066"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.036425,0.043036,0.049487,0.060432,0.078531,0.106699,0.160782", \ - "0.036392,0.042976,0.049369,0.060165,0.078025,0.106247,0.160512", \ - "0.036374,0.042941,0.049303,0.059985,0.077663,0.105881,0.160292", \ - "0.036370,0.042930,0.049279,0.059910,0.077519,0.105721,0.160197", \ - "0.036351,0.042914,0.049267,0.059892,0.077435,0.105628,0.160146", \ - "0.036348,0.042920,0.049259,0.059856,0.077371,0.105562,0.160090", \ - "0.036350,0.042914,0.049257,0.059853,0.077332,0.105526,0.160061"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.526372,0.546034,0.563755,0.590507,0.630508,0.689390,0.779466", \ - "0.530748,0.550395,0.568101,0.594754,0.634503,0.693045,0.782945", \ - "0.548531,0.568162,0.585831,0.612428,0.652046,0.710323,0.800042", \ - "0.584907,0.604593,0.622169,0.648747,0.688343,0.746489,0.836073", \ - "0.643501,0.663077,0.680873,0.707574,0.747131,0.805149,0.894893", \ - "0.722351,0.741943,0.759562,0.786292,0.825763,0.883991,0.973506", \ - "0.812896,0.832474,0.849923,0.876335,0.915646,0.973794,1.063066"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.036425,0.043036,0.049487,0.060432,0.078531,0.106699,0.160783", \ - "0.036392,0.042976,0.049369,0.060165,0.078025,0.106247,0.160512", \ - "0.036374,0.042941,0.049304,0.059985,0.077663,0.105881,0.160292", \ - "0.036370,0.042930,0.049279,0.059910,0.077519,0.105721,0.160197", \ - "0.036351,0.042914,0.049267,0.059892,0.077435,0.105628,0.160146", \ - "0.036364,0.042920,0.049257,0.059848,0.077371,0.105562,0.160090", \ - "0.036350,0.042914,0.049257,0.059853,0.077332,0.105526,0.160061"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.526372,0.546034,0.563755,0.590507,0.630508,0.689386,0.779469", \ - "0.530748,0.550395,0.568101,0.594754,0.634503,0.693045,0.782945", \ - "0.548531,0.568162,0.585831,0.612428,0.652046,0.710323,0.800042", \ - "0.584907,0.604593,0.622169,0.648747,0.688343,0.746489,0.836073", \ - "0.643501,0.663077,0.680873,0.707574,0.747131,0.805149,0.894893", \ - "0.722342,0.741935,0.759608,0.786398,0.825763,0.883896,0.973594", \ - "0.812896,0.832474,0.849923,0.876335,0.915646,0.973794,1.063066"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.036425,0.043036,0.049487,0.060432,0.078531,0.106699,0.160782", \ - "0.036392,0.042976,0.049369,0.060165,0.078025,0.106247,0.160512", \ - "0.036374,0.042941,0.049304,0.059985,0.077663,0.105881,0.160292", \ - "0.036370,0.042930,0.049279,0.059910,0.077519,0.105721,0.160197", \ - "0.036351,0.042914,0.049267,0.059892,0.077435,0.105628,0.160146", \ - "0.036364,0.042920,0.049259,0.059856,0.077371,0.105563,0.160090", \ - "0.036350,0.042914,0.049257,0.059853,0.077332,0.105526,0.160061"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.526357,0.546020,0.563715,0.590450,0.630455,0.689320,0.779385", \ - "0.530709,0.550356,0.568064,0.594715,0.634459,0.692992,0.782878", \ - "0.548494,0.568123,0.585770,0.612385,0.651998,0.710266,0.799971", \ - "0.584863,0.604533,0.622124,0.648700,0.688289,0.746433,0.836000", \ - "0.643440,0.663024,0.680821,0.707520,0.747073,0.805085,0.894815", \ - "0.722235,0.741873,0.759565,0.786331,0.825602,0.883924,0.973507", \ - "0.812808,0.832380,0.849844,0.876256,0.915562,0.973712,1.062997"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.036428,0.043039,0.049489,0.060435,0.078534,0.106702,0.160782", \ - "0.036395,0.042979,0.049373,0.060169,0.078028,0.106250,0.160521", \ - "0.036378,0.042944,0.049308,0.059989,0.077666,0.105884,0.160295", \ - "0.036373,0.042933,0.049282,0.059914,0.077523,0.105724,0.160197", \ - "0.036365,0.042918,0.049270,0.059894,0.077438,0.105630,0.160122", \ - "0.036366,0.042922,0.049259,0.059861,0.077372,0.105555,0.160091", \ - "0.036353,0.042913,0.049258,0.059843,0.077333,0.105519,0.160062"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.526372,0.546034,0.563755,0.590507,0.630509,0.689391,0.779466", \ - "0.530748,0.550395,0.568101,0.594754,0.634503,0.693045,0.782945", \ - "0.548531,0.568162,0.585831,0.612428,0.652046,0.710323,0.800042", \ - "0.584907,0.604593,0.622169,0.648747,0.688343,0.746489,0.836073", \ - "0.643501,0.663077,0.680873,0.707574,0.747131,0.805149,0.894894", \ - "0.722351,0.741935,0.759608,0.786398,0.825763,0.883896,0.973506", \ - "0.812896,0.832474,0.849923,0.876335,0.915646,0.973794,1.063066"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.036425,0.043036,0.049487,0.060433,0.078531,0.106699,0.160782", \ - "0.036392,0.042976,0.049369,0.060165,0.078025,0.106247,0.160512", \ - "0.036374,0.042941,0.049304,0.059985,0.077663,0.105881,0.160292", \ - "0.036370,0.042930,0.049279,0.059910,0.077519,0.105721,0.160197", \ - "0.036351,0.042914,0.049267,0.059892,0.077435,0.105628,0.160146", \ - "0.036364,0.042920,0.049259,0.059856,0.077371,0.105563,0.160090", \ - "0.036350,0.042914,0.049257,0.059853,0.077332,0.105526,0.160061"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.598668,3.643664,3.675873,3.725167,3.791154,3.819425,3.834441", \ - "3.597563,3.637876,3.666484,3.718313,3.789848,3.816391,3.819265", \ - "3.570297,3.615067,3.636313,3.699296,3.769905,3.792126,3.806234", \ - "3.556972,3.601670,3.632956,3.682534,3.747034,3.776098,3.793808", \ - "3.575693,3.621097,3.649798,3.699077,3.777510,3.811525,3.813121", \ - "3.637919,3.679796,3.709235,3.758737,3.831964,3.845187,3.860436", \ - "3.756030,3.799225,3.819796,3.881605,3.944396,3.970397,3.987652"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.444674,3.521739,3.579675,3.723791,3.832958,3.865207,3.882541", \ - "3.434931,3.512729,3.573206,3.713127,3.825357,3.863463,3.875178", \ - "3.416022,3.498965,3.556063,3.703803,3.806308,3.845141,3.853509", \ - "3.419626,3.497638,3.555064,3.702305,3.804514,3.840143,3.857437", \ - "3.441014,3.522692,3.580729,3.726388,3.840817,3.870850,3.864583", \ - "3.508828,3.583680,3.639260,3.791443,3.883033,3.933636,3.934142", \ - "3.624919,3.700264,3.756649,3.898318,4.013657,4.034102,4.052911"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.977039,3.016681,3.053724,3.092100,3.152492,3.166822,3.169030", \ - "2.971804,3.012012,3.041148,3.097708,3.145795,3.160673,3.162857", \ - "2.961391,2.999956,3.037375,3.080727,3.128755,3.142949,3.144620", \ - "2.958474,2.997093,3.022845,3.079869,3.111216,3.124900,3.161117", \ - "2.952601,2.999725,3.022876,3.078171,3.116991,3.131043,3.133212", \ - "2.987997,3.024522,3.056683,3.093647,3.135762,3.147654,3.149746", \ - "3.053800,3.074423,3.103110,3.122600,3.148282,3.157498,3.190969"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.976418,3.016041,3.052988,3.091408,3.151775,3.166055,3.168008", \ - "2.971187,3.011365,3.040508,3.097040,3.145089,3.159928,3.162086", \ - "2.960794,2.999351,3.036747,3.080174,3.128061,3.142213,3.143851", \ - "2.957908,2.996516,3.022263,3.079250,3.110561,3.124190,3.160379", \ - "2.952096,2.999214,3.022342,3.077612,3.116383,3.130387,3.132508", \ - "2.987588,3.024096,3.056239,3.093175,3.135272,3.147058,3.149103", \ - "3.053504,3.074110,3.102773,3.122224,3.147853,3.156984,3.190372"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.976733,3.016365,3.054084,3.091587,3.152160,3.166587,3.168720", \ - "2.971501,3.010378,3.040834,3.097397,3.145501,3.160386,3.162674", \ - "2.961101,2.999668,3.037068,3.080482,3.128449,3.142692,3.144464", \ - "2.958203,2.996824,3.022582,3.079582,3.110943,3.124671,3.160965", \ - "2.952384,2.999510,3.022641,3.077944,3.116777,3.130818,3.133071", \ - "2.987840,3.024370,3.056503,3.093457,3.135576,3.147496,3.149603", \ - "3.053713,3.074334,3.102996,3.122489,3.148157,3.157388,3.190888"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.594317,3.636669,3.671690,3.717541,3.779338,3.793087,3.796632", \ - "3.589334,3.632170,3.663780,3.723592,3.773213,3.787334,3.788995", \ - "3.575496,3.617633,3.657404,3.703351,3.753696,3.767526,3.768212", \ - "3.573034,3.616410,3.643647,3.703945,3.737177,3.750990,3.750634", \ - "3.573494,3.616527,3.651380,3.710629,3.751920,3.766444,3.767712", \ - "3.613126,3.662270,3.685197,3.743644,3.789231,3.801584,3.818057", \ - "3.695074,3.732884,3.769577,3.797142,3.852280,3.838005,3.871509"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.595059,3.637419,3.672482,3.718596,3.780250,3.794110,3.797790", \ - "3.590066,3.633074,3.664545,3.724396,3.774079,3.788329,3.789997", \ - "3.576207,3.618357,3.658174,3.704180,3.754593,3.768459,3.769162", \ - "3.573709,3.617150,3.644359,3.704732,3.738031,3.751884,3.751553", \ - "3.574094,3.617142,3.652032,3.711320,3.752663,3.767329,3.768624", \ - "3.613670,3.662802,3.685762,3.744286,3.789938,3.802360,3.818974", \ - "3.695459,3.733290,3.770158,3.797630,3.852999,3.838677,3.872279"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.595064,3.637423,3.672484,3.718599,3.780249,3.794116,3.807473", \ - "3.590071,3.633099,3.664548,3.724399,3.774081,3.788323,3.789991", \ - "3.576212,3.618362,3.658175,3.704178,3.754590,3.768457,3.769164", \ - "3.573714,3.617148,3.644362,3.704730,3.738028,3.751885,3.751557", \ - "3.574099,3.617147,3.652035,3.711322,3.752666,3.767324,3.768622", \ - "3.613639,3.662805,3.685763,3.744282,3.789934,3.802356,3.818956", \ - "3.695460,3.733292,3.770032,3.797630,3.852997,3.838675,3.872278"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.976020,3.015619,3.053360,3.090747,3.151196,3.165444,3.167230", \ - "2.970788,3.009633,3.040064,3.096558,3.144544,3.159250,3.161323", \ - "2.960386,2.998923,3.036294,3.079616,3.127490,3.141565,3.143113", \ - "2.957496,2.996092,3.021813,3.078747,3.109997,3.123555,3.159637", \ - "2.951706,2.998807,3.021911,3.077144,3.115868,3.129739,3.131777", \ - "2.987219,3.023723,3.055824,3.092715,3.134761,3.146475,3.148375", \ - "3.053186,3.073781,3.102415,3.121838,3.147400,3.156452,3.189721"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.595059,3.637429,3.672480,3.718605,3.780242,3.794134,3.806944", \ - "3.590073,3.632965,3.664556,3.724409,3.774097,3.788318,3.790043", \ - "3.576220,3.618373,3.658175,3.704172,3.754582,3.768486,3.769247", \ - "3.573725,3.617128,3.644377,3.704728,3.738029,3.751920,3.751646", \ - "3.574119,3.617170,3.652050,3.711340,3.752699,3.767333,3.768692", \ - "3.613740,3.662825,3.685778,3.744279,3.789941,3.802393,3.818990", \ - "3.695486,3.733317,3.770138,3.797653,3.828672,3.838720,3.872363"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.999281,4.040347,4.077262,4.145368,4.214168,4.253292,4.297931", \ - "3.989287,4.029543,4.052083,4.124818,4.207978,4.247511,4.256045", \ - "3.980372,4.018319,4.054757,4.112277,4.194483,4.233057,4.243657", \ - "3.982178,4.014645,4.051292,4.108761,4.181745,4.220700,4.265124", \ - "3.983477,4.022819,4.059379,4.129002,4.209132,4.249047,4.258417", \ - "3.996357,4.036215,4.072558,4.136629,4.223417,4.260020,4.275504", \ - "4.022800,4.062121,4.092667,4.161828,4.235786,4.271259,4.283989"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.998395,4.039670,4.071844,4.144483,4.213224,4.252402,4.297111", \ - "3.988408,4.028669,4.051208,4.123945,4.207118,4.246665,4.255226", \ - "3.979548,4.017485,4.053909,4.111427,4.193650,4.232225,4.242838", \ - "3.981382,4.013723,4.050498,4.107956,4.180933,4.219897,4.264343", \ - "3.982753,4.022092,4.058656,4.128270,4.208391,4.248298,4.257648", \ - "3.995794,4.035731,4.069839,4.136280,4.188094,4.258851,4.274276", \ - "4.022319,4.062273,4.092173,4.161304,4.235232,4.270650,4.283335"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.998714,4.039760,4.072031,4.144769,4.213572,4.252706,4.297320", \ - "3.988734,4.028993,4.051533,4.124247,4.207393,4.246915,4.255566", \ - "3.980177,4.017648,4.054221,4.111707,4.193903,4.232502,4.243222", \ - "3.981775,4.017449,4.050716,4.108249,4.181224,4.220186,4.264702", \ - "3.983103,4.022517,4.058959,4.128577,4.208756,4.248563,4.259089", \ - "3.996178,4.035997,4.065657,4.136559,4.188385,4.259148,4.275714", \ - "4.022620,4.061897,4.092414,4.161573,4.235492,4.270941,4.283705"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.996936,4.037881,4.069960,4.142402,4.210623,4.248929,4.292225", \ - "3.986906,4.027049,4.064080,4.121925,4.204544,4.243085,4.250879", \ - "3.975502,4.016499,4.052188,4.109290,4.190955,4.228702,4.239641", \ - "3.979861,4.012372,4.048568,4.105801,4.178225,4.216383,4.260770", \ - "3.981056,4.020381,4.056734,4.126074,4.205600,4.244601,4.254504", \ - "3.994093,4.033885,4.063200,4.133606,4.220066,4.255308,4.268183", \ - "4.028671,4.061094,4.090034,4.158749,4.232179,4.266969,4.278920"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.997979,4.038932,4.071037,4.143496,4.211735,4.249883,4.293283", \ - "3.987938,4.028089,4.065133,4.122965,4.205592,4.244199,4.251934", \ - "3.976503,4.017500,4.053224,4.110355,4.192026,4.229758,4.240648", \ - "3.980810,4.013324,4.049538,4.106804,4.179254,4.217399,4.261772", \ - "3.981918,4.021253,4.057634,4.126987,4.206529,4.245619,4.255491", \ - "3.995955,4.035159,4.064226,4.135021,4.220947,4.256202,4.269153", \ - "4.029279,4.061717,4.090688,4.159436,4.232933,4.267763,4.279744"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.997988,4.038934,4.071036,4.143489,4.211718,4.249913,4.293321", \ - "3.987947,4.028091,4.065133,4.122970,4.205600,4.244187,4.251929", \ - "3.976507,4.017503,4.053223,4.110354,4.192036,4.229752,4.240650", \ - "3.980815,4.013327,4.049539,4.106804,4.179244,4.217394,4.261771", \ - "3.981921,4.021255,4.057642,4.126989,4.206525,4.245604,4.255486", \ - "3.994956,4.034655,4.063998,4.134441,4.220939,4.256529,4.268881", \ - "4.029288,4.061723,4.090693,4.159430,4.232926,4.267763,4.279746"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.997671,4.038700,4.070944,4.143694,4.212355,4.251404,4.297964", \ - "3.987693,4.027934,4.050453,4.123123,4.206189,4.245584,4.254087", \ - "3.979169,4.016600,4.053144,4.110585,4.192701,4.231180,4.241748", \ - "3.980749,4.016457,4.049657,4.107142,4.180036,4.218878,4.263248", \ - "3.982151,4.021507,4.057932,4.127503,4.207604,4.247291,4.257636", \ - "3.995431,4.035095,4.064692,4.135251,4.187290,4.257934,4.274151", \ - "4.021825,4.061058,4.091552,4.160665,4.234499,4.269812,4.282390"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.997991,4.038938,4.071037,4.143502,4.211713,4.249915,4.293303", \ - "3.987952,4.028098,4.065142,4.122989,4.205626,4.244197,4.252012", \ - "3.976519,4.017521,4.053232,4.110349,4.192035,4.229788,4.240758", \ - "3.980838,4.013356,4.049562,4.106813,4.179263,4.217438,4.261867", \ - "3.981954,4.021288,4.057648,4.127013,4.206560,4.245618,4.255490", \ - "3.996000,4.034688,4.064012,4.134458,4.220953,4.256583,4.269190", \ - "4.029321,4.061758,4.090717,4.159458,4.232947,4.267822,4.279853"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.424800; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.280006,0.289774,0.298798,0.313191,0.336802,0.377000,0.449748", \ - "0.285220,0.294972,0.303990,0.318395,0.342016,0.382200,0.454955", \ - "0.303238,0.312996,0.322021,0.336394,0.360027,0.400215,0.472972", \ - "0.328691,0.338440,0.347443,0.361838,0.385501,0.425689,0.498402", \ - "0.354309,0.363958,0.372960,0.387282,0.410878,0.451022,0.523940", \ - "0.377416,0.387171,0.396120,0.410966,0.434588,0.474777,0.547238", \ - "0.397361,0.407026,0.416396,0.430578,0.454205,0.494463,0.565897"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.335816,0.347631,0.359849,0.385948,0.444667,0.568914,0.821795", \ - "0.341052,0.352885,0.365100,0.391201,0.449926,0.574183,0.827068", \ - "0.358460,0.370274,0.382497,0.408587,0.467336,0.591559,0.844478", \ - "0.382471,0.394280,0.406475,0.432520,0.491286,0.615575,0.868553", \ - "0.406837,0.418554,0.430666,0.456631,0.515428,0.639746,0.892722", \ - "0.428699,0.440423,0.452639,0.478356,0.537177,0.662108,0.915413", \ - "0.446595,0.458434,0.470681,0.496689,0.556269,0.680305,0.933930"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.018450,0.022486,0.026525,0.033864,0.047932,0.076150,0.135098", \ - "0.018450,0.022483,0.026525,0.033871,0.047925,0.076150,0.135104", \ - "0.018446,0.022486,0.026521,0.033866,0.047926,0.076152,0.135090", \ - "0.018450,0.022486,0.026519,0.033869,0.047925,0.076150,0.135105", \ - "0.018447,0.022484,0.026522,0.033850,0.047929,0.076148,0.135098", \ - "0.018448,0.022485,0.026524,0.033846,0.047912,0.076190,0.135112", \ - "0.018452,0.022484,0.026522,0.033864,0.047917,0.076134,0.135055"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022768,0.032714,0.045664,0.073825,0.133928,0.255770,0.499805", \ - "0.022773,0.032713,0.045670,0.073822,0.133931,0.255768,0.499805", \ - "0.022780,0.032712,0.045666,0.073831,0.133918,0.255768,0.499803", \ - "0.022771,0.032716,0.045667,0.073828,0.133925,0.255770,0.499805", \ - "0.022767,0.032715,0.045671,0.073828,0.133926,0.255766,0.499806", \ - "0.022781,0.032721,0.045675,0.073827,0.133927,0.255769,0.499802", \ - "0.022791,0.032734,0.045679,0.073823,0.133918,0.255770,0.499802"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.378189,0.389798,0.401703,0.427587,0.486411,0.610860,0.864053", \ - "0.382689,0.394297,0.406196,0.432094,0.490925,0.615377,0.868582", \ - "0.401156,0.412760,0.424677,0.450590,0.509405,0.633854,0.887057", \ - "0.432672,0.444281,0.456174,0.482048,0.540868,0.665366,0.918552", \ - "0.478505,0.490161,0.501995,0.527988,0.586805,0.711293,0.964483", \ - "0.541409,0.553014,0.565119,0.591008,0.649864,0.774445,1.027779", \ - "0.619575,0.630618,0.642665,0.667416,0.725721,0.850006,1.103018"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022803,0.032438,0.045302,0.073626,0.133911,0.255747,0.499789", \ - "0.022807,0.032438,0.045308,0.073637,0.133908,0.255751,0.499790", \ - "0.022803,0.032436,0.045306,0.073623,0.133919,0.255752,0.499788", \ - "0.022808,0.032436,0.045302,0.073637,0.133911,0.255758,0.499793", \ - "0.022812,0.032441,0.045304,0.073635,0.133917,0.255757,0.499786", \ - "0.022860,0.032474,0.045324,0.073628,0.133916,0.255752,0.499793", \ - "0.023386,0.032935,0.045631,0.073737,0.133921,0.255760,0.499783"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.378189,0.389797,0.401703,0.427587,0.486411,0.610863,0.864055", \ - "0.382689,0.394296,0.406196,0.432094,0.490925,0.615377,0.868582", \ - "0.401156,0.412759,0.424677,0.450590,0.509405,0.633854,0.887057", \ - "0.432672,0.444281,0.456174,0.482047,0.540868,0.665366,0.918552", \ - "0.478505,0.490161,0.501995,0.527988,0.586804,0.711293,0.964483", \ - "0.541408,0.553014,0.565119,0.591008,0.649875,0.774445,1.027779", \ - "0.619575,0.630618,0.642664,0.667416,0.725721,0.850006,1.103018"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022803,0.032438,0.045302,0.073626,0.133911,0.255746,0.499784", \ - "0.022807,0.032438,0.045308,0.073637,0.133908,0.255751,0.499790", \ - "0.022804,0.032436,0.045306,0.073623,0.133919,0.255752,0.499788", \ - "0.022808,0.032436,0.045302,0.073637,0.133911,0.255758,0.499793", \ - "0.022812,0.032441,0.045304,0.073635,0.133917,0.255757,0.499786", \ - "0.022860,0.032474,0.045324,0.073628,0.133915,0.255752,0.499793", \ - "0.023386,0.032935,0.045631,0.073737,0.133921,0.255760,0.499783"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.378192,0.389800,0.401706,0.427586,0.486411,0.610862,0.864056", \ - "0.382692,0.394297,0.406199,0.432096,0.490926,0.615379,0.868583", \ - "0.401158,0.412762,0.424680,0.450593,0.509406,0.633855,0.887057", \ - "0.432674,0.444283,0.456176,0.482049,0.540870,0.665367,0.918553", \ - "0.478507,0.490163,0.501997,0.527990,0.586806,0.711294,0.964484", \ - "0.541410,0.553016,0.565120,0.591009,0.649865,0.774446,1.027780", \ - "0.619577,0.630619,0.642666,0.667417,0.725722,0.850007,1.103018"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022803,0.032438,0.045302,0.073623,0.133911,0.255747,0.499786", \ - "0.022807,0.032438,0.045308,0.073637,0.133908,0.255751,0.499790", \ - "0.022804,0.032436,0.045306,0.073623,0.133919,0.255752,0.499788", \ - "0.022808,0.032436,0.045302,0.073637,0.133911,0.255758,0.499793", \ - "0.022812,0.032441,0.045304,0.073635,0.133917,0.255757,0.499786", \ - "0.022861,0.032474,0.045324,0.073628,0.133916,0.255752,0.499793", \ - "0.023386,0.032935,0.045631,0.073737,0.133920,0.255760,0.499783"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.378057,0.389669,0.401585,0.427495,0.486318,0.610839,0.864082", \ - "0.382568,0.394190,0.406107,0.431992,0.490847,0.615355,0.868617", \ - "0.401051,0.412655,0.424566,0.450495,0.509309,0.633834,0.887086", \ - "0.432622,0.444236,0.456101,0.482047,0.540876,0.665385,0.918597", \ - "0.478347,0.489947,0.501931,0.527845,0.586702,0.711203,0.964462", \ - "0.541216,0.552817,0.564710,0.590527,0.649369,0.773819,1.027054", \ - "0.619202,0.630602,0.642046,0.667344,0.725634,0.849801,1.102842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022798,0.032431,0.045302,0.073629,0.133909,0.255748,0.499787", \ - "0.022802,0.032433,0.045301,0.073628,0.133907,0.255753,0.499788", \ - "0.022801,0.032436,0.045308,0.073625,0.133913,0.255751,0.499785", \ - "0.022807,0.032434,0.045299,0.073626,0.133911,0.255755,0.499784", \ - "0.022806,0.032436,0.045301,0.073630,0.133916,0.255753,0.499787", \ - "0.022857,0.032472,0.045320,0.073628,0.133912,0.255746,0.499785", \ - "0.023376,0.032930,0.045622,0.073736,0.133920,0.255761,0.499793"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.378057,0.389669,0.401585,0.427500,0.486318,0.610840,0.864081", \ - "0.382568,0.394190,0.406107,0.431992,0.490847,0.615355,0.868617", \ - "0.401051,0.412655,0.424566,0.450494,0.509309,0.633834,0.887087", \ - "0.432622,0.444236,0.456102,0.482047,0.540877,0.665385,0.918597", \ - "0.478347,0.489947,0.501931,0.527846,0.586702,0.711203,0.964462", \ - "0.541216,0.552817,0.564710,0.590527,0.649369,0.773819,1.027054", \ - "0.619202,0.630602,0.642050,0.667344,0.725634,0.849801,1.102842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022798,0.032431,0.045302,0.073628,0.133909,0.255746,0.499787", \ - "0.022802,0.032433,0.045301,0.073628,0.133907,0.255753,0.499788", \ - "0.022801,0.032436,0.045308,0.073625,0.133913,0.255751,0.499785", \ - "0.022807,0.032434,0.045299,0.073626,0.133911,0.255755,0.499784", \ - "0.022806,0.032436,0.045301,0.073630,0.133916,0.255753,0.499787", \ - "0.022857,0.032472,0.045320,0.073628,0.133912,0.255746,0.499785", \ - "0.023376,0.032930,0.045625,0.073736,0.133920,0.255761,0.499793"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.378057,0.389670,0.401585,0.427500,0.486318,0.610840,0.864087", \ - "0.382568,0.394190,0.406107,0.431992,0.490847,0.615355,0.868617", \ - "0.401052,0.412655,0.424566,0.450494,0.509309,0.633834,0.887087", \ - "0.432622,0.444236,0.456102,0.482047,0.540877,0.665385,0.918597", \ - "0.478347,0.489947,0.501942,0.527846,0.586702,0.711203,0.964462", \ - "0.541216,0.552817,0.564710,0.590527,0.649369,0.773819,1.027054", \ - "0.619202,0.630602,0.642046,0.667344,0.725634,0.849801,1.102842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022798,0.032431,0.045302,0.073628,0.133909,0.255746,0.499785", \ - "0.022802,0.032433,0.045301,0.073628,0.133907,0.255753,0.499788", \ - "0.022801,0.032436,0.045308,0.073626,0.133913,0.255751,0.499785", \ - "0.022807,0.032434,0.045299,0.073626,0.133911,0.255755,0.499784", \ - "0.022806,0.032436,0.045301,0.073630,0.133916,0.255753,0.499787", \ - "0.022857,0.032472,0.045320,0.073628,0.133912,0.255746,0.499785", \ - "0.023376,0.032930,0.045622,0.073736,0.133920,0.255761,0.499793"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.378192,0.389800,0.401706,0.427585,0.486411,0.610862,0.864056", \ - "0.382692,0.394297,0.406198,0.432096,0.490926,0.615379,0.868583", \ - "0.401158,0.412762,0.424679,0.450592,0.509406,0.633855,0.887057", \ - "0.432674,0.444283,0.456176,0.482049,0.540870,0.665367,0.918553", \ - "0.478507,0.490163,0.501997,0.527990,0.586806,0.711294,0.964484", \ - "0.541410,0.553016,0.565120,0.591009,0.649876,0.774446,1.027780", \ - "0.619577,0.630619,0.642666,0.667417,0.725723,0.850007,1.103018"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022803,0.032438,0.045302,0.073623,0.133911,0.255747,0.499784", \ - "0.022807,0.032438,0.045308,0.073637,0.133908,0.255751,0.499790", \ - "0.022804,0.032436,0.045306,0.073623,0.133919,0.255752,0.499788", \ - "0.022808,0.032436,0.045302,0.073637,0.133911,0.255758,0.499793", \ - "0.022812,0.032441,0.045304,0.073635,0.133917,0.255757,0.499786", \ - "0.022861,0.032474,0.045324,0.073628,0.133915,0.255752,0.499793", \ - "0.023386,0.032935,0.045631,0.073737,0.133921,0.255760,0.499783"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.378057,0.389669,0.401585,0.427500,0.486318,0.610840,0.864087", \ - "0.382568,0.394190,0.406107,0.431992,0.490847,0.615355,0.868617", \ - "0.401051,0.412655,0.424566,0.450495,0.509309,0.633834,0.887086", \ - "0.432622,0.444236,0.456101,0.482047,0.540876,0.665385,0.918597", \ - "0.478347,0.489947,0.501931,0.527845,0.586702,0.711203,0.964462", \ - "0.541219,0.552817,0.564710,0.590526,0.649369,0.773819,1.027054", \ - "0.619202,0.630602,0.642046,0.667344,0.725633,0.849801,1.102842"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022798,0.032431,0.045302,0.073628,0.133909,0.255746,0.499785", \ - "0.022802,0.032433,0.045301,0.073628,0.133907,0.255753,0.499788", \ - "0.022801,0.032436,0.045308,0.073625,0.133913,0.255751,0.499785", \ - "0.022807,0.032434,0.045299,0.073626,0.133911,0.255755,0.499784", \ - "0.022806,0.032436,0.045301,0.073630,0.133916,0.255753,0.499787", \ - "0.022853,0.032472,0.045320,0.073628,0.133912,0.255746,0.499785", \ - "0.023376,0.032930,0.045625,0.073736,0.133920,0.255761,0.499793"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.638832,0.650725,0.662943,0.689192,0.748277,0.873088,1.126695", \ - "0.642894,0.654731,0.666956,0.693207,0.752326,0.877151,1.130769", \ - "0.660494,0.672279,0.684442,0.710715,0.769894,0.894718,1.148326", \ - "0.696788,0.708618,0.720713,0.746968,0.806204,0.931005,1.184549", \ - "0.755333,0.767053,0.779364,0.805749,0.864985,0.989718,1.243471", \ - "0.834146,0.845870,0.858058,0.884533,0.943614,1.068503,1.322153", \ - "0.924648,0.936353,0.948320,0.974439,1.033474,1.158432,1.411788"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022858,0.032721,0.045650,0.073842,0.134000,0.255827,0.499851", \ - "0.022763,0.032636,0.045577,0.073804,0.133982,0.255831,0.499848", \ - "0.022714,0.032576,0.045531,0.073776,0.133974,0.255829,0.499848", \ - "0.022683,0.032551,0.045517,0.073763,0.133974,0.255821,0.499847", \ - "0.022678,0.032537,0.045499,0.073773,0.133976,0.255817,0.499839", \ - "0.022662,0.032528,0.045489,0.073766,0.133974,0.255816,0.499841", \ - "0.022664,0.032522,0.045483,0.073759,0.133963,0.255825,0.499846"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.638833,0.650699,0.662945,0.689194,0.748281,0.873089,1.126695", \ - "0.642895,0.654732,0.666957,0.693208,0.752327,0.877152,1.130770", \ - "0.660495,0.672280,0.684443,0.710716,0.769895,0.894719,1.148327", \ - "0.696789,0.708603,0.720714,0.746969,0.806205,0.931005,1.184549", \ - "0.755335,0.767053,0.779365,0.805750,0.864986,0.989719,1.243473", \ - "0.834139,0.845861,0.858059,0.884439,0.943519,1.068604,1.322154", \ - "0.924649,0.936356,0.948321,0.974439,1.033475,1.158433,1.411788"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022858,0.032721,0.045649,0.073842,0.134000,0.255827,0.499851", \ - "0.022763,0.032636,0.045577,0.073804,0.133982,0.255831,0.499848", \ - "0.022714,0.032576,0.045531,0.073776,0.133974,0.255829,0.499848", \ - "0.022683,0.032551,0.045517,0.073763,0.133974,0.255821,0.499847", \ - "0.022678,0.032537,0.045499,0.073773,0.133976,0.255817,0.499839", \ - "0.022661,0.032528,0.045489,0.073765,0.133974,0.255819,0.499841", \ - "0.022664,0.032523,0.045483,0.073759,0.133963,0.255825,0.499846"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.638822,0.650717,0.662925,0.689172,0.748264,0.873078,1.126686", \ - "0.642880,0.654716,0.666942,0.693194,0.752314,0.877141,1.130763", \ - "0.660480,0.672265,0.684428,0.710702,0.769882,0.894709,1.148319", \ - "0.696779,0.708595,0.720700,0.746956,0.806191,0.930996,1.184542", \ - "0.755320,0.767046,0.779352,0.805738,0.864969,0.989709,1.243466", \ - "0.834134,0.845866,0.858059,0.884428,0.943511,1.068596,1.322237", \ - "0.924641,0.936342,0.948311,0.974429,1.033466,1.158426,1.411783"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022858,0.032720,0.045645,0.073842,0.134000,0.255827,0.499851", \ - "0.022762,0.032636,0.045577,0.073804,0.133982,0.255831,0.499848", \ - "0.022714,0.032576,0.045531,0.073776,0.133971,0.255828,0.499848", \ - "0.022689,0.032552,0.045512,0.073763,0.133973,0.255821,0.499847", \ - "0.022678,0.032539,0.045500,0.073773,0.133965,0.255817,0.499848", \ - "0.022667,0.032529,0.045498,0.073765,0.133975,0.255819,0.499840", \ - "0.022666,0.032518,0.045483,0.073759,0.133963,0.255825,0.499845"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.638833,0.650727,0.662964,0.689219,0.748319,0.873148,1.126776", \ - "0.642913,0.654752,0.666977,0.693233,0.752360,0.877197,1.130838", \ - "0.660509,0.672301,0.684488,0.710745,0.769931,0.894769,1.148395", \ - "0.696819,0.708647,0.720743,0.747002,0.806247,0.931055,1.184619", \ - "0.755371,0.767096,0.779402,0.805791,0.865032,0.989777,1.243548", \ - "0.834188,0.845917,0.858099,0.884591,0.943665,1.068666,1.322238", \ - "0.924720,0.936432,0.948390,0.974503,1.033549,1.158512,1.411853"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022858,0.032720,0.045644,0.073841,0.134000,0.255826,0.499851", \ - "0.022761,0.032635,0.045577,0.073804,0.133982,0.255831,0.499845", \ - "0.022704,0.032575,0.045533,0.073776,0.133971,0.255829,0.499849", \ - "0.022689,0.032550,0.045512,0.073763,0.133973,0.255822,0.499847", \ - "0.022678,0.032539,0.045499,0.073773,0.133977,0.255817,0.499847", \ - "0.022672,0.032529,0.045489,0.073765,0.133965,0.255816,0.499839", \ - "0.022667,0.032522,0.045490,0.073766,0.133968,0.255824,0.499848"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.638833,0.650727,0.662964,0.689219,0.748318,0.873160,1.126773", \ - "0.642913,0.654752,0.666977,0.693233,0.752360,0.877197,1.130838", \ - "0.660509,0.672301,0.684488,0.710745,0.769931,0.894769,1.148395", \ - "0.696819,0.708646,0.720743,0.747002,0.806247,0.931055,1.184619", \ - "0.755371,0.767096,0.779402,0.805791,0.865032,0.989777,1.243548", \ - "0.834201,0.845925,0.858057,0.884484,0.943665,1.068666,1.322238", \ - "0.924720,0.936432,0.948390,0.974503,1.033549,1.158512,1.411853"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022858,0.032720,0.045644,0.073841,0.134000,0.255826,0.499851", \ - "0.022761,0.032635,0.045577,0.073804,0.133982,0.255831,0.499845", \ - "0.022704,0.032575,0.045533,0.073776,0.133971,0.255829,0.499849", \ - "0.022689,0.032550,0.045512,0.073763,0.133973,0.255822,0.499847", \ - "0.022678,0.032539,0.045499,0.073773,0.133977,0.255817,0.499847", \ - "0.022668,0.032529,0.045489,0.073758,0.133965,0.255816,0.499839", \ - "0.022667,0.032522,0.045490,0.073766,0.133968,0.255824,0.499848"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.638833,0.650727,0.662964,0.689219,0.748319,0.873156,1.126776", \ - "0.642913,0.654752,0.666977,0.693233,0.752360,0.877197,1.130838", \ - "0.660509,0.672301,0.684488,0.710745,0.769931,0.894769,1.148395", \ - "0.696819,0.708647,0.720743,0.747002,0.806247,0.931055,1.184619", \ - "0.755371,0.767096,0.779402,0.805791,0.865032,0.989777,1.243548", \ - "0.834191,0.845917,0.858099,0.884590,0.943665,1.068572,1.322326", \ - "0.924719,0.936432,0.948390,0.974503,1.033548,1.158512,1.411853"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022858,0.032720,0.045644,0.073841,0.134000,0.255826,0.499851", \ - "0.022761,0.032635,0.045577,0.073804,0.133982,0.255831,0.499845", \ - "0.022704,0.032575,0.045533,0.073776,0.133971,0.255829,0.499849", \ - "0.022689,0.032550,0.045512,0.073763,0.133973,0.255822,0.499847", \ - "0.022678,0.032539,0.045499,0.073773,0.133977,0.255817,0.499847", \ - "0.022666,0.032529,0.045489,0.073764,0.133965,0.255816,0.499840", \ - "0.022667,0.032522,0.045490,0.073766,0.133968,0.255824,0.499848"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.638823,0.650717,0.662926,0.689163,0.748265,0.873088,1.126686", \ - "0.642880,0.654717,0.666942,0.693194,0.752315,0.877142,1.130763", \ - "0.660481,0.672265,0.684428,0.710702,0.769882,0.894709,1.148320", \ - "0.696779,0.708596,0.720700,0.746956,0.806191,0.930996,1.184542", \ - "0.755320,0.767046,0.779352,0.805739,0.864970,0.989710,1.243468", \ - "0.834082,0.845858,0.858059,0.884523,0.943511,1.068597,1.322237", \ - "0.924640,0.936343,0.948311,0.974430,1.033466,1.158426,1.411783"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022858,0.032720,0.045645,0.073842,0.134000,0.255827,0.499846", \ - "0.022762,0.032636,0.045577,0.073804,0.133982,0.255831,0.499848", \ - "0.022714,0.032576,0.045531,0.073776,0.133972,0.255828,0.499848", \ - "0.022689,0.032552,0.045512,0.073763,0.133973,0.255821,0.499847", \ - "0.022681,0.032539,0.045500,0.073773,0.133965,0.255817,0.499848", \ - "0.022663,0.032529,0.045498,0.073765,0.133974,0.255819,0.499840", \ - "0.022665,0.032521,0.045483,0.073759,0.133963,0.255825,0.499845"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.638833,0.650727,0.662964,0.689219,0.748319,0.873161,1.126773", \ - "0.642913,0.654752,0.666977,0.693233,0.752360,0.877197,1.130838", \ - "0.660509,0.672301,0.684488,0.710745,0.769931,0.894769,1.148395", \ - "0.696819,0.708646,0.720742,0.747002,0.806247,0.931055,1.184619", \ - "0.755371,0.767096,0.779402,0.805791,0.865032,0.989777,1.243549", \ - "0.834201,0.845917,0.858099,0.884590,0.943665,1.068572,1.322238", \ - "0.924719,0.936432,0.948389,0.974503,1.033549,1.158512,1.411853"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.022858,0.032720,0.045644,0.073841,0.134000,0.255826,0.499851", \ - "0.022761,0.032635,0.045577,0.073804,0.133982,0.255831,0.499845", \ - "0.022704,0.032575,0.045533,0.073776,0.133971,0.255829,0.499849", \ - "0.022689,0.032550,0.045512,0.073763,0.133973,0.255822,0.499847", \ - "0.022678,0.032539,0.045499,0.073773,0.133977,0.255817,0.499847", \ - "0.022668,0.032529,0.045489,0.073764,0.133965,0.255816,0.499839", \ - "0.022667,0.032522,0.045490,0.073766,0.133968,0.255824,0.499848"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.444674,3.521739,3.579675,3.723791,3.832958,3.865207,3.882541", \ - "3.434931,3.512729,3.573206,3.713127,3.825357,3.863463,3.875178", \ - "3.416022,3.498965,3.556063,3.703803,3.806308,3.845141,3.853509", \ - "3.419626,3.497638,3.555064,3.702305,3.804514,3.840143,3.857437", \ - "3.441014,3.522692,3.580729,3.726388,3.840817,3.870850,3.864583", \ - "3.508828,3.583680,3.639260,3.791443,3.883033,3.933636,3.934142", \ - "3.624919,3.700264,3.756649,3.898318,4.013657,4.034102,4.052911"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.598668,3.643664,3.675873,3.725167,3.791154,3.819425,3.834441", \ - "3.597563,3.637876,3.666484,3.718313,3.789848,3.816391,3.819265", \ - "3.570297,3.615067,3.636313,3.699296,3.769905,3.792126,3.806234", \ - "3.556972,3.601670,3.632956,3.682534,3.747034,3.776098,3.793808", \ - "3.575693,3.621097,3.649798,3.699077,3.777510,3.811525,3.813121", \ - "3.637919,3.679796,3.709235,3.758737,3.831964,3.845187,3.860436", \ - "3.756030,3.799225,3.819796,3.881605,3.944396,3.970397,3.987652"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.977039,3.016681,3.053724,3.092100,3.152492,3.166822,3.169030", \ - "2.971804,3.012012,3.041148,3.097708,3.145795,3.160673,3.162857", \ - "2.961391,2.999956,3.037375,3.080727,3.128755,3.142949,3.144620", \ - "2.958474,2.997093,3.022845,3.079869,3.111216,3.124900,3.161117", \ - "2.952601,2.999725,3.022876,3.078171,3.116991,3.131043,3.133212", \ - "2.987997,3.024522,3.056683,3.093647,3.135762,3.147654,3.149746", \ - "3.053800,3.074423,3.103110,3.122600,3.148282,3.157498,3.190969"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.976418,3.016041,3.052988,3.091408,3.151775,3.166055,3.168008", \ - "2.971187,3.011365,3.040508,3.097040,3.145089,3.159928,3.162086", \ - "2.960794,2.999351,3.036747,3.080174,3.128061,3.142213,3.143851", \ - "2.957908,2.996516,3.022263,3.079250,3.110561,3.124190,3.160379", \ - "2.952096,2.999214,3.022342,3.077612,3.116383,3.130387,3.132508", \ - "2.987588,3.024096,3.056239,3.093175,3.135272,3.147058,3.149103", \ - "3.053504,3.074110,3.102773,3.122224,3.147853,3.156984,3.190372"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.976733,3.016365,3.054084,3.091587,3.152160,3.166587,3.168720", \ - "2.971501,3.010378,3.040834,3.097397,3.145501,3.160386,3.162674", \ - "2.961101,2.999668,3.037068,3.080482,3.128449,3.142692,3.144464", \ - "2.958203,2.996824,3.022582,3.079582,3.110943,3.124671,3.160965", \ - "2.952384,2.999510,3.022641,3.077944,3.116777,3.130818,3.133071", \ - "2.987840,3.024370,3.056503,3.093457,3.135576,3.147496,3.149603", \ - "3.053713,3.074334,3.102996,3.122489,3.148157,3.157388,3.190888"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.594317,3.636669,3.671690,3.717541,3.779338,3.793087,3.796632", \ - "3.589334,3.632170,3.663780,3.723592,3.773213,3.787334,3.788995", \ - "3.575496,3.617633,3.657404,3.703351,3.753696,3.767526,3.768212", \ - "3.573034,3.616410,3.643647,3.703945,3.737177,3.750990,3.750634", \ - "3.573494,3.616527,3.651380,3.710629,3.751920,3.766444,3.767712", \ - "3.613126,3.662270,3.685197,3.743644,3.789231,3.801584,3.818057", \ - "3.695074,3.732884,3.769577,3.797142,3.852280,3.838005,3.871509"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.595059,3.637419,3.672482,3.718596,3.780250,3.794110,3.797790", \ - "3.590066,3.633074,3.664545,3.724396,3.774079,3.788329,3.789997", \ - "3.576207,3.618357,3.658174,3.704180,3.754593,3.768459,3.769162", \ - "3.573709,3.617150,3.644359,3.704732,3.738031,3.751884,3.751553", \ - "3.574094,3.617142,3.652032,3.711320,3.752663,3.767329,3.768624", \ - "3.613670,3.662802,3.685762,3.744286,3.789938,3.802360,3.818974", \ - "3.695459,3.733290,3.770158,3.797630,3.852999,3.838677,3.872279"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.595064,3.637423,3.672484,3.718599,3.780249,3.794116,3.807473", \ - "3.590071,3.633099,3.664548,3.724399,3.774081,3.788323,3.789991", \ - "3.576212,3.618362,3.658175,3.704178,3.754590,3.768457,3.769164", \ - "3.573714,3.617148,3.644362,3.704730,3.738028,3.751885,3.751557", \ - "3.574099,3.617147,3.652035,3.711322,3.752666,3.767324,3.768622", \ - "3.613639,3.662805,3.685763,3.744282,3.789934,3.802356,3.818956", \ - "3.695460,3.733292,3.770032,3.797630,3.852997,3.838675,3.872278"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.976020,3.015619,3.053360,3.090747,3.151196,3.165444,3.167230", \ - "2.970788,3.009633,3.040064,3.096558,3.144544,3.159250,3.161323", \ - "2.960386,2.998923,3.036294,3.079616,3.127490,3.141565,3.143113", \ - "2.957496,2.996092,3.021813,3.078747,3.109997,3.123555,3.159637", \ - "2.951706,2.998807,3.021911,3.077144,3.115868,3.129739,3.131777", \ - "2.987219,3.023723,3.055824,3.092715,3.134761,3.146475,3.148375", \ - "3.053186,3.073781,3.102415,3.121838,3.147400,3.156452,3.189721"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.595059,3.637429,3.672480,3.718605,3.780242,3.794134,3.806944", \ - "3.590073,3.632965,3.664556,3.724409,3.774097,3.788318,3.790043", \ - "3.576220,3.618373,3.658175,3.704172,3.754582,3.768486,3.769247", \ - "3.573725,3.617128,3.644377,3.704728,3.738029,3.751920,3.751646", \ - "3.574119,3.617170,3.652050,3.711340,3.752699,3.767333,3.768692", \ - "3.613740,3.662825,3.685778,3.744279,3.789941,3.802393,3.818990", \ - "3.695486,3.733317,3.770138,3.797653,3.828672,3.838720,3.872363"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.999281,4.040347,4.077262,4.145368,4.214168,4.253292,4.297931", \ - "3.989287,4.029543,4.052083,4.124818,4.207978,4.247511,4.256045", \ - "3.980372,4.018319,4.054757,4.112277,4.194483,4.233057,4.243657", \ - "3.982178,4.014645,4.051292,4.108761,4.181745,4.220700,4.265124", \ - "3.983477,4.022819,4.059379,4.129002,4.209132,4.249047,4.258417", \ - "3.996357,4.036215,4.072558,4.136629,4.223417,4.260020,4.275504", \ - "4.022800,4.062121,4.092667,4.161828,4.235786,4.271259,4.283989"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.998395,4.039670,4.071844,4.144483,4.213224,4.252402,4.297111", \ - "3.988408,4.028669,4.051208,4.123945,4.207118,4.246665,4.255226", \ - "3.979548,4.017485,4.053909,4.111427,4.193650,4.232225,4.242838", \ - "3.981382,4.013723,4.050498,4.107956,4.180933,4.219897,4.264343", \ - "3.982753,4.022092,4.058656,4.128270,4.208391,4.248298,4.257648", \ - "3.995794,4.035731,4.069839,4.136280,4.188094,4.258851,4.274276", \ - "4.022319,4.062273,4.092173,4.161304,4.235232,4.270650,4.283335"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.998714,4.039760,4.072031,4.144769,4.213572,4.252706,4.297320", \ - "3.988734,4.028993,4.051533,4.124247,4.207393,4.246915,4.255566", \ - "3.980177,4.017648,4.054221,4.111707,4.193903,4.232502,4.243222", \ - "3.981775,4.017449,4.050716,4.108249,4.181224,4.220186,4.264702", \ - "3.983103,4.022517,4.058959,4.128577,4.208756,4.248563,4.259089", \ - "3.996178,4.035997,4.065657,4.136559,4.188385,4.259148,4.275714", \ - "4.022620,4.061897,4.092414,4.161573,4.235492,4.270941,4.283705"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.996936,4.037881,4.069960,4.142402,4.210623,4.248929,4.292225", \ - "3.986906,4.027049,4.064080,4.121925,4.204544,4.243085,4.250879", \ - "3.975502,4.016499,4.052188,4.109290,4.190955,4.228702,4.239641", \ - "3.979861,4.012372,4.048568,4.105801,4.178225,4.216383,4.260770", \ - "3.981056,4.020381,4.056734,4.126074,4.205600,4.244601,4.254504", \ - "3.994093,4.033885,4.063200,4.133606,4.220066,4.255308,4.268183", \ - "4.028671,4.061094,4.090034,4.158749,4.232179,4.266969,4.278920"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.997979,4.038932,4.071037,4.143496,4.211735,4.249883,4.293283", \ - "3.987938,4.028089,4.065133,4.122965,4.205592,4.244199,4.251934", \ - "3.976503,4.017500,4.053224,4.110355,4.192026,4.229758,4.240648", \ - "3.980810,4.013324,4.049538,4.106804,4.179254,4.217399,4.261772", \ - "3.981918,4.021253,4.057634,4.126987,4.206529,4.245619,4.255491", \ - "3.995955,4.035159,4.064226,4.135021,4.220947,4.256202,4.269153", \ - "4.029279,4.061717,4.090688,4.159436,4.232933,4.267763,4.279744"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.997988,4.038934,4.071036,4.143489,4.211718,4.249913,4.293321", \ - "3.987947,4.028091,4.065133,4.122970,4.205600,4.244187,4.251929", \ - "3.976507,4.017503,4.053223,4.110354,4.192036,4.229752,4.240650", \ - "3.980815,4.013327,4.049539,4.106804,4.179244,4.217394,4.261771", \ - "3.981921,4.021255,4.057642,4.126989,4.206525,4.245604,4.255486", \ - "3.994956,4.034655,4.063998,4.134441,4.220939,4.256529,4.268881", \ - "4.029288,4.061723,4.090693,4.159430,4.232926,4.267763,4.279746"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.997671,4.038700,4.070944,4.143694,4.212355,4.251404,4.297964", \ - "3.987693,4.027934,4.050453,4.123123,4.206189,4.245584,4.254087", \ - "3.979169,4.016600,4.053144,4.110585,4.192701,4.231180,4.241748", \ - "3.980749,4.016457,4.049657,4.107142,4.180036,4.218878,4.263248", \ - "3.982151,4.021507,4.057932,4.127503,4.207604,4.247291,4.257636", \ - "3.995431,4.035095,4.064692,4.135251,4.187290,4.257934,4.274151", \ - "4.021825,4.061058,4.091552,4.160665,4.234499,4.269812,4.282390"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.997991,4.038938,4.071037,4.143502,4.211713,4.249915,4.293303", \ - "3.987952,4.028098,4.065142,4.122989,4.205626,4.244197,4.252012", \ - "3.976519,4.017521,4.053232,4.110349,4.192035,4.229788,4.240758", \ - "3.980838,4.013356,4.049562,4.106813,4.179263,4.217438,4.261867", \ - "3.981954,4.021288,4.057648,4.127013,4.206560,4.245618,4.255490", \ - "3.996000,4.034688,4.064012,4.134458,4.220953,4.256583,4.269190", \ - "4.029321,4.061758,4.090717,4.159458,4.232947,4.267822,4.279853"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFR_X2 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and drive strength X2 - *******************************************************************************************/ - - cell (SDFFR_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - clear : "!RN"; - } - - area : 6.916000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 94.471528; - - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & !Q & QN"; - value : 84.146725; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & !Q & QN"; - value : 91.179309; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & !Q & QN"; - value : 81.562545; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & !Q & QN"; - value : 92.131731; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & !Q & QN"; - value : 92.106718; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & Q & !QN"; - value : 99.252209; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & !Q & QN"; - value : 99.139302; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & Q & !QN"; - value : 106.284888; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & !Q & QN"; - value : 89.522537; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & Q & !QN"; - value : 96.668219; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & !Q & QN"; - value : 95.860605; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & Q & !QN"; - value : 97.006191; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & !Q & QN"; - value : 95.383239; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & !Q & QN"; - value : 96.430006; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & !Q & QN"; - value : 90.880600; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & !Q & QN"; - value : 93.494924; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & !Q & QN"; - value : 99.112113; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & Q & !QN"; - value : 100.257699; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & !Q & QN"; - value : 100.158880; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & Q & !QN"; - value : 101.304371; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & !Q & QN"; - value : 98.840594; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & Q & !QN"; - value : 105.986275; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & !Q & QN"; - value : 97.223807; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & Q & !QN"; - value : 98.369393; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & !Q & QN"; - value : 84.190767; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & !Q & QN"; - value : 91.222116; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & !Q & QN"; - value : 81.606016; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & !Q & QN"; - value : 83.113163; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & !Q & QN"; - value : 90.010904; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & Q & !QN"; - value : 101.910585; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & !Q & QN"; - value : 97.042253; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & Q & !QN"; - value : 108.941364; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & !Q & QN"; - value : 87.426153; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & Q & !QN"; - value : 99.325454; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & !Q & QN"; - value : 88.934145; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & Q & !QN"; - value : 94.854242; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & !Q & QN"; - value : 86.365042; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & !Q & QN"; - value : 87.412188; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & !Q & QN"; - value : 90.921888; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & !Q & QN"; - value : 84.477126; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & !Q & QN"; - value : 92.185938; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & Q & !QN"; - value : 98.106035; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & !Q & QN"; - value : 93.233086; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & Q & !QN"; - value : 99.153086; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & !Q & QN"; - value : 96.742024; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & Q & !QN"; - value : 108.640851; - } - leakage_power () { - when : "CK & D & RN & SE & SI & !Q & QN"; - value : 90.298023; - } - leakage_power () { - when : "CK & D & RN & SE & SI & Q & !QN"; - value : 96.218024; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.118154; - fall_capacitance : 1.045331; - rise_capacitance : 1.118154; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & !SE"; - sdf_cond : "RN_AND_NEG_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.070580,-0.018632,0.038181", \ - "-0.108810,-0.053977,0.007576", \ - "0.164507,0.221948,0.288529"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.021177,0.016885,0.048761", \ - "-0.019109,0.015205,0.025969", \ - "0.126402,0.162791,0.148816"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & !SE"; - sdf_cond : "RN_AND_NEG_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.233958,0.202642,0.212921", \ - "0.291659,0.259961,0.271117", \ - "0.447098,0.414388,0.423467"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.224303,0.166926,0.099926", \ - "0.269188,0.211273,0.144801", \ - "0.336265,0.278830,0.212274"); - } - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.811190,3.792935,3.768089,3.755179,3.762240,3.813029,3.929587"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.026925,3.006228,2.977157,2.954720,2.954155,3.008582,3.120578"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.802869,3.784643,3.758724,3.745708,3.752630,3.802752,3.918091"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.009227,2.987725,2.958377,2.935436,2.935699,2.993152,3.107050"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.547510,0.524260,0.515505,0.511914,0.509025,0.508912,0.508794"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446605,-0.448735,-0.452870,-0.456469,-0.458755,-0.460290,-0.461034"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480461,0.483627,0.484400,0.484995,0.485085,0.486562,0.485192"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.328968,-0.361069,-0.400123,-0.419739,-0.430136,-0.436407,-0.441076"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.876220,4.857604,4.833611,4.820162,4.827188,4.882465,5.010063"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.345649,3.322488,3.294632,3.271491,3.271605,3.316339,3.436382"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.857140,4.829060,4.802373,4.791190,4.797184,4.845771,4.978437"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.349533,3.326069,3.299211,3.276161,3.275822,3.321857,3.437692"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.868337,4.849512,4.825409,4.811781,4.820324,4.868587,5.000224"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.347997,3.324921,3.297137,3.274052,3.273535,3.319250,3.437918"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.837672,4.820237,4.793381,4.782107,4.787872,4.836016,4.968122"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.349352,3.325869,3.299149,3.275788,3.275113,3.321926,3.438596"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543762,0.523326,0.514567,0.510381,0.508012,0.508004,0.507861"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449358,-0.451463,-0.455596,-0.459189,-0.461467,-0.462984,-0.463706"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.547641,0.524411,0.515644,0.512064,0.509098,0.509090,0.508888"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446884,-0.448692,-0.452842,-0.456509,-0.458724,-0.460394,-0.461184"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480103,0.483269,0.484043,0.484642,0.484736,0.486221,0.484859"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330104,-0.362201,-0.401253,-0.420864,-0.431255,-0.437515,-0.442217"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480461,0.483628,0.484401,0.484997,0.485084,0.486563,0.485194"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.329205,-0.361295,-0.400348,-0.419959,-0.430350,-0.436613,-0.441319"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.419846,2.400718,2.375158,2.363542,2.373568,2.440500,2.549616"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.150224,1.127398,1.101076,1.083978,1.088262,1.137507,1.255737"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.410745,2.391911,2.366289,2.354489,2.364235,2.430778,2.539444"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.150186,1.127354,1.101005,1.084609,1.087590,1.137129,1.258162"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543622,0.523249,0.513860,0.510830,0.507973,0.507861,0.507808"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.450109,-0.451537,-0.456126,-0.459784,-0.462012,-0.463694,-0.464457"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479553,0.482944,0.483250,0.484336,0.484494,0.485867,0.484600"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330683,-0.362841,-0.401835,-0.421455,-0.431808,-0.438067,-0.442722"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.419404,2.399990,2.374502,2.362939,2.372959,2.440173,2.549058"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.192941,1.171882,1.143608,1.126107,1.129791,1.180188,1.300044"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.380025,2.361548,2.338251,2.324889,2.335392,2.402699,2.510518"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.185814,1.164780,1.138351,1.118189,1.123772,1.169758,1.288706"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.411340,2.391994,2.366339,2.354582,2.364306,2.430961,2.539484"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.195449,1.172350,1.146094,1.128529,1.131982,1.183158,1.301415"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.371293,2.352769,2.327943,2.315817,2.326067,2.393140,2.501544"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.185796,1.164664,1.138276,1.118632,1.123214,1.171743,1.288153"); - } - - } - - internal_power () { - - when : "CK & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543554,0.523105,0.513792,0.510767,0.507895,0.507798,0.507710"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449872,-0.452006,-0.456147,-0.459743,-0.462026,-0.463547,-0.464275"); - } - - } - - internal_power () { - - when : "CK & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.546840,0.523604,0.514832,0.510602,0.508242,0.508313,0.508134"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449206,-0.451040,-0.455194,-0.458858,-0.461068,-0.462724,-0.463500"); - } - - } - - internal_power () { - - when : "CK & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479894,0.483024,0.483312,0.484405,0.484562,0.485957,0.484677"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330549,-0.362729,-0.401766,-0.421370,-0.431758,-0.438016,-0.442669"); - } - - } - - internal_power () { - - when : "CK & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480631,0.483775,0.484550,0.485142,0.485257,0.486689,0.485355"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.328617,-0.360761,-0.399794,-0.419400,-0.429791,-0.436059,-0.440724"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.534676; - fall_capacitance : 1.491248; - rise_capacitance : 1.534676; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.096797,-0.151321,-0.217062", \ - "-0.055467,-0.110449,-0.177555", \ - "-0.014196,-0.069519,-0.136992"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.116950,0.168657,0.233280", \ - "0.079613,0.131471,0.195954", \ - "0.052468,0.104017,0.168236"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.395210,0.494789,0.884007"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.514241,0.502780,0.491509,0.488949,0.487395,0.487372,0.485901"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449788,-0.450227,-0.449348,-0.457225,-0.459283,-0.460190,-0.458797"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.524900,0.502717,0.491431,0.488888,0.487330,0.487294,0.485913"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.453268,-0.454413,-0.443268,-0.451701,-0.457455,-0.463038,-0.462396"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.514210,0.502736,0.491473,0.488913,0.487391,0.487327,0.485898"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449809,-0.450277,-0.449399,-0.457275,-0.459334,-0.460241,-0.458848"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.627961,1.608838,1.584098,1.588252,1.597545,1.658946,1.715860"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.105408,-0.107753,-0.111118,-0.115380,-0.116848,-0.105720,-0.080351"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.628004,1.608896,1.584148,1.588303,1.597550,1.659011,1.715863"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.105376,-0.107677,-0.111042,-0.115304,-0.116772,-0.105646,-0.080277"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.627995,1.608884,1.584139,1.588293,1.597549,1.658999,1.715863"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.105382,-0.107691,-0.111057,-0.115318,-0.116787,-0.105660,-0.080310"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.514244,0.502784,0.491512,0.488954,0.487394,0.487379,0.485900"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449785,-0.450219,-0.449340,-0.457217,-0.459275,-0.460183,-0.458790"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.627949,1.608821,1.584085,1.588239,1.597544,1.658929,1.715859"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.105416,-0.107772,-0.111138,-0.115400,-0.116868,-0.105739,-0.080390"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.477074,0.472432,0.470381,0.470400,0.469162,0.469712,0.468516"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448342,-0.447196,-0.449696,-0.450888,-0.451898,-0.452284,-0.451885"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.476857,0.472229,0.470144,0.470198,0.468940,0.469536,0.468316"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449280,-0.448107,-0.450606,-0.451795,-0.452800,-0.453178,-0.452768"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.475964,0.471311,0.469307,0.469288,0.468209,0.468600,0.467462"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.450804,-0.449963,-0.452324,-0.453227,-0.453163,-0.454820,-0.454426"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.475542,0.470877,0.468912,0.468877,0.467710,0.468207,0.467116"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.452399,-0.451307,-0.453807,-0.454996,-0.456003,-0.456371,-0.455955"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.476195,0.471544,0.469513,0.469526,0.468423,0.468849,0.467678"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.450528,-0.449670,-0.452025,-0.452922,-0.452855,-0.454506,-0.454105"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.476229,0.471577,0.469560,0.469562,0.468350,0.468883,0.467726"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.450526,-0.449398,-0.451899,-0.453089,-0.454098,-0.454473,-0.454066"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.476894,0.472258,0.470202,0.470232,0.468998,0.469569,0.468380"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449259,-0.448107,-0.450605,-0.451794,-0.452798,-0.453174,-0.452761"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.475530,0.470860,0.468911,0.468863,0.467708,0.468189,0.467114"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.452437,-0.451339,-0.453824,-0.455018,-0.456028,-0.456394,-0.455982"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.883445; - fall_capacitance : 1.735922; - rise_capacitance : 1.883445; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.044677,-0.007905,0.017750", \ - "-0.103974,-0.065487,-0.037110", \ - "0.170929,0.205940,0.198078"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.066203,-0.015902,0.053736", \ - "-0.086099,-0.030403,0.035475", \ - "0.034320,0.091314,0.160364"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.269239,0.211694,0.175680", \ - "0.333983,0.275771,0.229020", \ - "0.466462,0.409472,0.383121"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.260709,0.228340,0.237714", \ - "0.296776,0.264176,0.274292", \ - "0.329843,0.294839,0.302731"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.824743,1.787617,1.776604,1.824074,1.920924,2.084739,2.319202"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.029163,-0.052313,-0.075805,-0.058837,0.023460,0.177857,0.407999"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.490427,4.422595,4.379089,4.449612,4.630211,4.943073,5.384041"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.545474,2.523606,2.475795,2.488738,2.614500,2.904846,3.340142"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.824330,1.786684,1.775689,1.822802,1.920482,2.083832,2.318534"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.035100,-0.055024,-0.078543,-0.057088,0.023987,0.175027,0.402748"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.825513,1.788801,1.776290,1.824366,1.922093,2.085058,2.319431"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.028009,-0.045729,-0.072331,-0.055129,0.031891,0.178983,0.408979"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.561815,5.488333,5.446784,5.514748,5.695380,6.003922,6.473606"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.902386,2.881147,2.832619,2.843384,2.971842,3.246242,3.689521"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.526290,5.458895,5.417341,5.483480,5.664329,5.969335,6.444706"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.881868,2.860491,2.811877,2.824028,2.951854,3.229279,3.672881"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.644313,4.610386,4.622943,4.710304,4.855263,5.070997,5.376777"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.191072,3.188823,3.135379,3.116352,3.168604,3.303442,3.536570"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.692978,1.656141,1.646638,1.696560,1.797321,1.960117,2.192453"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.034653,0.017204,-0.002790,0.014253,0.093309,0.243816,0.474767"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.000312,4.965416,4.978478,5.065483,5.212737,5.426390,5.720225"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.261002,4.258329,4.205390,4.185663,4.235847,4.366154,4.621690"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.035964,5.001582,5.014345,5.101778,5.247629,5.462106,5.755708"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.281055,4.278388,4.225515,4.206901,4.258547,4.390291,4.643746"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.692555,1.655760,1.646289,1.695636,1.796913,1.960875,2.192161"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.033526,0.016079,-0.003972,0.013121,0.092169,0.242319,0.473671"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.693049,1.656173,1.646862,1.696563,1.797319,1.961207,2.192336"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.034401,0.016980,-0.003143,0.014027,0.093092,0.243223,0.474540"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.827819,1.788720,1.776003,1.824398,1.922501,2.084811,2.318649"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.026386,-0.048619,-0.069215,-0.050903,0.031497,0.180915,0.408689"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.091742,3.023402,2.982062,3.058767,3.244197,3.567366,4.007969"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.680740,0.658832,0.616820,0.636343,0.770140,1.051416,1.491313"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.824370,1.785866,1.774906,1.822930,1.920334,2.083311,2.318275"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.035689,-0.055696,-0.078901,-0.062078,0.023337,0.173307,0.402676"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.826898,1.788754,1.775659,1.823249,1.921685,2.084194,2.318890"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.030951,-0.050697,-0.074292,-0.057595,0.029322,0.178001,0.406553"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.091247,3.023380,2.982105,3.058691,3.244159,3.567350,4.007940"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.750641,0.729935,0.684165,0.705005,0.835488,1.111655,1.553873"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.051750,2.984755,2.942010,3.017955,3.208080,3.532699,3.972699"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.718599,0.696926,0.654344,0.671398,0.803394,1.080422,1.519422"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.854592,2.819422,2.830346,2.918306,3.064249,3.279960,3.570389"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.718714,1.724325,1.702229,1.701207,1.765302,1.909519,2.140612"); - } - - } - - internal_power () { - - when : "CK & D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.695514,1.658887,1.649294,1.699700,1.800664,1.964266,2.194520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.102188,-0.119978,-0.139796,-0.124049,-0.046007,0.101751,0.330121"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.844628,2.811071,2.822868,2.909771,3.057681,3.274841,3.569349"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.801193,1.798346,1.744914,1.723212,1.776902,1.921505,2.143042"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.881304,2.845561,2.858775,2.944342,3.094515,3.308971,3.600980"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.780997,1.777772,1.724385,1.702905,1.756507,1.897542,2.121803"); - } - - } - - internal_power () { - - when : "CK & D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.692405,1.655240,1.645546,1.695993,1.796861,1.960435,2.192528"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.033081,0.015587,-0.004447,0.012598,0.091774,0.242196,0.473125"); - } - - } - - internal_power () { - - when : "CK & D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.693032,1.655955,1.646782,1.696724,1.797444,1.958465,2.191846"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.034943,0.017533,-0.002556,0.014573,0.092639,0.244013,0.475065"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.860841; - fall_capacitance : 0.821904; - rise_capacitance : 0.860841; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SE"; - sdf_cond : "RN_AND_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.082932,-0.030335,0.026283", \ - "-0.110961,-0.055309,0.007658", \ - "0.143245,0.200842,0.267202"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.022275,0.016194,0.047252", \ - "-0.020749,0.013754,0.025179", \ - "0.146094,0.182739,0.171539"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SE"; - sdf_cond : "RN_AND_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.268494,0.236141,0.245575", \ - "0.325761,0.293343,0.303116", \ - "0.489897,0.456606,0.464403"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.229260,0.171687,0.104643", \ - "0.278160,0.220431,0.153886", \ - "0.357510,0.299918,0.233584"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.415126,0.398491,0.391049,0.387871,0.385430,0.385292,0.384117"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.341185,-0.343305,-0.346365,-0.348036,-0.349007,-0.349654,-0.349874"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.777619,3.765955,3.748920,3.737103,3.741669,3.776085,3.858954"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.683999,2.667697,2.648791,2.631591,2.626880,2.661418,2.736337"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.411976,0.397557,0.390111,0.386310,0.384416,0.384384,0.383185"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.343935,-0.346033,-0.349091,-0.350756,-0.351719,-0.352349,-0.352546"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.415330,0.398693,0.390557,0.388064,0.385550,0.385520,0.384239"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.341079,-0.343175,-0.346248,-0.347920,-0.348865,-0.349593,-0.349994"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.845772,4.834119,4.816175,4.814436,4.819910,4.840941,4.924599"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.000746,2.984583,2.965714,2.948346,2.943963,2.970607,3.050217"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.814832,4.803289,4.785107,4.772241,4.778043,4.810057,4.898929"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.004827,2.989009,2.969538,2.952920,2.948984,2.974994,3.049714"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357649,0.361130,0.362206,0.361952,0.361339,0.361914,0.361519"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.248921,-0.272076,-0.301460,-0.315966,-0.323551,-0.328218,-0.331405"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.766540,3.754829,3.737019,3.725742,3.730316,3.763684,3.845789"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.686188,2.670470,2.651478,2.634167,2.629474,2.663722,2.738880"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357288,0.360773,0.361852,0.360969,0.360927,0.361573,0.361187"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.250053,-0.273210,-0.302589,-0.317093,-0.324671,-0.329326,-0.332498"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357648,0.361133,0.362211,0.361956,0.361339,0.361920,0.361522"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249153,-0.272305,-0.301685,-0.316188,-0.323766,-0.328424,-0.331652"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.834870,4.822412,4.804318,4.802388,4.799177,4.828542,4.911589"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.003293,2.987221,2.968299,2.950920,2.946571,2.973004,3.052747"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.802790,4.791201,4.772868,4.759154,4.766537,4.793346,4.883957"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.019554,3.001977,2.983941,2.966624,2.963068,2.988216,3.064609"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.416102,0.398495,0.390477,0.387879,0.385456,0.385299,0.384134"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.341312,-0.343490,-0.346568,-0.348241,-0.349186,-0.349912,-0.350310"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.384999,2.373865,2.355101,2.343005,2.352859,2.402530,2.481060"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.851248,0.836988,0.819342,0.804942,0.804046,0.837154,0.920491"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.411771,0.397335,0.389308,0.386724,0.384305,0.384181,0.383037"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344455,-0.346582,-0.349645,-0.351314,-0.352281,-0.352916,-0.353119"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412276,0.397832,0.390377,0.386525,0.384642,0.384694,0.383437"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.343568,-0.345665,-0.348738,-0.350407,-0.351349,-0.352065,-0.352451"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.384046,2.372717,2.353950,2.342037,2.351762,2.401677,2.480062"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.851073,0.836997,0.819354,0.804836,0.803540,0.837246,0.917284"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.347026,2.336845,2.317669,2.306208,2.315515,2.363515,2.436929"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.845282,0.829249,0.811828,0.799540,0.797470,0.829738,0.907823"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357715,0.361153,0.361686,0.362006,0.361478,0.361943,0.361663"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.248928,-0.270751,-0.300301,-0.316001,-0.323339,-0.327781,-0.330949"); - } - - } - - internal_power () { - - when : "CK & D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.372478,2.361427,2.342547,2.330059,2.339555,2.388785,2.466518"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.809085,0.791903,0.774504,0.763423,0.761909,0.795895,0.874058"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357063,0.360521,0.361067,0.361362,0.360816,0.361308,0.361005"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.250508,-0.273717,-0.303107,-0.317591,-0.325169,-0.329823,-0.332995"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357801,0.361276,0.362361,0.362101,0.361512,0.362045,0.361683"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.248574,-0.271748,-0.301137,-0.315623,-0.323202,-0.327866,-0.331049"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.372741,2.361370,2.342491,2.330117,2.339599,2.388856,2.466583"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.853637,0.839568,0.821527,0.807523,0.805902,0.839634,0.919613"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.335181,2.324931,2.305010,2.294457,2.302873,2.350263,2.422089"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.858694,0.842219,0.824862,0.812374,0.810404,0.842532,0.919581"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.921336; - fall_capacitance : 0.831574; - rise_capacitance : 0.921336; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.252949,0.263591,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.334154,0.336520,0.500500"); - } - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.209744,3.184399,3.155276,3.174712,3.253416,3.409246,3.625964"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.301560,2.287917,2.255879,2.251166,2.302086,2.424650,2.632114"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.201121,3.175577,3.146432,3.165594,3.242593,3.399992,3.611687"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.460600,2.448330,2.410969,2.408838,2.461762,2.582018,2.793479"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.209559,3.184214,3.155100,3.174408,3.253361,3.409159,3.625965"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.301642,2.287927,2.255908,2.251246,2.302103,2.424671,2.632102"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.800155,4.774551,4.745510,4.767296,4.857321,5.018317,5.260280"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.905288,5.890380,5.858720,5.864380,5.929573,6.063622,6.294157"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.223187,3.197632,3.167624,3.185176,3.265012,3.421579,3.640347"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.318704,2.306497,2.276315,2.267006,2.322068,2.444051,2.651150"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.342529,5.316785,5.287091,5.299860,5.378861,5.528867,5.778968"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.223215,3.197673,3.167658,3.185179,3.265015,3.421622,3.644681"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.318726,2.306554,2.276356,2.267067,2.322126,2.444111,2.651633"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.348546,5.315871,5.286209,5.298966,5.377974,5.527985,5.778092"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.223065,3.197494,3.167475,3.185143,3.264977,3.421494,3.640307"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.318736,2.306533,2.276332,2.267036,2.322080,2.444071,2.651088"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.343552,5.315324,5.286873,5.300699,5.378358,5.533513,5.778504"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.241477,5.213609,5.184523,5.204034,5.287133,5.439270,5.663394"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.242190,3.216055,3.185928,3.205298,3.286862,3.442565,3.663702"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.232997,2.220087,2.178126,2.178022,2.230688,2.357313,2.560493"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.799832,4.774724,4.745569,4.767803,4.857344,5.018817,5.259730"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.905129,5.890385,5.858606,5.864395,5.929594,6.063661,6.294208"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.799821,4.774691,4.745556,4.767793,4.857341,5.018801,5.264148"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.905125,5.890371,5.858591,5.864380,5.929579,6.063646,6.299584"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.209599,3.184270,3.155146,3.174454,3.253371,3.409217,3.625935"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.301673,2.287997,2.255974,2.251302,2.302170,2.424748,2.632118"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.800142,4.774529,4.745489,4.767282,4.857319,5.018301,5.264492"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.905281,5.890360,5.858701,5.864360,5.929552,6.063601,6.299528"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.241525,5.213378,5.184352,5.204104,5.287210,5.439339,5.663517"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.242224,3.216115,3.185975,3.205343,3.286505,3.442625,3.663704"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.233389,2.220169,2.178206,2.178092,2.230761,2.357392,2.560566"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.241516,5.213667,5.184358,5.204087,5.287196,5.439324,5.663450"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.242214,3.216102,3.185964,3.204896,3.286502,3.442610,3.663645"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.233387,2.220154,2.178191,2.178077,2.230746,2.357377,2.562846"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.223101,3.197551,3.167521,3.185149,3.264983,3.421553,3.644694"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.318762,2.306582,2.276403,2.267084,2.322148,2.444146,2.651599"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.662208,5.641397,5.602474,5.621247,5.695172,5.849743,6.098412"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.241463,5.213584,5.184497,5.203934,5.287113,5.439251,5.663376"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.244580,3.218438,3.188306,3.207222,3.288865,3.444894,3.665979"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.233106,2.220194,2.178238,2.178155,2.230839,2.357445,2.562898"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.312511,0.341020,0.364173,0.398938,0.448510,0.515652,0.614130", \ - "0.317777,0.346289,0.369474,0.404221,0.453800,0.520892,0.619410", \ - "0.335400,0.363942,0.387057,0.421849,0.471437,0.538552,0.637076", \ - "0.359228,0.387792,0.410913,0.445606,0.495223,0.562400,0.660951", \ - "0.382966,0.411415,0.434512,0.469139,0.518706,0.585879,0.684483", \ - "0.403925,0.432147,0.455262,0.490548,0.539783,0.607569,0.706432", \ - "0.420988,0.449508,0.472432,0.507154,0.557837,0.624725,0.723847"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.219715,0.242593,0.263290,0.299737,0.366734,0.495356,0.750108", \ - "0.224934,0.247795,0.268505,0.304931,0.371943,0.500553,0.755327", \ - "0.242868,0.265737,0.286460,0.322885,0.389881,0.518504,0.773283", \ - "0.268114,0.290993,0.311688,0.348074,0.415093,0.543725,0.798488", \ - "0.293324,0.316143,0.336753,0.373156,0.440146,0.568790,0.823405", \ - "0.316073,0.338936,0.359614,0.396456,0.463467,0.592113,0.846627", \ - "0.335667,0.358514,0.379530,0.415872,0.482889,0.611547,0.866268"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.057317,0.067065,0.075275,0.087742,0.104178,0.129149,0.181879", \ - "0.057317,0.067067,0.075275,0.087743,0.104175,0.129159,0.181867", \ - "0.057239,0.067067,0.075267,0.087750,0.104179,0.129163,0.181871", \ - "0.057319,0.067061,0.075269,0.087746,0.104177,0.129146,0.181883", \ - "0.057332,0.067062,0.075260,0.087722,0.104160,0.129152,0.181861", \ - "0.057329,0.067123,0.075345,0.087799,0.104186,0.129142,0.181878", \ - "0.057362,0.067167,0.075367,0.087844,0.104270,0.129187,0.181896"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.024558,0.037719,0.051727,0.079962,0.137813,0.256999,0.499806", \ - "0.024555,0.037717,0.051732,0.079961,0.137810,0.256997,0.499807", \ - "0.024551,0.037714,0.051726,0.079958,0.137808,0.256994,0.499805", \ - "0.024542,0.037710,0.051726,0.079954,0.137806,0.256993,0.499806", \ - "0.024545,0.037711,0.051720,0.079956,0.137809,0.256995,0.499805", \ - "0.024556,0.037716,0.051727,0.079950,0.137809,0.257002,0.499807", \ - "0.024575,0.037736,0.051742,0.079971,0.137815,0.256997,0.499807"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.352804,0.382447,0.406718,0.442739,0.490445,0.551343,0.641559", \ - "0.357304,0.386963,0.411234,0.447258,0.495027,0.555877,0.646089", \ - "0.375992,0.405641,0.429911,0.465940,0.513725,0.574555,0.664768", \ - "0.407859,0.437446,0.461707,0.497724,0.545431,0.606340,0.696560", \ - "0.453724,0.483366,0.507460,0.543592,0.591341,0.652256,0.742342", \ - "0.517392,0.547004,0.571191,0.607483,0.655222,0.716249,0.806549", \ - "0.598122,0.628065,0.651950,0.688487,0.736428,0.797767,0.888076"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.060323,0.070690,0.078998,0.088851,0.097961,0.116492,0.166639", \ - "0.060355,0.070692,0.078998,0.088841,0.097952,0.116490,0.166646", \ - "0.060288,0.070687,0.079005,0.088844,0.097955,0.116487,0.166646", \ - "0.060310,0.070687,0.078993,0.088843,0.097970,0.116484,0.166645", \ - "0.060353,0.070684,0.078991,0.088859,0.097971,0.116489,0.166638", \ - "0.060307,0.070700,0.079029,0.088909,0.098051,0.116539,0.166649", \ - "0.063449,0.073214,0.080981,0.090152,0.098717,0.116874,0.166791"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.352804,0.382447,0.406718,0.442739,0.490445,0.551339,0.641559", \ - "0.357304,0.386963,0.411234,0.447258,0.495027,0.555877,0.646089", \ - "0.375992,0.405641,0.429911,0.465940,0.513725,0.574554,0.664768", \ - "0.407859,0.437446,0.461707,0.497724,0.545431,0.606340,0.696560", \ - "0.453723,0.483366,0.507460,0.543592,0.591340,0.652256,0.742342", \ - "0.517404,0.547004,0.571198,0.607483,0.655221,0.716248,0.806549", \ - "0.598125,0.628065,0.651946,0.688479,0.736428,0.797767,0.888076"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.060323,0.070690,0.078998,0.088850,0.097961,0.116491,0.166639", \ - "0.060355,0.070692,0.078998,0.088841,0.097952,0.116490,0.166646", \ - "0.060288,0.070687,0.079005,0.088844,0.097955,0.116487,0.166646", \ - "0.060310,0.070687,0.078993,0.088843,0.097970,0.116484,0.166645", \ - "0.060353,0.070684,0.078991,0.088859,0.097971,0.116489,0.166638", \ - "0.060313,0.070700,0.079035,0.088909,0.098051,0.116539,0.166649", \ - "0.063449,0.073214,0.080991,0.090152,0.098717,0.116874,0.166791"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.352807,0.382449,0.406721,0.442741,0.490446,0.551348,0.641560", \ - "0.357306,0.386966,0.411237,0.447261,0.495029,0.555878,0.646090", \ - "0.375995,0.405644,0.429913,0.465942,0.513727,0.574556,0.664769", \ - "0.407861,0.437448,0.461710,0.497726,0.545433,0.606341,0.696561", \ - "0.453726,0.483368,0.507462,0.543594,0.591342,0.652258,0.742323", \ - "0.517406,0.547006,0.571199,0.607485,0.655223,0.716250,0.806550", \ - "0.598123,0.628067,0.651951,0.688489,0.736429,0.797767,0.888074"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.060322,0.070691,0.078999,0.088851,0.097961,0.116493,0.166639", \ - "0.060357,0.070692,0.078998,0.088841,0.097952,0.116491,0.166646", \ - "0.060288,0.070687,0.079005,0.088844,0.097956,0.116487,0.166646", \ - "0.060310,0.070688,0.078993,0.088843,0.097970,0.116485,0.166645", \ - "0.060353,0.070684,0.078992,0.088859,0.097971,0.116489,0.166641", \ - "0.060313,0.070701,0.079035,0.088909,0.098052,0.116540,0.166649", \ - "0.063449,0.073214,0.080981,0.090152,0.098718,0.116871,0.166789"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.352731,0.382319,0.406594,0.442645,0.490380,0.551262,0.641500", \ - "0.357218,0.386867,0.411144,0.447165,0.494897,0.555843,0.646099", \ - "0.375963,0.405544,0.429815,0.465854,0.513586,0.574512,0.664786", \ - "0.407780,0.437422,0.461637,0.497695,0.545430,0.606339,0.696610", \ - "0.453600,0.483242,0.507580,0.543519,0.591282,0.652140,0.742414", \ - "0.516877,0.546524,0.570751,0.606574,0.654329,0.715235,0.805516", \ - "0.597657,0.627742,0.651944,0.688200,0.736007,0.796971,0.887244"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.060314,0.070677,0.078979,0.088820,0.097921,0.116470,0.166628", \ - "0.060331,0.070672,0.078973,0.088814,0.097932,0.116464,0.166603", \ - "0.060323,0.070673,0.078984,0.088817,0.097930,0.116468,0.166602", \ - "0.060294,0.070671,0.078980,0.088822,0.097936,0.116455,0.166627", \ - "0.060337,0.070668,0.078974,0.088838,0.097946,0.116470,0.166629", \ - "0.060302,0.070676,0.079013,0.088895,0.098006,0.116499,0.166633", \ - "0.063491,0.073214,0.080969,0.090129,0.098683,0.116830,0.166777"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.352731,0.382319,0.406594,0.442645,0.490378,0.551305,0.641500", \ - "0.357218,0.386867,0.411144,0.447165,0.494897,0.555843,0.646099", \ - "0.375963,0.405544,0.429815,0.465854,0.513586,0.574512,0.664786", \ - "0.407780,0.437422,0.461637,0.497695,0.545430,0.606339,0.696610", \ - "0.453655,0.483239,0.507580,0.543590,0.591373,0.652140,0.742414", \ - "0.516877,0.546512,0.570751,0.606564,0.654329,0.715235,0.805516", \ - "0.597657,0.627742,0.651944,0.688200,0.736007,0.796972,0.887244"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.060314,0.070677,0.078979,0.088819,0.097922,0.116464,0.166628", \ - "0.060331,0.070672,0.078973,0.088814,0.097932,0.116464,0.166603", \ - "0.060323,0.070673,0.078984,0.088817,0.097930,0.116468,0.166602", \ - "0.060294,0.070671,0.078980,0.088822,0.097936,0.116455,0.166627", \ - "0.060290,0.070671,0.078974,0.088838,0.097958,0.116470,0.166629", \ - "0.060302,0.070684,0.079013,0.088895,0.098006,0.116499,0.166633", \ - "0.063491,0.073214,0.080970,0.090129,0.098683,0.116834,0.166777"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.352731,0.382319,0.406594,0.442644,0.490397,0.551304,0.641500", \ - "0.357218,0.386867,0.411144,0.447165,0.494897,0.555843,0.646099", \ - "0.375963,0.405544,0.429815,0.465854,0.513586,0.574512,0.664786", \ - "0.407780,0.437422,0.461637,0.497695,0.545430,0.606339,0.696610", \ - "0.453600,0.483259,0.507580,0.543590,0.591373,0.652140,0.742414", \ - "0.516868,0.546512,0.570751,0.606564,0.654316,0.715243,0.805516", \ - "0.597657,0.627742,0.651944,0.688201,0.736007,0.796972,0.887244"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.060314,0.070677,0.078979,0.088820,0.097913,0.116464,0.166628", \ - "0.060331,0.070672,0.078973,0.088814,0.097932,0.116464,0.166603", \ - "0.060323,0.070673,0.078984,0.088817,0.097930,0.116468,0.166602", \ - "0.060294,0.070671,0.078980,0.088822,0.097936,0.116455,0.166627", \ - "0.060337,0.070668,0.078974,0.088838,0.097958,0.116470,0.166629", \ - "0.060320,0.070684,0.079013,0.088895,0.098005,0.116506,0.166633", \ - "0.063491,0.073214,0.080969,0.090129,0.098683,0.116834,0.166777"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.352807,0.382449,0.406721,0.442741,0.490447,0.551345,0.641560", \ - "0.357306,0.386966,0.411236,0.447261,0.495029,0.555878,0.646090", \ - "0.375994,0.405643,0.429913,0.465942,0.513727,0.574556,0.664769", \ - "0.407861,0.437448,0.461710,0.497726,0.545433,0.606341,0.696561", \ - "0.453725,0.483368,0.507462,0.543594,0.591342,0.652258,0.742343", \ - "0.517405,0.547006,0.571193,0.607485,0.655223,0.716250,0.806550", \ - "0.598123,0.628067,0.651947,0.688491,0.736429,0.797767,0.888074"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.060322,0.070691,0.078999,0.088851,0.097961,0.116492,0.166639", \ - "0.060356,0.070692,0.078998,0.088841,0.097952,0.116491,0.166646", \ - "0.060288,0.070687,0.079005,0.088844,0.097956,0.116487,0.166646", \ - "0.060310,0.070688,0.078993,0.088843,0.097970,0.116485,0.166645", \ - "0.060353,0.070684,0.078992,0.088859,0.097971,0.116489,0.166638", \ - "0.060313,0.070701,0.079029,0.088909,0.098052,0.116540,0.166649", \ - "0.063449,0.073214,0.080991,0.090149,0.098718,0.116871,0.166789"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.352731,0.382319,0.406594,0.442644,0.490379,0.551303,0.641500", \ - "0.357218,0.386867,0.411144,0.447165,0.494897,0.555843,0.646099", \ - "0.375963,0.405544,0.429815,0.465854,0.513586,0.574512,0.664786", \ - "0.407780,0.437422,0.461637,0.497695,0.545430,0.606339,0.696611", \ - "0.453600,0.483242,0.507580,0.543590,0.591282,0.652140,0.742414", \ - "0.516868,0.546524,0.570751,0.606574,0.654316,0.715231,0.805516", \ - "0.597657,0.627742,0.651944,0.688200,0.736007,0.796972,0.887244"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.060314,0.070677,0.078979,0.088820,0.097920,0.116464,0.166628", \ - "0.060331,0.070672,0.078973,0.088814,0.097932,0.116464,0.166603", \ - "0.060323,0.070673,0.078984,0.088817,0.097930,0.116468,0.166602", \ - "0.060294,0.070671,0.078980,0.088822,0.097936,0.116455,0.166627", \ - "0.060337,0.070668,0.078974,0.088838,0.097946,0.116470,0.166629", \ - "0.060320,0.070676,0.079013,0.088895,0.098005,0.116488,0.166633", \ - "0.063491,0.073214,0.080970,0.090129,0.098683,0.116834,0.166777"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.599378,0.627998,0.651420,0.687128,0.738794,0.808456,0.909293", \ - "0.603672,0.632227,0.655729,0.691214,0.742724,0.812130,0.912747", \ - "0.621425,0.649960,0.673310,0.708749,0.759932,0.829117,0.929660", \ - "0.657964,0.686489,0.709807,0.745128,0.796209,0.865295,0.965759", \ - "0.716600,0.745136,0.768448,0.804022,0.854921,0.924078,1.024536", \ - "0.794309,0.822858,0.846058,0.881756,0.932677,1.001911,1.102153", \ - "0.881462,0.909992,0.933580,0.968849,1.019708,1.088598,1.189215"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.057502,0.067463,0.076096,0.089857,0.107758,0.132604,0.184643", \ - "0.057389,0.067341,0.075875,0.089417,0.107214,0.132218,0.184421", \ - "0.057353,0.067269,0.075730,0.089119,0.106781,0.131894,0.184255", \ - "0.057338,0.067239,0.075677,0.088996,0.106598,0.131757,0.184152", \ - "0.057332,0.067207,0.075665,0.088939,0.106495,0.131663,0.184126", \ - "0.057372,0.067216,0.075617,0.088890,0.106423,0.131609,0.184084", \ - "0.057339,0.067211,0.075622,0.088857,0.106363,0.131562,0.184052"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.599379,0.628000,0.651422,0.687141,0.738793,0.808458,0.909293", \ - "0.603673,0.632228,0.655731,0.691215,0.742725,0.812131,0.912748", \ - "0.621426,0.649961,0.673311,0.708750,0.759933,0.829118,0.929661", \ - "0.657926,0.686437,0.709803,0.745129,0.796210,0.865296,0.965759", \ - "0.716601,0.745137,0.768566,0.804023,0.854922,0.924079,1.024536", \ - "0.794310,0.822859,0.846075,0.881759,0.932810,1.001911,1.102153", \ - "0.881463,0.909993,0.933581,0.968850,1.019709,1.088598,1.189216"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.057502,0.067463,0.076096,0.089843,0.107758,0.132604,0.184642", \ - "0.057389,0.067341,0.075875,0.089417,0.107214,0.132218,0.184421", \ - "0.057353,0.067269,0.075730,0.089119,0.106781,0.131894,0.184255", \ - "0.057338,0.067243,0.075688,0.088996,0.106598,0.131757,0.184152", \ - "0.057332,0.067207,0.075659,0.088939,0.106495,0.131663,0.184126", \ - "0.057372,0.067216,0.075640,0.088875,0.106423,0.131609,0.184084", \ - "0.057339,0.067211,0.075622,0.088857,0.106363,0.131562,0.184052"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.599353,0.627995,0.651406,0.687145,0.738808,0.808443,0.909298", \ - "0.603605,0.632220,0.655718,0.691200,0.742711,0.812120,0.912739", \ - "0.621411,0.649963,0.673304,0.708735,0.759919,0.829106,0.929652", \ - "0.657845,0.686459,0.709801,0.745118,0.796197,0.865285,0.965752", \ - "0.716588,0.745122,0.768425,0.804045,0.854910,0.924069,1.024529", \ - "0.794298,0.822848,0.846047,0.881712,0.932667,1.001902,1.102147", \ - "0.881452,0.909979,0.933570,0.968839,1.019699,1.088590,1.189209"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.057504,0.067462,0.076095,0.089847,0.107760,0.132604,0.184644", \ - "0.057427,0.067338,0.075869,0.089416,0.107214,0.132217,0.184420", \ - "0.057352,0.067247,0.075731,0.089118,0.106780,0.131893,0.184255", \ - "0.057386,0.067221,0.075676,0.088998,0.106597,0.131756,0.184152", \ - "0.057332,0.067206,0.075639,0.088926,0.106495,0.131663,0.184125", \ - "0.057372,0.067215,0.075617,0.088891,0.106422,0.131609,0.184084", \ - "0.057339,0.067210,0.075622,0.088857,0.106362,0.131561,0.184051"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.599336,0.628020,0.651546,0.687155,0.738826,0.808627,0.909420", \ - "0.603669,0.632248,0.655746,0.691242,0.742745,0.812158,0.912797", \ - "0.621441,0.649993,0.673335,0.708775,0.759954,0.829149,0.929711", \ - "0.657883,0.686527,0.709829,0.745162,0.796236,0.865332,0.965811", \ - "0.716630,0.745163,0.768465,0.804054,0.854959,0.924153,1.024591", \ - "0.794345,0.822705,0.846117,0.881771,0.932856,1.001967,1.102205", \ - "0.881525,0.910052,0.933643,0.968916,1.019776,1.088673,1.189304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.057495,0.067460,0.076095,0.089849,0.107766,0.132601,0.184638", \ - "0.057405,0.067335,0.075864,0.089416,0.107208,0.132213,0.184417", \ - "0.057347,0.067243,0.075731,0.089107,0.106775,0.131889,0.184251", \ - "0.057350,0.067237,0.075671,0.088986,0.106592,0.131752,0.184149", \ - "0.057327,0.067203,0.075656,0.088934,0.106484,0.131661,0.184105", \ - "0.057369,0.067208,0.075638,0.088885,0.106418,0.131605,0.184068", \ - "0.057335,0.067206,0.075618,0.088849,0.106358,0.131558,0.184049"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.599336,0.628020,0.651546,0.687158,0.738821,0.808511,0.909443", \ - "0.603669,0.632247,0.655746,0.691242,0.742745,0.812158,0.912797", \ - "0.621441,0.649993,0.673335,0.708775,0.759954,0.829149,0.929711", \ - "0.657883,0.686466,0.709829,0.745161,0.796235,0.865332,0.965811", \ - "0.716629,0.745163,0.768466,0.804054,0.854959,0.924153,1.024591", \ - "0.794345,0.822705,0.846115,0.881930,0.932732,1.001967,1.102205", \ - "0.881525,0.910051,0.933643,0.968916,1.019776,1.088673,1.189304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.057495,0.067460,0.076095,0.089849,0.107764,0.132601,0.184656", \ - "0.057405,0.067335,0.075864,0.089416,0.107208,0.132213,0.184417", \ - "0.057347,0.067243,0.075731,0.089107,0.106775,0.131889,0.184251", \ - "0.057349,0.067226,0.075671,0.088986,0.106592,0.131752,0.184149", \ - "0.057327,0.067203,0.075656,0.088934,0.106484,0.131661,0.184105", \ - "0.057369,0.067208,0.075635,0.088885,0.106418,0.131605,0.184068", \ - "0.057335,0.067206,0.075618,0.088849,0.106358,0.131558,0.184049"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.599336,0.628020,0.651546,0.687158,0.738835,0.808622,0.909339", \ - "0.603669,0.632247,0.655746,0.691242,0.742745,0.812158,0.912797", \ - "0.621440,0.649993,0.673335,0.708775,0.759954,0.829149,0.929711", \ - "0.657883,0.686466,0.709829,0.745162,0.796235,0.865332,0.965810", \ - "0.716629,0.745163,0.768466,0.804054,0.854959,0.924153,1.024591", \ - "0.794345,0.822704,0.846095,0.881762,0.932856,1.001967,1.102205", \ - "0.881525,0.910051,0.933643,0.968916,1.019776,1.088673,1.189304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.057495,0.067460,0.076095,0.089849,0.107756,0.132601,0.184637", \ - "0.057405,0.067335,0.075864,0.089416,0.107208,0.132213,0.184417", \ - "0.057347,0.067243,0.075731,0.089107,0.106775,0.131889,0.184251", \ - "0.057349,0.067226,0.075671,0.088986,0.106592,0.131752,0.184149", \ - "0.057327,0.067203,0.075656,0.088934,0.106484,0.131661,0.184105", \ - "0.057369,0.067208,0.075639,0.088889,0.106418,0.131605,0.184068", \ - "0.057335,0.067206,0.075618,0.088849,0.106358,0.131558,0.184049"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.599353,0.627995,0.651406,0.687123,0.738843,0.808488,0.909284", \ - "0.603605,0.632220,0.655718,0.691200,0.742712,0.812120,0.912740", \ - "0.621411,0.649963,0.673304,0.708736,0.759920,0.829107,0.929652", \ - "0.657899,0.686496,0.709801,0.745119,0.796197,0.865286,0.965752", \ - "0.716588,0.745122,0.768425,0.804045,0.854910,0.924069,1.024523", \ - "0.794298,0.822848,0.846047,0.881839,0.932640,1.001902,1.102147", \ - "0.881452,0.909979,0.933571,0.968840,1.019699,1.088590,1.189209"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.057504,0.067462,0.076095,0.089846,0.107759,0.132605,0.184641", \ - "0.057427,0.067338,0.075869,0.089416,0.107214,0.132217,0.184420", \ - "0.057352,0.067247,0.075731,0.089118,0.106780,0.131893,0.184255", \ - "0.057396,0.067242,0.075676,0.088998,0.106597,0.131756,0.184152", \ - "0.057332,0.067206,0.075639,0.088926,0.106495,0.131663,0.184108", \ - "0.057372,0.067215,0.075617,0.088891,0.106422,0.131609,0.184084", \ - "0.057339,0.067210,0.075622,0.088857,0.106362,0.131561,0.184051"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.599336,0.628020,0.651546,0.687158,0.738814,0.808487,0.909310", \ - "0.603668,0.632247,0.655746,0.691242,0.742745,0.812158,0.912797", \ - "0.621440,0.649993,0.673335,0.708775,0.759954,0.829149,0.929711", \ - "0.657933,0.686527,0.709829,0.745162,0.796236,0.865332,0.965811", \ - "0.716629,0.745163,0.768466,0.804054,0.854959,0.924153,1.024591", \ - "0.794345,0.822715,0.846094,0.881771,0.932856,1.001967,1.102205", \ - "0.881525,0.910051,0.933643,0.968916,1.019776,1.088673,1.189304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.057495,0.067460,0.076095,0.089849,0.107764,0.132609,0.184653", \ - "0.057405,0.067335,0.075864,0.089416,0.107208,0.132213,0.184417", \ - "0.057347,0.067243,0.075731,0.089107,0.106775,0.131889,0.184251", \ - "0.057391,0.067237,0.075671,0.088986,0.106592,0.131752,0.184149", \ - "0.057327,0.067203,0.075656,0.088934,0.106484,0.131661,0.184105", \ - "0.057369,0.067210,0.075626,0.088885,0.106418,0.131605,0.184068", \ - "0.057335,0.067206,0.075618,0.088849,0.106358,0.131558,0.184049"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.643290,5.604095,5.554047,5.565308,5.663277,5.752340,5.753952", \ - "5.630743,5.608237,5.546327,5.569631,5.665767,5.746002,5.755074", \ - "5.612702,5.588492,5.533284,5.539985,5.645900,5.707942,5.728776", \ - "5.600725,5.562137,5.518998,5.527175,5.578789,5.710693,5.695774", \ - "5.623696,5.589711,5.519257,5.538553,5.651965,5.697512,5.750969", \ - "5.681943,5.642788,5.594661,5.606203,5.693553,5.791794,5.787467", \ - "5.787501,5.757086,5.698611,5.701437,5.787878,5.881697,5.886279"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.850145,4.964476,5.028038,5.399141,5.509716,5.549654,5.542512", \ - "4.841847,4.961078,5.034070,5.387270,5.506160,5.550775,5.545234", \ - "4.824960,4.941703,5.008646,5.369767,5.458076,5.533980,5.526373", \ - "4.824453,4.941888,5.006795,5.378226,5.436728,5.528755,5.521318", \ - "4.848945,4.969698,5.028693,5.399824,5.484545,5.555657,5.574342", \ - "4.913260,5.030040,5.105809,5.459281,5.573659,5.586057,5.631117", \ - "5.033548,5.150383,5.223295,5.576382,5.642633,5.731318,5.746146"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.046983,5.004776,4.938396,4.976397,4.992630,5.029278,5.047628", \ - "5.028402,4.988740,4.934710,4.943092,4.989677,5.026593,5.044921", \ - "5.030192,4.989005,4.936984,4.917422,5.031428,5.066907,5.017058", \ - "5.026081,4.984099,4.917701,4.927188,4.999274,5.033956,5.053462", \ - "5.028183,4.986612,4.929853,4.936426,5.011374,5.045729,4.997883", \ - "5.056475,5.000766,4.954755,4.977832,5.025160,5.056435,5.006375", \ - "5.155873,5.101189,5.025309,5.013018,5.039474,5.060743,5.072696"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.046252,5.004049,4.937671,4.975691,4.991874,5.028546,5.046885", \ - "5.027680,4.988016,4.933990,4.942358,4.988921,5.025814,5.044131", \ - "5.029491,4.988308,4.936280,4.916705,5.030694,5.066150,5.016294", \ - "5.025429,4.983443,4.917039,4.926511,4.998576,5.033225,5.052721", \ - "5.027599,4.986019,4.929251,4.935813,5.010727,5.045040,4.997155", \ - "5.056124,5.000276,4.954413,4.977304,5.024599,5.055819,5.005705", \ - "5.155506,5.100812,5.024888,5.027312,5.039004,5.060205,5.072095"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.046606,5.004382,4.938014,4.976056,4.992331,5.028895,5.047430", \ - "5.028014,4.988356,4.934344,4.942732,4.989344,5.026326,5.044733", \ - "5.029824,4.988645,4.936622,4.917055,5.031074,5.066612,5.016867", \ - "5.025750,4.983772,4.917378,4.926855,4.998953,5.033680,5.053272", \ - "5.027907,4.986332,4.929567,4.936160,5.011117,5.045510,4.998090", \ - "5.056398,5.000514,4.954706,4.977695,5.024937,5.056239,5.006239", \ - "5.155746,5.101055,5.025171,5.013156,5.039310,5.060649,5.072642"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.616919,5.576425,5.509572,5.546026,5.560917,5.597213,5.614142", \ - "5.606530,5.559055,5.504498,5.513683,5.559436,5.594682,5.613044", \ - "5.597133,5.556671,5.505030,5.485166,5.598619,5.632387,5.583029", \ - "5.593842,5.552744,5.486982,5.495642,5.566901,5.601378,5.616796", \ - "5.606578,5.554589,5.505663,5.514535,5.589874,5.623853,5.573704", \ - "5.639919,5.597368,5.524609,5.558565,5.627261,5.658745,5.607811", \ - "5.780039,5.725236,5.645984,5.647188,5.664825,5.688969,5.700389"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.617778,5.577293,5.510455,5.546912,5.561881,5.598017,5.615175", \ - "5.607381,5.559911,5.505357,5.514557,5.560355,5.595654,5.614033", \ - "5.597965,5.557513,5.505892,5.486071,5.599595,5.633402,5.584043", \ - "5.594625,5.553535,5.487773,5.496498,5.567826,5.602347,5.617800", \ - "5.607537,5.560837,5.506391,5.514547,5.589942,5.624724,5.574611", \ - "5.640518,5.597904,5.525229,5.559417,5.628034,5.659586,5.608700", \ - "5.780511,5.725728,5.646485,5.647727,5.665472,5.689420,5.701218"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.617789,5.577303,5.510461,5.546942,5.561641,5.598021,5.615162", \ - "5.607392,5.559921,5.505364,5.514565,5.560360,5.595658,5.614036", \ - "5.597976,5.557523,5.505897,5.486074,5.599597,5.633397,5.584043", \ - "5.594635,5.553545,5.487781,5.496504,5.567824,5.602346,5.617797", \ - "5.607292,5.552155,5.506398,5.514552,5.589944,5.624728,5.574616", \ - "5.640455,5.597913,5.525241,5.559417,5.628233,5.659497,5.608683", \ - "5.780518,5.725733,5.646491,5.648264,5.665471,5.689418,5.701215"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.045750,5.003509,4.937113,4.975098,4.991233,5.027780,5.046044", \ - "5.027157,4.987489,4.933445,4.941792,4.988301,5.025129,5.043344", \ - "5.028975,4.987776,4.935731,4.916111,5.030031,5.065422,5.015489", \ - "5.024911,4.982910,4.916509,4.925927,4.997927,5.032511,5.051920", \ - "5.027102,4.985512,4.928733,4.935269,5.010132,5.044378,4.996406", \ - "5.055664,4.999761,4.953766,4.976861,5.024015,5.055166,5.004962", \ - "5.155109,5.100400,5.024463,5.028204,5.038478,5.059659,5.071447"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.617797,5.577311,5.510467,5.546951,5.561903,5.598058,5.615237", \ - "5.607402,5.559933,5.505381,5.514586,5.560390,5.595706,5.614116", \ - "5.597986,5.557532,5.505907,5.486076,5.599580,5.633401,5.584096", \ - "5.594654,5.553565,5.487807,5.496506,5.567822,5.602361,5.617843", \ - "5.607318,5.555341,5.506423,5.514584,5.590721,5.624774,5.574709", \ - "5.640484,5.598019,5.525274,5.559274,5.628236,5.659877,5.608750", \ - "5.780547,5.725760,5.646524,5.647769,5.665487,5.689449,5.701276"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.962996,5.927192,5.892074,5.903614,6.024720,6.123699,6.096409", \ - "5.954822,5.917254,5.863582,5.875821,5.952706,6.098150,6.090084", \ - "5.939295,5.902060,5.863925,5.865116,5.938045,6.038443,6.075646", \ - "5.946476,5.908329,5.858447,5.866655,5.987193,6.084261,6.054314", \ - "5.960614,5.905507,5.859073,5.856441,5.968071,6.063370,6.104757", \ - "5.957944,5.919642,5.869960,5.892360,5.997372,6.090308,6.134698", \ - "5.988228,5.949436,5.900974,5.927326,6.037208,6.133456,6.110895"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.962056,5.926253,5.891147,5.902591,6.023921,6.122840,6.088849", \ - "5.953891,5.916322,5.862663,5.874909,5.951815,6.097374,6.089251", \ - "5.938434,5.901169,5.863030,5.864229,5.937173,6.037599,6.074836", \ - "5.946533,5.908148,5.860738,5.865814,5.986366,6.083452,6.053524", \ - "5.959842,5.904735,5.864667,5.855667,5.967296,6.062595,6.103998", \ - "5.957281,5.918978,5.883003,5.891611,5.995179,6.089603,6.133991", \ - "5.987702,5.948906,5.900453,5.926770,6.036635,6.132844,6.110197"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.962847,5.929508,5.891548,5.902766,6.023976,6.124509,6.089374", \ - "5.954065,5.916144,5.862639,5.875306,5.952184,6.096629,6.089637", \ - "5.938901,5.900977,5.859907,5.864602,5.937511,6.037927,6.075183", \ - "5.946426,5.907547,5.857193,5.866130,5.986681,6.083766,6.053604", \ - "5.960275,5.905153,5.861302,5.855929,5.967657,6.062957,6.104371", \ - "5.957693,5.919369,5.868992,5.892039,5.997013,6.089925,6.134332", \ - "5.988083,5.948838,5.900527,5.927115,6.036935,6.133155,6.111308"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.960994,5.923602,5.887564,5.899892,6.020957,6.117468,6.085707", \ - "5.951933,5.913703,5.860042,5.872288,5.948847,6.103672,6.084145", \ - "5.936406,5.898384,5.864130,5.861542,5.934094,6.033622,6.069655", \ - "5.944124,5.904434,5.854766,5.863255,5.983170,6.079384,6.050734", \ - "5.957603,5.902416,5.855621,5.852996,5.964104,6.058261,6.099406", \ - "5.954805,5.918209,5.880330,5.889062,5.991721,6.085309,6.128187", \ - "5.984833,5.945585,5.899156,5.923546,6.032873,6.128282,6.095422"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.962101,5.924709,5.888692,5.900914,6.022074,6.119513,6.084004", \ - "5.953037,5.914801,5.861136,5.873354,5.949931,6.104707,6.085183", \ - "5.937511,5.899451,5.865109,5.862632,5.935210,6.034711,6.070722", \ - "5.945145,5.906296,5.855774,5.864299,5.984263,6.080458,6.051772", \ - "5.958527,5.903339,5.857293,5.853928,5.965056,6.059223,6.100378", \ - "5.955609,5.919023,5.881181,5.887996,5.994018,6.086243,6.129146", \ - "5.985488,5.946253,5.899831,5.924243,6.033669,6.129130,6.096295"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.962112,5.924717,5.888702,5.900931,6.021919,6.118696,6.087434", \ - "5.953040,5.914755,5.861148,5.873381,5.949934,6.104620,6.085198", \ - "5.937521,5.899453,5.865124,5.862647,5.935206,6.034731,6.070743", \ - "5.945150,5.906300,5.855786,5.864305,5.984255,6.080474,6.051800", \ - "5.958541,5.903355,5.857299,5.853940,5.965078,6.059236,6.100412", \ - "5.955623,5.919036,5.881422,5.889656,5.992639,6.086241,6.129162", \ - "5.985499,5.946265,5.899841,5.924257,6.033670,6.129126,6.096312"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.961712,5.924938,5.890383,5.901828,6.022305,6.121399,6.087769", \ - "5.952972,5.915051,5.861483,5.874119,5.950926,6.095166,6.088113", \ - "5.937736,5.899839,5.858765,5.863413,5.936261,6.036571,6.073678", \ - "5.944657,5.905910,5.856211,5.864960,5.985446,6.082433,6.052149", \ - "5.959204,5.904068,5.860163,5.854797,5.966460,6.061653,6.103776", \ - "5.956691,5.918351,5.867977,5.889771,5.996237,6.088676,6.132941", \ - "5.987198,5.947937,5.899611,5.926150,6.035898,6.131992,6.109970"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.962132,5.924737,5.888689,5.900928,6.022120,6.120346,6.091957", \ - "5.953062,5.914776,5.861168,5.873391,5.949959,6.104577,6.085275", \ - "5.937547,5.899483,5.865230,5.862653,5.935202,6.034753,6.070779", \ - "5.944296,5.905486,5.855801,5.864304,5.984243,6.080485,6.051838", \ - "5.958575,5.903390,5.857340,5.853965,5.965112,6.059302,6.100472", \ - "5.955650,5.918949,5.881583,5.889946,5.992653,6.086276,6.129207", \ - "5.985539,5.946305,5.899884,5.924300,6.033687,6.129154,6.096374"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.298531,0.307054,0.314269,0.326723,0.348284,0.386497,0.457945", \ - "0.303752,0.312255,0.319465,0.331917,0.353474,0.391681,0.463165", \ - "0.321672,0.330182,0.337430,0.349862,0.371431,0.409641,0.481120", \ - "0.346917,0.355435,0.362652,0.375073,0.396627,0.434864,0.506325", \ - "0.372136,0.380591,0.387732,0.400132,0.421689,0.459916,0.531236", \ - "0.395081,0.403397,0.410560,0.423404,0.445005,0.483229,0.554430", \ - "0.414493,0.422980,0.430508,0.442854,0.464429,0.502671,0.574084"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.444993,0.455096,0.463133,0.483990,0.538322,0.660186,0.912458", \ - "0.450299,0.460371,0.468442,0.489269,0.543611,0.665432,0.917739", \ - "0.467900,0.478008,0.486022,0.506903,0.561247,0.683098,0.935414", \ - "0.491757,0.501867,0.509880,0.530653,0.585013,0.706932,0.959257", \ - "0.515523,0.525425,0.533476,0.554172,0.608497,0.730421,0.982797", \ - "0.536517,0.546277,0.554260,0.575545,0.629555,0.752084,1.004731", \ - "0.553555,0.563663,0.571446,0.592228,0.647595,0.769234,1.022175"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.016400,0.020780,0.024430,0.031306,0.045080,0.073562,0.133668", \ - "0.016396,0.020779,0.024434,0.031309,0.045080,0.073566,0.133664", \ - "0.016396,0.020780,0.024434,0.031303,0.045082,0.073551,0.133664", \ - "0.016394,0.020779,0.024437,0.031318,0.045072,0.073561,0.133665", \ - "0.016397,0.020778,0.024426,0.031320,0.045081,0.073556,0.133665", \ - "0.016391,0.020774,0.024422,0.031295,0.045097,0.073575,0.133646", \ - "0.016397,0.020784,0.024436,0.031309,0.045080,0.073546,0.133626"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025617,0.037691,0.048518,0.073810,0.133088,0.255114,0.499788", \ - "0.025611,0.037692,0.048518,0.073810,0.133091,0.255114,0.499789", \ - "0.025613,0.037693,0.048514,0.073813,0.133089,0.255119,0.499789", \ - "0.025613,0.037692,0.048518,0.073815,0.133093,0.255116,0.499787", \ - "0.025612,0.037691,0.048511,0.073809,0.133093,0.255119,0.499788", \ - "0.025614,0.037696,0.048519,0.073809,0.133097,0.255116,0.499787", \ - "0.025638,0.037713,0.048533,0.073822,0.133096,0.255120,0.499789"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.488422,0.497933,0.505556,0.526301,0.580792,0.702935,0.955478", \ - "0.492934,0.502444,0.510071,0.530808,0.585379,0.707478,0.960007", \ - "0.511616,0.521118,0.528750,0.549485,0.604075,0.726158,0.978684", \ - "0.543432,0.552925,0.560543,0.581271,0.635794,0.757931,1.010476", \ - "0.589335,0.598832,0.606293,0.627162,0.681728,0.803863,1.056261", \ - "0.652922,0.662425,0.670036,0.691126,0.745689,0.867936,1.120551", \ - "0.734792,0.744233,0.751301,0.772399,0.826913,0.949268,1.201781"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025653,0.037055,0.047671,0.073341,0.132961,0.255051,0.499729", \ - "0.025651,0.037055,0.047669,0.073346,0.132960,0.255050,0.499728", \ - "0.025650,0.037055,0.047668,0.073349,0.132957,0.255046,0.499730", \ - "0.025656,0.037062,0.047670,0.073343,0.132957,0.255047,0.499727", \ - "0.025651,0.037056,0.047666,0.073343,0.132950,0.255053,0.499727", \ - "0.025659,0.037062,0.047667,0.073342,0.132954,0.255049,0.499728", \ - "0.025813,0.037197,0.047768,0.073376,0.132964,0.255046,0.499732"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.488422,0.497933,0.505556,0.526302,0.580792,0.702935,0.955479", \ - "0.492933,0.502443,0.510070,0.530808,0.585379,0.707478,0.960007", \ - "0.511616,0.521118,0.528750,0.549485,0.604075,0.726158,0.978684", \ - "0.543432,0.552925,0.560543,0.581271,0.635793,0.757931,1.010476", \ - "0.589335,0.598832,0.606293,0.627162,0.681727,0.803863,1.056261", \ - "0.652922,0.662425,0.670028,0.691125,0.745689,0.867938,1.120551", \ - "0.734792,0.744232,0.751297,0.772379,0.826913,0.949268,1.201780"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025653,0.037055,0.047671,0.073341,0.132961,0.255051,0.499727", \ - "0.025651,0.037055,0.047669,0.073346,0.132960,0.255050,0.499728", \ - "0.025650,0.037055,0.047668,0.073349,0.132957,0.255046,0.499730", \ - "0.025656,0.037062,0.047670,0.073343,0.132957,0.255047,0.499727", \ - "0.025651,0.037056,0.047666,0.073343,0.132950,0.255053,0.499727", \ - "0.025659,0.037062,0.047667,0.073342,0.132954,0.255049,0.499728", \ - "0.025813,0.037197,0.047770,0.073381,0.132964,0.255046,0.499732"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.488425,0.497936,0.505558,0.526304,0.580793,0.702938,0.955479", \ - "0.492937,0.502446,0.510073,0.530811,0.585381,0.707479,0.960007", \ - "0.511619,0.521121,0.528753,0.549487,0.604077,0.726159,0.978685", \ - "0.543434,0.552928,0.560545,0.581273,0.635795,0.757932,1.010477", \ - "0.589337,0.598835,0.606295,0.627164,0.681729,0.803864,1.056262", \ - "0.652924,0.662427,0.670030,0.691127,0.745691,0.867949,1.120556", \ - "0.734794,0.744234,0.751303,0.772380,0.826915,0.949271,1.201775"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025653,0.037055,0.047671,0.073341,0.132961,0.255051,0.499728", \ - "0.025651,0.037055,0.047670,0.073346,0.132960,0.255050,0.499728", \ - "0.025650,0.037056,0.047668,0.073349,0.132957,0.255046,0.499730", \ - "0.025656,0.037062,0.047670,0.073343,0.132957,0.255047,0.499727", \ - "0.025651,0.037056,0.047667,0.073343,0.132950,0.255053,0.499727", \ - "0.025659,0.037063,0.047667,0.073342,0.132954,0.255047,0.499728", \ - "0.025813,0.037197,0.047769,0.073381,0.132964,0.255049,0.499730"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.488314,0.497781,0.505419,0.526194,0.580745,0.702856,0.955421", \ - "0.492807,0.502336,0.509967,0.530715,0.585251,0.707435,0.960022", \ - "0.511531,0.521004,0.528640,0.549402,0.603933,0.726108,0.978707", \ - "0.543368,0.552884,0.560465,0.581238,0.635790,0.757936,1.010538", \ - "0.589179,0.598697,0.606403,0.627081,0.681656,0.803751,1.056345", \ - "0.652387,0.661931,0.669578,0.690186,0.744780,0.866897,1.119482", \ - "0.734387,0.743916,0.751285,0.772099,0.826461,0.948425,1.200877"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025647,0.037050,0.047666,0.073339,0.132955,0.255051,0.499728", \ - "0.025651,0.037051,0.047665,0.073343,0.132960,0.255050,0.499730", \ - "0.025649,0.037050,0.047663,0.073344,0.132957,0.255047,0.499731", \ - "0.025649,0.037051,0.047667,0.073338,0.132956,0.255045,0.499726", \ - "0.025650,0.037051,0.047662,0.073344,0.132953,0.255053,0.499727", \ - "0.025656,0.037058,0.047666,0.073340,0.132955,0.255052,0.499728", \ - "0.025808,0.037197,0.047767,0.073380,0.132966,0.255051,0.499729"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.488314,0.497781,0.505420,0.526194,0.580715,0.702892,0.955421", \ - "0.492807,0.502336,0.509967,0.530715,0.585251,0.707435,0.960022", \ - "0.511531,0.521004,0.528640,0.549402,0.603933,0.726108,0.978707", \ - "0.543368,0.552884,0.560465,0.581238,0.635791,0.757936,1.010538", \ - "0.589196,0.598715,0.606403,0.627157,0.681743,0.803751,1.056345", \ - "0.652387,0.661931,0.669578,0.690186,0.744758,0.866889,1.119482", \ - "0.734387,0.743916,0.751285,0.772099,0.826461,0.948434,1.200884"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025647,0.037050,0.047666,0.073339,0.132961,0.255046,0.499728", \ - "0.025651,0.037049,0.047665,0.073343,0.132960,0.255050,0.499730", \ - "0.025649,0.037050,0.047663,0.073344,0.132957,0.255047,0.499731", \ - "0.025649,0.037051,0.047667,0.073338,0.132955,0.255045,0.499726", \ - "0.025648,0.037051,0.047663,0.073343,0.132953,0.255053,0.499727", \ - "0.025656,0.037058,0.047666,0.073340,0.132959,0.255045,0.499729", \ - "0.025808,0.037197,0.047767,0.073380,0.132966,0.255051,0.499731"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.488314,0.497781,0.505419,0.526194,0.580734,0.702890,0.955421", \ - "0.492807,0.502336,0.509967,0.530715,0.585251,0.707435,0.960022", \ - "0.511531,0.521004,0.528640,0.549402,0.603933,0.726108,0.978707", \ - "0.543368,0.552883,0.560465,0.581238,0.635791,0.757936,1.010538", \ - "0.589196,0.598715,0.606403,0.627157,0.681744,0.803751,1.056345", \ - "0.652387,0.661924,0.669578,0.690186,0.744758,0.866897,1.119482", \ - "0.734387,0.743916,0.751284,0.772077,0.826461,0.948425,1.200877"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025647,0.037050,0.047666,0.073339,0.132959,0.255047,0.499728", \ - "0.025651,0.037049,0.047665,0.073343,0.132960,0.255050,0.499730", \ - "0.025649,0.037050,0.047663,0.073344,0.132957,0.255047,0.499731", \ - "0.025649,0.037051,0.047667,0.073338,0.132955,0.255045,0.499726", \ - "0.025648,0.037051,0.047663,0.073343,0.132952,0.255053,0.499727", \ - "0.025656,0.037056,0.047666,0.073340,0.132959,0.255052,0.499729", \ - "0.025808,0.037197,0.047767,0.073380,0.132966,0.255051,0.499729"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.488425,0.497935,0.505558,0.526304,0.580794,0.702943,0.955479", \ - "0.492937,0.502446,0.510073,0.530810,0.585381,0.707479,0.960007", \ - "0.511618,0.521121,0.528752,0.549487,0.604077,0.726159,0.978685", \ - "0.543434,0.552927,0.560545,0.581273,0.635795,0.757932,1.010477", \ - "0.589337,0.598834,0.606295,0.627164,0.681729,0.803864,1.056262", \ - "0.652924,0.662427,0.670030,0.691127,0.745691,0.867939,1.120551", \ - "0.734794,0.744234,0.751303,0.772380,0.826914,0.949269,1.201781"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025653,0.037055,0.047671,0.073341,0.132961,0.255051,0.499728", \ - "0.025651,0.037055,0.047670,0.073346,0.132960,0.255050,0.499728", \ - "0.025650,0.037056,0.047668,0.073349,0.132957,0.255046,0.499730", \ - "0.025656,0.037062,0.047670,0.073343,0.132957,0.255047,0.499727", \ - "0.025651,0.037056,0.047667,0.073343,0.132950,0.255053,0.499727", \ - "0.025659,0.037063,0.047667,0.073342,0.132954,0.255049,0.499728", \ - "0.025813,0.037197,0.047769,0.073381,0.132964,0.255046,0.499732"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.488314,0.497781,0.505420,0.526194,0.580742,0.702890,0.955420", \ - "0.492807,0.502336,0.509967,0.530715,0.585251,0.707435,0.960022", \ - "0.511531,0.521004,0.528640,0.549402,0.603933,0.726108,0.978707", \ - "0.543368,0.552884,0.560465,0.581238,0.635791,0.757936,1.010538", \ - "0.589184,0.598699,0.606403,0.627157,0.681656,0.803751,1.056345", \ - "0.652388,0.661924,0.669578,0.690186,0.744781,0.866897,1.119482", \ - "0.734387,0.743916,0.751284,0.772077,0.826461,0.948425,1.200877"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025647,0.037050,0.047666,0.073339,0.132956,0.255047,0.499728", \ - "0.025651,0.037051,0.047665,0.073343,0.132960,0.255050,0.499730", \ - "0.025649,0.037050,0.047663,0.073344,0.132957,0.255047,0.499731", \ - "0.025649,0.037051,0.047667,0.073338,0.132955,0.255045,0.499726", \ - "0.025647,0.037051,0.047663,0.073343,0.132953,0.255053,0.499727", \ - "0.025657,0.037056,0.047666,0.073340,0.132955,0.255052,0.499729", \ - "0.025808,0.037197,0.047767,0.073380,0.132966,0.255051,0.499729"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.732318,0.742469,0.750630,0.771842,0.826441,0.948797,1.201689", \ - "0.736286,0.746350,0.754614,0.775770,0.830556,0.952921,1.205740", \ - "0.753839,0.763850,0.771971,0.793182,0.847906,0.970280,1.223153", \ - "0.790303,0.800286,0.808379,0.829514,0.884242,1.006621,1.259479", \ - "0.848898,0.858869,0.867090,0.888369,0.943006,1.065496,1.318375", \ - "0.926581,0.936570,0.944556,0.966228,1.020896,1.143390,1.396076", \ - "1.013683,1.023677,1.032042,1.053172,1.107815,1.230128,1.483206"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025811,0.037957,0.048753,0.073952,0.133182,0.255174,0.499823", \ - "0.025725,0.037835,0.048642,0.073903,0.133169,0.255167,0.499822", \ - "0.025666,0.037744,0.048557,0.073867,0.133165,0.255172,0.499820", \ - "0.025641,0.037707,0.048522,0.073852,0.133152,0.255169,0.499817", \ - "0.025628,0.037684,0.048501,0.073842,0.133152,0.255163,0.499818", \ - "0.025619,0.037670,0.048489,0.073834,0.133148,0.255165,0.499817", \ - "0.025612,0.037663,0.048476,0.073826,0.133151,0.255164,0.499818"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.732319,0.742468,0.750632,0.771861,0.826440,0.948798,1.201687", \ - "0.736287,0.746351,0.754615,0.775771,0.830557,0.952922,1.205740", \ - "0.753840,0.763851,0.771972,0.793183,0.847907,0.970281,1.223153", \ - "0.790254,0.800287,0.808380,0.829515,0.884243,1.006621,1.259479", \ - "0.848899,0.858870,0.866959,0.888370,0.943007,1.065497,1.318376", \ - "0.926582,0.936571,0.944552,0.966229,1.020897,1.143391,1.396076", \ - "1.013684,1.023678,1.032042,1.053173,1.107816,1.230128,1.483207"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025811,0.037957,0.048753,0.073948,0.133182,0.255174,0.499823", \ - "0.025725,0.037835,0.048642,0.073904,0.133169,0.255167,0.499822", \ - "0.025666,0.037744,0.048557,0.073867,0.133165,0.255172,0.499820", \ - "0.025647,0.037707,0.048522,0.073852,0.133152,0.255169,0.499817", \ - "0.025628,0.037684,0.048501,0.073842,0.133152,0.255163,0.499818", \ - "0.025619,0.037670,0.048489,0.073834,0.133148,0.255165,0.499817", \ - "0.025612,0.037663,0.048476,0.073826,0.133151,0.255164,0.499818"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.732276,0.742462,0.750615,0.771863,0.826458,0.948784,1.201682", \ - "0.736235,0.746343,0.754604,0.775756,0.830544,0.952911,1.205732", \ - "0.753824,0.763841,0.771961,0.793168,0.847893,0.970270,1.223146", \ - "0.790202,0.800240,0.808367,0.829502,0.884230,1.006611,1.259472", \ - "0.848885,0.858857,0.866958,0.888394,0.942997,1.065487,1.318368", \ - "0.926570,0.936559,0.944535,0.966175,1.020728,1.143382,1.396070", \ - "1.013672,1.023665,1.032031,1.053162,1.107806,1.230120,1.483200"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025808,0.037952,0.048753,0.073953,0.133182,0.255174,0.499823", \ - "0.025726,0.037834,0.048643,0.073903,0.133169,0.255167,0.499822", \ - "0.025666,0.037743,0.048556,0.073867,0.133165,0.255172,0.499820", \ - "0.025643,0.037705,0.048521,0.073849,0.133153,0.255169,0.499818", \ - "0.025628,0.037683,0.048502,0.073840,0.133152,0.255163,0.499818", \ - "0.025618,0.037669,0.048488,0.073834,0.133147,0.255165,0.499817", \ - "0.025612,0.037660,0.048476,0.073826,0.133151,0.255164,0.499818"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.732269,0.742487,0.750755,0.771863,0.826485,0.948968,1.201811", \ - "0.736259,0.746366,0.754629,0.775802,0.830579,0.952954,1.205798", \ - "0.753847,0.763876,0.771994,0.793209,0.847930,0.970317,1.223209", \ - "0.790230,0.800317,0.808397,0.829550,0.884271,1.006662,1.259536", \ - "0.848921,0.858906,0.866984,0.888400,0.943030,1.065577,1.318442", \ - "0.926614,0.936425,0.944585,0.966139,1.020946,1.143451,1.396152", \ - "1.013740,1.023735,1.032102,1.053237,1.107885,1.230207,1.483300"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025809,0.037954,0.048751,0.073952,0.133181,0.255176,0.499823", \ - "0.025724,0.037833,0.048642,0.073904,0.133169,0.255168,0.499822", \ - "0.025664,0.037742,0.048556,0.073866,0.133165,0.255171,0.499820", \ - "0.025638,0.037705,0.048520,0.073845,0.133152,0.255169,0.499818", \ - "0.025627,0.037686,0.048500,0.073841,0.133151,0.255163,0.499818", \ - "0.025617,0.037670,0.048486,0.073833,0.133148,0.255165,0.499816", \ - "0.025611,0.037660,0.048476,0.073825,0.133150,0.255164,0.499818"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.732269,0.742487,0.750755,0.771866,0.826480,0.948851,1.201827", \ - "0.736259,0.746366,0.754628,0.775802,0.830579,0.952954,1.205798", \ - "0.753847,0.763876,0.771994,0.793209,0.847930,0.970317,1.223209", \ - "0.790284,0.800317,0.808397,0.829550,0.884271,1.006662,1.259536", \ - "0.848921,0.858906,0.866984,0.888400,0.943030,1.065577,1.318440", \ - "0.926614,0.936334,0.944585,0.966271,1.020946,1.143451,1.396152", \ - "1.013740,1.023735,1.032102,1.053237,1.107885,1.230207,1.483300"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025809,0.037954,0.048751,0.073952,0.133182,0.255176,0.499823", \ - "0.025724,0.037833,0.048642,0.073904,0.133169,0.255168,0.499822", \ - "0.025664,0.037742,0.048556,0.073866,0.133165,0.255171,0.499820", \ - "0.025637,0.037705,0.048520,0.073845,0.133152,0.255169,0.499818", \ - "0.025627,0.037686,0.048500,0.073841,0.133151,0.255163,0.499817", \ - "0.025617,0.037668,0.048487,0.073833,0.133148,0.255165,0.499816", \ - "0.025611,0.037660,0.048476,0.073825,0.133150,0.255164,0.499818"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.732269,0.742487,0.750755,0.771867,0.826490,0.948962,1.201731", \ - "0.736259,0.746366,0.754628,0.775802,0.830579,0.952954,1.205798", \ - "0.753847,0.763876,0.771994,0.793208,0.847930,0.970317,1.223209", \ - "0.790230,0.800253,0.808397,0.829550,0.884271,1.006662,1.259536", \ - "0.848921,0.858906,0.866984,0.888400,0.943030,1.065577,1.318442", \ - "0.926614,0.936425,0.944603,0.966270,1.020946,1.143451,1.396152", \ - "1.013739,1.023734,1.032102,1.053237,1.107885,1.230207,1.483300"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025809,0.037954,0.048751,0.073951,0.133182,0.255176,0.499824", \ - "0.025724,0.037833,0.048642,0.073904,0.133169,0.255168,0.499822", \ - "0.025664,0.037742,0.048556,0.073866,0.133165,0.255171,0.499820", \ - "0.025638,0.037706,0.048520,0.073845,0.133152,0.255169,0.499818", \ - "0.025627,0.037686,0.048500,0.073841,0.133151,0.255163,0.499818", \ - "0.025617,0.037670,0.048488,0.073833,0.133148,0.255165,0.499816", \ - "0.025611,0.037660,0.048476,0.073825,0.133150,0.255164,0.499818"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.732276,0.742463,0.750616,0.771842,0.826489,0.948824,1.201677", \ - "0.736235,0.746343,0.754605,0.775756,0.830544,0.952911,1.205733", \ - "0.753824,0.763841,0.771961,0.793169,0.847894,0.970270,1.223146", \ - "0.790257,0.800290,0.808367,0.829503,0.884231,1.006611,1.259473", \ - "0.848885,0.858856,0.867089,0.888395,0.942998,1.065487,1.318369", \ - "0.926570,0.936559,0.944539,0.966176,1.020887,1.143383,1.396070", \ - "1.013672,1.023665,1.032032,1.053162,1.107806,1.230120,1.483200"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025808,0.037952,0.048753,0.073950,0.133182,0.255175,0.499823", \ - "0.025726,0.037834,0.048643,0.073903,0.133169,0.255167,0.499822", \ - "0.025666,0.037743,0.048556,0.073867,0.133165,0.255172,0.499820", \ - "0.025639,0.037707,0.048521,0.073849,0.133153,0.255169,0.499818", \ - "0.025628,0.037683,0.048501,0.073840,0.133152,0.255163,0.499818", \ - "0.025618,0.037669,0.048488,0.073834,0.133148,0.255165,0.499817", \ - "0.025612,0.037660,0.048476,0.073826,0.133151,0.255164,0.499818"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.732269,0.742487,0.750755,0.771867,0.826475,0.948827,1.201698", \ - "0.736259,0.746366,0.754628,0.775802,0.830579,0.952954,1.205798", \ - "0.753847,0.763876,0.771994,0.793208,0.847930,0.970317,1.223209", \ - "0.790230,0.800253,0.808397,0.829550,0.884271,1.006662,1.259536", \ - "0.848921,0.858906,0.866986,0.888400,0.943030,1.065577,1.318442", \ - "0.926614,0.936416,0.944603,0.966271,1.020945,1.143451,1.396152", \ - "1.013739,1.023734,1.032102,1.053237,1.107885,1.230207,1.483300"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025809,0.037954,0.048751,0.073952,0.133182,0.255173,0.499823", \ - "0.025724,0.037833,0.048642,0.073904,0.133169,0.255168,0.499822", \ - "0.025664,0.037742,0.048556,0.073866,0.133165,0.255171,0.499820", \ - "0.025638,0.037706,0.048520,0.073845,0.133152,0.255169,0.499818", \ - "0.025627,0.037686,0.048500,0.073841,0.133151,0.255163,0.499818", \ - "0.025617,0.037670,0.048488,0.073833,0.133148,0.255165,0.499816", \ - "0.025611,0.037660,0.048476,0.073825,0.133150,0.255164,0.499818"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("4.850156,4.967884,5.039905,5.399362,5.511706,5.534693,5.577095", \ - "4.841835,4.960287,5.033818,5.393612,5.506552,5.548460,5.527164", \ - "4.816934,4.945632,5.016310,5.381106,5.487834,5.523642,5.555396", \ - "4.824450,4.941188,5.015614,5.347653,5.483219,5.529132,5.506018", \ - "4.848948,4.968270,5.034699,5.405816,5.463602,5.526535,5.556618", \ - "4.912320,5.029646,5.105336,5.460944,5.552827,5.611207,5.613281", \ - "5.032662,5.149651,5.222757,5.582572,5.687746,5.730052,5.728570"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.644103,5.611142,5.550710,5.572681,5.642252,5.751460,5.762022", \ - "5.630756,5.605809,5.542028,5.559792,5.644873,5.754158,5.764132", \ - "5.613517,5.586533,5.528637,5.551475,5.639855,5.733692,5.723586", \ - "5.601539,5.575179,5.514318,5.538209,5.629300,5.711375,5.722208", \ - "5.623695,5.591811,5.543202,5.549507,5.651587,5.723523,5.736564", \ - "5.682809,5.647858,5.599702,5.596293,5.707792,5.755305,5.769694", \ - "5.787717,5.754625,5.693953,5.721608,5.814699,5.898610,5.908628"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.049421,5.002330,4.933543,4.976376,5.042337,5.055004,5.029702", \ - "5.030871,4.986264,4.929851,4.963529,5.039172,5.052236,5.024584", \ - "5.032629,4.986569,4.932283,4.964275,5.034302,5.024350,4.999180", \ - "5.028513,4.981807,4.912871,4.934002,4.978054,5.059415,5.035865", \ - "5.030603,4.984185,4.925221,4.936651,4.990344,5.003038,5.048580", \ - "5.058877,4.995093,4.950165,4.952544,5.004118,5.013625,5.055998", \ - "5.158335,5.104413,5.020390,5.002437,5.088963,5.086463,5.054777"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.048691,5.001603,4.932818,4.979084,5.041581,5.054257,5.026484", \ - "5.030149,4.985540,4.929131,4.962586,5.038418,5.051458,5.023790", \ - "5.031928,4.985871,4.931579,4.963558,5.033571,5.023587,4.998412", \ - "5.027861,4.981151,4.912209,4.933325,4.977354,5.058686,5.035120", \ - "5.030019,4.983593,4.924618,4.936036,4.989694,5.002343,5.047855", \ - "5.058392,4.994599,4.949497,4.952014,5.003554,5.013050,5.055333", \ - "5.157969,5.104031,5.019961,5.017336,5.088497,5.085927,5.054171"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.049040,5.001934,4.933162,4.978606,5.042028,5.054607,5.029506", \ - "5.030483,4.985881,4.929486,4.964287,5.038830,5.051964,5.024399", \ - "5.032262,4.986209,4.931921,4.963900,5.033964,5.024063,4.998991", \ - "5.028182,4.981481,4.912549,4.933685,4.977736,5.059134,5.035677", \ - "5.030327,4.983906,4.924936,4.936415,4.990090,5.002826,5.048425", \ - "5.058665,4.994890,4.949792,4.952390,5.003898,5.013290,5.059281", \ - "5.158208,5.104340,5.020253,5.017703,5.088791,5.086217,5.090699"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.619348,5.573976,5.504755,5.536305,5.610815,5.622846,5.596197", \ - "5.606521,5.556670,5.499764,5.523548,5.608840,5.620316,5.594143", \ - "5.599563,5.554229,5.500294,5.509305,5.577769,5.590070,5.563985", \ - "5.596272,5.553463,5.482216,5.485672,5.545524,5.626831,5.614441", \ - "5.609248,5.562567,5.500895,5.533888,5.590162,5.581198,5.624399", \ - "5.642298,5.594942,5.549247,5.556987,5.606362,5.616188,5.658394", \ - "5.782472,5.722759,5.641056,5.636024,5.714153,5.714495,5.715084"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.620207,5.574863,5.505637,5.537188,5.611525,5.623735,5.597228", \ - "5.607371,5.557506,5.500621,5.524428,5.609781,5.621287,5.595135", \ - "5.600395,5.555070,5.501154,5.510210,5.578738,5.591071,5.564999", \ - "5.597055,5.554319,5.483005,5.486524,5.602815,5.627813,5.616509", \ - "5.609387,5.563086,5.501622,5.523739,5.568899,5.582054,5.625325", \ - "5.642897,5.595557,5.549876,5.557686,5.607221,5.617296,5.659338", \ - "5.782944,5.723251,5.641556,5.636558,5.714819,5.714956,5.683423"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.620218,5.574872,5.505642,5.537218,5.611302,5.623737,5.597215", \ - "5.607382,5.557517,5.500629,5.524433,5.609784,5.621290,5.595137", \ - "5.600406,5.555080,5.501159,5.510212,5.578741,5.591068,5.564999", \ - "5.597064,5.554316,5.483013,5.486530,5.602999,5.627809,5.616265", \ - "5.609403,5.563095,5.501628,5.523735,5.568907,5.582061,5.625327", \ - "5.642906,5.595464,5.549887,5.557684,5.607217,5.617014,5.659334", \ - "5.782951,5.723255,5.641561,5.637880,5.714815,5.715236,5.715923"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.048185,5.001061,4.932261,4.976545,5.040929,5.053486,5.028115", \ - "5.029626,4.985013,4.928587,4.963276,5.037786,5.050765,5.023006", \ - "5.031413,4.985339,4.931030,4.962954,5.032920,5.022869,4.997609", \ - "5.027344,4.980618,4.911680,4.932755,4.976709,5.057965,5.034321", \ - "5.029522,4.983086,4.924101,4.935522,4.989103,5.001689,5.047095", \ - "5.057932,4.994134,4.949013,4.951555,5.002973,5.012405,5.054578", \ - "5.157571,5.103684,5.019571,5.016964,5.087961,5.085323,5.053464"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.620226,5.574862,5.505649,5.537229,5.611814,5.623766,5.597295", \ - "5.607392,5.557548,5.500646,5.524454,5.609801,5.621332,5.595219", \ - "5.600416,5.555089,5.501171,5.510218,5.578729,5.591084,5.565055", \ - "5.597083,5.554294,5.483040,5.486536,5.603607,5.627818,5.615744", \ - "5.609725,5.563311,5.501655,5.524202,5.591096,5.582119,5.625407", \ - "5.642999,5.595492,5.549914,5.557696,5.607133,5.617046,5.659389", \ - "5.782980,5.723283,5.641597,5.637922,5.714818,5.715261,5.715965"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.965349,5.924782,5.887383,5.893833,6.033578,6.082025,6.146785", \ - "5.957168,5.914742,5.873550,5.865889,6.003931,6.088844,6.071881", \ - "5.941655,5.899533,5.859112,5.855187,5.989384,6.064716,6.057542", \ - "5.948813,5.905869,5.853890,5.856794,5.966180,6.041604,6.107199", \ - "5.946051,5.905524,5.857926,5.846503,5.946741,6.089481,6.088434", \ - "5.960307,5.917081,5.879307,5.880970,5.974707,6.116284,6.116977", \ - "5.990580,5.947017,5.895885,5.917720,6.016310,6.090831,6.152352"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.964409,5.923808,5.886455,5.892776,6.033116,6.080258,6.138838", \ - "5.956236,5.913809,5.872638,5.864977,6.003043,6.088080,6.071044", \ - "5.940754,5.898642,5.858219,5.854299,5.988515,6.063873,6.056728", \ - "5.948861,5.905018,5.853012,5.855952,5.965351,6.040789,6.106428", \ - "5.945278,5.904653,5.856428,5.845728,5.945961,6.088708,6.087669", \ - "5.959644,5.916416,5.878574,5.880293,5.974022,6.115580,6.116267", \ - "5.990054,5.946487,5.895364,5.917162,6.015735,6.090212,6.151709"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.965203,5.925849,5.886858,5.892968,6.032039,6.087215,6.139683", \ - "5.956403,5.913692,5.876665,5.865378,6.003391,6.087161,6.071437", \ - "5.941261,5.898488,5.854411,5.854676,5.988833,6.064191,6.057083", \ - "5.948748,5.904955,5.853839,5.856256,5.965675,6.041118,6.109002", \ - "5.945722,5.906384,5.859496,5.845946,5.946341,6.089061,6.088041", \ - "5.960056,5.916809,5.879255,5.881059,5.976257,6.115893,6.116614", \ - "5.990434,5.946401,5.895441,5.917513,6.016043,6.090541,6.152038"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.963342,5.921077,5.882913,5.890113,6.000067,6.074839,6.135936", \ - "5.954273,5.911198,5.873902,5.862410,6.000036,6.103034,6.065865", \ - "5.938808,5.895675,5.856331,5.851617,5.985399,6.059877,6.051545", \ - "5.946449,5.901967,5.849679,5.853368,5.962165,6.036740,6.098502", \ - "5.943041,5.899636,5.851549,5.843058,5.942800,6.084358,6.082300", \ - "5.957170,5.915624,5.875967,5.878958,5.970569,6.111267,6.110619", \ - "5.987188,5.943141,5.894058,5.913941,6.011974,6.085659,6.145954"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.964449,5.922184,5.884039,5.891133,6.001223,6.076896,6.134040", \ - "5.955375,5.912294,5.874998,5.863472,6.001139,6.104058,6.066898", \ - "5.939872,5.896739,5.857334,5.852704,5.986535,6.060977,6.052608", \ - "5.946591,5.902978,5.850686,5.854408,5.963250,6.037800,6.099559", \ - "5.943959,5.900558,5.852467,5.843987,5.943745,6.085331,6.082179", \ - "5.957974,5.918911,5.876908,5.878207,5.971468,6.112211,6.111574", \ - "5.987842,5.943808,5.894731,5.914635,6.012763,6.086493,6.146846"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.964460,5.922192,5.884049,5.891151,6.028990,6.076056,6.137694", \ - "5.955381,5.912302,5.875010,5.863499,6.001140,6.103938,6.066915", \ - "5.939839,5.896744,5.857347,5.852719,5.986528,6.060995,6.052630", \ - "5.947474,5.903846,5.850698,5.854415,5.963243,6.037819,6.099584", \ - "5.943974,5.900574,5.852472,5.844000,5.943768,6.085343,6.083303", \ - "5.957988,5.916466,5.876566,5.878223,5.971481,6.112208,6.111592", \ - "5.987854,5.943820,5.894741,5.914650,6.012765,6.086492,6.146860"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.964067,5.924993,5.885691,5.892022,6.001478,6.130527,6.137760", \ - "5.955270,5.912597,5.875528,5.864191,6.002131,6.085673,6.069908", \ - "5.940137,5.897350,5.853269,5.853486,5.987583,6.062835,6.055574", \ - "5.946988,5.903449,5.852699,5.855086,5.964437,6.039781,6.107515", \ - "5.944651,5.905302,5.855273,5.844812,5.945143,6.087758,6.086570", \ - "5.959053,5.915791,5.878101,5.879990,5.973214,6.114645,6.115218", \ - "5.989549,5.945499,5.894524,5.916546,6.015004,6.089372,6.150721"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.964480,5.922212,5.884037,5.891150,6.026981,6.077550,6.142314", \ - "5.955403,5.912324,5.875024,5.863512,6.001154,6.103872,6.066997", \ - "5.939907,5.896773,5.857428,5.852727,5.986512,6.061010,6.052671", \ - "5.947504,5.903888,5.850714,5.854417,5.963237,6.037842,6.099609", \ - "5.944011,5.900610,5.853171,5.844028,5.943807,6.085402,6.083368", \ - "5.958015,5.916622,5.876602,5.878233,5.971501,6.112236,6.111641", \ - "5.987894,5.943860,5.894785,5.914695,6.012788,6.086532,6.146908"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFS_X1 - Cell Description : Pos.edge D-Flip-Flop with active high scan, and active low set, and drive strength X1 - *******************************************************************************************/ - - cell (SDFFS_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - preset : "!SN"; - } - - area : 6.650000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 69.900752; - - leakage_power () { - when : "!CK & !D & !SE & !SI & !SN & Q & !QN"; - value : 63.014925; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & SN & !Q & QN"; - value : 69.939161; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & SN & Q & !QN"; - value : 70.966662; - } - leakage_power () { - when : "!CK & !D & !SE & SI & !SN & Q & !QN"; - value : 70.047509; - } - leakage_power () { - when : "!CK & !D & !SE & SI & SN & !Q & QN"; - value : 76.971745; - } - leakage_power () { - when : "!CK & !D & !SE & SI & SN & Q & !QN"; - value : 77.999246; - } - leakage_power () { - when : "!CK & !D & SE & !SI & !SN & Q & !QN"; - value : 60.433214; - } - leakage_power () { - when : "!CK & !D & SE & !SI & SN & !Q & QN"; - value : 67.357451; - } - leakage_power () { - when : "!CK & !D & SE & !SI & SN & Q & !QN"; - value : 68.384952; - } - leakage_power () { - when : "!CK & !D & SE & SI & !SN & Q & !QN"; - value : 59.109551; - } - leakage_power () { - when : "!CK & !D & SE & SI & SN & !Q & QN"; - value : 72.325732; - } - leakage_power () { - when : "!CK & !D & SE & SI & SN & Q & !QN"; - value : 67.352748; - } - leakage_power () { - when : "!CK & D & !SE & !SI & !SN & Q & !QN"; - value : 62.358674; - } - leakage_power () { - when : "!CK & D & !SE & !SI & SN & !Q & QN"; - value : 75.574856; - } - leakage_power () { - when : "!CK & D & !SE & !SI & SN & Q & !QN"; - value : 70.601872; - } - leakage_power () { - when : "!CK & D & !SE & SI & !SN & Q & !QN"; - value : 63.405337; - } - leakage_power () { - when : "!CK & D & !SE & SI & SN & !Q & QN"; - value : 76.621528; - } - leakage_power () { - when : "!CK & D & !SE & SI & SN & Q & !QN"; - value : 71.648535; - } - leakage_power () { - when : "!CK & D & SE & !SI & !SN & Q & !QN"; - value : 69.751271; - } - leakage_power () { - when : "!CK & D & SE & !SI & SN & !Q & QN"; - value : 76.675507; - } - leakage_power () { - when : "!CK & D & SE & !SI & SN & Q & !QN"; - value : 77.702913; - } - leakage_power () { - when : "!CK & D & SE & SI & !SN & Q & !QN"; - value : 60.472744; - } - leakage_power () { - when : "!CK & D & SE & SI & SN & !Q & QN"; - value : 73.688925; - } - leakage_power () { - when : "!CK & D & SE & SI & SN & Q & !QN"; - value : 68.716037; - } - leakage_power () { - when : "CK & !D & !SE & !SI & !SN & Q & !QN"; - value : 66.052702; - } - leakage_power () { - when : "CK & !D & !SE & !SI & SN & !Q & QN"; - value : 69.377721; - } - leakage_power () { - when : "CK & !D & !SE & !SI & SN & Q & !QN"; - value : 75.146330; - } - leakage_power () { - when : "CK & !D & !SE & SI & !SN & Q & !QN"; - value : 73.083766; - } - leakage_power () { - when : "CK & !D & !SE & SI & SN & !Q & QN"; - value : 76.409070; - } - leakage_power () { - when : "CK & !D & !SE & SI & SN & Q & !QN"; - value : 82.177394; - } - leakage_power () { - when : "CK & !D & SE & !SI & !SN & Q & !QN"; - value : 63.470231; - } - leakage_power () { - when : "CK & !D & SE & !SI & SN & !Q & QN"; - value : 66.795440; - } - leakage_power () { - when : "CK & !D & SE & !SI & SN & Q & !QN"; - value : 72.563859; - } - leakage_power () { - when : "CK & !D & SE & SI & !SN & Q & !QN"; - value : 58.991618; - } - leakage_power () { - when : "CK & !D & SE & SI & SN & !Q & QN"; - value : 68.304857; - } - leakage_power () { - when : "CK & !D & SE & SI & SN & Q & !QN"; - value : 68.087051; - } - leakage_power () { - when : "CK & D & !SE & !SI & !SN & Q & !QN"; - value : 62.240931; - } - leakage_power () { - when : "CK & D & !SE & !SI & SN & !Q & QN"; - value : 71.554266; - } - leakage_power () { - when : "CK & D & !SE & !SI & SN & Q & !QN"; - value : 71.336365; - } - leakage_power () { - when : "CK & D & !SE & SI & !SN & Q & !QN"; - value : 63.287983; - } - leakage_power () { - when : "CK & D & !SE & SI & SN & !Q & QN"; - value : 72.601318; - } - leakage_power () { - when : "CK & D & !SE & SI & SN & Q & !QN"; - value : 72.383321; - } - leakage_power () { - when : "CK & D & SE & !SI & !SN & Q & !QN"; - value : 72.785533; - } - leakage_power () { - when : "CK & D & SE & !SI & SN & !Q & QN"; - value : 76.111311; - } - leakage_power () { - when : "CK & D & SE & !SI & SN & Q & !QN"; - value : 81.879160; - } - leakage_power () { - when : "CK & D & SE & SI & !SN & Q & !QN"; - value : 60.355295; - } - leakage_power () { - when : "CK & D & SE & SI & SN & !Q & QN"; - value : 69.668725; - } - leakage_power () { - when : "CK & D & SE & SI & SN & Q & !QN"; - value : 69.450729; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.131009; - fall_capacitance : 1.057425; - rise_capacitance : 1.131009; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "!SE & SN"; - sdf_cond : "NEG_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.072614,-0.020395,0.035302", \ - "-0.112671,-0.058028,0.001279", \ - "0.153701,0.212125,0.275848"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.023576,0.013971,0.046177", \ - "-0.026081,0.008136,0.020106", \ - "0.113874,0.146747,0.125941"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "!SE & SN"; - sdf_cond : "NEG_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.243432,0.212666,0.228131", \ - "0.301243,0.270104,0.285505", \ - "0.457811,0.426124,0.439193"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.233335,0.175682,0.110629", \ - "0.278727,0.220500,0.155688", \ - "0.347058,0.288640,0.224943"); - } - } - - internal_power () { - - when : "!CK & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.203001,5.184320,5.158980,5.147794,5.154469,5.202688,5.314789"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.666983,3.644298,3.617021,3.594506,3.593707,3.638431,3.757580"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.285097,5.268316,5.242422,5.231972,5.237534,5.286044,5.399937"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.791436,3.768629,3.741813,3.717881,3.717231,3.763165,3.887510"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.252856,5.235763,5.209754,5.195771,5.206322,5.253509,5.366018"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.681596,3.658716,3.632230,3.606744,3.607666,3.652839,3.774834"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.194916,5.176212,5.151793,5.139399,5.145754,5.192925,5.304729"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.669240,3.646929,3.619550,3.597176,3.595375,3.639218,3.761488"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.276989,5.260194,5.234196,5.223511,5.228823,5.275022,5.389175"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.793991,3.771114,3.744318,3.720709,3.718915,3.764708,3.888922"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.244765,5.227633,5.202747,5.187370,5.197610,5.243584,5.355973"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.684100,3.661204,3.634706,3.610646,3.609296,3.656930,3.776027"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.547055,0.524926,0.515369,0.511663,0.509122,0.508616,0.508554"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446729,-0.449616,-0.454100,-0.457689,-0.459975,-0.461336,-0.462306"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543617,0.524505,0.514947,0.511244,0.508694,0.508215,0.508144"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448127,-0.451002,-0.455486,-0.459071,-0.461352,-0.462701,-0.463687"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543907,0.526445,0.515239,0.511537,0.508974,0.508514,0.508426"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447594,-0.450454,-0.454936,-0.458520,-0.460795,-0.462140,-0.463120"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479975,0.483229,0.484141,0.484610,0.484679,0.485996,0.484854"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330165,-0.362347,-0.401408,-0.420981,-0.431438,-0.437778,-0.442334"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480507,0.483779,0.484486,0.485139,0.485139,0.486527,0.485295"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.328569,-0.361253,-0.400256,-0.419829,-0.429923,-0.436701,-0.440744"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479891,0.483156,0.484062,0.484156,0.484538,0.485941,0.484753"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330547,-0.362703,-0.401762,-0.421334,-0.431786,-0.438120,-0.442667"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.472287,2.455135,2.429971,2.418565,2.428849,2.495510,2.603588"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.161102,1.138305,1.112059,1.093461,1.095914,1.140500,1.261358"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.510288,2.493126,2.468440,2.456767,2.466565,2.534458,2.639994"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.173146,1.151722,1.124987,1.106325,1.111803,1.158687,1.279027"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.471587,2.454378,2.429181,2.417849,2.428143,2.494174,2.599677"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.207570,1.184569,1.158246,1.138978,1.141491,1.189230,1.304935"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.463504,2.446292,2.421018,2.409501,2.419290,2.486200,2.592949"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.161042,1.138217,1.111966,1.093473,1.095942,1.142166,1.260016"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.501554,2.484870,2.459563,2.447805,2.457702,2.525527,2.631885"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.175087,1.153629,1.126876,1.110054,1.110993,1.160866,1.279741"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.463524,2.446263,2.420737,2.409479,2.419548,2.485098,2.593335"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.210095,1.187077,1.160739,1.141481,1.143543,1.191723,1.305440"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.542466,0.523300,0.513311,0.510038,0.507517,0.507010,0.506968"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.451418,-0.453064,-0.457555,-0.461159,-0.463488,-0.464952,-0.466024"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543745,0.524614,0.515055,0.511351,0.508812,0.508314,0.508261"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447510,-0.450392,-0.454888,-0.458479,-0.460767,-0.462128,-0.463100"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543473,0.524354,0.514322,0.511090,0.508521,0.508078,0.507988"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448779,-0.451627,-0.456119,-0.459701,-0.461974,-0.463313,-0.464254"); - } - - } - - internal_power () { - - when : "CK & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479397,0.482807,0.483215,0.483993,0.484048,0.485300,0.484130"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330848,-0.363097,-0.402067,-0.421667,-0.432092,-0.438432,-0.442991"); - } - - } - - internal_power () { - - when : "CK & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480727,0.483784,0.484641,0.485079,0.485128,0.486472,0.485280"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.329095,-0.362014,-0.400236,-0.420157,-0.430088,-0.436934,-0.440936"); - } - - } - - internal_power () { - - when : "CK & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479415,0.482658,0.483198,0.484005,0.484035,0.485333,0.484117"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330954,-0.363091,-0.402135,-0.421698,-0.432150,-0.438486,-0.443040"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.957713; - fall_capacitance : 1.817306; - rise_capacitance : 1.957713; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.051562,-0.015485,0.008906", \ - "-0.113298,-0.076169,-0.048152", \ - "0.152646,0.184829,0.171947"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.056642,-0.010695,0.051167", \ - "-0.086955,-0.035820,0.027070", \ - "0.011576,0.069479,0.135357"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.284635,0.226870,0.190658", \ - "0.349786,0.292025,0.243784", \ - "0.489192,0.431294,0.400369"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.273267,0.241510,0.255945", \ - "0.312144,0.280055,0.294537", \ - "0.348114,0.315937,0.328850"); - } - } - - internal_power () { - - when : "!CK & !D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.951343,1.913715,1.897147,1.943402,2.040874,2.200750,2.425280"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.048582,0.027045,0.005911,0.015743,0.092049,0.238004,0.459415"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.950508,1.913277,1.896721,1.942737,2.039101,2.200349,2.424992"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.043478,0.025653,0.004526,0.018836,0.093488,0.236611,0.458007"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.950704,1.913579,1.897156,1.943030,2.039381,2.200642,2.425369"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.044009,0.026201,0.001120,0.019386,0.094044,0.236821,0.458573"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.014375,5.947429,5.898359,5.967218,6.134390,6.444117,6.880055"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.301846,3.282691,3.235836,3.240191,3.359510,3.624208,4.060974"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.097367,6.030667,5.981629,6.051870,6.221693,6.527691,6.959527"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.426092,3.407871,3.360383,3.364335,3.483847,3.749267,4.186529"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.064617,5.997773,5.948404,6.014948,6.187444,6.493306,6.925109"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.316152,3.296385,3.249594,3.252154,3.374908,3.642957,4.073034"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.493738,5.460818,5.468367,5.553597,5.698366,5.908853,6.207300"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.708655,4.707758,4.656995,4.629986,4.674612,4.802125,5.023231"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.478885,5.446376,5.456582,5.540943,5.680598,5.891436,6.186689"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.675855,4.685298,4.664088,4.659184,4.712422,4.848007,5.066682"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.501277,5.470056,5.476841,5.562521,5.709490,5.919808,6.217982"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.770503,4.770195,4.714667,4.694696,4.737685,4.867412,5.086754"); - } - - } - - internal_power () { - - when : "!CK & D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.819646,1.783398,1.769984,1.816742,1.915705,2.072189,2.301945"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.111826,0.096079,0.076910,0.089413,0.161692,0.304275,0.528549"); - } - - } - - internal_power () { - - when : "!CK & D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.823614,1.787628,1.773803,1.822534,1.919879,2.076480,2.306160"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.129628,0.113681,0.094488,0.106712,0.178791,0.320706,0.544973"); - } - - } - - internal_power () { - - when : "!CK & D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.819563,1.783325,1.769905,1.816293,1.915564,2.072123,2.301803"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.111444,0.095723,0.076555,0.089063,0.161335,0.303933,0.528216"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.949559,1.912909,1.896324,1.943373,2.038947,2.200149,2.425497"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.049832,0.030618,0.006278,0.017359,0.096245,0.237779,0.463697"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.950472,1.913659,1.896980,1.943100,2.040535,2.200415,2.424449"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.043010,0.025940,0.000665,0.014936,0.091208,0.236784,0.458523"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.950515,1.913163,1.896284,1.942837,2.040245,2.200185,2.422879"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.041696,0.024631,-0.005690,0.013769,0.090008,0.235949,0.457215"); - } - - } - - internal_power () { - - when : "CK & !D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.275771,3.210321,3.162727,3.236650,3.422963,3.737446,4.165810"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.770960,0.751480,0.709753,0.720086,0.842986,1.108637,1.538970"); - } - - } - - internal_power () { - - when : "CK & !D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.315223,3.248577,3.200869,3.277177,3.459792,3.785738,4.203721"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.808105,0.789588,0.748416,0.759458,0.881603,1.150831,1.578988"); - } - - } - - internal_power () { - - when : "CK & !D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.275735,3.210564,3.162570,3.237691,3.422825,3.737301,4.165100"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.841847,0.822901,0.780070,0.789083,0.911279,1.172849,1.603883"); - } - - } - - internal_power () { - - when : "CK & D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.003088,2.970305,2.977152,3.061416,3.206036,3.415190,3.703235"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.853994,1.861829,1.840914,1.835837,1.889480,2.035072,2.252070"); - } - - } - - internal_power () { - - when : "CK & D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.968115,2.935411,2.941507,3.026606,3.170869,3.382696,3.670006"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.894179,1.902091,1.881982,1.874977,1.929511,2.073231,2.290130"); - } - - } - - internal_power () { - - when : "CK & D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.996428,2.963562,2.968738,3.054605,3.201624,3.411260,3.700942"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.941880,1.941133,1.888540,1.862680,1.906928,2.047434,2.262164"); - } - - } - - internal_power () { - - when : "CK & D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.822132,1.786437,1.772141,1.821431,1.918610,2.073914,2.303540"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.025138,-0.041063,-0.059964,-0.048893,0.023108,0.163173,0.384259"); - } - - } - - internal_power () { - - when : "CK & D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.822710,1.787208,1.773235,1.821723,1.920507,2.076080,2.305545"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.129215,0.113287,0.094072,0.106459,0.178482,0.320247,0.545019"); - } - - } - - internal_power () { - - when : "CK & D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.818433,1.782704,1.768437,1.815990,1.916041,2.070156,2.300876"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.111177,0.095354,0.076295,0.088459,0.161040,0.304112,0.527801"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.874647; - fall_capacitance : 0.839929; - rise_capacitance : 0.874647; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SE & SN"; - sdf_cond : "SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.084532,-0.032595,0.022705", \ - "-0.116564,-0.060994,0.000480", \ - "0.130013,0.188001,0.253894"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.024348,0.012576,0.044178", \ - "-0.028008,0.005748,0.017917", \ - "0.131367,0.163506,0.143904"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SE & SN"; - sdf_cond : "SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.279311,0.248025,0.262126", \ - "0.337064,0.305209,0.319483", \ - "0.503010,0.470199,0.482396"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.238734,0.180889,0.116034", \ - "0.288302,0.230161,0.165020", \ - "0.370748,0.312765,0.246898"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412347,0.398128,0.390412,0.387271,0.384931,0.384903,0.383852"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.341607,-0.344285,-0.347744,-0.349307,-0.350327,-0.350934,-0.351130"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.414247,0.397708,0.389989,0.386853,0.384502,0.384503,0.383441"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.343009,-0.345674,-0.349131,-0.350690,-0.351705,-0.352300,-0.352515"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.414537,0.398003,0.390282,0.387146,0.384783,0.384801,0.383724"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.342474,-0.345125,-0.348581,-0.350137,-0.351148,-0.351738,-0.351948"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.175302,5.162431,5.143205,5.132315,5.137747,5.169326,5.248146"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.320636,3.305799,3.287665,3.270713,3.265667,3.292351,3.381120"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.256080,5.244287,5.227417,5.214214,5.221061,5.249010,5.327338"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.446842,3.430955,3.412739,3.395032,3.390848,3.417349,3.500159"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.223664,5.211557,5.195077,5.181199,5.188323,5.216107,5.295790"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.334640,3.319795,3.301960,3.282938,3.281642,3.310059,3.390976"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357220,0.360771,0.361426,0.361236,0.360690,0.361214,0.360971"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.246343,-0.272896,-0.303150,-0.317272,-0.324871,-0.329533,-0.332696"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357763,0.361490,0.361658,0.361919,0.361309,0.361887,0.361545"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.248329,-0.270876,-0.301033,-0.315207,-0.322768,-0.327224,-0.330542"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357134,0.360697,0.361347,0.360728,0.360543,0.361148,0.360870"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.246726,-0.273252,-0.303504,-0.317624,-0.325218,-0.329874,-0.333029"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.164366,5.150841,5.130645,5.120913,5.125931,5.154972,5.234450"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.323162,3.308358,3.290272,3.273186,3.268176,3.295638,3.388510"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.245154,5.233325,5.216421,5.202810,5.209244,5.237368,5.314431"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.449389,3.432992,3.414336,3.397614,3.394176,3.418863,3.506727"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.212775,5.200576,5.183891,5.169397,5.176440,5.203252,5.282707"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.339085,3.321337,3.302707,3.285353,3.283844,3.311600,3.401242"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.415081,0.397445,0.389271,0.386587,0.384259,0.384261,0.383212"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.343327,-0.346093,-0.349738,-0.351328,-0.352353,-0.352992,-0.353246"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412045,0.397820,0.390100,0.386962,0.384624,0.384603,0.383559"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.342395,-0.345077,-0.348536,-0.350100,-0.351123,-0.351731,-0.351928"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.411772,0.397561,0.389339,0.386701,0.384333,0.384368,0.383286"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.343665,-0.346313,-0.349768,-0.351322,-0.352331,-0.352916,-0.353082"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.435852,2.423790,2.404828,2.395089,2.404521,2.452196,2.540767"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.863764,0.846649,0.829684,0.816820,0.812349,0.842258,0.924521"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.476656,2.464244,2.445797,2.433921,2.441873,2.493204,2.578922"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.832930,0.816237,0.800074,0.786955,0.786508,0.817528,0.896121"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.437128,2.423504,2.406420,2.394914,2.404264,2.451504,2.543756"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.866079,0.849346,0.832393,0.819199,0.816987,0.847281,0.921431"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356981,0.360685,0.360945,0.361114,0.360576,0.361043,0.360797"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249129,-0.271741,-0.301894,-0.316075,-0.323654,-0.328134,-0.331488"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357723,0.361442,0.362078,0.361448,0.361265,0.361835,0.361552"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.248706,-0.271278,-0.301422,-0.315586,-0.323137,-0.327579,-0.330880"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356637,0.360202,0.360426,0.360630,0.360046,0.360550,0.360234"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.247097,-0.273622,-0.303867,-0.317982,-0.325578,-0.330237,-0.333397"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.425932,2.413526,2.394528,2.383608,2.392573,2.439300,2.527683"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.819274,0.802509,0.785095,0.773622,0.772497,0.801721,0.883516"); - } - - } - - internal_power () { - - when : "CK & D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.465330,2.452866,2.434642,2.422049,2.429660,2.480310,2.565426"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.835509,0.818787,0.802663,0.791147,0.789010,0.819067,0.903053"); - } - - } - - internal_power () { - - when : "CK & D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.425909,2.413510,2.394523,2.383596,2.392514,2.438789,2.527703"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.867977,0.850512,0.834666,0.821627,0.819485,0.848556,0.928705"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.335695; - fall_capacitance : 1.305955; - rise_capacitance : 1.335695; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.193288,-0.251620,-0.325718", \ - "-0.181150,-0.238782,-0.310292", \ - "-0.058307,-0.127345,-0.208406"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.710554,0.747849,0.806073", \ - "0.848568,0.886098,0.943748", \ - "1.333307,1.370601,1.429316"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.607381,0.674162,1.093968"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.513774,0.497078,0.489008,0.486146,0.484490,0.484673,0.484532"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.381096,-0.385450,-0.392236,-0.398493,-0.400599,-0.402849,-0.404125"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.513799,0.497114,0.489038,0.486177,0.484493,0.484712,0.484534"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.381077,-0.385406,-0.392192,-0.398449,-0.400555,-0.402804,-0.404080"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.513744,0.497036,0.488973,0.486111,0.484487,0.484628,0.484529"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.381117,-0.385502,-0.392287,-0.398544,-0.400650,-0.402899,-0.404175"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.511206,0.496156,0.489073,0.486073,0.484774,0.484476,0.483299"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447525,-0.447913,-0.452308,-0.455246,-0.456547,-0.456054,-0.455425"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.511250,0.496217,0.489123,0.486124,0.484779,0.484542,0.483303"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447493,-0.447838,-0.452233,-0.455171,-0.456473,-0.455979,-0.455351"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.511241,0.496205,0.489113,0.486114,0.484778,0.484529,0.483302"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447500,-0.447853,-0.452247,-0.455186,-0.456487,-0.455994,-0.455365"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.513777,0.497084,0.489012,0.486151,0.484490,0.484679,0.484531"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.381094,-0.385444,-0.392229,-0.398485,-0.400591,-0.402841,-0.404117"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.511194,0.496140,0.489060,0.486060,0.484772,0.484459,0.483298"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447534,-0.447932,-0.452327,-0.455266,-0.456567,-0.456073,-0.455445"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.533792,0.511001,0.496067,0.494854,0.492704,0.490645,0.491018"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449709,-0.450853,-0.453902,-0.456983,-0.458495,-0.457968,-0.458774"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.533582,0.510804,0.495835,0.494658,0.492487,0.490475,0.490823"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.450616,-0.451734,-0.454782,-0.457860,-0.459368,-0.458834,-0.459629"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.533697,0.510878,0.499238,0.492841,0.492669,0.491199,0.491055"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449934,-0.451346,-0.454905,-0.457658,-0.459194,-0.458696,-0.459454"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.532855,0.509939,0.497810,0.493804,0.491730,0.489556,0.489902"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.450750,-0.451757,-0.455038,-0.458094,-0.459599,-0.459074,-0.460017"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.534125,0.511181,0.496802,0.493209,0.493025,0.491512,0.491316"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448243,-0.449426,-0.452797,-0.455936,-0.457462,-0.456984,-0.457713"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.533537,0.510635,0.498454,0.494484,0.492364,0.490227,0.490507"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448895,-0.449867,-0.453148,-0.456205,-0.457712,-0.457194,-0.458145"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.533628,0.510842,0.495903,0.494702,0.492554,0.490516,0.490896"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.450563,-0.451702,-0.454749,-0.457828,-0.459335,-0.458799,-0.459592"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.532842,0.509922,0.497809,0.493789,0.491728,0.489538,0.489900"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.450784,-0.451784,-0.455068,-0.458114,-0.459623,-0.459093,-0.460039"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.940104; - fall_capacitance : 0.850788; - rise_capacitance : 0.940104; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.263328,0.271660,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.219368,0.225110,0.500500"); - } - } - - internal_power () { - - when : "!D & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.212358,5.186742,5.156285,5.171941,5.251686,5.408212,5.639360"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("10.255390,10.240790,10.184490,10.151370,10.189170,10.311740,10.533740"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.998003,2.972932,2.944021,2.961303,3.044555,3.197916,3.418667"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.572619,2.560309,2.527618,2.516705,2.577510,2.698738,2.902529"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.315594,5.288041,5.258285,5.273560,5.355474,5.514587,5.743772"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.212380,5.186905,5.156324,5.171975,5.251103,5.408264,5.639368"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("10.255400,10.240830,10.184540,10.151410,10.189220,10.311770,10.533790"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.998029,2.972977,2.944059,2.961342,3.044567,3.197964,3.418678"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.572630,2.560368,2.527675,2.516761,2.577550,2.698787,2.902573"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.315641,5.287795,5.258365,5.273629,5.355552,5.514652,5.743828"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.212513,5.186788,5.156433,5.171879,5.251819,5.408367,5.639343"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("10.255380,10.240760,10.184460,10.151330,10.189130,10.311680,10.533690"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.997956,2.972873,2.943969,2.961253,3.044496,3.197831,3.418649"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.572624,2.560305,2.527611,2.516695,2.577476,2.698690,2.902454"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.315601,5.287804,5.258148,5.273472,5.355456,5.514904,5.743604"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.111976,3.086146,3.057470,3.075454,3.157809,3.312471,3.533209"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.458509,2.445267,2.410014,2.402841,2.458266,2.588055,2.792316"); - } - - } - - internal_power () { - - when : "!D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.515285,5.487293,5.460763,5.477646,5.559317,5.705490,5.938864"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.112087,3.086028,3.056265,3.075538,3.157692,3.312934,3.534854"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.474373,2.462523,2.427658,2.419362,2.476625,2.598715,2.802490"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.112030,3.086204,3.056423,3.075499,3.157811,3.312531,3.533211"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.458527,2.445317,2.410086,2.402914,2.458344,2.588116,2.792394"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.515852,5.487330,5.461370,5.479354,5.557987,5.706621,5.939493"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.112135,3.086079,3.056312,3.075538,3.157653,3.312993,3.538639"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.474379,2.462592,2.427726,2.419439,2.476703,2.598784,2.804010"); - } - - } - - internal_power () { - - when : "D & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.112017,3.086189,3.057505,3.075487,3.157794,3.312516,3.533209"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.458047,2.445303,2.410071,2.402899,2.458329,2.588102,2.792378"); - } - - } - - internal_power () { - - when : "D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.481388,5.453791,5.425563,5.445143,5.526712,5.675914,5.904870"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.112121,3.086064,3.056300,3.075536,3.157686,3.312979,3.538636"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.474374,2.462578,2.427712,2.419424,2.476689,2.598769,2.803995"); - } - - } - - internal_power () { - - when : "D & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.212552,5.187006,5.156483,5.171938,5.251830,5.408441,5.638368"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("10.255420,10.240820,10.184520,10.151390,10.189200,10.311750,10.539400"); - } - - } - - internal_power () { - - when : "D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.997993,2.972935,2.944020,2.961305,3.044512,3.197896,3.418665"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.572659,2.560365,2.527672,2.516762,2.577546,2.698761,2.902518"); - } - - } - - internal_power () { - - when : "D & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.315683,5.287715,5.258262,5.273566,5.355553,5.515005,5.743698"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.111960,3.086126,3.057453,3.075437,3.157791,3.312450,3.533204"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.458501,2.445248,2.409995,2.402821,2.458246,2.588036,2.792296"); - } - - } - - internal_power () { - - when : "D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.515388,5.487400,5.460854,5.477750,5.559423,5.705599,5.938955"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.112071,3.086009,3.056248,3.075534,3.157688,3.312913,3.538629"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.474366,2.462504,2.427639,2.419342,2.476606,2.598694,2.803905"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 59.915610; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.215626,0.232441,0.248066,0.271870,0.307493,0.362069,0.447869", \ - "0.220919,0.237739,0.253348,0.277143,0.312799,0.367357,0.453161", \ - "0.238869,0.255715,0.271356,0.295150,0.330840,0.385395,0.471215", \ - "0.263139,0.279959,0.295516,0.319377,0.355077,0.409647,0.495559", \ - "0.287003,0.303861,0.319204,0.343084,0.378857,0.433207,0.519293", \ - "0.307497,0.324394,0.340189,0.363725,0.399525,0.454445,0.540676", \ - "0.324242,0.341607,0.357230,0.380328,0.416278,0.472175,0.558131"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.190634,0.206990,0.224994,0.258605,0.323451,0.451066,0.705034", \ - "0.195822,0.212186,0.230197,0.263798,0.328656,0.456286,0.710250", \ - "0.213774,0.230131,0.248128,0.281729,0.346582,0.474208,0.728178", \ - "0.238910,0.255273,0.273279,0.306834,0.371717,0.499349,0.753316", \ - "0.263938,0.280312,0.298131,0.331783,0.396647,0.524277,0.778309", \ - "0.287098,0.303439,0.320919,0.354921,0.419796,0.547447,0.801503", \ - "0.305964,0.322261,0.340683,0.374120,0.438942,0.566607,0.820582"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.035607,0.041135,0.046775,0.056347,0.073109,0.102134,0.156075", \ - "0.035608,0.041133,0.046773,0.056354,0.073100,0.102126,0.156083", \ - "0.035648,0.041168,0.046812,0.056385,0.073127,0.102147,0.156083", \ - "0.035699,0.041215,0.046848,0.056423,0.073154,0.102162,0.156096", \ - "0.035721,0.041251,0.046877,0.056446,0.073172,0.102167,0.156078", \ - "0.035811,0.041350,0.046990,0.056545,0.073279,0.102256,0.156140", \ - "0.035891,0.041438,0.047081,0.056638,0.073354,0.102301,0.156144"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.022543,0.033452,0.047398,0.076359,0.135935,0.256530,0.499149", \ - "0.022539,0.033452,0.047402,0.076351,0.135935,0.256529,0.499149", \ - "0.022532,0.033451,0.047393,0.076356,0.135934,0.256534,0.499148", \ - "0.022531,0.033447,0.047394,0.076352,0.135934,0.256527,0.499148", \ - "0.022533,0.033448,0.047391,0.076353,0.135933,0.256529,0.499146", \ - "0.022541,0.033453,0.047394,0.076347,0.135926,0.256534,0.499149", \ - "0.022568,0.033471,0.047413,0.076356,0.135931,0.256533,0.499146"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.274001,0.293075,0.312993,0.348378,0.413444,0.539822,0.792634", \ - "0.278649,0.297711,0.317636,0.353036,0.418100,0.544496,0.797316", \ - "0.296774,0.315836,0.335762,0.371152,0.436216,0.562601,0.815433", \ - "0.333683,0.352739,0.372638,0.408015,0.473085,0.599504,0.852322", \ - "0.389789,0.408627,0.428453,0.463377,0.528215,0.654225,0.906906", \ - "0.456910,0.475317,0.494738,0.528706,0.592332,0.717725,0.970059", \ - "0.529036,0.547252,0.566469,0.599041,0.661271,0.785411,1.036980"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.032647,0.044110,0.057706,0.084822,0.141191,0.259640,0.501593", \ - "0.032636,0.044098,0.057703,0.084829,0.141206,0.259582,0.501590", \ - "0.032637,0.044111,0.057705,0.084831,0.141187,0.259641,0.501589", \ - "0.032641,0.044101,0.057707,0.084832,0.141201,0.259637,0.501613", \ - "0.032645,0.044113,0.057716,0.084835,0.141202,0.259644,0.501612", \ - "0.032769,0.044224,0.057812,0.084901,0.141245,0.259650,0.501609", \ - "0.032996,0.044424,0.057989,0.084998,0.141301,0.259677,0.501597"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.274001,0.293075,0.312992,0.348377,0.413451,0.539809,0.792626", \ - "0.278649,0.297711,0.317636,0.353037,0.418100,0.544496,0.797317", \ - "0.296774,0.315836,0.335762,0.371152,0.436216,0.562601,0.815434", \ - "0.333700,0.352759,0.372637,0.408012,0.473085,0.599504,0.852323", \ - "0.389789,0.408623,0.428452,0.463377,0.528215,0.654225,0.906907", \ - "0.456910,0.475317,0.494738,0.528707,0.592332,0.717725,0.970060", \ - "0.529036,0.547252,0.566468,0.599041,0.661271,0.785412,1.036981"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.032647,0.044110,0.057706,0.084817,0.141195,0.259629,0.501610", \ - "0.032636,0.044098,0.057703,0.084829,0.141206,0.259582,0.501590", \ - "0.032637,0.044111,0.057705,0.084831,0.141187,0.259641,0.501589", \ - "0.032630,0.044113,0.057707,0.084833,0.141201,0.259637,0.501613", \ - "0.032645,0.044116,0.057716,0.084835,0.141202,0.259644,0.501612", \ - "0.032769,0.044224,0.057812,0.084901,0.141245,0.259650,0.501610", \ - "0.032996,0.044424,0.057989,0.084998,0.141301,0.259677,0.501597"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.274001,0.293075,0.312993,0.348378,0.413444,0.539822,0.792634", \ - "0.278649,0.297711,0.317636,0.353036,0.418100,0.544496,0.797316", \ - "0.296774,0.315836,0.335762,0.371152,0.436216,0.562601,0.815434", \ - "0.333683,0.352742,0.372638,0.408012,0.473085,0.599504,0.852322", \ - "0.389789,0.408623,0.428453,0.463376,0.528215,0.654225,0.906906", \ - "0.456910,0.475317,0.494738,0.528706,0.592332,0.717725,0.970059", \ - "0.529036,0.547251,0.566469,0.599041,0.661271,0.785411,1.036980"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.032647,0.044110,0.057706,0.084822,0.141191,0.259639,0.501593", \ - "0.032636,0.044098,0.057703,0.084829,0.141206,0.259582,0.501590", \ - "0.032637,0.044111,0.057705,0.084831,0.141187,0.259641,0.501589", \ - "0.032640,0.044100,0.057707,0.084833,0.141201,0.259637,0.501613", \ - "0.032645,0.044116,0.057716,0.084835,0.141202,0.259644,0.501612", \ - "0.032769,0.044224,0.057812,0.084901,0.141245,0.259650,0.501609", \ - "0.032996,0.044424,0.057989,0.084998,0.141301,0.259677,0.501597"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.273987,0.293046,0.312966,0.348368,0.413456,0.539865,0.792692", \ - "0.278622,0.297685,0.317614,0.353010,0.418112,0.544509,0.797325", \ - "0.296744,0.315816,0.335745,0.371132,0.436218,0.562618,0.815468", \ - "0.333564,0.352656,0.372581,0.407989,0.473079,0.599479,0.852330", \ - "0.389845,0.408684,0.428401,0.463403,0.527958,0.654111,0.906738", \ - "0.456708,0.475128,0.494224,0.528373,0.592029,0.717385,0.969606", \ - "0.528903,0.547133,0.565822,0.598956,0.661222,0.785388,1.036973"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.032640,0.044106,0.057716,0.084838,0.141236,0.259643,0.501701", \ - "0.032644,0.044108,0.057715,0.084849,0.141234,0.259683,0.501659", \ - "0.032632,0.044119,0.057718,0.084834,0.141225,0.259699,0.501644", \ - "0.032638,0.044121,0.057718,0.084849,0.141221,0.259660,0.501666", \ - "0.032650,0.044123,0.057727,0.084854,0.141235,0.259695,0.501661", \ - "0.032760,0.044231,0.057825,0.084920,0.141271,0.259672,0.501653", \ - "0.032999,0.044431,0.057997,0.085016,0.141321,0.259669,0.501642"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.273987,0.293046,0.312966,0.348368,0.413456,0.539865,0.792692", \ - "0.278622,0.297685,0.317614,0.353010,0.418112,0.544509,0.797325", \ - "0.296744,0.315816,0.335745,0.371132,0.436219,0.562618,0.815468", \ - "0.333576,0.352650,0.372581,0.407989,0.473078,0.599479,0.852330", \ - "0.389845,0.408689,0.428401,0.463403,0.527958,0.654111,0.906738", \ - "0.456708,0.475128,0.494224,0.528373,0.592030,0.717385,0.969606", \ - "0.528903,0.547133,0.565822,0.598957,0.661223,0.785388,1.036973"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.032640,0.044106,0.057716,0.084838,0.141236,0.259642,0.501701", \ - "0.032644,0.044108,0.057715,0.084849,0.141234,0.259683,0.501659", \ - "0.032632,0.044119,0.057718,0.084834,0.141225,0.259699,0.501644", \ - "0.032648,0.044110,0.057718,0.084849,0.141221,0.259660,0.501666", \ - "0.032650,0.044123,0.057727,0.084854,0.141235,0.259695,0.501661", \ - "0.032760,0.044231,0.057825,0.084920,0.141271,0.259672,0.501653", \ - "0.032999,0.044431,0.057997,0.085016,0.141321,0.259669,0.501642"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.273987,0.293046,0.312966,0.348369,0.413448,0.539865,0.792692", \ - "0.278622,0.297685,0.317614,0.353010,0.418112,0.544509,0.797325", \ - "0.296744,0.315816,0.335745,0.371132,0.436219,0.562618,0.815468", \ - "0.333564,0.352650,0.372581,0.407989,0.473079,0.599479,0.852330", \ - "0.389845,0.408684,0.428401,0.463403,0.527958,0.654111,0.906738", \ - "0.456708,0.475128,0.494224,0.528373,0.592029,0.717385,0.969606", \ - "0.528903,0.547133,0.565823,0.598956,0.661222,0.785388,1.036973"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.032640,0.044106,0.057716,0.084845,0.141216,0.259643,0.501701", \ - "0.032644,0.044108,0.057715,0.084849,0.141234,0.259683,0.501659", \ - "0.032632,0.044119,0.057718,0.084834,0.141225,0.259699,0.501644", \ - "0.032638,0.044110,0.057718,0.084849,0.141221,0.259660,0.501666", \ - "0.032650,0.044123,0.057727,0.084854,0.141235,0.259695,0.501661", \ - "0.032760,0.044231,0.057825,0.084920,0.141271,0.259672,0.501653", \ - "0.032999,0.044431,0.057997,0.085016,0.141321,0.259669,0.501642"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.273999,0.293074,0.312992,0.348377,0.413451,0.539822,0.792634", \ - "0.278648,0.297710,0.317635,0.353036,0.418100,0.544496,0.797316", \ - "0.296773,0.315835,0.335761,0.371152,0.436216,0.562600,0.815433", \ - "0.333699,0.352758,0.372637,0.408012,0.473085,0.599503,0.852322", \ - "0.389787,0.408622,0.428452,0.463377,0.528214,0.654224,0.906907", \ - "0.456908,0.475316,0.494738,0.528706,0.592332,0.717724,0.970059", \ - "0.529034,0.547250,0.566468,0.599042,0.661271,0.785412,1.036980"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.032647,0.044110,0.057706,0.084823,0.141195,0.259640,0.501593", \ - "0.032636,0.044098,0.057703,0.084829,0.141206,0.259582,0.501590", \ - "0.032637,0.044111,0.057705,0.084831,0.141187,0.259641,0.501589", \ - "0.032630,0.044113,0.057707,0.084833,0.141201,0.259636,0.501613", \ - "0.032645,0.044116,0.057716,0.084835,0.141203,0.259644,0.501612", \ - "0.032769,0.044224,0.057812,0.084901,0.141245,0.259649,0.501609", \ - "0.032996,0.044424,0.057989,0.084998,0.141301,0.259677,0.501597"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.273987,0.293046,0.312966,0.348369,0.413448,0.539866,0.792692", \ - "0.278622,0.297684,0.317614,0.353010,0.418112,0.544509,0.797325", \ - "0.296744,0.315816,0.335745,0.371132,0.436218,0.562618,0.815468", \ - "0.333576,0.352650,0.372581,0.407989,0.473078,0.599479,0.852330", \ - "0.389845,0.408688,0.428401,0.463403,0.527958,0.654111,0.906738", \ - "0.456708,0.475128,0.494224,0.528372,0.592029,0.717385,0.969606", \ - "0.528903,0.547133,0.565822,0.598956,0.661222,0.785388,1.036973"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.032640,0.044106,0.057716,0.084845,0.141216,0.259642,0.501701", \ - "0.032644,0.044108,0.057715,0.084849,0.141234,0.259683,0.501659", \ - "0.032632,0.044119,0.057718,0.084834,0.141225,0.259699,0.501644", \ - "0.032648,0.044110,0.057718,0.084849,0.141221,0.259660,0.501665", \ - "0.032650,0.044122,0.057727,0.084854,0.141235,0.259695,0.501661", \ - "0.032760,0.044231,0.057825,0.084920,0.141271,0.259672,0.501653", \ - "0.032999,0.044431,0.057997,0.085016,0.141321,0.259669,0.501642"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.776506,0.795309,0.813355,0.847141,0.912419,1.040004,1.295731", \ - "0.779440,0.798156,0.817185,0.851426,0.915706,1.044084,1.299744", \ - "0.798050,0.816845,0.837043,0.870010,0.934382,1.062667,1.318298", \ - "0.830743,0.850734,0.868601,0.902714,0.967511,1.095600,1.351284", \ - "0.877309,0.897283,0.916243,0.949308,1.013988,1.142153,1.397766", \ - "0.942590,0.961355,0.979176,1.013332,1.078237,1.206074,1.461594", \ - "1.025577,1.044300,1.063439,1.098542,1.162594,1.290102,1.545513"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.029312,0.039050,0.051512,0.078278,0.136246,0.256891,0.499877", \ - "0.029359,0.039082,0.051519,0.078262,0.136265,0.256937,0.499874", \ - "0.029350,0.039078,0.051482,0.078259,0.136264,0.256982,0.499796", \ - "0.029342,0.039046,0.051515,0.078260,0.136267,0.256933,0.499876", \ - "0.029339,0.039044,0.051478,0.078256,0.136250,0.256920,0.499913", \ - "0.029304,0.039041,0.051512,0.078259,0.136241,0.256894,0.499806", \ - "0.029353,0.039086,0.051525,0.078241,0.136229,0.256951,0.499768"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.776506,0.795309,0.813319,0.847900,0.911657,1.039986,1.295732", \ - "0.779439,0.798155,0.817185,0.851426,0.915706,1.044084,1.299744", \ - "0.798050,0.816845,0.837043,0.870010,0.934382,1.062667,1.318298", \ - "0.831910,0.849537,0.868601,0.902714,0.967511,1.095599,1.351284", \ - "0.877309,0.897282,0.916243,0.949308,1.013988,1.142152,1.397766", \ - "0.942589,0.961355,0.979176,1.013384,1.078237,1.206074,1.461594", \ - "1.025576,1.044665,1.063439,1.098542,1.162594,1.290102,1.545513"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.029312,0.039050,0.051515,0.078245,0.136261,0.256975,0.499877", \ - "0.029359,0.039082,0.051519,0.078262,0.136265,0.256937,0.499874", \ - "0.029350,0.039078,0.051482,0.078259,0.136264,0.256982,0.499796", \ - "0.029308,0.039071,0.051515,0.078260,0.136267,0.256933,0.499876", \ - "0.029339,0.039044,0.051478,0.078256,0.136250,0.256920,0.499913", \ - "0.029304,0.039041,0.051512,0.078258,0.136241,0.256894,0.499806", \ - "0.029353,0.039039,0.051525,0.078241,0.136229,0.256951,0.499768"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.776505,0.795308,0.813267,0.847142,0.912373,1.040000,1.295689", \ - "0.779439,0.798155,0.817184,0.851426,0.915706,1.044084,1.299744", \ - "0.798050,0.816844,0.837043,0.870010,0.934382,1.062667,1.318298", \ - "0.831868,0.850706,0.868600,0.902714,0.967510,1.095599,1.351284", \ - "0.877308,0.897282,0.916243,0.949308,1.013987,1.142152,1.397766", \ - "0.942589,0.961355,0.979175,1.013332,1.078237,1.206074,1.461594", \ - "1.025576,1.044233,1.063439,1.098542,1.162594,1.290102,1.545513"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.029312,0.039050,0.051517,0.078278,0.136247,0.256967,0.499788", \ - "0.029359,0.039082,0.051519,0.078262,0.136265,0.256937,0.499874", \ - "0.029350,0.039078,0.051482,0.078259,0.136264,0.256982,0.499796", \ - "0.029309,0.039047,0.051515,0.078260,0.136267,0.256933,0.499876", \ - "0.029339,0.039044,0.051478,0.078256,0.136250,0.256920,0.499913", \ - "0.029304,0.039041,0.051512,0.078260,0.136241,0.256894,0.499806", \ - "0.029353,0.039083,0.051525,0.078241,0.136229,0.256951,0.499768"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.776916,0.795705,0.813597,0.847450,0.912630,1.040148,1.295734", \ - "0.779894,0.798538,0.817551,0.851740,0.915950,1.044220,1.299742", \ - "0.798423,0.817201,0.837380,0.870305,0.934608,1.062787,1.318283", \ - "0.832236,0.849816,0.868892,0.903018,0.967701,1.095690,1.351245", \ - "0.877563,0.897527,0.916474,0.949502,1.014125,1.142199,1.397695", \ - "0.942762,0.961523,0.979322,1.013504,1.078309,1.206071,1.461483", \ - "1.025654,1.044304,1.063497,1.098579,1.162590,1.290008,1.545354"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.029320,0.039058,0.051525,0.078282,0.136250,0.256986,0.499878", \ - "0.029358,0.039088,0.051527,0.078266,0.136264,0.256937,0.499874", \ - "0.029359,0.039089,0.051490,0.078260,0.136267,0.256983,0.499795", \ - "0.029316,0.039080,0.051520,0.078262,0.136267,0.256933,0.499876", \ - "0.029347,0.039048,0.051479,0.078259,0.136250,0.256920,0.499917", \ - "0.029307,0.039048,0.051516,0.078258,0.136242,0.256975,0.499800", \ - "0.029357,0.039086,0.051526,0.078242,0.136229,0.256882,0.499774"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.776932,0.795720,0.813613,0.847478,0.912641,1.040155,1.295695", \ - "0.779909,0.798553,0.817565,0.851753,0.915961,1.044227,1.299746", \ - "0.798438,0.817215,0.837393,0.870318,0.934618,1.062794,1.318287", \ - "0.832250,0.849829,0.868905,0.903029,0.967711,1.095696,1.351249", \ - "0.877575,0.897539,0.916486,0.949512,1.014134,1.142205,1.397698", \ - "0.942772,0.961533,0.979331,1.013512,1.078316,1.206076,1.461486", \ - "1.025662,1.044377,1.063505,1.098586,1.162596,1.290013,1.545356"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.029320,0.039058,0.051525,0.078282,0.136250,0.256986,0.499789", \ - "0.029358,0.039088,0.051527,0.078266,0.136264,0.256937,0.499874", \ - "0.029359,0.039089,0.051490,0.078260,0.136267,0.256983,0.499795", \ - "0.029317,0.039080,0.051520,0.078262,0.136266,0.256933,0.499876", \ - "0.029347,0.039048,0.051479,0.078259,0.136250,0.256920,0.499917", \ - "0.029307,0.039049,0.051517,0.078258,0.136242,0.256975,0.499800", \ - "0.029357,0.039090,0.051526,0.078242,0.136230,0.256882,0.499774"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.776931,0.795720,0.813613,0.848242,0.912641,1.040148,1.295694", \ - "0.779909,0.798553,0.817565,0.851753,0.915960,1.044227,1.299746", \ - "0.798437,0.817215,0.837393,0.870317,0.934617,1.062794,1.318288", \ - "0.832249,0.849829,0.868904,0.903029,0.967710,1.095696,1.351249", \ - "0.877575,0.897539,0.916485,0.949512,1.014133,1.142204,1.397699", \ - "0.942772,0.961533,0.979331,1.013512,1.078316,1.206076,1.461487", \ - "1.025662,1.044311,1.063505,1.098585,1.162596,1.290013,1.545357"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.029320,0.039058,0.051525,0.078250,0.136250,0.256968,0.499791", \ - "0.029358,0.039088,0.051527,0.078266,0.136264,0.256937,0.499874", \ - "0.029359,0.039089,0.051490,0.078260,0.136267,0.256983,0.499795", \ - "0.029317,0.039080,0.051520,0.078262,0.136266,0.256933,0.499876", \ - "0.029347,0.039048,0.051479,0.078259,0.136250,0.256920,0.499917", \ - "0.029307,0.039049,0.051517,0.078258,0.136242,0.256975,0.499800", \ - "0.029357,0.039086,0.051526,0.078242,0.136230,0.256882,0.499774"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.776505,0.795308,0.813264,0.847142,0.912333,1.039989,1.295731", \ - "0.779439,0.798155,0.817184,0.851425,0.915706,1.044084,1.299744", \ - "0.798050,0.816844,0.837043,0.870010,0.934382,1.062667,1.318298", \ - "0.831909,0.849537,0.868600,0.902713,0.967510,1.095599,1.351284", \ - "0.877308,0.897282,0.916242,0.949308,1.013987,1.142152,1.397766", \ - "0.942589,0.961354,0.979176,1.013332,1.078237,1.206073,1.461594", \ - "1.025576,1.044233,1.063438,1.098542,1.162594,1.290102,1.545513"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.029312,0.039050,0.051517,0.078278,0.136248,0.256976,0.499877", \ - "0.029359,0.039082,0.051519,0.078262,0.136265,0.256937,0.499874", \ - "0.029350,0.039078,0.051482,0.078259,0.136264,0.256982,0.499796", \ - "0.029308,0.039071,0.051515,0.078260,0.136267,0.256933,0.499876", \ - "0.029339,0.039044,0.051478,0.078256,0.136250,0.256920,0.499913", \ - "0.029304,0.039041,0.051512,0.078260,0.136241,0.256894,0.499806", \ - "0.029353,0.039083,0.051525,0.078241,0.136229,0.256951,0.499768"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.776910,0.795699,0.813592,0.848224,0.912602,1.040127,1.295733", \ - "0.779888,0.798532,0.817546,0.851736,0.915946,1.044218,1.299741", \ - "0.798417,0.817196,0.837375,0.870300,0.934604,1.062785,1.318283", \ - "0.832231,0.849812,0.868888,0.903013,0.967698,1.095687,1.351244", \ - "0.877559,0.897523,0.916470,0.949498,1.014122,1.142197,1.397694", \ - "0.942759,0.961520,0.979319,1.013501,1.078306,1.206069,1.461483", \ - "1.025652,1.044301,1.063495,1.098577,1.162588,1.290007,1.545353"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.029319,0.039058,0.051525,0.078250,0.136251,0.256978,0.499877", \ - "0.029358,0.039088,0.051527,0.078266,0.136264,0.256937,0.499874", \ - "0.029359,0.039089,0.051490,0.078260,0.136267,0.256983,0.499795", \ - "0.029316,0.039080,0.051520,0.078262,0.136267,0.256933,0.499876", \ - "0.029347,0.039048,0.051479,0.078259,0.136250,0.256920,0.499917", \ - "0.029307,0.039048,0.051516,0.078258,0.136242,0.256975,0.499800", \ - "0.029357,0.039086,0.051526,0.078242,0.136229,0.256882,0.499774"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.610202,3.670161,3.707177,3.751969,3.807653,3.828638,3.829336", \ - "3.601857,3.660992,3.698678,3.752654,3.801952,3.827112,3.827277", \ - "3.582536,3.640897,3.676775,3.726969,3.761425,3.804811,3.805198", \ - "3.578800,3.636930,3.673140,3.722261,3.762082,3.791014,3.804058", \ - "3.603265,3.653886,3.692592,3.741296,3.782689,3.806535,3.818395", \ - "3.665235,3.720878,3.756755,3.803634,3.847359,3.861862,3.884806", \ - "3.779246,3.833434,3.867038,3.912994,3.961410,3.989133,3.996530"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.343514,3.419474,3.469507,3.639231,3.721482,3.736895,3.751594", \ - "3.339321,3.414238,3.462273,3.638015,3.710147,3.733667,3.750044", \ - "3.320108,3.395412,3.443149,3.616243,3.691823,3.715507,3.731705", \ - "3.321247,3.395292,3.442620,3.616860,3.688468,3.712182,3.728005", \ - "3.347186,3.421379,3.467065,3.643439,3.721073,3.745226,3.754077", \ - "3.413364,3.487452,3.533434,3.699046,3.788519,3.811382,3.822094", \ - "3.529993,3.603969,3.641090,3.826060,3.893551,3.917702,3.927011"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("2.701364,2.761036,2.805788,2.841785,2.847385,2.868863,2.876371", \ - "2.686605,2.752230,2.796605,2.820554,2.839478,2.861888,2.868902", \ - "2.675334,2.739512,2.778836,2.802349,2.852867,2.842096,2.883906", \ - "2.685563,2.743295,2.784700,2.826226,2.840597,2.865235,2.874210", \ - "2.736096,2.784104,2.816757,2.848189,2.879299,2.872165,2.917358", \ - "2.839275,2.864746,2.888356,2.904660,2.931742,2.927262,2.941005", \ - "2.957541,2.980922,2.995936,2.997641,3.014331,3.012919,3.028819"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("2.701198,2.760857,2.805599,2.841633,2.847035,2.869200,2.876047", \ - "2.686438,2.752052,2.796420,2.820346,2.839242,2.861618,2.868592", \ - "2.675171,2.739338,2.778653,2.814112,2.852652,2.841840,2.883622", \ - "2.685253,2.743287,2.784452,2.825976,2.840385,2.864991,2.873928", \ - "2.735943,2.783795,2.816586,2.848009,2.879088,2.871912,2.917086", \ - "2.839139,2.864602,2.888205,2.904488,2.931561,2.927038,2.940738", \ - "2.957438,2.980810,2.995815,2.997509,3.014181,3.012726,3.028580"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("2.701746,2.761440,2.806213,2.842236,2.847868,2.869383,2.876818", \ - "2.686985,2.752632,2.797023,2.821000,2.839968,2.862399,2.869361", \ - "2.675704,2.739902,2.779243,2.802791,2.853319,2.842575,2.884317", \ - "2.685917,2.743729,2.785089,2.826592,2.841029,2.865680,2.874604", \ - "2.736414,2.784291,2.817108,2.848558,2.879703,2.872599,2.917732", \ - "2.839532,2.865018,2.888642,2.904973,2.932064,2.927614,2.941322", \ - "2.957705,2.981100,2.996131,2.997854,3.014560,3.013183,3.029062"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("2.653291,2.712638,2.757485,2.793151,2.798820,2.821320,2.863286", \ - "2.639810,2.705208,2.749201,2.772942,2.791715,2.813804,2.821908", \ - "2.629091,2.693060,2.732228,2.755507,2.805611,2.794822,2.837142", \ - "2.638672,2.696452,2.732311,2.779007,2.793237,2.818507,2.826753", \ - "2.690058,2.737695,2.770313,2.801842,2.832009,2.824472,2.835658", \ - "2.792999,2.819517,2.843113,2.858901,2.885760,2.881323,2.894892", \ - "2.912939,2.929993,2.953248,2.956601,2.969433,2.968472,2.984028"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("2.653428,2.712783,2.757640,2.793319,2.799043,2.821544,2.863574", \ - "2.639944,2.705353,2.749370,2.773139,2.791910,2.814022,2.822149", \ - "2.629235,2.693215,2.732399,2.755680,2.805855,2.795071,2.837430", \ - "2.638862,2.696468,2.732466,2.779181,2.793473,2.818754,2.827029", \ - "2.690188,2.737810,2.770461,2.802023,2.832199,2.824671,2.835879", \ - "2.793106,2.819631,2.843240,2.859038,2.885955,2.881534,2.895131", \ - "2.913020,2.930079,2.953346,2.956720,2.969605,2.968666,2.984259"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("2.654021,2.713411,2.758310,2.794002,2.799942,2.822524,2.864633", \ - "2.640531,2.705979,2.750043,2.773885,2.792730,2.814968,2.823199", \ - "2.629824,2.693844,2.733067,2.756403,2.806695,2.796016,2.838480", \ - "2.639391,2.697087,2.733105,2.779884,2.794281,2.819687,2.828058", \ - "2.690731,2.738411,2.771071,2.802704,2.832954,2.825537,2.836877", \ - "2.793574,2.820130,2.843779,2.859625,2.886656,2.882342,2.896073", \ - "2.913375,2.930463,2.953761,2.957218,2.970189,2.969377,2.985121"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("2.701220,2.760883,2.805623,2.841574,2.847040,2.868572,2.875941", \ - "2.686463,2.752078,2.796437,2.820361,2.839254,2.861597,2.868475", \ - "2.675189,2.739357,2.778666,2.814046,2.852633,2.841805,2.883471", \ - "2.685268,2.743301,2.784465,2.825984,2.840362,2.864941,2.873770", \ - "2.735950,2.783801,2.816588,2.847994,2.879069,2.871865,2.916925", \ - "2.839135,2.864596,2.888192,2.904473,2.931506,2.926954,2.940552", \ - "2.957412,2.980782,2.995782,2.997458,3.014104,3.012610,3.028365"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("2.653893,2.713277,2.758162,2.793843,2.799725,2.822229,2.864336", \ - "2.640407,2.705852,2.749884,2.773694,2.792539,2.814740,2.822952", \ - "2.629689,2.693697,2.732903,2.756235,2.806450,2.795757,2.838175", \ - "2.639304,2.696941,2.732958,2.779714,2.794052,2.819418,2.827765", \ - "2.690601,2.738348,2.770916,2.802517,2.832763,2.825330,2.836630", \ - "2.793463,2.820011,2.843641,2.859488,2.886442,2.882119,2.895817", \ - "2.913285,2.930370,2.953655,2.957078,2.970005,2.969170,2.984877"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.911669,4.008862,4.070766,4.146575,4.229844,4.282279,4.322894", \ - "3.897831,3.995463,4.052221,4.125934,4.192541,4.276703,4.314478", \ - "3.882772,3.984984,4.051360,4.103598,4.203051,4.252814,4.293730", \ - "3.895916,3.993296,4.047554,4.106571,4.182571,4.270315,4.313161", \ - "3.956059,4.034527,4.083705,4.143206,4.217053,4.304018,4.337433", \ - "4.060818,4.124655,4.160851,4.217211,4.282818,4.368920,4.378251", \ - "4.212817,4.260428,4.289560,4.337681,4.384409,4.467832,4.509344"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.911323,4.008501,4.070745,4.141752,4.197464,4.294199,4.322575", \ - "3.897486,3.995116,4.051873,4.125590,4.192185,4.276346,4.314118", \ - "3.882437,3.984643,4.051022,4.103254,4.202719,4.252472,4.293389", \ - "3.896452,3.990001,4.047228,4.106237,4.182246,4.269987,4.312831", \ - "3.955765,4.034227,4.083402,4.142904,4.216735,4.303692,4.337101", \ - "4.060556,4.124387,4.160581,4.216958,4.282537,4.368629,4.377938", \ - "4.212601,4.257852,4.289331,4.337449,4.384164,4.467572,4.509063"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.912451,4.009634,4.072126,4.147314,4.230685,4.282646,4.322578", \ - "3.898579,3.996220,4.052974,4.126669,4.193262,4.277363,4.315000", \ - "3.883507,3.985715,4.052091,4.104319,4.203729,4.253438,4.294201", \ - "3.897215,3.994082,4.048241,4.107250,4.183219,4.270898,4.313617", \ - "3.956661,4.035131,4.084313,4.143804,4.217645,4.304566,4.337914", \ - "4.061320,4.125150,4.161366,4.217722,4.283312,4.369370,4.378624", \ - "4.213187,4.260574,4.289942,4.338063,4.384791,4.468189,4.509626"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.918136,4.015489,4.071101,4.146769,4.231057,4.285549,4.327450", \ - "3.897249,3.994806,4.065367,4.126102,4.193674,4.279330,4.319028", \ - "3.889582,3.984549,4.051191,4.103859,4.204306,4.255539,4.298357", \ - "3.903922,3.991147,4.047732,4.106960,4.184128,4.273275,4.317986", \ - "3.956378,4.036674,4.084353,4.144346,4.219035,4.307344,4.319966", \ - "4.061796,4.125037,4.175790,4.218983,4.285295,4.373329,4.383697", \ - "4.214597,4.262084,4.291619,4.341042,4.387527,4.471870,4.515138"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.918335,4.015691,4.071304,4.146938,4.231331,4.285843,4.326854", \ - "3.897432,3.994992,4.065586,4.126338,4.193909,4.279599,4.319336", \ - "3.889778,3.984758,4.051413,4.104074,4.204588,4.255834,4.298684", \ - "3.904107,3.991351,4.047934,4.107159,4.184390,4.273574,4.318315", \ - "3.956552,4.036933,4.084537,4.144569,4.219248,4.307593,4.350815", \ - "4.061937,4.125186,4.175958,4.219155,4.285518,4.373594,4.383975", \ - "4.214715,4.262442,4.291752,4.341249,4.387724,4.472116,4.515416"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.919569,4.016932,4.072534,4.143711,4.232606,4.286099,4.328051", \ - "3.898655,3.996213,4.066823,4.127600,4.195141,4.280818,4.320566", \ - "3.890983,3.985939,4.052623,4.105271,4.205840,4.257048,4.299918", \ - "3.905247,3.992502,4.049061,4.108316,4.185578,4.274783,4.319519", \ - "3.957592,4.037980,4.085599,4.145658,4.220345,4.308706,4.351954", \ - "4.062843,4.126109,4.176898,4.220099,4.286526,4.374649,4.385075", \ - "4.215466,4.262979,4.292539,4.342057,4.388606,4.473064,4.516447"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.911371,4.008550,4.071058,4.146239,4.229589,4.281771,4.322401", \ - "3.897532,3.995157,4.051915,4.125613,4.192199,4.276307,4.313959", \ - "3.882475,3.984678,4.051052,4.103282,4.202700,4.252410,4.293204", \ - "3.896482,3.983715,4.047254,4.106261,4.182224,4.269907,4.312628", \ - "3.955777,4.034237,4.083413,4.142896,4.216713,4.303614,4.336912", \ - "4.060549,4.124375,4.160566,4.216909,4.282475,4.368507,4.377705", \ - "4.212560,4.259928,4.289286,4.337384,4.384069,4.467416,4.508792"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.919408,4.016757,4.072381,4.143495,4.232242,4.285963,4.328665", \ - "3.898504,3.996054,4.066644,4.127373,4.194919,4.280554,4.320252", \ - "3.890799,3.985789,4.052442,4.105062,4.205548,4.256762,4.299573", \ - "3.905088,3.992312,4.048911,4.108103,4.185309,4.274463,4.319180", \ - "3.957434,4.037711,4.085405,4.145435,4.220125,4.308461,4.321110", \ - "4.062704,4.125956,4.176732,4.219934,4.286296,4.374352,4.384789", \ - "4.215348,4.262850,4.292404,4.341852,4.388396,4.472803,4.516152"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.262757,0.272418,0.281211,0.295364,0.318545,0.358228,0.430664", \ - "0.267949,0.277609,0.286411,0.300570,0.323749,0.363436,0.435874", \ - "0.285896,0.295551,0.304342,0.318475,0.341688,0.381359,0.453810", \ - "0.311027,0.320673,0.329502,0.343593,0.366819,0.406486,0.478959", \ - "0.336075,0.345741,0.354335,0.368542,0.391745,0.431420,0.503945", \ - "0.359222,0.368873,0.377126,0.391657,0.414879,0.454548,0.527097", \ - "0.378092,0.387671,0.396892,0.410871,0.434032,0.473743,0.546204"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.340030,0.354701,0.369222,0.396772,0.455599,0.579786,0.832984", \ - "0.345319,0.360013,0.374500,0.402052,0.460890,0.585093,0.838278", \ - "0.363321,0.378001,0.392524,0.420064,0.478924,0.603109,0.856320", \ - "0.387595,0.402283,0.416705,0.444290,0.503150,0.627314,0.880612", \ - "0.411493,0.426208,0.440554,0.468009,0.526903,0.650864,0.904356", \ - "0.432091,0.446796,0.461456,0.488671,0.547521,0.671989,0.925580", \ - "0.448892,0.464057,0.478525,0.505298,0.564296,0.689692,0.942989"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016632,0.020597,0.024665,0.032093,0.046276,0.074773,0.134279", \ - "0.016625,0.020593,0.024658,0.032087,0.046289,0.074788,0.134260", \ - "0.016631,0.020595,0.024659,0.032094,0.046286,0.074795,0.134274", \ - "0.016625,0.020592,0.024665,0.032106,0.046288,0.074786,0.134275", \ - "0.016626,0.020596,0.024651,0.032087,0.046287,0.074779,0.134262", \ - "0.016632,0.020593,0.024661,0.032081,0.046256,0.074795,0.134295", \ - "0.016632,0.020590,0.024669,0.032085,0.046269,0.074778,0.134255"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.023322,0.033338,0.046243,0.073986,0.133458,0.255384,0.499953", \ - "0.023317,0.033360,0.046243,0.073984,0.133465,0.255389,0.499958", \ - "0.023321,0.033344,0.046246,0.073987,0.133468,0.255389,0.499955", \ - "0.023330,0.033350,0.046250,0.073975,0.133467,0.255386,0.499959", \ - "0.023331,0.033376,0.046256,0.073991,0.133470,0.255384,0.499956", \ - "0.023356,0.033390,0.046267,0.073994,0.133471,0.255380,0.499957", \ - "0.023367,0.033387,0.046275,0.073992,0.133463,0.255386,0.499965"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093234,0.104287,0.114279,0.129808,0.154519,0.196019,0.270170", \ - "0.097868,0.108923,0.118920,0.134466,0.159172,0.200686,0.274851", \ - "0.116037,0.127069,0.137052,0.152608,0.177329,0.218851,0.293027", \ - "0.153103,0.164073,0.174009,0.189584,0.214371,0.255962,0.330143", \ - "0.204501,0.216955,0.228038,0.244430,0.269968,0.311754,0.385943", \ - "0.259657,0.274297,0.287349,0.305874,0.333694,0.377748,0.452661", \ - "0.317163,0.334409,0.349770,0.370428,0.401130,0.447827,0.523821"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016428,0.020460,0.024781,0.032688,0.047612,0.076822,0.135224", \ - "0.016430,0.020460,0.024774,0.032697,0.047612,0.076831,0.135218", \ - "0.016436,0.020480,0.024802,0.032708,0.047622,0.076821,0.135223", \ - "0.016640,0.020673,0.024971,0.032831,0.047691,0.076837,0.135220", \ - "0.021069,0.024486,0.028198,0.035216,0.049078,0.077489,0.135303", \ - "0.027290,0.030815,0.034336,0.041034,0.054284,0.081006,0.136189", \ - "0.034175,0.038065,0.041616,0.048146,0.060770,0.085928,0.138146"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093234,0.104287,0.114278,0.129808,0.154518,0.196016,0.270168", \ - "0.097868,0.108923,0.118920,0.134466,0.159172,0.200686,0.274851", \ - "0.116037,0.127069,0.137052,0.152609,0.177329,0.218851,0.293028", \ - "0.153122,0.164090,0.174009,0.189584,0.214371,0.255962,0.330143", \ - "0.204501,0.216955,0.228038,0.244430,0.269968,0.311754,0.385943", \ - "0.259657,0.274297,0.287349,0.305874,0.333694,0.377748,0.452662", \ - "0.317163,0.334409,0.349770,0.370428,0.401130,0.447827,0.523821"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016428,0.020460,0.024781,0.032690,0.047612,0.076820,0.135221", \ - "0.016430,0.020460,0.024774,0.032697,0.047612,0.076831,0.135218", \ - "0.016436,0.020480,0.024802,0.032708,0.047622,0.076821,0.135223", \ - "0.016640,0.020671,0.024971,0.032831,0.047691,0.076837,0.135220", \ - "0.021069,0.024486,0.028198,0.035216,0.049078,0.077489,0.135303", \ - "0.027290,0.030815,0.034336,0.041034,0.054284,0.081006,0.136189", \ - "0.034175,0.038065,0.041616,0.048146,0.060770,0.085928,0.138146"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093234,0.104287,0.114279,0.129808,0.154519,0.196019,0.270170", \ - "0.097868,0.108923,0.118920,0.134466,0.159172,0.200686,0.274851", \ - "0.116037,0.127069,0.137052,0.152608,0.177329,0.218851,0.293028", \ - "0.153103,0.164072,0.174009,0.189584,0.214371,0.255962,0.330143", \ - "0.204501,0.216955,0.228038,0.244430,0.269968,0.311754,0.385943", \ - "0.259657,0.274297,0.287349,0.305874,0.333694,0.377748,0.452661", \ - "0.317163,0.334408,0.349770,0.370428,0.401130,0.447827,0.523821"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016428,0.020460,0.024781,0.032688,0.047612,0.076822,0.135224", \ - "0.016430,0.020460,0.024774,0.032697,0.047612,0.076831,0.135218", \ - "0.016436,0.020480,0.024802,0.032708,0.047622,0.076821,0.135223", \ - "0.016640,0.020669,0.024971,0.032831,0.047691,0.076837,0.135220", \ - "0.021069,0.024486,0.028198,0.035216,0.049078,0.077489,0.135303", \ - "0.027290,0.030815,0.034336,0.041034,0.054284,0.081006,0.136189", \ - "0.034175,0.038065,0.041616,0.048146,0.060770,0.085928,0.138146"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093228,0.104281,0.114276,0.129801,0.154519,0.196024,0.270178", \ - "0.097860,0.108913,0.118911,0.134448,0.159167,0.200675,0.274819", \ - "0.116026,0.127066,0.137051,0.152587,0.177319,0.218839,0.293016", \ - "0.153032,0.164006,0.173981,0.189570,0.214362,0.255933,0.330113", \ - "0.204624,0.217069,0.228027,0.244493,0.269735,0.311650,0.385779", \ - "0.259458,0.274152,0.286855,0.305582,0.333445,0.377475,0.452258", \ - "0.317143,0.334391,0.349143,0.370412,0.401149,0.447859,0.523849"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016430,0.020459,0.024779,0.032686,0.047601,0.076822,0.135219", \ - "0.016429,0.020459,0.024781,0.032694,0.047611,0.076821,0.135217", \ - "0.016435,0.020479,0.024802,0.032693,0.047620,0.076819,0.135221", \ - "0.016642,0.020674,0.024968,0.032822,0.047678,0.076850,0.135216", \ - "0.021056,0.024472,0.028197,0.035208,0.049093,0.077485,0.135299", \ - "0.027308,0.030823,0.034400,0.041064,0.054311,0.081019,0.136194", \ - "0.034172,0.038065,0.041697,0.048142,0.060767,0.085917,0.138136"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093228,0.104281,0.114276,0.129801,0.154519,0.196024,0.270178", \ - "0.097860,0.108913,0.118911,0.134448,0.159167,0.200675,0.274819", \ - "0.116026,0.127066,0.137051,0.152587,0.177319,0.218839,0.293016", \ - "0.153032,0.164006,0.173981,0.189570,0.214362,0.255933,0.330113", \ - "0.204624,0.217069,0.228027,0.244493,0.269735,0.311650,0.385779", \ - "0.259458,0.274152,0.286855,0.305582,0.333445,0.377475,0.452258", \ - "0.317143,0.334391,0.349144,0.370412,0.401149,0.447859,0.523849"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016430,0.020459,0.024779,0.032686,0.047601,0.076822,0.135219", \ - "0.016429,0.020459,0.024781,0.032694,0.047611,0.076821,0.135217", \ - "0.016435,0.020479,0.024802,0.032693,0.047620,0.076819,0.135221", \ - "0.016645,0.020674,0.024968,0.032822,0.047678,0.076850,0.135216", \ - "0.021056,0.024472,0.028197,0.035208,0.049093,0.077485,0.135299", \ - "0.027308,0.030823,0.034400,0.041064,0.054311,0.081019,0.136194", \ - "0.034172,0.038065,0.041697,0.048142,0.060767,0.085917,0.138136"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093228,0.104281,0.114276,0.129804,0.154519,0.196024,0.270178", \ - "0.097860,0.108913,0.118911,0.134448,0.159167,0.200675,0.274819", \ - "0.116026,0.127066,0.137051,0.152587,0.177319,0.218839,0.293016", \ - "0.153032,0.164006,0.173981,0.189570,0.214362,0.255933,0.330113", \ - "0.204624,0.217069,0.228027,0.244493,0.269735,0.311650,0.385779", \ - "0.259458,0.274152,0.286855,0.305582,0.333445,0.377475,0.452258", \ - "0.317143,0.334391,0.349144,0.370412,0.401149,0.447859,0.523849"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016430,0.020459,0.024779,0.032683,0.047601,0.076822,0.135219", \ - "0.016429,0.020459,0.024781,0.032694,0.047611,0.076821,0.135217", \ - "0.016435,0.020479,0.024802,0.032693,0.047620,0.076819,0.135221", \ - "0.016642,0.020674,0.024968,0.032822,0.047678,0.076850,0.135216", \ - "0.021056,0.024472,0.028197,0.035208,0.049093,0.077485,0.135299", \ - "0.027308,0.030823,0.034400,0.041064,0.054311,0.081019,0.136194", \ - "0.034172,0.038065,0.041697,0.048142,0.060767,0.085917,0.138136"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093234,0.104287,0.114278,0.129808,0.154519,0.196019,0.270170", \ - "0.097868,0.108923,0.118920,0.134466,0.159172,0.200686,0.274851", \ - "0.116037,0.127069,0.137052,0.152608,0.177329,0.218851,0.293028", \ - "0.153122,0.164090,0.174009,0.189584,0.214371,0.255962,0.330143", \ - "0.204500,0.216955,0.228038,0.244430,0.269968,0.311754,0.385943", \ - "0.259657,0.274296,0.287349,0.305874,0.333694,0.377748,0.452661", \ - "0.317162,0.334408,0.349770,0.370428,0.401130,0.447827,0.523821"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016428,0.020460,0.024781,0.032690,0.047612,0.076822,0.135224", \ - "0.016430,0.020460,0.024774,0.032697,0.047612,0.076831,0.135218", \ - "0.016436,0.020480,0.024802,0.032708,0.047622,0.076821,0.135223", \ - "0.016640,0.020671,0.024971,0.032831,0.047691,0.076837,0.135220", \ - "0.021069,0.024486,0.028198,0.035216,0.049078,0.077489,0.135303", \ - "0.027290,0.030815,0.034336,0.041034,0.054284,0.081006,0.136189", \ - "0.034175,0.038065,0.041616,0.048146,0.060770,0.085928,0.138146"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093228,0.104281,0.114276,0.129805,0.154519,0.196024,0.270178", \ - "0.097860,0.108913,0.118911,0.134448,0.159168,0.200675,0.274819", \ - "0.116026,0.127066,0.137051,0.152587,0.177319,0.218839,0.293016", \ - "0.153032,0.164006,0.173981,0.189570,0.214362,0.255933,0.330113", \ - "0.204624,0.217069,0.228027,0.244493,0.269735,0.311650,0.385779", \ - "0.259458,0.274152,0.286855,0.305582,0.333445,0.377475,0.452258", \ - "0.317143,0.334391,0.349144,0.370412,0.401149,0.447859,0.523849"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016430,0.020459,0.024779,0.032682,0.047600,0.076822,0.135219", \ - "0.016429,0.020459,0.024781,0.032694,0.047611,0.076821,0.135217", \ - "0.016435,0.020479,0.024802,0.032693,0.047620,0.076819,0.135221", \ - "0.016642,0.020674,0.024968,0.032822,0.047678,0.076850,0.135216", \ - "0.021056,0.024472,0.028197,0.035208,0.049093,0.077485,0.135299", \ - "0.027308,0.030823,0.034400,0.041064,0.054311,0.081019,0.136194", \ - "0.034172,0.038065,0.041697,0.048142,0.060767,0.085917,0.138136"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093220,0.104120,0.113956,0.129214,0.153381,0.193911,0.267072", \ - "0.097858,0.108757,0.118601,0.133857,0.158043,0.198550,0.271730", \ - "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ - "0.153015,0.163849,0.173650,0.188949,0.213192,0.253772,0.326993", \ - "0.204508,0.216723,0.227469,0.243795,0.268539,0.309430,0.382675", \ - "0.259953,0.274470,0.286978,0.305188,0.332317,0.375106,0.449566", \ - "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016219,0.020165,0.024373,0.032037,0.046471,0.075162,0.134723", \ - "0.016218,0.020164,0.024373,0.032026,0.046473,0.075168,0.134749", \ - "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ - "0.016424,0.020368,0.024552,0.032163,0.046562,0.075185,0.134746", \ - "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ - "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ - "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093220,0.104120,0.113956,0.129214,0.153381,0.193910,0.267072", \ - "0.097858,0.108757,0.118601,0.133857,0.158043,0.198550,0.271730", \ - "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ - "0.153040,0.163871,0.173650,0.188949,0.213192,0.253772,0.326993", \ - "0.204508,0.216723,0.227469,0.243795,0.268539,0.309430,0.382675", \ - "0.259953,0.274470,0.286978,0.305188,0.332316,0.375106,0.449566", \ - "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016219,0.020165,0.024373,0.032037,0.046471,0.075160,0.134723", \ - "0.016218,0.020164,0.024373,0.032026,0.046473,0.075168,0.134749", \ - "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ - "0.016424,0.020369,0.024552,0.032163,0.046562,0.075185,0.134746", \ - "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ - "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ - "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093220,0.104120,0.113956,0.129214,0.153386,0.193910,0.267064", \ - "0.097858,0.108757,0.118601,0.133857,0.158043,0.198550,0.271730", \ - "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ - "0.153015,0.163852,0.173650,0.188949,0.213192,0.253772,0.326993", \ - "0.204508,0.216723,0.227469,0.243795,0.268539,0.309430,0.382675", \ - "0.259953,0.274470,0.286978,0.305188,0.332316,0.375106,0.449566", \ - "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016219,0.020165,0.024373,0.032037,0.046468,0.075160,0.134728", \ - "0.016218,0.020164,0.024373,0.032026,0.046473,0.075168,0.134749", \ - "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ - "0.016424,0.020362,0.024552,0.032163,0.046562,0.075185,0.134746", \ - "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ - "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ - "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093220,0.104120,0.113955,0.129214,0.153386,0.193883,0.267072", \ - "0.097858,0.108757,0.118599,0.133857,0.158043,0.198550,0.271730", \ - "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ - "0.153040,0.163871,0.173650,0.188949,0.213192,0.253772,0.326993", \ - "0.204508,0.216723,0.227469,0.243795,0.268539,0.309429,0.382675", \ - "0.259953,0.274470,0.286978,0.305188,0.332317,0.375106,0.449566", \ - "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016219,0.020165,0.024374,0.032038,0.046468,0.075183,0.134723", \ - "0.016218,0.020164,0.024372,0.032026,0.046473,0.075168,0.134749", \ - "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ - "0.016424,0.020369,0.024552,0.032163,0.046562,0.075185,0.134746", \ - "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ - "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ - "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093220,0.104120,0.113956,0.129214,0.153382,0.193911,0.267064", \ - "0.097858,0.108757,0.118599,0.133857,0.158043,0.198550,0.271730", \ - "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ - "0.153040,0.163871,0.173650,0.188949,0.213192,0.253772,0.326993", \ - "0.204508,0.216723,0.227469,0.243795,0.268539,0.309429,0.382675", \ - "0.259953,0.274470,0.286978,0.305188,0.332317,0.375106,0.449566", \ - "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016219,0.020165,0.024374,0.032037,0.046471,0.075161,0.134728", \ - "0.016218,0.020164,0.024372,0.032026,0.046473,0.075168,0.134749", \ - "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ - "0.016424,0.020369,0.024552,0.032163,0.046562,0.075185,0.134746", \ - "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ - "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ - "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093220,0.104120,0.113956,0.129214,0.153381,0.193883,0.267065", \ - "0.097858,0.108757,0.118599,0.133857,0.158043,0.198550,0.271730", \ - "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ - "0.153040,0.163871,0.173650,0.188949,0.213192,0.253772,0.326993", \ - "0.204508,0.216723,0.227469,0.243795,0.268539,0.309429,0.382675", \ - "0.259953,0.274470,0.286978,0.305188,0.332317,0.375106,0.449566", \ - "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016219,0.020165,0.024374,0.032036,0.046471,0.075183,0.134743", \ - "0.016218,0.020164,0.024372,0.032026,0.046473,0.075168,0.134749", \ - "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ - "0.016424,0.020369,0.024552,0.032163,0.046562,0.075185,0.134746", \ - "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ - "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ - "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093220,0.104120,0.113956,0.129214,0.153381,0.193883,0.267072", \ - "0.097858,0.108757,0.118601,0.133857,0.158043,0.198550,0.271730", \ - "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ - "0.153040,0.163871,0.173650,0.188949,0.213192,0.253772,0.326993", \ - "0.204508,0.216723,0.227469,0.243795,0.268539,0.309430,0.382675", \ - "0.259953,0.274470,0.286978,0.305188,0.332316,0.375106,0.449566", \ - "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016219,0.020165,0.024373,0.032038,0.046471,0.075182,0.134723", \ - "0.016218,0.020164,0.024373,0.032026,0.046473,0.075168,0.134749", \ - "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ - "0.016424,0.020369,0.024552,0.032163,0.046562,0.075185,0.134746", \ - "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ - "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ - "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.093220,0.104120,0.113955,0.129214,0.153382,0.193883,0.267072", \ - "0.097858,0.108757,0.118599,0.133857,0.158043,0.198550,0.271730", \ - "0.116021,0.126896,0.136735,0.151994,0.176183,0.216702,0.289890", \ - "0.153040,0.163871,0.173650,0.188949,0.213192,0.253772,0.326993", \ - "0.204508,0.216723,0.227469,0.243795,0.268539,0.309429,0.382675", \ - "0.259953,0.274470,0.286978,0.305188,0.332317,0.375106,0.449566", \ - "0.317880,0.334863,0.349200,0.370002,0.399818,0.445170,0.521304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.016219,0.020165,0.024374,0.032037,0.046471,0.075183,0.134723", \ - "0.016218,0.020164,0.024372,0.032026,0.046473,0.075168,0.134749", \ - "0.016224,0.020187,0.024393,0.032040,0.046482,0.075173,0.134746", \ - "0.016424,0.020369,0.024552,0.032163,0.046562,0.075185,0.134746", \ - "0.020769,0.024108,0.027731,0.034517,0.047962,0.075916,0.134979", \ - "0.026827,0.030228,0.033663,0.040085,0.052834,0.079410,0.136553", \ - "0.033527,0.037280,0.040781,0.046879,0.058901,0.084200,0.139764"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.345180,3.419234,3.469724,3.645474,3.705733,3.745162,3.755326", \ - "3.335213,3.413742,3.461718,3.636116,3.714838,3.735650,3.746211", \ - "3.320740,3.396320,3.444875,3.622898,3.687827,3.717536,3.727792", \ - "3.317261,3.394797,3.442273,3.622176,3.689775,3.714149,3.723788", \ - "3.341985,3.422149,3.467336,3.640355,3.706270,3.743550,3.757064", \ - "3.411721,3.487602,3.532118,3.711583,3.776557,3.806799,3.807511", \ - "3.530839,3.604465,3.652879,3.826408,3.892932,3.916004,3.930963"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.611311,3.668446,3.705379,3.760052,3.800347,3.831021,3.833084", \ - "3.603172,3.664722,3.702584,3.753192,3.802906,3.816938,3.830066", \ - "3.586146,3.639150,3.681686,3.719332,3.780311,3.791493,3.808066", \ - "3.579919,3.634991,3.671274,3.714857,3.764787,3.793447,3.799869", \ - "3.598703,3.658879,3.695029,3.744097,3.791468,3.808383,3.822090", \ - "3.665305,3.721333,3.754184,3.804959,3.847033,3.859031,3.888217", \ - "3.780874,3.835570,3.870666,3.913698,3.957475,3.989806,4.000952"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.698215,2.765534,2.802606,2.834429,2.865653,2.871673,2.880193", \ - "2.689026,2.750793,2.793426,2.837995,2.857590,2.863656,2.872624", \ - "2.677639,2.737963,2.775602,2.819886,2.837730,2.844133,2.852834", \ - "2.687870,2.741825,2.787865,2.818979,2.827382,2.867741,2.878052", \ - "2.738394,2.782439,2.813558,2.840838,2.864091,2.874018,2.887348", \ - "2.836012,2.863097,2.885031,2.897179,2.916570,2.929928,2.944880", \ - "2.955601,2.979275,2.992577,2.990039,2.999196,3.017558,3.032367"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.698051,2.765356,2.802416,2.834222,2.865384,2.871215,2.879746", \ - "2.688862,2.750614,2.793240,2.837793,2.857357,2.863385,2.872313", \ - "2.677476,2.737789,2.775418,2.819678,2.837510,2.843877,2.852539", \ - "2.687571,2.741592,2.787686,2.818777,2.827168,2.867496,2.877768", \ - "2.738241,2.782354,2.813386,2.840655,2.863876,2.873765,2.887044", \ - "2.835875,2.862953,2.884878,2.897005,2.916384,2.929704,2.944614", \ - "2.955497,2.979163,2.992454,2.989904,2.999042,3.017286,3.032128"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.698595,2.765938,2.803033,2.834886,2.866131,2.872175,2.880641", \ - "2.689402,2.751195,2.793846,2.838436,2.858075,2.864168,2.873083", \ - "2.678009,2.738354,2.776010,2.820324,2.838188,2.844613,2.853259", \ - "2.688224,2.742331,2.788253,2.819398,2.827821,2.868188,2.878445", \ - "2.738712,2.782828,2.813910,2.841211,2.864503,2.874452,2.887728", \ - "2.836271,2.863370,2.885319,2.897495,2.916899,2.930281,2.945196", \ - "2.955766,2.979454,2.992773,2.990255,2.999433,3.017823,3.032608"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.653357,2.717227,2.754325,2.785738,2.817089,2.822929,2.833358", \ - "2.642235,2.703747,2.746058,2.790178,2.809715,2.815979,2.825695", \ - "2.631376,2.691418,2.728988,2.772943,2.790386,2.825466,2.840832", \ - "2.640984,2.694945,2.740976,2.771841,2.777682,2.820069,2.830616", \ - "2.692362,2.736176,2.766944,2.794491,2.816765,2.827107,2.839672", \ - "2.789759,2.817958,2.839986,2.851434,2.870714,2.883145,2.898677", \ - "2.909536,2.934551,2.950668,2.946452,2.954117,2.970673,2.987707"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.653507,2.717376,2.754478,2.785900,2.817323,2.823135,2.833630", \ - "2.642384,2.703891,2.746224,2.790387,2.809922,2.816195,2.825939", \ - "2.631520,2.691572,2.729158,2.773128,2.790617,2.825310,2.841124", \ - "2.641176,2.695094,2.741137,2.772009,2.777909,2.820324,2.830894", \ - "2.692492,2.736415,2.767090,2.794668,2.816946,2.827296,2.839896", \ - "2.789861,2.818071,2.840111,2.851567,2.870899,2.883359,2.898920", \ - "2.909614,2.934640,2.950231,2.946565,2.954279,2.970869,2.987941"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.654109,2.718006,2.755143,2.786611,2.818172,2.824117,2.834690", \ - "2.642980,2.704516,2.746896,2.791140,2.810754,2.817149,2.826993", \ - "2.632109,2.692200,2.729824,2.773858,2.791455,2.826699,2.842178", \ - "2.641702,2.695713,2.741779,2.772713,2.778715,2.821255,2.831928", \ - "2.693035,2.736886,2.767699,2.795348,2.817700,2.828176,2.840895", \ - "2.790327,2.818570,2.840649,2.852154,2.871600,2.884170,2.899866", \ - "2.909968,2.935026,2.950647,2.947062,2.954863,2.971582,2.988807"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.698069,2.765381,2.802441,2.834248,2.865421,2.871376,2.879762", \ - "2.688880,2.750640,2.793258,2.837793,2.857363,2.863364,2.872196", \ - "2.677494,2.737808,2.775433,2.819695,2.837496,2.843841,2.852398", \ - "2.687586,2.741606,2.787697,2.818786,2.827165,2.867433,2.877609", \ - "2.738248,2.782283,2.813389,2.840643,2.863862,2.873717,2.886927", \ - "2.835873,2.862948,2.884867,2.896991,2.916335,2.929618,2.944425", \ - "2.955444,2.979135,2.992423,2.989856,2.998969,3.017251,3.031910"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.653968,2.717868,2.755001,2.786503,2.817922,2.823861,2.834412", \ - "2.642842,2.704383,2.746740,2.790937,2.810543,2.816914,2.826743", \ - "2.631974,2.692055,2.729663,2.773678,2.791215,2.825988,2.841869", \ - "2.641566,2.695569,2.741626,2.772547,2.778489,2.820985,2.831636", \ - "2.692905,2.736747,2.767546,2.795166,2.817520,2.827966,2.840637", \ - "2.790221,2.818452,2.840514,2.852022,2.871395,2.883942,2.899606", \ - "2.909882,2.934929,2.950542,2.946929,2.954688,2.971373,2.988560"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.914876,4.006059,4.076317,4.138994,4.216983,4.284046,4.323977", \ - "3.901030,3.993974,4.062524,4.117951,4.211752,4.278836,4.321370", \ - "3.885909,3.983413,4.048030,4.122586,4.187368,4.255027,4.302413", \ - "3.897659,3.991761,4.044014,4.125375,4.201986,4.271976,4.281150", \ - "3.951653,4.030932,4.086146,4.135261,4.236298,4.272203,4.314640", \ - "4.063935,4.129418,4.170827,4.209882,4.301593,4.371543,4.382072", \ - "4.215903,4.258300,4.286411,4.325505,4.413096,4.469879,4.478019"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.914534,4.005709,4.075954,4.138669,4.216622,4.284363,4.327056", \ - "3.900689,3.993627,4.062178,4.117605,4.211399,4.278478,4.321010", \ - "3.885585,3.983072,4.047691,4.122244,4.187031,4.254684,4.302100", \ - "3.899585,3.989745,4.043687,4.125044,4.201663,4.271649,4.280812", \ - "3.951358,4.030518,4.085843,4.134957,4.235984,4.271869,4.314295", \ - "4.063674,4.129153,4.170559,4.209601,4.301316,4.371252,4.381759", \ - "4.215687,4.262988,4.286181,4.325270,4.412853,4.469618,4.477729"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.915655,4.006836,4.077056,4.139739,4.215052,4.284614,4.324188", \ - "3.901775,3.994738,4.063271,4.118688,4.212472,4.279496,4.321893", \ - "3.886643,3.984145,4.048763,4.123301,4.188052,4.255651,4.303083", \ - "3.898339,3.992363,4.044703,4.126048,4.202619,4.272560,4.281621", \ - "3.952257,4.033202,4.086751,4.135862,4.236891,4.272770,4.315091", \ - "4.064437,4.129914,4.171339,4.234316,4.302081,4.371999,4.382444", \ - "4.216273,4.258677,4.286799,4.325892,4.413458,4.470236,4.478317"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.913873,4.012648,4.075779,4.134553,4.215405,4.286357,4.328584", \ - "3.900486,4.000693,4.069638,4.118071,4.212917,4.281468,4.325862", \ - "3.885187,3.982962,4.047824,4.122943,4.188597,4.257769,4.300273", \ - "3.899523,3.995416,4.044237,4.126101,4.203604,4.274951,4.286023", \ - "3.959468,4.040430,4.086839,4.163396,4.238312,4.310359,4.319820", \ - "4.064931,4.130908,4.172168,4.235598,4.304092,4.375265,4.387540", \ - "4.217688,4.259977,4.302060,4.327940,4.415838,4.474695,4.484977"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.914075,4.012841,4.075984,4.134847,4.218429,4.286919,4.328495", \ - "3.900685,4.000892,4.069857,4.118302,4.213171,4.281744,4.326179", \ - "3.885376,3.983164,4.048043,4.123174,4.188868,4.258065,4.300603", \ - "3.899701,3.995706,4.044436,4.126326,4.203881,4.275249,4.286334", \ - "3.959643,4.040613,4.087026,4.163633,4.238539,4.310619,4.320096", \ - "4.065073,4.131128,4.172333,4.235787,4.304325,4.375535,4.387820", \ - "4.217808,4.260310,4.302206,4.328102,4.416108,4.474943,4.485238"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.915319,4.014082,4.077214,4.136030,4.219547,4.287915,4.329833", \ - "3.901918,4.002108,4.071096,4.119563,4.214414,4.282990,4.327413", \ - "3.886567,3.984345,4.049263,4.124393,4.190119,4.259282,4.301840", \ - "3.900839,3.996858,4.045562,4.127487,4.205073,4.276461,4.287542", \ - "3.960683,4.041666,4.088090,4.164726,4.239640,4.311761,4.321246", \ - "4.065979,4.132050,4.173274,4.236750,4.305338,4.376593,4.388924", \ - "4.218559,4.261078,4.302995,4.328917,4.416992,4.475892,4.486269"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.914573,4.005757,4.075977,4.134160,4.216551,4.283379,4.328457", \ - "3.900729,3.993675,4.062217,4.117630,4.211414,4.278439,4.320851", \ - "3.885612,3.983111,4.047722,4.122267,4.187018,4.254622,4.301958", \ - "3.899614,3.989838,4.043714,4.125062,4.201636,4.271568,4.280622", \ - "3.951371,4.030644,4.085853,4.134951,4.235957,4.271800,4.314104", \ - "4.063666,4.129142,4.170541,4.209580,4.301248,4.371129,4.381525", \ - "4.215645,4.257824,4.286137,4.325207,4.412743,4.469462,4.477467"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.915153,4.013908,4.077054,4.135896,4.219401,4.287594,4.330083", \ - "3.901751,4.001949,4.070917,4.119310,4.214162,4.282699,4.327090", \ - "3.886403,3.984197,4.049075,4.124151,4.189838,4.258999,4.301493", \ - "3.900688,3.996567,4.045416,4.127254,4.204790,4.276137,4.287219", \ - "3.960523,4.032477,4.087890,4.164488,4.239405,4.311490,4.320968", \ - "4.065838,4.131800,4.173111,4.212566,4.305095,4.376295,4.388633", \ - "4.218439,4.260743,4.302852,4.328757,4.416688,4.475631,4.485998"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFS_X2 - Cell Description : Pos.edge D-Flip-Flop with active high scan, and active low set, and drive strength X2 - *******************************************************************************************/ - - cell (SDFFS_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - preset : "!SN"; - } - - area : 7.182000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 94.025238; - - leakage_power () { - when : "!CK & !D & !SE & !SI & !SN & Q & !QN"; - value : 85.582460; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & SN & !Q & QN"; - value : 99.721310; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & SN & Q & !QN"; - value : 91.044761; - } - leakage_power () { - when : "!CK & !D & !SE & SI & !SN & Q & !QN"; - value : 92.615044; - } - leakage_power () { - when : "!CK & !D & !SE & SI & SN & !Q & QN"; - value : 106.753894; - } - leakage_power () { - when : "!CK & !D & !SE & SI & SN & Q & !QN"; - value : 98.077344; - } - leakage_power () { - when : "!CK & !D & SE & !SI & !SN & Q & !QN"; - value : 83.000749; - } - leakage_power () { - when : "!CK & !D & SE & !SI & SN & !Q & QN"; - value : 97.139599; - } - leakage_power () { - when : "!CK & !D & SE & !SI & SN & Q & !QN"; - value : 88.463050; - } - leakage_power () { - when : "!CK & !D & SE & SI & !SN & Q & !QN"; - value : 81.670246; - } - leakage_power () { - when : "!CK & !D & SE & SI & SN & !Q & QN"; - value : 102.121371; - } - leakage_power () { - when : "!CK & !D & SE & SI & SN & Q & !QN"; - value : 87.424196; - } - leakage_power () { - when : "!CK & D & !SE & !SI & !SN & Q & !QN"; - value : 84.919369; - } - leakage_power () { - when : "!CK & D & !SE & !SI & SN & !Q & QN"; - value : 105.370209; - } - leakage_power () { - when : "!CK & D & !SE & !SI & SN & Q & !QN"; - value : 90.673225; - } - leakage_power () { - when : "!CK & D & !SE & SI & !SN & Q & !QN"; - value : 85.966032; - } - leakage_power () { - when : "!CK & D & !SE & SI & SN & !Q & QN"; - value : 106.417157; - } - leakage_power () { - when : "!CK & D & !SE & SI & SN & Q & !QN"; - value : 91.719983; - } - leakage_power () { - when : "!CK & D & SE & !SI & !SN & Q & !QN"; - value : 92.318805; - } - leakage_power () { - when : "!CK & D & SE & !SI & SN & !Q & QN"; - value : 106.457655; - } - leakage_power () { - when : "!CK & D & SE & !SI & SN & Q & !QN"; - value : 97.781011; - } - leakage_power () { - when : "!CK & D & SE & SI & !SN & Q & !QN"; - value : 83.033429; - } - leakage_power () { - when : "!CK & D & SE & SI & SN & !Q & QN"; - value : 103.484554; - } - leakage_power () { - when : "!CK & D & SE & SI & SN & Q & !QN"; - value : 88.787380; - } - leakage_power () { - when : "CK & !D & !SE & !SI & !SN & Q & !QN"; - value : 88.609502; - } - leakage_power () { - when : "CK & !D & !SE & !SI & SN & !Q & QN"; - value : 99.073419; - } - leakage_power () { - when : "CK & !D & !SE & !SI & SN & Q & !QN"; - value : 95.213037; - } - leakage_power () { - when : "CK & !D & !SE & SI & !SN & Q & !QN"; - value : 95.640566; - } - leakage_power () { - when : "CK & !D & !SE & SI & SN & !Q & QN"; - value : 106.104768; - } - leakage_power () { - when : "CK & !D & !SE & SI & SN & Q & !QN"; - value : 102.244482; - } - leakage_power () { - when : "CK & !D & SE & !SI & !SN & Q & !QN"; - value : 86.027031; - } - leakage_power () { - when : "CK & !D & SE & !SI & SN & !Q & QN"; - value : 96.491139; - } - leakage_power () { - when : "CK & !D & SE & !SI & SN & Q & !QN"; - value : 92.630567; - } - leakage_power () { - when : "CK & !D & SE & SI & !SN & Q & !QN"; - value : 81.548418; - } - leakage_power () { - when : "CK & !D & SE & SI & SN & !Q & QN"; - value : 98.000470; - } - leakage_power () { - when : "CK & !D & SE & SI & SN & Q & !QN"; - value : 88.153664; - } - leakage_power () { - when : "CK & D & !SE & !SI & !SN & Q & !QN"; - value : 84.797731; - } - leakage_power () { - when : "CK & D & !SE & !SI & SN & !Q & QN"; - value : 101.249974; - } - leakage_power () { - when : "CK & D & !SE & !SI & SN & Q & !QN"; - value : 91.403072; - } - leakage_power () { - when : "CK & D & !SE & SI & !SN & Q & !QN"; - value : 85.844679; - } - leakage_power () { - when : "CK & D & !SE & SI & SN & !Q & QN"; - value : 102.297016; - } - leakage_power () { - when : "CK & D & !SE & SI & SN & Q & !QN"; - value : 92.450020; - } - leakage_power () { - when : "CK & D & SE & !SI & !SN & Q & !QN"; - value : 95.342332; - } - leakage_power () { - when : "CK & D & SE & !SI & SN & !Q & QN"; - value : 105.807010; - } - leakage_power () { - when : "CK & D & SE & !SI & SN & Q & !QN"; - value : 101.945773; - } - leakage_power () { - when : "CK & D & SE & SI & !SN & Q & !QN"; - value : 82.912086; - } - leakage_power () { - when : "CK & D & SE & SI & SN & !Q & QN"; - value : 99.364424; - } - leakage_power () { - when : "CK & D & SE & SI & SN & Q & !QN"; - value : 89.517426; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.085615; - fall_capacitance : 1.026631; - rise_capacitance : 1.085615; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "!SE & SN"; - sdf_cond : "NEG_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.081009,-0.028936,0.024374", \ - "-0.125395,-0.072756,-0.016829", \ - "0.128188,0.185577,0.247611"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.025004,0.009768,0.038236", \ - "-0.025360,0.005675,0.012038", \ - "0.119171,0.145941,0.117144"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "!SE & SN"; - sdf_cond : "NEG_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.243909,0.215728,0.234921", \ - "0.301680,0.273156,0.293369", \ - "0.457631,0.429213,0.447134"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.259250,0.201814,0.139077", \ - "0.304278,0.247212,0.184252", \ - "0.372588,0.315204,0.253196"); - } - } - - internal_power () { - - when : "!CK & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.697231,5.680667,5.653639,5.642992,5.650561,5.697352,5.809639"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.800195,3.777989,3.750391,3.727402,3.727165,3.771962,3.889633"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.782051,5.765497,5.739478,5.727971,5.735411,5.784137,5.895014"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.962646,3.941862,3.911050,3.888889,3.888373,3.935107,4.055324"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.750667,5.733324,5.707094,5.693986,5.702432,5.749317,5.859923"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.815171,3.792807,3.765253,3.741882,3.739274,3.786546,3.904239"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.688907,5.671903,5.645398,5.634587,5.642019,5.688236,5.799361"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.802700,3.780475,3.752635,3.729789,3.729206,3.774461,3.893721"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.773937,5.756459,5.731308,5.719601,5.726694,5.774089,5.885498"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.965249,3.944543,3.915254,3.893629,3.890773,3.932870,4.057220"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.742519,5.725209,5.698877,5.685576,5.693716,5.740062,5.850950"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.817663,3.795281,3.766887,3.745057,3.744586,3.788917,3.905859"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.547289,0.525211,0.515554,0.511830,0.509115,0.509032,0.508582"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447827,-0.449378,-0.453865,-0.457472,-0.459734,-0.461258,-0.462106"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543999,0.524833,0.515167,0.511447,0.508695,0.508678,0.508178"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448136,-0.450707,-0.455192,-0.458795,-0.461051,-0.462563,-0.463441"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.544228,0.526633,0.515393,0.511674,0.508937,0.508901,0.508423"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.447535,-0.450118,-0.454606,-0.458211,-0.460470,-0.461989,-0.462873"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480097,0.483377,0.484308,0.484768,0.484698,0.486199,0.484869"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330041,-0.362012,-0.401173,-0.420709,-0.431155,-0.437563,-0.442072"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480392,0.484129,0.484554,0.485317,0.485144,0.486756,0.485299"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.328551,-0.361289,-0.400174,-0.419746,-0.429642,-0.436770,-0.440494"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480012,0.483304,0.484229,0.484160,0.484540,0.486133,0.484767"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330266,-0.362211,-0.401373,-0.420911,-0.431359,-0.437770,-0.442284"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.481857,2.464247,2.439290,2.427337,2.437771,2.505739,2.612748"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.160510,1.138602,1.112630,1.093801,1.096350,1.141891,1.261882"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.519595,2.502549,2.477759,2.465797,2.475723,2.544080,2.649006"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.174784,1.150550,1.125356,1.107068,1.112122,1.158835,1.279029"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.481375,2.463489,2.438533,2.426613,2.437058,2.505151,2.608121"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.209059,1.185129,1.158848,1.139710,1.142053,1.186339,1.305131"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.473100,2.455416,2.430393,2.418267,2.428414,2.494319,2.602540"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.161283,1.138510,1.112554,1.093716,1.096760,1.141965,1.260213"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.510657,2.494275,2.469351,2.457242,2.466934,2.535416,2.640597"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.176682,1.152443,1.127506,1.108837,1.111515,1.160824,1.280001"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.473309,2.455413,2.430343,2.418236,2.428497,2.496088,2.602427"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.211559,1.187632,1.161409,1.142091,1.144467,1.188952,1.307044"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543519,0.524315,0.514084,0.510938,0.508216,0.508143,0.507692"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448993,-0.451274,-0.455723,-0.459349,-0.461697,-0.463208,-0.464102"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543978,0.524777,0.515112,0.511393,0.508658,0.508620,0.508149"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449146,-0.450663,-0.455162,-0.458769,-0.461030,-0.462549,-0.463392"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543848,0.524649,0.514363,0.511265,0.508522,0.508503,0.508022"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449685,-0.451192,-0.455690,-0.459294,-0.461550,-0.463062,-0.463895"); - } - - } - - internal_power () { - - when : "CK & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479539,0.482771,0.483267,0.484136,0.484061,0.485531,0.484138"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330738,-0.362741,-0.401885,-0.421406,-0.431862,-0.438260,-0.442762"); - } - - } - - internal_power () { - - when : "CK & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480652,0.483965,0.484808,0.485272,0.485156,0.486735,0.485301"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.329011,-0.361936,-0.399873,-0.420046,-0.429821,-0.436843,-0.440655"); - } - - } - - internal_power () { - - when : "CK & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479528,0.482804,0.483210,0.484162,0.484051,0.485544,0.484128"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330680,-0.362608,-0.401758,-0.421288,-0.431736,-0.438149,-0.442668"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.860183; - fall_capacitance : 1.713145; - rise_capacitance : 1.860183; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.051334,-0.018615,0.001727", \ - "-0.101621,-0.078970,-0.055125", \ - "0.133758,0.182769,0.165993"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.072204,-0.026873,0.042980", \ - "-0.105468,-0.055094,0.015801", \ - "-0.012571,0.044416,0.129042"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.309645,0.251978,0.197460", \ - "0.374172,0.316984,0.254321", \ - "0.513356,0.456374,0.407854"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.273651,0.245126,0.263221", \ - "0.312096,0.283260,0.301646", \ - "0.367018,0.318012,0.334819"); - } - } - - internal_power () { - - when : "!CK & !D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.924688,1.886408,1.871411,1.918819,2.014437,2.177030,2.403114"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.048809,0.027072,0.003885,0.015570,0.096921,0.241433,0.460951"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.923576,1.886039,1.871024,1.917181,2.014324,2.176676,2.399264"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.043769,0.025743,0.002558,0.018867,0.094409,0.240128,0.462109"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.923759,1.886257,1.871249,1.917406,2.014565,2.176826,2.401497"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.045127,0.026323,0.003139,0.019442,0.094984,0.238812,0.460332"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.480407,6.415836,6.364903,6.434317,6.607438,6.915352,7.345358"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.434970,3.416683,3.369541,3.373860,3.493228,3.760208,4.197328"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.566425,6.498962,6.451099,6.518597,6.693875,7.001804,7.434137"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.600517,3.577913,3.533294,3.537490,3.657166,3.923463,4.356517"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("6.534603,6.466874,6.419424,6.485366,6.659239,6.966426,7.401252"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.450474,3.431279,3.384549,3.388144,3.508386,3.775433,4.207638"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.597406,5.565076,5.572506,5.658767,5.803906,6.016262,6.310626"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.200954,5.201865,5.148300,5.124143,5.170624,5.305143,5.518337"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.582123,5.549566,5.557074,5.642403,5.786032,5.997106,6.290549"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.171700,5.177923,5.159376,5.156030,5.210035,5.349723,5.565233"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.607423,5.572260,5.583048,5.667785,5.814016,6.027433,6.319746"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.267678,5.265968,5.214892,5.191069,5.234672,5.367133,5.583463"); - } - - } - - internal_power () { - - when : "!CK & D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.792304,1.756981,1.743367,1.791644,1.890779,2.047634,2.277975"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.112134,0.096025,0.076809,0.089488,0.162544,0.305943,0.531006"); - } - - } - - internal_power () { - - when : "!CK & D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.796573,1.761173,1.747009,1.795902,1.894942,2.051951,2.279856"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.129680,0.113616,0.094159,0.107114,0.179622,0.322453,0.546999"); - } - - } - - internal_power () { - - when : "!CK & D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.792221,1.756908,1.743288,1.791040,1.890623,2.047539,2.275606"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.111909,0.095826,0.076609,0.089282,0.162334,0.305735,0.530804"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.924157,1.887111,1.870378,1.918211,2.013858,2.176399,2.402628"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.046579,0.030392,0.001577,0.017066,0.098349,0.241046,0.465407"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.923807,1.886199,1.871158,1.918562,2.014423,2.176703,2.402888"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.044030,0.026142,0.002830,0.014891,0.094994,0.239294,0.460910"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.923494,1.886607,1.870286,1.918258,2.014574,2.173683,2.399772"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.042778,0.024920,0.001708,0.013765,0.093878,0.237705,0.459664"); - } - - } - - internal_power () { - - when : "CK & !D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.258217,3.192471,3.145076,3.219136,3.404278,3.722261,4.150860"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.771058,0.751279,0.709664,0.720257,0.844300,1.110226,1.539868"); - } - - } - - internal_power () { - - when : "CK & !D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.296755,3.231121,3.183687,3.257814,3.443732,3.771303,4.189437"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.810754,0.791102,0.747611,0.759795,0.882814,1.152460,1.581507"); - } - - } - - internal_power () { - - when : "CK & !D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.257764,3.192365,3.144920,3.219016,3.404399,3.725318,4.150608"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.842273,0.822664,0.779891,0.789618,0.912505,1.176593,1.605970"); - } - - } - - internal_power () { - - when : "CK & D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.974827,2.941943,2.948936,3.031297,3.179072,3.389632,3.677362"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.863000,1.870283,1.849757,1.845189,1.902147,2.045213,2.263841"); - } - - } - - internal_power () { - - when : "CK & D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.939166,2.906007,2.913481,2.997213,3.143100,3.356463,3.644311"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.901443,1.909868,1.888430,1.883286,1.939771,2.082284,2.300277"); - } - - } - - internal_power () { - - when : "CK & D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.967464,2.934491,2.941601,3.027295,3.173649,3.384993,3.674354"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.948968,1.949191,1.896659,1.871526,1.918115,2.058271,2.272220"); - } - - } - - internal_power () { - - when : "CK & D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.795144,1.760109,1.746224,1.795373,1.893654,2.049360,2.279471"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.024914,-0.041144,-0.060390,-0.048656,0.023923,0.165203,0.386822"); - } - - } - - internal_power () { - - when : "CK & D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.796157,1.760447,1.747432,1.795484,1.894334,2.051385,2.281470"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.129353,0.113203,0.093921,0.106521,0.179330,0.322040,0.547615"); - } - - } - - internal_power () { - - when : "CK & D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.791311,1.756368,1.742381,1.790933,1.890198,2.045536,2.276877"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.111527,0.095534,0.076135,0.088663,0.162051,0.305903,0.530355"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.887273; - fall_capacitance : 0.851958; - rise_capacitance : 0.887273; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SE & SN"; - sdf_cond : "SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.093997,-0.042671,0.010516", \ - "-0.132009,-0.078667,-0.020408", \ - "0.105369,0.162745,0.225335"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.026355,0.008733,0.036719", \ - "-0.027279,0.003321,0.010204", \ - "0.134782,0.163395,0.137401"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SE & SN"; - sdf_cond : "SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.280222,0.251170,0.269052", \ - "0.337576,0.308690,0.327411", \ - "0.503341,0.473859,0.490095"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.264604,0.207474,0.144961", \ - "0.313302,0.256265,0.193000", \ - "0.395389,0.338017,0.275454"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412586,0.398072,0.390553,0.387421,0.384946,0.384909,0.383861"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.341527,-0.343714,-0.347510,-0.349107,-0.350139,-0.350738,-0.351011"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.414446,0.397696,0.390168,0.387040,0.384526,0.384554,0.383458"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.342891,-0.345039,-0.348833,-0.350425,-0.351451,-0.352039,-0.352345"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.414672,0.397916,0.390392,0.387264,0.384767,0.384776,0.383702"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.342297,-0.344458,-0.348253,-0.349848,-0.350877,-0.351471,-0.351784"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.668957,5.657906,5.633395,5.627076,5.632503,5.660720,5.743642"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.455267,3.439829,3.421907,3.404243,3.400357,3.426118,3.516523"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.752583,5.741405,5.722979,5.711142,5.718562,5.749688,5.828404"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.617751,3.603655,3.584771,3.566088,3.564858,3.588014,3.669941"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.720003,5.709170,5.690517,5.679306,5.685509,5.716721,5.793903"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.470328,3.454055,3.435471,3.416874,3.414868,3.442095,3.525052"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357179,0.360928,0.361577,0.361393,0.360713,0.361439,0.361001"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249565,-0.272689,-0.302861,-0.317020,-0.324612,-0.329279,-0.332433"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357853,0.361674,0.361645,0.362105,0.361322,0.362144,0.361566"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.248281,-0.270623,-0.300769,-0.314980,-0.322510,-0.326967,-0.330291"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357094,0.360855,0.361499,0.360730,0.360550,0.361373,0.360898"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249791,-0.272889,-0.303061,-0.317221,-0.324816,-0.329486,-0.332645"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.658063,5.646902,5.622453,5.615104,5.620180,5.647768,5.726752"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.457870,3.441532,3.423877,3.407113,3.402805,3.429074,3.522473"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.742005,5.730334,5.711097,5.698965,5.704361,5.732397,5.814317"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.622946,3.606544,3.587834,3.570675,3.566986,3.592688,3.676148"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.709187,5.697620,5.680536,5.667356,5.670054,5.700110,5.779923"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.473215,3.456587,3.438718,3.422241,3.414963,3.444104,3.531281"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.415180,0.397395,0.389263,0.386741,0.384280,0.384248,0.383221"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.343592,-0.345459,-0.349437,-0.351169,-0.352085,-0.352822,-0.353137"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412327,0.397819,0.390289,0.387160,0.384660,0.384666,0.383588"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.342242,-0.344403,-0.348199,-0.349796,-0.350832,-0.351432,-0.351708"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412021,0.397516,0.389338,0.386861,0.384358,0.384383,0.383304"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.343387,-0.345542,-0.349336,-0.350928,-0.351955,-0.352542,-0.352800"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.446488,2.435920,2.414738,2.404897,2.413744,2.458111,2.550207"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.865419,0.849076,0.832284,0.819203,0.817139,0.843971,0.926255"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.484464,2.472492,2.454796,2.442673,2.450958,2.499519,2.587956"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.832424,0.817036,0.799821,0.786681,0.786228,0.817230,0.895641"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.446461,2.434631,2.413777,2.404026,2.412870,2.458590,2.549503"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.865941,0.849400,0.832586,0.819763,0.817532,0.847546,0.921720"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357792,0.361578,0.361675,0.362008,0.361315,0.361974,0.361508"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.247518,-0.269947,-0.300085,-0.314293,-0.321827,-0.326292,-0.329627"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357733,0.361544,0.362168,0.361370,0.361181,0.362006,0.361487"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.248809,-0.271178,-0.301312,-0.315512,-0.323033,-0.327477,-0.330783"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.356594,0.360357,0.360422,0.360785,0.360066,0.360772,0.360260"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.250168,-0.273273,-0.303437,-0.317593,-0.325189,-0.329861,-0.333027"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.433447,2.423733,2.403462,2.392680,2.401190,2.445386,2.536471"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.818708,0.802699,0.785987,0.773778,0.772902,0.799651,0.882754"); - } - - } - - internal_power () { - - when : "CK & D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.473162,2.461376,2.443259,2.430848,2.438756,2.485132,2.574486"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.834996,0.818404,0.802381,0.789254,0.788722,0.818728,0.902542"); - } - - } - - internal_power () { - - when : "CK & D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.434841,2.423705,2.403391,2.392649,2.401113,2.448286,2.536428"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.868513,0.851997,0.835142,0.822174,0.820022,0.849136,0.929013"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.213987; - fall_capacitance : 2.179303; - rise_capacitance : 2.213987; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.235426,-0.291244,-0.365937", \ - "-0.236871,-0.292751,-0.366804", \ - "-0.191937,-0.252853,-0.323768"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.693455,0.723394,0.777206", \ - "0.831232,0.861624,0.914507", \ - "1.315792,1.345960,1.401506"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.719114,0.768813,1.143177"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.927886,0.930605,0.932185,0.934505,0.934694,0.935153,0.933729"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.560395,-0.565303,-0.575112,-0.580523,-0.587621,-0.579238,-0.585889"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.927910,0.930641,0.932215,0.934535,0.934697,0.935192,0.933730"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.560377,-0.565259,-0.575068,-0.580478,-0.587577,-0.579193,-0.585845"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.927856,0.930563,0.932152,0.934471,0.934691,0.935108,0.933727"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.560414,-0.565351,-0.575160,-0.580571,-0.587670,-0.579286,-0.585937"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.929128,0.932708,0.934688,0.936010,0.935608,0.935518,0.933681"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.635831,-0.632353,-0.637168,-0.638297,-0.642497,-0.640869,-0.639202"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.929172,0.932769,0.934738,0.936061,0.935613,0.935580,0.933684"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.635799,-0.632278,-0.637093,-0.638222,-0.642422,-0.640860,-0.639128"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.929163,0.932758,0.934728,0.936051,0.935613,0.935571,0.933684"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.635806,-0.632293,-0.637108,-0.638237,-0.642437,-0.640809,-0.639142"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.927889,0.930611,0.932191,0.934510,0.934694,0.935160,0.933729"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.560390,-0.565293,-0.575102,-0.580512,-0.587611,-0.579228,-0.585879"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.929116,0.932692,0.934675,0.935996,0.935607,0.935501,0.933680"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.635839,-0.632373,-0.637188,-0.638317,-0.642517,-0.640889,-0.639222"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.947249,0.943449,0.941346,0.943418,0.942081,0.941803,0.940109"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.632750,-0.636409,-0.641495,-0.644666,-0.641186,-0.639242,-0.637810"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.947039,0.943252,0.941114,0.943222,0.941864,0.941632,0.939914"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.633658,-0.637291,-0.642374,-0.645543,-0.642060,-0.640108,-0.638665"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.947034,0.943308,0.941328,0.941934,0.942059,0.942067,0.940108"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.632587,-0.636164,-0.641405,-0.644572,-0.640833,-0.639514,-0.637760"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.946236,0.942402,0.940277,0.940795,0.941005,0.940630,0.938949"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.633736,-0.637538,-0.642593,-0.645759,-0.642417,-0.640229,-0.638876"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.947394,0.943667,0.941433,0.942304,0.942298,0.942025,0.940397"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.630807,-0.634437,-0.639656,-0.642139,-0.639227,-0.637829,-0.638535"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.946924,0.943103,0.940926,0.941479,0.941644,0.941306,0.939559"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.631862,-0.635628,-0.640684,-0.643851,-0.640510,-0.638355,-0.636986"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.947084,0.943289,0.941181,0.943265,0.941930,0.941982,0.939988"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.633605,-0.637258,-0.642342,-0.645511,-0.642031,-0.639980,-0.638628"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.946223,0.942385,0.940277,0.940778,0.941004,0.940612,0.938947"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.633761,-0.637564,-0.642627,-0.642846,-0.642327,-0.640066,-0.640618"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.920808; - fall_capacitance : 0.830489; - rise_capacitance : 0.920808; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.287140,0.293694,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.348807,0.352036,0.500500"); - } - } - - internal_power () { - - when : "!D & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.589654,5.563092,5.533764,5.550748,5.632243,5.789439,6.022351"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("12.881570,12.854040,12.610690,12.419600,12.379920,12.498210,12.766120"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.890123,2.866060,2.837894,2.853662,2.938097,3.091675,3.311714"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.550063,2.534369,2.498460,2.493351,2.553068,2.678675,2.886939"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.689039,5.660929,5.631741,5.648594,5.732828,5.891969,6.124357"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.589672,5.563143,5.533771,5.550792,5.632128,5.789479,6.022359"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("12.881610,12.854100,12.610760,12.419660,12.377460,12.498270,12.766180"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.890143,2.866099,2.837927,2.853696,2.938104,3.091718,3.311719"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.550063,2.534395,2.498496,2.493390,2.553094,2.678715,2.886963"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.689077,5.661258,5.631809,5.648677,5.732922,5.891938,6.124428"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.589575,5.563008,5.533760,5.550665,5.632133,5.789332,6.022343"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("12.881670,12.854100,12.610730,12.419610,12.379920,12.498190,12.766090"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.890068,2.865942,2.837762,2.853605,2.938029,3.091595,3.311711"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.550057,2.534301,2.498407,2.493307,2.553053,2.678610,2.886850"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.688983,5.660853,5.631603,5.648448,5.732748,5.891713,6.124288"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.000435,2.974431,2.946893,2.965363,3.048450,3.203864,3.426017"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.441103,2.427718,2.391619,2.384626,2.443166,2.565478,2.774756"); - } - - } - - internal_power () { - - when : "!D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.569313,5.541180,5.517341,5.533907,5.615411,5.764242,5.995246"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.001564,2.973138,2.945272,2.964853,3.048303,3.204885,3.431934"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.450981,2.437667,2.402873,2.394854,2.454245,2.582802,2.784693"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.000484,2.974492,2.946941,2.965412,3.048450,3.203927,3.426040"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.440712,2.427746,2.391699,2.384694,2.443246,2.565552,2.774812"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.537698,5.506621,5.482959,5.499011,5.586664,5.731952,5.961987"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.001609,2.973206,2.945318,2.964851,3.048161,3.204945,3.431951"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.451563,2.437709,2.402906,2.394920,2.454325,2.582860,2.784770"); - } - - } - - internal_power () { - - when : "D & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.000470,2.974476,2.946930,2.965401,3.048448,3.203913,3.426037"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.440707,2.427732,2.391684,2.384679,2.443231,2.565537,2.774798"); - } - - } - - internal_power () { - - when : "D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.538541,5.507459,5.483801,5.499855,5.587518,5.732813,5.962861"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.001595,2.973190,2.945307,2.964850,3.048297,3.204930,3.431949"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.451562,2.437694,2.402891,2.394905,2.454311,2.582845,2.784756"); - } - - } - - internal_power () { - - when : "D & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.589614,5.563073,5.534128,5.550715,5.632038,5.789396,6.022355"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("12.881730,12.854190,12.610830,12.419710,12.376250,12.498280,12.766160"); - } - - } - - internal_power () { - - when : "D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.890107,2.866003,2.837815,2.853658,2.938045,3.091660,3.311727"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.550081,2.534377,2.498472,2.493368,2.553126,2.678686,2.886916"); - } - - } - - internal_power () { - - when : "D & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.689050,5.660819,5.632193,5.648557,5.732866,5.891818,6.124391"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.000419,2.974410,2.946876,2.965346,3.048444,3.203844,3.426011"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.441093,2.427699,2.391599,2.384606,2.443146,2.565459,2.774737"); - } - - } - - internal_power () { - - when : "D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.569412,5.541283,5.517430,5.533988,5.615502,5.764348,5.995334"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.001548,2.973118,2.945255,2.964849,3.048161,3.204864,3.427785"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.450972,2.437648,2.402853,2.394833,2.454226,2.582782,2.784199"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 119.165300; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.307679,0.334805,0.356564,0.389354,0.436908,0.504428,0.602769", \ - "0.312967,0.340104,0.361884,0.394618,0.442153,0.509759,0.608044", \ - "0.331053,0.358165,0.379977,0.412714,0.460270,0.527879,0.626199", \ - "0.354908,0.382003,0.403764,0.436556,0.484129,0.551692,0.650041", \ - "0.378083,0.405189,0.426767,0.459190,0.506910,0.574597,0.673001", \ - "0.397790,0.424963,0.446729,0.479325,0.527125,0.595213,0.693158", \ - "0.413575,0.440832,0.462458,0.495738,0.543382,0.610568,0.709544"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.212795,0.235419,0.255860,0.291975,0.358674,0.487297,0.742075", \ - "0.217982,0.240619,0.261060,0.297182,0.363898,0.492509,0.747294", \ - "0.235812,0.258435,0.278879,0.315001,0.381708,0.510307,0.765087", \ - "0.260382,0.283003,0.303397,0.339484,0.406204,0.534841,0.789624", \ - "0.284669,0.307271,0.327490,0.363704,0.430442,0.559115,0.813729", \ - "0.306459,0.329084,0.349547,0.385979,0.452760,0.581368,0.835721", \ - "0.325089,0.347726,0.368384,0.404416,0.471175,0.599777,0.854557"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.055006,0.063486,0.070989,0.082979,0.101837,0.129394,0.181285", \ - "0.055008,0.063487,0.070991,0.082982,0.101839,0.129386,0.181285", \ - "0.055020,0.063503,0.070996,0.082988,0.101846,0.129393,0.181289", \ - "0.055054,0.063517,0.071018,0.083008,0.101862,0.129395,0.181294", \ - "0.055061,0.063532,0.071020,0.083006,0.101847,0.129388,0.181284", \ - "0.055108,0.063598,0.071099,0.083093,0.101927,0.129427,0.181299", \ - "0.055191,0.063650,0.071159,0.083137,0.101968,0.129463,0.181331"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.024094,0.037103,0.051054,0.079317,0.137411,0.256918,0.499702", \ - "0.024099,0.037103,0.051052,0.079314,0.137413,0.256919,0.499700", \ - "0.024095,0.037098,0.051050,0.079315,0.137412,0.256917,0.499701", \ - "0.024082,0.037093,0.051040,0.079301,0.137409,0.256915,0.499700", \ - "0.024077,0.037091,0.051044,0.079304,0.137407,0.256912,0.499701", \ - "0.024087,0.037095,0.051044,0.079295,0.137405,0.256918,0.499701", \ - "0.024109,0.037111,0.051057,0.079308,0.137409,0.256917,0.499703"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.311993,0.340301,0.365057,0.406791,0.478663,0.609518,0.863868", \ - "0.316499,0.344822,0.369578,0.411314,0.483197,0.614063,0.868407", \ - "0.334376,0.362688,0.387455,0.429178,0.501041,0.631908,0.886258", \ - "0.371453,0.399771,0.424484,0.466169,0.538013,0.668852,0.923181", \ - "0.425604,0.453470,0.477661,0.518726,0.589981,0.720277,0.974374", \ - "0.488121,0.515298,0.538896,0.578930,0.648953,0.778332,1.031970", \ - "0.555675,0.582620,0.605736,0.644643,0.713306,0.841442,1.094221"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.040278,0.055171,0.069732,0.097110,0.151672,0.266056,0.505531", \ - "0.040293,0.055179,0.069731,0.097104,0.151676,0.266089,0.505527", \ - "0.040296,0.055175,0.069729,0.097106,0.151673,0.266071,0.505529", \ - "0.040291,0.055176,0.069733,0.097112,0.151678,0.266049,0.505391", \ - "0.040308,0.055175,0.069739,0.097116,0.151683,0.266053,0.505375", \ - "0.040333,0.055231,0.069782,0.097154,0.151713,0.266086,0.505368", \ - "0.040475,0.055353,0.069831,0.097215,0.151816,0.266125,0.505426"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.311992,0.340301,0.365056,0.406790,0.478669,0.609518,0.863868", \ - "0.316507,0.344822,0.369578,0.411316,0.483198,0.614063,0.868407", \ - "0.334376,0.362688,0.387455,0.429178,0.501042,0.631909,0.886258", \ - "0.371452,0.399771,0.424483,0.466169,0.538014,0.668850,0.923181", \ - "0.425603,0.453470,0.477660,0.518727,0.589981,0.720276,0.974374", \ - "0.488112,0.515301,0.538896,0.578930,0.648954,0.778332,1.031970", \ - "0.555675,0.582620,0.605737,0.644643,0.713307,0.841442,1.094221"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.040279,0.055171,0.069732,0.097104,0.151664,0.266052,0.505530", \ - "0.040284,0.055179,0.069731,0.097104,0.151676,0.266089,0.505527", \ - "0.040296,0.055175,0.069729,0.097106,0.151673,0.266072,0.505529", \ - "0.040291,0.055176,0.069733,0.097112,0.151678,0.266049,0.505391", \ - "0.040308,0.055175,0.069739,0.097116,0.151683,0.266053,0.505375", \ - "0.040336,0.055234,0.069782,0.097154,0.151713,0.266086,0.505368", \ - "0.040475,0.055353,0.069831,0.097215,0.151816,0.266125,0.505426"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.311992,0.340301,0.365055,0.406791,0.478663,0.609518,0.863867", \ - "0.316498,0.344822,0.369576,0.411315,0.483197,0.614062,0.868406", \ - "0.334375,0.362687,0.387454,0.429178,0.501040,0.631908,0.886257", \ - "0.371452,0.399770,0.424483,0.466169,0.538013,0.668849,0.923180", \ - "0.425603,0.453469,0.477660,0.518726,0.589980,0.720275,0.974373", \ - "0.488112,0.515297,0.538896,0.578930,0.648953,0.778331,1.031969", \ - "0.555675,0.582620,0.605736,0.644643,0.713306,0.841442,1.094220"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.040279,0.055171,0.069732,0.097103,0.151672,0.266056,0.505531", \ - "0.040294,0.055179,0.069731,0.097104,0.151676,0.266089,0.505527", \ - "0.040296,0.055175,0.069729,0.097106,0.151673,0.266071,0.505529", \ - "0.040291,0.055176,0.069733,0.097112,0.151678,0.266049,0.505391", \ - "0.040308,0.055175,0.069738,0.097116,0.151683,0.266053,0.505375", \ - "0.040336,0.055231,0.069782,0.097154,0.151713,0.266086,0.505368", \ - "0.040475,0.055353,0.069831,0.097216,0.151816,0.266125,0.505426"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.311950,0.340264,0.365026,0.406770,0.478652,0.609526,0.863892", \ - "0.316468,0.344791,0.369550,0.411295,0.483193,0.614053,0.868421", \ - "0.334331,0.362651,0.387423,0.429162,0.501038,0.631918,0.886280", \ - "0.371330,0.399673,0.424438,0.466124,0.537984,0.668836,0.923172", \ - "0.425408,0.453331,0.477549,0.518595,0.589841,0.720180,0.974207", \ - "0.487932,0.515132,0.538731,0.578720,0.648727,0.778253,1.031912", \ - "0.555193,0.582452,0.605552,0.644385,0.713055,0.841201,1.093988"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.040295,0.055178,0.069743,0.097123,0.151706,0.266101,0.505584", \ - "0.040286,0.055182,0.069740,0.097123,0.151693,0.266097,0.505582", \ - "0.040303,0.055182,0.069739,0.097122,0.151705,0.266097,0.505574", \ - "0.040295,0.055183,0.069740,0.097128,0.151708,0.266090,0.505441", \ - "0.040310,0.055186,0.069747,0.097131,0.151707,0.266135,0.505422", \ - "0.040335,0.055237,0.069790,0.097167,0.151733,0.266122,0.505598", \ - "0.040490,0.055358,0.069840,0.097230,0.151835,0.266154,0.505474"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.311950,0.340264,0.365026,0.406770,0.478652,0.609526,0.863892", \ - "0.316468,0.344791,0.369550,0.411295,0.483193,0.614053,0.868422", \ - "0.334331,0.362651,0.387423,0.429162,0.501037,0.631918,0.886280", \ - "0.371330,0.399679,0.424438,0.466124,0.537984,0.668836,0.923172", \ - "0.425408,0.453331,0.477550,0.518595,0.589841,0.720180,0.974207", \ - "0.487940,0.515132,0.538731,0.578720,0.648728,0.778253,1.031912", \ - "0.555193,0.582452,0.605552,0.644385,0.713057,0.841201,1.093989"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.040295,0.055178,0.069743,0.097122,0.151706,0.266101,0.505584", \ - "0.040286,0.055182,0.069740,0.097123,0.151693,0.266097,0.505582", \ - "0.040303,0.055182,0.069739,0.097122,0.151705,0.266097,0.505574", \ - "0.040295,0.055182,0.069740,0.097128,0.151708,0.266090,0.505441", \ - "0.040310,0.055186,0.069747,0.097131,0.151707,0.266135,0.505422", \ - "0.040334,0.055237,0.069790,0.097167,0.151733,0.266122,0.505598", \ - "0.040490,0.055358,0.069840,0.097230,0.151837,0.266154,0.505474"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.311950,0.340264,0.365026,0.406770,0.478652,0.609526,0.863892", \ - "0.316456,0.344791,0.369550,0.411295,0.483193,0.614053,0.868421", \ - "0.334331,0.362651,0.387423,0.429162,0.501037,0.631918,0.886280", \ - "0.371330,0.399673,0.424438,0.466124,0.537984,0.668836,0.923172", \ - "0.425408,0.453331,0.477550,0.518595,0.589841,0.720180,0.974207", \ - "0.487940,0.515132,0.538731,0.578720,0.648728,0.778253,1.031912", \ - "0.555193,0.582452,0.605552,0.644385,0.713057,0.841201,1.093988"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.040295,0.055178,0.069743,0.097123,0.151706,0.266100,0.505584", \ - "0.040300,0.055182,0.069740,0.097123,0.151693,0.266097,0.505582", \ - "0.040303,0.055182,0.069739,0.097122,0.151705,0.266097,0.505574", \ - "0.040295,0.055183,0.069740,0.097128,0.151708,0.266090,0.505441", \ - "0.040310,0.055186,0.069747,0.097131,0.151707,0.266135,0.505422", \ - "0.040334,0.055237,0.069794,0.097167,0.151733,0.266122,0.505598", \ - "0.040490,0.055358,0.069840,0.097230,0.151837,0.266154,0.505474"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.311991,0.340300,0.365055,0.406789,0.478664,0.609517,0.863868", \ - "0.316505,0.344821,0.369576,0.411314,0.483196,0.614062,0.868406", \ - "0.334375,0.362686,0.387453,0.429177,0.501043,0.631908,0.886257", \ - "0.371451,0.399769,0.424482,0.466168,0.538012,0.668849,0.923179", \ - "0.425602,0.453468,0.477659,0.518726,0.589979,0.720275,0.974373", \ - "0.488111,0.515299,0.538895,0.578929,0.648952,0.778331,1.031969", \ - "0.555674,0.582619,0.605735,0.644642,0.713306,0.841441,1.094220"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.040279,0.055171,0.069732,0.097103,0.151665,0.266052,0.505531", \ - "0.040284,0.055179,0.069730,0.097104,0.151676,0.266089,0.505527", \ - "0.040296,0.055175,0.069729,0.097106,0.151677,0.266071,0.505529", \ - "0.040291,0.055176,0.069733,0.097112,0.151678,0.266049,0.505391", \ - "0.040308,0.055175,0.069739,0.097116,0.151683,0.266053,0.505375", \ - "0.040336,0.055234,0.069782,0.097154,0.151713,0.266086,0.505368", \ - "0.040475,0.055353,0.069831,0.097216,0.151814,0.266125,0.505426"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.311950,0.340264,0.365026,0.406770,0.478652,0.609526,0.863892", \ - "0.316456,0.344791,0.369550,0.411295,0.483193,0.614053,0.868422", \ - "0.334331,0.362651,0.387423,0.429162,0.501037,0.631918,0.886280", \ - "0.371330,0.399674,0.424437,0.466124,0.537984,0.668836,0.923172", \ - "0.425408,0.453331,0.477549,0.518595,0.589841,0.720180,0.974207", \ - "0.487932,0.515132,0.538731,0.578720,0.648727,0.778253,1.031912", \ - "0.555193,0.582452,0.605552,0.644385,0.713057,0.841211,1.093989"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.040295,0.055178,0.069743,0.097122,0.151706,0.266101,0.505584", \ - "0.040300,0.055182,0.069740,0.097123,0.151693,0.266097,0.505582", \ - "0.040303,0.055182,0.069739,0.097122,0.151705,0.266097,0.505574", \ - "0.040295,0.055185,0.069740,0.097128,0.151708,0.266090,0.505441", \ - "0.040310,0.055186,0.069747,0.097131,0.151707,0.266135,0.505422", \ - "0.040335,0.055237,0.069794,0.097167,0.151733,0.266122,0.505598", \ - "0.040490,0.055358,0.069840,0.097230,0.151837,0.266179,0.505474"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.867412,0.892815,0.914542,0.951265,1.017503,1.145669,1.402000", \ - "0.870398,0.896881,0.917725,0.954689,1.020836,1.149689,1.406127", \ - "0.890161,0.915585,0.936442,0.973463,1.039470,1.168158,1.424843", \ - "0.923411,0.948906,0.969660,1.006661,1.072961,1.201589,1.458186", \ - "0.970743,0.995128,1.017842,1.053760,1.120684,1.248801,1.505439", \ - "1.033782,1.060286,1.081888,1.117965,1.184568,1.312953,1.569596", \ - "1.117948,1.143552,1.165454,1.202198,1.267966,1.396599,1.653432"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.031130,0.043225,0.055929,0.081956,0.137929,0.257132,0.500378", \ - "0.031130,0.043225,0.055946,0.081969,0.137950,0.257144,0.500529", \ - "0.031124,0.043226,0.055949,0.081989,0.137940,0.257192,0.500522", \ - "0.031119,0.043241,0.055931,0.081989,0.137938,0.257141,0.500380", \ - "0.031107,0.043253,0.055930,0.081965,0.137937,0.257141,0.500326", \ - "0.031143,0.043227,0.055929,0.081958,0.137927,0.257137,0.500529", \ - "0.031133,0.043250,0.055941,0.081974,0.137937,0.257186,0.500365"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.867412,0.892815,0.914542,0.951238,1.017504,1.145670,1.402059", \ - "0.870398,0.896881,0.917725,0.954688,1.020836,1.149688,1.406127", \ - "0.890161,0.915584,0.936441,0.973463,1.039470,1.168157,1.424843", \ - "0.923410,0.948906,0.969613,1.006661,1.073544,1.201588,1.458186", \ - "0.970743,0.995128,1.017842,1.053760,1.120684,1.248801,1.505439", \ - "1.033739,1.060286,1.081888,1.117965,1.184568,1.312952,1.569596", \ - "1.117948,1.143551,1.165301,1.202198,1.267966,1.396599,1.653432"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.031130,0.043225,0.055929,0.081952,0.137930,0.257132,0.500425", \ - "0.031130,0.043225,0.055946,0.081969,0.137950,0.257144,0.500529", \ - "0.031124,0.043226,0.055949,0.081989,0.137940,0.257192,0.500522", \ - "0.031119,0.043241,0.055936,0.081989,0.137949,0.257141,0.500380", \ - "0.031107,0.043253,0.055930,0.081965,0.137937,0.257141,0.500326", \ - "0.031128,0.043227,0.055929,0.081958,0.137927,0.257137,0.500529", \ - "0.031133,0.043250,0.055944,0.081974,0.137937,0.257186,0.500365"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.867412,0.892815,0.914542,0.951266,1.017504,1.145657,1.402059", \ - "0.871469,0.896881,0.917724,0.954687,1.020835,1.149688,1.406127", \ - "0.890160,0.915584,0.936441,0.973463,1.039469,1.168157,1.424843", \ - "0.923410,0.948906,0.969660,1.006661,1.073543,1.201588,1.458185", \ - "0.970742,0.995127,1.017841,1.053760,1.120683,1.248801,1.505439", \ - "1.033781,1.060236,1.081887,1.117965,1.184567,1.312952,1.569596", \ - "1.117948,1.143551,1.165300,1.202198,1.267966,1.396599,1.653432"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.031130,0.043225,0.055929,0.081957,0.137930,0.257143,0.500425", \ - "0.031129,0.043225,0.055946,0.081968,0.137950,0.257144,0.500529", \ - "0.031124,0.043226,0.055949,0.081989,0.137940,0.257192,0.500522", \ - "0.031119,0.043241,0.055931,0.081989,0.137949,0.257141,0.500380", \ - "0.031107,0.043253,0.055930,0.081965,0.137937,0.257141,0.500326", \ - "0.031143,0.043224,0.055929,0.081958,0.137927,0.257137,0.500529", \ - "0.031133,0.043250,0.055944,0.081974,0.137937,0.257186,0.500365"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.867826,0.892184,0.914925,0.951578,1.017086,1.145815,1.402074", \ - "0.871884,0.897277,0.918114,0.955027,1.021088,1.149828,1.406119", \ - "0.890540,0.915974,0.936787,0.973768,1.040496,1.168278,1.424806", \ - "0.923748,0.949226,0.969906,1.006922,1.073154,1.201678,1.458138", \ - "0.971006,0.995249,1.018074,1.053957,1.120822,1.248830,1.505357", \ - "1.033955,1.060448,1.082036,1.118084,1.184636,1.313080,1.569497", \ - "1.118023,1.143617,1.165361,1.202229,1.267956,1.396520,1.653325"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.031128,0.043252,0.055937,0.081956,0.137952,0.257134,0.500532", \ - "0.031136,0.043234,0.055955,0.081983,0.137954,0.257142,0.500529", \ - "0.031134,0.043241,0.055956,0.081992,0.137947,0.257194,0.500431", \ - "0.031126,0.043246,0.055942,0.081993,0.137941,0.257142,0.500384", \ - "0.031113,0.043252,0.055937,0.081967,0.137939,0.257141,0.500330", \ - "0.031149,0.043232,0.055933,0.081962,0.137929,0.257144,0.500551", \ - "0.031137,0.043254,0.055947,0.081976,0.137938,0.257187,0.500495"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.867842,0.892199,0.914940,0.951593,1.017097,1.145809,1.402071", \ - "0.871901,0.897292,0.918129,0.955038,1.021099,1.149835,1.406124", \ - "0.890555,0.915991,0.936801,0.973780,1.040507,1.168285,1.424809", \ - "0.923762,0.949240,0.969965,1.006934,1.073163,1.201685,1.458143", \ - "0.971018,0.995260,1.018086,1.053968,1.120831,1.248836,1.505361", \ - "1.033921,1.060408,1.082045,1.118093,1.184644,1.313085,1.569500", \ - "1.118031,1.143625,1.165369,1.202236,1.267962,1.396525,1.653328"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.031129,0.043252,0.055938,0.081956,0.137952,0.257144,0.500509", \ - "0.031137,0.043234,0.055956,0.081982,0.137954,0.257142,0.500529", \ - "0.031134,0.043242,0.055956,0.081992,0.137947,0.257194,0.500431", \ - "0.031126,0.043247,0.055940,0.081993,0.137941,0.257142,0.500384", \ - "0.031113,0.043253,0.055937,0.081967,0.137939,0.257141,0.500330", \ - "0.031135,0.043230,0.055934,0.081962,0.137929,0.257144,0.500551", \ - "0.031137,0.043254,0.055947,0.081976,0.137938,0.257187,0.500495"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.867841,0.892199,0.914939,0.951620,1.017775,1.145812,1.402075", \ - "0.871900,0.897291,0.918128,0.955038,1.021099,1.149835,1.406124", \ - "0.890555,0.915991,0.936800,0.973780,1.040507,1.168285,1.424810", \ - "0.923761,0.949239,0.969964,1.006933,1.073163,1.201684,1.458142", \ - "0.971018,0.995260,1.018085,1.053967,1.120831,1.248836,1.505362", \ - "1.033920,1.060457,1.082045,1.118092,1.184644,1.313085,1.569500", \ - "1.118031,1.143624,1.165516,1.202235,1.267962,1.396525,1.653329"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.031129,0.043252,0.055938,0.081968,0.137932,0.257141,0.500532", \ - "0.031137,0.043234,0.055956,0.081982,0.137954,0.257142,0.500529", \ - "0.031134,0.043242,0.055956,0.081992,0.137947,0.257194,0.500431", \ - "0.031126,0.043247,0.055940,0.081993,0.137941,0.257142,0.500384", \ - "0.031113,0.043253,0.055937,0.081967,0.137939,0.257141,0.500330", \ - "0.031135,0.043232,0.055934,0.081962,0.137929,0.257144,0.500551", \ - "0.031137,0.043254,0.055944,0.081976,0.137938,0.257187,0.500495"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.867412,0.892815,0.914541,0.951263,1.016819,1.145670,1.402062", \ - "0.871469,0.896881,0.917724,0.954688,1.020835,1.149688,1.406127", \ - "0.890160,0.915584,0.936441,0.973463,1.039469,1.168157,1.424843", \ - "0.923410,0.948906,0.969660,1.006661,1.073543,1.201588,1.458185", \ - "0.970742,0.995127,1.017842,1.053760,1.120683,1.248801,1.505439", \ - "1.033738,1.060236,1.081888,1.117965,1.184567,1.312952,1.569595", \ - "1.117948,1.143551,1.165454,1.202198,1.267966,1.396599,1.653432"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.031130,0.043225,0.055929,0.081954,0.137951,0.257132,0.500425", \ - "0.031129,0.043225,0.055946,0.081969,0.137950,0.257144,0.500529", \ - "0.031124,0.043226,0.055949,0.081989,0.137940,0.257192,0.500522", \ - "0.031119,0.043241,0.055931,0.081989,0.137949,0.257141,0.500380", \ - "0.031107,0.043253,0.055930,0.081965,0.137937,0.257141,0.500326", \ - "0.031128,0.043224,0.055929,0.081958,0.137927,0.257137,0.500529", \ - "0.031133,0.043250,0.055941,0.081974,0.137937,0.257186,0.500365"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.867820,0.892178,0.914919,0.951574,1.017077,1.145811,1.402066", \ - "0.871878,0.897271,0.918108,0.955022,1.021084,1.149825,1.406119", \ - "0.890535,0.915969,0.936782,0.973762,1.040493,1.168275,1.424804", \ - "0.923742,0.949221,0.969948,1.006918,1.073150,1.201675,1.458137", \ - "0.971001,0.995244,1.018070,1.053954,1.120819,1.248828,1.505357", \ - "1.033907,1.060444,1.082032,1.118081,1.184633,1.313078,1.569497", \ - "1.118020,1.143614,1.165351,1.202226,1.267954,1.396519,1.653325"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.031128,0.043252,0.055937,0.081956,0.137953,0.257134,0.500509", \ - "0.031136,0.043234,0.055955,0.081983,0.137954,0.257142,0.500529", \ - "0.031134,0.043241,0.055956,0.081992,0.137947,0.257194,0.500431", \ - "0.031126,0.043246,0.055939,0.081993,0.137941,0.257142,0.500384", \ - "0.031113,0.043252,0.055937,0.081967,0.137939,0.257141,0.500330", \ - "0.031135,0.043232,0.055933,0.081962,0.137928,0.257144,0.500551", \ - "0.031137,0.043254,0.055948,0.081976,0.137938,0.257187,0.500495"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.560558,5.545191,5.516010,5.493688,5.601542,5.673952,5.682395", \ - "5.559709,5.542571,5.509020,5.511696,5.582749,5.660671,5.666573", \ - "5.535284,5.519494,5.493092,5.468129,5.579392,5.630934,5.651794", \ - "5.535016,5.511546,5.486233,5.493060,5.570852,5.640617,5.600290", \ - "5.557591,5.540688,5.512757,5.520933,5.590999,5.665212,5.668921", \ - "5.610587,5.601717,5.571329,5.565199,5.647004,5.723258,5.728898", \ - "5.728304,5.713305,5.680929,5.672454,5.752255,5.836640,5.838581"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.127795,5.267822,5.354750,5.672003,5.809383,5.884214,5.921910", \ - "5.121840,5.260671,5.350036,5.677581,5.822896,5.897816,5.924958", \ - "5.104172,5.243198,5.330081,5.655219,5.799377,5.873899,5.907337", \ - "5.096115,5.241211,5.329928,5.647907,5.813050,5.878629,5.909694", \ - "5.125693,5.267485,5.354384,5.671828,5.848001,5.907881,5.893935", \ - "5.195006,5.329792,5.421071,5.747368,5.912315,5.932629,6.000068", \ - "5.311959,5.452275,5.541269,5.865247,5.987501,6.062242,6.090037"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.491843,4.592803,4.674651,4.761959,4.813662,4.861985,4.853463", \ - "4.462544,4.572968,4.656176,4.745269,4.795484,4.776620,4.836826", \ - "4.428928,4.533958,4.617727,4.708626,4.746252,4.796344,4.790181", \ - "4.454916,4.541159,4.612776,4.693789,4.722908,4.778137,4.779706", \ - "4.582399,4.629244,4.692899,4.756104,4.792994,4.855616,4.861728", \ - "4.800500,4.809275,4.861924,4.898227,4.924498,4.994805,5.007449", \ - "5.095445,5.089392,5.103564,5.134027,5.191038,5.206219,5.227153"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.491667,4.592608,4.674452,4.738157,4.813480,4.861931,4.853162", \ - "4.462295,4.572777,4.655975,4.745039,4.795227,4.776345,4.836529", \ - "4.428752,4.533772,4.617530,4.708415,4.746010,4.796052,4.789875", \ - "4.454744,4.540978,4.612587,4.693567,4.722670,4.777882,4.779399", \ - "4.582236,4.629069,4.692722,4.755910,4.792760,4.855374,4.861444", \ - "4.800332,4.809130,4.861755,4.898044,4.924291,4.994558,5.007168", \ - "5.095336,5.089273,5.103430,5.133879,5.190876,5.206007,5.226897"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.492250,4.593238,4.675106,4.738845,4.814159,4.862502,4.853933", \ - "4.462949,4.573395,4.656633,4.745735,4.795989,4.777126,4.837269", \ - "4.429323,4.534379,4.618168,4.709074,4.746730,4.796846,4.790637", \ - "4.455296,4.541561,4.613191,4.694229,4.723365,4.778638,4.780139", \ - "4.582739,4.629602,4.693275,4.756485,4.793433,4.856047,4.862105", \ - "4.800742,4.809569,4.862224,4.898552,4.924844,4.995177,5.007790", \ - "5.095617,5.089583,5.103765,5.134258,5.191284,5.206509,5.227420"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.420021,4.528862,4.610385,4.673917,4.756961,4.796678,4.793070", \ - "4.399943,4.510281,4.593266,4.682136,4.731929,4.713882,4.772453", \ - "4.367079,4.472097,4.555697,4.646113,4.683364,4.733975,4.726911", \ - "4.392646,4.479388,4.551059,4.635825,4.659009,4.714708,4.715823", \ - "4.522288,4.569782,4.632138,4.695213,4.730658,4.792263,4.799480", \ - "4.742059,4.751245,4.803386,4.838619,4.864797,4.934207,4.942758", \ - "5.035574,5.021020,5.045330,5.075099,5.131783,5.146674,5.167336"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.420169,4.529018,4.610571,4.674109,4.758381,4.796901,4.793297", \ - "4.400091,4.510451,4.593439,4.682323,4.732138,4.714119,4.772719", \ - "4.367228,4.472263,4.555875,4.646329,4.683602,4.734200,4.727137", \ - "4.392790,4.479126,4.551238,4.636025,4.659227,4.714918,4.716044", \ - "4.522421,4.569928,4.632296,4.695414,4.730825,4.792491,4.799721", \ - "4.742204,4.751361,4.803517,4.838754,4.864974,4.934395,4.942961", \ - "5.035667,5.021111,5.045437,5.075209,5.131985,5.146837,5.167502"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.420815,4.529707,4.611315,4.674907,4.759114,4.797876,4.794366", \ - "4.400840,4.511146,4.594172,4.683116,4.733003,4.715099,4.773803", \ - "4.367862,4.472949,4.556602,4.647129,4.684474,4.735161,4.728207", \ - "4.393408,4.480215,4.551948,4.636791,4.660071,4.715860,4.717082", \ - "4.523000,4.570552,4.632956,4.696131,4.731609,4.793394,4.800730", \ - "4.742707,4.751899,4.804041,4.839383,4.865694,4.935219,4.943908", \ - "5.036054,5.021532,5.045891,5.075726,5.132598,5.147559,5.168404"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.491688,4.592634,4.674472,4.738211,4.813434,4.861945,4.853001", \ - "4.462317,4.572795,4.656003,4.745061,4.795243,4.776305,4.836390", \ - "4.428771,4.533791,4.617548,4.708420,4.746047,4.796029,4.789751", \ - "4.454762,4.540993,4.612596,4.693671,4.722656,4.777844,4.779262", \ - "4.582244,4.629076,4.692721,4.755894,4.792755,4.855304,4.861278", \ - "4.800326,4.809125,4.861746,4.898023,4.924250,4.994482,5.006992", \ - "5.095304,5.089240,5.103394,5.133835,5.190832,5.205904,5.226697"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.420675,4.529562,4.611137,4.674724,4.758930,4.797646,4.789535", \ - "4.400698,4.510986,4.594003,4.682935,4.732811,4.714853,4.773510", \ - "4.367716,4.472790,4.556431,4.646916,4.684246,4.734927,4.727945", \ - "4.393269,4.479849,4.551776,4.636604,4.659850,4.715635,4.716846", \ - "4.522868,4.570406,4.632799,4.695944,4.731437,4.793153,4.800471", \ - "4.742557,4.751779,4.803908,4.839240,4.865508,4.935026,4.943694", \ - "5.035951,5.021433,5.045780,5.075600,5.132416,5.146173,5.168168"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.766190,5.958026,6.081659,6.170656,6.254856,6.341838,6.393559", \ - "5.734368,5.931657,6.042775,6.140638,6.180450,6.311734,6.313945", \ - "5.696927,5.883175,6.003669,6.092362,6.188966,6.269685,6.317446", \ - "5.730230,5.900738,5.996814,6.110297,6.143275,6.231165,6.282442", \ - "5.856368,5.992958,6.070724,6.159139,6.273983,6.299908,6.422993", \ - "6.066030,6.171031,6.255849,6.300158,6.410718,6.498525,6.560868", \ - "6.386995,6.444144,6.502350,6.549428,6.627647,6.704205,6.756417"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.765831,5.957662,6.081301,6.170496,6.254419,6.341507,6.391262", \ - "5.734011,5.931294,6.042209,6.140278,6.180078,6.311360,6.313579", \ - "5.696576,5.882827,6.003316,6.092012,6.188617,6.269321,6.317079", \ - "5.729897,5.900407,5.997045,6.109957,6.205024,6.230806,6.282075", \ - "5.856059,5.992647,6.070406,6.158826,6.273652,6.299576,6.422657", \ - "6.065974,6.170754,6.255566,6.299863,6.410428,6.498211,6.560536", \ - "6.386766,6.443907,6.503855,6.549175,6.627397,6.703918,6.756107"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.766985,5.958825,6.082443,6.171390,6.255501,6.342484,6.392140", \ - "5.740112,5.932440,6.042583,6.141410,6.181198,6.312344,6.314465", \ - "5.697690,5.883937,6.004424,6.093089,6.189664,6.270332,6.317965", \ - "5.730948,5.901448,5.997521,6.110978,6.206013,6.231791,6.282942", \ - "5.857007,5.993597,6.071362,6.159748,6.274595,6.300467,6.423419", \ - "6.066562,6.172009,6.256384,6.300687,6.411222,6.499012,6.561260", \ - "6.387386,6.444546,6.504496,6.549834,6.628035,6.704594,6.756735"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.764901,5.952760,6.078945,6.168785,6.260644,6.343587,6.397863", \ - "5.736795,5.928758,6.054090,6.138603,6.248601,6.320395,6.318728", \ - "5.706224,5.893687,6.001439,6.090776,6.179890,6.271736,6.330527", \ - "5.728834,5.898918,5.995858,6.109423,6.212394,6.233718,6.287688", \ - "5.855741,5.992407,6.095655,6.159141,6.275221,6.303462,6.428827", \ - "6.066473,6.184472,6.256461,6.301328,6.412968,6.500495,6.494136", \ - "6.388674,6.445892,6.506029,6.551904,6.631073,6.709355,6.764750"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.765057,5.952871,6.079072,6.168951,6.260841,6.353322,6.401049", \ - "5.736944,5.928882,6.050360,6.138782,6.248772,6.320992,6.318993", \ - "5.706370,5.893802,6.001568,6.090947,6.180096,6.271954,6.330766", \ - "5.728970,5.899037,5.995320,6.109586,6.212607,6.233905,6.287935", \ - "5.855869,5.992524,6.095795,6.159298,6.275375,6.303672,6.429095", \ - "6.066828,6.185002,6.256566,6.301438,6.413146,6.500684,6.494321", \ - "6.388775,6.445976,6.506132,6.552019,6.631241,6.709523,6.764949"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.766485,5.954170,6.080381,6.170037,6.255769,6.348243,6.399329", \ - "5.738220,5.930174,6.051621,6.140063,6.250041,6.322213,6.320253", \ - "5.707462,5.895075,6.002837,6.092228,6.181373,6.273211,6.332004", \ - "5.730158,5.900235,5.996530,6.110810,6.213842,6.235102,6.289146", \ - "5.856958,5.993633,6.096926,6.160444,6.276522,6.304834,6.430279", \ - "6.067794,6.185556,6.257565,6.302452,6.414202,6.501760,6.495437", \ - "6.389584,6.446804,6.505275,6.552886,6.632167,6.710496,6.765996"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.765876,5.957704,6.081329,6.170312,6.260941,6.341433,6.391082", \ - "5.739011,5.931335,6.041341,6.140304,6.180097,6.311261,6.313403", \ - "5.696616,5.882858,6.003340,6.092015,6.188600,6.269265,6.316903", \ - "5.729924,5.900427,5.996493,6.109956,6.204991,6.230743,6.281894", \ - "5.856072,5.992655,6.070405,6.158801,6.273620,6.299481,6.422433", \ - "6.065960,6.171173,6.255540,6.299829,6.410352,6.498095,6.560309", \ - "6.386713,6.443852,6.502044,6.549100,6.627281,6.703771,6.755848"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.766038,5.954115,6.080316,6.170139,6.262061,6.344846,6.400668", \ - "5.738143,5.930113,6.054798,6.139945,6.249901,6.321415,6.319977", \ - "5.707385,5.894944,6.002758,6.092077,6.181188,6.272978,6.331746", \ - "5.730070,5.900178,5.996430,6.110674,6.213644,6.234912,6.288899", \ - "5.856870,5.993549,6.096824,6.160296,6.276377,6.304624,6.429999", \ - "6.067699,6.185479,6.257489,6.302346,6.414008,6.501571,6.495247", \ - "6.389494,6.446732,6.506935,6.552777,6.631998,6.710327,6.765787"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.303191,0.312504,0.319948,0.332607,0.354499,0.393217,0.465032", \ - "0.308375,0.317708,0.325148,0.337802,0.359726,0.398432,0.470257", \ - "0.326201,0.335519,0.342959,0.355631,0.377537,0.416233,0.488052", \ - "0.350762,0.360081,0.367479,0.380099,0.402032,0.440765,0.512587", \ - "0.375037,0.384347,0.391569,0.404333,0.426277,0.465040,0.536698", \ - "0.396837,0.406151,0.413610,0.426585,0.448562,0.487254,0.558644", \ - "0.415473,0.424799,0.432467,0.445040,0.467003,0.505703,0.577516"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.473912,0.487457,0.497188,0.517720,0.570395,0.690496,0.941286", \ - "0.479199,0.492761,0.502530,0.522987,0.575650,0.695838,0.946552", \ - "0.497302,0.510821,0.520635,0.541140,0.593773,0.713958,0.964715", \ - "0.521196,0.534711,0.544449,0.564941,0.617644,0.737747,0.988550", \ - "0.544424,0.557933,0.567474,0.587639,0.640430,0.760615,1.011484", \ - "0.564230,0.577746,0.587534,0.607813,0.660778,0.781366,1.031700", \ - "0.580077,0.593725,0.603296,0.624270,0.676937,0.797495,1.047977"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.016507,0.021032,0.024958,0.032066,0.045971,0.074355,0.133986", \ - "0.016508,0.021035,0.024952,0.032066,0.045961,0.074355,0.134002", \ - "0.016507,0.021033,0.024946,0.032065,0.045965,0.074355,0.134001", \ - "0.016502,0.021030,0.024948,0.032064,0.045973,0.074350,0.133989", \ - "0.016503,0.021032,0.024951,0.032075,0.045972,0.074358,0.134009", \ - "0.016502,0.021026,0.024941,0.032043,0.045965,0.074366,0.134010", \ - "0.016504,0.021031,0.024954,0.032064,0.045958,0.074342,0.133944"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022256,0.034487,0.047866,0.074963,0.133609,0.255087,0.499063", \ - "0.022259,0.034487,0.047866,0.074965,0.133608,0.255083,0.499063", \ - "0.022257,0.034488,0.047866,0.074965,0.133607,0.255082,0.499060", \ - "0.022255,0.034489,0.047868,0.074966,0.133609,0.255085,0.499063", \ - "0.022256,0.034489,0.047865,0.074961,0.133603,0.255088,0.499062", \ - "0.022254,0.034492,0.047867,0.074955,0.133602,0.255088,0.499061", \ - "0.022268,0.034498,0.047870,0.074966,0.133605,0.255089,0.499062"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077435,0.088834,0.097889,0.112160,0.135258,0.174853,0.247707", \ - "0.081946,0.093349,0.102404,0.116683,0.139791,0.179397,0.252254", \ - "0.099818,0.111171,0.120224,0.134516,0.157631,0.197263,0.270125", \ - "0.136530,0.147919,0.156989,0.171348,0.194557,0.234247,0.307127", \ - "0.182613,0.196204,0.206560,0.222345,0.246802,0.287051,0.360039", \ - "0.231351,0.247568,0.259728,0.277658,0.304368,0.346838,0.421285", \ - "0.283094,0.301865,0.316035,0.336500,0.366058,0.411251,0.487358"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014049,0.018411,0.022577,0.030246,0.044843,0.074108,0.134415", \ - "0.014046,0.018412,0.022582,0.030233,0.044847,0.074104,0.134408", \ - "0.014064,0.018446,0.022614,0.030251,0.044851,0.074108,0.134414", \ - "0.014927,0.018986,0.023014,0.030529,0.045014,0.074171,0.134422", \ - "0.020221,0.023898,0.027433,0.034182,0.047537,0.075391,0.134724", \ - "0.026477,0.030326,0.033723,0.040037,0.052741,0.079526,0.136619", \ - "0.033319,0.037649,0.041174,0.047307,0.059317,0.084706,0.139524"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077435,0.088834,0.097889,0.112159,0.135260,0.174853,0.247708", \ - "0.081946,0.093349,0.102404,0.116683,0.139791,0.179397,0.252254", \ - "0.099818,0.111171,0.120224,0.134516,0.157631,0.197263,0.270125", \ - "0.136530,0.147919,0.156989,0.171348,0.194557,0.234247,0.307127", \ - "0.182613,0.196204,0.206559,0.222345,0.246802,0.287050,0.360039", \ - "0.231351,0.247568,0.259728,0.277658,0.304368,0.346838,0.421285", \ - "0.283094,0.301865,0.316035,0.336500,0.366058,0.411251,0.487358"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014049,0.018411,0.022577,0.030249,0.044839,0.074109,0.134415", \ - "0.014047,0.018412,0.022582,0.030233,0.044847,0.074104,0.134408", \ - "0.014064,0.018446,0.022614,0.030251,0.044851,0.074108,0.134414", \ - "0.014927,0.018986,0.023014,0.030529,0.045014,0.074171,0.134422", \ - "0.020221,0.023898,0.027433,0.034182,0.047537,0.075391,0.134724", \ - "0.026477,0.030326,0.033723,0.040037,0.052741,0.079526,0.136619", \ - "0.033319,0.037649,0.041174,0.047307,0.059317,0.084706,0.139524"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077435,0.088834,0.097889,0.112162,0.135258,0.174853,0.247707", \ - "0.081946,0.093349,0.102404,0.116683,0.139791,0.179397,0.252254", \ - "0.099818,0.111171,0.120224,0.134516,0.157631,0.197263,0.270125", \ - "0.136530,0.147919,0.156989,0.171348,0.194557,0.234247,0.307127", \ - "0.182613,0.196204,0.206559,0.222345,0.246801,0.287050,0.360039", \ - "0.231351,0.247568,0.259728,0.277658,0.304368,0.346838,0.421285", \ - "0.283094,0.301865,0.316035,0.336500,0.366057,0.411251,0.487358"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014049,0.018411,0.022576,0.030240,0.044842,0.074108,0.134415", \ - "0.014046,0.018412,0.022582,0.030233,0.044847,0.074104,0.134408", \ - "0.014064,0.018446,0.022614,0.030251,0.044851,0.074108,0.134413", \ - "0.014927,0.018986,0.023014,0.030529,0.045014,0.074171,0.134422", \ - "0.020221,0.023898,0.027433,0.034182,0.047537,0.075391,0.134724", \ - "0.026477,0.030326,0.033723,0.040037,0.052741,0.079526,0.136619", \ - "0.033319,0.037649,0.041174,0.047307,0.059317,0.084706,0.139524"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077435,0.088833,0.097888,0.112160,0.135256,0.174852,0.247707", \ - "0.081946,0.093351,0.102404,0.116684,0.139790,0.179384,0.252239", \ - "0.099813,0.111171,0.120224,0.134520,0.157636,0.197268,0.270126", \ - "0.136450,0.147868,0.156984,0.171337,0.194551,0.234239,0.307109", \ - "0.182468,0.196134,0.206511,0.222272,0.246709,0.286981,0.359917", \ - "0.231247,0.247486,0.259650,0.277521,0.304210,0.346825,0.421278", \ - "0.282579,0.301809,0.315957,0.336318,0.365904,0.411111,0.487217"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014049,0.018411,0.022575,0.030242,0.044847,0.074112,0.134413", \ - "0.014046,0.018414,0.022578,0.030235,0.044851,0.074103,0.134408", \ - "0.014060,0.018446,0.022614,0.030251,0.044850,0.074114,0.134409", \ - "0.014938,0.018989,0.023017,0.030528,0.045014,0.074170,0.134421", \ - "0.020242,0.023903,0.027437,0.034190,0.047542,0.075390,0.134727", \ - "0.026494,0.030340,0.033731,0.040048,0.052756,0.079526,0.136619", \ - "0.033401,0.037656,0.041182,0.047330,0.059338,0.084718,0.139526"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077435,0.088833,0.097888,0.112160,0.135256,0.174847,0.247707", \ - "0.081946,0.093351,0.102404,0.116684,0.139790,0.179384,0.252239", \ - "0.099813,0.111171,0.120224,0.134520,0.157636,0.197268,0.270126", \ - "0.136450,0.147867,0.156984,0.171337,0.194551,0.234239,0.307109", \ - "0.182468,0.196134,0.206511,0.222272,0.246709,0.286981,0.359917", \ - "0.231247,0.247486,0.259650,0.277521,0.304210,0.346825,0.421278", \ - "0.282579,0.301809,0.315957,0.336318,0.365904,0.411111,0.487217"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014049,0.018411,0.022575,0.030242,0.044847,0.074108,0.134413", \ - "0.014046,0.018414,0.022578,0.030235,0.044851,0.074103,0.134408", \ - "0.014060,0.018446,0.022614,0.030251,0.044850,0.074114,0.134409", \ - "0.014938,0.018991,0.023017,0.030528,0.045014,0.074170,0.134421", \ - "0.020242,0.023903,0.027437,0.034190,0.047542,0.075390,0.134727", \ - "0.026494,0.030340,0.033731,0.040048,0.052756,0.079526,0.136619", \ - "0.033401,0.037656,0.041182,0.047330,0.059338,0.084718,0.139526"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077435,0.088833,0.097888,0.112160,0.135256,0.174852,0.247707", \ - "0.081946,0.093351,0.102404,0.116684,0.139790,0.179384,0.252239", \ - "0.099813,0.111171,0.120224,0.134520,0.157636,0.197268,0.270126", \ - "0.136450,0.147868,0.156984,0.171337,0.194551,0.234239,0.307109", \ - "0.182468,0.196134,0.206511,0.222272,0.246709,0.286981,0.359917", \ - "0.231247,0.247486,0.259651,0.277521,0.304210,0.346825,0.421278", \ - "0.282579,0.301809,0.315957,0.336318,0.365904,0.411111,0.487217"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014049,0.018411,0.022575,0.030242,0.044847,0.074112,0.134413", \ - "0.014047,0.018414,0.022578,0.030235,0.044851,0.074103,0.134408", \ - "0.014060,0.018446,0.022614,0.030251,0.044850,0.074114,0.134409", \ - "0.014938,0.018992,0.023017,0.030528,0.045014,0.074170,0.134421", \ - "0.020242,0.023903,0.027437,0.034190,0.047542,0.075390,0.134727", \ - "0.026494,0.030340,0.033731,0.040048,0.052756,0.079526,0.136619", \ - "0.033401,0.037656,0.041182,0.047330,0.059338,0.084718,0.139526"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077435,0.088834,0.097889,0.112162,0.135260,0.174853,0.247707", \ - "0.081946,0.093349,0.102404,0.116683,0.139791,0.179397,0.252254", \ - "0.099818,0.111171,0.120224,0.134516,0.157634,0.197263,0.270125", \ - "0.136530,0.147919,0.156989,0.171348,0.194557,0.234247,0.307127", \ - "0.182613,0.196204,0.206559,0.222345,0.246801,0.287050,0.360039", \ - "0.231350,0.247568,0.259728,0.277658,0.304367,0.346838,0.421285", \ - "0.283094,0.301865,0.316035,0.336500,0.366057,0.411250,0.487358"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014049,0.018411,0.022576,0.030241,0.044839,0.074109,0.134415", \ - "0.014046,0.018412,0.022582,0.030233,0.044847,0.074104,0.134408", \ - "0.014064,0.018446,0.022614,0.030251,0.044851,0.074108,0.134413", \ - "0.014927,0.018986,0.023014,0.030529,0.045014,0.074171,0.134422", \ - "0.020221,0.023898,0.027433,0.034182,0.047537,0.075391,0.134724", \ - "0.026477,0.030326,0.033723,0.040037,0.052741,0.079526,0.136619", \ - "0.033319,0.037649,0.041174,0.047307,0.059317,0.084706,0.139524"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077435,0.088833,0.097888,0.112160,0.135256,0.174852,0.247707", \ - "0.081946,0.093351,0.102404,0.116684,0.139790,0.179384,0.252239", \ - "0.099813,0.111171,0.120224,0.134520,0.157636,0.197268,0.270126", \ - "0.136450,0.147868,0.156984,0.171337,0.194551,0.234239,0.307109", \ - "0.182468,0.196134,0.206511,0.222272,0.246709,0.286981,0.359917", \ - "0.231247,0.247486,0.259650,0.277521,0.304210,0.346825,0.421278", \ - "0.282579,0.301809,0.315957,0.336318,0.365904,0.411111,0.487217"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.014049,0.018411,0.022575,0.030242,0.044847,0.074112,0.134413", \ - "0.014046,0.018414,0.022578,0.030235,0.044851,0.074103,0.134408", \ - "0.014060,0.018446,0.022614,0.030251,0.044850,0.074114,0.134409", \ - "0.014938,0.018992,0.023017,0.030528,0.045014,0.074170,0.134421", \ - "0.020242,0.023903,0.027437,0.034190,0.047542,0.075390,0.134727", \ - "0.026494,0.030340,0.033731,0.040048,0.052756,0.079526,0.136619", \ - "0.033401,0.037656,0.041182,0.047330,0.059338,0.084718,0.139526"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077378,0.088704,0.097695,0.111856,0.134723,0.173828,0.245813", \ - "0.081890,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ - "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ - "0.136427,0.147792,0.156804,0.171042,0.193998,0.233193,0.305208", \ - "0.182431,0.195973,0.206259,0.221919,0.246049,0.285890,0.357956", \ - "0.231268,0.247379,0.259342,0.277156,0.303555,0.345436,0.419002", \ - "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013965,0.018294,0.022410,0.029967,0.044333,0.073144,0.133185", \ - "0.013967,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ - "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ - "0.014854,0.018871,0.022843,0.030255,0.044493,0.073241,0.133204", \ - "0.020129,0.023756,0.027226,0.033855,0.047004,0.074448,0.133576", \ - "0.026339,0.030113,0.033443,0.039599,0.052017,0.078377,0.135573", \ - "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077378,0.088704,0.097695,0.111856,0.134724,0.173828,0.245813", \ - "0.081890,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ - "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ - "0.136427,0.147792,0.156804,0.171042,0.193998,0.233193,0.305208", \ - "0.182431,0.195973,0.206259,0.221919,0.246049,0.285890,0.357956", \ - "0.231268,0.247379,0.259342,0.277156,0.303555,0.345436,0.419002", \ - "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013965,0.018294,0.022410,0.029966,0.044333,0.073144,0.133184", \ - "0.013967,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ - "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ - "0.014854,0.018871,0.022843,0.030255,0.044493,0.073241,0.133204", \ - "0.020129,0.023756,0.027226,0.033855,0.047004,0.074448,0.133576", \ - "0.026339,0.030113,0.033443,0.039599,0.052017,0.078377,0.135573", \ - "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077378,0.088704,0.097695,0.111855,0.134724,0.173827,0.245813", \ - "0.081890,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ - "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ - "0.136427,0.147792,0.156804,0.171042,0.193998,0.233193,0.305208", \ - "0.182431,0.195973,0.206259,0.221919,0.246049,0.285890,0.357956", \ - "0.231268,0.247379,0.259342,0.277156,0.303555,0.345436,0.419002", \ - "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013965,0.018294,0.022410,0.029968,0.044333,0.073145,0.133184", \ - "0.013967,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ - "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ - "0.014854,0.018871,0.022843,0.030255,0.044493,0.073241,0.133204", \ - "0.020129,0.023756,0.027226,0.033855,0.047004,0.074448,0.133576", \ - "0.026339,0.030113,0.033443,0.039599,0.052017,0.078377,0.135573", \ - "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077378,0.088704,0.097695,0.111856,0.134722,0.173827,0.245813", \ - "0.081890,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ - "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ - "0.136427,0.147792,0.156804,0.171042,0.194008,0.233193,0.305208", \ - "0.182431,0.195973,0.206259,0.221919,0.246049,0.285907,0.357956", \ - "0.231268,0.247379,0.259342,0.277156,0.303555,0.345469,0.418977", \ - "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013965,0.018294,0.022410,0.029966,0.044334,0.073145,0.133184", \ - "0.013967,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ - "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ - "0.014854,0.018871,0.022843,0.030255,0.044481,0.073241,0.133204", \ - "0.020129,0.023756,0.027226,0.033855,0.047004,0.074446,0.133576", \ - "0.026339,0.030113,0.033443,0.039599,0.052017,0.078368,0.135582", \ - "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077378,0.088704,0.097695,0.111856,0.134722,0.173827,0.245813", \ - "0.081890,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ - "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ - "0.136427,0.147792,0.156804,0.171042,0.194008,0.233193,0.305208", \ - "0.182431,0.195973,0.206259,0.221919,0.246049,0.285907,0.357956", \ - "0.231268,0.247379,0.259342,0.277156,0.303555,0.345469,0.418977", \ - "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013965,0.018294,0.022410,0.029966,0.044334,0.073145,0.133185", \ - "0.013967,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ - "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ - "0.014854,0.018871,0.022843,0.030255,0.044481,0.073241,0.133204", \ - "0.020129,0.023756,0.027226,0.033855,0.047004,0.074446,0.133576", \ - "0.026339,0.030113,0.033443,0.039599,0.052017,0.078368,0.135582", \ - "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077378,0.088704,0.097695,0.111856,0.134724,0.173828,0.245813", \ - "0.081890,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ - "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ - "0.136427,0.147792,0.156804,0.171042,0.193998,0.233193,0.305208", \ - "0.182431,0.195973,0.206259,0.221919,0.246049,0.285907,0.357956", \ - "0.231268,0.247379,0.259342,0.277156,0.303555,0.345469,0.418977", \ - "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013965,0.018294,0.022410,0.029967,0.044333,0.073144,0.133184", \ - "0.013967,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ - "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ - "0.014854,0.018871,0.022843,0.030255,0.044493,0.073241,0.133204", \ - "0.020129,0.023756,0.027226,0.033855,0.047004,0.074446,0.133576", \ - "0.026339,0.030113,0.033443,0.039599,0.052017,0.078368,0.135582", \ - "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077378,0.088704,0.097695,0.111856,0.134722,0.173828,0.245813", \ - "0.081891,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ - "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ - "0.136427,0.147792,0.156804,0.171042,0.193998,0.233193,0.305208", \ - "0.182431,0.195973,0.206259,0.221919,0.246049,0.285890,0.357956", \ - "0.231268,0.247379,0.259342,0.277156,0.303555,0.345436,0.419002", \ - "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013965,0.018294,0.022410,0.029967,0.044334,0.073144,0.133184", \ - "0.013965,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ - "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ - "0.014854,0.018871,0.022843,0.030255,0.044493,0.073241,0.133204", \ - "0.020129,0.023756,0.027226,0.033855,0.047004,0.074448,0.133576", \ - "0.026339,0.030113,0.033443,0.039599,0.052017,0.078377,0.135573", \ - "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.077378,0.088704,0.097695,0.111856,0.134722,0.173828,0.245813", \ - "0.081890,0.093229,0.102213,0.116389,0.139253,0.178362,0.250346", \ - "0.099749,0.111044,0.120037,0.134218,0.157095,0.196220,0.268220", \ - "0.136427,0.147792,0.156804,0.171042,0.194008,0.233193,0.305208", \ - "0.182431,0.195973,0.206259,0.221919,0.246049,0.285907,0.357956", \ - "0.231268,0.247379,0.259342,0.277156,0.303555,0.345469,0.418977", \ - "0.283013,0.301592,0.315638,0.335849,0.364968,0.409386,0.484653"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.013965,0.018294,0.022410,0.029966,0.044334,0.073144,0.133185", \ - "0.013967,0.018298,0.022409,0.029946,0.044334,0.073144,0.133188", \ - "0.013980,0.018328,0.022437,0.029971,0.044337,0.073151,0.133184", \ - "0.014854,0.018871,0.022843,0.030255,0.044481,0.073241,0.133204", \ - "0.020129,0.023756,0.027226,0.033855,0.047004,0.074446,0.133576", \ - "0.026339,0.030113,0.033443,0.039599,0.052017,0.078368,0.135582", \ - "0.033123,0.037373,0.040793,0.046738,0.058364,0.083263,0.138693"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.127789,5.267508,5.353672,5.684652,5.798737,5.862821,5.941537", \ - "5.123000,5.262028,5.350006,5.672950,5.812415,5.890349,5.930353", \ - "5.104136,5.244443,5.329268,5.659941,5.801782,5.852541,5.907413", \ - "5.097756,5.240966,5.329044,5.644149,5.802643,5.869664,5.866723", \ - "5.125689,5.269757,5.353588,5.667690,5.841218,5.902081,5.919013", \ - "5.195271,5.329448,5.420372,5.747186,5.901955,5.969146,5.999193", \ - "5.313588,5.451977,5.538916,5.862000,5.976910,6.083794,6.115156"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.561618,5.546491,5.513785,5.525413,5.591327,5.673342,5.679266", \ - "5.560764,5.541347,5.516945,5.507178,5.592286,5.652826,5.640518", \ - "5.535617,5.522479,5.490947,5.501432,5.569199,5.629641,5.616658", \ - "5.530296,5.516183,5.489679,5.495215,5.560679,5.636385,5.625452", \ - "5.559654,5.541137,5.510541,5.517080,5.580561,5.644102,5.643741", \ - "5.611555,5.600461,5.568978,5.560833,5.654073,5.702135,5.731558", \ - "5.728634,5.712071,5.679762,5.689960,5.736699,5.826780,5.812089"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.484623,4.602246,4.672450,4.762785,4.803131,4.840714,4.815005", \ - "4.463076,4.571972,4.654009,4.740823,4.785169,4.823265,4.795898", \ - "4.429455,4.532394,4.615592,4.704217,4.735885,4.774435,4.817073", \ - "4.455464,4.540126,4.610587,4.699838,4.712348,4.756682,4.802660", \ - "4.582944,4.638736,4.690688,4.751618,4.782523,4.834416,4.886910", \ - "4.801037,4.818606,4.866495,4.893794,4.913698,4.972944,4.961830", \ - "5.096021,5.088313,5.101284,5.129647,5.180925,5.184475,5.184634"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.484446,4.602052,4.672251,4.733493,4.803100,4.840667,4.814702", \ - "4.462803,4.571781,4.653808,4.740593,4.784910,4.822996,4.795594", \ - "4.429278,4.532207,4.615395,4.704005,4.735642,4.774177,4.816770", \ - "4.455292,4.539944,4.610396,4.699616,4.712107,4.756424,4.802357", \ - "4.582781,4.638562,4.690511,4.751423,4.782287,4.834171,4.886629", \ - "4.800868,4.818454,4.866285,4.893610,4.913491,4.972693,4.960855", \ - "5.095912,5.088194,5.101149,5.129498,5.180756,5.184259,5.184372"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.485031,4.602679,4.672906,4.734194,4.803628,4.841236,4.815488", \ - "4.463481,4.572399,4.654466,4.741290,4.785678,4.823763,4.796352", \ - "4.429849,4.532813,4.616033,4.704666,4.736365,4.774930,4.817525", \ - "4.455843,4.540528,4.611003,4.700277,4.712808,4.757188,4.803087", \ - "4.583284,4.639092,4.691066,4.752000,4.782964,4.834854,4.887282", \ - "4.801307,4.818894,4.866786,4.894120,4.914046,4.973320,4.961492", \ - "5.096193,5.088505,5.101486,5.129879,5.181169,5.184771,5.184912"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.420598,4.527868,4.608192,4.669289,4.770635,4.775461,4.818028", \ - "4.400577,4.509282,4.591088,4.677696,4.721608,4.759860,4.731512", \ - "4.367617,4.470544,4.553539,4.641695,4.672937,4.712852,4.755273", \ - "4.393194,4.477795,4.548876,4.645697,4.648423,4.693246,4.738769", \ - "4.522824,4.568199,4.629925,4.690747,4.720172,4.771634,4.823839", \ - "4.742619,4.750103,4.801141,4.834199,4.854170,4.912369,4.900690", \ - "5.036145,5.019839,5.043053,5.070752,5.121897,5.125678,5.124708"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.420746,4.528023,4.608377,4.669479,4.738569,4.775924,4.818261", \ - "4.400725,4.509452,4.591261,4.677882,4.721815,4.760121,4.734448", \ - "4.367766,4.470709,4.553716,4.641910,4.673172,4.713071,4.755506", \ - "4.393338,4.478356,4.549054,4.645909,4.648637,4.693455,4.738998", \ - "4.522957,4.568345,4.630083,4.690941,4.720335,4.771855,4.824087", \ - "4.742712,4.750219,4.801277,4.834333,4.854344,4.912552,4.900881", \ - "5.036238,5.019930,5.043159,5.070861,5.122063,5.125834,5.124862"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.421392,4.528712,4.609121,4.670277,4.772516,4.776651,4.819332", \ - "4.401244,4.510148,4.591994,4.678674,4.722679,4.761097,4.732849", \ - "4.368399,4.471395,4.554443,4.642709,4.674043,4.714031,4.756579", \ - "4.393956,4.478763,4.549764,4.646676,4.649482,4.694391,4.740038", \ - "4.523536,4.568968,4.630745,4.691668,4.721122,4.772757,4.825094", \ - "4.743241,4.750752,4.801843,4.834962,4.855064,4.913376,4.901827", \ - "5.036625,5.020351,5.043613,5.071377,5.122676,5.126563,5.125731"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.484469,4.602075,4.672272,4.733575,4.803136,4.840587,4.814537", \ - "4.462922,4.571800,4.653834,4.740615,4.784929,4.822948,4.795462", \ - "4.429298,4.532802,4.615413,4.704010,4.735666,4.774120,4.816779", \ - "4.455309,4.539960,4.610409,4.699722,4.712097,4.756389,4.802215", \ - "4.582789,4.638566,4.690511,4.751408,4.782284,4.834106,4.886459", \ - "4.800890,4.818443,4.866365,4.893590,4.913450,4.972621,4.960687", \ - "5.095881,5.088161,5.101114,5.129455,5.180684,5.184161,5.184178"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.421252,4.528568,4.608944,4.670095,4.739234,4.776428,4.819115", \ - "4.401229,4.509988,4.591829,4.678495,4.722490,4.760835,4.732570", \ - "4.368253,4.471237,4.554272,4.642498,4.673819,4.713804,4.756309", \ - "4.393817,4.478603,4.549592,4.646488,4.649264,4.694177,4.739798", \ - "4.523403,4.568823,4.630583,4.691473,4.720950,4.772523,4.824831", \ - "4.743091,4.750637,4.801711,4.834821,4.854881,4.913189,4.901625", \ - "5.036521,5.020252,5.043503,5.071253,5.122497,5.126393,5.125570"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.766970,5.959057,6.063648,6.166134,6.244396,6.320491,6.350073", \ - "5.723789,5.929857,6.050776,6.136009,6.238780,6.308119,6.339405", \ - "5.697804,5.892298,6.001453,6.088014,6.169565,6.251045,6.306624", \ - "5.719678,5.899735,5.994787,6.105858,6.202268,6.278017,6.308142", \ - "5.857153,5.991345,6.093862,6.155476,6.266227,6.346866,6.383551", \ - "6.067034,6.166732,6.253516,6.295539,6.397066,6.491725,6.517437", \ - "6.387782,6.442819,6.499958,6.544903,6.617188,6.684604,6.715903"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.766611,5.958690,6.063287,6.173521,6.243980,6.320174,6.349636", \ - "5.723431,5.929391,6.050415,6.135639,6.238416,6.307760,6.339042", \ - "5.697353,5.891949,6.001100,6.087664,6.169209,6.250673,6.306253", \ - "5.719342,5.899400,5.994450,6.105517,6.201933,6.277665,6.307778", \ - "5.856844,5.991035,6.093547,6.155161,6.265895,6.346541,6.383209", \ - "6.066761,6.166454,6.253233,6.295244,6.396774,6.491377,6.517099", \ - "6.387553,6.442580,6.499718,6.544650,6.616936,6.684314,6.715585"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.767753,5.959834,6.064434,6.166849,6.245034,6.320288,6.350538", \ - "5.724577,5.930638,6.051552,6.136759,6.239518,6.308774,6.339921", \ - "5.698468,5.893055,6.002208,6.088742,6.170263,6.251683,6.307171", \ - "5.720398,5.900446,5.996150,6.106539,6.202920,6.278633,6.308640", \ - "5.857792,5.991984,6.094491,6.156086,6.266840,6.347416,6.383986", \ - "6.067565,6.167271,6.254051,6.296070,6.397574,6.492172,6.517838", \ - "6.388173,6.443221,6.502057,6.545311,6.617578,6.684999,6.716231"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.764749,5.956009,6.060810,6.171850,6.250502,6.321384,6.353046", \ - "5.732659,5.926973,6.048224,6.134127,6.238330,6.309936,6.366325", \ - "5.695661,5.889809,5.999121,6.086380,6.169365,6.253178,6.285785", \ - "5.729619,5.897881,6.003534,6.104968,6.202346,6.280573,6.317239", \ - "5.856531,5.990482,6.093291,6.155452,6.267489,6.350409,6.389129", \ - "6.067502,6.182685,6.254116,6.296700,6.399318,6.479304,6.521839", \ - "6.389462,6.457639,6.503628,6.547379,6.620616,6.689379,6.721353"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.765053,5.956133,6.060941,6.172023,6.250697,6.321579,6.353071", \ - "5.732799,5.926929,6.048336,6.134249,6.238499,6.310172,6.366983", \ - "5.707135,5.889933,5.999258,6.086545,6.169555,6.253401,6.286009", \ - "5.729755,5.897999,6.005087,6.105128,6.202555,6.280777,6.317458", \ - "5.856659,5.990594,6.093419,6.155607,6.267644,6.350635,6.389404", \ - "6.067378,6.182788,6.254220,6.296811,6.399490,6.479486,6.522035", \ - "6.389563,6.457730,6.503759,6.547493,6.620781,6.689863,6.721541"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.766481,5.957425,6.062232,6.165523,6.245272,6.323622,6.354483", \ - "5.734085,5.928383,6.049645,6.135533,6.239778,6.311459,6.368253", \ - "5.708382,5.891205,6.000524,6.087820,6.170831,6.254648,6.287248", \ - "5.730943,5.899198,6.006332,6.106351,6.204094,6.281977,6.318675", \ - "5.857749,5.991706,6.094550,6.156750,6.268791,6.351800,6.390581", \ - "6.068584,6.181468,6.255220,6.297825,6.400545,6.480572,6.523155", \ - "6.390372,6.458559,6.504611,6.548360,6.621707,6.690835,6.722589"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.766656,5.958734,6.063319,6.165803,6.250813,6.347762,6.349445", \ - "5.739781,5.929536,6.050444,6.135659,6.238424,6.307700,6.338863", \ - "5.697489,5.891978,6.001126,6.087667,6.169194,6.250607,6.306090", \ - "5.719373,5.899423,5.995122,6.105517,6.201898,6.277596,6.307596", \ - "5.856857,5.991041,6.093541,6.155137,6.265864,6.346438,6.382991", \ - "6.066532,6.166436,6.253205,6.295211,6.396700,6.491262,6.516877", \ - "6.387499,6.442527,6.501393,6.544576,6.616818,6.684171,6.715333"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.766035,5.957365,6.062195,6.173207,6.251894,6.353770,6.354075", \ - "5.733994,5.928169,6.049576,6.135460,6.239631,6.311221,6.367490", \ - "5.696970,5.891220,6.000451,6.087682,6.170654,6.254423,6.287005", \ - "5.730854,5.899128,6.004228,6.106219,6.203595,6.281784,6.318456", \ - "5.857660,5.991626,6.094449,6.156609,6.268649,6.351573,6.390304", \ - "6.068253,6.181387,6.255136,6.297721,6.400358,6.480395,6.522950", \ - "6.390282,6.458487,6.504538,6.548254,6.621542,6.690350,6.722392"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFF_X1 - Cell Description : Pos.edge D-Flip-Flop with active high scan, and drive strength X1 - *******************************************************************************************/ - - cell (SDFF_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - } - - area : 6.118000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 68.237735; - - leakage_power () { - when : "!CK & !D & !SE & !SI & !Q & QN"; - value : 64.630685; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & Q & !QN"; - value : 67.407155; - } - leakage_power () { - when : "!CK & !D & !SE & SI & !Q & QN"; - value : 71.663269; - } - leakage_power () { - when : "!CK & !D & !SE & SI & Q & !QN"; - value : 74.439739; - } - leakage_power () { - when : "!CK & !D & SE & !SI & !Q & QN"; - value : 62.046495; - } - leakage_power () { - when : "!CK & !D & SE & !SI & Q & !QN"; - value : 64.823060; - } - leakage_power () { - when : "!CK & !D & SE & SI & !Q & QN"; - value : 67.059892; - } - leakage_power () { - when : "!CK & !D & SE & SI & Q & !QN"; - value : 63.835972; - } - leakage_power () { - when : "!CK & D & !SE & !SI & !Q & QN"; - value : 70.311409; - } - leakage_power () { - when : "!CK & D & !SE & !SI & Q & !QN"; - value : 67.087489; - } - leakage_power () { - when : "!CK & D & !SE & SI & !Q & QN"; - value : 71.358081; - } - leakage_power () { - when : "!CK & D & !SE & SI & Q & !QN"; - value : 68.134256; - } - leakage_power () { - when : "!CK & D & SE & !SI & !Q & QN"; - value : 71.364551; - } - leakage_power () { - when : "!CK & D & SE & !SI & Q & !QN"; - value : 74.141021; - } - leakage_power () { - when : "!CK & D & SE & SI & !Q & QN"; - value : 68.423095; - } - leakage_power () { - when : "!CK & D & SE & SI & Q & !QN"; - value : 65.199174; - } - leakage_power () { - when : "CK & !D & !SE & !SI & !Q & QN"; - value : 63.869326; - } - leakage_power () { - when : "CK & !D & !SE & !SI & Q & !QN"; - value : 71.636336; - } - leakage_power () { - when : "CK & !D & !SE & SI & !Q & QN"; - value : 70.900675; - } - leakage_power () { - when : "CK & !D & !SE & SI & Q & !QN"; - value : 78.667305; - } - leakage_power () { - when : "CK & !D & SE & !SI & !Q & QN"; - value : 61.284566; - } - leakage_power () { - when : "CK & !D & SE & !SI & Q & !QN"; - value : 69.051386; - } - leakage_power () { - when : "CK & !D & SE & SI & !Q & QN"; - value : 62.793604; - } - leakage_power () { - when : "CK & !D & SE & SI & Q & !QN"; - value : 64.575423; - } - leakage_power () { - when : "CK & D & !SE & !SI & !Q & QN"; - value : 66.045501; - } - leakage_power () { - when : "CK & D & !SE & !SI & Q & !QN"; - value : 67.827226; - } - leakage_power () { - when : "CK & D & !SE & SI & !Q & QN"; - value : 67.092648; - } - leakage_power () { - when : "CK & D & !SE & SI & Q & !QN"; - value : 68.874278; - } - leakage_power () { - when : "CK & D & SE & !SI & !Q & QN"; - value : 70.600437; - } - leakage_power () { - when : "CK & D & SE & !SI & Q & !QN"; - value : 78.366687; - } - leakage_power () { - when : "CK & D & SE & SI & !Q & QN"; - value : 64.157566; - } - leakage_power () { - when : "CK & D & SE & SI & Q & !QN"; - value : 65.939196; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.097121; - fall_capacitance : 1.031509; - rise_capacitance : 1.097121; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "!SE"; - sdf_cond : "NEG_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.083015,-0.032304,0.017954", \ - "-0.125593,-0.072427,-0.020891", \ - "0.134450,0.190577,0.248351"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.027233,0.005820,0.028498", \ - "-0.026526,0.003456,0.005912", \ - "0.113146,0.142165,0.118528"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "!SE"; - sdf_cond : "NEG_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.242243,0.213667,0.232104", \ - "0.300146,0.271465,0.290534", \ - "0.457314,0.427612,0.443402"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.253904,0.197230,0.138311", \ - "0.298554,0.241307,0.183424", \ - "0.366309,0.310186,0.252439"); - } - } - - internal_power () { - - when : "!CK & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.209248,5.192190,5.167433,5.153739,5.160632,5.210778,5.321269"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.762526,3.739679,3.712623,3.689501,3.685402,3.732897,3.859900"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.178857,5.160611,5.135250,5.121573,5.128293,5.179110,5.290847"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.758402,3.735552,3.705630,3.684824,3.682648,3.729075,3.854764"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.201014,5.184068,5.159563,5.145352,5.151913,5.201628,5.314396"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.764305,3.742148,3.714626,3.691612,3.690704,3.735333,3.864434"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.169629,5.151101,5.126345,5.112468,5.119867,5.168615,5.279604"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.758227,3.735464,3.705036,3.683163,3.684683,3.728090,3.856254"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543613,0.525463,0.514545,0.510940,0.508221,0.507958,0.508004"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448701,-0.451427,-0.455639,-0.459270,-0.461489,-0.463031,-0.463837"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.547392,0.524011,0.515319,0.511720,0.508959,0.508718,0.508713"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446779,-0.449209,-0.453428,-0.457089,-0.459318,-0.460976,-0.462094"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480182,0.483625,0.484173,0.484979,0.485194,0.486418,0.485271"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.328643,-0.361595,-0.400291,-0.419960,-0.429944,-0.436705,-0.440887"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479826,0.482979,0.483787,0.484383,0.484636,0.485826,0.484743"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330369,-0.362759,-0.401733,-0.421346,-0.431738,-0.438008,-0.442695"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.470262,2.451836,2.426937,2.414753,2.425547,2.491218,2.599707"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.200187,1.177415,1.149194,1.132138,1.135773,1.185069,1.304769"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.430863,2.413883,2.389052,2.377137,2.387373,2.453389,2.561286"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.187836,1.164591,1.138759,1.119903,1.125912,1.172015,1.288539"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.462266,2.443752,2.418749,2.406372,2.416828,2.482042,2.590120"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.202701,1.179913,1.151692,1.134533,1.138162,1.188367,1.306328"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.422121,2.405126,2.380209,2.367693,2.378065,2.443250,2.551035"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.186325,1.163583,1.137314,1.118650,1.122976,1.168701,1.286462"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543695,0.523296,0.514608,0.511001,0.508295,0.508012,0.508079"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448253,-0.450988,-0.455206,-0.458843,-0.461069,-0.462622,-0.463413"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.546520,0.524367,0.513938,0.510342,0.507994,0.507251,0.507805"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449801,-0.451867,-0.456640,-0.460315,-0.462567,-0.464095,-0.465212"); - } - - } - - internal_power () { - - when : "CK & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480210,0.483532,0.484297,0.484893,0.485153,0.486322,0.485253"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.329142,-0.362034,-0.400359,-0.420377,-0.430352,-0.437083,-0.441247"); - } - - } - - internal_power () { - - when : "CK & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480351,0.483494,0.484297,0.484886,0.485141,0.486312,0.485214"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.328811,-0.361218,-0.400163,-0.419769,-0.430160,-0.436437,-0.441099"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.839495; - fall_capacitance : 1.694916; - rise_capacitance : 1.839495; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.050242,-0.018214,-0.001320", \ - "-0.100006,-0.076599,-0.057326", \ - "0.139476,0.195765,0.180650"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.075090,-0.028988,0.033427", \ - "-0.101082,-0.050552,0.015862", \ - "0.007290,0.063832,0.126044"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.297239,0.240437,0.194749", \ - "0.361125,0.304767,0.248463", \ - "0.493476,0.436940,0.404631"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.269430,0.240510,0.257261", \ - "0.304726,0.275805,0.293084", \ - "0.361283,0.304998,0.320143"); - } - } - - internal_power () { - - when : "!CK & !D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.781545,1.744344,1.733050,1.781359,1.880375,2.042893,2.276751"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.033882,-0.055217,-0.078627,-0.058376,0.025660,0.178362,0.408883"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.782484,1.745359,1.733532,1.782502,1.881145,2.043764,2.278743"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.028751,-0.049242,-0.073277,-0.051480,0.032896,0.184131,0.411840"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.847403,5.778648,5.734156,5.805868,5.987508,6.298723,6.742053"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.319937,3.297990,3.249539,3.259179,3.388780,3.665828,4.120065"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.815467,5.746449,5.701280,5.773496,5.955880,6.263418,6.709737"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.289914,3.267975,3.219315,3.231934,3.361950,3.635670,4.083876"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.371879,5.336815,5.349399,5.435617,5.583211,5.796654,6.101438"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.509982,4.516338,4.495750,4.499369,4.561224,4.703086,4.936336"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.393676,5.359228,5.372206,5.460002,5.609658,5.824759,6.131828"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.609470,4.606676,4.554447,4.535777,4.587885,4.723941,4.954390"); - } - - } - - internal_power () { - - when : "!CK & D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.653018,1.616969,1.607032,1.658692,1.759644,1.925096,2.157898"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.050795,0.032872,0.012737,0.030530,0.110592,0.262093,0.494313"); - } - - } - - internal_power () { - - when : "!CK & D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.648943,1.612683,1.603233,1.654404,1.755382,1.920580,2.155169"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.032920,0.015009,-0.005262,0.012756,0.093226,0.244808,0.477628"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.781265,1.744330,1.731816,1.781492,1.880356,2.042875,2.278417"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.035154,-0.057443,-0.078361,-0.061790,0.022423,0.178118,0.406737"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.781058,1.745103,1.733070,1.781494,1.880106,2.043483,2.277693"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.031417,-0.052161,-0.074706,-0.053296,0.030940,0.183422,0.411137"); - } - - } - - internal_power () { - - when : "CK & !D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.099334,3.031841,2.989792,3.067855,3.253197,3.578479,4.018788"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.754376,0.732443,0.689819,0.709169,0.842958,1.119599,1.561790"); - } - - } - - internal_power () { - - when : "CK & !D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.058617,2.991739,2.950115,3.026770,3.216482,3.540181,3.986200"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.718687,0.696381,0.654097,0.672518,0.804546,1.081841,1.521688"); - } - - } - - internal_power () { - - when : "CK & D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.806604,2.770435,2.783342,2.870174,3.017923,3.234907,3.528166"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.764508,1.770830,1.749035,1.751205,1.816010,1.968637,2.195690"); - } - - } - - internal_power () { - - when : "CK & D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.833965,2.796978,2.812139,2.898369,3.048424,3.263279,3.560012"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.828360,1.825498,1.772652,1.753132,1.807387,1.950990,2.176995"); - } - - } - - internal_power () { - - when : "CK & D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.653156,1.615942,1.607349,1.657730,1.760895,1.923718,2.157266"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.053501,0.032547,0.015448,0.030062,0.110404,0.261298,0.494219"); - } - - } - - internal_power () { - - when : "CK & D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.649723,1.612254,1.603747,1.654875,1.756615,1.921116,2.153244"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.034580,0.016595,-0.003633,0.014161,0.094886,0.246734,0.479355"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.895705; - fall_capacitance : 0.858558; - rise_capacitance : 0.895705; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SE"; - sdf_cond : "SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.095406,-0.043546,0.004902", \ - "-0.130807,-0.076715,-0.023929", \ - "0.112335,0.166683,0.226365"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.028201,0.005118,0.027306", \ - "-0.028234,0.001823,0.005061", \ - "0.132522,0.161995,0.135990"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SE"; - sdf_cond : "SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.277513,0.248699,0.265647", \ - "0.335766,0.306187,0.323681", \ - "0.501050,0.470475,0.485067"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.259046,0.201778,0.143647", \ - "0.307711,0.250528,0.192771", \ - "0.388425,0.334082,0.274426"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.414104,0.397013,0.390076,0.386950,0.384685,0.384320,0.383245"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344095,-0.346051,-0.349184,-0.350808,-0.351672,-0.352355,-0.352822"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412561,0.397807,0.390374,0.387734,0.385434,0.385094,0.383985"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.341945,-0.343858,-0.346973,-0.348608,-0.349531,-0.350276,-0.350527"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.179477,5.168314,5.150255,5.137950,5.143594,5.174043,5.251099"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.418178,3.401658,3.383750,3.365290,3.360821,3.386443,3.472020"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.148409,5.137060,5.119241,5.107803,5.112052,5.143176,5.218718"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.411267,3.395551,3.378909,3.359204,3.355201,3.385626,3.475274"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357943,0.361228,0.361523,0.362082,0.361629,0.361910,0.361759"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.248549,-0.270185,-0.299871,-0.315465,-0.322813,-0.327236,-0.330385"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357179,0.360474,0.361259,0.361327,0.360902,0.361177,0.361060"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.250896,-0.273838,-0.303098,-0.317795,-0.325198,-0.329813,-0.333035"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.168553,5.157339,5.139130,5.126543,5.132814,5.162241,5.236525"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.420499,3.404355,3.385809,3.367992,3.363641,3.390872,3.475845"); - } - - } - - internal_power () { - - when : "!CK & D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.136416,5.125023,5.106424,5.095176,5.099233,5.129161,5.203227"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.425459,3.408902,3.391528,3.374364,3.369068,3.396374,3.486458"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.411804,0.397074,0.390137,0.387012,0.384761,0.384379,0.383323"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.343646,-0.345619,-0.348755,-0.350384,-0.351255,-0.351949,-0.352398"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.414017,0.398505,0.389939,0.386817,0.384520,0.384215,0.383107"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344662,-0.347124,-0.349657,-0.351778,-0.352266,-0.353577,-0.353223"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.435154,2.423019,2.406024,2.394659,2.400578,2.450550,2.532895"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.856859,0.840327,0.823474,0.810876,0.809580,0.842652,0.920750"); - } - - } - - internal_power () { - - when : "CK & !D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.387496,2.375763,2.357184,2.345268,2.354336,2.399718,2.492142"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.846626,0.830500,0.812829,0.800930,0.798759,0.830362,0.905522"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357867,0.361124,0.361890,0.361581,0.361544,0.361813,0.361716"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.248998,-0.270658,-0.300334,-0.315926,-0.323267,-0.327675,-0.330808"); - } - - } - - internal_power () { - - when : "CK & D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357702,0.360977,0.361773,0.361831,0.361407,0.361649,0.361521"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249320,-0.272266,-0.301531,-0.316212,-0.323614,-0.328237,-0.331432"); - } - - } - - internal_power () { - - when : "CK & D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.423835,2.411697,2.394506,2.382849,2.388391,2.438054,2.523123"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.859442,0.842876,0.826018,0.813384,0.812228,0.845054,0.924187"); - } - - } - - internal_power () { - - when : "CK & D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.385437,2.374452,2.355831,2.343648,2.352463,2.397826,2.487405"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.859555,0.842698,0.825682,0.813790,0.810929,0.842615,0.919499"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.917223; - fall_capacitance : 0.826305; - rise_capacitance : 0.917223; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.271266,0.278177,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.201661,0.208663,0.500500"); - } - } - - internal_power () { - - when : "!D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.670561,2.642453,2.615063,2.635106,2.720259,2.879315,3.106250"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.091283,2.077082,2.045796,2.042642,2.098382,2.228675,2.448707"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.162070,5.131453,5.101379,5.121143,5.211374,5.372772,5.619660"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.670590,2.642508,2.615100,2.635144,2.720269,2.879363,3.104418"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.091290,2.077114,2.045840,2.042685,2.098409,2.228739,2.448749"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.168572,5.138136,5.109843,5.128555,5.217736,5.379198,5.622096"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.670425,2.642356,2.614915,2.634955,2.720208,2.879231,3.104406"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.091316,2.077090,2.045815,2.043373,2.098382,2.228697,2.448650"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.159449,5.128835,5.099400,5.118321,5.208084,5.371192,5.617544"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.123866,5.091466,5.069235,5.089297,5.174936,5.330829,5.557892"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.792554,2.763008,2.737406,2.758329,2.844896,3.008670,3.236035"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.984150,1.969038,1.934885,1.931921,1.986399,2.116952,2.329532"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.124007,5.095696,5.069770,5.090496,5.175531,5.331896,5.558508"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.792845,2.763049,2.737449,2.758378,2.844899,3.008732,3.236460"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.975209,1.969109,1.934955,1.931994,1.986481,2.117028,2.331357"); - } - - } - - internal_power () { - - when : "D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.124865,5.096520,5.070622,5.091782,5.176473,5.332765,5.559389"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.792832,2.763039,2.737438,2.758366,2.844895,3.008717,3.236034"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.975075,1.969094,1.934940,1.931980,1.986466,2.117013,2.329590"); - } - - } - - internal_power () { - - when : "D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.670469,2.642419,2.614968,2.635009,2.720224,2.879296,3.106290"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.091332,2.077142,2.045864,2.043443,2.098443,2.228766,2.448730"); - } - - } - - internal_power () { - - when : "D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.152249,5.121090,5.088823,5.110752,5.198265,5.359677,5.608665"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.096591,5.067512,5.040926,5.061951,5.149313,5.304701,5.533344"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.792539,2.762989,2.737389,2.758311,2.844905,3.008649,3.236138"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.984130,1.969018,1.934864,1.931900,1.986379,2.116932,2.329353"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.204878,0.223811,0.240771,0.266051,0.302979,0.356655,0.441470", \ - "0.210148,0.229085,0.246037,0.271297,0.308258,0.361927,0.446744", \ - "0.227777,0.246705,0.263679,0.288942,0.325903,0.379607,0.464452", \ - "0.251073,0.269997,0.286919,0.312209,0.349177,0.402947,0.487790", \ - "0.274118,0.293055,0.309742,0.335037,0.372041,0.425757,0.510634", \ - "0.293776,0.313054,0.329838,0.354813,0.392047,0.445883,0.530906", \ - "0.310001,0.328983,0.345888,0.370567,0.408649,0.462321,0.547643"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.163417,0.180674,0.199074,0.233097,0.298507,0.427096,0.682993", \ - "0.168621,0.185882,0.204280,0.238309,0.303716,0.432306,0.688197", \ - "0.186459,0.203713,0.222119,0.256145,0.321545,0.450140,0.706001", \ - "0.210812,0.228091,0.246410,0.280446,0.345846,0.474425,0.730301", \ - "0.234896,0.252174,0.270571,0.304498,0.369938,0.498579,0.754485", \ - "0.256313,0.273661,0.291909,0.326405,0.391856,0.520469,0.775644", \ - "0.273525,0.291296,0.309951,0.343831,0.409233,0.537850,0.793768"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.034213,0.040647,0.046809,0.056883,0.072478,0.099122,0.154051", \ - "0.034213,0.040650,0.046803,0.056879,0.072467,0.099121,0.154050", \ - "0.034249,0.040663,0.046823,0.056890,0.072487,0.099126,0.154071", \ - "0.034240,0.040677,0.046839,0.056907,0.072489,0.099142,0.154063", \ - "0.034306,0.040707,0.046850,0.056915,0.072486,0.099119,0.154058", \ - "0.034323,0.040766,0.046930,0.057006,0.072557,0.099185,0.154089", \ - "0.034379,0.040832,0.047005,0.057076,0.072630,0.099228,0.154091"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.019388,0.030566,0.044646,0.073834,0.133845,0.255371,0.499892", \ - "0.019389,0.030566,0.044644,0.073840,0.133846,0.255373,0.499894", \ - "0.019386,0.030564,0.044641,0.073843,0.133844,0.255373,0.499893", \ - "0.019383,0.030560,0.044640,0.073840,0.133842,0.255376,0.499892", \ - "0.019380,0.030549,0.044648,0.073840,0.133843,0.255372,0.499891", \ - "0.019400,0.030570,0.044646,0.073832,0.133842,0.255369,0.499894", \ - "0.019445,0.030595,0.044665,0.073845,0.133841,0.255376,0.499894"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.226893,3.245336,3.275746,3.341006,3.400196,3.421972,3.434179", \ - "3.217940,3.235053,3.270350,3.331918,3.393837,3.423396,3.431184", \ - "3.199798,3.220634,3.250102,3.303933,3.375473,3.401766,3.412796", \ - "3.190387,3.215220,3.244689,3.307378,3.351170,3.394678,3.398792", \ - "3.217543,3.241682,3.268509,3.322550,3.391977,3.418884,3.430267", \ - "3.283135,3.304055,3.330057,3.394109,3.444418,3.481275,3.490106", \ - "3.404870,3.422272,3.451321,3.501856,3.565815,3.595565,3.595284"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.073687,3.144250,3.191917,3.357490,3.424168,3.444245,3.446429", \ - "3.061358,3.134626,3.178317,3.347600,3.416835,3.422796,3.449809", \ - "3.051373,3.119426,3.165623,3.326503,3.400277,3.403643,3.429369", \ - "3.050148,3.121454,3.164805,3.334273,3.403355,3.405422,3.413377", \ - "3.079713,3.150365,3.196881,3.362340,3.418832,3.451375,3.456893", \ - "3.152597,3.219562,3.265126,3.422627,3.491295,3.508005,3.514607", \ - "3.271466,3.339838,3.387401,3.547777,3.611235,3.613849,3.643508"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.412610; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.236957,0.245240,0.253167,0.266389,0.288620,0.327386,0.399104", \ - "0.242169,0.250447,0.258366,0.271591,0.293839,0.332595,0.404316", \ - "0.259998,0.268280,0.276202,0.289424,0.311665,0.350430,0.422113", \ - "0.284346,0.292664,0.300510,0.313744,0.335963,0.374716,0.446422", \ - "0.308438,0.316724,0.324674,0.337818,0.360048,0.398870,0.470581", \ - "0.329850,0.338212,0.346031,0.359183,0.381987,0.420713,0.491749", \ - "0.347080,0.355897,0.364057,0.377128,0.399369,0.438143,0.509897"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.305444,0.315530,0.326720,0.352733,0.411984,0.536740,0.789944", \ - "0.310716,0.320806,0.331987,0.357971,0.417255,0.542004,0.795217", \ - "0.328352,0.338435,0.349631,0.375623,0.434900,0.559687,0.812913", \ - "0.351657,0.361731,0.372882,0.398873,0.458194,0.582992,0.836240", \ - "0.374745,0.384807,0.395712,0.421721,0.481021,0.605814,0.859083", \ - "0.394426,0.404852,0.415827,0.441453,0.501024,0.625889,0.879254", \ - "0.410698,0.420812,0.431937,0.457284,0.517589,0.642272,0.895967"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.016566,0.020291,0.024218,0.031478,0.045543,0.074115,0.133982", \ - "0.016570,0.020290,0.024209,0.031466,0.045547,0.074115,0.133961", \ - "0.016563,0.020293,0.024212,0.031473,0.045547,0.074114,0.133961", \ - "0.016570,0.020294,0.024219,0.031468,0.045551,0.074112,0.133967", \ - "0.016572,0.020297,0.024220,0.031477,0.045543,0.074118,0.133976", \ - "0.016570,0.020296,0.024224,0.031468,0.045535,0.074083,0.134005", \ - "0.016572,0.020299,0.024230,0.031467,0.045537,0.074106,0.133965"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.021590,0.031590,0.044772,0.073606,0.134129,0.256026,0.500100", \ - "0.021591,0.031588,0.044776,0.073609,0.134124,0.256023,0.500100", \ - "0.021594,0.031591,0.044773,0.073600,0.134121,0.256023,0.500100", \ - "0.021610,0.031591,0.044777,0.073606,0.134130,0.256019,0.500094", \ - "0.021610,0.031596,0.044781,0.073602,0.134129,0.256023,0.500096", \ - "0.021606,0.031590,0.044775,0.073604,0.134125,0.256024,0.500090", \ - "0.021625,0.031615,0.044796,0.073603,0.134118,0.256024,0.500099"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.072498,3.144408,3.192055,3.341811,3.424411,3.450539,3.450951", \ - "3.060294,3.134740,3.178233,3.350163,3.419824,3.435805,3.449088", \ - "3.051367,3.119468,3.166100,3.329221,3.399879,3.414785,3.432032", \ - "3.052140,3.121510,3.164955,3.324512,3.374436,3.416131,3.434913", \ - "3.080603,3.150423,3.196293,3.355205,3.423899,3.427932,3.444257", \ - "3.152093,3.220165,3.265818,3.427886,3.496525,3.518732,3.527728", \ - "3.271468,3.340130,3.388098,3.550332,3.599683,3.624552,3.643767"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.226541,3.245835,3.276729,3.339711,3.401175,3.429445,3.428192", \ - "3.219532,3.235605,3.271470,3.334374,3.396325,3.421281,3.430941", \ - "3.199450,3.221173,3.251061,3.308819,3.374406,3.399671,3.409324", \ - "3.190030,3.215758,3.245764,3.307262,3.363492,3.392163,3.405222", \ - "3.216793,3.242220,3.269538,3.329085,3.375789,3.408202,3.418008", \ - "3.282148,3.303609,3.331165,3.390253,3.449558,3.480935,3.494143", \ - "3.404519,3.422835,3.450643,3.506422,3.547529,3.575179,3.599386"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFF_X2 - Cell Description : Pos.edge D-Flip-Flop with active high scan, and drive strength X2 - *******************************************************************************************/ - - cell (SDFF_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - } - - area : 6.384000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 94.533210; - - leakage_power () { - when : "!CK & !D & !SE & !SI & !Q & QN"; - value : 90.058765; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & Q & !QN"; - value : 94.618005; - } - leakage_power () { - when : "!CK & !D & !SE & SI & !Q & QN"; - value : 97.091339; - } - leakage_power () { - when : "!CK & !D & !SE & SI & Q & !QN"; - value : 101.650769; - } - leakage_power () { - when : "!CK & !D & SE & !SI & !Q & QN"; - value : 87.474680; - } - leakage_power () { - when : "!CK & !D & SE & !SI & Q & !QN"; - value : 92.033824; - } - leakage_power () { - when : "!CK & !D & SE & SI & !Q & QN"; - value : 92.503846; - } - leakage_power () { - when : "!CK & !D & SE & SI & Q & !QN"; - value : 91.041036; - } - leakage_power () { - when : "!CK & D & !SE & !SI & !Q & QN"; - value : 95.755354; - } - leakage_power () { - when : "!CK & D & !SE & !SI & Q & !QN"; - value : 94.292639; - } - leakage_power () { - when : "!CK & D & !SE & SI & !Q & QN"; - value : 96.802121; - } - leakage_power () { - when : "!CK & D & !SE & SI & Q & !QN"; - value : 95.339311; - } - leakage_power () { - when : "!CK & D & SE & !SI & !Q & QN"; - value : 96.792641; - } - leakage_power () { - when : "!CK & D & SE & !SI & Q & !QN"; - value : 101.352165; - } - leakage_power () { - when : "!CK & D & SE & SI & !Q & QN"; - value : 93.867049; - } - leakage_power () { - when : "!CK & D & SE & SI & Q & !QN"; - value : 92.404334; - } - leakage_power () { - when : "CK & !D & !SE & !SI & !Q & QN"; - value : 89.210956; - } - leakage_power () { - when : "CK & !D & !SE & !SI & Q & !QN"; - value : 98.832556; - } - leakage_power () { - when : "CK & !D & !SE & SI & !Q & QN"; - value : 96.242296; - } - leakage_power () { - when : "CK & !D & !SE & SI & Q & !QN"; - value : 105.863326; - } - leakage_power () { - when : "CK & !D & SE & !SI & !Q & QN"; - value : 86.626301; - } - leakage_power () { - when : "CK & !D & SE & !SI & Q & !QN"; - value : 96.247616; - } - leakage_power () { - when : "CK & !D & SE & SI & !Q & QN"; - value : 88.135338; - } - leakage_power () { - when : "CK & !D & SE & SI & Q & !QN"; - value : 91.771653; - } - leakage_power () { - when : "CK & D & !SE & !SI & !Q & QN"; - value : 91.387226; - } - leakage_power () { - when : "CK & D & !SE & !SI & Q & !QN"; - value : 95.023446; - } - leakage_power () { - when : "CK & D & !SE & SI & !Q & QN"; - value : 92.434278; - } - leakage_power () { - when : "CK & D & !SE & SI & Q & !QN"; - value : 96.070403; - } - leakage_power () { - when : "CK & D & SE & !SI & !Q & QN"; - value : 95.942077; - } - leakage_power () { - when : "CK & D & SE & !SI & Q & !QN"; - value : 105.562822; - } - leakage_power () { - when : "CK & D & SE & SI & !Q & QN"; - value : 89.499215; - } - leakage_power () { - when : "CK & D & SE & SI & Q & !QN"; - value : 93.135340; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.106886; - fall_capacitance : 1.041287; - rise_capacitance : 1.106886; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "!SE"; - sdf_cond : "NEG_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.085240,-0.034961,0.016020", \ - "-0.128931,-0.076283,-0.023263", \ - "0.127892,0.185643,0.244501"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.027078,0.005253,0.028904", \ - "-0.021957,0.005617,0.008323", \ - "0.120831,0.145550,0.122315"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "!SE"; - sdf_cond : "NEG_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.238277,0.211440,0.229387", \ - "0.295838,0.269075,0.286995", \ - "0.453917,0.425863,0.440603"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.258565,0.201909,0.142088", \ - "0.303697,0.246541,0.187198", \ - "0.372881,0.315135,0.256303"); - } - } - - internal_power () { - - when : "!CK & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.191544,5.171696,5.148353,5.135919,5.140435,5.186780,5.300856"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.768510,3.746445,3.716683,3.693770,3.692014,3.736449,3.866728"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.156886,5.137645,5.113021,5.101671,5.107128,5.156543,5.266711"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.761442,3.738725,3.713223,3.690411,3.689918,3.733117,3.854084"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.183432,5.163558,5.140108,5.127483,5.132467,5.179348,5.288787"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.771115,3.748902,3.719172,3.696240,3.696759,3.742118,3.865248"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.147838,5.128804,5.104079,5.091865,5.097745,5.146099,5.256817"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.760584,3.738659,3.713303,3.690497,3.689488,3.734658,3.865090"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543706,0.524681,0.514764,0.511132,0.508267,0.508193,0.508024"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448590,-0.451167,-0.455386,-0.459000,-0.461237,-0.462784,-0.463588"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.547523,0.525481,0.515555,0.511908,0.509009,0.508972,0.508751"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.446518,-0.448856,-0.453145,-0.456809,-0.459048,-0.460700,-0.461534"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480643,0.483679,0.484275,0.485154,0.485226,0.486633,0.485274"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.328556,-0.361580,-0.400235,-0.419909,-0.429735,-0.436701,-0.440674"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.479979,0.483235,0.484000,0.484579,0.484678,0.486079,0.484754"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.330297,-0.362675,-0.401462,-0.421076,-0.431467,-0.437737,-0.442439"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.507841,2.492390,2.467243,2.455226,2.464423,2.531391,2.638760"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.200497,1.177741,1.151587,1.132743,1.136162,1.184988,1.303781"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.471010,2.453312,2.427653,2.415886,2.426113,2.491201,2.601156"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.188257,1.166502,1.141208,1.122327,1.126349,1.172304,1.287853"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.501469,2.483954,2.458220,2.446841,2.455695,2.522349,2.629590"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.203015,1.180246,1.154082,1.135216,1.138610,1.188334,1.305434"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.462279,2.444654,2.418787,2.405324,2.416782,2.481660,2.589110"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.186473,1.163752,1.139050,1.121233,1.123592,1.171116,1.285184"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.543790,0.524743,0.514830,0.511197,0.508344,0.508249,0.508102"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.448119,-0.450702,-0.454930,-0.458550,-0.460795,-0.462354,-0.463131"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.546659,0.524449,0.513985,0.510115,0.507899,0.507258,0.507810"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.449803,-0.451950,-0.456642,-0.460329,-0.462573,-0.464106,-0.465292"); - } - - } - - internal_power () { - - when : "CK & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480389,0.483594,0.484498,0.485076,0.485192,0.486532,0.485270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.329038,-0.361988,-0.400103,-0.420296,-0.430151,-0.437049,-0.441003"); - } - - } - - internal_power () { - - when : "CK & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.480507,0.483753,0.484513,0.485084,0.485185,0.486554,0.485227"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.328737,-0.361111,-0.399881,-0.419489,-0.429879,-0.436155,-0.440819"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.815037; - fall_capacitance : 1.667595; - rise_capacitance : 1.815037; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.048442,-0.017192,-0.000414", \ - "-0.095809,-0.075626,-0.056889", \ - "0.132938,0.188931,0.184083"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.083003,-0.031739,0.033649", \ - "-0.107852,-0.054930,0.013245", \ - "0.003068,0.059601,0.131937"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.301851,0.244912,0.192101", \ - "0.365939,0.308892,0.249321", \ - "0.497713,0.441185,0.402043"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.265447,0.238069,0.254791", \ - "0.305395,0.273573,0.290170", \ - "0.367835,0.311847,0.316724"); - } - } - - internal_power () { - - when : "!CK & !D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.771267,1.733511,1.723272,1.771556,1.870152,2.033338,2.268314"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.033436,-0.057672,-0.077766,-0.057659,0.026247,0.178849,0.408830"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.772135,1.736097,1.723909,1.772727,1.871213,2.034223,2.269226"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.027140,-0.049285,-0.072127,-0.050722,0.033531,0.184412,0.414762"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.815744,5.747595,5.706079,5.772119,5.955710,6.265755,6.709002"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.325806,3.303972,3.256789,3.268872,3.393581,3.669694,4.124585"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.781226,5.714014,5.670140,5.741143,5.924511,6.232805,6.675785"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.293744,3.273260,3.224787,3.238628,3.366614,3.646009,4.087437"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.365919,5.330737,5.343475,5.431460,5.577754,5.790257,6.089212"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.486872,4.493374,4.473339,4.477493,4.541937,4.682051,4.919194"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.387735,5.353441,5.366457,5.454620,5.604060,5.819773,6.123775"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("4.587852,4.584571,4.533770,4.515580,4.567369,4.702036,4.935318"); - } - - } - - internal_power () { - - when : "!CK & D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.643286,1.606639,1.596980,1.648495,1.749640,1.916032,2.150115"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.050799,0.032832,0.012847,0.030825,0.111129,0.263107,0.495700"); - } - - } - - internal_power () { - - when : "!CK & D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.638601,1.602451,1.593316,1.644226,1.745376,1.911283,2.146155"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.033044,0.014991,-0.005058,0.013048,0.093827,0.245876,0.479036"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.772063,1.733766,1.722110,1.771886,1.870195,2.033376,2.269154"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.034025,-0.057855,-0.077472,-0.060803,0.023009,0.178912,0.407009"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.772372,1.733380,1.723539,1.771442,1.870178,2.033260,2.268091"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.031978,-0.053572,-0.074639,-0.054311,0.031526,0.184218,0.412911"); - } - - } - - internal_power () { - - when : "CK & !D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.128415,3.062215,3.018784,3.095347,3.281399,3.607576,4.049155"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.754504,0.732994,0.691178,0.710448,0.843286,1.119350,1.560260"); - } - - } - - internal_power () { - - when : "CK & !D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.087459,3.021069,2.979847,3.055596,3.243705,3.567831,4.014075"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.718685,0.696982,0.655003,0.673414,0.805158,1.081700,1.520258"); - } - - } - - internal_power () { - - when : "CK & D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.793487,2.758397,2.772402,2.857900,3.005955,3.223521,3.518555"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.802128,1.807668,1.787657,1.788833,1.854795,2.008234,2.233400"); - } - - } - - internal_power () { - - when : "CK & D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.819947,2.784672,2.798047,2.887268,3.036403,3.251911,3.547909"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.866420,1.863328,1.812593,1.791684,1.845109,1.991838,2.219694"); - } - - } - - internal_power () { - - when : "CK & D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.642575,1.605571,1.597380,1.647637,1.749192,1.914521,2.148119"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.050511,0.032518,0.012522,0.030400,0.110993,0.262311,0.495628"); - } - - } - - internal_power () { - - when : "CK & D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.639120,1.602093,1.593731,1.644659,1.745629,1.911758,2.145399"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.034611,0.016660,-0.003496,0.014517,0.095499,0.247748,0.480598"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.874821; - fall_capacitance : 0.839364; - rise_capacitance : 0.874821; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SE"; - sdf_cond : "SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.099078,-0.047584,0.003629", \ - "-0.134861,-0.081128,-0.026542", \ - "0.105582,0.161531,0.222036"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.027537,0.004893,0.027803", \ - "-0.023393,0.004023,0.006974", \ - "0.137563,0.164726,0.138528"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SE"; - sdf_cond : "SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.273938,0.246519,0.263396", \ - "0.331495,0.304157,0.321010", \ - "0.498279,0.469439,0.483718"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.264082,0.207029,0.147004", \ - "0.313316,0.256134,0.196720", \ - "0.395192,0.339249,0.278769"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.414266,0.398821,0.390225,0.387163,0.384731,0.384541,0.383296"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344292,-0.345626,-0.348969,-0.350548,-0.351423,-0.352045,-0.352559"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.412599,0.399595,0.390351,0.387958,0.385481,0.385329,0.384020"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.341902,-0.343753,-0.346747,-0.348338,-0.349257,-0.349963,-0.350482"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.159227,5.147396,5.130023,5.114860,5.123221,5.155336,5.227449"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.423680,3.408367,3.389148,3.372920,3.364971,3.392318,3.480163"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.128433,5.116297,5.098787,5.086613,5.088594,5.119833,5.194396"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.417025,3.401361,3.383712,3.366157,3.362274,3.389065,3.481235"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.358399,0.361486,0.361558,0.362258,0.361458,0.362110,0.361749"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249072,-0.271340,-0.299878,-0.315222,-0.322507,-0.326948,-0.330185"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357266,0.360718,0.361441,0.361525,0.360929,0.361407,0.361067"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.251194,-0.272421,-0.302082,-0.317548,-0.324941,-0.329551,-0.332779"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.148073,5.136415,5.118852,5.106119,5.112031,5.141985,5.213421"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.426616,3.410919,3.391949,3.375104,3.367228,3.394171,3.482411"); - } - - } - - internal_power () { - - when : "!CK & D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.116421,5.104230,5.086583,5.074162,5.075077,5.105788,5.179937"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.434581,3.414875,3.400685,3.381149,3.376196,3.406133,3.492875"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.411947,0.398886,0.390290,0.387227,0.384810,0.384602,0.383377"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.343819,-0.345169,-0.348515,-0.350100,-0.350983,-0.351618,-0.352101"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.414081,0.398672,0.390101,0.387043,0.384569,0.384442,0.383144"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.344591,-0.347249,-0.349425,-0.351703,-0.352004,-0.353521,-0.353185"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.474597,2.464269,2.445653,2.433159,2.440363,2.489728,2.565578"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.857222,0.840596,0.823578,0.811288,0.809798,0.842661,0.920333"); - } - - } - - internal_power () { - - when : "CK & !D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.426685,2.415048,2.395663,2.385412,2.393538,2.438228,2.520193"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.847359,0.830427,0.813337,0.800819,0.798890,0.829962,0.905076"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.358323,0.361402,0.362104,0.361592,0.361365,0.362022,0.361722"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249500,-0.271789,-0.300310,-0.315655,-0.322928,-0.327357,-0.330579"); - } - - } - - internal_power () { - - when : "CK & D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.357784,0.361233,0.361954,0.362030,0.361437,0.361881,0.361540"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.249619,-0.270832,-0.300484,-0.315956,-0.323347,-0.327966,-0.331151"); - } - - } - - internal_power () { - - when : "CK & D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.463267,2.452910,2.434147,2.421466,2.428168,2.476908,2.562431"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.859818,0.843147,0.826113,0.813927,0.812457,0.844365,0.923723"); - } - - } - - internal_power () { - - when : "CK & D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.425168,2.413660,2.394440,2.382752,2.391692,2.436827,2.528837"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.859583,0.842593,0.825760,0.813835,0.811607,0.840457,0.919128"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.942001; - fall_capacitance : 0.850759; - rise_capacitance : 0.942001; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.277982,0.284384,0.500500"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.310342,0.314796,0.500500"); - } - } - - internal_power () { - - when : "!D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.733461,2.707449,2.678097,2.697573,2.781817,2.940022,3.164804"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.147881,2.135456,2.102355,2.101486,2.154819,2.285190,2.503291"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.162308,5.132448,5.104937,5.121183,5.209475,5.371064,5.617895"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.733489,2.707501,2.678134,2.697611,2.781826,2.940069,3.164813"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.147887,2.135486,2.102389,2.101521,2.154850,2.285237,2.503339"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.169505,5.139459,5.111010,5.128422,5.216086,5.378742,5.617964"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.733330,2.707314,2.677971,2.697458,2.781744,2.939932,3.164820"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.147918,2.135465,2.102367,2.101493,2.154824,2.285220,2.503234"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.161149,5.129687,5.102608,5.118126,5.205906,5.369854,5.615921"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.192557,5.163217,5.132325,5.158094,5.240519,5.389363,5.615523"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.855733,2.828217,2.799067,2.820072,2.906064,3.066871,3.291486"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.037723,2.024951,1.987120,1.987273,2.044182,2.171768,2.383270"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.193417,5.161421,5.136509,5.157194,5.241189,5.390660,5.618591"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.855782,2.828277,2.799109,2.820118,2.906066,3.066932,3.294083"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.037741,2.025300,1.987175,1.987341,2.044261,2.171845,2.381334"); - } - - } - - internal_power () { - - when : "D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.194265,5.165329,5.137355,5.158046,5.242048,5.391526,5.619469"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.855771,2.828263,2.799098,2.820106,2.905952,3.066918,3.294080"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.037736,2.025286,1.987160,1.987328,2.044247,2.171830,2.381321"); - } - - } - - internal_power () { - - when : "D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.733377,2.707377,2.678023,2.697512,2.781760,2.939997,3.164835"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.147938,2.135513,2.102407,2.101548,2.154884,2.285293,2.503312"); - } - - } - - internal_power () { - - when : "D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.151888,5.121447,5.092855,5.110222,5.200402,5.364571,5.600455"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("5.161953,5.136278,5.107746,5.129035,5.214148,5.367710,5.596715"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.855718,2.828197,2.799051,2.820055,2.905950,3.066850,3.294076"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.037713,2.024931,1.987100,1.987253,2.044162,2.171748,2.381244"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.297851,0.325959,0.348794,0.383038,0.431209,0.495797,0.591825", \ - "0.303108,0.331236,0.354074,0.388353,0.436519,0.501119,0.597151", \ - "0.321008,0.349147,0.371981,0.406239,0.454417,0.519041,0.615071", \ - "0.344794,0.372900,0.395697,0.429943,0.478155,0.542799,0.638884", \ - "0.367943,0.396187,0.418917,0.452995,0.501248,0.565839,0.661981", \ - "0.388042,0.416211,0.438893,0.473049,0.521502,0.586224,0.682478", \ - "0.404268,0.432415,0.455281,0.488634,0.538204,0.602702,0.699196"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.191870,0.214421,0.234884,0.271126,0.337840,0.466276,0.720994", \ - "0.197066,0.219628,0.240097,0.276333,0.343055,0.471484,0.726211", \ - "0.214979,0.237523,0.257998,0.294234,0.360950,0.489376,0.744120", \ - "0.239672,0.262257,0.282640,0.318904,0.385592,0.514042,0.768753", \ - "0.264166,0.286738,0.307211,0.343371,0.410027,0.538561,0.793327", \ - "0.286440,0.308860,0.329616,0.365872,0.432499,0.561033,0.815475", \ - "0.304523,0.327132,0.347781,0.383901,0.450640,0.579105,0.833776"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.056264,0.065960,0.074078,0.086170,0.100910,0.125203,0.178172", \ - "0.056246,0.065958,0.074080,0.086172,0.100911,0.125196,0.178168", \ - "0.056285,0.065961,0.074082,0.086177,0.100905,0.125202,0.178164", \ - "0.056297,0.065967,0.074083,0.086176,0.100901,0.125200,0.178166", \ - "0.056281,0.065971,0.074079,0.086166,0.100913,0.125206,0.178154", \ - "0.056315,0.066041,0.074143,0.086236,0.100939,0.125201,0.178166", \ - "0.056390,0.066076,0.074196,0.086294,0.101020,0.125221,0.178147"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.023655,0.036865,0.050962,0.079267,0.137132,0.256572,0.499562", \ - "0.023661,0.036868,0.050963,0.079266,0.137137,0.256571,0.499563", \ - "0.023645,0.036860,0.050958,0.079263,0.137132,0.256575,0.499562", \ - "0.023650,0.036857,0.050952,0.079257,0.137130,0.256572,0.499560", \ - "0.023651,0.036861,0.050952,0.079260,0.137129,0.256573,0.499561", \ - "0.023657,0.036865,0.050955,0.079259,0.137127,0.256574,0.499561", \ - "0.023682,0.036882,0.050971,0.079269,0.137132,0.256566,0.499563"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.251927,5.176552,5.123962,5.155376,5.239083,5.336719,5.337075", \ - "5.248360,5.169725,5.121584,5.133084,5.252468,5.303524,5.338658", \ - "5.230341,5.155236,5.105293,5.125327,5.227017,5.319144,5.322034", \ - "5.224107,5.149182,5.083526,5.115942,5.200793,5.298722,5.317913", \ - "5.241462,5.165572,5.121993,5.125415,5.256689,5.325525,5.329690", \ - "5.308957,5.237691,5.185495,5.192989,5.318031,5.368057,5.399244", \ - "5.428976,5.347483,5.290249,5.299976,5.412923,5.507947,5.507778"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.435918,4.538884,4.652271,4.962352,5.038572,5.039815,5.086472", \ - "4.422495,4.530561,4.645735,4.917767,5.025453,5.053399,5.088476", \ - "4.403830,4.512907,4.625438,4.902604,5.002189,5.029752,5.071481", \ - "4.408047,4.508344,4.623681,4.899826,5.014022,5.042391,5.073074", \ - "4.441653,4.544137,4.655824,4.964325,5.042451,5.087612,5.105740", \ - "4.508642,4.612492,4.719931,5.029801,5.111682,5.141104,5.150375", \ - "4.624824,4.728866,4.832414,5.142931,5.190791,5.260165,5.254659"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.261723,0.269098,0.275726,0.287535,0.308353,0.345832,0.416835", \ - "0.266921,0.274307,0.280941,0.292745,0.313556,0.351043,0.422045", \ - "0.284833,0.292206,0.298838,0.310648,0.331460,0.368938,0.439962", \ - "0.309515,0.316936,0.323474,0.335314,0.356102,0.393602,0.464604", \ - "0.334018,0.341407,0.348053,0.359786,0.380535,0.418117,0.489175", \ - "0.356280,0.363543,0.370457,0.382289,0.403064,0.440569,0.511302", \ - "0.374385,0.381823,0.388625,0.400327,0.421159,0.458663,0.529622"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.419727,0.427687,0.434962,0.455928,0.511047,0.633535,0.886204", \ - "0.425013,0.432964,0.440244,0.461271,0.516358,0.638854,0.891523", \ - "0.442888,0.450875,0.458145,0.479138,0.534255,0.656774,0.909450", \ - "0.466676,0.474628,0.481869,0.502831,0.558001,0.680532,0.933251", \ - "0.489877,0.497903,0.505086,0.525810,0.581069,0.703568,0.956346", \ - "0.510011,0.517984,0.525089,0.545978,0.601349,0.723943,0.976808", \ - "0.526285,0.534197,0.541497,0.561557,0.618027,0.740371,0.993553"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.015370,0.019407,0.022923,0.029762,0.043600,0.072399,0.133135", \ - "0.015370,0.019405,0.022923,0.029768,0.043598,0.072394,0.133125", \ - "0.015373,0.019406,0.022927,0.029766,0.043605,0.072402,0.133128", \ - "0.015367,0.019402,0.022919,0.029765,0.043598,0.072394,0.133110", \ - "0.015372,0.019407,0.022925,0.029757,0.043600,0.072412,0.133121", \ - "0.015371,0.019406,0.022923,0.029755,0.043564,0.072403,0.133149", \ - "0.015378,0.019412,0.022928,0.029757,0.043592,0.072394,0.133129"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.025131,0.036911,0.047248,0.073159,0.132944,0.255026,0.499728", \ - "0.025127,0.036913,0.047250,0.073161,0.132939,0.255023,0.499728", \ - "0.025131,0.036916,0.047249,0.073161,0.132937,0.255024,0.499728", \ - "0.025129,0.036913,0.047249,0.073159,0.132944,0.255026,0.499729", \ - "0.025130,0.036917,0.047251,0.073163,0.132939,0.255022,0.499729", \ - "0.025133,0.036923,0.047253,0.073167,0.132940,0.255024,0.499730", \ - "0.025148,0.036934,0.047273,0.073165,0.132944,0.255027,0.499731"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("4.436955,4.538781,4.649230,4.957260,5.044923,5.064955,5.090990", \ - "4.423112,4.525066,4.648275,4.952774,5.004499,5.078468,5.070832", \ - "4.406939,4.517466,4.626805,4.933800,4.980935,5.054873,5.080306", \ - "4.409105,4.516011,4.619457,4.934459,4.993004,5.035204,5.055290", \ - "4.431153,4.536382,4.658656,4.962455,5.025204,5.085252,5.102610", \ - "4.511623,4.612145,4.715889,5.026325,5.102117,5.117807,5.153075", \ - "4.625881,4.729902,4.845041,5.146512,5.213176,5.243159,5.236411"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.252676,5.174230,5.119307,5.145531,5.257675,5.316360,5.319411", \ - "5.239755,5.172693,5.123167,5.123045,5.260110,5.329335,5.332969", \ - "5.224367,5.154789,5.102883,5.113415,5.241640,5.303456,5.307004", \ - "5.224415,5.149538,5.096683,5.105977,5.227676,5.311804,5.315124", \ - "5.242221,5.174204,5.122477,5.145931,5.246200,5.333727,5.339340", \ - "5.309712,5.239080,5.185588,5.183149,5.297215,5.393831,5.393051", \ - "5.425145,5.344915,5.285638,5.289957,5.429893,5.497750,5.490177"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X1 - Cell Description : Combinational tri-state cell (TBUF_X1) with drive strength X1 - *******************************************************************************************/ - - cell (TBUF_X1) { - - drive_strength : 1; - - area : 2.128000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 22.761620; - - leakage_power () { - when : "!A & !EN"; - value : 34.202945; - } - leakage_power () { - when : "!A & EN"; - value : 12.441076; - } - leakage_power () { - when : "A & !EN"; - value : 28.666649; - } - leakage_power () { - when : "A & EN"; - value : 15.735809; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.816175; - fall_capacitance : 1.680849; - rise_capacitance : 1.816175; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.658947; - fall_capacitance : 1.529342; - rise_capacitance : 1.658947; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.078683; - fall_capacitance : 1.076909; - rise_capacitance : 1.078683; - max_capacitance : 51.536430; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.442525429,2.688619429,4.300329429,7.523749429,13.97060943,26.86430943,52.65160943"); - values ("0.116371,0.126967,0.137161,0.153119,0.178485,0.220606,0.295148", \ - "0.119932,0.130525,0.140728,0.156688,0.182061,0.224184,0.298727", \ - "0.137188,0.147743,0.157956,0.173953,0.199359,0.241498,0.316049", \ - "0.167463,0.178007,0.188225,0.204165,0.229617,0.271799,0.346369", \ - "0.206488,0.218097,0.229069,0.245762,0.272015,0.314652,0.389421", \ - "0.250158,0.263204,0.275350,0.293391,0.321415,0.366018,0.442424", \ - "0.299764,0.314591,0.328174,0.348094,0.378238,0.425032,0.503303"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.444298857,2.690392857,4.302102857,7.525522857,13.97238286,26.86608286,52.65338286"); - values ("0.0546661,0.0685917,0.0853046,0.117659,0.181587,0.308973,0.563298", \ - "0.0589557,0.0728397,0.0895572,0.121953,0.185920,0.313339,0.567669", \ - "0.0746902,0.0884629,0.105134,0.137569,0.201692,0.329288,0.583753", \ - "0.0957356,0.109902,0.126695,0.159095,0.223143,0.350806,0.605386", \ - "0.114753,0.129863,0.146777,0.178746,0.242962,0.370510,0.625135", \ - "0.128912,0.146034,0.163814,0.196021,0.259808,0.387480,0.642108", \ - "0.136615,0.156562,0.176195,0.209406,0.273017,0.400309,0.655073"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.442525429,2.688619429,4.300329429,7.523749429,13.97060943,26.86430943,52.65160943"); - values ("0.0160242,0.0202583,0.0249629,0.0332852,0.0484159,0.0773744,0.136056", \ - "0.0160321,0.0202644,0.0249679,0.0332844,0.0484144,0.0773791,0.136062", \ - "0.0160952,0.0203341,0.0250248,0.0333191,0.0484282,0.0773838,0.136055", \ - "0.0163690,0.0205631,0.0251941,0.0334249,0.0484804,0.0774035,0.136065", \ - "0.0189511,0.0229218,0.0273761,0.0353013,0.0497808,0.0780888,0.136342", \ - "0.0223731,0.0262885,0.0307151,0.0386420,0.0531667,0.0812419,0.138319", \ - "0.0266999,0.0305410,0.0349392,0.0428291,0.0571937,0.0848269,0.141281"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.444298857,2.690392857,4.302102857,7.525522857,13.97238286,26.86608286,52.65338286"); - values ("0.0172319,0.0282738,0.0430024,0.0731630,0.134065,0.256139,0.499906", \ - "0.0172474,0.0282861,0.0430026,0.0731547,0.134062,0.256088,0.499909", \ - "0.0174635,0.0283949,0.0430463,0.0731698,0.134065,0.256087,0.499907", \ - "0.0192067,0.0295507,0.0438560,0.0734418,0.134052,0.256118,0.499908", \ - "0.0226905,0.0316748,0.0449784,0.0741485,0.134601,0.256106,0.499908", \ - "0.0280917,0.0359198,0.0476151,0.0750997,0.134999,0.256583,0.499929", \ - "0.0344502,0.0422329,0.0524948,0.0774142,0.135655,0.257054,0.500361"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.371483,0.385513,0.431992,0.508610,0.616775,0.760031,0.940206"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.094539,0.108936,0.154822,0.227060,0.328639,0.462531,0.631096"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.442525429,2.688619429,4.300329429,7.523749429,13.97060943,26.86430943,52.65160943"); - values ("0.099562,0.110250,0.120607,0.136782,0.162371,0.204656,0.279281", \ - "0.100263,0.110962,0.121316,0.137492,0.163083,0.205368,0.279993", \ - "0.114546,0.125233,0.135587,0.151756,0.177347,0.219633,0.294258", \ - "0.148016,0.158892,0.169307,0.185546,0.211181,0.253497,0.328136", \ - "0.191551,0.204498,0.216250,0.233860,0.260789,0.303864,0.378818", \ - "0.238809,0.254490,0.268102,0.287681,0.316389,0.361364,0.438446", \ - "0.290396,0.309129,0.325028,0.347255,0.378531,0.425473,0.503662"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.444298857,2.690392857,4.302102857,7.525522857,13.97238286,26.86608286,52.65338286"); - values ("0.105906,0.119379,0.135737,0.167713,0.231350,0.358500,0.612739", \ - "0.110031,0.123501,0.139864,0.171837,0.235477,0.362625,0.616864", \ - "0.128702,0.142181,0.158540,0.190520,0.254159,0.381300,0.635541", \ - "0.163145,0.176630,0.192997,0.224977,0.288609,0.415758,0.669995", \ - "0.203094,0.216691,0.233106,0.265092,0.328748,0.455887,0.710116", \ - "0.247501,0.261250,0.277721,0.309754,0.373385,0.500510,0.754761", \ - "0.296246,0.310188,0.326762,0.358827,0.422474,0.549584,0.803817"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.442525429,2.688619429,4.300329429,7.523749429,13.97060943,26.86430943,52.65160943"); - values ("0.017800,0.021771,0.026212,0.034219,0.049032,0.077731,0.136203", \ - "0.017785,0.021771,0.026224,0.034218,0.049030,0.077732,0.136205", \ - "0.017797,0.021768,0.026225,0.034222,0.049033,0.077729,0.136201", \ - "0.018345,0.022106,0.026453,0.034369,0.049124,0.077781,0.136221", \ - "0.023549,0.026430,0.030204,0.037500,0.051399,0.078982,0.136695", \ - "0.029824,0.032137,0.035398,0.041925,0.055163,0.082656,0.139536", \ - "0.036546,0.038682,0.041807,0.047873,0.060053,0.085951,0.142224"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.444298857,2.690392857,4.302102857,7.525522857,13.97238286,26.86608286,52.65338286"); - values ("0.017896,0.028686,0.043217,0.073211,0.134077,0.256022,0.499627", \ - "0.017891,0.028680,0.043221,0.073213,0.134080,0.256022,0.499627", \ - "0.017866,0.028703,0.043224,0.073208,0.134074,0.256024,0.499626", \ - "0.017969,0.028728,0.043238,0.073216,0.134076,0.256023,0.499627", \ - "0.018229,0.028916,0.043347,0.073263,0.134083,0.256017,0.499626", \ - "0.018629,0.029184,0.043523,0.073336,0.134015,0.256017,0.499626", \ - "0.019215,0.029554,0.043762,0.073460,0.134141,0.255916,0.499635"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.442525429,2.688619429,4.300329429,7.523749429,13.97060943,26.86430943,52.65160943"); - values ("3.207283,3.357984,3.473392,3.585738,3.716496,3.794371,3.824237", \ - "3.151323,3.296906,3.413794,3.530211,3.656275,3.736512,3.766152", \ - "3.080438,3.236776,3.347313,3.475729,3.596094,3.678499,3.713204", \ - "3.095516,3.231349,3.343943,3.477238,3.607953,3.690312,3.731152", \ - "3.092465,3.269246,3.395983,3.540358,3.683198,3.777256,3.819015", \ - "3.105238,3.267210,3.401287,3.586968,3.800232,3.958218,4.005573", \ - "3.272703,3.436737,3.570265,3.760001,3.965533,4.175513,4.322301"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.444298857,2.690392857,4.302102857,7.525522857,13.97238286,26.86608286,52.65338286"); - values ("1.560484,1.621275,1.652349,1.672514,1.684698,1.720650,1.735040", \ - "1.515250,1.573904,1.613399,1.635832,1.641167,1.634134,1.657162", \ - "1.452358,1.496166,1.536474,1.562086,1.573013,1.631648,1.619051", \ - "1.447513,1.495186,1.511803,1.549402,1.537308,1.602686,1.637397", \ - "1.615527,1.638280,1.632997,1.634445,1.657963,1.668496,1.709755", \ - "1.851517,1.910660,1.920065,1.887552,1.861454,1.864794,1.912822", \ - "2.181391,2.265685,2.295642,2.287558,2.280101,2.230970,2.270548"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.442525429,2.688619429,4.300329429,7.523749429,13.97060943,26.86430943,52.65160943"); - values ("2.997267,3.098990,3.195299,3.290170,3.414551,3.488605,3.517861", \ - "2.914898,3.028849,3.118783,3.212787,3.338040,3.411448,3.441019", \ - "2.888968,2.989904,3.078385,3.186282,3.306526,3.382794,3.412054", \ - "2.961141,3.070929,3.155965,3.272844,3.379850,3.455823,3.484972", \ - "3.026421,3.165841,3.299253,3.427258,3.547797,3.624406,3.655937", \ - "3.172817,3.314784,3.434478,3.576608,3.761067,3.902913,3.943580", \ - "3.473511,3.611024,3.715205,3.878426,4.057556,4.227218,4.355132"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.444298857,2.690392857,4.302102857,7.525522857,13.97238286,26.86608286,52.65338286"); - values ("3.191776,3.218390,3.229513,3.238376,3.247366,3.257305,3.260924", \ - "3.148573,3.175225,3.191756,3.195356,3.204277,3.214259,3.217913", \ - "3.146397,3.173926,3.186189,3.196064,3.205656,3.216086,3.219845", \ - "3.154246,3.200097,3.211577,3.206494,3.237548,3.226885,3.230856", \ - "3.183875,3.275870,3.295388,3.310235,3.321573,3.333096,3.337620", \ - "3.221261,3.298898,3.354939,3.451966,3.460520,3.464363,3.471061", \ - "3.370199,3.462131,3.522157,3.589384,3.689324,3.677671,3.688578"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X2 - Cell Description : Combinational tri-state cell (TBUF_X2) with drive strength X2 - *******************************************************************************************/ - - cell (TBUF_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 40.669490; - - leakage_power () { - when : "!A & !EN"; - value : 62.825115; - } - leakage_power () { - when : "!A & EN"; - value : 20.803698; - } - leakage_power () { - when : "A & !EN"; - value : 51.586425; - } - leakage_power () { - when : "A & EN"; - value : 27.462723; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.205646; - fall_capacitance : 2.916463; - rise_capacitance : 3.205646; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.637203; - fall_capacitance : 2.476396; - rise_capacitance : 2.637203; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.678245; - fall_capacitance : 1.674616; - rise_capacitance : 1.678245; - max_capacitance : 103.551400; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("2.040231571,4.912335571,8.150055571,14.62551557,27.57631557,53.47811557,105.2816156"); - values ("0.101318,0.113912,0.123791,0.139158,0.163716,0.204954,0.278919", \ - "0.104815,0.117411,0.127294,0.142668,0.167230,0.208471,0.282437", \ - "0.122248,0.134773,0.144664,0.160072,0.184659,0.225915,0.299884", \ - "0.152275,0.164849,0.174750,0.190181,0.214846,0.256155,0.330178", \ - "0.188969,0.203062,0.213930,0.230264,0.256010,0.298023,0.372319", \ - "0.229811,0.245821,0.257897,0.275702,0.303174,0.347125,0.423166", \ - "0.276311,0.294690,0.308290,0.327917,0.357563,0.403715,0.481525"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("2.043861286,4.915965286,8.153685286,14.62914529,27.57994529,53.48174529,105.2852453"); - values ("0.0484289,0.0651099,0.0819860,0.114509,0.178701,0.306631,0.562115", \ - "0.0527228,0.0693337,0.0862171,0.118789,0.183040,0.310991,0.566497", \ - "0.0683618,0.0848174,0.101654,0.134277,0.198702,0.326839,0.582481", \ - "0.0884091,0.105488,0.122452,0.155159,0.219506,0.347715,0.603481", \ - "0.105700,0.124327,0.141490,0.173900,0.238454,0.366617,0.622409", \ - "0.117662,0.139301,0.157483,0.189946,0.254069,0.382380,0.638169", \ - "0.122950,0.148432,0.168728,0.202314,0.266317,0.394242,0.650244"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("2.040231571,4.912335571,8.150055571,14.62551557,27.57631557,53.47811557,105.2816156"); - values ("0.0130477,0.0180660,0.0227592,0.0310587,0.0462251,0.0754500,0.134963", \ - "0.0130612,0.0180732,0.0227656,0.0310603,0.0462255,0.0754509,0.134964", \ - "0.0131097,0.0181449,0.0228199,0.0310874,0.0462364,0.0754526,0.134968", \ - "0.0135733,0.0184585,0.0230505,0.0312323,0.0463111,0.0754883,0.134978", \ - "0.0162853,0.0210581,0.0255188,0.0334971,0.0480947,0.0764636,0.135341", \ - "0.0197041,0.0244490,0.0288913,0.0368049,0.0513584,0.0796356,0.137532", \ - "0.0239702,0.0287138,0.0331553,0.0410549,0.0554390,0.0832274,0.140307"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("2.043861286,4.915965286,8.153685286,14.62914529,27.57994529,53.48174529,105.2852453"); - values ("0.0133941,0.0261173,0.0408975,0.0711559,0.132293,0.254797,0.499726", \ - "0.0134147,0.0261348,0.0409037,0.0711642,0.132277,0.254790,0.499722", \ - "0.0137005,0.0262595,0.0409512,0.0711712,0.132275,0.254796,0.499729", \ - "0.0157163,0.0274647,0.0418191,0.0715055,0.132299,0.254778,0.499731", \ - "0.0196686,0.0297131,0.0429409,0.0721799,0.132925,0.254806,0.499723", \ - "0.0251050,0.0341619,0.0456869,0.0731485,0.133278,0.255444,0.499764", \ - "0.0311498,0.0405661,0.0507168,0.0755038,0.133952,0.255893,0.500262"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.315579,0.329694,0.377899,0.458661,0.572041,0.720693,0.906716"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.077009,0.091382,0.136735,0.207796,0.308246,0.440139,0.605696"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("2.040231571,4.912335571,8.150055571,14.62551557,27.57631557,53.47811557,105.2816156"); - values ("0.084555,0.097208,0.107211,0.122773,0.147524,0.188905,0.262936", \ - "0.085178,0.097828,0.107832,0.123395,0.148146,0.189527,0.263561", \ - "0.099876,0.112527,0.122529,0.138091,0.162841,0.204222,0.278255", \ - "0.133040,0.146214,0.156375,0.172055,0.196890,0.238321,0.312374", \ - "0.172599,0.188700,0.200352,0.217555,0.243915,0.286527,0.361015", \ - "0.215719,0.235422,0.249020,0.268224,0.296201,0.340302,0.416984", \ - "0.263426,0.287057,0.302990,0.324862,0.355394,0.401358,0.478848"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("2.043861286,4.915965286,8.153685286,14.62914529,27.57994529,53.48174529,105.2852453"); - values ("0.116706,0.132943,0.149504,0.181682,0.245605,0.373342,0.628729", \ - "0.120948,0.137186,0.153746,0.185927,0.249850,0.377586,0.632972", \ - "0.139327,0.155562,0.172124,0.204300,0.268227,0.395963,0.651347", \ - "0.176003,0.192254,0.208824,0.240999,0.304925,0.432657,0.688045", \ - "0.222736,0.239183,0.255806,0.288019,0.351941,0.479668,0.735047", \ - "0.273859,0.290629,0.307353,0.339603,0.403530,0.531251,0.786626", \ - "0.329290,0.346547,0.363421,0.395728,0.459668,0.587365,0.842734"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("2.040231571,4.912335571,8.150055571,14.62551557,27.57631557,53.47811557,105.2816156"); - values ("0.014660,0.019434,0.023892,0.031890,0.046768,0.075752,0.135079", \ - "0.014663,0.019433,0.023894,0.031892,0.046771,0.075752,0.135079", \ - "0.014675,0.019438,0.023895,0.031893,0.046770,0.075753,0.135079", \ - "0.016177,0.020125,0.024349,0.032175,0.046938,0.075840,0.135116", \ - "0.021356,0.024669,0.028363,0.035605,0.049823,0.077575,0.135737", \ - "0.027392,0.030252,0.033523,0.039951,0.053102,0.080819,0.138911", \ - "0.033774,0.036549,0.039766,0.045792,0.057889,0.083963,0.141074"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("2.043861286,4.915965286,8.153685286,14.62914529,27.57994529,53.48174529,105.2852453"); - values ("0.014405,0.026753,0.041264,0.071281,0.132309,0.254749,0.499575", \ - "0.014406,0.026764,0.041269,0.071270,0.132312,0.254750,0.499576", \ - "0.014401,0.026754,0.041260,0.071267,0.132308,0.254756,0.499577", \ - "0.014433,0.026786,0.041267,0.071281,0.132300,0.254751,0.499576", \ - "0.014826,0.027004,0.041423,0.071339,0.132323,0.254751,0.499577", \ - "0.015432,0.027398,0.041660,0.071444,0.132341,0.254768,0.499576", \ - "0.016328,0.027954,0.041986,0.071597,0.132410,0.254735,0.499578"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("2.040231571,4.912335571,8.150055571,14.62551557,27.57631557,53.47811557,105.2816156"); - values ("5.708468,6.066082,6.279281,6.511050,6.713612,6.830535,6.884410", \ - "5.580879,5.948087,6.165698,6.379157,6.581840,6.716213,6.763836", \ - "5.476996,5.827249,6.036657,6.259861,6.477073,6.606211,6.656275", \ - "5.469532,5.815061,6.026730,6.273244,6.483957,6.636600,6.691804", \ - "5.397321,5.829341,6.116234,6.410962,6.652974,6.812717,6.881539", \ - "5.449905,5.855991,6.128869,6.460058,6.865179,7.197551,7.283344", \ - "5.817169,6.217943,6.490389,6.844875,7.243762,7.622882,7.938512"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("2.043861286,4.915965286,8.153685286,14.62914529,27.57994529,53.48174529,105.2852453"); - values ("2.911377,3.102130,3.188234,3.207959,3.252744,3.316466,3.294643", \ - "2.817075,3.022082,3.101908,3.126778,3.213171,3.228147,3.207737", \ - "2.695193,2.842456,2.934829,2.967187,3.024993,3.107827,3.098230", \ - "2.719940,2.838465,2.888094,2.963115,3.010739,3.073737,3.077365", \ - "3.028410,3.163285,3.148572,3.119049,3.171148,3.239274,3.254712", \ - "3.484579,3.692429,3.721506,3.710490,3.661475,3.680358,3.703971", \ - "4.104184,4.421221,4.503845,4.496034,4.494090,4.454094,4.469739"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("2.040231571,4.912335571,8.150055571,14.62551557,27.57631557,53.47811557,105.2816156"); - values ("4.244979,4.535293,4.726498,4.913151,5.111123,5.233780,5.276080", \ - "4.136232,4.407069,4.603634,4.803799,4.993257,5.118554,5.160363", \ - "4.076036,4.370270,4.543472,4.756956,4.951865,5.073028,5.116081", \ - "4.139336,4.450089,4.646159,4.857271,5.050148,5.178252,5.222498", \ - "4.144169,4.524735,4.766232,5.036535,5.289788,5.424579,5.469014", \ - "4.329740,4.680696,4.932062,5.214407,5.549595,5.811523,5.879054", \ - "4.710767,5.084273,5.313675,5.617920,5.937521,6.230835,6.465181"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("2.043861286,4.915965286,8.153685286,14.62914529,27.57994529,53.48174529,105.2852453"); - values ("4.908173,5.063283,5.084380,5.099001,5.100548,5.153805,5.101764", \ - "4.862003,5.028437,5.040587,5.054032,5.055165,5.108939,5.126393", \ - "4.820702,4.960063,5.026176,5.007432,5.077592,5.063136,5.080463", \ - "4.865270,5.003945,5.022473,5.064648,5.069401,5.053278,5.071700", \ - "4.827451,5.018080,5.101602,5.086912,5.098081,5.147971,5.167180", \ - "4.824808,5.034412,5.133980,5.219363,5.234877,5.296929,5.317782", \ - "4.894056,5.147173,5.261646,5.355485,5.463019,5.485520,5.490375"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X4 - Cell Description : Combinational tri-state cell (TBUF_X4) with drive strength X4 - *******************************************************************************************/ - - cell (TBUF_X4) { - - drive_strength : 4; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 51.951593; - - leakage_power () { - when : "!A & !EN"; - value : 77.466610; - } - leakage_power () { - when : "!A & EN"; - value : 24.171828; - } - leakage_power () { - when : "A & !EN"; - value : 73.968710; - } - leakage_power () { - when : "A & EN"; - value : 32.199224; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.264968; - fall_capacitance : 2.824392; - rise_capacitance : 3.264968; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.335222; - fall_capacitance : 2.183987; - rise_capacitance : 2.335222; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.302694; - fall_capacitance : 3.297811; - rise_capacitance : 3.302694; - max_capacitance : 206.680600; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("3.663427071,9.763721071,16.22961107,29.16141107,55.02511107,106.7528111,210.2068111"); - values ("0.103291,0.119569,0.131133,0.148689,0.175881,0.220064,0.296749", \ - "0.104139,0.120347,0.131905,0.149460,0.176654,0.220839,0.297525", \ - "0.118418,0.134499,0.146045,0.163613,0.190829,0.234991,0.311660", \ - "0.152166,0.168129,0.179581,0.197208,0.224521,0.268781,0.345486", \ - "0.197929,0.216138,0.228729,0.247215,0.275503,0.320337,0.397332", \ - "0.247311,0.268659,0.283089,0.303646,0.333859,0.380674,0.459473", \ - "0.301078,0.325722,0.342386,0.365680,0.398540,0.447420,0.527670"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("3.668309786,9.768603786,16.23449379,29.16629379,55.02999379,106.7576938,210.2116938"); - values ("0.0546989,0.0739180,0.0913474,0.124201,0.188466,0.316281,0.571542", \ - "0.0590668,0.0782147,0.0956500,0.128556,0.192877,0.320709,0.575980", \ - "0.0751744,0.0941160,0.111488,0.144428,0.208933,0.336972,0.592373", \ - "0.0986299,0.118422,0.135996,0.168891,0.233293,0.361427,0.616980", \ - "0.119819,0.141870,0.159815,0.192596,0.257137,0.385201,0.640762", \ - "0.135621,0.161617,0.180987,0.214225,0.278347,0.406389,0.661699", \ - "0.144755,0.175421,0.197408,0.232267,0.296326,0.423802,0.679233"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("3.663427071,9.763721071,16.22961107,29.16141107,55.02511107,106.7528111,210.2068111"); - values ("0.0177397,0.0236164,0.0285934,0.0372468,0.0527649,0.0820869,0.140819", \ - "0.0177580,0.0236360,0.0286050,0.0372537,0.0527672,0.0820885,0.140813", \ - "0.0177827,0.0237019,0.0286621,0.0372885,0.0527816,0.0820912,0.140821", \ - "0.0182289,0.0240386,0.0289333,0.0374698,0.0528833,0.0821423,0.140837", \ - "0.0233813,0.0283337,0.0326545,0.0404181,0.0547512,0.0831260,0.141255", \ - "0.0295781,0.0346333,0.0385928,0.0457344,0.0594930,0.0873433,0.143910", \ - "0.0361175,0.0417996,0.0458430,0.0525890,0.0652742,0.0914417,0.147542"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("3.668309786,9.768603786,16.23449379,29.16629379,55.02999379,106.7576938,210.2116938"); - values ("0.0144341,0.0279043,0.0424166,0.0722585,0.133085,0.255345,0.499990", \ - "0.0144544,0.0279241,0.0424254,0.0722623,0.133077,0.255349,0.499986", \ - "0.0146602,0.0280460,0.0424862,0.0722726,0.133084,0.255355,0.499991", \ - "0.0172219,0.0295021,0.0433882,0.0725396,0.133099,0.255353,0.499993", \ - "0.0222852,0.0326234,0.0453229,0.0736944,0.133691,0.255359,0.499999", \ - "0.0289810,0.0384261,0.0492669,0.0753598,0.134330,0.256016,0.499986", \ - "0.0366981,0.0463746,0.0559631,0.0789341,0.135379,0.256549,0.500539"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.329487,0.343534,0.391866,0.472913,0.586441,0.735462,0.922284"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.081102,0.095441,0.143738,0.221783,0.330852,0.473055,0.650265"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("3.663427071,9.763721071,16.22961107,29.16141107,55.02511107,106.7528111,210.2068111"); - values ("0.114882,0.131419,0.143104,0.160832,0.188215,0.232553,0.309326", \ - "0.118528,0.135069,0.146755,0.164483,0.191870,0.236207,0.312978", \ - "0.135827,0.152363,0.164047,0.181779,0.209165,0.253502,0.330277", \ - "0.165933,0.182517,0.194219,0.211966,0.239364,0.283711,0.360490", \ - "0.204924,0.223232,0.235751,0.254331,0.282325,0.327012,0.403961", \ - "0.248579,0.269388,0.283253,0.303459,0.333366,0.380017,0.458423", \ - "0.297453,0.321728,0.337288,0.359475,0.391597,0.440517,0.520991"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("3.668309786,9.768603786,16.23449379,29.16629379,55.02999379,106.7576938,210.2116938"); - values ("0.125404,0.144126,0.161251,0.193765,0.257726,0.385361,0.640512", \ - "0.129660,0.148384,0.165508,0.198021,0.261981,0.389617,0.644769", \ - "0.147979,0.166703,0.183827,0.216336,0.280301,0.407935,0.663085", \ - "0.184728,0.203466,0.220601,0.253110,0.317076,0.444707,0.699858", \ - "0.232196,0.251130,0.268326,0.300866,0.364828,0.492473,0.747616", \ - "0.284290,0.303562,0.320879,0.353475,0.417433,0.545044,0.800180", \ - "0.340753,0.360554,0.378017,0.410697,0.474669,0.602251,0.857369"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("3.663427071,9.763721071,16.22961107,29.16141107,55.02511107,106.7528111,210.2068111"); - values ("0.019475,0.025104,0.029873,0.038232,0.053440,0.082490,0.140996", \ - "0.019480,0.025106,0.029874,0.038234,0.053439,0.082488,0.140994", \ - "0.019481,0.025108,0.029876,0.038238,0.053440,0.082489,0.140995", \ - "0.019648,0.025198,0.029937,0.038276,0.053466,0.082502,0.141005", \ - "0.022793,0.027827,0.032262,0.040093,0.054604,0.083106,0.141262", \ - "0.027262,0.031852,0.036203,0.044035,0.058515,0.086527,0.143234", \ - "0.033221,0.037013,0.041207,0.048885,0.063135,0.090666,0.146792"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("3.668309786,9.768603786,16.23449379,29.16629379,55.02999379,106.7576938,210.2116938"); - values ("0.015609,0.028708,0.042927,0.072443,0.133111,0.255355,0.499971", \ - "0.015623,0.028715,0.042932,0.072440,0.133112,0.255356,0.499972", \ - "0.015622,0.028717,0.042927,0.072442,0.133109,0.255356,0.499971", \ - "0.015654,0.028736,0.042930,0.072454,0.133108,0.255342,0.499970", \ - "0.016041,0.028985,0.043097,0.072520,0.133129,0.255342,0.499970", \ - "0.016684,0.029394,0.043378,0.072653,0.133162,0.255353,0.499970", \ - "0.017624,0.029992,0.043778,0.072858,0.133235,0.255358,0.499974"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("3.663427071,9.763721071,16.22961107,29.16141107,55.02511107,106.7528111,210.2068111"); - values ("6.481120,7.074802,7.430476,7.812031,8.160200,8.419419,8.556500", \ - "6.359993,6.945455,7.306638,7.662081,8.030031,8.292565,8.425568", \ - "6.262218,6.822429,7.161229,7.533882,7.916435,8.173622,8.306189", \ - "6.357155,6.872043,7.180546,7.560102,7.956942,8.245005,8.398990", \ - "6.564484,7.100136,7.432494,7.818342,8.215510,8.522569,8.683483", \ - "6.887912,7.329949,7.647325,8.087072,8.612082,9.004136,9.188788", \ - "7.521130,7.884800,8.185084,8.594782,9.094189,9.607050,9.951930"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("3.668309786,9.768603786,16.23449379,29.16629379,55.02999379,106.7576938,210.2116938"); - values ("4.549977,4.988635,5.114318,5.153761,5.287165,5.285795,5.232113", \ - "4.526403,4.912726,5.078293,5.121740,5.212066,5.232044,5.178847", \ - "4.354350,4.699770,4.808759,4.910792,4.942894,5.140001,5.056050", \ - "4.274641,4.620296,4.769450,4.782648,4.869801,5.039401,5.035720", \ - "4.498337,4.879336,4.917363,4.993582,4.950353,5.132689,5.151188", \ - "4.836169,5.339493,5.453916,5.468146,5.385620,5.514859,5.550504", \ - "5.390133,6.000008,6.195959,6.257363,6.251859,6.277082,6.317591"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("3.663427071,9.763721071,16.22961107,29.16141107,55.02511107,106.7528111,210.2068111"); - values ("6.165266,6.564551,6.790413,7.054437,7.352867,7.574489,7.684141", \ - "6.087525,6.480845,6.708264,6.972265,7.269561,7.490245,7.599442", \ - "6.044901,6.424273,6.626609,6.910544,7.202032,7.423362,7.531260", \ - "6.017375,6.423943,6.637751,6.915381,7.212938,7.434583,7.547043", \ - "5.987471,6.469929,6.718005,6.998794,7.294715,7.530524,7.644036", \ - "5.968868,6.399290,6.686610,7.020625,7.461550,7.747566,7.877009", \ - "6.018975,6.567187,6.859090,7.219396,7.606553,8.023180,8.282071"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("3.668309786,9.768603786,16.23449379,29.16629379,55.02999379,106.7576938,210.2116938"); - values ("7.194472,7.449686,7.465319,7.479376,7.520819,7.558672,7.454448", \ - "7.088849,7.416312,7.413195,7.424478,7.548571,7.503558,7.538198", \ - "7.144678,7.375884,7.481157,7.498402,7.488116,7.442603,7.477092", \ - "7.116683,7.404580,7.486180,7.467668,7.456409,7.410215,7.444917", \ - "7.161470,7.477976,7.528622,7.547614,7.537750,7.490851,7.525808", \ - "7.030065,7.438343,7.571622,7.650607,7.653374,7.734429,7.632296", \ - "7.087685,7.461013,7.661070,7.725982,7.847688,7.940583,7.839988"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X8 - Cell Description : Combinational tri-state cell (TBUF_X8) with drive strength X8 - *******************************************************************************************/ - - cell (TBUF_X8) { - - drive_strength : 8; - - area : 4.788000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 104.035260; - - leakage_power () { - when : "!A & !EN"; - value : 155.095100; - } - leakage_power () { - when : "!A & EN"; - value : 48.457505; - } - leakage_power () { - when : "A & !EN"; - value : 148.075930; - } - leakage_power () { - when : "A & EN"; - value : 64.512505; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.489635; - fall_capacitance : 5.591254; - rise_capacitance : 6.489635; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.807083; - fall_capacitance : 4.487464; - rise_capacitance : 4.807083; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.907709; - fall_capacitance : 6.898096; - rise_capacitance : 6.907709; - max_capacitance : 412.598000; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("7.263711571,19.79179557,32.68549557,58.47279557,110.0470956,213.1970956,419.4960956"); - values ("0.103035,0.119632,0.131079,0.148523,0.175596,0.219633,0.296121", \ - "0.103903,0.120429,0.131868,0.149313,0.176389,0.220427,0.296914", \ - "0.118199,0.134634,0.146060,0.163509,0.190580,0.234589,0.311058", \ - "0.151981,0.168238,0.179635,0.197165,0.224328,0.268402,0.344862", \ - "0.197742,0.216237,0.228675,0.247233,0.275365,0.319994,0.396705", \ - "0.247165,0.268773,0.283003,0.303365,0.333461,0.380179,0.458718", \ - "0.301018,0.325887,0.342295,0.365355,0.398032,0.446731,0.526770"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("7.273324643,19.80140864,32.69510864,58.48240864,110.0567086,213.2067086,419.5057086"); - values ("0.0557590,0.0756423,0.0930827,0.125914,0.190064,0.317621,0.572388", \ - "0.0601327,0.0799505,0.0973987,0.130281,0.194486,0.322070,0.576840", \ - "0.0762704,0.0958682,0.113276,0.146206,0.210581,0.338362,0.593264", \ - "0.100077,0.120561,0.138114,0.171040,0.235397,0.363262,0.618304", \ - "0.121624,0.144444,0.162431,0.195339,0.259932,0.387674,0.642716", \ - "0.137827,0.164720,0.184109,0.217404,0.281499,0.409389,0.664264", \ - "0.147349,0.179070,0.201057,0.235980,0.300031,0.427292,0.682268"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("7.263711571,19.79179557,32.68549557,58.47279557,110.0470956,213.1970956,419.4960956"); - values ("0.0177365,0.0237368,0.0286732,0.0372845,0.0527492,0.0820041,0.140620", \ - "0.0177597,0.0237572,0.0286878,0.0372885,0.0527537,0.0820031,0.140614", \ - "0.0177795,0.0238205,0.0287432,0.0373234,0.0527651,0.0820074,0.140621", \ - "0.0182084,0.0241507,0.0290072,0.0374995,0.0528670,0.0820562,0.140636", \ - "0.0233321,0.0284237,0.0327249,0.0404440,0.0547382,0.0830441,0.141057", \ - "0.0294535,0.0346795,0.0386252,0.0457552,0.0594719,0.0872549,0.143725", \ - "0.0359739,0.0418012,0.0458413,0.0525750,0.0652337,0.0913394,0.147331"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("7.273324643,19.80140864,32.69510864,58.48240864,110.0567086,213.2067086,419.5057086"); - values ("0.0145576,0.0284108,0.0428732,0.0726067,0.133268,0.255250,0.499370", \ - "0.0145751,0.0284301,0.0428828,0.0726134,0.133271,0.255242,0.499363", \ - "0.0147804,0.0285537,0.0429493,0.0726194,0.133272,0.255249,0.499365", \ - "0.0172957,0.0299769,0.0438213,0.0728786,0.133295,0.255253,0.499365", \ - "0.0223474,0.0330488,0.0457735,0.0740666,0.133875,0.255267,0.499370", \ - "0.0290499,0.0387945,0.0496856,0.0757497,0.134518,0.255925,0.499364", \ - "0.0367469,0.0467342,0.0563468,0.0793256,0.135586,0.256451,0.499947"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.341214,0.355272,0.403711,0.485088,0.598947,0.748395,0.935634"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.083841,0.098178,0.146429,0.224345,0.333232,0.475326,0.652472"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("7.263711571,19.79179557,32.68549557,58.47279557,110.0470956,213.1970956,419.4960956"); - values ("0.114597,0.131487,0.143049,0.160661,0.187921,0.232109,0.308683", \ - "0.118254,0.135153,0.146716,0.164328,0.191589,0.235780,0.312352", \ - "0.135574,0.152456,0.164020,0.181633,0.208893,0.253083,0.329659", \ - "0.165683,0.182615,0.194199,0.211830,0.239103,0.283301,0.359879", \ - "0.204649,0.223312,0.235695,0.254153,0.282026,0.326582,0.403350", \ - "0.248166,0.269479,0.283196,0.303247,0.333024,0.379524,0.457726", \ - "0.297029,0.321774,0.337160,0.359188,0.391169,0.439926,0.520183"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("7.273324643,19.80140864,32.69510864,58.48240864,110.0567086,213.2067086,419.5057086"); - values ("0.127635,0.147014,0.164163,0.196663,0.260522,0.387903,0.642571", \ - "0.131905,0.151282,0.168431,0.200931,0.264786,0.392170,0.646838", \ - "0.150191,0.169568,0.186721,0.219223,0.283079,0.410461,0.665131", \ - "0.186965,0.206362,0.223518,0.256021,0.319877,0.447259,0.701928", \ - "0.234733,0.254329,0.271551,0.304069,0.367920,0.495300,0.749963", \ - "0.287098,0.307039,0.324347,0.356932,0.420790,0.548152,0.802805", \ - "0.343868,0.364330,0.381809,0.414483,0.478358,0.605698,0.860337"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("7.263711571,19.79179557,32.68549557,58.47279557,110.0470956,213.1970956,419.4960956"); - values ("0.019425,0.025178,0.029919,0.038248,0.053413,0.082396,0.140793", \ - "0.019430,0.025177,0.029920,0.038249,0.053415,0.082397,0.140794", \ - "0.019422,0.025185,0.029922,0.038252,0.053414,0.082398,0.140793", \ - "0.019601,0.025271,0.029984,0.038291,0.053439,0.082410,0.140799", \ - "0.022735,0.027883,0.032309,0.040117,0.054585,0.083020,0.141064", \ - "0.027202,0.031900,0.036234,0.044047,0.058490,0.086443,0.143041", \ - "0.033216,0.037039,0.041230,0.048890,0.063105,0.090573,0.146587"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("7.273324643,19.80140864,32.69510864,58.48240864,110.0567086,213.2067086,419.5057086"); - values ("0.015747,0.029230,0.043396,0.072806,0.133293,0.255249,0.499351", \ - "0.015751,0.029229,0.043394,0.072806,0.133296,0.255250,0.499351", \ - "0.015763,0.029234,0.043399,0.072805,0.133299,0.255251,0.499349", \ - "0.015801,0.029254,0.043406,0.072816,0.133297,0.255251,0.499351", \ - "0.016178,0.029490,0.043568,0.072878,0.133310,0.255250,0.499351", \ - "0.016802,0.029893,0.043839,0.073021,0.133355,0.255252,0.499351", \ - "0.017713,0.030473,0.044240,0.073216,0.133421,0.255264,0.499356"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("7.263711571,19.79179557,32.68549557,58.47279557,110.0470956,213.1970956,419.4960956"); - values ("13.247740,14.501060,15.165070,15.925540,16.674780,17.196750,17.461260", \ - "12.984230,14.243060,14.932950,15.691280,16.411190,16.951380,17.221520", \ - "12.814160,13.971650,14.662090,15.434120,16.174860,16.712970,16.986240", \ - "13.017960,14.078680,14.697610,15.508620,16.285200,16.852800,17.155370", \ - "13.418830,14.550140,15.186550,15.970450,16.774810,17.410950,17.731500", \ - "14.070260,14.998910,15.630770,16.490570,17.573930,18.374680,18.748950", \ - "15.372540,16.105370,16.676340,17.521400,18.548030,19.535770,20.257280"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("7.273324643,19.80140864,32.69510864,58.48240864,110.0567086,213.2067086,419.5057086"); - values ("9.182981,10.044190,10.354470,10.539820,10.474040,10.549840,10.556640", \ - "9.113486,9.914634,10.235710,10.327500,10.163360,10.444290,10.453170", \ - "8.767277,9.551064,9.828322,9.867072,10.276150,10.154800,10.206420", \ - "8.671870,9.386389,9.604221,9.806189,10.089680,10.207690,10.130790", \ - "9.063335,9.822736,9.959932,10.144700,10.194950,10.152010,10.301070", \ - "9.703458,10.774430,10.956420,10.920780,10.883110,11.319420,11.501790", \ - "10.774670,12.069650,12.479310,12.650310,12.666240,12.316640,12.520980"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("7.263711571,19.79179557,32.68549557,58.47279557,110.0470956,213.1970956,419.4960956"); - values ("12.649940,13.353740,13.824940,14.373540,14.962900,15.412610,15.641170", \ - "12.484010,13.209370,13.619420,14.201860,14.780860,15.244860,15.466120", \ - "12.274000,13.062750,13.490250,14.066000,14.629070,15.091360,15.328790", \ - "12.340560,13.054070,13.491240,14.078910,14.668440,15.130130,15.350750", \ - "12.161640,13.158210,13.641630,14.203090,14.858300,15.312250,15.554790", \ - "11.957970,13.029290,13.577650,14.282130,15.172210,15.751750,16.015600", \ - "12.418170,13.351580,13.941220,14.625420,15.490890,16.298730,16.839600"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("7.273324643,19.80140864,32.69510864,58.48240864,110.0567086,213.2067086,419.5057086"); - values ("14.744570,15.283910,15.378940,15.479540,15.471130,15.562650,15.468900", \ - "14.681880,15.270080,15.427430,15.475920,15.484330,15.451810,15.357610", \ - "14.625910,15.216900,15.311930,15.358500,15.421540,15.331700,15.237010", \ - "14.649440,15.151330,15.330630,15.311150,15.397370,15.371020,15.219010", \ - "14.719930,15.331960,15.380630,15.570120,15.575030,15.403190,15.308810", \ - "14.444620,15.277100,15.587800,15.682570,15.858280,15.868790,15.777870", \ - "14.435870,15.423600,15.743500,16.021880,16.284640,16.257530,16.167400"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X16 - Cell Description : Combinational tri-state cell (TBUF_X16) with drive strength X16 - *******************************************************************************************/ - - cell (TBUF_X16) { - - drive_strength : 16; - - area : 6.916000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 149.175199; - - leakage_power () { - when : "!A & !EN"; - value : 213.621750; - } - leakage_power () { - when : "!A & EN"; - value : 67.435180; - } - leakage_power () { - when : "A & !EN"; - value : 232.147035; - } - leakage_power () { - when : "A & EN"; - value : 83.496830; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.251126; - fall_capacitance : 5.487122; - rise_capacitance : 6.251126; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.760983; - fall_capacitance : 4.458787; - rise_capacitance : 4.760983; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 13.396614; - fall_capacitance : 13.384244; - rise_capacitance : 13.396614; - max_capacitance : 820.073700; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("13.74985957,39.01904357,64.65374357,115.9232436,218.4622436,423.5402436,833.6962436"); - values ("0.140000,0.162105,0.176815,0.198612,0.231091,0.281402,0.364314", \ - "0.141513,0.163471,0.178157,0.199947,0.232423,0.282735,0.365645", \ - "0.155324,0.177130,0.191778,0.213514,0.245940,0.296204,0.379105", \ - "0.188603,0.209994,0.224516,0.246289,0.278754,0.329036,0.411866", \ - "0.241521,0.263241,0.277861,0.299677,0.332369,0.382803,0.465690", \ - "0.301700,0.325997,0.342425,0.366151,0.400753,0.452667,0.536410", \ - "0.366312,0.393253,0.411786,0.438293,0.475732,0.530351,0.616606"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("13.76223029,39.03141429,64.66611429,115.9356143,218.4746143,423.5526143,833.7086143"); - values ("0.0732067,0.0967358,0.115837,0.150157,0.214973,0.342418,0.596443", \ - "0.0776889,0.101199,0.120295,0.154637,0.219480,0.346940,0.600964", \ - "0.0941008,0.117396,0.136435,0.170770,0.235707,0.363315,0.617452", \ - "0.123223,0.147036,0.166008,0.200374,0.265327,0.393008,0.647268", \ - "0.153185,0.179654,0.199399,0.234019,0.299075,0.426568,0.680787", \ - "0.178641,0.209593,0.231056,0.266498,0.331517,0.458931,0.712831", \ - "0.197767,0.234062,0.258441,0.296115,0.361567,0.488347,0.742014"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("13.74985957,39.01904357,64.65374357,115.9232436,218.4622436,423.5402436,833.6962436"); - values ("0.0280851,0.0353564,0.0409042,0.0502983,0.0666180,0.0963954,0.154115", \ - "0.0280477,0.0353589,0.0409099,0.0503022,0.0666227,0.0963922,0.154116", \ - "0.0280262,0.0353698,0.0409339,0.0503266,0.0666339,0.0963974,0.154117", \ - "0.0279761,0.0354562,0.0410413,0.0504141,0.0666871,0.0964231,0.154127", \ - "0.0309614,0.0373406,0.0424115,0.0513558,0.0672866,0.0967692,0.154299", \ - "0.0387844,0.0451341,0.0497731,0.0577192,0.0721884,0.0998259,0.155759", \ - "0.0472649,0.0540232,0.0587718,0.0662937,0.0797359,0.106232,0.160714"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("13.76223029,39.03141429,64.66611429,115.9356143,218.4746143,423.5526143,833.7086143"); - values ("0.0189231,0.0331781,0.0473119,0.0760680,0.135470,0.256551,0.499706", \ - "0.0189352,0.0331928,0.0473209,0.0760682,0.135469,0.256557,0.499711", \ - "0.0190128,0.0332919,0.0473993,0.0761117,0.135477,0.256558,0.499690", \ - "0.0210470,0.0342771,0.0479731,0.0763521,0.135524,0.256562,0.499706", \ - "0.0270569,0.0383969,0.0509321,0.0780563,0.136062,0.256589,0.499689", \ - "0.0350714,0.0454733,0.0562522,0.0810589,0.137477,0.257115,0.499695", \ - "0.0442386,0.0550532,0.0646882,0.0864360,0.139552,0.257979,0.500141"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.369475,0.383468,0.431637,0.512460,0.625397,0.774056,0.960217"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.089200,0.103481,0.153551,0.237129,0.352908,0.503025,0.689176"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("13.74985957,39.01904357,64.65374357,115.9232436,218.4622436,423.5402436,833.6962436"); - values ("0.150174,0.173421,0.188377,0.210391,0.243083,0.293582,0.376622", \ - "0.154185,0.177450,0.192408,0.214423,0.247117,0.297613,0.380658", \ - "0.171323,0.194588,0.209550,0.231568,0.264261,0.314761,0.397805", \ - "0.201314,0.224562,0.239537,0.261572,0.294257,0.344760,0.427806", \ - "0.244120,0.268373,0.283589,0.305807,0.338656,0.389251,0.472350", \ - "0.293793,0.320911,0.337622,0.361510,0.395980,0.447848,0.531585", \ - "0.349567,0.380227,0.398681,0.424606,0.461342,0.515655,0.601779"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("13.76223029,39.03141429,64.66611429,115.9356143,218.4746143,423.5526143,833.7086143"); - values ("0.144053,0.167054,0.185853,0.219807,0.284249,0.411411,0.665268", \ - "0.148307,0.171312,0.190110,0.224064,0.288504,0.415668,0.669525", \ - "0.166643,0.189646,0.208444,0.242401,0.306843,0.434004,0.687860", \ - "0.203331,0.226350,0.245155,0.279112,0.343557,0.470716,0.724572", \ - "0.250787,0.273978,0.292828,0.326818,0.391257,0.518417,0.772264", \ - "0.303040,0.326442,0.345416,0.379494,0.443962,0.571105,0.824941", \ - "0.360048,0.383918,0.403039,0.437218,0.501712,0.628832,0.882666"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("13.74985957,39.01904357,64.65374357,115.9232436,218.4622436,423.5402436,833.6962436"); - values ("0.030120,0.037023,0.042383,0.051505,0.067502,0.096963,0.154422", \ - "0.030128,0.037025,0.042386,0.051507,0.067507,0.096967,0.154423", \ - "0.030145,0.037033,0.042389,0.051510,0.067508,0.096967,0.154424", \ - "0.030178,0.037066,0.042414,0.051526,0.067518,0.096972,0.154425", \ - "0.032569,0.038375,0.043296,0.052085,0.067855,0.097169,0.154526", \ - "0.038034,0.043384,0.048101,0.056435,0.071431,0.099503,0.155661", \ - "0.044952,0.049415,0.053914,0.062031,0.076797,0.104617,0.159741"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("13.76223029,39.03141429,64.66611429,115.9356143,218.4746143,423.5526143,833.7086143"); - values ("0.020112,0.034078,0.047986,0.076419,0.135553,0.256553,0.499682", \ - "0.020122,0.034079,0.047984,0.076418,0.135553,0.256556,0.499684", \ - "0.020111,0.034082,0.047987,0.076418,0.135552,0.256558,0.499683", \ - "0.020146,0.034098,0.047994,0.076428,0.135551,0.256557,0.499682", \ - "0.020439,0.034309,0.048142,0.076500,0.135569,0.256559,0.499683", \ - "0.021028,0.034685,0.048426,0.076672,0.135638,0.256571,0.499682", \ - "0.021857,0.035231,0.048842,0.076908,0.135723,0.256577,0.499686"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("13.74985957,39.01904357,64.65374357,115.9232436,218.4622436,423.5402436,833.6962436"); - values ("19.971920,21.716190,22.833230,24.209350,25.582490,26.806550,27.598180", \ - "19.875170,21.581360,22.676490,24.045760,25.399370,26.650020,27.407340", \ - "19.793550,21.408680,22.469710,23.786230,25.187160,26.398090,27.183190", \ - "20.076390,21.496920,22.439550,23.728850,25.159610,26.411420,27.207990", \ - "21.289090,22.244480,23.054770,24.172050,25.553010,26.819570,27.672470", \ - "22.731990,23.294060,24.034180,25.084070,26.407020,27.662470,28.556060", \ - "24.926700,24.802310,25.233160,26.130540,27.453990,28.971330,29.917650"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("13.76223029,39.03141429,64.66611429,115.9356143,218.4746143,423.5526143,833.7086143"); - values ("16.177330,18.236020,18.934100,19.434240,19.937580,19.858560,19.419580", \ - "16.178310,18.159980,18.907640,19.245980,19.788560,19.718050,20.205600", \ - "15.829230,17.723470,18.347000,18.935870,19.278130,19.304540,19.866180", \ - "15.661370,17.463860,18.093490,18.607180,19.063840,19.016320,19.676460", \ - "15.714810,17.547910,18.129800,18.519000,18.956460,18.898830,19.633550", \ - "16.174700,18.216330,18.738530,19.175040,18.951890,20.027980,19.922120", \ - "17.240190,19.255660,20.212000,20.767340,20.795390,20.980800,20.967810"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("13.74985957,39.01904357,64.65374357,115.9232436,218.4622436,423.5402436,833.6962436"); - values ("17.562110,18.686500,19.300480,20.136750,21.103500,22.065780,22.725280", \ - "17.383840,18.528830,19.141690,19.970560,20.960920,21.919210,22.570560", \ - "17.296430,18.380510,19.001540,19.822480,20.806460,21.758160,22.429450", \ - "17.311100,18.348970,19.007050,19.819480,20.788790,21.782570,22.433650", \ - "17.529690,18.562360,19.145650,19.976010,20.977130,21.943670,22.613780", \ - "17.562390,18.647040,19.345470,20.323520,21.333080,22.355180,23.009110", \ - "17.722490,18.948660,19.636360,20.570050,21.738460,22.979540,23.751660"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("13.76223029,39.03141429,64.66611429,115.9356143,218.4746143,423.5526143,833.7086143"); - values ("21.296630,22.655810,23.122790,23.437570,23.429020,23.595290,23.584440", \ - "21.165820,22.585820,23.071540,23.136300,23.277500,23.442810,23.131440", \ - "21.013410,22.593910,22.800690,23.198440,23.094120,23.258860,22.945450", \ - "20.911960,22.540470,23.058610,23.354980,22.962110,23.125660,23.365980", \ - "21.138580,22.651730,23.156230,23.357420,23.154010,23.318720,23.560300", \ - "21.223880,22.790970,23.457560,23.733510,23.809630,23.541150,23.680130", \ - "21.148500,22.871450,23.543880,23.746460,24.094740,24.271410,23.970670"); - } - } - } - - } - - - /****************************************************************************************** - Module : TINV_X1 - Cell Description : Combinational tri-state cell (TINV_X1) with drive strength X1 - *******************************************************************************************/ - - cell (TINV_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 11.023330; - - leakage_power () { - when : "!EN & !I"; - value : 12.684210; - } - leakage_power () { - when : "!EN & I"; - value : 16.616089; - } - leakage_power () { - when : "EN & !I"; - value : 5.738912; - } - leakage_power () { - when : "EN & I"; - value : 9.054108; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.721261; - fall_capacitance : 1.587730; - rise_capacitance : 1.721261; - } - - pin (I) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.402087; - fall_capacitance : 1.316108; - rise_capacitance : 1.402087; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.817838; - fall_capacitance : 0.814849; - rise_capacitance : 0.817838; - max_capacitance : 22.500210; - function : "!I"; - three_state : "EN"; - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.448708,0.489415,0.550248,0.623347,0.739824,0.910029,1.141132"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.140522,0.154690,0.197789,0.264964,0.368757,0.508093,0.681669"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.180465164,1.541970164,2.260919164,3.690729164,6.534269164,12.18934916,23.43604916"); - values ("0.047194,0.050553,0.056484,0.066724,0.084687,0.117613,0.181004", \ - "0.051261,0.054641,0.060587,0.070836,0.088812,0.121748,0.185142", \ - "0.070182,0.073554,0.079507,0.089775,0.107769,0.140729,0.204138", \ - "0.100074,0.104097,0.110923,0.121973,0.140607,0.173736,0.237088", \ - "0.132170,0.137190,0.145379,0.158046,0.178076,0.212318,0.276322", \ - "0.166961,0.173167,0.183013,0.197788,0.219965,0.255650,0.320258", \ - "0.204458,0.211932,0.223675,0.240973,0.265990,0.304060,0.369821"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.183453921,1.544958921,2.263907921,3.693717921,6.537257921,12.19233792,23.43903792"); - values ("0.035926,0.045033,0.063484,0.097104,0.162318,0.291222,0.546633", \ - "0.039700,0.048778,0.067248,0.100892,0.166091,0.295001,0.550411", \ - "0.055666,0.064074,0.082396,0.116293,0.181409,0.310271,0.565667", \ - "0.076071,0.088990,0.112030,0.147278,0.211942,0.340565,0.595804", \ - "0.089271,0.107764,0.140135,0.190358,0.260768,0.388565,0.643323", \ - "0.092582,0.117536,0.160436,0.228578,0.321036,0.457287,0.710892", \ - "0.081727,0.114346,0.168662,0.256524,0.376092,0.543725,0.801025"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.180465164,1.541970164,2.260919164,3.690729164,6.534269164,12.18934916,23.43604916"); - values ("0.017650,0.018876,0.021576,0.027459,0.039915,0.066047,0.120097", \ - "0.017627,0.018849,0.021560,0.027458,0.039913,0.066051,0.120098", \ - "0.017906,0.019006,0.021660,0.027491,0.039938,0.066053,0.120101", \ - "0.020320,0.021269,0.023635,0.029082,0.040936,0.066417,0.120148", \ - "0.024935,0.025734,0.027514,0.032092,0.042903,0.067665,0.120635", \ - "0.031022,0.031587,0.033131,0.037112,0.046691,0.069547,0.121339", \ - "0.037928,0.038343,0.039805,0.043546,0.052264,0.073274,0.122852"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.183453921,1.544958921,2.263907921,3.693717921,6.537257921,12.19233792,23.43903792"); - values ("0.032101,0.041444,0.058101,0.088504,0.149773,0.267358,0.499187", \ - "0.031976,0.041385,0.058103,0.088491,0.149758,0.267360,0.499187", \ - "0.032934,0.041234,0.057693,0.088255,0.149654,0.267360,0.499186", \ - "0.048955,0.056858,0.068032,0.090555,0.149246,0.267355,0.499186", \ - "0.070773,0.080548,0.095281,0.115211,0.157048,0.267248,0.499187", \ - "0.097684,0.109195,0.128319,0.153115,0.190465,0.276280,0.499187", \ - "0.131113,0.143274,0.166925,0.198807,0.237863,0.314089,0.502022"); - } - } - - timing () { - - related_pin : "I"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.180465164,1.541970164,2.260919164,3.690729164,6.534269164,12.18934916,23.43604916"); - values ("0.0211175,0.0237563,0.0287015,0.0378841,0.0550164,0.0876035,0.151059", \ - "0.0258161,0.0284491,0.0333877,0.0425576,0.0596922,0.0922723,0.155726", \ - "0.0384320,0.0414003,0.0468029,0.0563347,0.0735745,0.106282,0.169819", \ - "0.0542488,0.0584036,0.0657008,0.0781860,0.0989264,0.133832,0.197855", \ - "0.0674647,0.0736438,0.0841786,0.101634,0.129067,0.171929,0.241763", \ - "0.0748376,0.0834030,0.0978728,0.121981,0.159031,0.213568,0.296141", \ - "0.0750591,0.0861423,0.105160,0.136584,0.185118,0.254931,0.354815"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.183453921,1.544958921,2.263907921,3.693717921,6.537257921,12.19233792,23.43903792"); - values ("0.0777477,0.0863183,0.103107,0.136135,0.201385,0.329998,0.585178", \ - "0.0817018,0.0903762,0.107360,0.140656,0.205991,0.334913,0.590215", \ - "0.0992906,0.107921,0.124898,0.158218,0.223768,0.352961,0.608605", \ - "0.129647,0.138421,0.155051,0.188178,0.253607,0.382771,0.638565", \ - "0.164923,0.175749,0.196104,0.232681,0.298606,0.427670,0.683303", \ - "0.204491,0.217561,0.241624,0.284616,0.359642,0.490968,0.746431", \ - "0.249557,0.265115,0.293317,0.343061,0.428249,0.573120,0.829912"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.180465164,1.541970164,2.260919164,3.690729164,6.534269164,12.18934916,23.43604916"); - values ("0.0117741,0.0136576,0.0172824,0.0243121,0.0380465,0.0653554,0.120023", \ - "0.0116400,0.0135474,0.0172010,0.0242550,0.0380230,0.0653417,0.120018", \ - "0.0130938,0.0148771,0.0182127,0.0246588,0.0380009,0.0653483,0.120014", \ - "0.0187193,0.0205810,0.0240932,0.0306256,0.0428381,0.0670096,0.120075", \ - "0.0290146,0.0313080,0.0352922,0.0420911,0.0543274,0.0776453,0.124291", \ - "0.0429495,0.0459002,0.0511229,0.0592147,0.0721428,0.0951896,0.140165", \ - "0.0596203,0.0635210,0.0702321,0.0806780,0.0958256,0.119828,0.163935"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.183453921,1.544958921,2.263907921,3.693717921,6.537257921,12.19233792,23.43903792"); - values ("0.0391627,0.0467474,0.0617180,0.0914860,0.150806,0.267707,0.499607", \ - "0.0391531,0.0467355,0.0617547,0.0915009,0.150610,0.267702,0.499613", \ - "0.0391124,0.0467002,0.0617096,0.0914494,0.150620,0.267667,0.499605", \ - "0.0417747,0.0484501,0.0622552,0.0913783,0.150602,0.267667,0.499601", \ - "0.0522212,0.0593044,0.0730802,0.0982332,0.151656,0.267692,0.499601", \ - "0.0657067,0.0733694,0.0880734,0.115233,0.164898,0.269850,0.499604", \ - "0.0821787,0.0906014,0.106509,0.135380,0.187777,0.285293,0.500392"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.180465164,1.541970164,2.260919164,3.690729164,6.534269164,12.18934916,23.43604916"); - values ("1.823073,1.863163,1.904781,1.962567,2.009642,2.047545,2.072081", \ - "1.777049,1.817883,1.873474,1.926087,1.973249,2.006889,2.031912", \ - "1.766755,1.813827,1.861497,1.917813,1.960692,1.998290,2.026048", \ - "1.739467,1.805798,1.888600,1.950127,2.004538,2.041655,2.070882", \ - "1.653268,1.706322,1.798544,1.904124,2.051069,2.138065,2.170792", \ - "1.686248,1.745725,1.830379,1.946971,2.073441,2.214628,2.334770", \ - "1.814398,1.854712,1.939459,2.059275,2.213456,2.340233,2.487395"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.183453921,1.544958921,2.263907921,3.693717921,6.537257921,12.19233792,23.43903792"); - values ("2.291349,2.301501,2.303570,2.324228,2.335110,2.343072,2.352067", \ - "2.248425,2.259093,2.262097,2.283669,2.294220,2.303354,2.313145", \ - "2.230515,2.248784,2.264671,2.272698,2.283380,2.294372,2.303246", \ - "2.283435,2.301447,2.307792,2.319175,2.339325,2.341047,2.348184", \ - "2.212480,2.311305,2.420359,2.430400,2.434475,2.445346,2.448726", \ - "2.175277,2.328085,2.442356,2.591728,2.607780,2.617388,2.611408", \ - "2.135611,2.389507,2.568925,2.700706,2.873390,2.855459,2.863610"); - } - } - - internal_power () { - - related_pin : "I"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.180465164,1.541970164,2.260919164,3.690729164,6.534269164,12.18934916,23.43604916"); - values ("0.281942,0.304069,0.329036,0.368404,0.405209,0.444220,0.470473", \ - "0.251933,0.274565,0.304880,0.339030,0.385748,0.415579,0.445465", \ - "0.213497,0.234147,0.266696,0.308492,0.357781,0.392914,0.422308", \ - "0.271993,0.284980,0.291954,0.306200,0.336714,0.373786,0.411702", \ - "0.351700,0.354410,0.357249,0.372333,0.374212,0.372959,0.411127", \ - "0.468356,0.468265,0.464418,0.459538,0.458324,0.444539,0.429737", \ - "0.645751,0.641282,0.629964,0.608944,0.583230,0.550391,0.503804"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.183453921,1.544958921,2.263907921,3.693717921,6.537257921,12.19233792,23.43903792"); - values ("1.877555,1.883069,1.883031,1.885561,1.905353,1.905413,1.920694", \ - "1.843408,1.847188,1.851961,1.866602,1.878746,1.892821,1.893175", \ - "1.806280,1.813282,1.814498,1.819672,1.838504,1.875481,1.890461", \ - "1.799946,1.802010,1.801673,1.802326,1.818619,1.855302,1.872666", \ - "1.795184,1.822504,1.827170,1.816763,1.812700,1.844648,1.856591", \ - "1.766069,1.790169,1.828652,1.888784,1.873158,1.861395,1.893115", \ - "1.868811,1.880014,1.898574,1.943741,1.981055,1.940443,1.948897"); - } - } - } - - } - - - /****************************************************************************************** - Module : TLAT_X1 - Cell Description : High enable Latch with drive strength X1 - *******************************************************************************************/ - - cell (TLAT_X1) { - - drive_strength : 1; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "G"; - } - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 30.698495; - - leakage_power () { - when : "!D & !G & !OE"; - value : 25.622355; - } - leakage_power () { - when : "!D & !G & OE & !Q"; - value : 30.157303; - } - leakage_power () { - when : "!D & !G & OE & Q"; - value : 28.246122; - } - leakage_power () { - when : "!D & G & !OE"; - value : 28.376186; - } - leakage_power () { - when : "!D & G & OE & !Q"; - value : 32.258010; - } - leakage_power () { - when : "D & !G & !OE"; - value : 30.947157; - } - leakage_power () { - when : "D & !G & OE & !Q"; - value : 39.463769; - } - leakage_power () { - when : "D & !G & OE & Q"; - value : 29.601325; - } - leakage_power () { - when : "D & G & !OE"; - value : 29.527415; - } - leakage_power () { - when : "D & G & OE & Q"; - value : 32.785307; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.102746; - fall_capacitance : 1.030279; - rise_capacitance : 1.102746; - - timing () { - - related_pin : "G"; - timing_type : hold_falling; - fall_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.053733,0.116790,0.247177", \ - "0.092716,0.155575,0.285172", \ - "0.387485,0.457417,0.605184"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.048583,0.105904,0.212030", \ - "0.063059,0.104980,0.193962", \ - "0.210585,0.245317,0.292494"); - } - } - - timing () { - - related_pin : "G"; - timing_type : setup_falling; - fall_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("0.134199,0.101903,0.104482", \ - "0.189774,0.154072,0.138809", \ - "0.338545,0.296641,0.243129"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00231025,0.112657,0.500000"); - index_2 ("0.00231025,0.112657,0.500000"); - values ("-0.007773,-0.070194,-0.195180", \ - "0.036161,-0.027855,-0.161553", \ - "0.113365,0.043436,-0.104321"); - } - } - - internal_power () { - - when : "!G & !OE"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.556863,0.536105,0.526531,0.521407,0.518661,0.517312,0.516565"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.380414,-0.381319,-0.384425,-0.389556,-0.396808,-0.406546,-0.419114"); - } - - } - - internal_power () { - - when : "!G & OE & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.557826,0.535323,0.525683,0.520631,0.517769,0.516509,0.515755"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.346270,-0.347149,-0.350084,-0.354827,-0.361456,-0.370324,-0.381726"); - } - - } - - internal_power () { - - when : "!G & OE & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.481386,0.484498,0.485099,0.485378,0.485126,0.485397,0.484526"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("-0.308621,-0.309069,-0.310298,-0.313217,-0.318356,-0.326017,-0.336371"); - } - - } - - internal_power () { - - when : "G & !OE"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.822837,2.805352,2.781294,2.768714,2.779831,2.833316,2.948968"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.839398,1.815236,1.791141,1.769630,1.778520,1.827065,1.941232"); - } - - } - } - - pin (G) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.972544; - fall_capacitance : 0.877472; - rise_capacitance : 0.972544; - - timing () { - - related_pin : "G"; - timing_type : min_pulse_width; - rise_constraint(Pulse_width_3) { - index_1 ("0.00231025,0.112657,0.500000"); - values ("0.107635,0.133562,0.500500"); - } - } - - internal_power () { - - when : "!D & !OE"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.097761,2.069960,2.045501,2.073035,2.170795,2.332679,2.567998"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.767078,1.751668,1.719800,1.724009,1.792714,1.937948,2.172474"); - } - - } - - internal_power () { - - when : "!D & OE & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.102428,2.074360,2.049318,2.080396,2.173318,2.333087,2.567828"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.741857,1.726755,1.694829,1.699912,1.766625,1.910105,2.141091"); - } - - } - - internal_power () { - - when : "D & !OE"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.208166,2.178216,2.152768,2.182650,2.277854,2.443652,2.681498"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("3.494362,3.475846,3.448195,3.455258,3.527937,3.684716,3.920932"); - } - - } - - internal_power () { - - when : "D & OE & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("2.147404,2.117260,2.091842,2.121956,2.217844,2.379276,2.619332"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.567485,1.550995,1.518772,1.523802,1.591812,1.737434,1.967948"); - } - - } - } - - pin (OE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.459108; - fall_capacitance : 1.382182; - rise_capacitance : 1.459108; - - internal_power () { - - when : "!D & !G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.273210,1.214727,1.198020,1.250515,1.358050,1.533649,1.786385"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.216684,0.195213,0.173301,0.194391,0.278541,0.438059,0.676245"); - } - - } - - internal_power () { - - when : "!D & !G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.269686,1.232059,1.221298,1.264530,1.355484,1.508404,1.733295"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.560570,0.541392,0.518819,0.534025,0.612014,0.758526,0.987072"); - } - - } - - internal_power () { - - when : "!D & G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.272871,1.214205,1.197353,1.250224,1.357660,1.532523,1.783928"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.665940,0.647077,0.625977,0.645668,0.727040,0.891388,1.136380"); - } - - } - - internal_power () { - - when : "D & !G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.273562,1.214813,1.198083,1.250751,1.357919,1.533677,1.784793"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.611659,0.592783,0.571318,0.592508,0.678102,0.837181,1.085305"); - } - - } - - internal_power () { - - when : "D & !G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.269335,1.232092,1.221518,1.264576,1.355619,1.508501,1.734285"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.560671,0.541480,0.518908,0.534115,0.612105,0.758616,0.987148"); - } - - } - - internal_power () { - - when : "D & G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.270561,1.233162,1.222131,1.265117,1.356505,1.510462,1.734315"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("1.341122,1.320823,1.297461,1.311176,1.384284,1.535824,1.756350"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.808040; - fall_capacitance : 0.807627; - rise_capacitance : 0.808040; - max_capacitance : 22.583000; - function : "IQ"; - three_state : "!OE"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); - values ("0.185566,0.189721,0.197113,0.209749,0.231080,0.267997,0.334885", \ - "0.189950,0.194107,0.201489,0.214126,0.235462,0.272378,0.339267", \ - "0.207511,0.211673,0.219057,0.231706,0.253033,0.289949,0.356835", \ - "0.237507,0.241664,0.249002,0.261603,0.282935,0.319857,0.386747", \ - "0.281788,0.285975,0.293418,0.306146,0.327516,0.364490,0.431420", \ - "0.335984,0.340472,0.348426,0.361627,0.383844,0.421435,0.488767", \ - "0.397193,0.402043,0.410506,0.424289,0.447301,0.485957,0.554270"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); - values ("0.128839,0.137606,0.154719,0.188188,0.253709,0.382638,0.638021", \ - "0.133554,0.142321,0.159437,0.192913,0.258437,0.387366,0.642634", \ - "0.147490,0.156243,0.173337,0.206791,0.272329,0.401269,0.656610", \ - "0.170966,0.179709,0.196780,0.230169,0.295705,0.424707,0.680134", \ - "0.197823,0.206571,0.223717,0.257010,0.322548,0.451601,0.707193", \ - "0.223670,0.232462,0.249674,0.282899,0.348432,0.477518,0.733235", \ - "0.245526,0.254434,0.271470,0.305002,0.370505,0.499649,0.755104"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); - values ("0.0197317,0.0216129,0.0251638,0.0318685,0.0448698,0.0707319,0.122932", \ - "0.0197286,0.0216092,0.0251605,0.0318750,0.0448643,0.0707406,0.122929", \ - "0.0197305,0.0216092,0.0251654,0.0318692,0.0448627,0.0707408,0.122941", \ - "0.0197360,0.0216157,0.0251701,0.0318825,0.0448731,0.0707381,0.122944", \ - "0.0200013,0.0218668,0.0253833,0.0320425,0.0449911,0.0707870,0.122957", \ - "0.0218812,0.0237377,0.0272218,0.0337237,0.0463542,0.0717266,0.123458", \ - "0.0241791,0.0260668,0.0295761,0.0360225,0.0483721,0.0734584,0.124818"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); - values ("0.0385442,0.0462176,0.0613567,0.0913067,0.150422,0.267375,0.499722", \ - "0.0385428,0.0462180,0.0613575,0.0913065,0.150425,0.267372,0.499581", \ - "0.0385465,0.0462183,0.0613545,0.0913039,0.150422,0.267373,0.499620", \ - "0.0386097,0.0462668,0.0613899,0.0913028,0.150439,0.267403,0.499615", \ - "0.0388356,0.0464600,0.0615179,0.0913729,0.150491,0.267373,0.499674", \ - "0.0392528,0.0468246,0.0617766,0.0915300,0.150473,0.267459,0.499832", \ - "0.0400772,0.0475340,0.0622905,0.0918025,0.150652,0.267508,0.499567"); - } - } - - timing () { - - related_pin : "G"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); - values ("0.169963,0.174084,0.181412,0.193918,0.215045,0.251655,0.318116", \ - "0.175144,0.179267,0.186601,0.199106,0.220229,0.256858,0.323330", \ - "0.191336,0.195467,0.202813,0.215355,0.236524,0.273189,0.339748", \ - "0.211824,0.215966,0.223319,0.235872,0.257135,0.293820,0.360410", \ - "0.231819,0.235967,0.243349,0.255974,0.277192,0.313944,0.380350", \ - "0.249501,0.253650,0.261023,0.273082,0.294852,0.331487,0.397885", \ - "0.263477,0.267631,0.274974,0.287617,0.308038,0.344815,0.412462"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); - values ("0.182990,0.191701,0.208769,0.242145,0.307573,0.436510,0.691905", \ - "0.188197,0.196916,0.213978,0.247367,0.312781,0.441718,0.697129", \ - "0.205668,0.214388,0.231457,0.264837,0.330319,0.459185,0.714593", \ - "0.228189,0.236910,0.253979,0.287359,0.352818,0.481665,0.737101", \ - "0.249747,0.258424,0.275490,0.308894,0.374394,0.503307,0.758669", \ - "0.268128,0.276852,0.293919,0.327301,0.392665,0.521678,0.777024", \ - "0.281134,0.289855,0.306927,0.340308,0.406346,0.535138,0.789779"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); - values ("0.0197040,0.0215848,0.0251320,0.0318363,0.0448455,0.0707312,0.122948", \ - "0.0197057,0.0215845,0.0251337,0.0318393,0.0448475,0.0707276,0.122950", \ - "0.0197000,0.0215790,0.0251311,0.0318355,0.0448509,0.0707329,0.122940", \ - "0.0196920,0.0215721,0.0251282,0.0318319,0.0448469,0.0707437,0.122940", \ - "0.0197268,0.0216045,0.0251495,0.0318582,0.0448726,0.0707530,0.122941", \ - "0.0197687,0.0216492,0.0251974,0.0318967,0.0448973,0.0707747,0.122924", \ - "0.0198767,0.0217521,0.0252939,0.0319761,0.0449607,0.0708125,0.122958"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); - values ("0.0384952,0.0461774,0.0613411,0.0912820,0.150329,0.267371,0.499643", \ - "0.0384946,0.0461827,0.0613412,0.0912830,0.150329,0.267391,0.499657", \ - "0.0384956,0.0461778,0.0613410,0.0912882,0.150384,0.267378,0.499655", \ - "0.0384941,0.0461803,0.0613408,0.0912825,0.150387,0.267319,0.499709", \ - "0.0384856,0.0461748,0.0613459,0.0912993,0.150385,0.267409,0.499602", \ - "0.0384950,0.0461830,0.0613387,0.0912671,0.150339,0.267362,0.499563", \ - "0.0385003,0.0461787,0.0613415,0.0912608,0.150397,0.267299,0.499494"); - } - } - - timing () { - - related_pin : "OE"; - timing_type : three_state_disable; - timing_sense : negative_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.588218,0.601091,0.650456,0.746676,0.878141,1.052715,1.269529"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - values ("0.085407,0.102391,0.138171,0.216155,0.336829,0.504637,0.723464"); - } - } - - timing () { - - related_pin : "OE"; - timing_type : three_state_enable; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); - values ("0.008667,0.010416,0.013920,0.021686,0.038151,0.070213,0.133517", \ - "0.013510,0.015278,0.018732,0.026392,0.042849,0.074907,0.138205", \ - "0.021625,0.025540,0.031982,0.042600,0.059942,0.091754,0.154921", \ - "0.022858,0.030100,0.041598,0.059357,0.087090,0.124681,0.187252", \ - "0.011528,0.023479,0.041928,0.069179,0.110321,0.164127,0.238601", \ - "-0.015648,0.001633,0.028416,0.067822,0.125203,0.198681,0.297198", \ - "-0.064397,-0.039789,-0.002334,0.051343,0.127566,0.224916,0.351193"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); - values ("0.053987,0.062497,0.079220,0.112159,0.177154,0.305557,0.560317", \ - "0.059167,0.067672,0.084399,0.117335,0.182303,0.310736,0.565503", \ - "0.074126,0.082617,0.099362,0.132312,0.197318,0.325711,0.580485", \ - "0.089539,0.098156,0.115180,0.148338,0.213345,0.341830,0.596626", \ - "0.102875,0.111447,0.128338,0.161619,0.227052,0.355365,0.610169", \ - "0.112002,0.121289,0.138334,0.171233,0.236507,0.365307,0.619838", \ - "0.114566,0.125523,0.143809,0.176763,0.241683,0.370506,0.625020"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); - values ("0.005415,0.007058,0.010879,0.019403,0.034841,0.063416,0.118688", \ - "0.006112,0.007349,0.010751,0.019291,0.034812,0.063392,0.118695", \ - "0.013762,0.015111,0.018035,0.024166,0.035833,0.063320,0.118662", \ - "0.026506,0.028489,0.032338,0.040746,0.051696,0.069347,0.118550", \ - "0.045237,0.047677,0.052606,0.063456,0.077046,0.095617,0.129233", \ - "0.069066,0.072710,0.079143,0.092203,0.109213,0.131099,0.164685", \ - "0.100063,0.104097,0.111711,0.126947,0.148661,0.173522,0.212001"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); - values ("0.037908,0.045735,0.061044,0.091098,0.150470,0.267330,0.498860", \ - "0.037890,0.045720,0.061050,0.091100,0.150414,0.267326,0.498860", \ - "0.037869,0.045665,0.061017,0.091097,0.150446,0.267335,0.498860", \ - "0.034526,0.042445,0.058654,0.089523,0.150363,0.267332,0.498860", \ - "0.035033,0.041842,0.056619,0.086955,0.147465,0.266683,0.498860", \ - "0.039616,0.044817,0.057357,0.086257,0.145640,0.263893,0.498298", \ - "0.046932,0.051121,0.061232,0.087120,0.145346,0.262320,0.495595"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); - values ("3.032256,3.082918,3.163850,3.290346,3.432060,3.582314,3.668261", \ - "3.015936,3.065766,3.142208,3.259970,3.414870,3.559695,3.646812", \ - "2.988405,3.042973,3.122738,3.238008,3.392288,3.535867,3.623893", \ - "2.967508,3.029427,3.110661,3.220159,3.379789,3.520593,3.610277", \ - "2.975804,3.026144,3.113209,3.226880,3.383459,3.526318,3.618372", \ - "2.956100,3.007716,3.101400,3.243401,3.407682,3.568347,3.664226", \ - "2.963212,3.014287,3.104450,3.235258,3.418187,3.621886,3.767263"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); - values ("3.155150,3.185910,3.225827,3.266767,3.294509,3.328391,3.341316", \ - "3.133328,3.164070,3.201509,3.235690,3.263433,3.301072,3.341083", \ - "3.105135,3.135796,3.177448,3.216215,3.230167,3.254502,3.296042", \ - "3.074354,3.111062,3.147502,3.183002,3.205648,3.237568,3.279576", \ - "3.072308,3.104599,3.145782,3.183857,3.194515,3.246535,3.291389", \ - "3.148804,3.175144,3.204887,3.222388,3.251986,3.287373,3.333589", \ - "3.271738,3.303072,3.339138,3.363122,3.367996,3.374139,3.420704"); - } - } - - internal_power () { - - related_pin : "G"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); - values ("3.737296,3.792430,3.883735,3.999244,4.145967,4.284826,4.367258", \ - "3.728420,3.782586,3.868605,3.987037,4.127225,4.268793,4.350745", \ - "3.684999,3.739033,3.824832,3.936572,4.087716,4.222187,4.308957", \ - "3.679567,3.733216,3.810145,3.930062,4.076480,4.218751,4.302641", \ - "3.736681,3.790659,3.866887,3.987771,4.133662,4.276210,4.362133", \ - "3.902072,3.953355,4.038954,4.149804,4.291253,4.427469,4.506575", \ - "4.139941,4.203644,4.280381,4.400319,4.550091,4.686977,4.758580"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); - values ("4.829240,4.862817,4.906123,4.939161,4.965236,4.987254,5.030877", \ - "4.812115,4.849775,4.889319,4.928193,4.953646,4.986665,5.017644", \ - "4.782255,4.815863,4.859139,4.892356,4.918605,4.937682,4.987951", \ - "4.792415,4.825872,4.863593,4.902305,4.931733,4.954555,4.981583", \ - "4.859478,4.893037,4.936716,4.975906,4.999492,5.022605,5.046863", \ - "5.024874,5.054770,5.091236,5.120359,5.148664,5.180704,5.200090", \ - "5.273803,5.306393,5.346656,5.377268,5.385357,5.408366,5.441625"); - } - } - - internal_power () { - - related_pin : "OE"; - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.17324315,1.53454415,2.25287715,3.68107715,6.52060715,12.16612715,23.39062715"); - values ("0.403702,0.466118,0.515360,0.551396,0.582002,0.613375,0.645111", \ - "0.381621,0.446649,0.502641,0.537697,0.568798,0.598431,0.629119", \ - "0.348374,0.422834,0.483123,0.517988,0.545626,0.576056,0.607709", \ - "0.347827,0.430200,0.542770,0.564723,0.573570,0.594943,0.624023", \ - "0.384293,0.472597,0.641536,0.682135,0.688964,0.684332,0.702302", \ - "0.490043,0.576863,0.766451,0.852211,0.866097,0.863268,0.855626", \ - "0.594444,0.693153,0.896381,1.069938,1.113244,1.115589,1.098222"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("1.173656386,1.534957386,2.253290386,3.681490386,6.521020386,12.16654039,23.39104039"); - values ("1.115345,1.131892,1.147774,1.159893,1.180898,1.197755,1.202306", \ - "1.104573,1.106443,1.130312,1.134691,1.155101,1.172476,1.176966", \ - "1.078224,1.083920,1.096883,1.109877,1.130852,1.148190,1.169961", \ - "1.086452,1.093689,1.116270,1.131801,1.136792,1.172183,1.177159", \ - "1.136435,1.152531,1.167254,1.188395,1.219756,1.240071,1.245209", \ - "1.271235,1.295010,1.304105,1.333342,1.352875,1.386650,1.394762", \ - "1.455238,1.492757,1.513949,1.544969,1.570530,1.608056,1.628803"); - } - } - } - - } - - - /****************************************************************************************** - Module : XNOR2_X1 - Cell Description : Combinational cell (XNOR2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (XNOR2_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 23.345868; - - leakage_power () { - when : "!A & !B"; - value : 31.298130; - } - leakage_power () { - when : "!A & B"; - value : 24.478403; - } - leakage_power () { - when : "A & !B"; - value : 21.053311; - } - leakage_power () { - when : "A & B"; - value : 16.553626; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.223625; - fall_capacitance : 2.088856; - rise_capacitance : 2.223625; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.537537; - fall_capacitance : 2.301154; - rise_capacitance : 2.537537; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.959540; - function : "!(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.017507,0.019637,0.023494,0.031202,0.046646,0.077634,0.139695", \ - "0.022258,0.024443,0.028372,0.036196,0.051767,0.082883,0.145010", \ - "0.036647,0.039733,0.044797,0.053455,0.068960,0.100118,0.162326", \ - "0.048964,0.054052,0.062260,0.076293,0.098650,0.132811,0.194575", \ - "0.055469,0.062793,0.074567,0.094891,0.126924,0.175119,0.245745", \ - "0.054797,0.064521,0.080190,0.107088,0.149901,0.213994,0.306595", \ - "0.045909,0.058111,0.077946,0.111824,0.166024,0.247333,0.364229"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.067563,0.076652,0.092927,0.125004,0.188563,0.315005,0.567248", \ - "0.068304,0.077596,0.094273,0.126950,0.191277,0.318433,0.571112", \ - "0.081876,0.090773,0.106976,0.139332,0.203706,0.331422,0.584904", \ - "0.114793,0.124409,0.139866,0.170953,0.233862,0.360545,0.613708", \ - "0.153447,0.165940,0.186677,0.223437,0.285941,0.410336,0.661645", \ - "0.196286,0.211654,0.237384,0.282715,0.358710,0.484123,0.732520", \ - "0.244104,0.262206,0.292613,0.346500,0.437277,0.582857,0.829277"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.010618,0.012498,0.015919,0.022777,0.036493,0.063868,0.118194", \ - "0.010674,0.012568,0.016012,0.022889,0.036622,0.063958,0.118243", \ - "0.016230,0.017569,0.019857,0.024602,0.036706,0.064050,0.118320", \ - "0.028953,0.030787,0.033817,0.039129,0.048368,0.067238,0.118237", \ - "0.045864,0.048391,0.052727,0.059654,0.071121,0.089919,0.126114", \ - "0.066818,0.070156,0.075787,0.084984,0.099426,0.122144,0.158397", \ - "0.091680,0.095953,0.103038,0.114734,0.132777,0.160356,0.202499"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.047685,0.055626,0.070106,0.098846,0.156313,0.271058,0.499958", \ - "0.047663,0.055602,0.070082,0.098841,0.156307,0.271126,0.499953", \ - "0.047491,0.055517,0.070006,0.098842,0.156313,0.271091,0.499957", \ - "0.056449,0.061827,0.073027,0.098709,0.156267,0.271149,0.499959", \ - "0.076240,0.082666,0.093912,0.113122,0.159169,0.271048,0.499964", \ - "0.098025,0.105788,0.119188,0.142565,0.182322,0.274920,0.499960", \ - "0.122552,0.131654,0.147237,0.174743,0.221084,0.301199,0.500717"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.100645,0.103302,0.107933,0.116720,0.133413,0.165414,0.227833", \ - "0.105054,0.107723,0.112377,0.121178,0.137887,0.169886,0.232295", \ - "0.122873,0.125570,0.130275,0.139145,0.155912,0.187922,0.250300", \ - "0.159552,0.162326,0.167095,0.176029,0.192987,0.225112,0.287482", \ - "0.208355,0.211398,0.216556,0.225793,0.243182,0.275850,0.338400", \ - "0.261024,0.264479,0.270248,0.280457,0.298887,0.332379,0.395468", \ - "0.316788,0.320756,0.327315,0.338695,0.358468,0.393222,0.457272"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.089123,0.093079,0.100098,0.113820,0.140852,0.194890,0.303716", \ - "0.093519,0.097502,0.104563,0.118358,0.145469,0.199561,0.308369", \ - "0.108805,0.112819,0.119949,0.133948,0.161436,0.215965,0.325011", \ - "0.133854,0.137985,0.145249,0.159348,0.187131,0.242090,0.351559", \ - "0.160569,0.164773,0.172078,0.185792,0.213272,0.268152,0.377850", \ - "0.184757,0.189153,0.196868,0.211065,0.238589,0.293025,0.401867", \ - "0.203943,0.208768,0.216967,0.232163,0.260084,0.314406,0.423077"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.019388,0.021210,0.024497,0.030977,0.043844,0.069746,0.122616", \ - "0.019369,0.021187,0.024472,0.030959,0.043836,0.069739,0.122609", \ - "0.019374,0.021187,0.024461,0.030943,0.043806,0.069734,0.122614", \ - "0.019639,0.021417,0.024637,0.031055,0.043861,0.069748,0.122615", \ - "0.021111,0.022777,0.025828,0.031992,0.044631,0.070302,0.122810", \ - "0.023997,0.025526,0.028347,0.034135,0.046031,0.070904,0.123002", \ - "0.028229,0.029695,0.032302,0.037641,0.048838,0.072773,0.123557"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.030954,0.034540,0.041061,0.054145,0.080457,0.133321,0.239103", \ - "0.030954,0.034538,0.041059,0.054136,0.080450,0.133319,0.239103", \ - "0.031100,0.034660,0.041140,0.054175,0.080449,0.133327,0.239105", \ - "0.031678,0.035237,0.041714,0.054626,0.080603,0.133336,0.239087", \ - "0.032510,0.035744,0.041682,0.053942,0.079680,0.132787,0.239008", \ - "0.036265,0.039259,0.044776,0.056199,0.080514,0.131891,0.237809", \ - "0.041793,0.044616,0.049722,0.060286,0.082981,0.133037,0.237272"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.021173,0.023545,0.027755,0.035950,0.051928,0.083385,0.145813", \ - "0.025711,0.028082,0.032300,0.040514,0.056528,0.088031,0.150476", \ - "0.042103,0.044853,0.049468,0.057629,0.073533,0.105007,0.167441", \ - "0.059574,0.063968,0.071141,0.083892,0.104751,0.137807,0.199834", \ - "0.072374,0.078604,0.088637,0.106808,0.136464,0.182377,0.251166", \ - "0.078670,0.086898,0.100349,0.124113,0.163521,0.224246,0.314026", \ - "0.077481,0.087761,0.104767,0.134767,0.184371,0.261078,0.374089"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.081864,0.090772,0.106868,0.138748,0.202167,0.328505,0.580649", \ - "0.085448,0.094533,0.110951,0.143296,0.207249,0.334031,0.586503", \ - "0.101975,0.110937,0.127212,0.159547,0.223813,0.351232,0.604389", \ - "0.132050,0.141009,0.156911,0.188851,0.252682,0.380013,0.633447", \ - "0.167721,0.178818,0.197970,0.233090,0.297307,0.424155,0.677337", \ - "0.207607,0.220979,0.243713,0.285043,0.358060,0.487236,0.739927", \ - "0.253016,0.268880,0.295490,0.343305,0.426378,0.569053,0.823169"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.014815,0.016666,0.020032,0.026767,0.040287,0.067486,0.121836", \ - "0.014677,0.016567,0.019992,0.026776,0.040342,0.067540,0.121854", \ - "0.018438,0.019711,0.022014,0.027475,0.040301,0.067560,0.121857", \ - "0.030900,0.032629,0.035620,0.040815,0.049941,0.069915,0.121775", \ - "0.047186,0.049701,0.054009,0.060926,0.072413,0.091274,0.128567", \ - "0.067024,0.070411,0.076229,0.085616,0.100316,0.123232,0.159660", \ - "0.090005,0.094461,0.101952,0.114105,0.132799,0.160998,0.203574"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.047732,0.055639,0.070151,0.098860,0.156368,0.271124,0.499962", \ - "0.047732,0.055637,0.070129,0.098901,0.156391,0.271149,0.499958", \ - "0.047732,0.055633,0.070104,0.098868,0.156392,0.271161,0.499959", \ - "0.050570,0.057511,0.070676,0.098818,0.156296,0.271154,0.499962", \ - "0.063011,0.069972,0.082504,0.105899,0.157597,0.271085,0.499958", \ - "0.078896,0.086107,0.099407,0.124224,0.171260,0.273532,0.499959", \ - "0.098865,0.106397,0.120333,0.146151,0.195396,0.289610,0.500920"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.106054,0.109045,0.114132,0.123526,0.140868,0.173404,0.236155", \ - "0.110575,0.113578,0.118680,0.128091,0.145444,0.177983,0.240726", \ - "0.128619,0.131621,0.136727,0.146167,0.163552,0.196111,0.258857", \ - "0.165593,0.168608,0.173696,0.183011,0.200475,0.233115,0.295903", \ - "0.217069,0.220319,0.225776,0.235357,0.253165,0.286149,0.349099", \ - "0.272979,0.276694,0.282786,0.293349,0.312081,0.345870,0.409290", \ - "0.331983,0.336221,0.343156,0.354942,0.375004,0.409956,0.474177"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.091728,0.095746,0.102868,0.116785,0.144080,0.198381,0.307288", \ - "0.096976,0.101012,0.108180,0.122172,0.149593,0.204027,0.313041", \ - "0.111250,0.115288,0.122484,0.136590,0.164255,0.219052,0.328380", \ - "0.134166,0.138317,0.145557,0.159749,0.187649,0.242806,0.352561", \ - "0.161410,0.165620,0.173001,0.186793,0.214588,0.269771,0.379736", \ - "0.188384,0.192800,0.200407,0.214292,0.242141,0.297120,0.406552", \ - "0.211735,0.216377,0.224480,0.239061,0.267237,0.322313,0.431729"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.016361,0.018104,0.021281,0.027642,0.040413,0.066237,0.118990", \ - "0.016357,0.018102,0.021278,0.027635,0.040409,0.066237,0.119004", \ - "0.016369,0.018110,0.021287,0.027638,0.040398,0.066240,0.118991", \ - "0.016542,0.018268,0.021416,0.027735,0.040463,0.066264,0.119008", \ - "0.018644,0.020215,0.023108,0.029079,0.041391,0.066758,0.119159", \ - "0.022348,0.023788,0.026450,0.031982,0.043631,0.068231,0.119874", \ - "0.027002,0.028366,0.030812,0.035912,0.046797,0.070500,0.121049"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.030857,0.034436,0.040930,0.053972,0.080218,0.133044,0.238656", \ - "0.030886,0.034478,0.040964,0.054028,0.080300,0.133122,0.238800", \ - "0.031004,0.034571,0.041067,0.054107,0.080379,0.133242,0.238930", \ - "0.031344,0.034918,0.041430,0.054408,0.080493,0.133286,0.239011", \ - "0.031686,0.035069,0.041240,0.053826,0.079810,0.132809,0.238961", \ - "0.034021,0.037270,0.043166,0.055267,0.080295,0.132126,0.237948", \ - "0.037563,0.040687,0.046346,0.057873,0.081975,0.132925,0.237560"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("-0.000864,-0.000967,-0.001151,0.007066,0.027722,0.046436,0.070775", \ - "-0.001321,-0.001425,-0.001609,0.014906,0.041889,0.075143,0.100346", \ - "-0.002923,-0.003023,-0.003201,-0.003546,0.030410,0.071695,0.106168", \ - "0.068464,0.057252,0.040670,0.016562,0.016378,0.059072,0.098959", \ - "0.242347,0.222490,0.198759,0.165978,0.120188,0.078492,0.109688", \ - "0.486165,0.461352,0.429290,0.372457,0.301480,0.213378,0.154137", \ - "0.802941,0.775525,0.735273,0.664998,0.558311,0.428533,0.286444"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("2.272296,2.282546,2.288602,2.287315,2.282567,2.306205,2.312052", \ - "2.193920,2.209435,2.221927,2.254312,2.262822,2.300009,2.313933", \ - "2.141940,2.146168,2.152379,2.169525,2.208264,2.227863,2.257061", \ - "2.204538,2.201249,2.192849,2.173304,2.194436,2.200430,2.227009", \ - "2.361933,2.354433,2.332863,2.304332,2.253696,2.230490,2.233487", \ - "2.439826,2.461172,2.511618,2.516345,2.430114,2.377675,2.317144", \ - "2.691820,2.698607,2.713089,2.747018,2.753189,2.605283,2.509341"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("3.436683,3.446479,3.453596,3.465826,3.460971,3.431393,3.394399", \ - "3.421615,3.431216,3.441178,3.441511,3.439152,3.408868,3.370570", \ - "3.380429,3.393688,3.411806,3.428365,3.410242,3.391006,3.351605", \ - "3.362458,3.381554,3.410177,3.432213,3.447661,3.445014,3.402940", \ - "3.338720,3.373132,3.426721,3.478120,3.532749,3.534751,3.504632", \ - "3.269370,3.302775,3.361499,3.442404,3.565583,3.671671,3.670154", \ - "3.321414,3.358921,3.416423,3.500389,3.630919,3.757446,3.874257"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("2.816368,2.816444,2.818760,2.797992,2.750929,2.729194,2.659655", \ - "2.798452,2.798282,2.804104,2.803357,2.754787,2.693528,2.650639", \ - "2.694505,2.696749,2.705958,2.700231,2.685048,2.700364,2.620191", \ - "2.584160,2.598574,2.607401,2.610088,2.628990,2.643542,2.651073", \ - "2.645899,2.640813,2.624457,2.608164,2.600333,2.617844,2.639276", \ - "2.851302,2.854564,2.859466,2.843345,2.766130,2.771125,2.763768", \ - "3.098799,3.103664,3.109533,3.108536,3.074293,3.002459,2.934560"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.238659,0.249411,0.261057,0.281923,0.296972,0.333655,0.365245", \ - "0.198200,0.210050,0.219104,0.244762,0.273774,0.299051,0.332292", \ - "0.157580,0.168888,0.188632,0.206935,0.237354,0.267933,0.312173", \ - "0.289658,0.277205,0.255717,0.222991,0.244677,0.270512,0.302295", \ - "0.471260,0.458619,0.431842,0.401983,0.347876,0.308110,0.324599", \ - "0.708159,0.693131,0.664673,0.620510,0.547519,0.450421,0.382927", \ - "1.025533,1.003632,0.971138,0.911367,0.816078,0.682860,0.525355"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("2.824405,2.829316,2.837377,2.845371,2.839161,2.869180,2.849884", \ - "2.754735,2.767505,2.775225,2.801893,2.799551,2.837695,2.854522", \ - "2.683875,2.693853,2.704538,2.720502,2.752914,2.771496,2.800103", \ - "2.663041,2.669999,2.670366,2.669011,2.677387,2.724436,2.758645", \ - "2.693709,2.693490,2.686449,2.671858,2.691041,2.694991,2.722584", \ - "2.617054,2.647339,2.710054,2.756755,2.726594,2.740643,2.718867", \ - "2.706790,2.717139,2.747316,2.812657,2.885129,2.797827,2.777572"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("3.587361,3.619866,3.666073,3.730039,3.810997,3.854292,3.871585", \ - "3.554390,3.600372,3.645514,3.710827,3.787815,3.834175,3.849719", \ - "3.534915,3.567968,3.622070,3.696648,3.766571,3.807417,3.827114", \ - "3.532594,3.569723,3.624917,3.688703,3.761002,3.828517,3.849672", \ - "3.535814,3.589317,3.646875,3.725527,3.816900,3.890276,3.919906", \ - "3.432719,3.485071,3.559091,3.669261,3.832336,4.005418,4.048620", \ - "3.471622,3.513736,3.583291,3.688322,3.852346,4.032390,4.229382"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("2.417012,2.420431,2.424294,2.429692,2.400207,2.379503,2.300328", \ - "2.380751,2.395408,2.401551,2.397197,2.400472,2.342685,2.332403", \ - "2.271519,2.285983,2.284914,2.299527,2.315798,2.284426,2.285743", \ - "2.141029,2.157644,2.164197,2.171608,2.192667,2.218719,2.239338", \ - "2.156603,2.158377,2.142747,2.146320,2.141879,2.196776,2.228369", \ - "2.314018,2.313468,2.312320,2.277899,2.237802,2.221113,2.250348", \ - "2.487781,2.496879,2.508514,2.507847,2.480271,2.387310,2.355236"); - } - } - } - - } - - - /****************************************************************************************** - Module : XNOR2_X2 - Cell Description : Combinational cell (XNOR2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (XNOR2_X2) { - - drive_strength : 2; - - area : 2.660000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 46.832910; - - leakage_power () { - when : "!A & !B"; - value : 62.624665; - } - leakage_power () { - when : "!A & B"; - value : 49.162310; - } - leakage_power () { - when : "A & !B"; - value : 42.190640; - } - leakage_power () { - when : "A & B"; - value : 33.354025; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.007526; - fall_capacitance : 3.746248; - rise_capacitance : 4.007526; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.782242; - fall_capacitance : 4.310582; - rise_capacitance : 4.782242; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 51.916730; - function : "!(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.016655,0.019666,0.023535,0.031273,0.046776,0.077887,0.140157", \ - "0.021389,0.024486,0.028431,0.036280,0.051920,0.083152,0.145485", \ - "0.035372,0.039825,0.044895,0.053559,0.069125,0.100398,0.162800", \ - "0.046812,0.054129,0.062433,0.076482,0.098853,0.133066,0.195043", \ - "0.052325,0.062868,0.074822,0.095111,0.127208,0.175465,0.246192", \ - "0.050584,0.064581,0.080359,0.107389,0.150288,0.214472,0.307199", \ - "0.040602,0.058151,0.078033,0.112076,0.166408,0.247880,0.364963"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.063413,0.076249,0.092535,0.124641,0.188196,0.314712,0.567057", \ - "0.064131,0.077250,0.093918,0.126646,0.190957,0.318136,0.570943", \ - "0.077976,0.090528,0.106724,0.139079,0.203440,0.331195,0.584797", \ - "0.110397,0.124105,0.139720,0.170746,0.233692,0.360373,0.613643", \ - "0.147758,0.165642,0.186545,0.223287,0.285845,0.410236,0.661663", \ - "0.189348,0.211328,0.237073,0.282556,0.358557,0.483999,0.732440", \ - "0.235970,0.261846,0.292275,0.346214,0.437028,0.582639,0.829130"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.009853,0.012515,0.015951,0.022841,0.036632,0.064074,0.118532", \ - "0.009909,0.012595,0.016053,0.022970,0.036766,0.064171,0.118582", \ - "0.015654,0.017547,0.019849,0.024629,0.036811,0.064238,0.118638", \ - "0.028122,0.030738,0.033757,0.039099,0.048370,0.067355,0.118573", \ - "0.044773,0.048411,0.052610,0.059568,0.071091,0.089931,0.126309", \ - "0.065334,0.070178,0.075813,0.084855,0.099346,0.122150,0.158519", \ - "0.089763,0.095948,0.103088,0.114718,0.132777,0.160407,0.202650"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.044369,0.055529,0.069920,0.098662,0.156069,0.270814,0.500021", \ - "0.044337,0.055513,0.069918,0.098644,0.156054,0.270788,0.500020", \ - "0.044084,0.055415,0.069868,0.098659,0.156067,0.270786,0.500021", \ - "0.054336,0.061728,0.072911,0.098570,0.156034,0.270772,0.500019", \ - "0.073321,0.082523,0.093584,0.112905,0.158988,0.270762,0.500020", \ - "0.094559,0.105628,0.118910,0.142178,0.182145,0.274751,0.500023", \ - "0.118482,0.131479,0.147084,0.174455,0.220860,0.301164,0.500769"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.092550,0.096242,0.100780,0.109458,0.126031,0.157954,0.220488", \ - "0.096877,0.100586,0.105143,0.113842,0.130429,0.162352,0.224878", \ - "0.114843,0.118599,0.123200,0.131963,0.148618,0.180553,0.243024", \ - "0.151286,0.155150,0.159812,0.168699,0.185510,0.217552,0.280043", \ - "0.197843,0.202092,0.207169,0.216285,0.233609,0.266172,0.328829", \ - "0.247993,0.252798,0.258433,0.268420,0.286606,0.319905,0.383037", \ - "0.301212,0.306765,0.313151,0.324240,0.343666,0.378085,0.441999"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.083735,0.089257,0.096219,0.109875,0.136886,0.190971,0.299913", \ - "0.088089,0.093658,0.100667,0.114399,0.141490,0.195617,0.304527", \ - "0.103145,0.108772,0.115895,0.129881,0.157379,0.211964,0.321099", \ - "0.126640,0.132553,0.139818,0.153952,0.181646,0.236717,0.346162", \ - "0.151440,0.157329,0.164563,0.178152,0.205638,0.260543,0.370314", \ - "0.173362,0.179583,0.187131,0.201048,0.228544,0.283007,0.391999", \ - "0.190219,0.196948,0.205072,0.219849,0.247501,0.301887,0.410724"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.018161,0.020747,0.024039,0.030560,0.043523,0.069643,0.122935", \ - "0.018147,0.020728,0.024025,0.030548,0.043509,0.069641,0.122928", \ - "0.018151,0.020727,0.024012,0.030529,0.043495,0.069620,0.122919", \ - "0.018507,0.021010,0.024230,0.030672,0.043570,0.069660,0.122939", \ - "0.019944,0.022287,0.025345,0.031528,0.044240,0.070131,0.123129", \ - "0.022821,0.024966,0.027768,0.033552,0.045548,0.070618,0.123172", \ - "0.027032,0.029050,0.031606,0.036948,0.048206,0.072339,0.123570"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.029337,0.034413,0.040992,0.054160,0.080597,0.133553,0.239364", \ - "0.029341,0.034415,0.040994,0.054167,0.080603,0.133545,0.239368", \ - "0.029483,0.034528,0.041066,0.054198,0.080601,0.133554,0.239360", \ - "0.029789,0.034863,0.041405,0.054609,0.080738,0.133580,0.239356", \ - "0.030841,0.035365,0.041377,0.053765,0.079520,0.132927,0.239373", \ - "0.034574,0.038750,0.044294,0.055831,0.080459,0.132084,0.238156", \ - "0.040078,0.043978,0.049073,0.059677,0.082723,0.133221,0.237634"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.020217,0.023607,0.027860,0.036127,0.052231,0.083886,0.146603", \ - "0.024799,0.028185,0.032441,0.040724,0.056860,0.088549,0.151288", \ - "0.041144,0.045090,0.049693,0.057876,0.073880,0.105520,0.168237", \ - "0.058105,0.064367,0.071664,0.084349,0.105213,0.138319,0.200607", \ - "0.070294,0.079202,0.089429,0.107559,0.137173,0.183052,0.251947", \ - "0.075977,0.087722,0.101145,0.125148,0.164489,0.225195,0.315033", \ - "0.074159,0.088818,0.105806,0.135756,0.185409,0.262213,0.375322"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.078995,0.091585,0.107670,0.139571,0.202989,0.329358,0.581624", \ - "0.082505,0.095348,0.111755,0.144097,0.208051,0.334887,0.587502", \ - "0.099193,0.111830,0.128087,0.160432,0.224695,0.352145,0.605449", \ - "0.129373,0.142021,0.157952,0.189919,0.253760,0.381105,0.634676", \ - "0.164361,0.180120,0.199004,0.234130,0.298358,0.425254,0.678569", \ - "0.203232,0.222268,0.244971,0.286090,0.359028,0.488221,0.741120", \ - "0.247302,0.269992,0.296608,0.344351,0.427455,0.570170,0.824390"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.014240,0.016878,0.020270,0.027053,0.040642,0.067921,0.122412", \ - "0.014067,0.016778,0.020223,0.027051,0.040682,0.067964,0.122420", \ - "0.017968,0.019780,0.022140,0.027651,0.040613,0.067970,0.122427", \ - "0.030206,0.032724,0.035645,0.040858,0.050030,0.070200,0.122350", \ - "0.046237,0.049828,0.053981,0.060926,0.072450,0.091361,0.128957", \ - "0.065654,0.070541,0.076320,0.085505,0.100269,0.123327,0.159865", \ - "0.088159,0.094596,0.102073,0.114177,0.132881,0.161138,0.203814"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.044441,0.055552,0.069944,0.098667,0.156089,0.270813,0.500014", \ - "0.044436,0.055552,0.069928,0.098656,0.156083,0.270814,0.500014", \ - "0.044438,0.055551,0.069916,0.098661,0.156088,0.270798,0.500014", \ - "0.047609,0.057328,0.070515,0.098647,0.156056,0.270808,0.500020", \ - "0.059799,0.069640,0.082037,0.105561,0.157405,0.270789,0.500024", \ - "0.075535,0.085764,0.098774,0.123628,0.170928,0.273287,0.500024", \ - "0.095437,0.106116,0.119775,0.145553,0.194960,0.289413,0.500941"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.098059,0.102170,0.107103,0.116291,0.133378,0.165692,0.228413", \ - "0.102542,0.106669,0.111614,0.120821,0.137918,0.170234,0.232952", \ - "0.120629,0.124755,0.129706,0.138942,0.156082,0.188421,0.251132", \ - "0.157534,0.161677,0.166620,0.175809,0.193026,0.225451,0.288210", \ - "0.207050,0.211586,0.216874,0.226265,0.243830,0.276631,0.339541", \ - "0.260510,0.265668,0.271577,0.281867,0.300295,0.333786,0.397101", \ - "0.317007,0.322942,0.329647,0.341068,0.360693,0.395226,0.459214"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.086334,0.091961,0.099039,0.112904,0.140189,0.194535,0.303537", \ - "0.091501,0.097158,0.104288,0.118232,0.145636,0.200088,0.309203", \ - "0.105430,0.111109,0.118281,0.132367,0.160060,0.214907,0.324319", \ - "0.127499,0.133332,0.140611,0.154846,0.182795,0.237992,0.347780", \ - "0.153123,0.159070,0.166332,0.180346,0.208200,0.263323,0.373423", \ - "0.177856,0.184023,0.191526,0.205402,0.233254,0.288307,0.397882", \ - "0.198836,0.205410,0.213356,0.227708,0.255832,0.310928,0.420450"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.014977,0.017445,0.020647,0.027052,0.039906,0.065936,0.119077", \ - "0.014971,0.017439,0.020641,0.027045,0.039898,0.065930,0.119071", \ - "0.014989,0.017454,0.020645,0.027044,0.039897,0.065932,0.119068", \ - "0.015221,0.017646,0.020807,0.027163,0.039965,0.065957,0.119081", \ - "0.017480,0.019676,0.022581,0.028570,0.040967,0.066523,0.119242", \ - "0.021106,0.023111,0.025771,0.031332,0.043082,0.067866,0.119888", \ - "0.025658,0.027526,0.029981,0.035073,0.046055,0.069967,0.120938"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.029243,0.034303,0.040863,0.054006,0.080380,0.133267,0.238955", \ - "0.029268,0.034337,0.040906,0.054058,0.080468,0.133371,0.239076", \ - "0.029388,0.034447,0.040993,0.054137,0.080541,0.133476,0.239230", \ - "0.029548,0.034631,0.041189,0.054412,0.080637,0.133520,0.239292", \ - "0.030026,0.034791,0.041025,0.053698,0.079773,0.132978,0.239300", \ - "0.032362,0.036884,0.042859,0.055072,0.080297,0.132324,0.238324", \ - "0.035914,0.040286,0.045973,0.057538,0.081904,0.133132,0.237923"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("-0.001646,-0.001939,-0.002309,0.011742,0.046449,0.084557,0.130421", \ - "-0.002562,-0.002856,-0.003225,0.019225,0.071070,0.135900,0.181340", \ - "-0.005770,-0.006052,-0.006411,-0.007103,0.046407,0.130886,0.195918", \ - "0.142777,0.107162,0.076816,0.025486,0.028636,0.112876,0.194780", \ - "0.491292,0.440692,0.394026,0.323026,0.229910,0.147196,0.204428", \ - "0.982540,0.917720,0.844691,0.746995,0.592399,0.413713,0.291571", \ - "1.620367,1.545385,1.467788,1.329595,1.106999,0.845421,0.560520"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("4.525707,4.543530,4.570385,4.570370,4.601421,4.598656,4.612370", \ - "4.356255,4.405221,4.444769,4.462783,4.519639,4.583346,4.616033", \ - "4.268396,4.280535,4.297392,4.333024,4.405247,4.439415,4.501461", \ - "4.400151,4.389301,4.381622,4.341120,4.378725,4.383645,4.441811", \ - "4.687432,4.687634,4.657648,4.607022,4.514796,4.446221,4.456897", \ - "4.837501,4.911561,4.991244,5.023809,4.912404,4.741739,4.637571", \ - "5.370029,5.386733,5.417774,5.479683,5.469946,5.196352,5.002250"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("6.441371,6.459360,6.473630,6.457977,6.430996,6.371867,6.299780", \ - "6.379759,6.401059,6.420569,6.424002,6.388307,6.314645,6.246272", \ - "6.320978,6.350613,6.368520,6.375024,6.367159,6.305180,6.226281", \ - "6.271450,6.321862,6.381137,6.431389,6.444401,6.412002,6.334189", \ - "6.204551,6.302965,6.401287,6.506378,6.599702,6.614335,6.548890", \ - "6.120859,6.201000,6.296627,6.473729,6.670654,6.898297,6.901667", \ - "6.273750,6.363223,6.441415,6.616992,6.851693,7.074781,7.300898"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("5.665356,5.668552,5.666725,5.630447,5.509229,5.493488,5.304549", \ - "5.623317,5.651472,5.653046,5.621460,5.580251,5.495608,5.399601", \ - "5.394604,5.409036,5.422321,5.418532,5.409329,5.390420,5.362340", \ - "5.195597,5.221081,5.242634,5.272053,5.311640,5.354528,5.346933", \ - "5.355838,5.341484,5.326656,5.268637,5.308270,5.352946,5.385244", \ - "5.785761,5.799198,5.795165,5.753101,5.672090,5.547822,5.590383", \ - "6.274283,6.297876,6.320733,6.287799,6.240083,6.099261,6.012454"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.466336,0.493476,0.500990,0.538949,0.608347,0.657358,0.724219", \ - "0.380616,0.412289,0.440672,0.474133,0.534073,0.601560,0.662554", \ - "0.310178,0.321893,0.366794,0.401970,0.477483,0.546389,0.608481", \ - "0.572608,0.542710,0.507401,0.442623,0.477188,0.538808,0.595484", \ - "0.932585,0.899281,0.858833,0.784866,0.679000,0.595608,0.638161", \ - "1.419473,1.366238,1.313662,1.219414,1.073596,0.882798,0.743344", \ - "2.046873,1.993022,1.924319,1.801393,1.613056,1.354233,1.037168"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("5.727875,5.750825,5.764921,5.753923,5.759999,5.814152,5.843047", \ - "5.594641,5.627511,5.654268,5.690071,5.740219,5.749695,5.788550", \ - "5.455279,5.479526,5.493480,5.514889,5.585741,5.615759,5.678232", \ - "5.414122,5.428222,5.423872,5.461172,5.492236,5.518206,5.591009", \ - "5.468197,5.472713,5.467627,5.445892,5.454705,5.463601,5.514073", \ - "5.289098,5.374950,5.492789,5.591308,5.535929,5.545517,5.570294", \ - "5.470358,5.511126,5.555160,5.681016,5.831221,5.726887,5.688694"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("6.783168,6.891419,6.974218,7.087857,7.183061,7.262136,7.284987", \ - "6.741792,6.827651,6.929985,7.035228,7.153827,7.206743,7.241345", \ - "6.687487,6.782906,6.858653,6.977241,7.110516,7.180917,7.205034", \ - "6.695169,6.779473,6.870530,6.986624,7.122122,7.216610,7.250919", \ - "6.632123,6.770846,6.923342,7.079688,7.238227,7.345123,7.399223", \ - "6.479974,6.583982,6.718589,6.948627,7.232841,7.573124,7.666122", \ - "6.574101,6.679004,6.803538,7.007621,7.292761,7.625043,8.021838"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("4.876112,4.911479,4.907777,4.885824,4.872504,4.770703,4.729838", \ - "4.820692,4.838765,4.859375,4.871845,4.869386,4.821283,4.658358", \ - "4.556909,4.577223,4.613363,4.604365,4.652106,4.565654,4.558184", \ - "4.320432,4.350550,4.381180,4.421714,4.416267,4.463196,4.491303", \ - "4.376896,4.367499,4.356703,4.331574,4.391366,4.340356,4.504027", \ - "4.676339,4.682983,4.686870,4.618129,4.571221,4.450089,4.589637", \ - "5.029015,5.071722,5.080788,5.087199,4.999726,4.883268,4.801190"); - } - } - } - - } - - - /****************************************************************************************** - Module : XOR2_X1 - Cell Description : Combinational cell (XOR2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (XOR2_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 24.933246; - - leakage_power () { - when : "!A & !B"; - value : 19.555180; - } - leakage_power () { - when : "!A & B"; - value : 33.332203; - } - leakage_power () { - when : "A & !B"; - value : 24.306709; - } - leakage_power () { - when : "A & B"; - value : 22.538892; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.239722; - fall_capacitance : 2.149057; - rise_capacitance : 2.239722; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.353887; - fall_capacitance : 2.353887; - rise_capacitance : 2.337168; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.271000; - function : "(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.167100,0.169734,0.174333,0.182547,0.196614,0.220041,0.259101", \ - "0.167817,0.170488,0.175139,0.183411,0.197547,0.221055,0.260149", \ - "0.180961,0.183658,0.188325,0.196660,0.210842,0.234416,0.273567", \ - "0.213936,0.216637,0.221303,0.229411,0.243605,0.267166,0.306292", \ - "0.266983,0.269758,0.274563,0.282987,0.297251,0.321004,0.360205", \ - "0.329880,0.333070,0.338485,0.347906,0.363567,0.388732,0.429319", \ - "0.397917,0.401541,0.407649,0.418213,0.435469,0.462528,0.504792"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.121531,0.129425,0.144050,0.173338,0.232475,0.352408,0.595180", \ - "0.126887,0.134984,0.149911,0.179712,0.239340,0.359473,0.602011", \ - "0.141024,0.149379,0.164808,0.195562,0.256704,0.378480,0.622024", \ - "0.160841,0.169321,0.184969,0.215956,0.277706,0.400566,0.645323", \ - "0.181831,0.190192,0.205614,0.235855,0.297432,0.420820,0.666471", \ - "0.199629,0.208082,0.223667,0.254456,0.315600,0.437523,0.683779", \ - "0.211869,0.220460,0.236111,0.266857,0.328348,0.451058,0.695319"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.028916,0.030304,0.032627,0.036857,0.044238,0.057315,0.081633", \ - "0.028944,0.030323,0.032647,0.036866,0.044249,0.057305,0.081632", \ - "0.028951,0.030324,0.032645,0.036844,0.044219,0.057286,0.081616", \ - "0.029105,0.030443,0.032729,0.036893,0.044235,0.057275,0.081621", \ - "0.030668,0.031900,0.034010,0.037911,0.044943,0.057732,0.081881", \ - "0.034898,0.036176,0.038342,0.042186,0.049022,0.061395,0.084713", \ - "0.040750,0.042080,0.044290,0.048106,0.054585,0.066190,0.088669"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.057759,0.065404,0.079571,0.107960,0.164468,0.276871,0.500467", \ - "0.057760,0.065405,0.079572,0.107972,0.164468,0.276860,0.500476", \ - "0.057767,0.065413,0.079571,0.107959,0.164472,0.276874,0.500475", \ - "0.057789,0.065427,0.079592,0.107963,0.164474,0.276900,0.500468", \ - "0.053007,0.060546,0.074614,0.104290,0.162711,0.276870,0.500464", \ - "0.052871,0.060237,0.073998,0.101896,0.157850,0.272808,0.500462", \ - "0.054011,0.061106,0.074525,0.102002,0.157599,0.268970,0.496286"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.018085,0.020148,0.023962,0.031551,0.046655,0.076800,0.137047", \ - "0.022630,0.024736,0.028609,0.036270,0.051454,0.081656,0.141936", \ - "0.036661,0.039633,0.044624,0.053179,0.068288,0.098477,0.158768", \ - "0.048684,0.053558,0.061652,0.075466,0.097505,0.131166,0.191029", \ - "0.054919,0.061955,0.073555,0.093522,0.125153,0.172674,0.242350", \ - "0.053952,0.063296,0.078725,0.105170,0.147436,0.210708,0.302105", \ - "0.044762,0.056488,0.076018,0.109385,0.162859,0.243136,0.358580"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.064226,0.072939,0.088884,0.120210,0.182214,0.305405,0.551099", \ - "0.065169,0.074065,0.090381,0.122340,0.185108,0.308977,0.555111", \ - "0.079011,0.087503,0.103386,0.134959,0.197763,0.322193,0.569142", \ - "0.112235,0.121352,0.136485,0.166674,0.228022,0.351443,0.598024", \ - "0.151003,0.162932,0.183190,0.219175,0.280167,0.401322,0.646065", \ - "0.193885,0.208555,0.233701,0.278081,0.352608,0.475203,0.717053", \ - "0.241668,0.258964,0.288717,0.341508,0.430576,0.573577,0.813938"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.010585,0.012359,0.015645,0.022235,0.035392,0.061696,0.114304", \ - "0.010579,0.012354,0.015649,0.022231,0.035388,0.061695,0.114304", \ - "0.016082,0.017355,0.019573,0.024042,0.035474,0.061692,0.114310", \ - "0.028661,0.030393,0.033383,0.038586,0.047597,0.065519,0.114301", \ - "0.045474,0.047921,0.052144,0.058993,0.070255,0.088625,0.123244", \ - "0.066310,0.069521,0.075093,0.084176,0.098393,0.120731,0.156253", \ - "0.091055,0.095195,0.102182,0.113725,0.131576,0.158742,0.200167"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.047959,0.055521,0.069632,0.097657,0.153651,0.265393,0.488340", \ - "0.047921,0.055513,0.069626,0.097651,0.153683,0.265448,0.488342", \ - "0.047222,0.055129,0.069520,0.097670,0.153653,0.265407,0.488340", \ - "0.056051,0.061217,0.072250,0.097410,0.153551,0.265451,0.488349", \ - "0.075563,0.081746,0.092824,0.111872,0.156642,0.265407,0.488352", \ - "0.097292,0.104699,0.117801,0.140894,0.180142,0.269730,0.488372", \ - "0.121730,0.130437,0.145765,0.172754,0.218359,0.296742,0.489479"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.181401,0.184056,0.188677,0.196901,0.210960,0.234361,0.273369", \ - "0.185093,0.187760,0.192412,0.200680,0.214797,0.238255,0.277284", \ - "0.202074,0.204743,0.209426,0.217737,0.231909,0.255421,0.294481", \ - "0.232443,0.235128,0.239817,0.247893,0.262023,0.285564,0.324681", \ - "0.276607,0.279368,0.284136,0.292556,0.306871,0.330543,0.369656", \ - "0.329730,0.332800,0.338049,0.347230,0.362477,0.387364,0.427708", \ - "0.390468,0.393828,0.399549,0.409496,0.425947,0.452415,0.494569"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.108001,0.116483,0.132040,0.162847,0.224035,0.346320,0.591085", \ - "0.113180,0.121685,0.137321,0.168323,0.229801,0.352204,0.596916", \ - "0.130299,0.138776,0.154425,0.185581,0.247511,0.370649,0.615958", \ - "0.154352,0.162822,0.178486,0.209532,0.271550,0.394998,0.640815", \ - "0.180170,0.188428,0.203674,0.233877,0.295091,0.418669,0.664791", \ - "0.202794,0.211118,0.226506,0.256942,0.317595,0.439612,0.685959", \ - "0.219767,0.228233,0.243675,0.274191,0.335151,0.457192,0.701767"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.028761,0.030132,0.032446,0.036651,0.044055,0.057171,0.081534", \ - "0.028689,0.030061,0.032375,0.036571,0.043982,0.057115,0.081488", \ - "0.028650,0.030012,0.032321,0.036511,0.043923,0.057056,0.081463", \ - "0.028775,0.030129,0.032409,0.036571,0.043935,0.057039,0.081451", \ - "0.029802,0.031092,0.033258,0.037269,0.044417,0.057340,0.081613", \ - "0.032318,0.033662,0.035926,0.040016,0.047212,0.059972,0.083726", \ - "0.035744,0.037154,0.039503,0.043641,0.050869,0.063519,0.087129"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.048033,0.055540,0.069494,0.097586,0.153605,0.265471,0.488337", \ - "0.048030,0.055540,0.069495,0.097590,0.153605,0.265405,0.488333", \ - "0.048043,0.055545,0.069493,0.097568,0.153608,0.265411,0.488335", \ - "0.048061,0.055570,0.069521,0.097598,0.153610,0.265502,0.488344", \ - "0.047144,0.054360,0.067860,0.096137,0.152991,0.265417,0.488341", \ - "0.048363,0.055553,0.069015,0.096351,0.151220,0.263896,0.488353", \ - "0.050214,0.057101,0.070272,0.097373,0.152360,0.262381,0.486900"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.020816,0.022869,0.026669,0.034242,0.049342,0.079478,0.139726", \ - "0.025959,0.028056,0.031910,0.039548,0.054709,0.084905,0.145182", \ - "0.039595,0.042149,0.046627,0.054847,0.070168,0.100499,0.160880", \ - "0.055296,0.059169,0.065671,0.076972,0.096185,0.129101,0.189788", \ - "0.067486,0.073379,0.083106,0.099570,0.125708,0.166773,0.234014", \ - "0.073349,0.081713,0.095163,0.118269,0.154217,0.207360,0.287598", \ - "0.071519,0.082534,0.100519,0.130593,0.178050,0.246888,0.344933"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.082686,0.091187,0.106961,0.138155,0.200217,0.323460,0.569324", \ - "0.084412,0.093084,0.109037,0.140573,0.202989,0.326621,0.572689", \ - "0.098313,0.106877,0.122778,0.154232,0.216846,0.340758,0.587231", \ - "0.132075,0.140139,0.155210,0.186012,0.247574,0.370823,0.616994", \ - "0.177839,0.188390,0.206647,0.239675,0.299604,0.421127,0.665712", \ - "0.227414,0.240485,0.263222,0.304124,0.374373,0.495106,0.737093", \ - "0.281595,0.297114,0.324168,0.373045,0.457078,0.594747,0.834134"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.010594,0.012358,0.015645,0.022228,0.035384,0.061693,0.114306", \ - "0.010592,0.012360,0.015648,0.022227,0.035386,0.061704,0.114309", \ - "0.012914,0.014484,0.017315,0.023021,0.035409,0.061698,0.114310", \ - "0.020212,0.021779,0.024628,0.030177,0.041267,0.063718,0.114295", \ - "0.032644,0.034477,0.037566,0.043188,0.053872,0.075282,0.119211", \ - "0.049324,0.051568,0.055473,0.061971,0.073056,0.093803,0.135976", \ - "0.069575,0.072422,0.077301,0.085417,0.098215,0.119590,0.160469"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.057752,0.065404,0.079678,0.108067,0.164568,0.276867,0.500472", \ - "0.057742,0.065406,0.079678,0.108061,0.164611,0.276920,0.500466", \ - "0.057604,0.065316,0.079653,0.108003,0.164640,0.276873,0.500474", \ - "0.060490,0.067072,0.079984,0.107742,0.164393,0.276856,0.500477", \ - "0.079032,0.085221,0.095902,0.116953,0.165539,0.276803,0.500467", \ - "0.100669,0.108170,0.121262,0.144402,0.184842,0.279339,0.500464", \ - "0.124418,0.133407,0.148996,0.176362,0.222168,0.302953,0.500916"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("3.428440,3.429656,3.454715,3.464999,3.475171,3.519738,3.563134", \ - "3.389436,3.388056,3.413361,3.434620,3.451504,3.484448,3.518537", \ - "3.359816,3.372459,3.382715,3.396997,3.430520,3.468267,3.507971", \ - "3.357126,3.368364,3.391008,3.427504,3.451523,3.502921,3.538611", \ - "3.374449,3.387835,3.411935,3.454539,3.503082,3.576519,3.618796", \ - "3.387383,3.416116,3.441008,3.504478,3.603805,3.678581,3.735398", \ - "3.480534,3.499971,3.526079,3.578886,3.670211,3.777831,3.892011"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("2.938462,2.926014,2.909274,2.874314,2.807835,2.748629,2.679697", \ - "2.936219,2.932348,2.921851,2.896884,2.839821,2.771076,2.729754", \ - "2.823518,2.825420,2.828546,2.831915,2.814735,2.790871,2.740495", \ - "2.738929,2.748102,2.757068,2.765121,2.759178,2.772891,2.751017", \ - "2.724978,2.735019,2.743387,2.754703,2.769175,2.780261,2.789422", \ - "2.857597,2.850864,2.834925,2.803561,2.834931,2.839808,2.862213", \ - "3.061392,3.061122,3.054660,3.027056,2.983120,2.987471,2.994041"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.135957,0.130779,0.126708,0.128414,0.124506,0.127273,0.133617", \ - "0.122801,0.122735,0.126881,0.133039,0.138596,0.152699,0.156459", \ - "0.093394,0.096970,0.109444,0.117823,0.140960,0.156174,0.168210", \ - "0.188709,0.180162,0.164161,0.143494,0.136103,0.150453,0.169887", \ - "0.330524,0.315120,0.291544,0.263382,0.220262,0.175386,0.180807", \ - "0.546607,0.526953,0.497054,0.446675,0.380688,0.300676,0.233808", \ - "0.846147,0.824159,0.788637,0.721723,0.623135,0.498643,0.367228"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("2.133667,2.148364,2.155668,2.178577,2.193801,2.213324,2.198172", \ - "2.047926,2.067190,2.090084,2.122635,2.166779,2.198838,2.192300", \ - "1.993453,2.006801,2.014706,2.045298,2.079042,2.123033,2.164182", \ - "2.058654,2.060118,2.056320,2.061799,2.064867,2.095241,2.133858", \ - "2.208286,2.206667,2.192111,2.180900,2.154286,2.126596,2.141632", \ - "2.326255,2.340347,2.376682,2.391798,2.331256,2.274869,2.226833", \ - "2.605827,2.608778,2.613851,2.635823,2.611584,2.503734,2.388241"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("3.686687,3.696063,3.711823,3.731856,3.760641,3.800385,3.842959", \ - "3.648193,3.662423,3.678938,3.706555,3.719428,3.784199,3.826135", \ - "3.621168,3.632968,3.656341,3.687849,3.722393,3.751773,3.805133", \ - "3.582875,3.593231,3.631482,3.656392,3.702396,3.762051,3.798520", \ - "3.520270,3.535505,3.573743,3.613226,3.686330,3.746129,3.792942", \ - "3.427024,3.455693,3.501319,3.561747,3.670792,3.743489,3.828736", \ - "3.399693,3.427011,3.461218,3.531947,3.628429,3.749884,3.886965"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("2.619860,2.625644,2.635577,2.638060,2.629696,2.626775,2.595088", \ - "2.601977,2.609800,2.616038,2.624319,2.621149,2.611969,2.611709", \ - "2.542117,2.548005,2.559987,2.573357,2.589128,2.601918,2.580222", \ - "2.507721,2.513451,2.525279,2.540211,2.548300,2.579274,2.566909", \ - "2.517978,2.529110,2.537060,2.547585,2.553333,2.583044,2.608716", \ - "2.695938,2.687242,2.667646,2.614037,2.630265,2.645641,2.676596", \ - "2.905602,2.902762,2.891568,2.853997,2.793574,2.761237,2.792077"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("-0.000652,-0.000720,-0.000843,-0.001081,-0.001527,-0.002332,-0.003723", \ - "-0.000946,-0.001011,-0.001129,-0.001358,-0.001788,-0.002575,-0.003949", \ - "-0.001925,-0.001981,-0.002087,-0.002292,-0.002686,-0.003420,-0.004747", \ - "-0.003738,-0.003805,-0.003921,-0.004132,-0.004502,-0.005190,-0.006464", \ - "-0.006462,-0.006545,-0.006685,-0.006932,-0.007350,-0.008047,-0.009279", \ - "0.119987,0.108834,0.088727,0.064131,0.027197,-0.012030,-0.013290", \ - "0.326394,0.311545,0.286938,0.242580,0.179781,0.106105,0.016860"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("2.866624,2.871062,2.879013,2.881663,2.897996,2.915386,2.935121", \ - "2.804736,2.812376,2.824833,2.833838,2.870259,2.894411,2.918078", \ - "2.757778,2.763794,2.777998,2.796554,2.806439,2.836445,2.871457", \ - "2.811325,2.810230,2.810176,2.818964,2.832264,2.856274,2.852957", \ - "2.955179,2.951886,2.945604,2.922618,2.893702,2.896057,2.905671", \ - "3.076172,3.108172,3.162812,3.148801,3.074218,3.050774,3.000237", \ - "3.307282,3.318041,3.350024,3.389234,3.383037,3.283010,3.167529"); - } - } - } - - } - - - /****************************************************************************************** - Module : XOR2_X2 - Cell Description : Combinational cell (XOR2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (XOR2_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 50.033142; - - leakage_power () { - when : "!A & !B"; - value : 39.428705; - } - leakage_power () { - when : "!A & B"; - value : 66.759920; - } - leakage_power () { - when : "A & !B"; - value : 48.732682; - } - leakage_power () { - when : "A & B"; - value : 45.211260; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.346015; - fall_capacitance : 4.164183; - rise_capacitance : 4.346015; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.380745; - fall_capacitance : 4.380745; - rise_capacitance : 4.349141; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 50.421800; - function : "(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.169849,0.173697,0.178299,0.186536,0.200650,0.224222,0.263473", \ - "0.170626,0.174518,0.179160,0.187454,0.201636,0.225284,0.264570", \ - "0.183692,0.187605,0.192277,0.200627,0.214893,0.238639,0.277973", \ - "0.216573,0.220480,0.225151,0.233309,0.247522,0.271238,0.310515", \ - "0.269636,0.273668,0.278469,0.286908,0.301178,0.325069,0.364447", \ - "0.333003,0.337587,0.343011,0.352420,0.368099,0.393349,0.434062", \ - "0.401450,0.406668,0.412772,0.423336,0.440602,0.467730,0.510201"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.121218,0.132531,0.147116,0.176337,0.235259,0.354797,0.596845", \ - "0.126557,0.138092,0.152985,0.182680,0.242170,0.361869,0.603676", \ - "0.140700,0.152607,0.167990,0.198618,0.259588,0.381008,0.623811", \ - "0.160948,0.172988,0.188577,0.219403,0.281011,0.403527,0.647664", \ - "0.182374,0.194258,0.209607,0.239735,0.301122,0.424261,0.669318", \ - "0.200738,0.212773,0.228283,0.258942,0.319901,0.441547,0.687054", \ - "0.213629,0.225773,0.241320,0.271989,0.333323,0.455700,0.699244"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.028866,0.030811,0.033146,0.037379,0.044759,0.057860,0.082143", \ - "0.028891,0.030831,0.033163,0.037391,0.044776,0.057857,0.082144", \ - "0.028909,0.030834,0.033158,0.037374,0.044741,0.057843,0.082130", \ - "0.029051,0.030960,0.033250,0.037427,0.044759,0.057830,0.082124", \ - "0.030478,0.032245,0.034373,0.038326,0.045386,0.058223,0.082358", \ - "0.034675,0.036519,0.038642,0.042511,0.049394,0.061814,0.085075", \ - "0.040480,0.042405,0.044561,0.048336,0.054887,0.066556,0.089078"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.055611,0.066432,0.080573,0.108833,0.165086,0.277056,0.500226", \ - "0.055609,0.066442,0.080577,0.108829,0.165121,0.277062,0.500228", \ - "0.055622,0.066450,0.080585,0.108837,0.165112,0.277046,0.500227", \ - "0.055657,0.066466,0.080592,0.108833,0.165095,0.277063,0.500233", \ - "0.051007,0.061663,0.075924,0.105442,0.163598,0.277015,0.500231", \ - "0.050849,0.061266,0.075028,0.102780,0.158577,0.273261,0.500215", \ - "0.052058,0.062064,0.075499,0.102879,0.158276,0.269492,0.496118"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.017496,0.020435,0.024236,0.031801,0.046862,0.076920,0.136991", \ - "0.022023,0.025028,0.028888,0.036521,0.051654,0.081770,0.141875", \ - "0.035763,0.040049,0.044978,0.053444,0.068510,0.098606,0.158709", \ - "0.047202,0.054237,0.062254,0.075933,0.097796,0.131303,0.191003", \ - "0.052770,0.062867,0.074432,0.094196,0.125582,0.172896,0.242341", \ - "0.051095,0.064499,0.079808,0.106179,0.148090,0.211030,0.302140", \ - "0.041175,0.057989,0.077292,0.110436,0.163611,0.243511,0.358592"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.061301,0.073712,0.089571,0.120798,0.182575,0.305440,0.550437", \ - "0.062209,0.074902,0.091142,0.122986,0.185502,0.309033,0.554474", \ - "0.076291,0.088399,0.104190,0.135663,0.198210,0.322305,0.568556", \ - "0.109258,0.122257,0.137328,0.167395,0.228585,0.351618,0.597519", \ - "0.147195,0.164248,0.184396,0.220018,0.280792,0.401551,0.645623", \ - "0.189282,0.210272,0.235146,0.279306,0.353315,0.475418,0.716527", \ - "0.236300,0.261044,0.290507,0.342871,0.431390,0.573761,0.813354"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.010075,0.012584,0.015869,0.022430,0.035547,0.061767,0.114212", \ - "0.010070,0.012584,0.015868,0.022428,0.035539,0.061769,0.114218", \ - "0.015699,0.017493,0.019683,0.024183,0.035631,0.061769,0.114217", \ - "0.028117,0.030587,0.033517,0.038680,0.047635,0.065554,0.114211", \ - "0.044747,0.048212,0.052292,0.059056,0.070271,0.088616,0.123151", \ - "0.065296,0.069935,0.075418,0.084246,0.098423,0.120666,0.156117", \ - "0.089763,0.095703,0.102661,0.113980,0.131648,0.158695,0.200009"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.045806,0.056587,0.070552,0.098467,0.154211,0.265580,0.488109", \ - "0.045737,0.056563,0.070552,0.098465,0.154206,0.265622,0.488101", \ - "0.044846,0.056175,0.070424,0.098484,0.154202,0.265580,0.488082", \ - "0.054671,0.061908,0.073013,0.098212,0.154203,0.265618,0.488113", \ - "0.073677,0.082475,0.093279,0.112251,0.157180,0.265577,0.488119", \ - "0.095023,0.105624,0.118525,0.141246,0.180449,0.269922,0.488128", \ - "0.119077,0.131531,0.146689,0.173356,0.218713,0.296981,0.489240"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.184026,0.187881,0.192499,0.200754,0.214858,0.238413,0.277613", \ - "0.187752,0.191646,0.196303,0.204597,0.218753,0.242349,0.281574", \ - "0.204772,0.208678,0.213358,0.221706,0.235925,0.259565,0.298819", \ - "0.234964,0.238883,0.243570,0.251824,0.266024,0.289727,0.329011", \ - "0.279170,0.283172,0.287941,0.296423,0.310654,0.334412,0.373739", \ - "0.332557,0.336988,0.342218,0.351377,0.366712,0.391711,0.432207", \ - "0.393564,0.398420,0.404115,0.414069,0.430524,0.457073,0.499383"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.107344,0.119443,0.134957,0.165678,0.226677,0.348562,0.592656", \ - "0.112523,0.124645,0.140232,0.171149,0.232421,0.354465,0.598494", \ - "0.129745,0.141816,0.157422,0.188461,0.250185,0.372967,0.617579", \ - "0.154197,0.166284,0.181880,0.212851,0.274653,0.397690,0.642861", \ - "0.180444,0.192209,0.207423,0.237520,0.298812,0.421963,0.667373", \ - "0.203623,0.215490,0.230813,0.261139,0.321635,0.443351,0.688981", \ - "0.221200,0.233149,0.248600,0.279025,0.339791,0.461516,0.705419"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.028710,0.030643,0.032965,0.037187,0.044589,0.057708,0.082041", \ - "0.028653,0.030575,0.032898,0.037105,0.044507,0.057648,0.082000", \ - "0.028614,0.030530,0.032841,0.037048,0.044445,0.057606,0.081963", \ - "0.028732,0.030628,0.032932,0.037100,0.044456,0.057599,0.081955", \ - "0.029685,0.031506,0.033710,0.037729,0.044901,0.057850,0.082109", \ - "0.032179,0.034073,0.036334,0.040426,0.047614,0.060433,0.084128", \ - "0.035553,0.037542,0.039838,0.043990,0.051214,0.063926,0.087526"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.045952,0.056600,0.070520,0.098432,0.154198,0.265578,0.488097", \ - "0.045942,0.056598,0.070529,0.098431,0.154192,0.265581,0.488096", \ - "0.045958,0.056605,0.070534,0.098433,0.154203,0.265583,0.488095", \ - "0.045991,0.056652,0.070541,0.098439,0.154212,0.265572,0.488104", \ - "0.045121,0.055371,0.068850,0.097162,0.153724,0.265585,0.488090", \ - "0.046380,0.056565,0.070003,0.097191,0.151884,0.264261,0.488115", \ - "0.048275,0.058042,0.071214,0.098213,0.152974,0.262853,0.486694"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.020262,0.023187,0.026978,0.034527,0.049581,0.079633,0.139709", \ - "0.025389,0.028376,0.032216,0.039829,0.054945,0.085053,0.145157", \ - "0.038869,0.042519,0.046951,0.055130,0.070407,0.100656,0.160860", \ - "0.054169,0.059732,0.066145,0.077359,0.096467,0.129260,0.189768", \ - "0.065751,0.074263,0.083940,0.100180,0.126131,0.166997,0.234018", \ - "0.070831,0.082841,0.096323,0.119217,0.154851,0.207672,0.287615", \ - "0.068196,0.083990,0.101740,0.131888,0.178959,0.247375,0.345012"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.079859,0.092001,0.107690,0.138801,0.200641,0.323539,0.568697", \ - "0.081557,0.093927,0.109816,0.141228,0.203410,0.326691,0.572068", \ - "0.095620,0.107785,0.123596,0.154966,0.217258,0.340894,0.586689", \ - "0.129540,0.140978,0.156163,0.186788,0.248154,0.371076,0.616516", \ - "0.174480,0.189561,0.207657,0.240575,0.300316,0.421418,0.665308", \ - "0.223339,0.242025,0.264551,0.305164,0.375049,0.495293,0.736578", \ - "0.276818,0.298997,0.325813,0.374309,0.457887,0.594927,0.833567"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.010082,0.012586,0.015869,0.022425,0.035543,0.061765,0.114214", \ - "0.010077,0.012585,0.015866,0.022425,0.035542,0.061769,0.114219", \ - "0.012446,0.014682,0.017498,0.023199,0.035564,0.061768,0.114214", \ - "0.019749,0.021953,0.024775,0.030326,0.041393,0.063792,0.114212", \ - "0.032108,0.034657,0.037698,0.043300,0.053933,0.075315,0.119123", \ - "0.048645,0.051844,0.055629,0.062036,0.073092,0.093761,0.135851", \ - "0.068714,0.072765,0.077537,0.085460,0.098179,0.119527,0.160299"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.055578,0.066436,0.080622,0.108887,0.165161,0.277062,0.500230", \ - "0.055564,0.066428,0.080588,0.108823,0.165095,0.277065,0.500224", \ - "0.055377,0.066333,0.080547,0.108819,0.165083,0.277069,0.500233", \ - "0.058614,0.067952,0.080848,0.108585,0.165023,0.277058,0.500225", \ - "0.077092,0.085934,0.096474,0.117528,0.166139,0.277009,0.500225", \ - "0.098390,0.109105,0.121967,0.144869,0.185316,0.279495,0.500217", \ - "0.121686,0.134555,0.149921,0.176930,0.222510,0.303183,0.500663"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("7.073265,7.104007,7.128847,7.175221,7.216197,7.285602,7.399827", \ - "6.989091,7.019312,7.055208,7.104601,7.136244,7.232526,7.327297", \ - "6.927837,6.947632,7.005082,7.057109,7.103258,7.174669,7.291890", \ - "6.931909,6.953163,7.020563,7.056982,7.152251,7.253761,7.351492", \ - "6.943474,6.995755,7.058911,7.154439,7.276338,7.382368,7.502366", \ - "6.987444,7.048090,7.129382,7.241573,7.428710,7.626621,7.761381", \ - "7.157855,7.212231,7.269734,7.378594,7.575330,7.817407,8.054818"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("5.970273,5.949368,5.918000,5.843442,5.723234,5.545959,5.432575", \ - "5.975037,5.963941,5.944608,5.890425,5.790826,5.686955,5.534267", \ - "5.735956,5.750638,5.751515,5.743249,5.742479,5.695064,5.611038", \ - "5.580567,5.590053,5.608019,5.607978,5.629660,5.611156,5.638416", \ - "5.533174,5.559564,5.584266,5.609176,5.612951,5.649273,5.652363", \ - "5.811761,5.792154,5.761045,5.686876,5.762978,5.770458,5.837375", \ - "6.212248,6.210408,6.195812,6.134890,6.033720,6.077191,6.090529"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.276113,0.263912,0.258531,0.252819,0.250385,0.261489,0.263766", \ - "0.232721,0.247936,0.256128,0.267669,0.282469,0.301507,0.308478", \ - "0.183459,0.196874,0.211866,0.240532,0.277366,0.307730,0.334682", \ - "0.381831,0.356907,0.328005,0.283523,0.271305,0.303311,0.336267", \ - "0.667592,0.623472,0.582613,0.520424,0.439201,0.346186,0.362132", \ - "1.101414,1.050919,0.990227,0.894626,0.760224,0.597186,0.464513", \ - "1.701243,1.644969,1.573123,1.443884,1.244309,0.998189,0.732289"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("4.348971,4.386381,4.415418,4.417087,4.455782,4.501473,4.498325", \ - "4.184756,4.235407,4.284737,4.334731,4.401386,4.472189,4.486391", \ - "4.081506,4.115414,4.133419,4.194285,4.226633,4.321063,4.430067", \ - "4.205791,4.208142,4.216574,4.224747,4.200603,4.266821,4.371603", \ - "4.511315,4.500380,4.479020,4.458693,4.387526,4.394952,4.395590", \ - "4.731989,4.778569,4.840722,4.870007,4.734014,4.628424,4.556883", \ - "5.300796,5.308237,5.322010,5.362332,5.351308,5.087129,4.877283"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("7.578526,7.617537,7.658411,7.722015,7.785469,7.851257,7.970943", \ - "7.506801,7.548943,7.586511,7.658709,7.708541,7.832529,7.931345", \ - "7.442018,7.497194,7.549785,7.602408,7.676988,7.777309,7.905129", \ - "7.379114,7.419691,7.490801,7.557260,7.669396,7.792569,7.890184", \ - "7.254425,7.309009,7.377034,7.477016,7.604482,7.751194,7.881947", \ - "7.071647,7.133963,7.231776,7.389251,7.603698,7.782446,7.950655", \ - "7.009902,7.082290,7.157360,7.300938,7.505124,7.764550,8.055219"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("5.326751,5.343404,5.364739,5.374989,5.370519,5.295890,5.325400", \ - "5.284226,5.301911,5.326220,5.352013,5.354022,5.331146,5.293187", \ - "5.164248,5.190519,5.215607,5.229823,5.253210,5.246792,5.295702", \ - "5.101701,5.123633,5.141681,5.167254,5.174636,5.196715,5.266117", \ - "5.126578,5.148839,5.159122,5.183646,5.187484,5.262999,5.276304", \ - "5.480097,5.454615,5.416210,5.320420,5.338420,5.383124,5.418943", \ - "5.903804,5.882109,5.861632,5.798095,5.656452,5.611194,5.691743"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("-0.001267,-0.001461,-0.001707,-0.002181,-0.003068,-0.004674,-0.007443", \ - "-0.001858,-0.002044,-0.002280,-0.002735,-0.003593,-0.005161,-0.007899", \ - "-0.003826,-0.003988,-0.004199,-0.004610,-0.005395,-0.006860,-0.009505", \ - "-0.007451,-0.007643,-0.007875,-0.008295,-0.009033,-0.010407,-0.012948", \ - "-0.012897,-0.013135,-0.013413,-0.013905,-0.014739,-0.016129,-0.018589", \ - "0.240106,0.210899,0.169236,0.117044,0.044022,-0.024106,-0.026621", \ - "0.661137,0.613126,0.558619,0.467656,0.353597,0.205221,0.023376"); - } - rise_power(Power_7_7) { - index_1 ("0.00231025,0.0112628,0.0426883,0.102700,0.196195,0.327379,0.500000"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("5.829013,5.851348,5.855544,5.880117,5.874826,5.947393,5.982339", \ - "5.703190,5.734716,5.746999,5.783722,5.816737,5.896056,5.948012", \ - "5.611530,5.637616,5.652627,5.671247,5.749704,5.822260,5.848525", \ - "5.724039,5.729408,5.738298,5.715920,5.745687,5.807485,5.820067", \ - "6.020993,6.010839,5.998174,5.959799,5.934309,5.882076,5.862190", \ - "6.239756,6.327882,6.430667,6.399122,6.292680,6.188859,6.112651", \ - "6.709393,6.749165,6.807092,6.880683,6.842302,6.654906,6.445689"); - } - } - } - - } - -} -/* -* End of file -*/ diff --git a/examples/nangate45_slow.lib.gz b/examples/nangate45_slow.lib.gz new file mode 100644 index 00000000..d1676a75 Binary files /dev/null and b/examples/nangate45_slow.lib.gz differ diff --git a/examples/nangate45_typ.lib b/examples/nangate45_typ.lib deleted file mode 100644 index 4d416dfb..00000000 --- a/examples/nangate45_typ.lib +++ /dev/null @@ -1,133288 +0,0 @@ -/* -* ****************************************************************************** -* * * -* * Copyright (C) 2004-2011, Nangate Inc. * -* * All rights reserved. * -* * * -* * Nangate and the Nangate logo are trademarks of Nangate Inc. * -* * * -* * All trademarks, logos, software marks, and trade names (collectively the * -* * "Marks") in this program are proprietary to Nangate or other respective * -* * owners that have granted Nangate the right and license to use such Marks. * -* * You are not permitted to use the Marks without the prior written consent * -* * of Nangate or such third party that may own the Marks. * -* * * -* * This file has been provided pursuant to a License Agreement containing * -* * restrictions on its use. This file contains valuable trade secrets and * -* * proprietary information of Nangate Inc., and is protected by U.S. and * -* * international laws and/or treaties. * -* * * -* * The copyright notice(s) in this file does not indicate actual or intended * -* * publication of this file. * -* * * -* * NGLibraryCharacterizer, v2011.01-HR04-2011-01-19 - build 201102050200 * -* * * -* ****************************************************************************** -* -* Spice engine : Nanspice v2011.01-HR04-2011-01-19-1102050200 -* Liberty export type : conditional -* -* Characterization Corner : typical -* Process : TypTyp -* Temperature : 25C -* Voltage : 1.1V -* -****************************************************************************/ - -library (NangateOpenCellLibrary) { - - /* Documentation Attributes */ - date : "Thu 10 Feb 2011, 18:11:20"; - revision : "revision 1.0"; - comment : "Copyright (c) 2004-2011 Nangate Inc. All Rights Reserved."; - - /* General Attributes */ - technology (cmos); - delay_model : table_lookup; - in_place_swap_mode : match_footprint; - library_features (report_delay_calculation,report_power_calculation); - - /* Units Attributes */ - time_unit : "1ns"; - leakage_power_unit : "1nW"; - voltage_unit : "1V"; - current_unit : "1mA"; - pulling_resistance_unit : "1kohm"; - capacitive_load_unit (1,ff); - - /* Operation Conditions */ - nom_process : 1.00; - nom_temperature : 25.00; - nom_voltage : 1.10; - - voltage_map (VDD,1.10); - voltage_map (VSS,0.00); - - define(process_corner, operating_conditions, string); - operating_conditions (typical) { - process_corner : "TypTyp"; - process : 1.00; - voltage : 1.10; - temperature : 25.00; - tree_type : balanced_tree; - } - default_operating_conditions : typical; - - /* Threshold Definitions */ - slew_lower_threshold_pct_fall : 30.00 ; - slew_lower_threshold_pct_rise : 30.00 ; - slew_upper_threshold_pct_fall : 70.00 ; - slew_upper_threshold_pct_rise : 70.00 ; - slew_derate_from_library : 1.00 ; - input_threshold_pct_fall : 50.00 ; - input_threshold_pct_rise : 50.00 ; - output_threshold_pct_fall : 50.00 ; - output_threshold_pct_rise : 50.00 ; - default_leakage_power_density : 0.00 ; - default_cell_leakage_power : 0.00 ; - - /* Default Pin Attributes */ - default_inout_pin_cap : 1.000000; - default_input_pin_cap : 1.000000; - default_output_pin_cap : 0.000000; - default_fanout_load : 1.000000; - default_max_transition : 0.198535; - - define(drive_strength, cell, float); - - /* Wire load tables */ - - wire_load("1K_hvratio_1_4") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.3207 ); - fanout_length( 2, 2.9813 ); - fanout_length( 3, 5.1135 ); - fanout_length( 4, 7.6639 ); - fanout_length( 5, 10.0334 ); - fanout_length( 6, 12.2296 ); - fanout_length( 8, 19.3185 ); - } - - wire_load("1K_hvratio_1_2") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.3216 ); - fanout_length( 2, 2.8855 ); - fanout_length( 3, 4.6810 ); - fanout_length( 4, 6.7976 ); - fanout_length( 5, 9.4037 ); - fanout_length( 6, 13.0170 ); - fanout_length( 8, 24.1720 ); - } - - wire_load("1K_hvratio_1_1") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 6.283688; - fanout_length( 1, 1.3446 ); - fanout_length( 2, 2.8263 ); - fanout_length( 3, 4.7581 ); - fanout_length( 4, 7.4080 ); - fanout_length( 5, 10.9381 ); - fanout_length( 6, 15.7314 ); - fanout_length( 8, 29.7891 ); - } - - wire_load("3K_hvratio_1_4") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.8234 ); - fanout_length( 2, 4.5256 ); - fanout_length( 3, 7.5342 ); - fanout_length( 4, 10.6237 ); - fanout_length( 5, 13.5401 ); - fanout_length( 6, 16.3750 ); - fanout_length( 7, 18.6686 ); - fanout_length( 8, 19.4348 ); - fanout_length( 10, 20.9672 ); - } - - wire_load("3K_hvratio_1_2") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.6615 ); - fanout_length( 2, 3.9827 ); - fanout_length( 3, 6.6386 ); - fanout_length( 4, 9.6287 ); - fanout_length( 5, 12.8485 ); - fanout_length( 6, 16.4145 ); - fanout_length( 7, 20.0747 ); - fanout_length( 8, 22.6325 ); - fanout_length( 10, 21.7173 ); - } - - wire_load("3K_hvratio_1_1") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.5771 ); - fanout_length( 2, 3.9330 ); - fanout_length( 3, 6.6217 ); - fanout_length( 4, 9.7638 ); - fanout_length( 5, 13.5526 ); - fanout_length( 6, 18.1322 ); - fanout_length( 7, 22.5871 ); - fanout_length( 8, 25.1074 ); - fanout_length( 10, 30.1480 ); - } - - wire_load("5K_hvratio_1_4") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 2.0449 ); - fanout_length( 2, 4.4094 ); - fanout_length( 3, 7.2134 ); - fanout_length( 4, 10.4927 ); - fanout_length( 5, 13.9420 ); - fanout_length( 6, 18.0039 ); - fanout_length( 7, 23.9278 ); - fanout_length( 8, 30.8475 ); - fanout_length( 9, 34.9441 ); - fanout_length( 11, 43.1373 ); - } - - wire_load("5K_hvratio_1_2") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.6706 ); - fanout_length( 2, 3.7951 ); - fanout_length( 3, 6.2856 ); - fanout_length( 4, 9.1309 ); - fanout_length( 5, 12.1420 ); - fanout_length( 6, 15.6918 ); - fanout_length( 7, 20.1043 ); - fanout_length( 8, 24.2827 ); - fanout_length( 9, 27.3445 ); - fanout_length( 11, 35.3421 ); - } - - wire_load("5K_hvratio_1_1") { - capacitance : 1.774000e-01; - resistance : 3.571429e-03; - slope : 5.000000; - fanout_length( 1, 1.7460 ); - fanout_length( 2, 3.9394 ); - fanout_length( 3, 6.4626 ); - fanout_length( 4, 9.2201 ); - fanout_length( 5, 11.9123 ); - fanout_length( 6, 14.8358 ); - fanout_length( 7, 18.6155 ); - fanout_length( 8, 22.6727 ); - fanout_length( 9, 25.4842 ); - fanout_length( 11, 27.0320 ); - } - - default_wire_load : "5K_hvratio_1_1" ; - - - power_lut_template (Hidden_power_7) { - variable_1 : input_transition_time; - index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - } - - - lu_table_template (Hold_3_3) { - variable_1 : constrained_pin_transition; - variable_2 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - index_2 ("0.0010,0.0020,0.0030"); - } - - - power_lut_template (Power_7_7) { - variable_1 : input_transition_time; - variable_2 : total_output_net_capacitance; - index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - } - - - lu_table_template (Pulse_width_3) { - variable_1 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - } - - - lu_table_template (Recovery_3_3) { - variable_1 : constrained_pin_transition; - variable_2 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - index_2 ("0.0010,0.0020,0.0030"); - } - - - lu_table_template (Removal_3_3) { - variable_1 : constrained_pin_transition; - variable_2 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - index_2 ("0.0010,0.0020,0.0030"); - } - - - lu_table_template (Setup_3_3) { - variable_1 : constrained_pin_transition; - variable_2 : related_pin_transition; - index_1 ("0.0010,0.0020,0.0030"); - index_2 ("0.0010,0.0020,0.0030"); - } - - - lu_table_template (Timing_7_7) { - variable_1 : input_net_transition; - variable_2 : total_output_net_capacitance; - index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - } - - - lu_table_template (Tristate_disable_7) { - variable_1 : input_net_transition; - index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); - } - - - /****************************************************************************************** - Module : AND2_X1 - Cell Description : Combinational cell (AND2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AND2_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 25.066064; - - leakage_power () { - when : "!A1 & !A2"; - value : 20.324370; - } - leakage_power () { - when : "!A1 & A2"; - value : 30.850688; - } - leakage_power () { - when : "A1 & !A2"; - value : 20.622958; - } - leakage_power () { - when : "A1 & A2"; - value : 28.466240; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.918145; - fall_capacitance : 0.874832; - rise_capacitance : 0.918145; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.974630; - fall_capacitance : 0.894119; - rise_capacitance : 0.974630; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0217822,0.0253224,0.0288237,0.0346827,0.0448323,0.0636086,0.100366", \ - "0.0233179,0.0268545,0.0303556,0.0362159,0.0463659,0.0651426,0.101902", \ - "0.0296429,0.0331470,0.0366371,0.0425000,0.0526603,0.0714467,0.108208", \ - "0.0402311,0.0440292,0.0477457,0.0538394,0.0641187,0.0829203,0.119654", \ - "0.0511250,0.0554077,0.0595859,0.0662932,0.0771901,0.0963434,0.133061", \ - "0.0625876,0.0673198,0.0719785,0.0794046,0.0910973,0.110757,0.147656", \ - "0.0748282,0.0800098,0.0851434,0.0933663,0.106111,0.126669,0.163872"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0229273,0.0278833,0.0331544,0.0428051,0.0612642,0.0977350,0.170492", \ - "0.0241796,0.0291333,0.0344028,0.0440520,0.0625091,0.0989835,0.171743", \ - "0.0292313,0.0341494,0.0393813,0.0489834,0.0674111,0.103888,0.176663", \ - "0.0361806,0.0413104,0.0466212,0.0562627,0.0746023,0.110992,0.183728", \ - "0.0417222,0.0473804,0.0529449,0.0626244,0.0809404,0.117314,0.189941", \ - "0.0458217,0.0520530,0.0581948,0.0682722,0.0865488,0.122733,0.195364", \ - "0.0483588,0.0550898,0.0619209,0.0728347,0.0914012,0.127549,0.200020"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00449470,0.00613737,0.00800970,0.0116129,0.0188827,0.0341035,0.0656991", \ - "0.00449457,0.00613918,0.00801067,0.0116136,0.0188830,0.0341032,0.0656996", \ - "0.00452853,0.00617737,0.00804415,0.0116355,0.0188923,0.0341059,0.0656998", \ - "0.00583601,0.00731234,0.00900023,0.0122896,0.0191954,0.0341882,0.0657049", \ - "0.00766157,0.00916530,0.0108343,0.0139762,0.0204666,0.0348159,0.0657938", \ - "0.00965692,0.0112246,0.0129530,0.0160624,0.0221739,0.0357885,0.0662734", \ - "0.0119231,0.0135596,0.0153789,0.0185944,0.0245249,0.0373595,0.0668921"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00572880,0.00891685,0.0128187,0.0208943,0.0377688,0.0722247,0.141354", \ - "0.00572757,0.00891940,0.0128191,0.0208911,0.0377733,0.0722335,0.141353", \ - "0.00575739,0.00894876,0.0128462,0.0209084,0.0377703,0.0722407,0.141356", \ - "0.00671156,0.00966231,0.0133885,0.0212253,0.0378500,0.0722258,0.141369", \ - "0.00818272,0.0110287,0.0143519,0.0217385,0.0381954,0.0723902,0.141349", \ - "0.00991536,0.0129659,0.0161539,0.0228147,0.0385411,0.0726187,0.141516", \ - "0.0119755,0.0151970,0.0186081,0.0248105,0.0395074,0.0729589,0.141761"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0239949,0.0275975,0.0311598,0.0371031,0.0473463,0.0662033,0.103011", \ - "0.0255669,0.0291678,0.0327291,0.0386739,0.0489182,0.0677760,0.104585", \ - "0.0319701,0.0355491,0.0391003,0.0450456,0.0552974,0.0741632,0.110978", \ - "0.0434655,0.0472450,0.0509446,0.0570185,0.0673368,0.0862117,0.123012", \ - "0.0556722,0.0599286,0.0640715,0.0707302,0.0816015,0.100770,0.137535", \ - "0.0685793,0.0732749,0.0778555,0.0851681,0.0967322,0.116339,0.153273", \ - "0.0826468,0.0877448,0.0927479,0.100713,0.113127,0.133390,0.170486"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0242401,0.0291962,0.0344675,0.0441201,0.0625805,0.0990542,0.171801", \ - "0.0255584,0.0305130,0.0357827,0.0454343,0.0638975,0.100369,0.173119", \ - "0.0294291,0.0343648,0.0396144,0.0492411,0.0676866,0.104173,0.176930", \ - "0.0349546,0.0400471,0.0453740,0.0550447,0.0734601,0.109904,0.182664", \ - "0.0400649,0.0454754,0.0509759,0.0607322,0.0791520,0.115586,0.188291", \ - "0.0437777,0.0496859,0.0555709,0.0656195,0.0841134,0.120470,0.193165", \ - "0.0457090,0.0521251,0.0585676,0.0691868,0.0880108,0.124497,0.197148"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00471394,0.00634454,0.00821102,0.0117966,0.0190382,0.0342159,0.0657737", \ - "0.00471391,0.00634604,0.00821227,0.0117981,0.0190383,0.0342154,0.0657720", \ - "0.00472858,0.00636732,0.00823258,0.0118121,0.0190443,0.0342171,0.0657739", \ - "0.00577932,0.00724331,0.00893038,0.0122586,0.0192546,0.0342798,0.0657801", \ - "0.00756354,0.00903153,0.0106837,0.0138481,0.0203985,0.0348060,0.0658584", \ - "0.00942122,0.0109441,0.0126352,0.0157346,0.0219195,0.0356900,0.0662760", \ - "0.0114239,0.0129875,0.0147361,0.0178899,0.0238428,0.0368950,0.0667496"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00572830,0.00891869,0.0128184,0.0208944,0.0377764,0.0722278,0.141362", \ - "0.00572847,0.00891651,0.0128194,0.0208942,0.0377760,0.0722301,0.141366", \ - "0.00574608,0.00893558,0.0128334,0.0209016,0.0377751,0.0722257,0.141362", \ - "0.00624225,0.00936830,0.0131855,0.0211105,0.0378266,0.0722281,0.141368", \ - "0.00717298,0.0102127,0.0138473,0.0215165,0.0380542,0.0723155,0.141361", \ - "0.00848158,0.0115952,0.0150567,0.0223372,0.0384207,0.0724943,0.141439", \ - "0.0100150,0.0133287,0.0168266,0.0237260,0.0392895,0.0729088,0.141632"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.707163,2.939134,3.111270,3.271119,3.366153,3.407657,3.420511", \ - "2.676697,2.905713,3.073189,3.236823,3.334156,3.373344,3.387400", \ - "2.680855,2.891263,3.047784,3.212948,3.315296,3.360694,3.377614", \ - "2.821141,3.032707,3.182020,3.338567,3.444608,3.488752,3.508229", \ - "3.129641,3.235525,3.357993,3.567372,3.743682,3.792092,3.808289", \ - "3.724304,3.738737,3.808381,3.980825,4.147999,4.278043,4.311323", \ - "4.526175,4.492292,4.510220,4.634217,4.814899,4.934862,5.047389"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.823439,1.926997,1.963153,2.028865,1.957837,2.123314,2.075262", \ - "1.796317,1.896145,1.960625,2.014112,2.050786,2.046472,1.972327", \ - "1.811604,1.886741,1.955658,1.978263,1.965671,1.963736,2.071227", \ - "1.997387,2.045930,2.092357,2.063643,2.099127,1.932089,2.131341", \ - "2.367285,2.439718,2.440043,2.403446,2.305848,2.351146,2.195145", \ - "2.916140,2.994325,3.044451,2.962881,2.836259,2.781564,2.633645", \ - "3.687718,3.756085,3.789394,3.792984,3.773583,3.593022,3.405552"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.037418,3.256810,3.431183,3.608914,3.718690,3.769096,3.795202", \ - "3.008434,3.225727,3.401854,3.575115,3.690150,3.744665,3.770126", \ - "3.019161,3.227246,3.396745,3.567796,3.687272,3.742217,3.772264", \ - "3.209283,3.383153,3.545968,3.708113,3.823034,3.876287,3.908345", \ - "3.515341,3.612604,3.759132,3.957421,4.125916,4.183148,4.215191", \ - "4.067419,4.111031,4.206104,4.363313,4.545920,4.679285,4.713087", \ - "4.900192,4.882841,4.918321,5.018351,5.199236,5.309747,5.420047"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.826567,1.923081,1.948203,2.039180,2.076657,2.044749,1.913708", \ - "1.800512,1.903364,1.974517,2.016468,2.024243,1.959196,1.817329", \ - "1.781119,1.870353,1.937484,1.966376,2.010382,1.978551,1.849007", \ - "1.856892,1.933118,1.937117,1.991598,2.024542,1.995340,1.788981", \ - "2.103379,2.174172,2.204352,2.188346,2.094260,2.063115,2.133599", \ - "2.500764,2.607899,2.629193,2.623620,2.539760,2.417873,2.489576", \ - "3.041217,3.139373,3.221432,3.253461,3.258340,3.164002,3.111013"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND2_X2 - Cell Description : Combinational cell (AND2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AND2_X2) { - - drive_strength : 2; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 50.353160; - - leakage_power () { - when : "!A1 & !A2"; - value : 40.690980; - } - leakage_power () { - when : "!A1 & A2"; - value : 62.007550; - } - leakage_power () { - when : "A1 & !A2"; - value : 41.294331; - } - leakage_power () { - when : "A1 & A2"; - value : 57.419780; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.656515; - fall_capacitance : 1.572846; - rise_capacitance : 1.656515; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.726477; - fall_capacitance : 1.572198; - rise_capacitance : 1.726477; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0202727,0.0241129,0.0274730,0.0331531,0.0431193,0.0617549,0.0984049", \ - "0.0218020,0.0256382,0.0289984,0.0346799,0.0446479,0.0632838,0.0999345", \ - "0.0281494,0.0319454,0.0352949,0.0409807,0.0509594,0.0696048,0.106261", \ - "0.0382512,0.0424201,0.0460138,0.0519586,0.0620766,0.0807337,0.117355", \ - "0.0486182,0.0533108,0.0573515,0.0638690,0.0745460,0.0935185,0.130132", \ - "0.0595905,0.0647846,0.0692985,0.0765152,0.0879497,0.107368,0.144115", \ - "0.0713525,0.0770350,0.0820223,0.0900335,0.102498,0.122776,0.159811"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0214822,0.0269524,0.0321407,0.0417148,0.0601146,0.0965129,0.169101", \ - "0.0227227,0.0281908,0.0333759,0.0429480,0.0613480,0.0977506,0.170342", \ - "0.0277534,0.0331761,0.0383191,0.0478406,0.0662126,0.102612,0.175233", \ - "0.0342764,0.0399374,0.0451453,0.0547092,0.0729998,0.109319,0.181909", \ - "0.0394233,0.0456689,0.0510913,0.0606548,0.0789028,0.115222,0.187699", \ - "0.0431690,0.0500324,0.0560287,0.0659341,0.0841293,0.120259,0.192774", \ - "0.0453926,0.0528162,0.0594975,0.0702187,0.0886641,0.124774,0.197132"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00416687,0.00596589,0.00781196,0.0114045,0.0187120,0.0340129,0.0656175", \ - "0.00416774,0.00596822,0.00781331,0.0114047,0.0187120,0.0340123,0.0656157", \ - "0.00421550,0.00601791,0.00785521,0.0114311,0.0187228,0.0340150,0.0656170", \ - "0.00562854,0.00722824,0.00888105,0.0121541,0.0190575,0.0340961,0.0656227", \ - "0.00743053,0.00905510,0.0106708,0.0137675,0.0202593,0.0347114,0.0657212", \ - "0.00942116,0.0111160,0.0127836,0.0158213,0.0218964,0.0355984,0.0661854", \ - "0.0117080,0.0134691,0.0152290,0.0183611,0.0242253,0.0371238,0.0667810"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00535307,0.00891667,0.0128359,0.0209547,0.0378630,0.0722921,0.141284", \ - "0.00535240,0.00891890,0.0128359,0.0209535,0.0378702,0.0722877,0.141281", \ - "0.00540623,0.00896082,0.0128710,0.0209700,0.0378694,0.0722808,0.141274", \ - "0.00640680,0.00966303,0.0134008,0.0212974,0.0379412,0.0722770,0.141275", \ - "0.00787652,0.0110017,0.0143109,0.0217542,0.0382866,0.0724558,0.141281", \ - "0.00962760,0.0129624,0.0160860,0.0227842,0.0386222,0.0726866,0.141454", \ - "0.0117302,0.0152337,0.0185575,0.0247380,0.0395692,0.0730555,0.141719"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0224781,0.0263880,0.0298080,0.0355718,0.0456281,0.0643396,0.101039", \ - "0.0240434,0.0279519,0.0313720,0.0371363,0.0471944,0.0659064,0.102611", \ - "0.0304685,0.0343478,0.0377584,0.0435238,0.0535905,0.0723112,0.109019", \ - "0.0415924,0.0457352,0.0493110,0.0552385,0.0653820,0.0841106,0.120798", \ - "0.0532875,0.0579467,0.0619430,0.0684104,0.0790621,0.0980584,0.134716", \ - "0.0657488,0.0708817,0.0753125,0.0823990,0.0936880,0.113050,0.149834", \ - "0.0793970,0.0849680,0.0898064,0.0975349,0.109638,0.129592,0.166493"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0228006,0.0282712,0.0334599,0.0430370,0.0614365,0.0978360,0.170427", \ - "0.0240964,0.0295650,0.0347522,0.0443284,0.0627320,0.0991290,0.171723", \ - "0.0278897,0.0333365,0.0384996,0.0480483,0.0664399,0.102844,0.175453", \ - "0.0331268,0.0387533,0.0439889,0.0535817,0.0719438,0.108317,0.180923", \ - "0.0378284,0.0438221,0.0492183,0.0588771,0.0772305,0.113603,0.186156", \ - "0.0411021,0.0476506,0.0534249,0.0633667,0.0817944,0.118091,0.190655", \ - "0.0426340,0.0497509,0.0560755,0.0665688,0.0853296,0.121797,0.194317"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00438436,0.00617145,0.00800808,0.0115838,0.0188609,0.0341176,0.0656868", \ - "0.00438284,0.00617249,0.00800938,0.0115841,0.0188607,0.0341181,0.0656881", \ - "0.00440486,0.00619905,0.00803339,0.0115996,0.0188673,0.0341191,0.0656863", \ - "0.00555670,0.00714950,0.00881076,0.0121090,0.0190983,0.0341823,0.0656968", \ - "0.00730506,0.00889748,0.0105051,0.0136263,0.0201904,0.0346990,0.0657740", \ - "0.00914135,0.0107788,0.0124141,0.0154483,0.0216170,0.0354876,0.0661835", \ - "0.0111366,0.0128086,0.0144973,0.0175642,0.0234624,0.0366032,0.0666130"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00535363,0.00891885,0.0128363,0.0209534,0.0378660,0.0722811,0.141269", \ - "0.00535317,0.00891726,0.0128375,0.0209532,0.0378627,0.0722760,0.141267", \ - "0.00538566,0.00894264,0.0128536,0.0209625,0.0378649,0.0722765,0.141269", \ - "0.00590688,0.00938407,0.0132075,0.0211833,0.0379204,0.0722784,0.141272", \ - "0.00686835,0.0102289,0.0138542,0.0215634,0.0381465,0.0723801,0.141276", \ - "0.00819465,0.0116261,0.0150637,0.0223758,0.0385266,0.0725594,0.141371", \ - "0.00974045,0.0133906,0.0168382,0.0237495,0.0394066,0.0730113,0.141561"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.208405,5.680379,5.995735,6.257122,6.425598,6.488476,6.518695", \ - "5.148099,5.626825,5.926326,6.190847,6.355365,6.418087,6.448356", \ - "5.161608,5.565937,5.876916,6.156008,6.324712,6.398683,6.436679", \ - "5.489458,5.856814,6.148527,6.415709,6.588944,6.675315,6.712895", \ - "6.152126,6.304853,6.524048,6.889333,7.213248,7.299894,7.335538", \ - "7.340019,7.346480,7.467794,7.755310,8.059202,8.292652,8.371252", \ - "8.943567,8.863396,8.892186,9.096707,9.427050,9.655783,9.872675"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.550312,3.861511,3.905269,4.039773,3.923185,3.931971,4.118467", \ - "3.534181,3.800176,3.878591,3.978176,4.077511,3.999876,3.980541", \ - "3.595342,3.755947,3.841004,3.924470,3.867596,4.005523,3.868217", \ - "3.964915,4.076935,4.166472,4.170163,4.220000,4.140283,3.823598", \ - "4.668207,4.853911,4.879034,4.747179,4.784637,4.779655,4.711023", \ - "5.845915,6.062152,6.122925,5.946813,5.793033,5.390279,5.678420", \ - "7.444395,7.591150,7.685101,7.690246,7.422596,7.296411,7.257683"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.872098,6.315238,6.649314,6.945859,7.134521,7.222239,7.274316", \ - "5.791258,6.254104,6.588355,6.874544,7.065124,7.161521,7.215119", \ - "5.817277,6.253732,6.577141,6.861830,7.072418,7.171142,7.228505", \ - "6.222161,6.575800,6.870378,7.151173,7.348773,7.451423,7.514932", \ - "6.875110,7.047678,7.298053,7.670223,7.972132,8.085715,8.142135", \ - "8.064984,8.085989,8.242314,8.529404,8.841602,9.084867,9.161692", \ - "9.701773,9.652603,9.678097,9.890791,10.186280,10.384220,10.608460"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.614686,3.813909,3.902224,4.061915,4.104670,4.150001,3.947680", \ - "3.534454,3.759494,3.852335,3.986780,3.878524,4.128142,3.800625", \ - "3.501588,3.691715,3.836427,3.930189,3.778936,4.010945,3.805175", \ - "3.683369,3.822032,3.924643,3.913838,3.888987,4.003824,3.863471", \ - "4.188291,4.347377,4.363839,4.291924,4.376873,4.263177,4.306059", \ - "4.994968,5.218278,5.263902,5.308514,5.208721,5.033415,4.840509", \ - "6.087621,6.325860,6.468309,6.568964,6.505281,6.418822,6.016496"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND2_X4 - Cell Description : Combinational cell (AND2_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AND2_X4) { - - drive_strength : 4; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 100.706457; - - leakage_power () { - when : "!A1 & !A2"; - value : 81.382070; - } - leakage_power () { - when : "!A1 & A2"; - value : 124.015210; - } - leakage_power () { - when : "A1 & !A2"; - value : 82.588768; - } - leakage_power () { - when : "A1 & A2"; - value : 114.839780; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.195354; - fall_capacitance : 3.034703; - rise_capacitance : 3.195354; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.536501; - fall_capacitance : 3.223739; - rise_capacitance : 3.536501; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 241.699000; - function : "(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0196696,0.0237398,0.0270799,0.0327347,0.0426824,0.0613290,0.0980350", \ - "0.0212043,0.0252699,0.0286102,0.0342669,0.0442160,0.0628632,0.0995702", \ - "0.0275666,0.0315836,0.0349147,0.0405762,0.0505367,0.0691924,0.105907", \ - "0.0374825,0.0419037,0.0454883,0.0514151,0.0615251,0.0801860,0.116863", \ - "0.0476679,0.0526449,0.0566655,0.0631568,0.0738011,0.0927707,0.129439", \ - "0.0584906,0.0639949,0.0684885,0.0756726,0.0870643,0.106463,0.143252", \ - "0.0701194,0.0761321,0.0810997,0.0890734,0.101499,0.121745,0.158814"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0207220,0.0265385,0.0317295,0.0413152,0.0597413,0.0961864,0.168872", \ - "0.0219624,0.0277770,0.0329646,0.0425474,0.0609748,0.0974242,0.170115", \ - "0.0269868,0.0327497,0.0378925,0.0474236,0.0658238,0.102283,0.174989", \ - "0.0333024,0.0393214,0.0445281,0.0541007,0.0724299,0.108801,0.181489", \ - "0.0382651,0.0448971,0.0503045,0.0598693,0.0781357,0.114530,0.187118", \ - "0.0418339,0.0491250,0.0551017,0.0649875,0.0831996,0.119398,0.192034", \ - "0.0439056,0.0517573,0.0584194,0.0691160,0.0875737,0.123754,0.196230"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00399237,0.00589113,0.00773908,0.0113446,0.0186864,0.0340490,0.0657316", \ - "0.00399267,0.00589277,0.00774089,0.0113452,0.0186866,0.0340492,0.0657313", \ - "0.00404780,0.00594848,0.00778559,0.0113726,0.0186985,0.0340508,0.0657304", \ - "0.00550304,0.00718087,0.00883053,0.0121152,0.0190440,0.0341325,0.0657370", \ - "0.00730170,0.00899922,0.0106047,0.0136947,0.0202180,0.0347432,0.0658388", \ - "0.00929635,0.0110614,0.0127149,0.0157373,0.0218274,0.0356037,0.0662983", \ - "0.0115934,0.0134263,0.0151703,0.0182807,0.0241410,0.0371149,0.0668919"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00506408,0.00884309,0.0127775,0.0209283,0.0378808,0.0723462,0.141444", \ - "0.00506095,0.00884341,0.0127796,0.0209312,0.0378846,0.0723441,0.141433", \ - "0.00512687,0.00889104,0.0128154,0.0209486,0.0378895,0.0723490,0.141438", \ - "0.00614672,0.00958514,0.0133369,0.0212793,0.0379654,0.0723461,0.141430", \ - "0.00760951,0.0109077,0.0142276,0.0217108,0.0382977,0.0725235,0.141435", \ - "0.00937512,0.0128709,0.0159873,0.0227272,0.0386348,0.0727627,0.141622", \ - "0.0115006,0.0151521,0.0184622,0.0246579,0.0395788,0.0731409,0.141887"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0218205,0.0259622,0.0293602,0.0350955,0.0451305,0.0638490,0.100609", \ - "0.0233862,0.0275255,0.0309237,0.0366601,0.0466965,0.0654174,0.102177", \ - "0.0298236,0.0339303,0.0373190,0.0430584,0.0531033,0.0718322,0.108593", \ - "0.0407986,0.0451910,0.0487553,0.0546683,0.0647936,0.0835293,0.120268", \ - "0.0523069,0.0572436,0.0612205,0.0676592,0.0782804,0.0972719,0.133984", \ - "0.0646224,0.0700534,0.0744565,0.0814945,0.0927450,0.112086,0.148912", \ - "0.0781279,0.0840186,0.0888270,0.0965165,0.108553,0.128475,0.165412"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0220091,0.0278253,0.0330168,0.0426043,0.0610324,0.0974767,0.170163", \ - "0.0232971,0.0291113,0.0343013,0.0438882,0.0623189,0.0987665,0.171454", \ - "0.0270683,0.0328588,0.0380242,0.0475820,0.0660017,0.102459,0.175162", \ - "0.0321782,0.0381630,0.0433994,0.0530018,0.0713961,0.107822,0.180527", \ - "0.0367139,0.0430930,0.0484878,0.0581503,0.0765340,0.112967,0.185620", \ - "0.0398044,0.0467728,0.0525357,0.0624841,0.0809460,0.117306,0.189985", \ - "0.0411274,0.0486948,0.0550200,0.0655017,0.0843034,0.120834,0.193489"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00420222,0.00608844,0.00792744,0.0115158,0.0188282,0.0341491,0.0658003", \ - "0.00420230,0.00608986,0.00792868,0.0115165,0.0188282,0.0341498,0.0658000", \ - "0.00422537,0.00611854,0.00795428,0.0115325,0.0188348,0.0341506,0.0657996", \ - "0.00542076,0.00709330,0.00875471,0.0120615,0.0190727,0.0342132,0.0658063", \ - "0.00716033,0.00882460,0.0104239,0.0135451,0.0201405,0.0347256,0.0658894", \ - "0.00898836,0.0106925,0.0123155,0.0153410,0.0215306,0.0354828,0.0662934", \ - "0.0109863,0.0127240,0.0143952,0.0174395,0.0233452,0.0365688,0.0667127"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00506073,0.00884262,0.0127788,0.0209275,0.0378883,0.0723492,0.141438", \ - "0.00506370,0.00884329,0.0127775,0.0209284,0.0378868,0.0723435,0.141431", \ - "0.00510114,0.00886996,0.0127998,0.0209374,0.0378867,0.0723436,0.141434", \ - "0.00562869,0.00931398,0.0131537,0.0211590,0.0379371,0.0723462,0.141435", \ - "0.00660073,0.0101581,0.0137953,0.0215346,0.0381629,0.0724554,0.141434", \ - "0.00792508,0.0115586,0.0150001,0.0223411,0.0385420,0.0726291,0.141536", \ - "0.00948843,0.0133275,0.0167762,0.0237117,0.0394283,0.0731040,0.141730"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("10.104290,10.991170,11.608100,12.106690,12.401430,12.540440,12.586990", \ - "9.978239,10.867990,11.469980,11.971800,12.266210,12.406400,12.453670", \ - "10.026020,10.822710,11.373220,11.903440,12.217760,12.368980,12.444650", \ - "10.591690,11.364370,11.950400,12.471910,12.776080,12.938430,13.008810", \ - "12.013510,12.321450,12.716400,13.393310,14.033360,14.202530,14.266660", \ - "14.454300,14.398540,14.615960,15.163580,15.734810,16.193550,16.350320", \ - "17.756260,17.496030,17.508110,17.887810,18.493670,18.942470,19.392360"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("6.990905,7.561334,7.762390,7.921433,8.156083,8.124473,8.106902", \ - "6.882862,7.485850,7.674081,7.810855,8.112758,8.076204,7.831594", \ - "6.933676,7.497559,7.567242,7.810733,7.929617,7.886029,7.614578", \ - "7.739150,8.081301,8.198337,8.238225,7.969566,8.404631,8.190126", \ - "9.317495,9.627635,9.683695,9.496389,9.264261,9.276347,9.424416", \ - "11.629920,12.008060,12.173040,11.786850,11.554660,11.319830,11.423880", \ - "14.778130,15.074880,15.327960,15.300500,15.103700,14.849690,14.510190"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("11.380380,12.269150,12.914580,13.476960,13.832520,14.024930,14.114750", \ - "11.248110,12.212070,12.799680,13.361090,13.724140,13.905000,13.999470", \ - "11.293100,12.166530,12.763680,13.348730,13.724540,13.919050,14.035980", \ - "12.120680,12.873560,13.394220,13.941360,14.291720,14.499090,14.620820", \ - "13.449980,13.820830,14.287400,14.969260,15.564530,15.764700,15.887680", \ - "15.876170,15.910600,16.148680,16.704560,17.293660,17.771970,17.942940", \ - "19.175180,19.014950,19.037230,19.450590,19.998260,20.373100,20.830920"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("7.001019,7.576112,7.714015,7.927433,8.150106,8.327528,8.062851", \ - "6.898440,7.477808,7.671367,7.821055,7.810540,8.233902,8.022419", \ - "6.764347,7.346376,7.555301,7.628749,7.631487,8.036395,7.498835", \ - "7.140648,7.549308,7.608612,7.800953,7.898104,8.102231,7.521792", \ - "8.225494,8.605323,8.643868,8.552931,8.515162,8.729071,7.762507", \ - "9.774761,10.328590,10.522100,10.338370,10.149870,10.158230,9.892635", \ - "11.994540,12.575740,12.877670,12.964040,13.012510,12.508580,12.183150"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND3_X1 - Cell Description : Combinational cell (AND3_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AND3_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 26.481460; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 20.243410; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 27.583270; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 20.683538; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 38.106915; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 19.241266; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 27.881517; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 20.463591; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 37.648171; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.879747; - fall_capacitance : 0.866140; - rise_capacitance : 0.879747; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.927525; - fall_capacitance : 0.881159; - rise_capacitance : 0.927525; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.964824; - fall_capacitance : 0.882954; - rise_capacitance : 0.964824; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.424800; - function : "((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0237083,0.0274322,0.0311082,0.0372104,0.0476210,0.0665572,0.103264", \ - "0.0253451,0.0290671,0.0327430,0.0388464,0.0492580,0.0681943,0.104902", \ - "0.0316067,0.0353021,0.0389667,0.0450716,0.0554924,0.0744380,0.111155", \ - "0.0425223,0.0464623,0.0503138,0.0565961,0.0671162,0.0860898,0.122786", \ - "0.0536139,0.0580666,0.0624081,0.0693638,0.0805760,0.0999603,0.136656", \ - "0.0648208,0.0697674,0.0746136,0.0823406,0.0944332,0.114459,0.151422", \ - "0.0763264,0.0817382,0.0870881,0.0956377,0.108853,0.129906,0.167279"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0320842,0.0378365,0.0438004,0.0542537,0.0732745,0.109843,0.182443", \ - "0.0331863,0.0389386,0.0449021,0.0553548,0.0743776,0.110945,0.183543", \ - "0.0377474,0.0434965,0.0494529,0.0598891,0.0788928,0.115461,0.188059", \ - "0.0464817,0.0522682,0.0582382,0.0686453,0.0875721,0.124053,0.196621", \ - "0.0547866,0.0608990,0.0670410,0.0775681,0.0966116,0.133085,0.205530", \ - "0.0619894,0.0685841,0.0751933,0.0860372,0.105029,0.141453,0.213918", \ - "0.0681592,0.0752068,0.0824141,0.0940040,0.113263,0.149630,0.221995"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.00478702,0.00648866,0.00840519,0.0120296,0.0192313,0.0342254,0.0655488", \ - "0.00478639,0.00648975,0.00840580,0.0120302,0.0192308,0.0342244,0.0655478", \ - "0.00480441,0.00651471,0.00842877,0.0120463,0.0192381,0.0342273,0.0655481", \ - "0.00598096,0.00752129,0.00925700,0.0125943,0.0195074,0.0343142,0.0655590", \ - "0.00789089,0.00947035,0.0111984,0.0144161,0.0208902,0.0349909,0.0656628", \ - "0.00999367,0.0116448,0.0134412,0.0166522,0.0227926,0.0361676,0.0662005", \ - "0.0123647,0.0141008,0.0159948,0.0193232,0.0253368,0.0379523,0.0669376"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.00717460,0.0106792,0.0146692,0.0225059,0.0387035,0.0725051,0.141342", \ - "0.00717644,0.0106806,0.0146676,0.0225063,0.0386962,0.0725134,0.141345", \ - "0.00717547,0.0106805,0.0146706,0.0225106,0.0386983,0.0725097,0.141330", \ - "0.00766666,0.0110879,0.0149963,0.0227044,0.0387808,0.0725209,0.141335", \ - "0.00907715,0.0122654,0.0159324,0.0234209,0.0392974,0.0726825,0.141339", \ - "0.0108284,0.0140807,0.0175741,0.0244722,0.0397657,0.0731139,0.141509", \ - "0.0128914,0.0162384,0.0198825,0.0263934,0.0407751,0.0734108,0.141850"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0260801,0.0298667,0.0336026,0.0397880,0.0502951,0.0693178,0.106084", \ - "0.0276875,0.0314745,0.0352103,0.0413967,0.0519048,0.0709274,0.107694", \ - "0.0339455,0.0377132,0.0414399,0.0476249,0.0581396,0.0771696,0.113942", \ - "0.0455911,0.0495134,0.0533448,0.0596158,0.0701892,0.0892378,0.126003", \ - "0.0579169,0.0623561,0.0666600,0.0735654,0.0847488,0.104136,0.140887", \ - "0.0704886,0.0754059,0.0801925,0.0878033,0.0997690,0.119739,0.156729", \ - "0.0836445,0.0889981,0.0942366,0.102562,0.115488,0.136280,0.173551"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0346701,0.0404221,0.0463860,0.0568395,0.0758633,0.112432,0.185030", \ - "0.0359516,0.0417030,0.0476675,0.0581227,0.0771470,0.113718,0.186314", \ - "0.0400102,0.0457607,0.0517203,0.0621630,0.0811764,0.117746,0.190347", \ - "0.0471834,0.0530042,0.0590002,0.0694395,0.0884159,0.124949,0.197539", \ - "0.0550357,0.0610616,0.0672071,0.0777988,0.0969066,0.133436,0.205959", \ - "0.0621801,0.0685932,0.0750646,0.0859357,0.105111,0.141628,0.214196", \ - "0.0682692,0.0751224,0.0820942,0.0934726,0.112997,0.149574,0.222074"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.00500747,0.00669722,0.00860478,0.0122157,0.0193930,0.0343457,0.0656275", \ - "0.00500661,0.00669733,0.00860542,0.0122163,0.0193920,0.0343456,0.0656258", \ - "0.00501424,0.00671438,0.00862058,0.0122279,0.0193971,0.0343470,0.0656262", \ - "0.00593830,0.00746331,0.00919911,0.0125954,0.0195859,0.0344115,0.0656379", \ - "0.00782076,0.00935760,0.0110714,0.0142994,0.0208197,0.0349801,0.0657283", \ - "0.00981518,0.0114167,0.0131788,0.0163669,0.0225636,0.0360717,0.0662031", \ - "0.0119819,0.0136369,0.0154646,0.0187287,0.0247511,0.0375407,0.0668101"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.00717574,0.0106803,0.0146696,0.0225066,0.0386971,0.0725135,0.141333", \ - "0.00717563,0.0106793,0.0146684,0.0225060,0.0386970,0.0725217,0.141330", \ - "0.00717478,0.0106808,0.0146706,0.0225108,0.0387002,0.0725274,0.141336", \ - "0.00748870,0.0109709,0.0149005,0.0226458,0.0387568,0.0725110,0.141334", \ - "0.00831515,0.0117272,0.0155883,0.0232209,0.0391581,0.0726401,0.141341", \ - "0.00966767,0.0130554,0.0167742,0.0240606,0.0395864,0.0729758,0.141461", \ - "0.0113132,0.0148015,0.0185554,0.0255382,0.0404884,0.0732967,0.141719"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0280306,0.0318724,0.0356605,0.0419201,0.0525131,0.0716182,0.108450", \ - "0.0296061,0.0334486,0.0372365,0.0434964,0.0540904,0.0731966,0.110031", \ - "0.0358883,0.0397139,0.0434943,0.0497512,0.0603493,0.0794619,0.116301", \ - "0.0480778,0.0519912,0.0558080,0.0620955,0.0727188,0.0918365,0.128665", \ - "0.0615627,0.0659653,0.0702434,0.0771101,0.0882611,0.107644,0.144452", \ - "0.0754828,0.0803587,0.0850912,0.0926216,0.104500,0.124435,0.161452", \ - "0.0902946,0.0956001,0.100770,0.108974,0.121705,0.142382,0.179662"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.0358127,0.0415645,0.0475287,0.0579828,0.0770068,0.113577,0.186175", \ - "0.0370504,0.0428025,0.0487667,0.0592210,0.0782475,0.114819,0.187414", \ - "0.0398693,0.0456200,0.0515809,0.0620285,0.0810458,0.117618,0.190215", \ - "0.0441398,0.0499608,0.0559694,0.0664305,0.0854315,0.121980,0.194574", \ - "0.0488350,0.0548160,0.0609607,0.0715798,0.0907069,0.127292,0.199854", \ - "0.0531813,0.0594494,0.0658537,0.0767537,0.0960259,0.132641,0.205233", \ - "0.0562258,0.0629105,0.0697324,0.0811587,0.100897,0.137759,0.210335"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.00522728,0.00690257,0.00879844,0.0123942,0.0195490,0.0344713,0.0657230", \ - "0.00522865,0.00690260,0.00879738,0.0123953,0.0195492,0.0344724,0.0657208", \ - "0.00523067,0.00691187,0.00881136,0.0124037,0.0195525,0.0344734,0.0657231", \ - "0.00592705,0.00743824,0.00919446,0.0126421,0.0196759,0.0345142,0.0657277", \ - "0.00776984,0.00928936,0.0109847,0.0142155,0.0207691,0.0349838,0.0658027", \ - "0.00970655,0.0112702,0.0130021,0.0161758,0.0224107,0.0360188,0.0662253", \ - "0.0117316,0.0133507,0.0151377,0.0183610,0.0244114,0.0373532,0.0668031"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.00717517,0.0106803,0.0146690,0.0225093,0.0386983,0.0725079,0.141330", \ - "0.00717585,0.0106788,0.0146691,0.0225053,0.0386961,0.0725090,0.141334", \ - "0.00717436,0.0106816,0.0146690,0.0225090,0.0386965,0.0725052,0.141341", \ - "0.00741199,0.0109124,0.0148670,0.0226290,0.0387521,0.0725105,0.141342", \ - "0.00791880,0.0114329,0.0153823,0.0230897,0.0390741,0.0726256,0.141331", \ - "0.00888573,0.0124126,0.0163243,0.0238724,0.0395455,0.0728827,0.141428", \ - "0.0103086,0.0138916,0.0178151,0.0252167,0.0405676,0.0733934,0.141644"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.851642,3.079909,3.251828,3.443015,3.567748,3.615379,3.631936", \ - "2.831428,3.059790,3.234031,3.423178,3.548479,3.597966,3.614009", \ - "2.823365,3.039145,3.216611,3.395082,3.524440,3.578842,3.598082", \ - "2.975761,3.148228,3.310700,3.494912,3.618892,3.669991,3.693137", \ - "3.216712,3.326540,3.471644,3.692087,3.875626,3.930506,3.945298", \ - "3.759955,3.795848,3.856048,4.018285,4.229450,4.363419,4.391423", \ - "4.507323,4.498889,4.520701,4.631827,4.825323,4.950952,5.053019"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.034110,2.145633,2.199691,2.225489,2.294521,2.325046,2.148763", \ - "1.986705,2.129607,2.209650,2.229734,2.270167,2.328516,2.077381", \ - "1.967075,2.117931,2.187496,2.199488,2.207513,2.265755,2.234501", \ - "2.132691,2.263393,2.278440,2.321449,2.324330,2.340479,2.172634", \ - "2.526194,2.593376,2.579479,2.615565,2.459316,2.475392,2.450074", \ - "3.078562,3.125679,3.121158,3.093942,3.039907,2.879590,2.834832", \ - "3.832498,3.832699,3.871517,3.836500,3.707498,3.476026,3.540398"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.195663,3.420815,3.605837,3.803124,3.943423,4.006274,4.033682", \ - "3.175159,3.395988,3.581897,3.781859,3.921586,3.984900,4.011548", \ - "3.162235,3.372505,3.567101,3.758940,3.904331,3.968879,3.999713", \ - "3.341479,3.500752,3.662036,3.859833,3.996793,4.061105,4.093168", \ - "3.599369,3.696054,3.852953,4.077877,4.258179,4.319537,4.348564", \ - "4.132271,4.162446,4.245552,4.403911,4.620161,4.760382,4.789545", \ - "4.882336,4.871572,4.897762,5.000171,5.199764,5.322596,5.421645"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.032590,2.152143,2.228153,2.264512,2.289667,2.320472,2.393403", \ - "2.015436,2.125772,2.213796,2.268597,2.213649,2.243075,2.202085", \ - "1.984720,2.073949,2.149309,2.220748,2.225110,2.201241,2.186092", \ - "2.026466,2.114124,2.174318,2.198776,2.183986,2.188443,2.201070", \ - "2.264105,2.341273,2.363468,2.365684,2.333750,2.327408,2.149858", \ - "2.639111,2.716988,2.757483,2.741684,2.640721,2.587871,2.527999", \ - "3.199483,3.284512,3.324444,3.326571,3.253059,3.013534,3.105893"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("3.476977,3.695185,3.890905,4.103423,4.257746,4.333675,4.374450", \ - "3.462031,3.674470,3.866602,4.079464,4.231604,4.304792,4.347783", \ - "3.448045,3.670886,3.852286,4.064242,4.219252,4.298459,4.340033", \ - "3.637559,3.816937,3.963967,4.173019,4.318593,4.395506,4.442580", \ - "3.914357,4.038617,4.188654,4.418100,4.589190,4.660746,4.705462", \ - "4.448930,4.516598,4.599723,4.787318,4.978793,5.118790,5.159340", \ - "5.255302,5.244968,5.284658,5.394856,5.591168,5.716609,5.821133"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("2.034707,2.139990,2.219285,2.250235,2.330818,2.343903,2.192333", \ - "1.994852,2.140269,2.189922,2.239623,2.257901,2.270467,2.127152", \ - "1.976399,2.076052,2.154756,2.185296,2.199874,2.295150,2.193506", \ - "1.990233,2.106606,2.171278,2.211190,2.217941,2.282047,2.232436", \ - "2.157878,2.244171,2.292883,2.319326,2.293933,2.130066,2.238953", \ - "2.495629,2.610963,2.637772,2.629340,2.592330,2.606456,2.493648", \ - "2.989367,3.116818,3.181784,3.207731,3.120496,3.068093,2.961935"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND3_X2 - Cell Description : Combinational cell (AND3_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AND3_X2) { - - drive_strength : 2; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 53.190270; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 40.527190; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 55.390170; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 41.417343; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 76.701350; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 38.496032; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 55.992861; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 40.969832; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 76.027380; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.599415; - fall_capacitance : 1.571297; - rise_capacitance : 1.599415; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.648903; - fall_capacitance : 1.576790; - rise_capacitance : 1.648903; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.700149; - fall_capacitance : 1.546669; - rise_capacitance : 1.700149; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0221392,0.0261774,0.0297021,0.0356095,0.0458136,0.0645822,0.101182", \ - "0.0237688,0.0278037,0.0313294,0.0372380,0.0474430,0.0662112,0.102812", \ - "0.0300472,0.0340493,0.0375658,0.0434777,0.0536937,0.0724720,0.109078", \ - "0.0405130,0.0448357,0.0485618,0.0546947,0.0650296,0.0838300,0.120411", \ - "0.0510069,0.0558970,0.0601004,0.0668648,0.0778530,0.0970451,0.133628", \ - "0.0616746,0.0670978,0.0717935,0.0793047,0.0911396,0.110906,0.147702", \ - "0.0725992,0.0785398,0.0837367,0.0920745,0.105004,0.125755,0.162927"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0301396,0.0364675,0.0422947,0.0525941,0.0714771,0.107937,0.180380", \ - "0.0312269,0.0375537,0.0433803,0.0536792,0.0725628,0.109024,0.181469", \ - "0.0358004,0.0421206,0.0479374,0.0582160,0.0770813,0.113542,0.185989", \ - "0.0442689,0.0506324,0.0564787,0.0667324,0.0855247,0.121904,0.194320", \ - "0.0521238,0.0588525,0.0648255,0.0751627,0.0940656,0.130459,0.202751", \ - "0.0589266,0.0661716,0.0726095,0.0832310,0.102060,0.138372,0.210726", \ - "0.0647851,0.0725318,0.0795611,0.0909097,0.109993,0.146238,0.218484"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00445510,0.00631910,0.00820208,0.0118067,0.0190350,0.0341178,0.0654952", \ - "0.00445526,0.00631934,0.00820233,0.0118072,0.0190354,0.0341177,0.0654950", \ - "0.00448169,0.00635428,0.00823301,0.0118265,0.0190433,0.0341201,0.0654943", \ - "0.00577822,0.00745259,0.00915636,0.0124575,0.0193441,0.0342094,0.0655045", \ - "0.00766633,0.00937678,0.0110563,0.0142180,0.0206846,0.0348802,0.0656037", \ - "0.00976092,0.0115466,0.0132881,0.0164244,0.0225172,0.0359603,0.0661232", \ - "0.0121556,0.0140148,0.0158540,0.0190981,0.0250348,0.0376871,0.0668243"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00676216,0.0106436,0.0146093,0.0224613,0.0387152,0.0725533,0.141265", \ - "0.00676206,0.0106432,0.0146084,0.0224600,0.0387118,0.0725509,0.141265", \ - "0.00676169,0.0106448,0.0146116,0.0224680,0.0387168,0.0725675,0.141265", \ - "0.00732272,0.0111054,0.0149910,0.0226892,0.0387998,0.0725696,0.141276", \ - "0.00875594,0.0122420,0.0158641,0.0233589,0.0393249,0.0727546,0.141283", \ - "0.0105251,0.0140708,0.0174799,0.0243607,0.0397346,0.0731678,0.141473", \ - "0.0126220,0.0162589,0.0198024,0.0262535,0.0407292,0.0734715,0.141817"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0244975,0.0286041,0.0321867,0.0381768,0.0484736,0.0673221,0.103978", \ - "0.0260972,0.0302029,0.0337867,0.0397774,0.0500747,0.0689240,0.105580", \ - "0.0323679,0.0364508,0.0400245,0.0460159,0.0563221,0.0751796,0.111840", \ - "0.0436750,0.0479711,0.0516806,0.0577918,0.0681689,0.0870435,0.123690", \ - "0.0554336,0.0602881,0.0644518,0.0711676,0.0821225,0.101323,0.137954", \ - "0.0674862,0.0728649,0.0774930,0.0848672,0.0965666,0.116284,0.153109", \ - "0.0801266,0.0859726,0.0910464,0.0991482,0.111756,0.132219,0.169273"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0327402,0.0390672,0.0448945,0.0551943,0.0740790,0.110542,0.182980", \ - "0.0340012,0.0403273,0.0461550,0.0564565,0.0753422,0.111808,0.184243", \ - "0.0380068,0.0443303,0.0501506,0.0604373,0.0793116,0.115775,0.188219", \ - "0.0449664,0.0513791,0.0572525,0.0675453,0.0863831,0.122809,0.195249", \ - "0.0524307,0.0590764,0.0650810,0.0755074,0.0944677,0.130913,0.203281", \ - "0.0591238,0.0662171,0.0725420,0.0832305,0.102249,0.138676,0.211081", \ - "0.0647684,0.0723468,0.0791698,0.0903870,0.109767,0.146256,0.218618"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00467234,0.00652248,0.00839701,0.0119877,0.0191890,0.0342312,0.0655674", \ - "0.00467230,0.00652398,0.00839805,0.0119878,0.0191889,0.0342313,0.0655680", \ - "0.00468388,0.00654323,0.00841592,0.0120005,0.0191949,0.0342325,0.0655682", \ - "0.00572231,0.00738690,0.00908872,0.0124321,0.0194059,0.0342994,0.0655781", \ - "0.00756830,0.00924233,0.0109108,0.0140903,0.0206103,0.0348643,0.0656662", \ - "0.00953921,0.0112729,0.0129778,0.0160998,0.0222637,0.0358528,0.0661223", \ - "0.0116998,0.0134800,0.0152503,0.0184304,0.0243770,0.0372274,0.0666700"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00676228,0.0106435,0.0146080,0.0224605,0.0387113,0.0725520,0.141279", \ - "0.00676240,0.0106438,0.0146091,0.0224601,0.0387170,0.0725507,0.141277", \ - "0.00676097,0.0106444,0.0146106,0.0224647,0.0387167,0.0725511,0.141273", \ - "0.00711537,0.0109779,0.0148844,0.0226219,0.0387754,0.0725641,0.141267", \ - "0.00798109,0.0117316,0.0155497,0.0231793,0.0391820,0.0726938,0.141273", \ - "0.00936119,0.0130813,0.0167383,0.0240081,0.0395890,0.0730169,0.141407", \ - "0.0110388,0.0148637,0.0185415,0.0254819,0.0404881,0.0733603,0.141679"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0264138,0.0305851,0.0342209,0.0402843,0.0506658,0.0695952,0.106318", \ - "0.0279865,0.0321569,0.0357924,0.0418567,0.0522387,0.0711691,0.107889", \ - "0.0342795,0.0384343,0.0420619,0.0481258,0.0585136,0.0774506,0.114176", \ - "0.0462304,0.0505145,0.0542101,0.0603238,0.0707422,0.0896834,0.126399", \ - "0.0591650,0.0639885,0.0681169,0.0747959,0.0857188,0.104922,0.141608", \ - "0.0725933,0.0779239,0.0824999,0.0897859,0.101405,0.121081,0.157954", \ - "0.0869621,0.0927465,0.0977420,0.105709,0.118128,0.138470,0.175542"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0338833,0.0402105,0.0460379,0.0563385,0.0752241,0.111687,0.184126", \ - "0.0350958,0.0414222,0.0472494,0.0575502,0.0764383,0.112900,0.185343", \ - "0.0378563,0.0441799,0.0500030,0.0602949,0.0791732,0.115638,0.188079", \ - "0.0419445,0.0483498,0.0542326,0.0645487,0.0834131,0.119861,0.192297", \ - "0.0463939,0.0529873,0.0589925,0.0694569,0.0884446,0.124935,0.197336", \ - "0.0503594,0.0572911,0.0635639,0.0743117,0.0934731,0.129987,0.202422", \ - "0.0529663,0.0603745,0.0670751,0.0783360,0.0979771,0.134754,0.207191"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00489567,0.00672973,0.00859107,0.0121652,0.0193425,0.0343536,0.0656588", \ - "0.00489475,0.00672914,0.00859117,0.0121655,0.0193426,0.0343535,0.0656604", \ - "0.00489947,0.00674165,0.00860456,0.0121745,0.0193466,0.0343548,0.0656591", \ - "0.00571395,0.00736147,0.00906907,0.0124560,0.0194841,0.0343972,0.0656669", \ - "0.00752535,0.00916002,0.0108165,0.0140028,0.0205627,0.0348679,0.0657354", \ - "0.00942425,0.0111084,0.0127834,0.0158979,0.0221033,0.0358060,0.0661448", \ - "0.0114188,0.0131563,0.0148865,0.0180301,0.0240176,0.0370387,0.0666683"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00676212,0.0106421,0.0146077,0.0224604,0.0387113,0.0725515,0.141268", \ - "0.00676239,0.0106434,0.0146073,0.0224615,0.0387120,0.0725498,0.141267", \ - "0.00676196,0.0106438,0.0146098,0.0224641,0.0387148,0.0725530,0.141271", \ - "0.00702046,0.0109028,0.0148367,0.0226029,0.0387625,0.0725669,0.141269", \ - "0.00754969,0.0114348,0.0153480,0.0230530,0.0390898,0.0726711,0.141275", \ - "0.00856617,0.0124545,0.0163174,0.0238522,0.0395662,0.0729332,0.141389", \ - "0.0100304,0.0139839,0.0178478,0.0252217,0.0406209,0.0734757,0.141599"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("5.474260,5.943682,6.276287,6.580335,6.780552,6.860827,6.891341", \ - "5.460390,5.895103,6.231038,6.543716,6.740976,6.819247,6.853909", \ - "5.409083,5.866997,6.160983,6.494569,6.693227,6.788540,6.826986", \ - "5.722545,6.104764,6.394457,6.694691,6.892697,6.986545,7.029967", \ - "6.302949,6.465700,6.682835,7.075643,7.429838,7.523540,7.554270", \ - "7.363462,7.400522,7.533179,7.825669,8.156859,8.415393,8.473582", \ - "8.924012,8.835961,8.838919,9.037469,9.371955,9.612055,9.833856"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("3.991200,4.274221,4.445290,4.516807,4.593583,4.688637,4.487279", \ - "3.978517,4.227902,4.357642,4.462169,4.502026,4.625699,4.374443", \ - "3.948661,4.245339,4.344461,4.420627,4.493655,4.421945,4.486076", \ - "4.301863,4.501484,4.604391,4.630370,4.587589,4.652942,4.664421", \ - "5.020534,5.165776,5.190062,5.216389,5.204707,4.916516,5.089186", \ - "6.186630,6.273785,6.316367,6.273163,6.114404,5.775076,5.946290", \ - "7.704604,7.713504,7.814438,7.772034,7.530526,7.164273,6.977598"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.137216,6.627303,6.957050,7.317619,7.538028,7.649240,7.699437", \ - "6.130590,6.583349,6.912347,7.264506,7.494618,7.603050,7.656416", \ - "6.119497,6.524535,6.868199,7.217067,7.453155,7.571573,7.630382", \ - "6.444585,6.824607,7.115861,7.433368,7.656695,7.769407,7.832779", \ - "7.016035,7.226877,7.447027,7.850057,8.187118,8.300203,8.361752", \ - "8.104081,8.141924,8.265892,8.598078,8.924841,9.196045,9.260290", \ - "9.649573,9.573126,9.595657,9.777449,10.125580,10.341010,10.548650"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.020420,4.326453,4.434827,4.523155,4.560211,4.280582,4.624510", \ - "4.004250,4.231665,4.371328,4.489007,4.498504,4.679251,4.679958", \ - "3.867511,4.212165,4.343586,4.359518,4.330553,4.564176,4.649187", \ - "3.990505,4.263514,4.415020,4.463915,4.477769,4.525225,4.396336", \ - "4.518758,4.722023,4.707443,4.756742,4.804883,4.760053,4.679240", \ - "5.341217,5.503560,5.586651,5.526883,5.454897,5.004845,5.095110", \ - "6.496969,6.620939,6.686438,6.722807,6.528549,6.327823,6.089102"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.732634,7.195645,7.542094,7.912005,8.164897,8.305667,8.377822", \ - "6.659105,7.126702,7.482652,7.851632,8.114444,8.245232,8.328521", \ - "6.686232,7.112369,7.464502,7.817632,8.092401,8.234888,8.319141", \ - "7.078724,7.412853,7.717774,8.041266,8.299624,8.441092,8.529359", \ - "7.624664,7.887577,8.132752,8.546362,8.855959,8.993481,9.069174", \ - "8.769043,8.854033,8.993706,9.314237,9.657656,9.924410,10.004020", \ - "10.402320,10.345300,10.388400,10.588670,10.912360,11.132900,11.352420"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("4.038440,4.310032,4.406481,4.530319,4.564976,4.302526,4.662807", \ - "3.994521,4.240289,4.383342,4.472863,4.599088,4.653669,4.534235", \ - "3.898367,4.185149,4.283886,4.356430,4.401754,4.598134,4.452587", \ - "3.927930,4.193645,4.352756,4.365503,4.418705,4.097952,4.467473", \ - "4.305792,4.537742,4.636487,4.593069,4.646676,4.673614,4.737304", \ - "4.974227,5.230180,5.361641,5.320340,5.120488,5.258761,5.290922", \ - "6.074175,6.269273,6.461876,6.525723,6.451055,6.261086,6.241641"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND3_X4 - Cell Description : Combinational cell (AND3_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AND3_X4) { - - drive_strength : 4; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 106.380663; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 81.054600; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 110.780450; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 82.834785; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 153.402810; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 76.992071; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 111.985943; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 81.939777; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 152.054870; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.085124; - fall_capacitance : 3.017611; - rise_capacitance : 3.085124; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.300701; - fall_capacitance : 3.134179; - rise_capacitance : 3.300701; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.581806; - fall_capacitance : 3.239497; - rise_capacitance : 3.581806; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 241.089000; - function : "((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0213808,0.0256379,0.0291260,0.0349840,0.0451423,0.0639048,0.100573", \ - "0.0230106,0.0272651,0.0307541,0.0366134,0.0467729,0.0655362,0.102205", \ - "0.0293035,0.0335191,0.0369988,0.0428624,0.0530334,0.0718064,0.108479", \ - "0.0395494,0.0441230,0.0478274,0.0539292,0.0642262,0.0830217,0.119669", \ - "0.0497922,0.0549611,0.0591329,0.0658521,0.0767846,0.0959512,0.132596", \ - "0.0602424,0.0659664,0.0706297,0.0780891,0.0898557,0.109573,0.146406", \ - "0.0709550,0.0772284,0.0823903,0.0906648,0.103530,0.124219,0.161412"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0288983,0.0355874,0.0413867,0.0516545,0.0705269,0.107028,0.179561", \ - "0.0299809,0.0366692,0.0424680,0.0527354,0.0716070,0.108111,0.180649", \ - "0.0345741,0.0412543,0.0470408,0.0572848,0.0761376,0.112638,0.185185", \ - "0.0428627,0.0495828,0.0554105,0.0656419,0.0844238,0.120848,0.193359", \ - "0.0504614,0.0575574,0.0634903,0.0738065,0.0926792,0.129122,0.201527", \ - "0.0570136,0.0646762,0.0710629,0.0816389,0.100441,0.136787,0.209303", \ - "0.0626380,0.0708017,0.0777924,0.0890771,0.108119,0.144433,0.216795"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00425502,0.00621394,0.00809437,0.0117082,0.0189747,0.0341429,0.0656328", \ - "0.00425478,0.00621540,0.00809548,0.0117083,0.0189749,0.0341429,0.0656324", \ - "0.00428607,0.00625353,0.00812815,0.0117288,0.0189836,0.0341444,0.0656311", \ - "0.00563993,0.00739291,0.00908974,0.0123936,0.0192977,0.0342340,0.0656389", \ - "0.00752191,0.00930267,0.0109676,0.0141200,0.0206089,0.0348976,0.0657409", \ - "0.00961984,0.0114748,0.0131978,0.0163083,0.0224024,0.0359334,0.0662517", \ - "0.0120213,0.0139528,0.0157706,0.0189889,0.0249032,0.0376331,0.0669349"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00640305,0.0105057,0.0144775,0.0223614,0.0386821,0.0726236,0.141451", \ - "0.00640276,0.0105057,0.0144773,0.0223584,0.0386882,0.0726293,0.141436", \ - "0.00640312,0.0105074,0.0144818,0.0223656,0.0386900,0.0726185,0.141447", \ - "0.00701049,0.0109926,0.0148861,0.0226066,0.0387728,0.0726402,0.141452", \ - "0.00846103,0.0121122,0.0157277,0.0232489,0.0392938,0.0728241,0.141449", \ - "0.0102462,0.0139433,0.0173349,0.0242319,0.0396910,0.0732364,0.141652", \ - "0.0123790,0.0161502,0.0196655,0.0261092,0.0406734,0.0735373,0.142010"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0237012,0.0280292,0.0315745,0.0375129,0.0477611,0.0666023,0.103323", \ - "0.0252967,0.0296248,0.0331707,0.0391105,0.0493597,0.0682012,0.104924", \ - "0.0315791,0.0358788,0.0394170,0.0453576,0.0556149,0.0744641,0.111192", \ - "0.0427230,0.0472648,0.0509521,0.0570296,0.0673634,0.0862283,0.122937", \ - "0.0542327,0.0593627,0.0634918,0.0701612,0.0810673,0.100239,0.136934", \ - "0.0660784,0.0717380,0.0763297,0.0836677,0.0952944,0.114955,0.151818", \ - "0.0785230,0.0846899,0.0897204,0.0977546,0.110276,0.130668,0.167741"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0314679,0.0381567,0.0439561,0.0542248,0.0730996,0.109600,0.182135", \ - "0.0327200,0.0394081,0.0452078,0.0554768,0.0743519,0.110856,0.183389", \ - "0.0367166,0.0433982,0.0491890,0.0594422,0.0783033,0.114805,0.187351", \ - "0.0435438,0.0503266,0.0561795,0.0664463,0.0852758,0.121740,0.194273", \ - "0.0507762,0.0578065,0.0637830,0.0741771,0.0931185,0.129612,0.202076", \ - "0.0572114,0.0647156,0.0710110,0.0816654,0.100666,0.137130,0.209659", \ - "0.0625832,0.0706057,0.0774091,0.0886063,0.107953,0.144487,0.216970"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00446926,0.00641361,0.00828460,0.0118826,0.0191231,0.0342509,0.0657023", \ - "0.00446975,0.00641461,0.00828507,0.0118831,0.0191228,0.0342507,0.0657022", \ - "0.00448185,0.00643545,0.00830557,0.0118963,0.0191293,0.0342521,0.0657041", \ - "0.00557634,0.00731919,0.00901667,0.0123568,0.0193491,0.0343199,0.0657120", \ - "0.00741433,0.00915573,0.0108100,0.0139817,0.0205300,0.0348792,0.0658011", \ - "0.00938013,0.0111737,0.0128596,0.0159597,0.0221335,0.0358183,0.0662475", \ - "0.0115357,0.0133776,0.0151244,0.0182733,0.0242098,0.0371421,0.0667694"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00640451,0.0105055,0.0144769,0.0223610,0.0386819,0.0726257,0.141444", \ - "0.00640336,0.0105056,0.0144774,0.0223596,0.0386841,0.0726247,0.141444", \ - "0.00640384,0.0105078,0.0144797,0.0223652,0.0386826,0.0726183,0.141449", \ - "0.00678088,0.0108625,0.0147774,0.0225368,0.0387488,0.0726291,0.141452", \ - "0.00767645,0.0116192,0.0154319,0.0230827,0.0391590,0.0727728,0.141454", \ - "0.00907899,0.0129822,0.0166265,0.0239045,0.0395536,0.0730853,0.141589", \ - "0.0107733,0.0147776,0.0184387,0.0253805,0.0404567,0.0734323,0.141858"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0256111,0.0300071,0.0336050,0.0396169,0.0499491,0.0688692,0.105658", \ - "0.0271799,0.0315757,0.0351735,0.0411860,0.0515190,0.0704398,0.107228", \ - "0.0334842,0.0378601,0.0414493,0.0474617,0.0578007,0.0767286,0.113519", \ - "0.0453113,0.0498444,0.0535148,0.0595870,0.0699570,0.0888895,0.125670", \ - "0.0580130,0.0631060,0.0671906,0.0738252,0.0847125,0.103887,0.140628", \ - "0.0712412,0.0768419,0.0813795,0.0886270,0.100173,0.119823,0.156708", \ - "0.0854266,0.0915231,0.0964732,0.104365,0.116670,0.136993,0.174074"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0326156,0.0393041,0.0451037,0.0553731,0.0742496,0.110752,0.183283", \ - "0.0338197,0.0405085,0.0463080,0.0565774,0.0754543,0.111960,0.184489", \ - "0.0365748,0.0432589,0.0490522,0.0593092,0.0781771,0.114681,0.187219", \ - "0.0405785,0.0473569,0.0532127,0.0635083,0.0823665,0.118852,0.191393", \ - "0.0449146,0.0518906,0.0578709,0.0683058,0.0872797,0.123813,0.196315", \ - "0.0486912,0.0560420,0.0622927,0.0730163,0.0921652,0.128721,0.201258", \ - "0.0510895,0.0589392,0.0656272,0.0768714,0.0964929,0.133347,0.205896"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00469403,0.00661724,0.00847656,0.0120570,0.0192739,0.0343715,0.0657929", \ - "0.00469344,0.00661780,0.00847744,0.0120573,0.0192737,0.0343708,0.0657932", \ - "0.00469707,0.00663147,0.00848989,0.0120670,0.0192777,0.0343713,0.0657930", \ - "0.00556271,0.00729168,0.00898844,0.0123698,0.0194214,0.0344155,0.0657985", \ - "0.00735914,0.00906761,0.0107108,0.0138912,0.0204820,0.0348822,0.0658682", \ - "0.00924100,0.0109965,0.0126576,0.0157483,0.0219719,0.0357701,0.0662713", \ - "0.0112380,0.0130335,0.0147418,0.0178586,0.0238456,0.0369530,0.0667697"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00640404,0.0105058,0.0144763,0.0223589,0.0386802,0.0726310,0.141453", \ - "0.00640392,0.0105060,0.0144777,0.0223609,0.0386876,0.0726299,0.141450", \ - "0.00640366,0.0105071,0.0144786,0.0223614,0.0386817,0.0726210,0.141443", \ - "0.00667500,0.0107779,0.0147213,0.0225140,0.0387351,0.0726354,0.141435", \ - "0.00721773,0.0113199,0.0152323,0.0229593,0.0390657,0.0727379,0.141441", \ - "0.00827259,0.0123644,0.0162201,0.0237681,0.0395383,0.0730065,0.141550", \ - "0.00975929,0.0139119,0.0177654,0.0251493,0.0406087,0.0735610,0.141780"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("10.623460,11.579040,12.183770,12.800910,13.158380,13.302980,13.369420", \ - "10.558630,11.508280,12.129080,12.725390,13.086880,13.235120,13.297820", \ - "10.476770,11.416550,11.996270,12.609380,13.002780,13.169500,13.252130", \ - "11.080750,11.928930,12.439760,13.022360,13.417800,13.593290,13.668970", \ - "12.340140,12.669960,13.100650,13.823630,14.487200,14.676600,14.741410", \ - "14.484010,14.553640,14.751020,15.342910,15.965640,16.465230,16.595250", \ - "17.608900,17.449460,17.422900,17.789990,18.430490,18.905720,19.326490"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("7.732159,8.307993,8.584703,8.668048,8.690659,8.670118,8.818560", \ - "7.617061,8.254296,8.433387,8.728794,8.884156,8.411206,8.627581", \ - "7.575141,8.175469,8.372031,8.578015,8.620495,8.949791,8.453699", \ - "8.252003,8.712765,8.948773,8.888161,8.680776,8.658008,9.221139", \ - "9.774628,10.093100,10.212070,9.964433,9.876604,9.904008,9.533185", \ - "12.095510,12.359610,12.404760,12.333150,11.798840,11.282240,11.310220", \ - "15.279440,15.270570,15.333970,15.401950,14.922740,14.378300,14.120160"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("12.027300,12.978590,13.602620,14.254820,14.692780,14.883040,14.995340", \ - "11.917610,12.873710,13.503600,14.166820,14.594140,14.790790,14.899210", \ - "11.919160,12.815760,13.410970,14.079970,14.519180,14.741750,14.859180", \ - "12.575450,13.343510,13.877900,14.495230,14.931910,15.144200,15.275490", \ - "13.682310,14.132760,14.635500,15.372530,16.012780,16.227170,16.344230", \ - "15.931830,16.039730,16.279900,16.860560,17.501330,18.003210,18.150840", \ - "19.054670,18.931260,18.946260,19.317080,19.903850,20.311420,20.752110"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("7.668084,8.305727,8.530606,8.630078,8.765705,8.731011,8.808592", \ - "7.652617,8.263858,8.447827,8.662554,8.787009,8.604663,9.210146", \ - "7.489127,8.114641,8.382165,8.420647,8.694711,8.843661,7.807139", \ - "7.732287,8.306635,8.474794,8.470063,8.719517,8.730857,8.681787", \ - "8.756272,9.099498,9.276091,9.154379,8.994164,9.218407,9.325321", \ - "10.434850,10.731740,10.847690,10.878640,10.589980,10.164290,9.754587", \ - "12.678710,12.962710,13.195020,13.272740,13.054760,12.516700,12.324980"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("13.089700,14.113740,14.766370,15.456340,15.949900,16.207300,16.360480", \ - "13.069230,13.974120,14.654700,15.356020,15.831780,16.098670,16.252810", \ - "13.080100,13.976520,14.601280,15.284450,15.800820,16.070340,16.241890", \ - "13.820050,14.552350,15.131850,15.745440,16.215050,16.493600,16.667700", \ - "15.030630,15.464840,15.966120,16.722770,17.361180,17.605160,17.776560", \ - "17.296030,17.429820,17.699990,18.306160,18.963650,19.489510,19.639240", \ - "20.542980,20.404070,20.483860,20.880640,21.460600,21.917770,22.366020"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("7.733548,8.349428,8.532169,8.821407,8.881877,8.641618,9.175967", \ - "7.582754,8.198449,8.443710,8.543816,8.891359,8.834184,8.919508", \ - "7.410606,8.096763,8.271762,8.387902,8.554897,8.901821,7.837874", \ - "7.532258,8.105445,8.236849,8.547982,8.288169,8.205498,8.580415", \ - "8.350093,8.778249,8.922885,8.945865,8.813662,9.046272,9.029933", \ - "9.720819,10.253460,10.368670,10.390440,10.381020,9.809936,10.136050", \ - "11.946470,12.316300,12.558540,12.799650,12.820090,12.587020,12.541160"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND4_X1 - Cell Description : Combinational cell (AND4_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AND4_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 27.024804; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 20.240110; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 27.502310; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 20.725907; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 34.841532; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 20.371013; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 27.942372; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 20.808228; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 45.361063; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 19.183753; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 26.500054; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 19.644619; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 35.139163; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 19.193184; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 27.722260; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 20.392585; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 46.828705; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.856528; - fall_capacitance : 0.856528; - rise_capacitance : 0.850754; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.902272; - fall_capacitance : 0.883992; - rise_capacitance : 0.902272; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.924115; - fall_capacitance : 0.878881; - rise_capacitance : 0.924115; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.944508; - fall_capacitance : 0.856224; - rise_capacitance : 0.944508; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0254221,0.0292462,0.0330379,0.0393155,0.0499348,0.0690197,0.105706", \ - "0.0271198,0.0309428,0.0347346,0.0410132,0.0516329,0.0707180,0.107403", \ - "0.0333919,0.0371945,0.0409776,0.0472559,0.0578842,0.0769779,0.113668", \ - "0.0445916,0.0485866,0.0525109,0.0589149,0.0696267,0.0887520,0.125435", \ - "0.0558929,0.0604275,0.0648579,0.0719707,0.0834015,0.102964,0.139659", \ - "0.0669424,0.0719890,0.0769474,0.0848556,0.0972271,0.117539,0.154572", \ - "0.0778593,0.0834005,0.0888779,0.0976380,0.111173,0.132612,0.170151"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0418677,0.0482316,0.0548552,0.0662507,0.0862596,0.123352,0.195877", \ - "0.0428871,0.0492512,0.0558740,0.0672701,0.0872791,0.124371,0.196900", \ - "0.0470451,0.0534143,0.0600353,0.0714261,0.0914261,0.128516,0.201038", \ - "0.0562279,0.0625547,0.0691308,0.0804741,0.100398,0.137408,0.209906", \ - "0.0669975,0.0734586,0.0801223,0.0915384,0.111589,0.148556,0.220933", \ - "0.0769618,0.0838193,0.0908115,0.102386,0.122313,0.159447,0.231824", \ - "0.0861668,0.0934390,0.100947,0.113163,0.133235,0.170341,0.242765"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00509086,0.00682826,0.00877602,0.0124261,0.0195899,0.0344160,0.0654953", \ - "0.00509297,0.00682878,0.00877587,0.0124263,0.0195897,0.0344174,0.0654953", \ - "0.00510218,0.00684800,0.00879593,0.0124388,0.0195960,0.0344190,0.0654953", \ - "0.00615020,0.00773031,0.00949412,0.0128935,0.0198321,0.0345041,0.0655087", \ - "0.00812839,0.00974694,0.0115178,0.0147917,0.0212689,0.0352058,0.0656259", \ - "0.0103139,0.0120195,0.0138626,0.0171384,0.0233182,0.0365456,0.0662166", \ - "0.0127936,0.0145770,0.0165220,0.0199340,0.0260132,0.0385023,0.0670705"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00875136,0.0125686,0.0167974,0.0247331,0.0405193,0.0734078,0.141503", \ - "0.00875012,0.0125692,0.0167991,0.0247324,0.0405150,0.0733936,0.141504", \ - "0.00874875,0.0125689,0.0167967,0.0247334,0.0405185,0.0733888,0.141502", \ - "0.00884251,0.0126430,0.0168679,0.0247978,0.0405584,0.0734133,0.141505", \ - "0.0100916,0.0136016,0.0176723,0.0255096,0.0410493,0.0735638,0.141527", \ - "0.0118875,0.0152916,0.0191030,0.0264283,0.0416793,0.0741459,0.141678", \ - "0.0139584,0.0174033,0.0212513,0.0281758,0.0426429,0.0745305,0.142146"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0278335,0.0317202,0.0355697,0.0419303,0.0526474,0.0718223,0.108571", \ - "0.0295313,0.0334192,0.0372678,0.0436293,0.0543471,0.0735225,0.110272", \ - "0.0357655,0.0396349,0.0434754,0.0498357,0.0605601,0.0797430,0.116499", \ - "0.0475378,0.0515212,0.0554240,0.0618435,0.0726154,0.0918191,0.128569", \ - "0.0600318,0.0645467,0.0689495,0.0760168,0.0874165,0.106975,0.143731", \ - "0.0723850,0.0774050,0.0823113,0.0901114,0.102354,0.122610,0.159666", \ - "0.0848348,0.0903219,0.0957104,0.104270,0.117542,0.138727,0.176176"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0458011,0.0521653,0.0587879,0.0701836,0.0901948,0.127285,0.199807", \ - "0.0469973,0.0533605,0.0599840,0.0713800,0.0913902,0.128486,0.201011", \ - "0.0509875,0.0573512,0.0639734,0.0753668,0.0953713,0.132464,0.204988", \ - "0.0588531,0.0652014,0.0718048,0.0831654,0.103125,0.140177,0.212693", \ - "0.0687377,0.0752406,0.0819717,0.0934834,0.113589,0.150631,0.223070", \ - "0.0787019,0.0854680,0.0924253,0.104139,0.124331,0.161515,0.233977", \ - "0.0881524,0.0953011,0.102668,0.114787,0.135224,0.172420,0.244936"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00531348,0.00703890,0.00897779,0.0126159,0.0197581,0.0345463,0.0655792", \ - "0.00531455,0.00704112,0.00897678,0.0126161,0.0197572,0.0345467,0.0655789", \ - "0.00531793,0.00705070,0.00899248,0.0126249,0.0197630,0.0345482,0.0655799", \ - "0.00611920,0.00767925,0.00946395,0.0129302,0.0199287,0.0346106,0.0655895", \ - "0.00806985,0.00965218,0.0114084,0.0146834,0.0212004,0.0351988,0.0656968", \ - "0.0101768,0.0118295,0.0136341,0.0168890,0.0231131,0.0364541,0.0662207", \ - "0.0124762,0.0141946,0.0160765,0.0194175,0.0254947,0.0381346,0.0669548"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00875208,0.0125683,0.0167979,0.0247318,0.0405145,0.0734077,0.141513", \ - "0.00875397,0.0125680,0.0167996,0.0247347,0.0405201,0.0734003,0.141508", \ - "0.00875001,0.0125690,0.0167969,0.0247358,0.0405156,0.0734020,0.141508", \ - "0.00884753,0.0126377,0.0168577,0.0247812,0.0405441,0.0734061,0.141505", \ - "0.00957542,0.0133165,0.0175038,0.0253784,0.0409377,0.0735130,0.141530", \ - "0.0108999,0.0145154,0.0185540,0.0261572,0.0415245,0.0740054,0.141631", \ - "0.0126131,0.0162279,0.0202347,0.0275497,0.0423942,0.0743908,0.142009"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0299724,0.0339112,0.0378095,0.0442417,0.0550432,0.0743022,0.111123", \ - "0.0315677,0.0355076,0.0394070,0.0458397,0.0566417,0.0759010,0.112720", \ - "0.0377609,0.0416834,0.0455768,0.0520073,0.0628145,0.0820781,0.118901", \ - "0.0499412,0.0539094,0.0578109,0.0642568,0.0750842,0.0943584,0.131178", \ - "0.0635430,0.0680167,0.0723928,0.0794180,0.0907778,0.110329,0.147139", \ - "0.0771348,0.0821134,0.0869732,0.0947002,0.106833,0.127047,0.164132", \ - "0.0911094,0.0965524,0.101877,0.110315,0.123387,0.144476,0.181914"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0481710,0.0545355,0.0611582,0.0725538,0.0925656,0.129657,0.202184", \ - "0.0494080,0.0557715,0.0623947,0.0737904,0.0938009,0.130898,0.203417", \ - "0.0525417,0.0589043,0.0655277,0.0769210,0.0969283,0.134022,0.206549", \ - "0.0579740,0.0643408,0.0709404,0.0823108,0.102287,0.139340,0.211859", \ - "0.0646663,0.0711619,0.0779094,0.0894540,0.109593,0.146680,0.219165", \ - "0.0719453,0.0786537,0.0855978,0.0973438,0.117578,0.154835,0.227339", \ - "0.0787827,0.0858396,0.0931410,0.105281,0.125935,0.163317,0.235883"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00552968,0.00724184,0.00916930,0.0127912,0.0199133,0.0346746,0.0656748", \ - "0.00552891,0.00724000,0.00916933,0.0127913,0.0199128,0.0346744,0.0656742", \ - "0.00553170,0.00724887,0.00917905,0.0127989,0.0199170,0.0346755,0.0656743", \ - "0.00610964,0.00766409,0.00948074,0.0129993,0.0200262,0.0347155,0.0656821", \ - "0.00802659,0.00958933,0.0113250,0.0145998,0.0211459,0.0352001,0.0657701", \ - "0.0100895,0.0117049,0.0134742,0.0167116,0.0229632,0.0363949,0.0662394", \ - "0.0122669,0.0139430,0.0157861,0.0190853,0.0251816,0.0379422,0.0669384"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00875015,0.0125695,0.0167975,0.0247335,0.0405196,0.0733954,0.141509", \ - "0.00875172,0.0125682,0.0167976,0.0247317,0.0405176,0.0733839,0.141517", \ - "0.00875536,0.0125675,0.0167997,0.0247336,0.0405156,0.0734050,0.141506", \ - "0.00885729,0.0126406,0.0168585,0.0247781,0.0405409,0.0733950,0.141504", \ - "0.00935934,0.0131681,0.0173911,0.0252884,0.0409020,0.0735223,0.141516", \ - "0.0103033,0.0140649,0.0182435,0.0259957,0.0414401,0.0739464,0.141643", \ - "0.0118069,0.0155522,0.0197109,0.0273056,0.0423805,0.0743888,0.141949"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0316114,0.0356207,0.0395834,0.0461065,0.0570168,0.0763801,0.113281", \ - "0.0331863,0.0371952,0.0411582,0.0476812,0.0585914,0.0779552,0.114857", \ - "0.0393806,0.0433783,0.0473345,0.0538547,0.0647670,0.0841357,0.121044", \ - "0.0518850,0.0558609,0.0597932,0.0662978,0.0772063,0.0965722,0.133473", \ - "0.0664906,0.0709699,0.0753327,0.0823431,0.0937027,0.113272,0.150148", \ - "0.0813138,0.0862748,0.0911104,0.0987917,0.110880,0.131083,0.168203", \ - "0.0968071,0.102217,0.107500,0.115859,0.128795,0.149816,0.187266"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0492830,0.0556476,0.0622702,0.0736659,0.0936774,0.130774,0.203291", \ - "0.0504891,0.0568535,0.0634775,0.0748726,0.0948840,0.131981,0.204501", \ - "0.0529621,0.0593267,0.0659486,0.0773435,0.0973530,0.134449,0.206974", \ - "0.0562332,0.0626007,0.0692186,0.0806054,0.100596,0.137675,0.210196", \ - "0.0597159,0.0661869,0.0729209,0.0844357,0.104568,0.141686,0.214183", \ - "0.0633561,0.0700007,0.0769057,0.0886542,0.108944,0.146220,0.218772", \ - "0.0665845,0.0734980,0.0807002,0.0928819,0.113638,0.151215,0.223847"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00577761,0.00748294,0.00940274,0.0130142,0.0201128,0.0348351,0.0657926", \ - "0.00577768,0.00748379,0.00940356,0.0130140,0.0201122,0.0348355,0.0657921", \ - "0.00577565,0.00748554,0.00940803,0.0130190,0.0201140,0.0348360,0.0657942", \ - "0.00615160,0.00773136,0.00958472,0.0131346,0.0201737,0.0348545,0.0657952", \ - "0.00804788,0.00958384,0.0113178,0.0145943,0.0211503,0.0352459,0.0658605", \ - "0.0100641,0.0116495,0.0134046,0.0166298,0.0228917,0.0363855,0.0662778", \ - "0.0121611,0.0138018,0.0156147,0.0188814,0.0249833,0.0378346,0.0669500"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00874996,0.0125686,0.0167986,0.0247340,0.0405174,0.0733841,0.141513", \ - "0.00875206,0.0125684,0.0167969,0.0247335,0.0405114,0.0733978,0.141517", \ - "0.00874978,0.0125685,0.0167968,0.0247329,0.0405189,0.0733895,0.141504", \ - "0.00884281,0.0126308,0.0168487,0.0247717,0.0405384,0.0734005,0.141512", \ - "0.00919481,0.0130290,0.0172684,0.0251793,0.0408434,0.0734993,0.141516", \ - "0.00981458,0.0136763,0.0179408,0.0258110,0.0413361,0.0738586,0.141629", \ - "0.0109179,0.0147977,0.0191176,0.0269961,0.0423658,0.0744390,0.141888"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.011061,3.224077,3.411169,3.636891,3.780267,3.837918,3.856427", \ - "3.001256,3.214823,3.403027,3.625403,3.772319,3.826859,3.848418", \ - "2.992331,3.197005,3.378498,3.603239,3.755115,3.813868,3.837950", \ - "3.122719,3.316782,3.476022,3.685338,3.823016,3.884276,3.909011", \ - "3.339469,3.470368,3.600613,3.836810,4.047374,4.105772,4.125239", \ - "3.835631,3.875164,3.963456,4.139098,4.357346,4.504469,4.519754", \ - "4.545884,4.539474,4.556304,4.670984,4.881770,5.030578,5.122942"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.261095,2.341302,2.440177,2.505236,2.539743,2.472567,2.426526", \ - "2.231669,2.346210,2.435484,2.486212,2.487412,2.529626,2.402767", \ - "2.211281,2.339485,2.400354,2.449364,2.460900,2.491486,2.370639", \ - "2.326657,2.447280,2.484234,2.544065,2.561655,2.543754,2.495894", \ - "2.715107,2.738598,2.760086,2.806264,2.779063,2.738227,2.497604", \ - "3.236610,3.236527,3.284806,3.256865,3.185293,2.999189,2.785997", \ - "3.981077,3.925038,3.958084,3.946767,3.745646,3.700655,3.537388"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.338101,3.584274,3.782995,4.003150,4.162536,4.230400,4.263099", \ - "3.352529,3.566044,3.775617,3.994885,4.148075,4.222594,4.253935", \ - "3.336146,3.563443,3.746315,3.971032,4.133818,4.205861,4.239904", \ - "3.490187,3.673473,3.833727,4.048875,4.204222,4.274876,4.310615", \ - "3.717455,3.840785,3.989801,4.225502,4.427507,4.494660,4.526408", \ - "4.191085,4.246276,4.327531,4.526952,4.741960,4.886441,4.915566", \ - "4.910468,4.906121,4.928841,5.040975,5.259961,5.393682,5.491239"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.267206,2.343892,2.447988,2.513823,2.453289,2.515183,2.501206", \ - "2.268657,2.342795,2.438931,2.496115,2.517561,2.423817,2.441175", \ - "2.220804,2.288910,2.390274,2.461888,2.482071,2.387746,2.431711", \ - "2.257167,2.318848,2.409978,2.473578,2.428934,2.490544,2.443091", \ - "2.462123,2.495314,2.560295,2.595414,2.607992,2.594067,2.538253", \ - "2.849188,2.855857,2.905512,2.901826,2.766520,2.787109,2.688491", \ - "3.424815,3.394918,3.450582,3.418118,3.347922,3.193741,3.008829"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.668830,3.884888,4.080961,4.327400,4.501414,4.586902,4.628347", \ - "3.631243,3.862095,4.064746,4.304240,4.473183,4.561731,4.606524", \ - "3.637054,3.840883,4.036683,4.282458,4.451950,4.542695,4.592160", \ - "3.779079,3.962923,4.147415,4.353407,4.519579,4.610049,4.659086", \ - "4.014917,4.158184,4.322273,4.556112,4.755615,4.836843,4.879422", \ - "4.510832,4.593508,4.680175,4.861047,5.091117,5.238928,5.277316", \ - "5.250680,5.260207,5.295567,5.431283,5.631775,5.771165,5.872592"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.252561,2.375521,2.447959,2.494518,2.543696,2.549683,2.486608", \ - "2.247978,2.326963,2.426312,2.497594,2.474992,2.365267,2.330221", \ - "2.222939,2.327059,2.391830,2.427413,2.490030,2.382184,2.407363", \ - "2.218853,2.285405,2.378014,2.451868,2.458393,2.456783,2.291310", \ - "2.346334,2.416524,2.482446,2.489466,2.502900,2.433881,2.530021", \ - "2.702755,2.745829,2.772028,2.771673,2.765185,2.579927,2.725432", \ - "3.207490,3.220601,3.260332,3.260739,3.269747,3.145270,2.994392"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.903259,4.141940,4.356748,4.595279,4.785886,4.892955,4.950449", \ - "3.885558,4.123934,4.323622,4.573983,4.766247,4.867477,4.925413", \ - "3.868411,4.114458,4.313611,4.551353,4.749400,4.852780,4.908898", \ - "4.020568,4.222289,4.402378,4.627194,4.812694,4.918842,4.979177", \ - "4.319926,4.462920,4.623537,4.878556,5.062319,5.150870,5.209248", \ - "4.828405,4.904817,5.002970,5.192214,5.422799,5.575950,5.621894", \ - "5.606757,5.603720,5.654573,5.796568,5.997833,6.137515,6.243423"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.252416,2.369078,2.408920,2.520246,2.506868,2.580185,2.603019", \ - "2.269082,2.326380,2.426873,2.487043,2.439031,2.334777,2.543031", \ - "2.200331,2.283248,2.365240,2.452456,2.496130,2.381107,2.547299", \ - "2.198790,2.315903,2.349127,2.435052,2.388954,2.433352,2.401436", \ - "2.306410,2.378899,2.448177,2.494546,2.490393,2.364541,2.407783", \ - "2.591213,2.646424,2.679478,2.734550,2.690804,2.474114,2.604995", \ - "3.065812,3.074136,3.139893,3.201523,3.102284,3.044190,3.036840"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND4_X2 - Cell Description : Combinational cell (AND4_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AND4_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 54.274743; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 40.520920; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 55.226380; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 41.503044; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 70.088040; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 40.784104; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 56.116390; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 41.668875; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 91.390530; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 38.379792; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 53.195112; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 39.311962; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 70.689409; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 38.396862; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 55.668472; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 40.824202; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 94.631790; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.550933; - fall_capacitance : 1.550933; - rise_capacitance : 1.547935; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.605000; - fall_capacitance : 1.574635; - rise_capacitance : 1.605000; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.649913; - fall_capacitance : 1.555152; - rise_capacitance : 1.649913; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.684580; - fall_capacitance : 1.530392; - rise_capacitance : 1.684580; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.392000; - function : "(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0233395,0.0275020,0.0311328,0.0371922,0.0475675,0.0664557,0.103057", \ - "0.0250278,0.0291889,0.0328201,0.0388805,0.0492567,0.0681444,0.104746", \ - "0.0313237,0.0354554,0.0390782,0.0451414,0.0555276,0.0744251,0.111036", \ - "0.0420125,0.0464274,0.0502369,0.0564811,0.0669721,0.0859004,0.122490", \ - "0.0525474,0.0575498,0.0618509,0.0687746,0.0799602,0.0993161,0.135919", \ - "0.0628707,0.0684418,0.0732604,0.0809568,0.0930494,0.113067,0.149941", \ - "0.0730373,0.0791429,0.0844809,0.0930335,0.106267,0.127368,0.164694"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0386066,0.0456296,0.0520889,0.0632671,0.0830432,0.119967,0.192404", \ - "0.0396048,0.0466265,0.0530860,0.0642643,0.0840424,0.120966,0.193403", \ - "0.0437796,0.0508000,0.0572580,0.0684274,0.0881962,0.125119,0.197561", \ - "0.0529055,0.0598803,0.0662963,0.0774121,0.0971051,0.133961,0.206379", \ - "0.0630740,0.0702027,0.0766805,0.0878817,0.107726,0.144533,0.216833", \ - "0.0724180,0.0799815,0.0867890,0.0981446,0.117853,0.154755,0.227119", \ - "0.0811864,0.0891971,0.0965265,0.108466,0.128347,0.165184,0.237572"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00464457,0.00655072,0.00846232,0.0120852,0.0192809,0.0342368,0.0654592", \ - "0.00464528,0.00655177,0.00846235,0.0120847,0.0192806,0.0342364,0.0654568", \ - "0.00466306,0.00657717,0.00848639,0.0121005,0.0192873,0.0342385,0.0654571", \ - "0.00586764,0.00759219,0.00932472,0.0126609,0.0195634,0.0343294,0.0654710", \ - "0.00781823,0.00957840,0.0113029,0.0145105,0.0209753,0.0350339,0.0655823", \ - "0.0100019,0.0118454,0.0136328,0.0168255,0.0229466,0.0362557,0.0661475", \ - "0.0124866,0.0144152,0.0162982,0.0196212,0.0256103,0.0381413,0.0669347"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00809777,0.0123242,0.0165052,0.0244185,0.0402622,0.0733049,0.141498", \ - "0.00809801,0.0123267,0.0165063,0.0244177,0.0402650,0.0733006,0.141495", \ - "0.00810115,0.0123253,0.0165045,0.0244185,0.0402676,0.0732951,0.141507", \ - "0.00828722,0.0124572,0.0166178,0.0245077,0.0403180,0.0733190,0.141494", \ - "0.00958990,0.0134119,0.0174095,0.0252202,0.0408510,0.0734872,0.141516", \ - "0.0114069,0.0151125,0.0188216,0.0260839,0.0413962,0.0740667,0.141697", \ - "0.0135145,0.0172444,0.0209870,0.0278065,0.0423305,0.0744241,0.142178"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0257412,0.0299725,0.0336622,0.0398044,0.0502754,0.0692489,0.105912", \ - "0.0274287,0.0316606,0.0353508,0.0414941,0.0519652,0.0709397,0.107602", \ - "0.0336765,0.0378874,0.0415680,0.0477118,0.0581907,0.0771726,0.113837", \ - "0.0450815,0.0494761,0.0532670,0.0595021,0.0700430,0.0890471,0.125701", \ - "0.0568337,0.0618149,0.0660809,0.0729473,0.0841101,0.103466,0.140121", \ - "0.0684948,0.0740261,0.0787803,0.0863678,0.0983288,0.118287,0.155191", \ - "0.0802536,0.0862900,0.0915201,0.0998535,0.112797,0.133631,0.170851"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0425654,0.0495881,0.0560479,0.0672253,0.0870023,0.123926,0.196368", \ - "0.0437346,0.0507572,0.0572171,0.0683950,0.0881733,0.125100,0.197538", \ - "0.0476662,0.0546882,0.0611458,0.0723197,0.0920913,0.129014,0.201459", \ - "0.0554064,0.0624219,0.0688675,0.0800160,0.0997457,0.136633,0.209061", \ - "0.0648195,0.0719945,0.0785640,0.0898720,0.109767,0.146648,0.219004", \ - "0.0741454,0.0816435,0.0884494,0.0999452,0.119892,0.156913,0.229301", \ - "0.0830469,0.0909741,0.0981957,0.110097,0.130319,0.167342,0.239778"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00486651,0.00675768,0.00866036,0.0122709,0.0194416,0.0343582,0.0655366", \ - "0.00486659,0.00675713,0.00865986,0.0122706,0.0194421,0.0343578,0.0655369", \ - "0.00487177,0.00677311,0.00867635,0.0122814,0.0194472,0.0343595,0.0655368", \ - "0.00582590,0.00753476,0.00926817,0.0126590,0.0196422,0.0344278,0.0655491", \ - "0.00774472,0.00946481,0.0111734,0.0143921,0.0209043,0.0350218,0.0656474", \ - "0.00981718,0.0116084,0.0133587,0.0165358,0.0227103,0.0361534,0.0661486", \ - "0.0121079,0.0139567,0.0157780,0.0190295,0.0250218,0.0377175,0.0667938"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00809727,0.0123249,0.0165051,0.0244170,0.0402660,0.0733055,0.141495", \ - "0.00809834,0.0123263,0.0165059,0.0244177,0.0402640,0.0732963,0.141502", \ - "0.00809905,0.0123250,0.0165049,0.0244187,0.0402650,0.0732960,0.141496", \ - "0.00827787,0.0124435,0.0165993,0.0244889,0.0403049,0.0733056,0.141501", \ - "0.00903154,0.0131412,0.0172632,0.0251087,0.0407421,0.0734491,0.141512", \ - "0.0104203,0.0143764,0.0183324,0.0258692,0.0412797,0.0739304,0.141634", \ - "0.0121659,0.0161209,0.0200425,0.0272711,0.0421351,0.0742945,0.142025"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0278379,0.0321328,0.0358732,0.0420877,0.0526423,0.0716980,0.108423", \ - "0.0294305,0.0337242,0.0374648,0.0436798,0.0542351,0.0732911,0.110017", \ - "0.0356305,0.0399083,0.0436427,0.0498563,0.0604167,0.0794796,0.116211", \ - "0.0475663,0.0519478,0.0557194,0.0619673,0.0725563,0.0916275,0.128352", \ - "0.0604423,0.0653901,0.0696235,0.0764524,0.0875801,0.106931,0.143635", \ - "0.0733847,0.0788688,0.0835819,0.0910785,0.102935,0.122850,0.159788", \ - "0.0867333,0.0927090,0.0978674,0.106075,0.118769,0.139524,0.176743"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0449433,0.0519660,0.0584255,0.0696036,0.0893824,0.126310,0.198744", \ - "0.0461509,0.0531733,0.0596327,0.0708108,0.0905896,0.127516,0.199956", \ - "0.0492281,0.0562496,0.0627083,0.0738834,0.0936570,0.130583,0.203022", \ - "0.0544897,0.0615226,0.0679783,0.0791420,0.0988881,0.135787,0.208216", \ - "0.0609050,0.0680921,0.0746836,0.0860158,0.105944,0.142884,0.215272", \ - "0.0677463,0.0751910,0.0819866,0.0935358,0.113579,0.150654,0.223100", \ - "0.0740057,0.0818523,0.0890297,0.101043,0.121488,0.158709,0.231197"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00508638,0.00695917,0.00885033,0.0124446,0.0195948,0.0344821,0.0656289", \ - "0.00508408,0.00695931,0.00884973,0.0124454,0.0195947,0.0344820,0.0656291", \ - "0.00508834,0.00697125,0.00886227,0.0124531,0.0195985,0.0344833,0.0656310", \ - "0.00581546,0.00750888,0.00925764,0.0127016,0.0197256,0.0345263,0.0656363", \ - "0.00769667,0.00938677,0.0110816,0.0143030,0.0208522,0.0350203,0.0657168", \ - "0.00971466,0.0114607,0.0131762,0.0163418,0.0225521,0.0360967,0.0661675", \ - "0.0118705,0.0136741,0.0154495,0.0186572,0.0246845,0.0375251,0.0667841"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00809880,0.0123263,0.0165047,0.0244181,0.0402621,0.0732973,0.141497", \ - "0.00809762,0.0123242,0.0165049,0.0244178,0.0402667,0.0733082,0.141503", \ - "0.00809823,0.0123260,0.0165057,0.0244188,0.0402655,0.0733032,0.141508", \ - "0.00827188,0.0124419,0.0165955,0.0244820,0.0403037,0.0733120,0.141508", \ - "0.00876813,0.0129785,0.0171436,0.0250081,0.0406968,0.0734306,0.141503", \ - "0.00979297,0.0139344,0.0180378,0.0257314,0.0412084,0.0738585,0.141639", \ - "0.0113730,0.0154873,0.0195700,0.0270829,0.0421691,0.0743128,0.141974"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0294238,0.0338010,0.0376083,0.0439165,0.0545807,0.0737407,0.110550", \ - "0.0309957,0.0353720,0.0391789,0.0454875,0.0561526,0.0753123,0.112122", \ - "0.0372030,0.0415698,0.0453686,0.0516748,0.0623429,0.0815082,0.118320", \ - "0.0495726,0.0539593,0.0577527,0.0640511,0.0747162,0.0938772,0.130680", \ - "0.0635088,0.0684461,0.0726680,0.0794850,0.0906151,0.109988,0.146759", \ - "0.0776858,0.0831436,0.0878255,0.0952875,0.107073,0.127011,0.163994", \ - "0.0926126,0.0985476,0.103661,0.111777,0.124398,0.145043,0.182278"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.0460562,0.0530791,0.0595383,0.0707163,0.0904959,0.127422,0.199860", \ - "0.0472298,0.0542514,0.0607114,0.0718900,0.0916695,0.128598,0.201035", \ - "0.0496336,0.0566548,0.0631135,0.0742898,0.0940651,0.130993,0.203429", \ - "0.0527681,0.0598109,0.0662720,0.0774439,0.0972040,0.134109,0.206542", \ - "0.0560168,0.0631637,0.0697444,0.0810591,0.100980,0.137943,0.210355", \ - "0.0593743,0.0667345,0.0734985,0.0850580,0.105168,0.142278,0.214768", \ - "0.0621695,0.0698623,0.0769345,0.0889532,0.109547,0.146998,0.219574"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00534088,0.00720740,0.00909176,0.0126719,0.0197955,0.0346406,0.0657451", \ - "0.00533956,0.00720749,0.00909067,0.0126718,0.0197953,0.0346406,0.0657442", \ - "0.00533591,0.00720919,0.00909539,0.0126761,0.0197967,0.0346411,0.0657444", \ - "0.00586498,0.00755783,0.00933413,0.0128207,0.0198658,0.0346600,0.0657464", \ - "0.00771294,0.00938332,0.0110702,0.0142948,0.0208633,0.0350638,0.0658047", \ - "0.00968717,0.0114048,0.0131023,0.0162490,0.0224874,0.0360936,0.0662092", \ - "0.0117471,0.0135127,0.0152638,0.0184420,0.0244732,0.0374154,0.0668021"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("0.00809883,0.0123246,0.0165061,0.0244177,0.0402624,0.0733073,0.141493", \ - "0.00809871,0.0123245,0.0165046,0.0244185,0.0402621,0.0732974,0.141493", \ - "0.00809764,0.0123252,0.0165053,0.0244181,0.0402651,0.0732959,0.141495", \ - "0.00823591,0.0124256,0.0165806,0.0244706,0.0402943,0.0733023,0.141496", \ - "0.00857856,0.0128252,0.0170106,0.0248902,0.0406177,0.0734114,0.141504", \ - "0.00924876,0.0135181,0.0177246,0.0255532,0.0411139,0.0737719,0.141620", \ - "0.0104358,0.0147148,0.0189735,0.0268031,0.0422039,0.0743902,0.141899"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("5.662372,6.116223,6.457527,6.806612,7.037976,7.131779,7.167301", \ - "5.633263,6.090986,6.436544,6.798725,7.019521,7.113060,7.146829", \ - "5.636818,6.058747,6.392232,6.746481,6.990292,7.089902,7.126466", \ - "5.892273,6.293544,6.594934,6.904154,7.144574,7.246472,7.289374", \ - "6.411185,6.602754,6.855819,7.250441,7.604157,7.709621,7.743357", \ - "7.411982,7.455948,7.563847,7.876961,8.244427,8.514210,8.563516", \ - "8.884048,8.787301,8.807403,8.979838,9.340448,9.593709,9.802412"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("4.389797,4.627039,4.725987,4.891659,4.864740,4.619172,4.818899", \ - "4.284370,4.562477,4.740517,4.861789,4.730415,4.580785,4.782838", \ - "4.318244,4.521749,4.696421,4.735726,4.675234,4.688844,4.499898", \ - "4.553760,4.766628,4.913221,4.951632,4.889606,4.667835,4.958316", \ - "5.301468,5.372757,5.470939,5.520304,5.501321,5.264151,5.325725", \ - "6.397093,6.392435,6.474384,6.460761,6.236300,6.043093,6.104239", \ - "7.866397,7.828593,7.848249,7.836965,7.636746,7.209602,7.069603"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("6.374321,6.823717,7.175117,7.539351,7.808382,7.927880,7.978744", \ - "6.355607,6.798059,7.153518,7.525431,7.792705,7.911884,7.966895", \ - "6.310751,6.759679,7.109841,7.481509,7.752424,7.878996,7.941356", \ - "6.593923,6.983864,7.298889,7.635823,7.901125,8.027050,8.090831", \ - "7.090268,7.329627,7.601154,8.011834,8.360598,8.485306,8.536846", \ - "8.142650,8.180030,8.312399,8.641132,9.009403,9.288087,9.339560", \ - "9.554793,9.531364,9.541137,9.721724,10.078750,10.302620,10.508750"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("4.397337,4.636751,4.736012,4.827436,4.864850,4.935015,4.878668", \ - "4.364756,4.567835,4.746908,4.834889,4.853504,4.799249,4.823853", \ - "4.266419,4.506413,4.666968,4.754762,4.737176,4.948344,4.589686", \ - "4.358240,4.542319,4.709532,4.820800,4.832423,4.908087,4.861954", \ - "4.791312,4.924135,4.952503,5.056988,5.056128,4.727309,4.974956", \ - "5.610677,5.698841,5.742413,5.763547,5.583217,5.577093,5.289993", \ - "6.743114,6.780822,6.764770,6.802994,6.591458,6.305176,6.155133"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("6.979061,7.454170,7.815259,8.193181,8.494652,8.639809,8.715199", \ - "6.926779,7.401578,7.761806,8.148710,8.439944,8.587350,8.674228", \ - "6.921780,7.368157,7.717803,8.107027,8.401791,8.556953,8.643155", \ - "7.233974,7.593109,7.910334,8.274476,8.548362,8.702093,8.786332", \ - "7.769023,7.978723,8.271870,8.692526,9.025727,9.166119,9.246132", \ - "8.753013,8.876317,9.023045,9.334149,9.710557,9.986306,10.063880", \ - "10.246860,10.258160,10.279090,10.495420,10.823710,11.064270,11.277500"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("4.398088,4.604987,4.791564,4.868361,4.905000,4.788312,5.029891", \ - "4.360796,4.595912,4.749992,4.885148,4.908370,4.656206,4.905792", \ - "4.273192,4.476987,4.668413,4.803578,4.756530,4.664724,4.995826", \ - "4.286259,4.498028,4.641513,4.760083,4.764400,4.607095,4.711564", \ - "4.583519,4.753654,4.779763,4.928946,4.903608,4.807009,4.774648", \ - "5.281433,5.414610,5.494506,5.523684,5.385144,5.144195,5.263941", \ - "6.356689,6.392316,6.534762,6.531315,6.383750,5.979324,6.264707"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("7.456108,7.941648,8.314871,8.744305,9.070960,9.247186,9.364083", \ - "7.451781,7.889622,8.237443,8.690517,9.021335,9.197179,9.306224", \ - "7.389490,7.864429,8.225080,8.659278,8.988123,9.169830,9.283146", \ - "7.742927,8.119214,8.433570,8.836219,9.142436,9.318163,9.441628", \ - "8.305448,8.585062,8.863875,9.314185,9.634908,9.807689,9.914899", \ - "9.374512,9.509965,9.673498,9.998733,10.384710,10.662330,10.760830", \ - "10.938950,10.946380,11.008930,11.224740,11.557270,11.813520,12.030590"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); - values ("4.396709,4.628347,4.784039,4.804226,4.957720,4.678053,4.881513", \ - "4.356872,4.595601,4.746372,4.863978,4.860697,4.788988,4.789224", \ - "4.231961,4.502522,4.656560,4.751626,4.752388,4.646197,4.942023", \ - "4.227782,4.490331,4.644835,4.699976,4.706242,4.740264,4.727841", \ - "4.486941,4.668518,4.773018,4.814063,4.899266,4.602299,4.883923", \ - "5.030158,5.191383,5.341313,5.413863,5.357699,5.183677,4.994959", \ - "6.044482,6.167811,6.308220,6.365422,6.397901,6.156987,5.996024"); - } - } - } - - } - - - /****************************************************************************************** - Module : AND4_X4 - Cell Description : Combinational cell (AND4_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AND4_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 108.549590; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 81.041840; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 110.452980; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 83.006099; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 140.176300; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 81.568209; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 112.233000; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 83.337848; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 182.781280; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 76.759696; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 106.390451; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 78.623924; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 141.378821; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 76.793832; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 111.336945; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 81.648409; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 189.263800; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.014616; - fall_capacitance : 3.014616; - rise_capacitance : 3.010119; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.260554; - fall_capacitance : 3.159059; - rise_capacitance : 3.260554; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.486019; - fall_capacitance : 3.285222; - rise_capacitance : 3.486019; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.766184; - fall_capacitance : 3.444015; - rise_capacitance : 3.766184; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 241.089000; - function : "(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0224662,0.0268886,0.0304962,0.0365184,0.0468604,0.0657623,0.102480", \ - "0.0241551,0.0285746,0.0321830,0.0382064,0.0485489,0.0674513,0.104168", \ - "0.0304631,0.0348472,0.0384468,0.0444742,0.0548277,0.0737398,0.110464", \ - "0.0409290,0.0456407,0.0494422,0.0556757,0.0661401,0.0850822,0.121787", \ - "0.0511780,0.0565114,0.0608011,0.0677025,0.0788577,0.0982120,0.134921", \ - "0.0612496,0.0671753,0.0719821,0.0796568,0.0917089,0.111708,0.148668", \ - "0.0711731,0.0776727,0.0829943,0.0915125,0.104713,0.125785,0.163172"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0368721,0.0443228,0.0507577,0.0618939,0.0816334,0.118586,0.191172", \ - "0.0378638,0.0453131,0.0517482,0.0628850,0.0826252,0.119579,0.192163", \ - "0.0420511,0.0494996,0.0559329,0.0670610,0.0867919,0.123741,0.196328", \ - "0.0511132,0.0585142,0.0649075,0.0759755,0.0956369,0.132522,0.205085", \ - "0.0609920,0.0685273,0.0749821,0.0861438,0.105956,0.142803,0.215248", \ - "0.0700332,0.0780455,0.0848332,0.0961380,0.115809,0.152763,0.225320", \ - "0.0785471,0.0870220,0.0943267,0.106215,0.126057,0.162897,0.235448"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00440172,0.00641369,0.00832503,0.0119607,0.0192020,0.0342644,0.0656516", \ - "0.00440193,0.00641520,0.00832526,0.0119608,0.0192010,0.0342641,0.0656505", \ - "0.00442113,0.00644360,0.00835178,0.0119779,0.0192092,0.0342657,0.0656524", \ - "0.00569485,0.00750698,0.00923829,0.0125778,0.0195005,0.0343581,0.0656622", \ - "0.00764078,0.00948462,0.0111974,0.0143997,0.0208928,0.0350586,0.0657724", \ - "0.00982454,0.0117466,0.0135229,0.0167038,0.0228297,0.0362342,0.0663259", \ - "0.0123141,0.0143255,0.0161951,0.0194980,0.0254770,0.0380837,0.0670956"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00760999,0.0120777,0.0162572,0.0241872,0.0400996,0.0732746,0.141663", \ - "0.00761019,0.0120775,0.0162570,0.0241862,0.0400972,0.0732760,0.141666", \ - "0.00760962,0.0120762,0.0162574,0.0241873,0.0401013,0.0732807,0.141663", \ - "0.00784942,0.0122446,0.0163933,0.0242910,0.0401628,0.0733062,0.141660", \ - "0.00919297,0.0131908,0.0171728,0.0249886,0.0407097,0.0734602,0.141680", \ - "0.0110337,0.0148969,0.0185823,0.0258404,0.0412137,0.0740516,0.141872", \ - "0.0131763,0.0170375,0.0207562,0.0275574,0.0421417,0.0743951,0.142355"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0248102,0.0293037,0.0329677,0.0390707,0.0495048,0.0684891,0.105266", \ - "0.0264937,0.0309875,0.0346522,0.0407560,0.0511911,0.0701753,0.106953", \ - "0.0327509,0.0372193,0.0408746,0.0469798,0.0574232,0.0764166,0.113196", \ - "0.0439884,0.0486782,0.0524560,0.0586762,0.0691815,0.0881957,0.124964", \ - "0.0554598,0.0607635,0.0650163,0.0718577,0.0829863,0.102344,0.139101", \ - "0.0668664,0.0727532,0.0774930,0.0850335,0.0969561,0.116903,0.153880", \ - "0.0783950,0.0848091,0.0900190,0.0983140,0.111197,0.131998,0.169271"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0408008,0.0482510,0.0546861,0.0658220,0.0855628,0.122513,0.195099", \ - "0.0419609,0.0494105,0.0558462,0.0669823,0.0867238,0.123678,0.196261", \ - "0.0458796,0.0533271,0.0597606,0.0708915,0.0906229,0.127571,0.200158", \ - "0.0535465,0.0609988,0.0674258,0.0785322,0.0982271,0.135141,0.207715", \ - "0.0627420,0.0703556,0.0769050,0.0881651,0.108035,0.144943,0.217450", \ - "0.0717974,0.0797598,0.0865451,0.0980073,0.117920,0.154966,0.227531", \ - "0.0803680,0.0887822,0.0959952,0.107870,0.128073,0.165121,0.237747"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00461790,0.00661359,0.00851541,0.0121388,0.0193559,0.0343800,0.0657279", \ - "0.00461632,0.00661335,0.00851632,0.0121384,0.0193562,0.0343800,0.0657268", \ - "0.00462435,0.00663188,0.00853338,0.0121499,0.0193613,0.0343808,0.0657274", \ - "0.00564386,0.00744129,0.00917144,0.0125582,0.0195669,0.0344495,0.0657386", \ - "0.00754696,0.00935638,0.0110514,0.0142695,0.0208150,0.0350422,0.0658365", \ - "0.00962223,0.0114848,0.0132193,0.0163856,0.0225749,0.0361241,0.0663260", \ - "0.0119035,0.0138294,0.0156317,0.0188615,0.0248487,0.0376374,0.0669419"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00760934,0.0120778,0.0162568,0.0241849,0.0400975,0.0732775,0.141659", \ - "0.00761004,0.0120774,0.0162567,0.0241876,0.0400970,0.0732736,0.141665", \ - "0.00761217,0.0120773,0.0162574,0.0241873,0.0401028,0.0732788,0.141669", \ - "0.00783226,0.0122233,0.0163709,0.0242676,0.0401465,0.0732903,0.141667", \ - "0.00860397,0.0129243,0.0170363,0.0248901,0.0405957,0.0734335,0.141673", \ - "0.0100307,0.0141749,0.0181144,0.0256474,0.0411040,0.0739168,0.141816", \ - "0.0118092,0.0159371,0.0198408,0.0270567,0.0419660,0.0742677,0.142210"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0269066,0.0314683,0.0351833,0.0413588,0.0518769,0.0709426,0.107782", \ - "0.0284936,0.0330555,0.0367708,0.0429469,0.0534656,0.0725314,0.109371", \ - "0.0346993,0.0392424,0.0429514,0.0491267,0.0596512,0.0787242,0.115569", \ - "0.0465206,0.0511909,0.0549549,0.0611748,0.0717324,0.0908133,0.127649", \ - "0.0591317,0.0643954,0.0686116,0.0754153,0.0865175,0.105853,0.142673", \ - "0.0718290,0.0776725,0.0823607,0.0898232,0.101632,0.121562,0.158560", \ - "0.0849679,0.0913135,0.0964509,0.104619,0.117285,0.137952,0.175258"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0431793,0.0506296,0.0570644,0.0682008,0.0879430,0.124898,0.197480", \ - "0.0443780,0.0518276,0.0582625,0.0693994,0.0891420,0.126098,0.198678", \ - "0.0474472,0.0548955,0.0613296,0.0724612,0.0921968,0.129148,0.201736", \ - "0.0526451,0.0601200,0.0665533,0.0776783,0.0973884,0.134314,0.206897", \ - "0.0589333,0.0665591,0.0731326,0.0844287,0.104325,0.141297,0.213834", \ - "0.0655602,0.0734624,0.0802490,0.0917717,0.111782,0.148868,0.221474", \ - "0.0715455,0.0798915,0.0870673,0.0990773,0.119490,0.156752,0.229386"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00483921,0.00681596,0.00870628,0.0123119,0.0195075,0.0345015,0.0658213", \ - "0.00483916,0.00681698,0.00870674,0.0123121,0.0195072,0.0345015,0.0658200", \ - "0.00484299,0.00682695,0.00871870,0.0123214,0.0195110,0.0345032,0.0658215", \ - "0.00563074,0.00741533,0.00915406,0.0125888,0.0196454,0.0345474,0.0658275", \ - "0.00750391,0.00927121,0.0109570,0.0141800,0.0207627,0.0350404,0.0659048", \ - "0.00950710,0.0113245,0.0130303,0.0161830,0.0224174,0.0360659,0.0663462", \ - "0.0116499,0.0135255,0.0152897,0.0184803,0.0245000,0.0374433,0.0669349"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00761086,0.0120770,0.0162575,0.0241852,0.0400968,0.0732751,0.141669", \ - "0.00761117,0.0120772,0.0162565,0.0241851,0.0400980,0.0732803,0.141671", \ - "0.00760997,0.0120776,0.0162566,0.0241870,0.0401007,0.0732850,0.141666", \ - "0.00781251,0.0122194,0.0163642,0.0242593,0.0401415,0.0732945,0.141669", \ - "0.00831662,0.0127556,0.0169167,0.0247896,0.0405425,0.0734094,0.141678", \ - "0.00938943,0.0137399,0.0178325,0.0255247,0.0410421,0.0738465,0.141816", \ - "0.0110057,0.0153188,0.0193905,0.0268949,0.0420235,0.0742923,0.142133"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0284727,0.0331220,0.0369052,0.0431759,0.0538038,0.0729737,0.109895", \ - "0.0300414,0.0346908,0.0384742,0.0447450,0.0553732,0.0745432,0.111465", \ - "0.0362548,0.0408908,0.0446670,0.0509359,0.0615673,0.0807426,0.117669", \ - "0.0485485,0.0532297,0.0570046,0.0632701,0.0739025,0.0930709,0.129986", \ - "0.0622283,0.0674809,0.0716884,0.0784736,0.0895724,0.108955,0.145832", \ - "0.0761774,0.0819818,0.0866433,0.0940667,0.105818,0.125746,0.162806", \ - "0.0908988,0.0972094,0.102299,0.110372,0.122863,0.143515,0.180830"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.0442991,0.0517487,0.0581835,0.0693205,0.0890631,0.126018,0.198597", \ - "0.0454637,0.0529126,0.0593476,0.0704844,0.0902280,0.127185,0.199764", \ - "0.0478594,0.0553084,0.0617424,0.0728768,0.0926152,0.129569,0.202153", \ - "0.0509544,0.0584361,0.0648786,0.0760097,0.0957269,0.132663,0.205243", \ - "0.0541151,0.0617048,0.0682684,0.0795576,0.0994405,0.136444,0.209006", \ - "0.0573755,0.0651927,0.0719469,0.0834802,0.103558,0.140700,0.213332", \ - "0.0600058,0.0681796,0.0752575,0.0872565,0.107844,0.145342,0.218051"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00509811,0.00706419,0.00894664,0.0125388,0.0197064,0.0346591,0.0659343", \ - "0.00509788,0.00706419,0.00894709,0.0125392,0.0197066,0.0346599,0.0659348", \ - "0.00508934,0.00706581,0.00895134,0.0125424,0.0197072,0.0346596,0.0659331", \ - "0.00568131,0.00745702,0.00921914,0.0127000,0.0197807,0.0346780,0.0659336", \ - "0.00751524,0.00926690,0.0109438,0.0141702,0.0207743,0.0350832,0.0659918", \ - "0.00947627,0.0112618,0.0129512,0.0160890,0.0223496,0.0360667,0.0663860", \ - "0.0115165,0.0133582,0.0150922,0.0182515,0.0242957,0.0373378,0.0669532"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("0.00760999,0.0120774,0.0162570,0.0241872,0.0400968,0.0732836,0.141677", \ - "0.00761213,0.0120776,0.0162574,0.0241853,0.0400968,0.0732750,0.141672", \ - "0.00760987,0.0120773,0.0162574,0.0241860,0.0401022,0.0732753,0.141666", \ - "0.00776496,0.0121957,0.0163453,0.0242453,0.0401331,0.0732817,0.141671", \ - "0.00811013,0.0125937,0.0167804,0.0246702,0.0404604,0.0734079,0.141671", \ - "0.00880812,0.0133114,0.0175139,0.0253506,0.0409661,0.0737527,0.141797", \ - "0.0100424,0.0145382,0.0187901,0.0266275,0.0420807,0.0743896,0.142072"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("10.799090,11.729400,12.386680,13.017810,13.449650,13.611080,13.678270", \ - "10.761180,11.718990,12.356260,12.978490,13.409350,13.573390,13.644420", \ - "10.706810,11.626800,12.253390,12.899420,13.352980,13.531530,13.607530", \ - "11.268490,12.096620,12.637150,13.250140,13.682580,13.860200,13.949010", \ - "12.339400,12.730740,13.157100,13.918130,14.618590,14.807630,14.867780", \ - "14.428300,14.502380,14.653020,15.238510,15.918110,16.410780,16.528700", \ - "17.431630,17.188290,17.183810,17.495650,18.143350,18.612000,19.032720"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("8.450529,8.964754,9.199882,9.581125,9.581735,9.515527,9.365490", \ - "8.365796,8.885868,9.145664,9.480814,9.632250,9.297979,8.916926", \ - "8.272817,8.799469,9.113203,9.364410,9.498860,9.160723,8.877446", \ - "8.821015,9.303024,9.484401,9.769016,9.623208,9.551337,9.629773", \ - "10.344570,10.547030,10.619020,10.789700,10.766250,10.293880,10.312710", \ - "12.552200,12.652120,12.717760,12.620740,12.011490,12.079540,11.708640", \ - "15.736680,15.382390,15.531610,15.485580,14.675660,14.223130,13.137450"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("12.148670,13.139040,13.791340,14.521220,14.998320,15.215370,15.318450", \ - "12.116750,13.111980,13.756880,14.472960,14.957820,15.176390,15.287840", \ - "12.136500,13.020950,13.700030,14.389060,14.878690,15.115170,15.233350", \ - "12.691040,13.510240,14.077110,14.724180,15.191670,15.420420,15.552840", \ - "13.778470,14.221270,14.693620,15.452340,16.123070,16.348990,16.464970", \ - "15.848090,15.919000,16.134650,16.737310,17.434770,17.964770,18.078030", \ - "18.771030,18.649360,18.649520,18.974580,19.571570,20.025490,20.429030"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("8.475226,8.912928,9.274629,9.528511,9.641194,9.793249,9.614501", \ - "8.346624,8.920401,9.157966,9.468920,9.565066,9.525186,9.174691", \ - "8.219915,8.691659,9.023342,9.156192,9.183968,9.363863,9.141588", \ - "8.405313,8.899155,9.118012,9.374714,9.296879,8.830492,9.310270", \ - "9.363598,9.582831,9.822284,9.893194,9.937601,9.507467,9.833390", \ - "10.953390,11.119380,11.291310,11.243820,11.101670,10.761810,10.727160", \ - "13.307190,13.348680,13.431330,13.490690,13.192440,12.590180,12.194320"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("13.502080,14.407450,15.071420,15.828780,16.359610,16.634710,16.797240", \ - "13.404500,14.277080,14.982580,15.725410,16.274380,16.551690,16.704250", \ - "13.283460,14.225170,14.872240,15.614410,16.188030,16.473980,16.643650", \ - "14.008430,14.741130,15.283590,15.969700,16.474400,16.768520,16.951920", \ - "15.057280,15.533060,16.026900,16.812700,17.434630,17.714280,17.878820", \ - "17.230520,17.274490,17.534980,18.149910,18.832010,19.384660,19.525780", \ - "20.217510,20.055560,20.097750,20.433380,21.083650,21.534250,21.964810"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("8.427853,8.980827,9.265855,9.463345,9.679045,9.479694,8.770904", \ - "8.393170,8.867758,9.175984,9.375771,9.563454,9.194275,9.869395", \ - "8.108601,8.746183,9.044325,9.135497,9.134376,9.617467,8.708746", \ - "8.279213,8.785412,8.977043,9.233699,9.229328,9.479528,9.327583", \ - "8.942350,9.220371,9.366752,9.522571,9.544776,9.472356,9.811424", \ - "10.329870,10.617610,10.730780,10.820420,10.404060,10.649790,9.365549", \ - "12.564020,12.604110,12.848650,12.913270,12.656280,12.447140,11.455040"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("14.502800,15.408640,16.103610,16.910230,17.523960,17.876540,18.079890", \ - "14.391340,15.301910,16.000860,16.795670,17.426290,17.773930,17.986660", \ - "14.359380,15.261050,15.930100,16.743680,17.348840,17.715980,17.937180", \ - "15.021170,15.802090,16.370830,17.102810,17.665010,18.021600,18.257920", \ - "16.267590,16.707110,17.223260,18.025450,18.689350,18.997130,19.215480", \ - "18.389820,18.576250,18.858840,19.473120,20.175200,20.729330,20.920600", \ - "21.522330,21.469060,21.552080,21.927870,22.541180,23.029280,23.466630"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); - values ("8.379286,8.990589,9.236832,9.543773,9.719980,9.348126,9.819399", \ - "8.377130,8.919054,9.140338,9.370796,9.626675,9.092555,9.580698", \ - "8.206760,8.705838,8.957690,9.310054,9.197179,9.289228,8.594689", \ - "8.208278,8.720183,8.964310,9.254913,9.408140,9.492361,9.059075", \ - "8.714866,9.008629,9.324096,9.383098,9.631683,9.456736,9.556812", \ - "9.898121,10.141300,10.402920,10.333560,10.409390,9.729720,10.129110", \ - "11.789310,12.109810,12.378140,12.599250,12.363920,12.104720,12.069400"); - } - } - } - - } - - - /****************************************************************************************** - Module : ANTENNA_X1 - Cell Description : Physical cell (ANTENNA_X1) - *******************************************************************************************/ - - cell (ANTENNA_X1) { - - drive_strength : 1; - - area : 0.266000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 0.000000; - - leakage_power () { - when : "!A"; - value : 0.000000; - } - leakage_power () { - when : "A"; - value : 0.000000; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.023429; - fall_capacitance : 0.023429; - rise_capacitance : 0.023204; - - internal_power () { - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.000000,0.000000,0.000000,0.000000,0.000000,0.000000,0.000000"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.000000,0.000000,0.000000,0.000000,0.000000,0.000000,0.000000"); - } - - } - } - - } - - - /****************************************************************************************** - Module : AOI21_X1 - Cell Description : Combinational cell (AOI21_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI21_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 27.858395; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 13.583790; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 34.898600; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 14.186259; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 37.282091; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 16.427884; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 31.079664; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 31.078564; - } - leakage_power () { - when : "A & B1 & B2"; - value : 44.330311; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.626352; - fall_capacitance : 1.535340; - rise_capacitance : 1.626352; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.647003; - fall_capacitance : 1.446823; - rise_capacitance : 1.647003; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.676853; - fall_capacitance : 1.409926; - rise_capacitance : 1.676853; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.329600; - function : "!(A | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.006955,0.007566,0.008668,0.010794,0.014881,0.022807,0.038366", \ - "0.008455,0.009058,0.010153,0.012274,0.016362,0.024288,0.039849", \ - "0.012936,0.013743,0.015151,0.017663,0.021939,0.029771,0.045286", \ - "0.015885,0.017070,0.019135,0.022869,0.029267,0.039681,0.056041", \ - "0.016434,0.017988,0.020746,0.025710,0.034256,0.048272,0.070224", \ - "0.014255,0.016201,0.019646,0.025859,0.036570,0.054211,0.081967", \ - "0.009154,0.011485,0.015597,0.023048,0.035956,0.057235,0.090807"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.020585,0.022332,0.025533,0.031866,0.044409,0.069356,0.119127", \ - "0.022028,0.023782,0.027007,0.033391,0.046022,0.071065,0.120915", \ - "0.028070,0.029801,0.032984,0.039315,0.051912,0.076994,0.126944", \ - "0.036668,0.038899,0.042797,0.049926,0.062675,0.087675,0.137586", \ - "0.045355,0.048220,0.053220,0.062226,0.077955,0.104660,0.154444", \ - "0.056090,0.059497,0.065429,0.076170,0.094852,0.126356,0.178824", \ - "0.069826,0.073692,0.080449,0.092704,0.114097,0.150168,0.209713"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.004492,0.004951,0.005796,0.007472,0.010806,0.017474,0.030831", \ - "0.004381,0.004869,0.005747,0.007452,0.010802,0.017475,0.030830", \ - "0.006721,0.007107,0.007764,0.008949,0.011404,0.017472,0.030830", \ - "0.010982,0.011546,0.012520,0.014256,0.017217,0.022034,0.031937", \ - "0.016441,0.017212,0.018496,0.020794,0.024699,0.031050,0.041039", \ - "0.023212,0.024204,0.025832,0.028729,0.033599,0.041474,0.053866", \ - "0.031288,0.032532,0.034586,0.038165,0.044085,0.053488,0.068242"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.010736,0.012260,0.015086,0.020735,0.031980,0.054415,0.099206", \ - "0.010743,0.012267,0.015086,0.020730,0.031979,0.054417,0.099196", \ - "0.010877,0.012349,0.015120,0.020736,0.031978,0.054414,0.099211", \ - "0.014725,0.015967,0.018193,0.022529,0.032381,0.054418,0.099189", \ - "0.020496,0.021861,0.024326,0.029077,0.037996,0.056214,0.099193", \ - "0.027309,0.028745,0.031417,0.036635,0.046473,0.064501,0.101548", \ - "0.034957,0.036446,0.039249,0.044876,0.055657,0.075406,0.110887"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.005745,0.006379,0.007520,0.009708,0.013878,0.021885,0.037502", \ - "0.007343,0.007943,0.009046,0.011197,0.015344,0.023343,0.038956", \ - "0.011377,0.012266,0.013791,0.016478,0.020961,0.028816,0.044372", \ - "0.013597,0.014892,0.017133,0.021114,0.027809,0.038537,0.055128", \ - "0.013272,0.014977,0.017967,0.023266,0.032241,0.046700,0.069047", \ - "0.010095,0.012229,0.015952,0.022582,0.033847,0.052092,0.080396", \ - "0.003875,0.006414,0.010844,0.018790,0.032365,0.054417,0.088739"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.023478,0.025713,0.029820,0.037941,0.054056,0.086118,0.150123", \ - "0.024811,0.027053,0.031185,0.039372,0.055591,0.087786,0.151890", \ - "0.030589,0.032798,0.036874,0.044990,0.061169,0.093401,0.157626", \ - "0.038685,0.041294,0.045912,0.054487,0.070594,0.102715,0.166882", \ - "0.047102,0.050276,0.055837,0.066061,0.084442,0.117206,0.181167", \ - "0.057900,0.061590,0.068003,0.079715,0.100591,0.137217,0.202021", \ - "0.071834,0.076033,0.083266,0.096412,0.119651,0.160094,0.230158"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.004040,0.004530,0.005420,0.007144,0.010500,0.017146,0.030453", \ - "0.003910,0.004379,0.005295,0.007067,0.010465,0.017136,0.030453", \ - "0.006708,0.007091,0.007753,0.008938,0.011242,0.017128,0.030450", \ - "0.011103,0.011660,0.012605,0.014304,0.017215,0.022004,0.031684", \ - "0.016806,0.017545,0.018777,0.021002,0.024805,0.031059,0.040986", \ - "0.023910,0.024850,0.026418,0.029215,0.033913,0.041620,0.053863", \ - "0.032408,0.033608,0.035590,0.039036,0.044732,0.053864,0.068360"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.012454,0.014434,0.018116,0.025477,0.040181,0.069538,0.128226", \ - "0.012468,0.014437,0.018115,0.025473,0.040177,0.069544,0.128225", \ - "0.012552,0.014496,0.018140,0.025476,0.040182,0.069547,0.128235", \ - "0.015621,0.017330,0.020329,0.026584,0.040268,0.069562,0.128235", \ - "0.020397,0.022187,0.025481,0.031930,0.044190,0.070351,0.128223", \ - "0.026425,0.028257,0.031655,0.038397,0.051457,0.076145,0.129092", \ - "0.033554,0.035375,0.038860,0.045842,0.059479,0.085557,0.135182"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.005877,0.006508,0.007647,0.009831,0.014000,0.022010,0.037641", \ - "0.007467,0.008067,0.009169,0.011319,0.015466,0.023468,0.039096", \ - "0.011585,0.012460,0.013966,0.016627,0.021085,0.028942,0.044511", \ - "0.013923,0.015197,0.017416,0.021359,0.028018,0.038710,0.055266", \ - "0.013758,0.015445,0.018387,0.023636,0.032547,0.046951,0.069247", \ - "0.010799,0.012890,0.016560,0.023113,0.034303,0.052448,0.080681", \ - "0.004880,0.007363,0.011697,0.019535,0.032984,0.054913,0.089130"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.028798,0.031041,0.035170,0.043342,0.059530,0.091696,0.155818", \ - "0.030201,0.032454,0.036608,0.044829,0.061101,0.093376,0.157581", \ - "0.035902,0.038132,0.042248,0.050427,0.066680,0.099009,0.163333", \ - "0.044882,0.047342,0.051709,0.059910,0.076072,0.108290,0.172579", \ - "0.054634,0.057567,0.062781,0.072496,0.090311,0.122730,0.186823", \ - "0.066574,0.069961,0.075985,0.087088,0.107230,0.143118,0.207612", \ - "0.081611,0.085426,0.092175,0.104632,0.127018,0.166603,0.235904"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.004742,0.005255,0.006187,0.007994,0.011485,0.018222,0.031537", \ - "0.004578,0.005080,0.006052,0.007913,0.011450,0.018212,0.031536", \ - "0.007776,0.008099,0.008692,0.009795,0.012197,0.018203,0.031532", \ - "0.013374,0.013779,0.014522,0.015959,0.018592,0.023091,0.032755", \ - "0.020284,0.020786,0.021691,0.023474,0.026793,0.032574,0.042120", \ - "0.028625,0.029256,0.030365,0.032540,0.036548,0.043599,0.055323", \ - "0.038441,0.039259,0.040648,0.043294,0.048077,0.056353,0.070171"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.015227,0.017243,0.020965,0.028391,0.043188,0.072713,0.131567", \ - "0.015227,0.017243,0.020966,0.028394,0.043185,0.072694,0.131551", \ - "0.015251,0.017253,0.020972,0.028393,0.043190,0.072697,0.131553", \ - "0.017454,0.019120,0.022296,0.028969,0.043218,0.072701,0.131554", \ - "0.022078,0.023956,0.027357,0.033906,0.046331,0.073179,0.131528", \ - "0.027792,0.029763,0.033354,0.040298,0.053528,0.078396,0.132158", \ - "0.034518,0.036609,0.040352,0.047606,0.061532,0.087767,0.137786"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.00775029,0.00866128,0.0103391,0.0136660,0.0202766,0.0334510,0.0597695", \ - "0.00906693,0.00999239,0.0116955,0.0150557,0.0217060,0.0349142,0.0612523", \ - "0.0126526,0.0139644,0.0161976,0.0200859,0.0267572,0.0399345,0.0662657", \ - "0.0144201,0.0163307,0.0195992,0.0253147,0.0348259,0.0499029,0.0760118", \ - "0.0137776,0.0163136,0.0206231,0.0281658,0.0407487,0.0608164,0.0916687", \ - "0.0104042,0.0135961,0.0189603,0.0283520,0.0440139,0.0690331,0.107717", \ - "0.00411914,0.00789059,0.0143170,0.0255480,0.0443508,0.0743537,0.120797"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.0164348,0.0187238,0.0229019,0.0311042,0.0472776,0.0793819,0.143397", \ - "0.0170764,0.0193779,0.0236047,0.0319194,0.0482682,0.0805560,0.144709", \ - "0.0226937,0.0247565,0.0287050,0.0367457,0.0529065,0.0851699,0.149434", \ - "0.0317566,0.0346323,0.0395831,0.0483968,0.0639908,0.0955989,0.159408", \ - "0.0420282,0.0455698,0.0516796,0.0627406,0.0817908,0.113647,0.176380", \ - "0.0541094,0.0582815,0.0653897,0.0783602,0.101071,0.138898,0.201795", \ - "0.0682370,0.0729557,0.0810858,0.0958355,0.121759,0.165598,0.236457"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.00448394,0.00524943,0.00667615,0.00952643,0.0152245,0.0266173,0.0494103", \ - "0.00448323,0.00524937,0.00667464,0.00952636,0.0152254,0.0266183,0.0494086", \ - "0.00700331,0.00761746,0.00869735,0.0106477,0.0153859,0.0266188,0.0494081", \ - "0.0116680,0.0124761,0.0138797,0.0164039,0.0207923,0.0287853,0.0494092", \ - "0.0179391,0.0189613,0.0207073,0.0238438,0.0292544,0.0383008,0.0541345", \ - "0.0258931,0.0271248,0.0292631,0.0330519,0.0394921,0.0502452,0.0677663", \ - "0.0354433,0.0369728,0.0395681,0.0440752,0.0516591,0.0640731,0.0843054"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.0123897,0.0143966,0.0181015,0.0254785,0.0401801,0.0695534,0.128229", \ - "0.0123393,0.0143646,0.0180916,0.0254721,0.0401973,0.0695418,0.128223", \ - "0.0128741,0.0145646,0.0179438,0.0254257,0.0401810,0.0695486,0.128225", \ - "0.0179681,0.0196590,0.0226298,0.0279084,0.0404640,0.0695292,0.128217", \ - "0.0237044,0.0257103,0.0292519,0.0357624,0.0469492,0.0708410,0.128223", \ - "0.0307124,0.0328966,0.0368706,0.0443752,0.0576987,0.0800121,0.129332", \ - "0.0393087,0.0416427,0.0459114,0.0541202,0.0691173,0.0947840,0.138924"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.00908757,0.00999478,0.0116649,0.0149834,0.0215872,0.0347581,0.0610747", \ - "0.0104312,0.0113637,0.0130691,0.0164312,0.0230779,0.0362849,0.0626227", \ - "0.0134852,0.0146219,0.0166154,0.0203217,0.0271176,0.0404095,0.0668151", \ - "0.0157809,0.0174113,0.0201926,0.0250784,0.0334153,0.0478991,0.0745240", \ - "0.0158400,0.0180821,0.0218866,0.0284844,0.0393718,0.0568663,0.0861269", \ - "0.0131705,0.0160660,0.0209776,0.0294635,0.0433483,0.0651174,0.0991363", \ - "0.00753344,0.0111021,0.0171494,0.0275825,0.0446490,0.0712366,0.111652"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.0212330,0.0234599,0.0275649,0.0357000,0.0518451,0.0839783,0.148076", \ - "0.0220262,0.0242823,0.0284357,0.0366456,0.0528798,0.0851044,0.149254", \ - "0.0274578,0.0296182,0.0336441,0.0417048,0.0578200,0.0899951,0.154163", \ - "0.0383599,0.0409083,0.0453859,0.0534720,0.0690443,0.100656,0.164400", \ - "0.0505936,0.0537634,0.0593569,0.0696076,0.0875940,0.118830,0.181566", \ - "0.0646356,0.0683654,0.0749040,0.0869869,0.108481,0.144898,0.207139", \ - "0.0809739,0.0851868,0.0926391,0.106345,0.130898,0.173094,0.242232"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.00448290,0.00524962,0.00667637,0.00952531,0.0152257,0.0266174,0.0494092", \ - "0.00448151,0.00524844,0.00667630,0.00952530,0.0152232,0.0266192,0.0494121", \ - "0.00565661,0.00632058,0.00757097,0.0100363,0.0153209,0.0266207,0.0494087", \ - "0.00885741,0.00952777,0.0107303,0.0130705,0.0178182,0.0276780,0.0494160", \ - "0.0135853,0.0143775,0.0157619,0.0183100,0.0230038,0.0322747,0.0516983", \ - "0.0195349,0.0204924,0.0221406,0.0251241,0.0303640,0.0397247,0.0582204", \ - "0.0265743,0.0277122,0.0296738,0.0332275,0.0392925,0.0495062,0.0679351"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.0152122,0.0172272,0.0209600,0.0283929,0.0431946,0.0726900,0.131559", \ - "0.0151991,0.0172215,0.0209583,0.0283909,0.0431835,0.0726853,0.131559", \ - "0.0151082,0.0170358,0.0208718,0.0283750,0.0431804,0.0726807,0.131562", \ - "0.0194687,0.0211438,0.0238779,0.0298063,0.0432033,0.0726781,0.131571", \ - "0.0251457,0.0272070,0.0307678,0.0372375,0.0485188,0.0734818,0.131524", \ - "0.0313773,0.0338117,0.0380566,0.0457762,0.0591472,0.0817022,0.132276", \ - "0.0384680,0.0412104,0.0460286,0.0548709,0.0703728,0.0961742,0.141068"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.934974,0.955670,0.979307,1.002129,1.050019,1.090604,1.127024", \ - "0.888193,0.913943,0.933056,0.969771,1.015435,1.059159,1.097366", \ - "0.910885,0.922310,0.923608,0.952702,0.994077,1.034056,1.079508", \ - "1.214733,1.200245,1.187731,1.159125,1.113312,1.099849,1.119617", \ - "1.713142,1.695257,1.661767,1.612990,1.518275,1.393495,1.278136", \ - "2.450733,2.443325,2.414875,2.336717,2.192535,1.971009,1.698278", \ - "3.449915,3.440378,3.413383,3.340314,3.176271,2.866182,2.427954"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("4.046447,4.059856,4.066146,4.066480,4.085247,4.003361,4.103332", \ - "3.972998,3.986101,3.997468,4.014752,3.995554,3.984768,3.920294", \ - "3.911562,3.911046,3.914638,3.956682,3.971181,3.968167,4.031596", \ - "3.932897,3.930503,3.927418,3.929665,3.919443,3.910431,3.953852", \ - "3.974328,4.013107,4.054095,4.100103,4.015661,4.051251,3.902774", \ - "4.469441,4.455221,4.406899,4.389438,4.425544,4.240195,4.231118", \ - "5.417059,5.367318,5.282725,5.173662,4.995828,4.974331,4.687189"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.733103,0.767032,0.806397,0.867504,0.932967,0.990300,1.038312", \ - "0.704366,0.715917,0.762414,0.818522,0.883810,0.938978,0.994941", \ - "0.769340,0.777707,0.773160,0.809467,0.855087,0.912696,0.959029", \ - "1.072775,1.069463,1.051279,1.018412,0.983255,0.971673,0.995420", \ - "1.567261,1.553523,1.523923,1.461086,1.371786,1.247786,1.145040", \ - "2.279074,2.276262,2.231465,2.163622,2.019343,1.800944,1.546093", \ - "3.226896,3.210156,3.188442,3.119972,2.956296,2.649224,2.235830"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("3.671658,3.679119,3.686340,3.705339,3.722064,3.677169,3.687808", \ - "3.574843,3.608503,3.616703,3.616853,3.643684,3.623576,3.628449", \ - "3.539818,3.544778,3.543892,3.553534,3.534240,3.525119,3.654842", \ - "3.560947,3.556937,3.560299,3.563236,3.539015,3.491062,3.600531", \ - "3.591529,3.633098,3.656542,3.699887,3.669277,3.546089,3.548167", \ - "4.037231,4.029428,3.999675,3.992075,4.043801,3.923077,3.844113", \ - "4.914288,4.872108,4.805205,4.708462,4.579097,4.515829,4.175659"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.819994,0.831088,0.856704,0.893909,0.943803,0.994365,1.040884", \ - "0.759219,0.788044,0.810790,0.845170,0.896794,0.951228,0.994530", \ - "0.791632,0.790087,0.796456,0.829193,0.867708,0.919798,0.966934", \ - "1.092897,1.082171,1.060743,1.027965,0.983338,0.977832,0.998230", \ - "1.593767,1.569328,1.536780,1.470871,1.377255,1.253272,1.144185", \ - "2.340525,2.310619,2.267941,2.180074,2.024402,1.802043,1.542104", \ - "3.313684,3.284461,3.254805,3.156920,2.968902,2.649256,2.230636"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("4.454862,4.462587,4.492655,4.507144,4.511217,4.549489,4.502112", \ - "4.391318,4.400397,4.414918,4.436280,4.438137,4.509772,4.538302", \ - "4.331891,4.330851,4.360395,4.369786,4.420435,4.404658,4.444447", \ - "4.342516,4.348139,4.344981,4.361173,4.363821,4.392664,4.429122", \ - "4.408033,4.451156,4.506598,4.484055,4.462955,4.421816,4.428618", \ - "4.765190,4.771349,4.765490,4.807119,4.805214,4.646969,4.589023", \ - "5.586688,5.548068,5.504307,5.440965,5.348397,5.229022,5.050457"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.264025,0.266516,0.272397,0.280390,0.287929,0.292581,0.293772", \ - "0.235849,0.243646,0.264618,0.283050,0.299024,0.318041,0.330493", \ - "0.258785,0.255984,0.257273,0.270836,0.298811,0.323908,0.344175", \ - "0.537921,0.511902,0.475824,0.418921,0.362438,0.362308,0.369941", \ - "1.017393,0.983637,0.923539,0.822548,0.684043,0.537109,0.477095", \ - "1.692059,1.656768,1.596910,1.479707,1.264539,0.990758,0.742397", \ - "2.538877,2.526439,2.480889,2.377384,2.136000,1.735791,1.279676"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("2.552900,2.565538,2.572643,2.583789,2.622817,2.656234,2.658966", \ - "2.449220,2.463981,2.499098,2.541332,2.500239,2.598656,2.624393", \ - "2.470250,2.472162,2.468216,2.457533,2.502792,2.531902,2.570502", \ - "2.748023,2.743312,2.710293,2.647676,2.649312,2.589436,2.597670", \ - "3.131808,3.125017,3.140079,3.141049,3.031400,2.899545,2.673086", \ - "3.850903,3.825879,3.777330,3.751853,3.690763,3.403515,3.207658", \ - "4.944470,4.916315,4.838307,4.684919,4.503326,4.266742,3.942592"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.268147,0.272846,0.271607,0.282387,0.291119,0.293263,0.297436", \ - "0.234091,0.239863,0.255955,0.283193,0.301003,0.319423,0.327972", \ - "0.183105,0.186633,0.203273,0.233751,0.271644,0.308894,0.335572", \ - "0.344083,0.333904,0.313605,0.291496,0.265275,0.297096,0.329574", \ - "0.657903,0.633779,0.613049,0.555197,0.473530,0.382465,0.372574", \ - "1.151124,1.127011,1.095670,1.012584,0.883839,0.698982,0.530130", \ - "1.793055,1.772761,1.728331,1.666041,1.504171,1.242809,0.920585"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("3.269872,3.283237,3.283464,3.307919,3.300578,3.340060,3.303967", \ - "3.191261,3.200730,3.215542,3.251313,3.253535,3.301378,3.257002", \ - "3.214382,3.217135,3.226196,3.231981,3.195002,3.193283,3.266245", \ - "3.482987,3.475366,3.455664,3.427208,3.343819,3.306489,3.271635", \ - "3.899767,3.912782,3.920084,3.903716,3.814117,3.602604,3.554977", \ - "4.584156,4.556238,4.564580,4.532854,4.485178,4.289112,3.964529", \ - "5.619605,5.587411,5.562883,5.480658,5.365537,5.143904,4.747141"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI21_X2 - Cell Description : Combinational cell (AOI21_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI21_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 55.716720; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 27.167580; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 69.797200; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 28.372633; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 74.563940; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 32.855790; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 62.159361; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 62.156941; - } - leakage_power () { - when : "A & B1 & B2"; - value : 88.660317; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.136406; - fall_capacitance : 2.938330; - rise_capacitance : 3.136406; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.129761; - fall_capacitance : 2.725845; - rise_capacitance : 3.129761; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.482455; - fall_capacitance : 2.949222; - rise_capacitance : 3.482455; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 50.659200; - function : "!(A | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.006646,0.007517,0.008611,0.010724,0.014794,0.022699,0.038241", \ - "0.008145,0.009004,0.010093,0.012202,0.016272,0.024179,0.039721", \ - "0.012440,0.013619,0.015029,0.017555,0.021846,0.029663,0.045160", \ - "0.015104,0.016832,0.018916,0.022661,0.029086,0.039529,0.055917", \ - "0.015355,0.017651,0.020422,0.025404,0.033988,0.048034,0.070049", \ - "0.012884,0.015761,0.019223,0.025462,0.036224,0.053907,0.081717", \ - "0.007500,0.010916,0.015055,0.022553,0.035512,0.056867,0.090496"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.019366,0.021867,0.025074,0.031412,0.043969,0.068943,0.118762", \ - "0.020814,0.023322,0.026549,0.032939,0.045581,0.070650,0.120553", \ - "0.026819,0.029297,0.032483,0.038821,0.051429,0.076534,0.126532", \ - "0.035008,0.038260,0.042192,0.049374,0.062167,0.087191,0.137152", \ - "0.043422,0.047585,0.052595,0.061644,0.077424,0.104205,0.154025", \ - "0.054096,0.059039,0.064962,0.075700,0.094408,0.125932,0.178462", \ - "0.067715,0.073320,0.080074,0.092342,0.113733,0.149801,0.209374"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.004198,0.004851,0.005692,0.007364,0.010695,0.017363,0.030721", \ - "0.004083,0.004778,0.005650,0.007348,0.010692,0.017363,0.030720", \ - "0.006491,0.007045,0.007707,0.008902,0.011333,0.017370,0.030720", \ - "0.010652,0.011478,0.012444,0.014185,0.017150,0.021988,0.031858", \ - "0.016018,0.017107,0.018400,0.020709,0.024622,0.030999,0.040995", \ - "0.022665,0.024056,0.025710,0.028634,0.033525,0.041400,0.053806", \ - "0.030635,0.032385,0.034442,0.038052,0.043988,0.053403,0.068161"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.009970,0.012140,0.014971,0.020622,0.031879,0.054348,0.099183", \ - "0.009977,0.012143,0.014974,0.020623,0.031889,0.054339,0.099173", \ - "0.010159,0.012237,0.015008,0.020630,0.031878,0.054340,0.099189", \ - "0.014176,0.015954,0.018204,0.022520,0.032324,0.054327,0.099177", \ - "0.019845,0.021796,0.024282,0.029047,0.037989,0.056217,0.099170", \ - "0.026478,0.028540,0.031231,0.036486,0.046372,0.064470,0.101568", \ - "0.033928,0.036071,0.038937,0.044613,0.055465,0.075301,0.110894"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.005486,0.006390,0.007523,0.009694,0.013837,0.021810,0.037398", \ - "0.007084,0.007940,0.009037,0.011175,0.015298,0.023264,0.038849", \ - "0.010895,0.012186,0.013717,0.016406,0.020899,0.028740,0.044267", \ - "0.012813,0.014710,0.016962,0.020952,0.027667,0.038415,0.055024", \ - "0.012189,0.014704,0.017695,0.023016,0.032015,0.046504,0.068889", \ - "0.008704,0.011853,0.015583,0.022241,0.033550,0.051831,0.080175", \ - "0.002175,0.005920,0.010375,0.018359,0.031981,0.054107,0.088462"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.021993,0.025190,0.029303,0.037437,0.053570,0.085684,0.149773", \ - "0.023328,0.026535,0.030672,0.038869,0.055109,0.087348,0.151533", \ - "0.029043,0.032201,0.036281,0.044408,0.060608,0.092884,0.157201", \ - "0.036733,0.040522,0.045176,0.053820,0.069952,0.102116,0.166371", \ - "0.044918,0.049519,0.055084,0.065343,0.083785,0.116619,0.180661", \ - "0.055680,0.061000,0.067408,0.079128,0.100018,0.136694,0.201588", \ - "0.069570,0.075573,0.082791,0.095944,0.119188,0.159637,0.229762"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.003763,0.004459,0.005337,0.007044,0.010386,0.017024,0.030336", \ - "0.003663,0.004317,0.005223,0.006976,0.010357,0.017017,0.030336", \ - "0.006474,0.007027,0.007692,0.008884,0.011172,0.017027,0.030335", \ - "0.010763,0.011567,0.012513,0.014219,0.017144,0.021953,0.031599", \ - "0.016357,0.017412,0.018664,0.020899,0.024723,0.030993,0.040926", \ - "0.023347,0.024678,0.026275,0.029089,0.033807,0.041518,0.053791", \ - "0.031742,0.033437,0.035420,0.038889,0.044599,0.053764,0.068270"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.011499,0.014333,0.018015,0.025391,0.040121,0.069512,0.128288", \ - "0.011511,0.014338,0.018021,0.025392,0.040119,0.069520,0.128297", \ - "0.011630,0.014392,0.018042,0.025392,0.040111,0.069535,0.128285", \ - "0.014858,0.017318,0.020349,0.026577,0.040226,0.069516,0.128296", \ - "0.019558,0.022133,0.025449,0.031915,0.044219,0.070360,0.128286", \ - "0.025470,0.028092,0.031523,0.038289,0.051393,0.076177,0.129194", \ - "0.032428,0.035090,0.038615,0.045633,0.059337,0.085516,0.135285"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.005616,0.006517,0.007646,0.009814,0.013956,0.021935,0.037537", \ - "0.007206,0.008062,0.009158,0.011294,0.015417,0.023389,0.038989", \ - "0.011100,0.012376,0.013887,0.016555,0.021022,0.028864,0.044406", \ - "0.013141,0.015011,0.017237,0.021193,0.027871,0.038582,0.055163", \ - "0.012680,0.015157,0.018110,0.023375,0.032317,0.046747,0.069087", \ - "0.009417,0.012508,0.016179,0.022757,0.033982,0.052181,0.080460", \ - "0.003200,0.006850,0.011217,0.019083,0.032590,0.054579,0.088853"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.027268,0.030485,0.034617,0.042793,0.058986,0.091166,0.155289", \ - "0.028673,0.031900,0.036056,0.044282,0.060560,0.092847,0.157055", \ - "0.034315,0.037503,0.041621,0.049804,0.066064,0.098398,0.162730", \ - "0.043038,0.046577,0.050987,0.059219,0.075385,0.107615,0.171909", \ - "0.052586,0.056818,0.062031,0.071784,0.089639,0.122086,0.186181", \ - "0.064514,0.069415,0.075372,0.086469,0.106628,0.142562,0.207071", \ - "0.079510,0.085025,0.091730,0.104136,0.126517,0.166097,0.235414"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.004415,0.005141,0.006063,0.007859,0.011348,0.018093,0.031418", \ - "0.004276,0.004981,0.005940,0.007788,0.011319,0.018086,0.031419", \ - "0.007534,0.008005,0.008599,0.009713,0.012107,0.018093,0.031418", \ - "0.013089,0.013669,0.014410,0.015864,0.018517,0.023042,0.032671", \ - "0.019953,0.020653,0.021570,0.023363,0.026691,0.032505,0.042067", \ - "0.028241,0.029095,0.030231,0.032421,0.036442,0.043506,0.055260", \ - "0.038017,0.039117,0.040511,0.043166,0.047963,0.056261,0.070096"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.014250,0.017127,0.020853,0.028284,0.043085,0.072591,0.131457", \ - "0.014252,0.017130,0.020852,0.028284,0.043085,0.072610,0.131462", \ - "0.014283,0.017140,0.020857,0.028281,0.043077,0.072596,0.131451", \ - "0.016731,0.019125,0.022275,0.028916,0.043102,0.072588,0.131451", \ - "0.021217,0.023908,0.027310,0.033868,0.046296,0.073116,0.131446", \ - "0.026784,0.029625,0.033217,0.040175,0.053439,0.078352,0.132099", \ - "0.033354,0.036319,0.040093,0.047398,0.061348,0.087675,0.137762"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.00738279,0.00868908,0.0103686,0.0136970,0.0203083,0.0334849,0.0598030", \ - "0.00869401,0.0100215,0.0117261,0.0150875,0.0217376,0.0349476,0.0612880", \ - "0.0120701,0.0139822,0.0162169,0.0201097,0.0267836,0.0399615,0.0662930", \ - "0.0135521,0.0163363,0.0196060,0.0253277,0.0348456,0.0499257,0.0760396", \ - "0.0126273,0.0163164,0.0206270,0.0281724,0.0407581,0.0608365,0.0916934", \ - "0.00897421,0.0135794,0.0189429,0.0283480,0.0440199,0.0690497,0.107737", \ - "0.00236983,0.00785327,0.0142640,0.0255315,0.0443527,0.0743658,0.120819"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.0156164,0.0189053,0.0230885,0.0312983,0.0474940,0.0796409,0.143745", \ - "0.0162438,0.0195437,0.0237741,0.0321035,0.0484717,0.0807982,0.145042", \ - "0.0219448,0.0248940,0.0288611,0.0369155,0.0530968,0.0854136,0.149763", \ - "0.0305465,0.0347254,0.0396880,0.0485366,0.0641790,0.0958328,0.159737", \ - "0.0405062,0.0456219,0.0517503,0.0628438,0.0819483,0.113870,0.176705", \ - "0.0523277,0.0582806,0.0654299,0.0784429,0.101201,0.139105,0.202113", \ - "0.0661744,0.0729494,0.0810927,0.0958986,0.121874,0.165795,0.236760"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.00413492,0.00523226,0.00665743,0.00950993,0.0152120,0.0266125,0.0494120", \ - "0.00413420,0.00523137,0.00665793,0.00951150,0.0152121,0.0266122,0.0494119", \ - "0.00671259,0.00760016,0.00867932,0.0106346,0.0153738,0.0266137,0.0494134", \ - "0.0112820,0.0124542,0.0138575,0.0163841,0.0207754,0.0287782,0.0494137", \ - "0.0174413,0.0189246,0.0206773,0.0238199,0.0292303,0.0382867,0.0541326", \ - "0.0252420,0.0270595,0.0292092,0.0330076,0.0394601,0.0502144,0.0677573", \ - "0.0346928,0.0368892,0.0394720,0.0440171,0.0516071,0.0640272,0.0842718"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.0114526,0.0143101,0.0180125,0.0253910,0.0401100,0.0695171,0.128277", \ - "0.0114066,0.0142857,0.0180002,0.0253918,0.0401284,0.0695167,0.128284", \ - "0.0121672,0.0145332,0.0178906,0.0253560,0.0401133,0.0695163,0.128285", \ - "0.0171740,0.0196219,0.0226072,0.0278769,0.0404289,0.0695097,0.128286", \ - "0.0227447,0.0256265,0.0292021,0.0357301,0.0469255,0.0708395,0.128274", \ - "0.0296006,0.0327732,0.0367894,0.0443214,0.0576714,0.0800290,0.129400", \ - "0.0381102,0.0414836,0.0458030,0.0540399,0.0690793,0.0947843,0.138997"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.00868639,0.00998576,0.0116571,0.0149773,0.0215812,0.0347537,0.0610704", \ - "0.0100122,0.0113510,0.0130588,0.0164233,0.0230711,0.0362788,0.0626204", \ - "0.0129429,0.0145912,0.0165876,0.0202981,0.0271006,0.0403956,0.0668023", \ - "0.0149828,0.0173615,0.0201493,0.0250418,0.0333845,0.0478769,0.0745058", \ - "0.0147342,0.0180176,0.0218282,0.0284372,0.0393292,0.0568315,0.0861032", \ - "0.0117464,0.0159946,0.0209109,0.0294021,0.0433006,0.0650773,0.0990998", \ - "0.00579651,0.0110306,0.0170684,0.0275163,0.0445940,0.0711961,0.111608"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.0203857,0.0235767,0.0276837,0.0358222,0.0519755,0.0841201,0.148209", \ - "0.0211524,0.0243852,0.0285404,0.0367533,0.0529971,0.0852299,0.149386", \ - "0.0266141,0.0297044,0.0337334,0.0417984,0.0579234,0.0901092,0.154287", \ - "0.0372540,0.0409541,0.0454422,0.0535532,0.0691360,0.100763,0.164522", \ - "0.0491678,0.0537600,0.0593572,0.0696300,0.0876567,0.118932,0.181692", \ - "0.0629380,0.0683145,0.0748596,0.0869800,0.108503,0.144962,0.207266", \ - "0.0790282,0.0851298,0.0925493,0.106294,0.130889,0.173138,0.242329"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.00413589,0.00523144,0.00665944,0.00951014,0.0152125,0.0266134,0.0494125", \ - "0.00413632,0.00523072,0.00665938,0.00951123,0.0152123,0.0266126,0.0494148", \ - "0.00535520,0.00630351,0.00755438,0.0100225,0.0153084,0.0266136,0.0494134", \ - "0.00854057,0.00951182,0.0107090,0.0130554,0.0178030,0.0276730,0.0494217", \ - "0.0132065,0.0143490,0.0157358,0.0182881,0.0229842,0.0322619,0.0517082", \ - "0.0190789,0.0204482,0.0221005,0.0250966,0.0303292,0.0396953,0.0582196", \ - "0.0260195,0.0276316,0.0296206,0.0331859,0.0392617,0.0494723,0.0679203"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.0142419,0.0171221,0.0208506,0.0282805,0.0430793,0.0725932,0.131451", \ - "0.0142319,0.0171157,0.0208519,0.0282805,0.0430832,0.0725864,0.131462", \ - "0.0142420,0.0169647,0.0207862,0.0282709,0.0430860,0.0725821,0.131454", \ - "0.0186885,0.0211038,0.0238387,0.0297465,0.0431150,0.0725733,0.131478", \ - "0.0241733,0.0271301,0.0307127,0.0371883,0.0484718,0.0734060,0.131448", \ - "0.0302062,0.0337124,0.0379737,0.0457110,0.0590890,0.0816384,0.132221", \ - "0.0371072,0.0410816,0.0459203,0.0547769,0.0702952,0.0961273,0.141023"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("1.783871,1.829377,1.867117,1.929636,1.995473,2.071186,2.144296", \ - "1.707565,1.732773,1.793503,1.856436,1.927901,2.008591,2.086112", \ - "1.763608,1.753145,1.757178,1.814670,1.888300,1.978388,2.052940", \ - "2.359855,2.322626,2.275318,2.219789,2.142804,2.099315,2.133077", \ - "3.366978,3.307209,3.246873,3.132946,2.947886,2.684665,2.455288", \ - "4.852934,4.827216,4.745538,4.597058,4.292538,3.839951,3.293278", \ - "6.800388,6.817680,6.757840,6.604681,6.262806,5.635598,4.749819"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("7.852844,7.909687,7.920445,7.909403,7.936568,7.953858,7.964003", \ - "7.706716,7.766192,7.783466,7.805691,7.856063,7.906878,7.702729", \ - "7.633399,7.662158,7.686220,7.681249,7.691235,7.706030,7.870815", \ - "7.677727,7.658514,7.658696,7.688762,7.710523,7.740920,7.747838", \ - "7.783264,7.860425,7.934628,8.000117,7.873539,7.884528,7.554628", \ - "8.855698,8.797910,8.693675,8.701374,8.625463,8.481012,8.296176", \ - "10.754640,10.646650,10.472170,10.255060,9.977265,9.797643,9.299810"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("1.409769,1.480769,1.570886,1.678283,1.788256,1.878177,1.969320", \ - "1.341998,1.413142,1.460731,1.570953,1.683794,1.789710,1.882784", \ - "1.491778,1.485051,1.488933,1.542644,1.636502,1.730208,1.824327", \ - "2.104060,2.067643,2.041086,1.980958,1.891698,1.846338,1.895880", \ - "3.088438,3.043136,2.977376,2.860960,2.666539,2.424849,2.191157", \ - "4.525519,4.492497,4.406846,4.256619,3.968507,3.518851,2.996628", \ - "6.415296,6.384720,6.319277,6.165629,5.842154,5.229312,4.373069"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("7.133774,7.152055,7.148196,7.218419,7.242349,7.183158,7.180741", \ - "6.940959,7.007727,7.014798,7.041656,7.093865,7.156065,7.062178", \ - "6.879736,6.885824,6.881534,6.911473,6.985960,7.053378,6.877104", \ - "6.935102,6.937423,6.927554,6.946898,6.949378,6.947937,6.857723", \ - "7.026934,7.100213,7.203705,7.238092,7.128230,7.128198,6.873835", \ - "7.959679,7.944496,7.878090,7.853670,7.943612,7.735414,7.524974", \ - "9.814296,9.659004,9.517277,9.308283,9.079066,8.944671,8.187336"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("1.549350,1.613337,1.659958,1.715955,1.808669,1.896879,1.973975", \ - "1.445076,1.478383,1.560290,1.621280,1.716230,1.802051,1.886132", \ - "1.516891,1.512507,1.532963,1.579559,1.656845,1.747021,1.826522", \ - "2.130569,2.078957,2.051167,1.980434,1.887048,1.857799,1.895486", \ - "3.152976,3.073687,3.002254,2.877426,2.672762,2.410314,2.197017", \ - "4.647819,4.561415,4.472238,4.287314,3.969627,3.523232,2.991435", \ - "6.599519,6.541604,6.457855,6.255023,5.870570,5.221851,4.370948"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("8.710293,8.718386,8.784597,8.817363,8.846443,8.847156,8.705849", \ - "8.575714,8.594447,8.659110,8.683369,8.700678,8.867240,8.904836", \ - "8.449309,8.474236,8.519040,8.571777,8.509197,8.658360,8.722906", \ - "8.448889,8.504541,8.499203,8.520187,8.561619,8.644141,8.702202", \ - "8.644820,8.725223,8.847659,8.825415,8.779832,8.718664,8.714322", \ - "9.405393,9.428537,9.404235,9.423888,9.507390,9.196808,9.041304", \ - "11.116230,11.011480,10.917310,10.811000,10.613570,10.496180,9.999478"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.529179,0.541568,0.549974,0.560058,0.582014,0.587610,0.599804", \ - "0.467155,0.505872,0.531545,0.569957,0.606841,0.641590,0.665847", \ - "0.533612,0.510875,0.522075,0.549967,0.599816,0.655122,0.689832", \ - "1.099656,1.029934,0.942617,0.829859,0.730000,0.730348,0.740679", \ - "2.030833,1.968057,1.844904,1.644265,1.365306,1.071647,0.955760", \ - "3.408849,3.327203,3.189375,2.953678,2.524164,1.975358,1.488721", \ - "5.126484,5.070026,4.965747,4.757034,4.264850,3.463484,2.553663"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("5.039957,5.120400,5.140603,5.195782,5.252022,5.258727,5.259683", \ - "4.832132,4.917824,4.943572,5.002238,5.056425,5.209566,5.191870", \ - "4.910638,4.941741,4.925287,4.928509,5.014353,5.069109,5.085540", \ - "5.499355,5.460763,5.405728,5.307247,5.279580,5.159387,5.140769", \ - "6.227140,6.252869,6.265779,6.242952,6.046915,5.766893,5.290391", \ - "7.705346,7.629074,7.532082,7.416127,7.338449,6.899100,6.442673", \ - "9.913845,9.764326,9.603990,9.368346,8.975443,8.643538,7.825999"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("0.528459,0.548611,0.551039,0.569142,0.578923,0.590227,0.600251", \ - "0.458742,0.493646,0.523307,0.571571,0.616637,0.642393,0.667346", \ - "0.360626,0.371805,0.407226,0.460008,0.548531,0.615962,0.672247", \ - "0.692791,0.660967,0.628026,0.583141,0.531147,0.592991,0.661811", \ - "1.340617,1.286226,1.213493,1.108681,0.945725,0.762917,0.747950", \ - "2.320723,2.250238,2.181070,2.015426,1.769991,1.403303,1.061021", \ - "3.557128,3.548255,3.462217,3.321977,3.022289,2.486361,1.837705"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); - values ("6.500425,6.509080,6.561905,6.581651,6.646946,6.560257,6.576087", \ - "6.326137,6.397627,6.407897,6.468964,6.553620,6.455706,6.603650", \ - "6.378493,6.429807,6.422182,6.430027,6.416630,6.473063,6.410029", \ - "6.922434,6.944704,6.904715,6.795206,6.709699,6.659790,6.593932", \ - "7.759375,7.767772,7.855662,7.770935,7.514428,7.189307,7.040348", \ - "9.117234,9.099507,9.067135,9.027722,8.896075,8.558330,7.905513", \ - "11.212940,11.161240,11.108820,10.923970,10.713440,10.327660,9.417667"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI21_X4 - Cell Description : Combinational cell (AOI21_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI21_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 111.433338; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 54.335270; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 139.594290; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 56.745259; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 149.127880; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 65.711426; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 124.318557; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 124.313805; - } - leakage_power () { - when : "A & B1 & B2"; - value : 177.320219; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.139254; - fall_capacitance : 5.766105; - rise_capacitance : 6.139254; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.401877; - fall_capacitance : 5.613087; - rise_capacitance : 6.401877; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.713197; - fall_capacitance : 5.639575; - rise_capacitance : 6.713197; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 101.013000; - function : "!(A | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.006689,0.007699,0.008799,0.010919,0.014998,0.022910,0.038450", \ - "0.008186,0.009182,0.010275,0.012390,0.016469,0.024382,0.039923", \ - "0.012492,0.013851,0.015256,0.017767,0.022039,0.029860,0.045356", \ - "0.015169,0.017163,0.019230,0.022953,0.029344,0.039750,0.056109", \ - "0.015437,0.018088,0.020827,0.025776,0.034311,0.048305,0.070269", \ - "0.012975,0.016292,0.019714,0.025908,0.036612,0.054220,0.081967", \ - "0.007577,0.011528,0.015632,0.023074,0.035969,0.057230,0.090778"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.019480,0.022365,0.025579,0.031930,0.044507,0.069519,0.119414", \ - "0.020923,0.023815,0.027049,0.033451,0.046113,0.071219,0.121194", \ - "0.026941,0.029798,0.032995,0.039343,0.051975,0.077117,0.127189", \ - "0.035092,0.038825,0.042741,0.049901,0.062692,0.087759,0.137792", \ - "0.043372,0.048160,0.053154,0.062180,0.077926,0.104698,0.154597", \ - "0.053874,0.059549,0.065458,0.076180,0.094851,0.126358,0.178933", \ - "0.067357,0.073812,0.080553,0.092781,0.114131,0.150164,0.209744"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.004198,0.004949,0.005792,0.007464,0.010795,0.017461,0.030815", \ - "0.004073,0.004872,0.005746,0.007446,0.010791,0.017461,0.030815", \ - "0.006480,0.007111,0.007767,0.008953,0.011404,0.017460,0.030813", \ - "0.010625,0.011556,0.012525,0.014253,0.017208,0.022036,0.031936", \ - "0.015967,0.017206,0.018497,0.020794,0.024692,0.031042,0.041040", \ - "0.022594,0.024166,0.025818,0.028730,0.033593,0.041459,0.053846", \ - "0.030548,0.032503,0.034565,0.038159,0.044069,0.053470,0.068202"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.009801,0.012303,0.015138,0.020805,0.032090,0.054594,0.099522", \ - "0.009807,0.012305,0.015142,0.020807,0.032084,0.054598,0.099522", \ - "0.009994,0.012388,0.015174,0.020812,0.032082,0.054583,0.099542", \ - "0.013975,0.016036,0.018272,0.022621,0.032514,0.054597,0.099538", \ - "0.019651,0.021892,0.024370,0.029133,0.038102,0.056417,0.099533", \ - "0.026338,0.028697,0.031382,0.036620,0.046504,0.064640,0.101891", \ - "0.033886,0.036299,0.039148,0.044804,0.055621,0.075476,0.111167"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.005496,0.006544,0.007682,0.009862,0.014017,0.022004,0.037595", \ - "0.007102,0.008093,0.009194,0.011339,0.015473,0.023451,0.039040", \ - "0.010913,0.012404,0.013923,0.016601,0.021079,0.028922,0.044451", \ - "0.012833,0.015017,0.017254,0.021222,0.027907,0.038627,0.055204", \ - "0.012205,0.015109,0.018074,0.023363,0.032318,0.046752,0.069095", \ - "0.008712,0.012347,0.016044,0.022658,0.033914,0.052132,0.080414", \ - "0.002178,0.006496,0.010911,0.018842,0.032400,0.054447,0.088725"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.022049,0.025739,0.029855,0.037999,0.054151,0.086298,0.150456", \ - "0.023381,0.027077,0.031220,0.039430,0.055686,0.087953,0.152209", \ - "0.029132,0.032773,0.036859,0.044998,0.061217,0.093526,0.157906", \ - "0.036815,0.041165,0.045809,0.054424,0.070577,0.102776,0.167097", \ - "0.044883,0.050154,0.055716,0.065955,0.084376,0.117223,0.181334", \ - "0.055449,0.061566,0.067961,0.079664,0.100532,0.137203,0.202162", \ - "0.069213,0.076109,0.083312,0.096430,0.119635,0.160065,0.230215"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.003730,0.004538,0.005421,0.007135,0.010482,0.017122,0.030429", \ - "0.003635,0.004391,0.005302,0.007062,0.010451,0.017114,0.030431", \ - "0.006457,0.007090,0.007750,0.008933,0.011236,0.017116,0.030427", \ - "0.010736,0.011647,0.012592,0.014292,0.017211,0.022006,0.031673", \ - "0.016317,0.017514,0.018762,0.020989,0.024786,0.031038,0.040975", \ - "0.023298,0.024800,0.026394,0.029191,0.033889,0.041584,0.053826", \ - "0.031664,0.033561,0.035550,0.039004,0.044698,0.053829,0.068310"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.011244,0.014495,0.018191,0.025568,0.040314,0.069760,0.128615", \ - "0.011257,0.014498,0.018189,0.025571,0.040312,0.069759,0.128604", \ - "0.011384,0.014555,0.018215,0.025575,0.040310,0.069759,0.128598", \ - "0.014580,0.017411,0.020434,0.026700,0.040412,0.069769,0.128603", \ - "0.019265,0.022225,0.025542,0.032014,0.044342,0.070586,0.128609", \ - "0.025239,0.028231,0.031658,0.038420,0.051529,0.076351,0.129491", \ - "0.032253,0.035268,0.038794,0.045802,0.059488,0.085684,0.135553"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.005629,0.006673,0.007807,0.009984,0.014139,0.022131,0.037738", \ - "0.007225,0.008216,0.009316,0.011460,0.015595,0.023579,0.039184", \ - "0.011121,0.012592,0.014094,0.016750,0.021203,0.029049,0.044595", \ - "0.013167,0.015320,0.017530,0.021463,0.028114,0.038793,0.055348", \ - "0.012709,0.015562,0.018487,0.023722,0.032621,0.047004,0.069299", \ - "0.009442,0.013001,0.016636,0.023175,0.034349,0.052481,0.080706", \ - "0.003224,0.007417,0.011744,0.019567,0.033010,0.054922,0.089125"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.027359,0.031064,0.035201,0.043385,0.059593,0.091805,0.155986", \ - "0.028754,0.032473,0.036633,0.044868,0.061163,0.093476,0.157748", \ - "0.034430,0.038104,0.042228,0.050421,0.066698,0.099066,0.163454", \ - "0.043159,0.047220,0.051620,0.059846,0.076036,0.108298,0.172651", \ - "0.052598,0.057467,0.062660,0.072399,0.090237,0.122716,0.186873", \ - "0.064361,0.069997,0.075931,0.087011,0.107162,0.143091,0.207673", \ - "0.079232,0.085583,0.092249,0.104631,0.126972,0.166540,0.235886"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.004401,0.005241,0.006167,0.007971,0.011463,0.018202,0.031522", \ - "0.004262,0.005076,0.006040,0.007895,0.011431,0.018194,0.031522", \ - "0.007536,0.008076,0.008664,0.009775,0.012186,0.018194,0.031518", \ - "0.013096,0.013750,0.014491,0.015935,0.018576,0.023097,0.032752", \ - "0.019960,0.020747,0.021667,0.023454,0.026780,0.032560,0.042122", \ - "0.028244,0.029200,0.030339,0.032520,0.036534,0.043575,0.055310", \ - "0.038017,0.039227,0.040627,0.043280,0.048061,0.056339,0.070149"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.014009,0.017320,0.021047,0.028486,0.043298,0.072841,0.131789", \ - "0.014013,0.017317,0.021051,0.028482,0.043300,0.072851,0.131777", \ - "0.014045,0.017335,0.021054,0.028482,0.043299,0.072839,0.131786", \ - "0.016468,0.019234,0.022407,0.029082,0.043323,0.072838,0.131778", \ - "0.020935,0.024032,0.027432,0.033991,0.046452,0.073357,0.131776", \ - "0.026528,0.029774,0.033371,0.040321,0.053581,0.078553,0.132434", \ - "0.033138,0.036519,0.040286,0.047574,0.061516,0.087855,0.138054"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.00723524,0.00873884,0.0104161,0.0137397,0.0203403,0.0334944,0.0597674", \ - "0.00854380,0.0100711,0.0117727,0.0151298,0.0217686,0.0349562,0.0612500", \ - "0.0118425,0.0140503,0.0162760,0.0201556,0.0268168,0.0399720,0.0662581", \ - "0.0132175,0.0164344,0.0196842,0.0253876,0.0348817,0.0499359,0.0760047", \ - "0.0121764,0.0164326,0.0207173,0.0282418,0.0407963,0.0608366,0.0916532", \ - "0.00838878,0.0137015,0.0190444,0.0284221,0.0440584,0.0690423,0.107674", \ - "0.00165410,0.00797019,0.0143579,0.0256013,0.0443785,0.0743403,0.120729"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.0151837,0.0189706,0.0231560,0.0313735,0.0475832,0.0797610,0.143934", \ - "0.0158214,0.0196186,0.0238539,0.0321899,0.0485761,0.0809347,0.145242", \ - "0.0215911,0.0249723,0.0289410,0.0370058,0.0532096,0.0855536,0.149971", \ - "0.0300504,0.0348611,0.0398086,0.0486406,0.0642851,0.0959814,0.159947", \ - "0.0399311,0.0458114,0.0519282,0.0630031,0.0820836,0.114011,0.176916", \ - "0.0516779,0.0585240,0.0656581,0.0786501,0.101382,0.139269,0.202319", \ - "0.0654509,0.0732407,0.0813744,0.0961560,0.122104,0.166001,0.236965"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.00401433,0.00527155,0.00669850,0.00955010,0.0152516,0.0266516,0.0494451", \ - "0.00401325,0.00527117,0.00669890,0.00955091,0.0152505,0.0266499,0.0494467", \ - "0.00660526,0.00762741,0.00870636,0.0106642,0.0154103,0.0266498,0.0494485", \ - "0.0111352,0.0124830,0.0138818,0.0164073,0.0207965,0.0288143,0.0494469", \ - "0.0172497,0.0189529,0.0207041,0.0238348,0.0292443,0.0383018,0.0541826", \ - "0.0250199,0.0270779,0.0292313,0.0330310,0.0394682,0.0502151,0.0677692", \ - "0.0344066,0.0368901,0.0394828,0.0440326,0.0516158,0.0640185,0.0842594"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.0111643,0.0144684,0.0181732,0.0255691,0.0403231,0.0697604,0.128597", \ - "0.0111058,0.0144420,0.0181667,0.0255656,0.0403134,0.0697536,0.128609", \ - "0.0119391,0.0146471,0.0180321,0.0255252,0.0403203,0.0697530,0.128609", \ - "0.0169020,0.0197225,0.0227067,0.0279967,0.0406014,0.0697534,0.128597", \ - "0.0224198,0.0257315,0.0293130,0.0358450,0.0470561,0.0710418,0.128604", \ - "0.0292473,0.0328824,0.0369070,0.0444437,0.0578006,0.0801920,0.129699", \ - "0.0377245,0.0415801,0.0459226,0.0541684,0.0692112,0.0949414,0.139254"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.00856042,0.0100536,0.0117244,0.0150395,0.0216334,0.0347834,0.0610532", \ - "0.00988078,0.0114192,0.0131251,0.0164845,0.0231223,0.0363079,0.0626010", \ - "0.0127725,0.0146711,0.0166605,0.0203615,0.0271526,0.0404232,0.0667825", \ - "0.0147216,0.0174674,0.0202417,0.0251131,0.0334327,0.0478987,0.0744804", \ - "0.0143614,0.0181502,0.0219410,0.0285227,0.0393778,0.0568412,0.0860630", \ - "0.0112490,0.0161534,0.0210399,0.0294987,0.0433503,0.0650727,0.0990380", \ - "0.00515423,0.0112040,0.0172090,0.0276141,0.0446451,0.0711807,0.111513"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.0200116,0.0236879,0.0277996,0.0359469,0.0521176,0.0842901,0.148444", \ - "0.0207757,0.0245014,0.0286611,0.0368834,0.0531452,0.0854086,0.149622", \ - "0.0262612,0.0298172,0.0338522,0.0419292,0.0580704,0.0902886,0.154527", \ - "0.0368495,0.0411107,0.0455845,0.0536797,0.0692790,0.100952,0.164755", \ - "0.0486960,0.0539757,0.0595591,0.0698144,0.0878144,0.119106,0.181925", \ - "0.0624017,0.0685846,0.0751181,0.0872127,0.108721,0.145143,0.207501", \ - "0.0784519,0.0854611,0.0928592,0.106583,0.131152,0.173375,0.242554"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.00401573,0.00527140,0.00669878,0.00955024,0.0152506,0.0266496,0.0494458", \ - "0.00401554,0.00527282,0.00669908,0.00955054,0.0152499,0.0266515,0.0494468", \ - "0.00524435,0.00633682,0.00759080,0.0100581,0.0153471,0.0266500,0.0494459", \ - "0.00843252,0.00954632,0.0107373,0.0130801,0.0178364,0.0277104,0.0494586", \ - "0.0130700,0.0143861,0.0157705,0.0183134,0.0230108,0.0322940,0.0517454", \ - "0.0189160,0.0204848,0.0221427,0.0251295,0.0303462,0.0397119,0.0582482", \ - "0.0258302,0.0276759,0.0296706,0.0332196,0.0392740,0.0494825,0.0679310"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("0.0139905,0.0173075,0.0210453,0.0284810,0.0433018,0.0728407,0.131780", \ - "0.0139747,0.0173014,0.0210409,0.0284798,0.0433017,0.0728426,0.131787", \ - "0.0139916,0.0171369,0.0209732,0.0284690,0.0432966,0.0728437,0.131793", \ - "0.0184493,0.0212303,0.0239665,0.0299056,0.0433240,0.0728498,0.131793", \ - "0.0238629,0.0272708,0.0308499,0.0373209,0.0486211,0.0736469,0.131775", \ - "0.0298563,0.0338703,0.0381253,0.0458564,0.0592360,0.0818361,0.132541", \ - "0.0367094,0.0412481,0.0460923,0.0549425,0.0704506,0.0962970,0.141295"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("3.561669,3.650091,3.728672,3.860891,4.003548,4.139738,4.276708", \ - "3.379411,3.456439,3.573714,3.708831,3.863435,4.009390,4.170264", \ - "3.473496,3.496583,3.502447,3.625684,3.774736,3.930754,4.089655", \ - "4.701745,4.632412,4.545641,4.447606,4.260146,4.178710,4.246823", \ - "6.710982,6.578733,6.469048,6.230463,5.840710,5.344633,4.901384", \ - "9.735094,9.590706,9.460866,9.148172,8.562641,7.652873,6.570628", \ - "13.634270,13.554190,13.463750,13.147700,12.492150,11.230570,9.462004"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("16.136340,16.203940,16.256540,16.305220,16.218300,16.112190,15.750100", \ - "15.773320,15.923470,15.957040,16.068320,16.194940,16.277880,16.333480", \ - "15.628130,15.694910,15.661000,15.785040,15.766610,15.764440,15.861480", \ - "15.707860,15.697130,15.697990,15.745910,15.671520,15.547890,15.618630", \ - "15.874820,16.075360,16.080350,16.368890,16.240710,16.129710,16.065040", \ - "18.026940,17.889320,17.737090,17.576810,17.716120,17.416980,17.021150", \ - "21.817980,21.551410,21.210300,20.752400,20.003550,19.853470,18.881260"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("2.819351,2.973563,3.145972,3.308671,3.557023,3.765609,3.931987", \ - "2.583375,2.797984,2.956366,3.153522,3.362095,3.572426,3.756587", \ - "2.979199,2.974644,2.948724,3.064133,3.248773,3.461107,3.637731", \ - "4.190133,4.111974,4.062337,3.942694,3.766097,3.693626,3.765815", \ - "6.127310,6.030076,5.915584,5.688268,5.317228,4.812561,4.380961", \ - "9.015550,8.922449,8.774311,8.480228,7.877680,7.016550,5.959443", \ - "12.793530,12.736660,12.613110,12.307710,11.626560,10.399520,8.714630"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("14.547550,14.676800,14.758670,14.831960,14.732220,14.594950,14.791770", \ - "14.267160,14.402140,14.416890,14.575670,14.608040,14.575230,14.307250", \ - "14.144170,14.166440,14.227430,14.279270,14.311930,14.547440,14.598420", \ - "14.142350,14.139270,14.226370,14.155390,14.192170,14.063920,13.894340", \ - "14.394800,14.551170,14.637100,14.759540,14.670260,14.248170,14.580170", \ - "16.252050,16.175590,16.053110,16.094120,16.060270,15.725070,15.246910", \ - "19.856400,19.570630,19.296510,18.853130,18.569110,18.051060,17.174770"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("3.068518,3.165413,3.288265,3.425719,3.596914,3.772957,3.944760", \ - "2.852431,2.987309,3.081735,3.249022,3.407229,3.604139,3.765175", \ - "3.009887,3.003058,3.059274,3.153547,3.299287,3.481397,3.655649", \ - "4.244248,4.126534,4.048579,3.939568,3.758232,3.702446,3.779834", \ - "6.272393,6.131715,5.972468,5.692814,5.316715,4.796325,4.381377", \ - "9.267012,9.087322,8.875209,8.511930,7.899385,6.995766,5.953698", \ - "13.175520,13.013300,12.854520,12.454090,11.683120,10.405770,8.696889"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("17.783060,17.861020,17.931960,18.010760,18.131400,18.229810,18.216540", \ - "17.532840,17.572300,17.699610,17.754640,17.960750,18.008900,17.987510", \ - "17.279300,17.300790,17.415390,17.535480,17.607840,17.822400,17.622180", \ - "17.287900,17.375790,17.393020,17.372490,17.570870,17.652610,17.579040", \ - "17.513930,17.817000,18.011060,17.932300,17.834870,17.881240,17.595820", \ - "19.111780,19.150870,19.111080,19.217350,19.334110,18.666000,18.461100", \ - "22.460880,22.279910,22.144630,21.876760,21.413360,20.954250,20.134730"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("1.038788,1.058921,1.098190,1.130033,1.154221,1.182624,1.189911", \ - "0.906310,0.996497,1.049669,1.137429,1.218482,1.281011,1.327756", \ - "1.090932,1.026195,1.044910,1.104044,1.197695,1.296781,1.385773", \ - "2.225300,2.050005,1.893623,1.667174,1.450491,1.451911,1.479034", \ - "4.116511,3.908156,3.678635,3.276576,2.720483,2.140362,1.909204", \ - "6.789862,6.590467,6.359723,5.889951,5.029910,3.951193,2.967556", \ - "10.237490,10.043620,9.885875,9.453241,8.487681,6.904653,5.095711"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("10.174920,10.281220,10.371350,10.471940,10.337410,10.613810,10.432900", \ - "9.762406,9.838584,9.995334,10.123610,10.149190,10.286070,10.521050", \ - "9.892551,9.870615,9.881767,9.981789,9.876417,10.023400,10.086190", \ - "11.039120,10.914920,10.822330,10.746120,10.505290,10.251720,10.197880", \ - "12.492880,12.451090,12.605870,12.559540,12.107040,11.483650,11.157620", \ - "15.507600,15.333580,15.146110,14.989120,14.747150,13.683140,12.792160", \ - "19.891670,19.584390,19.268610,18.728340,18.194050,16.872440,15.546760"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("1.079913,1.088141,1.101001,1.140625,1.160262,1.185809,1.188180", \ - "0.897489,0.996798,1.053542,1.143114,1.225782,1.287502,1.327724", \ - "0.743138,0.744628,0.819808,0.936583,1.090706,1.233135,1.347153", \ - "1.403631,1.328065,1.262265,1.171098,1.062729,1.194202,1.321555", \ - "2.657893,2.579745,2.445126,2.221164,1.895009,1.523085,1.493950", \ - "4.632773,4.513940,4.373046,4.074842,3.535054,2.796328,2.117245", \ - "7.171826,7.071396,6.922174,6.659290,6.016942,4.962474,3.667812"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); - values ("13.010700,13.122870,13.163160,13.261380,13.287500,13.073460,13.065670", \ - "12.752790,12.794310,12.920530,13.037940,13.017210,13.262520,12.878820", \ - "12.861700,12.872870,12.863620,12.966190,12.949820,13.126730,12.750610", \ - "13.955230,13.879270,13.795250,13.706490,13.648420,13.110460,13.016250", \ - "15.536610,15.654070,15.761230,15.507800,15.152780,14.442420,13.985060", \ - "18.272540,18.277930,18.203820,18.095900,17.956230,17.127200,15.701480", \ - "22.471420,22.395090,22.233220,21.861560,21.492130,20.523850,18.720770"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI22_X1 - Cell Description : Combinational cell (AOI22_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI22_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 32.611944; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 6.964859; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 28.281000; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 7.567341; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 32.661805; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 28.281110; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 49.595810; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 28.883471; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 47.227136; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 7.567341; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 28.883472; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 8.170009; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 47.223385; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 37.362501; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 51.981292; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 51.976683; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 59.163888; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.687512; - fall_capacitance : 1.503838; - rise_capacitance : 1.687512; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.689746; - fall_capacitance : 1.433386; - rise_capacitance : 1.689746; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.584010; - fall_capacitance : 1.552975; - rise_capacitance : 1.584010; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.623031; - fall_capacitance : 1.520309; - rise_capacitance : 1.623031; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 24.604800; - function : "!((A1 & A2) | (B1 & B2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.007714,0.008577,0.010209,0.013439,0.019861,0.032661,0.058224", \ - "0.008980,0.009856,0.011511,0.014778,0.021239,0.034070,0.059657", \ - "0.012482,0.013733,0.015919,0.019739,0.026248,0.039045,0.064621", \ - "0.014394,0.016181,0.019335,0.024897,0.034195,0.049000,0.074375", \ - "0.014303,0.016624,0.020719,0.027953,0.040129,0.059729,0.090008", \ - "0.011889,0.014774,0.019837,0.028743,0.043740,0.067970,0.105776", \ - "0.007037,0.010426,0.016438,0.027018,0.044843,0.073652,0.118784"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.012502,0.014081,0.017041,0.022849,0.034311,0.057068,0.102436", \ - "0.013553,0.015128,0.018110,0.023995,0.035570,0.058448,0.103911", \ - "0.019469,0.021120,0.023906,0.029537,0.040936,0.063753,0.109265", \ - "0.027191,0.029503,0.033580,0.040812,0.052926,0.075175,0.120274", \ - "0.035983,0.038844,0.043921,0.053086,0.068807,0.094301,0.138586", \ - "0.046155,0.049560,0.055548,0.066408,0.085266,0.116500,0.165620", \ - "0.057834,0.061744,0.068655,0.081177,0.102899,0.139290,0.197649"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.004474,0.005198,0.006581,0.009350,0.014886,0.025952,0.048091", \ - "0.004467,0.005196,0.006582,0.009351,0.014888,0.025953,0.048088", \ - "0.007067,0.007645,0.008690,0.010571,0.015094,0.025956,0.048090", \ - "0.011681,0.012461,0.013828,0.016315,0.020597,0.028319,0.048091", \ - "0.017824,0.018801,0.020512,0.023589,0.028929,0.037837,0.053178", \ - "0.025598,0.026780,0.028858,0.032552,0.038896,0.049538,0.066812", \ - "0.035048,0.036476,0.038936,0.043319,0.050716,0.062983,0.083032"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.008864,0.010268,0.012917,0.018224,0.028799,0.049926,0.092163", \ - "0.008833,0.010250,0.012910,0.018216,0.028789,0.049937,0.092150", \ - "0.010371,0.011341,0.013416,0.018164,0.028800,0.049904,0.092150", \ - "0.015504,0.016762,0.018988,0.022922,0.030577,0.049898,0.092170", \ - "0.021263,0.022791,0.025564,0.030635,0.039283,0.054407,0.092154", \ - "0.028359,0.030071,0.033210,0.039122,0.049582,0.066788,0.097765", \ - "0.037096,0.038962,0.042396,0.048912,0.060770,0.080992,0.113008"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.007724,0.008588,0.010218,0.013450,0.019872,0.032669,0.058230", \ - "0.009036,0.009913,0.011568,0.014833,0.021293,0.034125,0.059709", \ - "0.012636,0.013877,0.016059,0.019862,0.026363,0.039158,0.064733", \ - "0.014413,0.016225,0.019407,0.025000,0.034314,0.049115,0.074497", \ - "0.013780,0.016169,0.020373,0.027747,0.040076,0.059776,0.090090", \ - "0.010394,0.013392,0.018638,0.027832,0.043175,0.067730,0.105734", \ - "0.004094,0.007645,0.013921,0.024925,0.043343,0.072784,0.118411"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.015939,0.018108,0.022171,0.030151,0.045881,0.077070,0.139255", \ - "0.016629,0.018803,0.022909,0.030999,0.046897,0.078267,0.140592", \ - "0.022380,0.024294,0.028088,0.035877,0.051575,0.082925,0.145352", \ - "0.031501,0.034209,0.039013,0.047588,0.062725,0.093401,0.155359", \ - "0.041858,0.045174,0.051106,0.061872,0.080468,0.111506,0.172380", \ - "0.053987,0.057885,0.064801,0.077432,0.099596,0.136600,0.197874", \ - "0.068093,0.072563,0.080457,0.094844,0.120138,0.163012,0.232445"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.004470,0.005199,0.006582,0.009351,0.014887,0.025954,0.048089", \ - "0.004472,0.005195,0.006581,0.009351,0.014886,0.025956,0.048095", \ - "0.006995,0.007578,0.008629,0.010524,0.015077,0.025958,0.048094", \ - "0.011636,0.012411,0.013778,0.016260,0.020540,0.028281,0.048089", \ - "0.017869,0.018859,0.020575,0.023653,0.028956,0.037818,0.053147", \ - "0.025784,0.026984,0.029092,0.032814,0.039129,0.049665,0.066834", \ - "0.035330,0.036809,0.039347,0.043799,0.051224,0.063399,0.083236"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.012440,0.014362,0.017980,0.025172,0.039472,0.067995,0.125002", \ - "0.012348,0.014293,0.017956,0.025165,0.039466,0.068009,0.124994", \ - "0.012817,0.014434,0.017743,0.025054,0.039481,0.067998,0.124988", \ - "0.017913,0.019516,0.022411,0.027584,0.039768,0.067998,0.124983", \ - "0.023676,0.025565,0.029020,0.035375,0.046344,0.069419,0.124976", \ - "0.030681,0.032772,0.036628,0.043935,0.056951,0.078798,0.126319", \ - "0.039270,0.041523,0.045669,0.053649,0.068277,0.093422,0.136321"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.007907,0.008772,0.010404,0.013641,0.020073,0.032895,0.058501", \ - "0.009222,0.010098,0.011755,0.015026,0.021497,0.034351,0.059979", \ - "0.012919,0.014148,0.016302,0.020075,0.026565,0.039384,0.065003", \ - "0.014870,0.016656,0.019797,0.025340,0.034608,0.049355,0.074767", \ - "0.014461,0.016810,0.020956,0.028254,0.040501,0.060129,0.090388", \ - "0.011381,0.014316,0.019464,0.028541,0.043781,0.068232,0.106156", \ - "0.005501,0.008953,0.015089,0.025920,0.044178,0.073469,0.118987"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.021359,0.023517,0.027577,0.035573,0.051349,0.082631,0.144924", \ - "0.022160,0.024333,0.028433,0.036514,0.052421,0.083850,0.146263", \ - "0.027361,0.029428,0.033380,0.041297,0.057088,0.088513,0.151031", \ - "0.038151,0.040589,0.044960,0.052866,0.068050,0.098906,0.161015", \ - "0.050067,0.053116,0.058597,0.068663,0.086331,0.116860,0.177952", \ - "0.063590,0.067146,0.073595,0.085491,0.106659,0.142518,0.203318", \ - "0.079056,0.083098,0.090469,0.104019,0.128272,0.169917,0.238053"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.005665,0.006494,0.008041,0.011009,0.016682,0.027789,0.049927", \ - "0.005663,0.006494,0.008041,0.011010,0.016682,0.027788,0.049925", \ - "0.008702,0.009238,0.010216,0.012146,0.016861,0.027789,0.049922", \ - "0.014802,0.015373,0.016467,0.018577,0.022500,0.030083,0.049929", \ - "0.022587,0.023241,0.024479,0.026944,0.031610,0.039898,0.054944", \ - "0.032213,0.032937,0.034355,0.037200,0.042594,0.052313,0.068844", \ - "0.043605,0.044452,0.046108,0.049392,0.055590,0.066673,0.085664"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.015297,0.017213,0.020852,0.028086,0.042473,0.071132,0.128289", \ - "0.015265,0.017200,0.020845,0.028082,0.042472,0.071126,0.128291", \ - "0.015038,0.016903,0.020697,0.028044,0.042464,0.071123,0.128298", \ - "0.019449,0.021027,0.023651,0.029404,0.042435,0.071110,0.128286", \ - "0.025359,0.027265,0.030696,0.036958,0.047895,0.071992,0.128252", \ - "0.032214,0.034428,0.038392,0.045739,0.058660,0.080515,0.129173", \ - "0.040470,0.042891,0.047284,0.055486,0.070184,0.095171,0.138463"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.009051,0.009908,0.011532,0.014756,0.021170,0.033964,0.059524", \ - "0.010342,0.011224,0.012883,0.016152,0.022610,0.035439,0.061025", \ - "0.013328,0.014407,0.016351,0.019966,0.026593,0.039508,0.065163", \ - "0.015634,0.017176,0.019882,0.024647,0.032799,0.046946,0.072849", \ - "0.015934,0.018044,0.021710,0.028099,0.038701,0.055809,0.084392", \ - "0.013894,0.016595,0.021278,0.029398,0.042809,0.064006,0.097267", \ - "0.009314,0.012619,0.018320,0.028218,0.044557,0.070265,0.109679"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.015931,0.017473,0.020388,0.026162,0.037614,0.060397,0.105835", \ - "0.017065,0.018624,0.021568,0.027390,0.038903,0.061743,0.107233", \ - "0.023088,0.024562,0.027392,0.033078,0.044467,0.067240,0.112708", \ - "0.032747,0.034791,0.038451,0.045080,0.056538,0.078812,0.123878", \ - "0.043297,0.045856,0.050485,0.058942,0.073714,0.098157,0.142341", \ - "0.055378,0.058407,0.063884,0.073921,0.091682,0.121627,0.169527", \ - "0.069334,0.072821,0.079110,0.090592,0.110999,0.145860,0.202621"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.004469,0.005196,0.006581,0.009352,0.014886,0.025955,0.048091", \ - "0.004471,0.005198,0.006582,0.009350,0.014887,0.025953,0.048096", \ - "0.005682,0.006308,0.007518,0.009905,0.015006,0.025956,0.048090", \ - "0.008913,0.009546,0.010716,0.012988,0.017571,0.027102,0.048120", \ - "0.013646,0.014379,0.015715,0.018199,0.022784,0.031761,0.050554", \ - "0.019554,0.020436,0.022020,0.024921,0.030039,0.039186,0.057147", \ - "0.026528,0.027548,0.029441,0.032876,0.038803,0.048831,0.066831"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.010918,0.012328,0.015000,0.020339,0.030975,0.052185,0.094579", \ - "0.010917,0.012322,0.015002,0.020332,0.030970,0.052191,0.094575", \ - "0.011480,0.012669,0.015059,0.020311,0.030964,0.052198,0.094563", \ - "0.016492,0.017723,0.019904,0.023748,0.032129,0.052189,0.094562", \ - "0.022045,0.023655,0.026496,0.031578,0.040175,0.055859,0.094531", \ - "0.028184,0.030120,0.033567,0.039794,0.050449,0.067674,0.099445", \ - "0.035267,0.037498,0.041455,0.048655,0.061188,0.081763,0.113904"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.009062,0.009920,0.011542,0.014766,0.021181,0.033973,0.059532", \ - "0.010398,0.011281,0.012939,0.016207,0.022664,0.035494,0.061077", \ - "0.013464,0.014542,0.016483,0.020094,0.026712,0.039625,0.065276", \ - "0.015770,0.017316,0.020027,0.024803,0.032951,0.047091,0.072987", \ - "0.015819,0.017959,0.021671,0.028124,0.038790,0.055933,0.084527", \ - "0.013133,0.015909,0.020707,0.029002,0.042613,0.063987,0.097340", \ - "0.007478,0.010901,0.016804,0.027012,0.043744,0.069857,0.109570"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.020736,0.022847,0.026840,0.034749,0.050436,0.081658,0.143898", \ - "0.021566,0.023703,0.027742,0.035724,0.051503,0.082807,0.145109", \ - "0.027065,0.029100,0.033001,0.040830,0.056481,0.087725,0.150049", \ - "0.038063,0.040476,0.044809,0.052683,0.067759,0.098433,0.160316", \ - "0.050366,0.053354,0.058782,0.068756,0.086293,0.116680,0.177538", \ - "0.064445,0.067964,0.074324,0.086083,0.107045,0.142638,0.203192", \ - "0.080801,0.084789,0.092035,0.105382,0.129325,0.170557,0.238263"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.004472,0.005196,0.006583,0.009350,0.014886,0.025955,0.048096", \ - "0.004470,0.005197,0.006583,0.009351,0.014889,0.025956,0.048092", \ - "0.005648,0.006279,0.007491,0.009882,0.014998,0.025957,0.048092", \ - "0.008842,0.009482,0.010650,0.012929,0.017532,0.027082,0.048118", \ - "0.013559,0.014307,0.015660,0.018155,0.022731,0.031721,0.050533", \ - "0.019507,0.020402,0.022011,0.024933,0.030061,0.039183,0.057131", \ - "0.026544,0.027592,0.029525,0.032998,0.038945,0.048943,0.066875"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.015285,0.017209,0.020852,0.028086,0.042476,0.071123,0.128293", \ - "0.015259,0.017192,0.020843,0.028083,0.042462,0.071118,0.128278", \ - "0.015091,0.016935,0.020680,0.028041,0.042464,0.071139,0.128283", \ - "0.019424,0.021012,0.023696,0.029474,0.042462,0.071131,0.128281", \ - "0.025104,0.027061,0.030535,0.036851,0.047890,0.072013,0.128264", \ - "0.031344,0.033660,0.037790,0.045322,0.058413,0.080453,0.129185", \ - "0.038433,0.041046,0.045738,0.054359,0.069508,0.094804,0.138374"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.009244,0.010103,0.011728,0.014957,0.021383,0.034199,0.059803", \ - "0.010584,0.011468,0.013127,0.016399,0.022867,0.035718,0.061347", \ - "0.013698,0.014768,0.016699,0.020301,0.026917,0.039852,0.065547", \ - "0.016130,0.017656,0.020335,0.025075,0.033197,0.047331,0.073259", \ - "0.016357,0.018463,0.022129,0.028519,0.039123,0.056222,0.084817", \ - "0.013888,0.016618,0.021342,0.029550,0.043070,0.064361,0.097681", \ - "0.008534,0.011886,0.017691,0.027764,0.044369,0.070361,0.109994"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.026107,0.028226,0.032235,0.040178,0.055922,0.087214,0.149592", \ - "0.027023,0.029161,0.033202,0.041196,0.057010,0.088373,0.150813", \ - "0.032295,0.034379,0.038347,0.046246,0.061972,0.093292,0.155727", \ - "0.044032,0.046251,0.050285,0.057823,0.073102,0.103930,0.165958", \ - "0.057853,0.060637,0.065712,0.075117,0.091893,0.122037,0.183102", \ - "0.073266,0.076563,0.082523,0.093677,0.113796,0.148356,0.208637", \ - "0.090773,0.094474,0.101324,0.114038,0.137097,0.177237,0.243764"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.005666,0.006494,0.008042,0.011009,0.016682,0.027789,0.049926", \ - "0.005666,0.006494,0.008041,0.011009,0.016681,0.027788,0.049928", \ - "0.007090,0.007762,0.009038,0.011526,0.016787,0.027788,0.049930", \ - "0.011125,0.011657,0.012707,0.014879,0.019408,0.028902,0.049950", \ - "0.016979,0.017514,0.018552,0.020665,0.024907,0.033661,0.052355", \ - "0.024189,0.024772,0.025920,0.028239,0.032746,0.041377,0.059044", \ - "0.032601,0.033230,0.034544,0.037212,0.042276,0.051539,0.068968"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.018103,0.020040,0.023710,0.031002,0.045465,0.074271,0.131643", \ - "0.018090,0.020030,0.023704,0.030999,0.045464,0.074266,0.131651", \ - "0.017934,0.019922,0.023651,0.030981,0.045473,0.074250,0.131613", \ - "0.020942,0.022416,0.025369,0.031624,0.045348,0.074236,0.131601", \ - "0.027094,0.028970,0.032335,0.038507,0.049672,0.074717,0.131557", \ - "0.033687,0.035929,0.039944,0.047304,0.060161,0.082283,0.132140", \ - "0.040935,0.043512,0.048123,0.056621,0.071574,0.096584,0.140624"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.012742,0.013704,0.015501,0.018988,0.025743,0.038902,0.064800", \ - "0.013986,0.014952,0.016752,0.020246,0.027011,0.040178,0.066079", \ - "0.019040,0.020036,0.021820,0.025222,0.031938,0.045079,0.070963", \ - "0.024581,0.026001,0.028571,0.033259,0.041420,0.055022,0.080686", \ - "0.027997,0.029833,0.033197,0.039313,0.050042,0.067925,0.096512", \ - "0.029261,0.031501,0.035596,0.043103,0.056295,0.078466,0.114126", \ - "0.028215,0.030872,0.035692,0.044534,0.060141,0.086473,0.129057"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.021839,0.023505,0.026638,0.032808,0.045005,0.069244,0.117586", \ - "0.023218,0.024915,0.028099,0.034361,0.046681,0.071032,0.119452", \ - "0.029099,0.030764,0.033908,0.040139,0.052491,0.076967,0.125547", \ - "0.037885,0.039994,0.043774,0.050703,0.063142,0.087546,0.136149", \ - "0.046428,0.049157,0.054035,0.062841,0.078217,0.104324,0.152818", \ - "0.056103,0.059421,0.065349,0.075972,0.094435,0.125437,0.176868", \ - "0.067994,0.071832,0.078706,0.091038,0.112408,0.148172,0.206885"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.008100,0.008845,0.010244,0.013038,0.018598,0.029703,0.051906", \ - "0.008086,0.008832,0.010241,0.013035,0.018597,0.029703,0.051902", \ - "0.008964,0.009526,0.010671,0.013147,0.018576,0.029703,0.051904", \ - "0.013740,0.014472,0.015774,0.018129,0.022307,0.030855,0.051909", \ - "0.019758,0.020731,0.022418,0.025477,0.030737,0.039515,0.055544", \ - "0.026981,0.028189,0.030308,0.034096,0.040600,0.051276,0.068477", \ - "0.035390,0.036890,0.039492,0.044101,0.051886,0.064574,0.084750"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.010916,0.012354,0.015108,0.020591,0.031532,0.053319,0.096840", \ - "0.010924,0.012361,0.015112,0.020591,0.031542,0.053334,0.096841", \ - "0.011045,0.012445,0.015142,0.020605,0.031550,0.053334,0.096819", \ - "0.014728,0.015893,0.018029,0.022288,0.031921,0.053327,0.096818", \ - "0.020644,0.021917,0.024305,0.028891,0.037520,0.055177,0.096830", \ - "0.028013,0.029338,0.031875,0.036848,0.046261,0.063661,0.099374", \ - "0.036518,0.037881,0.040515,0.045833,0.056018,0.074916,0.109153"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.010598,0.011619,0.013504,0.017114,0.024013,0.037302,0.063290", \ - "0.011857,0.012869,0.014747,0.018353,0.025248,0.038541,0.064530", \ - "0.016908,0.017996,0.019934,0.023414,0.030173,0.043407,0.069372", \ - "0.021570,0.023118,0.025900,0.030885,0.039428,0.053378,0.079080", \ - "0.024015,0.026012,0.029625,0.036139,0.047371,0.065802,0.094879", \ - "0.024116,0.026558,0.030981,0.038978,0.052806,0.075705,0.112016", \ - "0.021798,0.024670,0.029869,0.039281,0.055658,0.082900,0.126365"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.024984,0.027120,0.031129,0.039039,0.054702,0.085860,0.148018", \ - "0.026231,0.028403,0.032480,0.040509,0.056321,0.087624,0.149889", \ - "0.031835,0.033964,0.037981,0.045967,0.061824,0.093273,0.155750", \ - "0.040165,0.042644,0.047130,0.055459,0.071203,0.102551,0.165044", \ - "0.048487,0.051507,0.056925,0.066913,0.084864,0.116835,0.179142", \ - "0.058242,0.061808,0.068199,0.079776,0.100334,0.136266,0.199602", \ - "0.070381,0.074492,0.081829,0.095022,0.118137,0.158082,0.226932"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.007595,0.008347,0.009757,0.012545,0.018065,0.029093,0.051218", \ - "0.007475,0.008248,0.009691,0.012506,0.018049,0.029093,0.051216", \ - "0.008872,0.009400,0.010414,0.012716,0.017951,0.029079,0.051218", \ - "0.013751,0.014475,0.015755,0.018077,0.022198,0.030444,0.051216", \ - "0.019945,0.020905,0.022557,0.025542,0.030713,0.039390,0.055154", \ - "0.027446,0.028644,0.030708,0.034404,0.040750,0.051267,0.068322", \ - "0.036240,0.037722,0.040257,0.044761,0.052347,0.064781,0.084717"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.012591,0.014460,0.018030,0.025186,0.039467,0.068005,0.124990", \ - "0.012602,0.014471,0.018035,0.025180,0.039474,0.067997,0.124986", \ - "0.012701,0.014531,0.018065,0.025184,0.039486,0.068014,0.124989", \ - "0.015600,0.017199,0.020091,0.026204,0.039581,0.067991,0.124990", \ - "0.020447,0.022150,0.025333,0.031571,0.043461,0.068818,0.124978", \ - "0.026903,0.028635,0.031886,0.038358,0.050926,0.074812,0.125966", \ - "0.034789,0.036528,0.039818,0.046444,0.059442,0.084499,0.132393"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.010835,0.011851,0.013732,0.017340,0.024241,0.037547,0.063577", \ - "0.012092,0.013101,0.014974,0.018577,0.025476,0.038787,0.064818", \ - "0.017169,0.018247,0.020165,0.023629,0.030399,0.043653,0.069658", \ - "0.021987,0.023519,0.026273,0.031223,0.039713,0.053623,0.079366", \ - "0.024630,0.026607,0.030173,0.036633,0.047794,0.066165,0.095173", \ - "0.024996,0.027399,0.031757,0.039670,0.053408,0.076208,0.112438", \ - "0.023034,0.025844,0.030945,0.040244,0.056484,0.083592,0.126928"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.030337,0.032469,0.036492,0.044440,0.060170,0.091420,0.153675", \ - "0.031721,0.033880,0.037951,0.045983,0.061829,0.093198,0.155552", \ - "0.037245,0.039384,0.043432,0.051462,0.067366,0.098874,0.161426", \ - "0.046375,0.048693,0.052917,0.060925,0.076709,0.108134,0.170733", \ - "0.056058,0.058839,0.063909,0.073397,0.090780,0.122393,0.184806", \ - "0.067137,0.070389,0.076338,0.087270,0.107073,0.142258,0.205216", \ - "0.080549,0.084276,0.091045,0.103483,0.125663,0.164683,0.232733"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.009639,0.010369,0.011758,0.014520,0.020008,0.030988,0.053073", \ - "0.009512,0.010268,0.011689,0.014485,0.019993,0.030987,0.053073", \ - "0.010784,0.011283,0.012329,0.014664,0.019894,0.030976,0.053075", \ - "0.016736,0.017296,0.018337,0.020348,0.024136,0.032317,0.053073", \ - "0.024161,0.024866,0.026164,0.028662,0.033270,0.041418,0.056979", \ - "0.032966,0.033844,0.035421,0.038459,0.044045,0.053815,0.070275", \ - "0.043170,0.044232,0.046166,0.049838,0.056437,0.067925,0.087073"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.015339,0.017241,0.020867,0.028088,0.042471,0.071135,0.128284", \ - "0.015345,0.017247,0.020869,0.028091,0.042474,0.071146,0.128287", \ - "0.015372,0.017268,0.020877,0.028088,0.042465,0.071117,0.128295", \ - "0.017368,0.018967,0.022082,0.028604,0.042505,0.071130,0.128284", \ - "0.022110,0.023879,0.027169,0.033520,0.045565,0.071637,0.128268", \ - "0.028183,0.030041,0.033487,0.040169,0.052934,0.077008,0.128988", \ - "0.035619,0.037560,0.041108,0.048071,0.061385,0.086655,0.134945"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.014036,0.015001,0.016795,0.020283,0.027036,0.040198,0.066095", \ - "0.015351,0.016317,0.018118,0.021610,0.028371,0.041538,0.067442", \ - "0.019177,0.020189,0.022047,0.025561,0.032361,0.045572,0.071513", \ - "0.024131,0.025373,0.027628,0.031812,0.039412,0.053172,0.079223", \ - "0.027871,0.029491,0.032426,0.037761,0.047106,0.063114,0.091018", \ - "0.029499,0.031531,0.035243,0.041943,0.053557,0.072946,0.104787", \ - "0.028802,0.031260,0.035754,0.043872,0.057930,0.081211,0.118533"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.025389,0.027028,0.030128,0.036280,0.048480,0.072752,0.121144", \ - "0.026985,0.028639,0.031767,0.037950,0.050193,0.074501,0.122921", \ - "0.033096,0.034746,0.037868,0.044059,0.056330,0.080695,0.129175", \ - "0.042947,0.044877,0.048393,0.054901,0.067168,0.091508,0.140014", \ - "0.053090,0.055569,0.060041,0.068279,0.082952,0.108409,0.156838", \ - "0.064491,0.067474,0.072909,0.082786,0.100303,0.130319,0.180999", \ - "0.078482,0.081897,0.088125,0.099532,0.119673,0.154117,0.211634"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.008098,0.008838,0.010242,0.013036,0.018596,0.029704,0.051903", \ - "0.008090,0.008834,0.010239,0.013032,0.018597,0.029704,0.051902", \ - "0.008475,0.009154,0.010477,0.013142,0.018600,0.029704,0.051902", \ - "0.010937,0.011613,0.012881,0.015366,0.020291,0.030339,0.051917", \ - "0.015277,0.016038,0.017411,0.019984,0.024836,0.034303,0.053724", \ - "0.020775,0.021701,0.023332,0.026325,0.031625,0.041194,0.059765", \ - "0.027169,0.028312,0.030272,0.033823,0.039974,0.050376,0.069040"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.013035,0.014501,0.017272,0.022804,0.033799,0.055694,0.099302", \ - "0.013037,0.014504,0.017274,0.022809,0.033805,0.055681,0.099311", \ - "0.013084,0.014521,0.017287,0.022809,0.033798,0.055699,0.099309", \ - "0.015899,0.017072,0.019254,0.023882,0.033953,0.055684,0.099297", \ - "0.021616,0.022953,0.025410,0.030088,0.038785,0.057102,0.099288", \ - "0.028330,0.029830,0.032582,0.037821,0.047469,0.064992,0.101462", \ - "0.035574,0.037243,0.040313,0.046161,0.056909,0.076160,0.110674"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.011907,0.012924,0.014806,0.018412,0.025306,0.038596,0.064585", \ - "0.013207,0.014224,0.016103,0.019709,0.026604,0.039895,0.065890", \ - "0.017008,0.018071,0.020014,0.023656,0.030566,0.043894,0.069921", \ - "0.021483,0.022835,0.025252,0.029653,0.037486,0.051464,0.077612", \ - "0.024316,0.026102,0.029298,0.035001,0.044786,0.061169,0.089341", \ - "0.024819,0.027077,0.031120,0.038312,0.050560,0.070574,0.102883", \ - "0.022803,0.025536,0.030449,0.039169,0.054042,0.078185,0.116218"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.029612,0.031708,0.035678,0.043561,0.059220,0.090413,0.152645", \ - "0.031134,0.033250,0.037252,0.045178,0.060892,0.092138,0.154399", \ - "0.037014,0.039125,0.043123,0.051055,0.066806,0.098112,0.160467", \ - "0.046213,0.048525,0.052748,0.060725,0.076418,0.107688,0.170063", \ - "0.055899,0.058686,0.063747,0.073203,0.090541,0.122096,0.184339", \ - "0.067250,0.070488,0.076417,0.087281,0.106988,0.142062,0.204918", \ - "0.081409,0.085082,0.091778,0.104058,0.126044,0.164825,0.232641"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.007541,0.008300,0.009719,0.012517,0.018054,0.029092,0.051218", \ - "0.007487,0.008257,0.009689,0.012500,0.018045,0.029089,0.051218", \ - "0.008008,0.008693,0.009985,0.012628,0.018038,0.029092,0.051218", \ - "0.010755,0.011409,0.012626,0.015048,0.019875,0.029799,0.051232", \ - "0.015298,0.016040,0.017373,0.019886,0.024621,0.033908,0.053158", \ - "0.020989,0.021885,0.023483,0.026400,0.031588,0.040975,0.059318", \ - "0.027645,0.028730,0.030646,0.034122,0.040118,0.050334,0.068714"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.015341,0.017246,0.020867,0.028086,0.042479,0.071115,0.128295", \ - "0.015344,0.017250,0.020867,0.028088,0.042469,0.071145,0.128284", \ - "0.015381,0.017273,0.020877,0.028089,0.042462,0.071128,0.128291", \ - "0.017403,0.019011,0.022124,0.028642,0.042500,0.071109,0.128297", \ - "0.022083,0.023860,0.027167,0.033515,0.045622,0.071667,0.128260", \ - "0.027830,0.029748,0.033271,0.040057,0.052896,0.077055,0.128998", \ - "0.034382,0.036442,0.040240,0.047453,0.061087,0.086548,0.134970"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.012143,0.013158,0.015034,0.018637,0.025534,0.038841,0.064873", \ - "0.013444,0.014457,0.016331,0.019934,0.026833,0.040141,0.066177", \ - "0.017256,0.018314,0.020248,0.023878,0.030793,0.044139,0.070204", \ - "0.021818,0.023155,0.025550,0.029924,0.037735,0.051711,0.077899", \ - "0.024802,0.026565,0.029721,0.035381,0.045112,0.061461,0.089641", \ - "0.025502,0.027715,0.031706,0.038834,0.051016,0.070952,0.103226", \ - "0.023723,0.026407,0.031242,0.039873,0.054640,0.078698,0.116636"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.034957,0.037065,0.041060,0.048980,0.064702,0.095971,0.158339", \ - "0.036528,0.038651,0.042666,0.050620,0.066383,0.097698,0.160073", \ - "0.042410,0.044531,0.048547,0.056514,0.072315,0.103684,0.166159", \ - "0.052063,0.054231,0.058240,0.066171,0.081920,0.113262,0.175752", \ - "0.062973,0.065586,0.070384,0.079458,0.096325,0.127666,0.190014", \ - "0.075467,0.078487,0.084044,0.094438,0.113527,0.147969,0.210537", \ - "0.090654,0.094054,0.100330,0.112034,0.133279,0.171305,0.238397"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.009580,0.010318,0.011717,0.014495,0.019995,0.030986,0.053071", \ - "0.009528,0.010275,0.011688,0.014478,0.019987,0.030983,0.053071", \ - "0.010016,0.010663,0.011953,0.014597,0.019980,0.030987,0.053070", \ - "0.013158,0.013731,0.014852,0.017146,0.021836,0.031690,0.053094", \ - "0.018471,0.019050,0.020153,0.022361,0.026808,0.035870,0.055011", \ - "0.025116,0.025798,0.027049,0.029510,0.034179,0.043138,0.061238", \ - "0.032828,0.033629,0.035115,0.037979,0.043277,0.052854,0.070792"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.018118,0.020051,0.023716,0.030998,0.045470,0.074263,0.131626", \ - "0.018120,0.020052,0.023717,0.030998,0.045465,0.074252,0.131622", \ - "0.018129,0.020052,0.023717,0.030998,0.045463,0.074246,0.131621", \ - "0.019352,0.021068,0.024391,0.031212,0.045485,0.074256,0.131639", \ - "0.024035,0.025826,0.029145,0.035539,0.047885,0.074539,0.131560", \ - "0.029711,0.031642,0.035220,0.042071,0.054981,0.079353,0.132069", \ - "0.036205,0.038297,0.042134,0.049452,0.063195,0.088772,0.137596"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.244009,0.252661,0.263603,0.269644,0.276762,0.285602,0.286879", \ - "0.204779,0.215744,0.234528,0.256530,0.278563,0.293818,0.304282", \ - "0.220235,0.212467,0.211764,0.229594,0.254962,0.282185,0.303647", \ - "0.529908,0.501218,0.458374,0.395362,0.329487,0.324302,0.324771", \ - "1.081727,1.039952,0.973526,0.855459,0.690913,0.524385,0.451406", \ - "1.848917,1.815491,1.745127,1.605565,1.358041,1.041502,0.760011", \ - "2.843254,2.818971,2.758294,2.617253,2.337892,1.880040,1.369085"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("2.542668,2.572636,2.599995,2.625900,2.633306,2.612913,2.612790", \ - "2.463552,2.459400,2.496539,2.517432,2.534332,2.608428,2.653913", \ - "2.478327,2.497734,2.475408,2.519287,2.533980,2.568508,2.478810", \ - "2.738249,2.794363,2.773751,2.705439,2.634367,2.604588,2.488977", \ - "3.202973,3.200943,3.178879,3.175072,3.091744,2.915974,2.718117", \ - "4.045445,4.032786,3.954163,3.886269,3.730258,3.645593,3.340406", \ - "5.288560,5.228195,5.159574,5.009777,4.727413,4.578986,4.061610"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.261727,0.263902,0.268859,0.271245,0.279769,0.289167,0.291851", \ - "0.232132,0.240285,0.256788,0.275539,0.291759,0.312828,0.319857", \ - "0.261212,0.254471,0.260972,0.274158,0.292998,0.320079,0.341207", \ - "0.532795,0.516992,0.477645,0.423846,0.366087,0.362075,0.368016", \ - "1.005922,0.984849,0.926732,0.825120,0.691436,0.541315,0.479283", \ - "1.689027,1.662769,1.603541,1.490854,1.279355,1.004981,0.752120", \ - "2.534615,2.522604,2.477349,2.382437,2.153400,1.755839,1.300767"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("2.527842,2.547373,2.562885,2.584919,2.577289,2.603167,2.594744", \ - "2.424282,2.445716,2.472145,2.512032,2.524415,2.554183,2.558203", \ - "2.446640,2.455206,2.459354,2.449835,2.502589,2.486486,2.503037", \ - "2.728731,2.725160,2.705001,2.670727,2.553266,2.548191,2.531526", \ - "3.115472,3.119249,3.136830,3.099862,3.029952,2.860858,2.776603", \ - "3.839093,3.824941,3.785316,3.727104,3.696693,3.369127,3.192650", \ - "4.935985,4.896863,4.826885,4.672598,4.497270,4.244984,3.890886"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.252914,0.256465,0.268688,0.272844,0.283151,0.285394,0.292025", \ - "0.228026,0.239486,0.253464,0.275830,0.296201,0.310088,0.322713", \ - "0.244696,0.250627,0.255926,0.272484,0.296596,0.321828,0.342149", \ - "0.515079,0.492270,0.458119,0.403461,0.364059,0.364052,0.368684", \ - "1.009988,0.970226,0.915872,0.813654,0.678752,0.538081,0.480710", \ - "1.726418,1.683103,1.619229,1.484971,1.269579,0.997677,0.752703", \ - "2.642875,2.607686,2.533183,2.399565,2.147457,1.745722,1.294934"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("3.336105,3.338277,3.352414,3.395827,3.336023,3.340606,3.376450", \ - "3.243025,3.251131,3.297446,3.326736,3.317780,3.292733,3.469759", \ - "3.226229,3.238972,3.247746,3.282992,3.324146,3.367632,3.415890", \ - "3.474171,3.475468,3.465802,3.426179,3.385971,3.280328,3.442862", \ - "3.846899,3.868681,3.913833,3.873021,3.807855,3.715569,3.516925", \ - "4.521301,4.523312,4.512731,4.501925,4.397404,4.247626,3.924017", \ - "5.571874,5.544086,5.498997,5.405468,5.298893,5.076751,4.664284"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.256519,0.254006,0.269107,0.276021,0.277595,0.284625,0.287280", \ - "0.203035,0.214970,0.234084,0.254537,0.275169,0.294083,0.306640", \ - "0.140879,0.144396,0.157594,0.191010,0.227930,0.268048,0.294910", \ - "0.324794,0.308673,0.288430,0.257298,0.225060,0.251653,0.284679", \ - "0.679903,0.658857,0.627905,0.556245,0.458021,0.354107,0.332763", \ - "1.245135,1.234595,1.185078,1.075441,0.917579,0.712478,0.514713", \ - "2.003226,1.981492,1.932102,1.838170,1.640893,1.322920,0.955038"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("3.255151,3.276123,3.280285,3.262774,3.328404,3.281152,3.310132", \ - "3.189072,3.179908,3.206954,3.246869,3.267020,3.234929,3.246708", \ - "3.205070,3.232379,3.232154,3.198564,3.265096,3.281311,3.272917", \ - "3.531156,3.498393,3.497286,3.460662,3.434914,3.342871,3.249906", \ - "3.945156,3.926446,3.955986,3.950271,3.871039,3.699032,3.567582", \ - "4.737701,4.742309,4.725763,4.679293,4.593825,4.440123,4.114990", \ - "5.936031,5.915512,5.875699,5.763768,5.570756,5.380206,4.866125"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.268744,0.267604,0.269415,0.274930,0.284089,0.289222,0.291946", \ - "0.229071,0.238195,0.254449,0.275380,0.297427,0.311655,0.322194", \ - "0.185330,0.184870,0.202341,0.227133,0.264958,0.304044,0.331069", \ - "0.346043,0.332821,0.318189,0.294996,0.264201,0.297178,0.327584", \ - "0.658969,0.638018,0.606052,0.560453,0.478475,0.386743,0.371977", \ - "1.149086,1.125461,1.087834,1.015714,0.893705,0.711029,0.535179", \ - "1.765891,1.774338,1.737238,1.668909,1.525747,1.260246,0.933026"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("3.246966,3.240032,3.250335,3.290835,3.250947,3.263096,3.241189", \ - "3.167209,3.183443,3.196865,3.223585,3.199895,3.221927,3.312196", \ - "3.190886,3.200706,3.205096,3.195324,3.205023,3.230953,3.293149", \ - "3.463036,3.462988,3.450257,3.406505,3.340622,3.319272,3.251157", \ - "3.885882,3.877972,3.927367,3.863815,3.787757,3.597125,3.473469", \ - "4.573556,4.554465,4.546996,4.537770,4.445548,4.288358,3.908945", \ - "5.611179,5.588694,5.550021,5.482365,5.357457,5.120543,4.634416"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("0.265289,0.267191,0.269888,0.277062,0.280900,0.290994,0.293359", \ - "0.224762,0.239970,0.255411,0.274769,0.297466,0.311789,0.323462", \ - "0.167909,0.181106,0.200264,0.228287,0.268881,0.305665,0.332086", \ - "0.322717,0.310938,0.298715,0.276399,0.262520,0.294537,0.328653", \ - "0.664561,0.644970,0.600919,0.548392,0.467365,0.380589,0.373143", \ - "1.172093,1.158905,1.106323,1.027136,0.884964,0.701672,0.532417", \ - "1.853219,1.835991,1.779108,1.701684,1.526595,1.250946,0.928893"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("4.036772,4.051041,4.065335,4.075997,4.116504,4.145758,4.155940", \ - "3.960114,3.976411,3.989565,4.023707,4.071738,4.092753,4.108476", \ - "3.969965,3.982502,3.999430,4.019722,4.054496,4.051549,4.143722", \ - "4.215477,4.220177,4.216355,4.185537,4.191501,4.129731,4.199246", \ - "4.645708,4.679239,4.669990,4.642415,4.570173,4.484090,4.378270", \ - "5.291748,5.284899,5.296233,5.299301,5.175386,4.977482,4.818938", \ - "6.304076,6.290936,6.272356,6.222244,6.126113,5.814194,5.558911"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("1.172009,1.182852,1.201227,1.234085,1.265732,1.308471,1.349460", \ - "1.129080,1.145422,1.162598,1.192313,1.235252,1.279466,1.317318", \ - "1.076807,1.101986,1.126541,1.156974,1.201519,1.247838,1.291226", \ - "1.282691,1.280037,1.255545,1.241272,1.258892,1.286151,1.313391", \ - "1.733151,1.717324,1.691074,1.631013,1.550121,1.468034,1.433827", \ - "2.440230,2.415370,2.379472,2.293593,2.139494,1.939952,1.736984", \ - "3.392629,3.383132,3.342296,3.248592,3.042452,2.728728,2.323104"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("4.194982,4.182687,4.196350,4.210275,4.214688,4.232713,4.133349", \ - "4.112751,4.104922,4.126325,4.170849,4.196144,4.107367,4.070006", \ - "4.006925,4.027337,4.053832,4.064786,4.071470,4.176435,4.164499", \ - "4.005292,4.023538,4.000821,4.022051,4.045993,4.093270,4.154029", \ - "4.026662,4.041897,4.104382,4.122399,4.056318,4.113857,4.164166", \ - "4.434383,4.422816,4.406097,4.399689,4.436508,4.297853,4.254546", \ - "5.236790,5.201479,5.133596,5.021511,4.956287,4.850999,4.670773"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("1.003031,1.030950,1.061244,1.097628,1.150208,1.203582,1.257123", \ - "0.957622,0.980689,1.004710,1.057792,1.108469,1.161957,1.213367", \ - "0.924822,0.932290,0.969496,1.010723,1.060927,1.119118,1.172041", \ - "1.138626,1.127105,1.123317,1.106156,1.115420,1.151465,1.184944", \ - "1.598772,1.584080,1.550153,1.499973,1.410845,1.328409,1.300417", \ - "2.288300,2.253717,2.218807,2.127662,1.978722,1.784882,1.585307", \ - "3.202386,3.195306,3.139580,3.054990,2.862039,2.542022,2.142094"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("3.824000,3.839534,3.850232,3.847983,3.892129,3.847917,3.901091", \ - "3.717188,3.746730,3.781316,3.806692,3.853561,3.852801,3.852890", \ - "3.648405,3.637535,3.676761,3.707090,3.719522,3.760512,3.753048", \ - "3.623745,3.636506,3.643973,3.657813,3.695643,3.694543,3.717665", \ - "3.647411,3.671762,3.740520,3.772704,3.753012,3.697843,3.691781", \ - "3.984995,3.990989,3.986841,3.983926,3.999436,3.927168,3.808825", \ - "4.773941,4.724411,4.674794,4.584672,4.524469,4.407586,4.222724"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("1.047408,1.073899,1.096297,1.126485,1.162260,1.212526,1.251626", \ - "1.006361,1.023618,1.041989,1.082901,1.125076,1.170440,1.210558", \ - "0.956680,0.986148,1.003724,1.029766,1.077287,1.131763,1.173981", \ - "1.153224,1.151545,1.132557,1.108221,1.131637,1.157557,1.193297", \ - "1.608733,1.592540,1.556407,1.499285,1.409940,1.334198,1.306983", \ - "2.317651,2.293888,2.234633,2.141651,1.980232,1.782405,1.578252", \ - "3.270101,3.243817,3.187016,3.079325,2.860398,2.536368,2.136868"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("4.610559,4.628267,4.636970,4.648838,4.618875,4.649320,4.742618", \ - "4.545019,4.563380,4.580031,4.619534,4.603260,4.619511,4.744985", \ - "4.442851,4.459326,4.474040,4.519576,4.573907,4.637835,4.665811", \ - "4.437751,4.424672,4.431922,4.453323,4.430546,4.536391,4.631546", \ - "4.475174,4.508791,4.551986,4.549705,4.557653,4.577570,4.603546", \ - "4.727169,4.745139,4.753524,4.799092,4.834297,4.776778,4.715479", \ - "5.448795,5.433704,5.382769,5.348912,5.296670,5.258980,4.994774"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("1.178829,1.183148,1.203592,1.229250,1.269528,1.307742,1.345463", \ - "1.132693,1.142564,1.170994,1.200350,1.229637,1.276365,1.314097", \ - "1.074803,1.086394,1.101690,1.146695,1.189131,1.241124,1.285541", \ - "1.160468,1.157976,1.159872,1.145598,1.183394,1.231529,1.277439", \ - "1.431181,1.427076,1.421718,1.398167,1.359172,1.312490,1.329357", \ - "1.919411,1.903062,1.889311,1.835822,1.757716,1.638212,1.505659", \ - "2.607990,2.591355,2.571529,2.510966,2.389200,2.187287,1.923849"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("4.887647,4.904956,4.915577,4.907957,4.926084,4.922113,4.821632", \ - "4.835582,4.854984,4.869002,4.854063,4.872028,4.899222,4.966758", \ - "4.793352,4.778811,4.794977,4.822109,4.850189,4.887880,4.861724", \ - "4.783391,4.781258,4.806611,4.810318,4.777865,4.834748,4.809164", \ - "4.836714,4.831885,4.901205,4.925934,4.878400,4.896374,4.910598", \ - "5.136405,5.150961,5.143644,5.134023,5.199811,5.124541,4.893424", \ - "5.900039,5.882124,5.844040,5.810001,5.752611,5.721186,5.389723"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("1.008395,1.022842,1.056348,1.104633,1.148858,1.204236,1.251321", \ - "0.949422,0.975386,1.015827,1.058580,1.106614,1.161354,1.214663", \ - "0.894947,0.909787,0.944249,1.000567,1.055434,1.114386,1.174833", \ - "1.005554,1.011436,1.015985,1.013256,1.049254,1.111191,1.163724", \ - "1.301715,1.289339,1.284688,1.264417,1.232355,1.187317,1.210124", \ - "1.767529,1.763475,1.734631,1.696890,1.609782,1.500817,1.367835", \ - "2.430030,2.425642,2.387707,2.332327,2.230938,2.019840,1.770702"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("4.521771,4.518248,4.523972,4.533882,4.570477,4.550923,4.547089", \ - "4.456222,4.470046,4.479169,4.521568,4.535110,4.518365,4.520336", \ - "4.418094,4.431723,4.441675,4.453381,4.434671,4.527447,4.572353", \ - "4.398562,4.411012,4.416268,4.422769,4.474426,4.413648,4.506778", \ - "4.459894,4.493081,4.535535,4.516360,4.467033,4.445487,4.559931", \ - "4.717618,4.737565,4.748651,4.786836,4.837683,4.762307,4.688553", \ - "5.417503,5.407966,5.388448,5.358955,5.313432,5.246619,4.999614"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("1.060295,1.072293,1.091569,1.117675,1.162296,1.213863,1.254099", \ - "1.016840,1.028139,1.050918,1.084231,1.122343,1.170405,1.210222", \ - "0.952205,0.964523,0.984493,1.024489,1.070572,1.124727,1.169031", \ - "1.034498,1.032018,1.030593,1.025611,1.064988,1.116856,1.166659", \ - "1.321372,1.309419,1.298210,1.269423,1.227029,1.190183,1.211067", \ - "1.807587,1.782373,1.758749,1.709185,1.621804,1.500075,1.378802", \ - "2.494761,2.469306,2.431532,2.370732,2.237550,2.020320,1.770812"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); - values ("5.314564,5.327994,5.337322,5.326723,5.384935,5.374317,5.460780", \ - "5.269676,5.284639,5.296070,5.321811,5.360375,5.388562,5.435545", \ - "5.205952,5.220953,5.232379,5.279383,5.316232,5.320702,5.394370", \ - "5.211342,5.224848,5.232147,5.228361,5.215367,5.294588,5.336742", \ - "5.273241,5.330576,5.323532,5.308761,5.334275,5.308083,5.386515", \ - "5.489411,5.517893,5.541076,5.579613,5.611595,5.501435,5.428979", \ - "6.153723,6.128143,6.122191,6.134871,6.090304,6.011768,5.848824"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI22_X2 - Cell Description : Combinational cell (AOI22_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI22_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 65.223838; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 13.929740; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 56.562110; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 15.134679; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 65.323731; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 56.562110; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 99.191730; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 57.767051; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 94.454184; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 15.134681; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 57.767051; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 16.340060; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 94.446451; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 74.724650; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 103.962320; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 103.953190; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 118.327662; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.147425; - fall_capacitance : 2.777938; - rise_capacitance : 3.147425; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.477477; - fall_capacitance : 2.964608; - rise_capacitance : 3.477477; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.987578; - fall_capacitance : 2.934365; - rise_capacitance : 2.987578; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.437290; - fall_capacitance : 3.233064; - rise_capacitance : 3.437290; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 49.133300; - function : "!((A1 & A2) | (B1 & B2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.007432,0.008688,0.010316,0.013542,0.019953,0.032730,0.058250", \ - "0.008696,0.009968,0.011620,0.014881,0.021329,0.034139,0.059682", \ - "0.012048,0.013882,0.016052,0.019850,0.026341,0.039116,0.064648", \ - "0.013764,0.016386,0.019515,0.025040,0.034309,0.049072,0.074404", \ - "0.013456,0.016880,0.020947,0.028137,0.040266,0.059815,0.090034", \ - "0.010850,0.015080,0.020091,0.028957,0.043900,0.068070,0.105804", \ - "0.005780,0.010760,0.016698,0.027231,0.045019,0.073759,0.118810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.011949,0.014253,0.017214,0.023034,0.034515,0.057310,0.102756", \ - "0.013006,0.015304,0.018289,0.024180,0.035779,0.058693,0.104233", \ - "0.018884,0.021302,0.024081,0.029724,0.041140,0.064000,0.109589", \ - "0.026379,0.029765,0.033821,0.041032,0.053123,0.075415,0.120589", \ - "0.034970,0.039167,0.044231,0.053372,0.069067,0.094544,0.138909", \ - "0.044965,0.049932,0.055919,0.066755,0.085584,0.116799,0.165925", \ - "0.056478,0.062184,0.069096,0.081582,0.103273,0.139643,0.198004"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.004209,0.005259,0.006643,0.009409,0.014938,0.025991,0.048093", \ - "0.004205,0.005259,0.006642,0.009410,0.014938,0.025991,0.048096", \ - "0.006841,0.007689,0.008731,0.010610,0.015139,0.025991,0.048097", \ - "0.011390,0.012517,0.013884,0.016349,0.020626,0.028346,0.048099", \ - "0.017462,0.018870,0.020570,0.023635,0.028959,0.037855,0.053182", \ - "0.025169,0.026853,0.028913,0.032600,0.038929,0.049545,0.066803", \ - "0.034492,0.036531,0.038977,0.043343,0.050735,0.062987,0.083008"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.008386,0.010427,0.013086,0.018391,0.028982,0.050157,0.092455", \ - "0.008355,0.010399,0.013081,0.018395,0.028981,0.050158,0.092462", \ - "0.010064,0.011454,0.013545,0.018325,0.028986,0.050155,0.092465", \ - "0.015041,0.016876,0.019101,0.023023,0.030746,0.050139,0.092479", \ - "0.020687,0.022915,0.025695,0.030757,0.039413,0.054576,0.092456", \ - "0.027702,0.030187,0.033345,0.039258,0.049721,0.066929,0.098010", \ - "0.036384,0.039061,0.042520,0.049056,0.060914,0.081137,0.113196"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.007443,0.008698,0.010326,0.013552,0.019963,0.032740,0.058257", \ - "0.008750,0.010022,0.011674,0.014933,0.021382,0.034191,0.059731", \ - "0.012201,0.014026,0.016188,0.019971,0.026453,0.039227,0.064757", \ - "0.013776,0.016430,0.019590,0.025146,0.034431,0.049188,0.074525", \ - "0.012918,0.016432,0.020604,0.027934,0.040216,0.059863,0.090117", \ - "0.009305,0.013706,0.018901,0.028045,0.043336,0.067831,0.105761", \ - "0.002743,0.007990,0.014198,0.025156,0.043523,0.072892,0.118438"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.015071,0.018232,0.022302,0.030291,0.046044,0.077288,0.139564", \ - "0.015784,0.018939,0.023049,0.031152,0.047072,0.078495,0.140913", \ - "0.021692,0.024442,0.028236,0.036038,0.051764,0.083160,0.145680", \ - "0.030500,0.034464,0.039236,0.047779,0.062910,0.093646,0.155709", \ - "0.040644,0.045516,0.051425,0.062159,0.080717,0.111753,0.172735", \ - "0.052605,0.058293,0.065196,0.077803,0.099924,0.136900,0.198227", \ - "0.066582,0.073053,0.080930,0.095284,0.120545,0.163389,0.232815"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.004207,0.005259,0.006643,0.009410,0.014938,0.025991,0.048096", \ - "0.004206,0.005260,0.006642,0.009409,0.014938,0.025990,0.048096", \ - "0.006776,0.007622,0.008672,0.010564,0.015123,0.025991,0.048097", \ - "0.011336,0.012463,0.013832,0.016294,0.020569,0.028306,0.048097", \ - "0.017483,0.018919,0.020628,0.023685,0.028982,0.037832,0.053152", \ - "0.025301,0.027042,0.029137,0.032844,0.039151,0.049672,0.066823", \ - "0.034760,0.036884,0.039388,0.043821,0.051249,0.063399,0.083210"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.011773,0.014582,0.018226,0.025438,0.039767,0.068341,0.125422", \ - "0.011652,0.014508,0.018191,0.025429,0.039766,0.068347,0.125418", \ - "0.012276,0.014595,0.017938,0.025301,0.039764,0.068330,0.125417", \ - "0.017313,0.019661,0.022556,0.027750,0.040016,0.068354,0.125412", \ - "0.022963,0.025718,0.029177,0.035531,0.046510,0.069710,0.125422", \ - "0.029884,0.032917,0.036798,0.044108,0.057131,0.079013,0.126697", \ - "0.038432,0.041645,0.045830,0.053828,0.068464,0.093621,0.136632"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.007625,0.008881,0.010510,0.013742,0.020164,0.032965,0.058528", \ - "0.008932,0.010207,0.011859,0.015125,0.021584,0.034417,0.060003", \ - "0.012490,0.014291,0.016428,0.020182,0.026655,0.039452,0.065029", \ - "0.014238,0.016856,0.019976,0.025487,0.034718,0.049427,0.074796", \ - "0.013616,0.017066,0.021176,0.028437,0.040640,0.060216,0.090416", \ - "0.010318,0.014624,0.019729,0.028761,0.043945,0.068334,0.106185", \ - "0.004192,0.009288,0.015368,0.026165,0.044362,0.073584,0.119015"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.020479,0.023620,0.027679,0.035675,0.051452,0.082735,0.144989", \ - "0.021287,0.024449,0.028547,0.036627,0.052534,0.083959,0.146341", \ - "0.026561,0.029552,0.033504,0.041420,0.057213,0.088638,0.151122", \ - "0.037229,0.040785,0.045130,0.053000,0.068175,0.099039,0.161122", \ - "0.048961,0.053391,0.058840,0.068869,0.086491,0.116985,0.178081", \ - "0.062331,0.067511,0.073907,0.085761,0.106883,0.142661,0.203447", \ - "0.077635,0.083581,0.090844,0.104349,0.128546,0.170123,0.238163"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.005353,0.006555,0.008102,0.011068,0.016734,0.027827,0.049936", \ - "0.005351,0.006556,0.008102,0.011067,0.016734,0.027825,0.049937", \ - "0.008492,0.009270,0.010243,0.012185,0.016909,0.027827,0.049938", \ - "0.014580,0.015410,0.016502,0.018610,0.022529,0.030108,0.049938", \ - "0.022343,0.023272,0.024520,0.026981,0.031637,0.039916,0.054954", \ - "0.031926,0.032954,0.034382,0.037231,0.042620,0.052327,0.068841", \ - "0.043273,0.044481,0.046126,0.049424,0.055615,0.066685,0.085650"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.014643,0.017438,0.021083,0.028316,0.042708,0.071370,0.128493", \ - "0.014605,0.017420,0.021073,0.028315,0.042702,0.071368,0.128488", \ - "0.014382,0.017088,0.020901,0.028267,0.042710,0.071362,0.128505", \ - "0.018853,0.021161,0.023783,0.029578,0.042636,0.071351,0.128497", \ - "0.024649,0.027420,0.030848,0.037098,0.048034,0.072173,0.128476", \ - "0.031409,0.034594,0.038565,0.045893,0.058786,0.080627,0.129370", \ - "0.039577,0.043076,0.047458,0.055653,0.070330,0.095286,0.138606"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.008739,0.009986,0.011606,0.014824,0.021227,0.034000,0.059517", \ - "0.010017,0.011299,0.012955,0.016218,0.022666,0.035473,0.061015", \ - "0.012915,0.014492,0.016427,0.020032,0.026645,0.039542,0.065153", \ - "0.015033,0.017296,0.019984,0.024730,0.032855,0.046976,0.072836", \ - "0.015131,0.018215,0.021856,0.028211,0.038771,0.055835,0.084373", \ - "0.012879,0.016820,0.021459,0.029542,0.042901,0.064040,0.097235", \ - "0.008101,0.012904,0.018547,0.028397,0.044671,0.070311,0.109641"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.015338,0.017583,0.020499,0.026276,0.037733,0.060528,0.105967", \ - "0.016470,0.018739,0.021685,0.027508,0.039026,0.061880,0.107361", \ - "0.022541,0.024677,0.027508,0.033195,0.044591,0.067374,0.112844", \ - "0.031974,0.034963,0.038611,0.045217,0.056655,0.078942,0.124026", \ - "0.042350,0.046093,0.050695,0.059127,0.073871,0.098275,0.142483", \ - "0.054283,0.058720,0.064141,0.074151,0.091881,0.121784,0.169653", \ - "0.068079,0.073184,0.079393,0.090854,0.111233,0.146057,0.202770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.004209,0.005259,0.006644,0.009409,0.014939,0.025990,0.048097", \ - "0.004208,0.005259,0.006643,0.009408,0.014938,0.025990,0.048098", \ - "0.005456,0.006359,0.007570,0.009955,0.015055,0.025991,0.048099", \ - "0.008672,0.009594,0.010759,0.013021,0.017611,0.027136,0.048129", \ - "0.013340,0.014423,0.015758,0.018232,0.022810,0.031787,0.050564", \ - "0.019187,0.020477,0.022066,0.024960,0.030051,0.039195,0.057151", \ - "0.026061,0.027582,0.029484,0.032913,0.038827,0.048827,0.066816"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.010440,0.012482,0.015157,0.020493,0.031127,0.052358,0.094743", \ - "0.010428,0.012475,0.015154,0.020495,0.031131,0.052365,0.094733", \ - "0.011083,0.012799,0.015198,0.020462,0.031125,0.052371,0.094741", \ - "0.016032,0.017838,0.020010,0.023853,0.032263,0.052354,0.094743", \ - "0.021441,0.023787,0.026626,0.031694,0.040274,0.055977,0.094727", \ - "0.027458,0.030271,0.033710,0.039929,0.050560,0.067769,0.099583", \ - "0.034440,0.037664,0.041612,0.048800,0.061300,0.081865,0.114017"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.008749,0.009996,0.011616,0.014834,0.021237,0.034009,0.059525", \ - "0.010070,0.011353,0.013009,0.016271,0.022717,0.035525,0.061065", \ - "0.013051,0.014625,0.016558,0.020158,0.026764,0.039658,0.065264", \ - "0.015167,0.017437,0.020134,0.024885,0.033008,0.047121,0.072974", \ - "0.015008,0.018135,0.021820,0.028238,0.038861,0.055961,0.084508", \ - "0.012092,0.016146,0.020900,0.029149,0.042709,0.064019,0.097310", \ - "0.006205,0.011207,0.017047,0.027200,0.043869,0.069913,0.109531"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.019844,0.022918,0.026914,0.034831,0.050533,0.081770,0.144034", \ - "0.020676,0.023787,0.027828,0.035817,0.051609,0.082936,0.145265", \ - "0.026246,0.029195,0.033096,0.040931,0.056600,0.087872,0.150218", \ - "0.037118,0.040635,0.044954,0.052795,0.067874,0.098585,0.160498", \ - "0.049222,0.053603,0.059006,0.068946,0.086448,0.116821,0.177729", \ - "0.063155,0.068284,0.074613,0.086348,0.107271,0.142806,0.203393", \ - "0.079371,0.085208,0.092382,0.105704,0.129611,0.170804,0.238454"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.004208,0.005260,0.006645,0.009410,0.014936,0.025990,0.048095", \ - "0.004209,0.005259,0.006643,0.009408,0.014937,0.025989,0.048098", \ - "0.005421,0.006329,0.007543,0.009934,0.015048,0.025991,0.048098", \ - "0.008598,0.009527,0.010693,0.012963,0.017569,0.027114,0.048126", \ - "0.013255,0.014355,0.015700,0.018184,0.022765,0.031747,0.050540", \ - "0.019128,0.020439,0.022049,0.024968,0.030076,0.039189,0.057130", \ - "0.026068,0.027621,0.029562,0.033024,0.038966,0.048946,0.066856"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.014641,0.017450,0.021094,0.028342,0.042743,0.071418,0.128588", \ - "0.014598,0.017422,0.021083,0.028333,0.042743,0.071421,0.128593", \ - "0.014449,0.017134,0.020898,0.028281,0.042729,0.071423,0.128597", \ - "0.018833,0.021155,0.023844,0.029652,0.042691,0.071401,0.128584", \ - "0.024389,0.027224,0.030696,0.037008,0.048049,0.072268,0.128571", \ - "0.030504,0.033851,0.037977,0.045500,0.058567,0.080609,0.129484", \ - "0.037465,0.041262,0.045949,0.054548,0.069677,0.094966,0.138614"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.008929,0.010178,0.011800,0.015024,0.021439,0.034235,0.059796", \ - "0.010255,0.011539,0.013195,0.016462,0.022919,0.035750,0.061336", \ - "0.013287,0.014848,0.016771,0.020363,0.026968,0.039883,0.065536", \ - "0.015533,0.017773,0.020438,0.025156,0.033251,0.047359,0.073246", \ - "0.015555,0.018632,0.022270,0.028628,0.039190,0.056248,0.084798", \ - "0.012877,0.016854,0.021538,0.029697,0.043164,0.064398,0.097651", \ - "0.007287,0.012170,0.017932,0.027952,0.044491,0.070417,0.109957"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.025184,0.028263,0.032269,0.040205,0.055932,0.087202,0.149460", \ - "0.026103,0.029208,0.033246,0.041234,0.057032,0.088364,0.150694", \ - "0.031416,0.034442,0.038403,0.046296,0.062009,0.093297,0.155649", \ - "0.043123,0.046354,0.050358,0.057875,0.073142,0.103941,0.165900", \ - "0.056756,0.060808,0.065851,0.075217,0.091939,0.122049,0.183086", \ - "0.072005,0.076766,0.082699,0.093827,0.113882,0.148367,0.208595", \ - "0.089363,0.094823,0.101560,0.114217,0.137228,0.177286,0.243687"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.005352,0.006556,0.008102,0.011068,0.016734,0.027825,0.049935", \ - "0.005353,0.006556,0.008102,0.011067,0.016734,0.027827,0.049936", \ - "0.006834,0.007808,0.009083,0.011578,0.016837,0.027828,0.049936", \ - "0.010915,0.011691,0.012741,0.014918,0.019447,0.028937,0.049966", \ - "0.016759,0.017542,0.018586,0.020692,0.024935,0.033689,0.052364", \ - "0.023928,0.024777,0.025934,0.028263,0.032752,0.041389,0.059050", \ - "0.032311,0.033247,0.034557,0.037230,0.042294,0.051544,0.068954"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.017434,0.020250,0.023922,0.031207,0.045663,0.074423,0.131682", \ - "0.017419,0.020242,0.023916,0.031207,0.045664,0.074423,0.131685", \ - "0.017213,0.020113,0.023849,0.031190,0.045660,0.074405,0.131689", \ - "0.020416,0.022544,0.025504,0.031795,0.045518,0.074393,0.131663", \ - "0.026377,0.029100,0.032462,0.038617,0.049784,0.074869,0.131688", \ - "0.032828,0.036069,0.040083,0.047430,0.060261,0.082377,0.132227", \ - "0.039970,0.043674,0.048276,0.056762,0.071676,0.096651,0.140667"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.012557,0.013967,0.015768,0.019266,0.026030,0.039195,0.065075", \ - "0.013801,0.015216,0.017024,0.020527,0.027298,0.040469,0.066353", \ - "0.018868,0.020323,0.022089,0.025498,0.032223,0.045367,0.071235", \ - "0.024368,0.026448,0.028997,0.033651,0.041754,0.055303,0.080954", \ - "0.027756,0.030463,0.033784,0.039852,0.050503,0.068287,0.096778", \ - "0.028971,0.032271,0.036339,0.043777,0.056876,0.078923,0.114455", \ - "0.027885,0.031757,0.036545,0.045328,0.060827,0.087022,0.129452"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.021703,0.024139,0.027277,0.033459,0.045678,0.069954,0.118359", \ - "0.023068,0.025544,0.028738,0.035012,0.047349,0.071735,0.120225", \ - "0.028978,0.031408,0.034561,0.040808,0.053180,0.077689,0.126340", \ - "0.037696,0.040756,0.044512,0.051405,0.063836,0.088276,0.136957", \ - "0.046025,0.049998,0.054834,0.063603,0.078923,0.105003,0.153576", \ - "0.055392,0.060246,0.066119,0.076711,0.095136,0.126099,0.177539", \ - "0.066972,0.072621,0.079434,0.091735,0.113058,0.148783,0.207483"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.007980,0.009056,0.010459,0.013248,0.018802,0.029894,0.052068", \ - "0.007964,0.009047,0.010451,0.013246,0.018802,0.029895,0.052068", \ - "0.008842,0.009666,0.010827,0.013322,0.018779,0.029893,0.052067", \ - "0.013562,0.014615,0.015902,0.018250,0.022406,0.030995,0.052073", \ - "0.019513,0.020887,0.022566,0.025609,0.030846,0.039592,0.055651", \ - "0.026653,0.028369,0.030461,0.034244,0.040731,0.051374,0.068531", \ - "0.034981,0.037119,0.039683,0.044264,0.052016,0.064657,0.084802"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.010493,0.012585,0.015343,0.020837,0.031805,0.053637,0.097204", \ - "0.010501,0.012592,0.015340,0.020838,0.031791,0.053643,0.097205", \ - "0.010638,0.012667,0.015382,0.020845,0.031796,0.053647,0.097197", \ - "0.014304,0.015998,0.018118,0.022424,0.032130,0.053644,0.097204", \ - "0.020178,0.022031,0.024414,0.029006,0.037648,0.055409,0.097201", \ - "0.027595,0.029491,0.032029,0.036998,0.046405,0.063826,0.099702", \ - "0.036230,0.038148,0.040783,0.046060,0.056222,0.075108,0.109406"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.010306,0.011808,0.013702,0.017329,0.024249,0.037555,0.063537", \ - "0.011577,0.013065,0.014951,0.018571,0.025487,0.038793,0.064777", \ - "0.016649,0.018239,0.020167,0.023638,0.030411,0.043658,0.069616", \ - "0.021252,0.023527,0.026286,0.031241,0.039728,0.053627,0.079321", \ - "0.023641,0.026593,0.030176,0.036636,0.047787,0.066138,0.095117", \ - "0.023686,0.027294,0.031680,0.039597,0.053346,0.076124,0.112331", \ - "0.021308,0.025523,0.030679,0.040030,0.056311,0.083424,0.126726"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.024743,0.027856,0.031877,0.039805,0.055492,0.086694,0.148950", \ - "0.025972,0.029137,0.033225,0.041267,0.057106,0.088452,0.150818", \ - "0.031630,0.034735,0.038765,0.046766,0.062652,0.094150,0.156711", \ - "0.039948,0.043547,0.048019,0.056310,0.072084,0.103477,0.166075", \ - "0.048068,0.052474,0.057869,0.067827,0.085753,0.117738,0.180153", \ - "0.057528,0.062752,0.069091,0.080643,0.101187,0.137115,0.200537", \ - "0.069364,0.075406,0.082657,0.095816,0.118905,0.158840,0.227741"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.007455,0.008551,0.009965,0.012757,0.018276,0.029293,0.051381", \ - "0.007311,0.008446,0.009894,0.012716,0.018259,0.029289,0.051380", \ - "0.008748,0.009519,0.010550,0.012877,0.018145,0.029275,0.051380", \ - "0.013584,0.014631,0.015893,0.018213,0.022308,0.030588,0.051378", \ - "0.019724,0.021074,0.022717,0.025695,0.030842,0.039477,0.055257", \ - "0.027146,0.028838,0.030884,0.034567,0.040891,0.051361,0.068383", \ - "0.035851,0.037956,0.040472,0.044934,0.052482,0.064875,0.084771"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.011994,0.014714,0.018291,0.025446,0.039767,0.068327,0.125428", \ - "0.012022,0.014723,0.018295,0.025450,0.039769,0.068330,0.125413", \ - "0.012126,0.014790,0.018327,0.025467,0.039763,0.068360,0.125429", \ - "0.015018,0.017321,0.020230,0.026407,0.039850,0.068328,0.125419", \ - "0.019803,0.022267,0.025469,0.031715,0.043625,0.069146,0.125408", \ - "0.026270,0.028757,0.032039,0.038512,0.051081,0.075027,0.126369", \ - "0.034239,0.036690,0.040027,0.046657,0.059640,0.084722,0.132728"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.010548,0.012043,0.013932,0.017556,0.024477,0.037801,0.063826", \ - "0.011816,0.013298,0.015180,0.018797,0.025715,0.039038,0.065065", \ - "0.016920,0.018494,0.020399,0.023855,0.030637,0.043903,0.069904", \ - "0.021686,0.023925,0.026654,0.031568,0.040010,0.053869,0.079607", \ - "0.024274,0.027179,0.030716,0.037117,0.048213,0.066498,0.095410", \ - "0.024586,0.028127,0.032446,0.040281,0.053937,0.076628,0.112742", \ - "0.022566,0.026680,0.031738,0.040966,0.057120,0.084098,0.127300"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.030089,0.033195,0.037221,0.045176,0.060918,0.092183,0.154471", \ - "0.031459,0.034604,0.038678,0.046716,0.062574,0.093956,0.156344", \ - "0.037031,0.040144,0.044199,0.052235,0.068152,0.099687,0.162251", \ - "0.046178,0.049540,0.053740,0.061748,0.077553,0.109007,0.171629", \ - "0.055729,0.059782,0.064810,0.074267,0.091617,0.123233,0.185689", \ - "0.066574,0.071344,0.077213,0.088096,0.107872,0.143027,0.206045", \ - "0.079659,0.085203,0.091908,0.104249,0.126392,0.165398,0.233446"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.009540,0.010598,0.011987,0.014748,0.020228,0.031193,0.053247", \ - "0.009393,0.010492,0.011915,0.014708,0.020212,0.031189,0.053245", \ - "0.010699,0.011426,0.012487,0.014840,0.020099,0.031178,0.053243", \ - "0.016631,0.017435,0.018467,0.020477,0.024246,0.032466,0.053242", \ - "0.024025,0.025018,0.026302,0.028795,0.033397,0.041515,0.057088", \ - "0.032785,0.034003,0.035575,0.038610,0.044183,0.053924,0.070350", \ - "0.042922,0.044439,0.046360,0.049992,0.056571,0.068030,0.087135"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.014724,0.017493,0.021120,0.028344,0.042746,0.071425,0.128589", \ - "0.014728,0.017499,0.021122,0.028343,0.042740,0.071412,0.128600", \ - "0.014763,0.017517,0.021128,0.028346,0.042746,0.071409,0.128601", \ - "0.016789,0.019115,0.022251,0.028810,0.042761,0.071413,0.128606", \ - "0.021454,0.024029,0.027317,0.033659,0.045733,0.071891,0.128591", \ - "0.027513,0.030205,0.033653,0.040331,0.053084,0.077184,0.129284", \ - "0.035041,0.037776,0.041330,0.048268,0.061561,0.086835,0.135195"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.013818,0.015229,0.017031,0.020528,0.027292,0.040457,0.066338", \ - "0.015134,0.016548,0.018352,0.021853,0.028625,0.041797,0.067683", \ - "0.018955,0.020430,0.022279,0.025798,0.032610,0.045827,0.071750", \ - "0.023886,0.025694,0.027931,0.032097,0.039672,0.053420,0.079457", \ - "0.027584,0.029957,0.032861,0.038155,0.047447,0.063389,0.091251", \ - "0.029160,0.032167,0.035831,0.042467,0.054016,0.073288,0.105032", \ - "0.028402,0.032066,0.036497,0.044530,0.058483,0.081644,0.118792"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.025204,0.027588,0.030691,0.036841,0.049041,0.073304,0.121671", \ - "0.026791,0.029199,0.032326,0.038510,0.050751,0.075051,0.123446", \ - "0.032926,0.035327,0.038451,0.044643,0.056912,0.081267,0.129723", \ - "0.042744,0.045544,0.049033,0.055504,0.067765,0.092103,0.140582", \ - "0.052713,0.056304,0.060747,0.068937,0.083556,0.108968,0.157378", \ - "0.063827,0.068209,0.073568,0.083421,0.100897,0.130842,0.181482", \ - "0.077534,0.082592,0.088777,0.100108,0.120195,0.154588,0.212063"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.007977,0.009050,0.010456,0.013246,0.018803,0.029894,0.052069", \ - "0.007967,0.009048,0.010453,0.013246,0.018802,0.029894,0.052067", \ - "0.008342,0.009343,0.010665,0.013339,0.018804,0.029895,0.052066", \ - "0.010782,0.011767,0.013028,0.015525,0.020451,0.030510,0.052080", \ - "0.015077,0.016176,0.017545,0.020116,0.024964,0.034433,0.053870", \ - "0.020511,0.021835,0.023464,0.026448,0.031742,0.041290,0.059874", \ - "0.026848,0.028444,0.030401,0.033947,0.040073,0.050460,0.069087"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.012592,0.014705,0.017489,0.023013,0.034013,0.055881,0.099499", \ - "0.012587,0.014706,0.017487,0.023013,0.034015,0.055889,0.099502", \ - "0.012628,0.014737,0.017500,0.023019,0.034013,0.055898,0.099483", \ - "0.015456,0.017157,0.019353,0.024026,0.034153,0.055885,0.099485", \ - "0.021122,0.023071,0.025521,0.030186,0.038871,0.057262,0.099479", \ - "0.027849,0.030014,0.032772,0.037967,0.047574,0.065095,0.101605", \ - "0.035131,0.037516,0.040576,0.046396,0.057086,0.076291,0.110790"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.011586,0.013082,0.014972,0.018595,0.025510,0.038815,0.064800", \ - "0.012890,0.014383,0.016271,0.019893,0.026809,0.040116,0.066105", \ - "0.016691,0.018248,0.020192,0.023838,0.030764,0.044109,0.070129", \ - "0.021132,0.023106,0.025510,0.029892,0.037702,0.051675,0.077817", \ - "0.023903,0.026528,0.029688,0.035353,0.045082,0.061410,0.089545", \ - "0.024335,0.027663,0.031659,0.038791,0.050966,0.070882,0.103102", \ - "0.022240,0.026304,0.031134,0.039780,0.054544,0.078580,0.116470"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.029326,0.032377,0.036348,0.044231,0.059894,0.091085,0.153286", \ - "0.030837,0.033917,0.037919,0.045846,0.061560,0.092799,0.155037", \ - "0.036762,0.039833,0.043832,0.051767,0.067518,0.098820,0.161142", \ - "0.045983,0.049328,0.053529,0.061498,0.077196,0.108462,0.170809", \ - "0.055533,0.059570,0.064603,0.074030,0.091333,0.122871,0.185101", \ - "0.066596,0.071347,0.077193,0.088053,0.107730,0.142788,0.205628", \ - "0.080444,0.085907,0.092523,0.104737,0.126685,0.165442,0.233247"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.007391,0.008500,0.009927,0.012730,0.018262,0.029287,0.051381", \ - "0.007335,0.008455,0.009893,0.012710,0.018253,0.029286,0.051380", \ - "0.007854,0.008858,0.010157,0.012816,0.018239,0.029288,0.051382", \ - "0.010609,0.011548,0.012774,0.015194,0.020036,0.029973,0.051401", \ - "0.015110,0.016179,0.017515,0.020014,0.024742,0.034044,0.053301", \ - "0.020754,0.022039,0.023626,0.026533,0.031698,0.041071,0.059428", \ - "0.027336,0.028879,0.030800,0.034256,0.040234,0.050425,0.068793"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.014723,0.017483,0.021103,0.028323,0.042710,0.071364,0.128491", \ - "0.014729,0.017484,0.021106,0.028329,0.042710,0.071371,0.128487", \ - "0.014757,0.017511,0.021117,0.028325,0.042711,0.071347,0.128491", \ - "0.016805,0.019145,0.022280,0.028825,0.042736,0.071356,0.128489", \ - "0.021415,0.023999,0.027292,0.033636,0.045752,0.071866,0.128479", \ - "0.027142,0.029920,0.033447,0.040209,0.053022,0.077171,0.129218", \ - "0.033718,0.036669,0.040458,0.047667,0.061249,0.086691,0.135137"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.011827,0.013317,0.015202,0.018821,0.025738,0.039060,0.065089", \ - "0.013130,0.014618,0.016500,0.020118,0.027037,0.040362,0.066395", \ - "0.016944,0.018493,0.020427,0.024062,0.030994,0.044355,0.070418", \ - "0.021474,0.023426,0.025808,0.030162,0.037956,0.051923,0.078105", \ - "0.024400,0.026984,0.030110,0.035727,0.045404,0.061704,0.089845", \ - "0.025022,0.028298,0.032240,0.039307,0.051411,0.071257,0.103450", \ - "0.023172,0.027159,0.031915,0.040471,0.055136,0.079078,0.116893"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.034639,0.037703,0.041692,0.049607,0.065312,0.096541,0.158810", \ - "0.036204,0.039286,0.043297,0.051245,0.066990,0.098260,0.160568", \ - "0.042124,0.045208,0.049222,0.057184,0.072966,0.104296,0.166686", \ - "0.051828,0.054955,0.058966,0.066902,0.082640,0.113941,0.176337", \ - "0.062615,0.066403,0.071161,0.080212,0.097039,0.128352,0.190621", \ - "0.074869,0.079318,0.084792,0.095140,0.114208,0.148607,0.211124", \ - "0.089779,0.094827,0.101060,0.112671,0.133890,0.171867,0.238894"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.009477,0.010547,0.011946,0.014721,0.020215,0.031189,0.053246", \ - "0.009414,0.010500,0.011913,0.014702,0.020206,0.031187,0.053247", \ - "0.009905,0.010851,0.012147,0.014799,0.020190,0.031190,0.053244", \ - "0.013061,0.013889,0.015003,0.017306,0.022003,0.031868,0.053267", \ - "0.018349,0.019181,0.020287,0.022499,0.026927,0.036007,0.055158", \ - "0.024966,0.025915,0.027173,0.029626,0.034303,0.043246,0.061354", \ - "0.032613,0.033746,0.035236,0.038098,0.043387,0.052947,0.070879"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.017467,0.020269,0.023930,0.031206,0.045661,0.074403,0.131677", \ - "0.017465,0.020268,0.023931,0.031211,0.045658,0.074402,0.131673", \ - "0.017475,0.020279,0.023932,0.031211,0.045664,0.074394,0.131691", \ - "0.018729,0.021224,0.024557,0.031394,0.045676,0.074393,0.131687", \ - "0.023354,0.025958,0.029272,0.035644,0.048009,0.074693,0.131646", \ - "0.029018,0.031835,0.035378,0.042196,0.055090,0.079453,0.132150", \ - "0.035519,0.038525,0.042349,0.049637,0.063339,0.088856,0.137641"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.491607,0.509050,0.518015,0.543791,0.555024,0.568981,0.577720", \ - "0.398520,0.427399,0.475310,0.513617,0.551008,0.589208,0.609890", \ - "0.458344,0.428356,0.435331,0.467310,0.510267,0.566404,0.609238", \ - "1.080202,1.003718,0.917251,0.785650,0.662278,0.652059,0.658008", \ - "2.185555,2.076785,1.929105,1.700779,1.383107,1.047877,0.902342", \ - "3.717932,3.616692,3.479026,3.178977,2.703112,2.077132,1.511265", \ - "5.660181,5.617525,5.486437,5.218556,4.655869,3.743536,2.730416"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("5.136867,5.166818,5.253511,5.235041,5.342490,5.205793,5.199217", \ - "4.927542,5.015255,5.080717,5.115881,5.125335,5.318847,5.153658", \ - "5.020087,5.016900,5.066812,5.037272,5.148556,5.135638,4.973416", \ - "5.544420,5.630722,5.604333,5.504576,5.359619,5.251726,5.099017", \ - "6.463907,6.418849,6.422584,6.444621,6.263370,5.900150,5.648174", \ - "8.216950,8.078657,7.983035,7.807420,7.681752,7.309723,6.655647", \ - "10.661180,10.517790,10.327600,10.084120,9.658549,9.148398,8.093151"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.529637,0.533320,0.542112,0.552590,0.564959,0.575297,0.581524", \ - "0.471473,0.487996,0.517025,0.546954,0.586407,0.617358,0.643632", \ - "0.543283,0.518666,0.520213,0.546451,0.593310,0.636529,0.682194", \ - "1.095723,1.018482,0.960447,0.844583,0.730102,0.726125,0.737622", \ - "2.038662,1.957352,1.847722,1.647682,1.382388,1.080865,0.960994", \ - "3.366973,3.296409,3.182427,2.959250,2.546789,2.003125,1.502925", \ - "5.054021,5.048696,4.950410,4.729658,4.285361,3.497860,2.593712"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("5.066626,5.121243,5.138614,5.181514,5.253005,5.248662,5.333763", \ - "4.859877,4.908528,5.003361,5.089888,5.127728,5.250818,5.082250", \ - "4.915401,4.926145,4.931766,5.000750,5.028194,5.009750,4.971647", \ - "5.510469,5.462550,5.420328,5.362689,5.290864,5.088987,5.128443", \ - "6.283133,6.250026,6.283257,6.292809,6.106969,5.759097,5.660411", \ - "7.760175,7.704962,7.627854,7.513929,7.429756,6.918964,6.349773", \ - "9.968637,9.841130,9.702252,9.427997,9.135217,8.603190,7.743173"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.514196,0.521966,0.538471,0.542519,0.564515,0.573556,0.577945", \ - "0.443992,0.486525,0.513981,0.543785,0.589978,0.623626,0.641365", \ - "0.494770,0.495743,0.510106,0.541105,0.592690,0.639431,0.676918", \ - "1.047631,0.985593,0.914531,0.807468,0.729994,0.725843,0.734128", \ - "2.033037,1.950906,1.822785,1.623278,1.357690,1.078223,0.962359", \ - "3.454460,3.368853,3.217268,2.957760,2.533573,1.985225,1.501324", \ - "5.300596,5.184044,5.050399,4.782869,4.279328,3.479850,2.583491"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("6.656877,6.749780,6.779049,6.825687,6.902676,6.936873,7.012584", \ - "6.531077,6.576814,6.614630,6.666984,6.787350,6.923679,6.942237", \ - "6.526830,6.551454,6.570138,6.592236,6.682378,6.792071,6.852377", \ - "6.980355,7.003727,6.971945,6.956351,6.904661,6.921309,6.890309", \ - "7.750615,7.812745,7.904834,7.742347,7.648447,7.351449,7.038126", \ - "9.120885,9.115648,9.041633,9.036335,8.822674,8.539242,7.860430", \ - "11.240710,11.153850,11.060150,10.884570,10.608110,10.158370,9.564274"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.492489,0.522690,0.530004,0.542328,0.559965,0.565788,0.576063", \ - "0.397640,0.428594,0.462826,0.514297,0.558383,0.585974,0.610349", \ - "0.289615,0.289648,0.316010,0.386478,0.461935,0.536035,0.589378", \ - "0.661402,0.616653,0.571405,0.514817,0.450140,0.503203,0.569480", \ - "1.388225,1.318489,1.252846,1.111810,0.916610,0.706412,0.668141", \ - "2.533742,2.449347,2.354719,2.176106,1.848866,1.424952,1.029377", \ - "3.998585,3.947551,3.856858,3.675979,3.289500,2.639825,1.906320"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("6.567970,6.580901,6.622249,6.626140,6.705965,6.721006,6.672391", \ - "6.374017,6.464063,6.494905,6.501675,6.576495,6.615938,6.614693", \ - "6.479192,6.516258,6.514818,6.500114,6.478232,6.582705,6.594659", \ - "7.113539,7.098684,7.070651,7.021361,6.946355,6.730506,6.769550", \ - "7.928158,7.949340,7.936307,8.021665,7.869616,7.560948,7.210619", \ - "9.543597,9.558896,9.474207,9.352903,9.205502,8.885435,8.237745", \ - "11.953960,11.872230,11.770020,11.610310,11.334720,10.772160,10.025850"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.534413,0.542340,0.543887,0.548872,0.568015,0.573116,0.584584", \ - "0.455365,0.477919,0.514531,0.548182,0.588613,0.618851,0.645888", \ - "0.369812,0.379141,0.409863,0.459689,0.534076,0.605232,0.661213", \ - "0.697393,0.660303,0.634611,0.590992,0.532985,0.593739,0.654329", \ - "1.313072,1.262760,1.226547,1.117470,0.957699,0.772991,0.748920", \ - "2.279275,2.240905,2.161634,2.039301,1.781123,1.421192,1.070592", \ - "3.559301,3.520119,3.449225,3.331238,3.042260,2.504571,1.867112"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("6.528113,6.553576,6.568606,6.625664,6.672415,6.704311,6.468496", \ - "6.353264,6.387481,6.412195,6.505314,6.456499,6.640993,6.703236", \ - "6.406491,6.421550,6.469547,6.458100,6.480587,6.601686,6.628072", \ - "6.959277,6.943543,6.917595,6.898625,6.785637,6.794498,6.734143", \ - "7.814349,7.830852,7.916588,7.824646,7.645848,7.171867,6.935318", \ - "9.172827,9.174225,9.156746,9.131417,9.009877,8.522956,8.136860", \ - "11.272230,11.237180,11.158030,11.019180,10.718810,10.288400,9.586451"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("0.518143,0.537617,0.543512,0.553826,0.567471,0.576461,0.579945", \ - "0.444605,0.481932,0.513929,0.553523,0.590782,0.620646,0.639191", \ - "0.335816,0.359717,0.399939,0.457795,0.535751,0.606306,0.658418", \ - "0.655519,0.635991,0.605229,0.557547,0.526220,0.590988,0.651411", \ - "1.336451,1.281407,1.215562,1.091073,0.940018,0.761343,0.743555", \ - "2.356495,2.308906,2.192506,2.047351,1.770842,1.408423,1.066478", \ - "3.734067,3.647438,3.570797,3.375476,3.047530,2.504386,1.858121"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("8.098352,8.126487,8.145980,8.229920,8.170983,8.317440,8.331794", \ - "7.942969,8.031934,8.059884,8.125799,8.081821,8.258483,8.235974", \ - "7.966444,8.004298,8.062130,8.090488,8.095328,8.126527,8.160821", \ - "8.465207,8.465444,8.454792,8.449011,8.393458,8.300166,8.263372", \ - "9.355282,9.383013,9.417991,9.337894,9.198092,8.931417,8.791909", \ - "10.654480,10.643120,10.648120,10.596560,10.431890,10.012680,9.642421", \ - "12.655470,12.657250,12.565880,12.445180,12.342550,11.775640,11.076280"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("2.325588,2.363560,2.386922,2.448696,2.527824,2.606406,2.688651", \ - "2.220832,2.266096,2.322349,2.387936,2.457371,2.547411,2.625058", \ - "2.165526,2.207274,2.239257,2.305483,2.399225,2.486874,2.580721", \ - "2.557915,2.536450,2.508132,2.469930,2.499473,2.554025,2.623463", \ - "3.455529,3.412488,3.343363,3.242560,3.083435,2.912095,2.864467", \ - "4.854288,4.812959,4.724870,4.551159,4.260083,3.852265,3.450509", \ - "6.759732,6.717169,6.640286,6.451418,6.062054,5.414054,4.615094"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("8.665829,8.740705,8.769662,8.813606,8.823621,8.762633,8.879734", \ - "8.500038,8.586753,8.631167,8.686103,8.722689,8.842583,8.758202", \ - "8.355594,8.385977,8.397441,8.478568,8.559444,8.558346,8.505835", \ - "8.347483,8.349350,8.373755,8.383346,8.463691,8.550867,8.340963", \ - "8.377800,8.440183,8.482397,8.610012,8.593787,8.602678,8.501350", \ - "9.127014,9.114920,9.060905,8.991995,9.058098,8.924592,8.874822", \ - "10.784200,10.670730,10.544620,10.327180,10.048840,9.907003,9.419752"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("1.985646,2.045644,2.087853,2.197880,2.295326,2.399309,2.504509", \ - "1.885282,1.932226,2.011674,2.105984,2.203624,2.321404,2.419945", \ - "1.817744,1.879914,1.925449,2.018658,2.114478,2.233016,2.347109", \ - "2.267004,2.240244,2.234973,2.190494,2.225262,2.293165,2.361851", \ - "3.173262,3.132041,3.075926,2.960684,2.801940,2.637221,2.588559", \ - "4.551461,4.491761,4.401884,4.236766,3.936938,3.537260,3.143574", \ - "6.380777,6.345258,6.261327,6.067022,5.655047,5.039469,4.253044"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("7.952751,7.985906,8.020861,8.038456,7.980667,8.043430,7.999516", \ - "7.749065,7.833758,7.869663,7.939120,7.922869,7.960043,7.903176", \ - "7.612026,7.634185,7.669992,7.662494,7.802063,7.910993,8.033161", \ - "7.597605,7.568670,7.628773,7.657011,7.688480,7.657292,7.626568", \ - "7.568958,7.688736,7.780509,7.844554,7.702693,7.820931,7.628211", \ - "8.241350,8.247202,8.202918,8.282246,8.397887,8.109923,8.125465", \ - "9.815596,9.705995,9.613853,9.456662,9.311997,9.153653,8.608323"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("2.086685,2.139649,2.160635,2.241154,2.329073,2.416909,2.506056", \ - "2.006546,2.046773,2.080790,2.151033,2.240334,2.332218,2.427481", \ - "1.915156,1.958191,1.986145,2.066663,2.149886,2.252053,2.349705", \ - "2.299953,2.264309,2.247579,2.214189,2.246380,2.309417,2.375265", \ - "3.221986,3.161330,3.089897,2.959875,2.794615,2.650397,2.595583", \ - "4.634526,4.538637,4.432512,4.229522,3.943110,3.530776,3.141448", \ - "6.536930,6.444774,6.328786,6.102484,5.676691,5.027578,4.242249"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("9.529075,9.592805,9.582453,9.637529,9.579865,9.774293,9.507054", \ - "9.396940,9.437756,9.469898,9.580271,9.549804,9.741503,9.744178", \ - "9.207688,9.227406,9.256191,9.318088,9.472955,9.589645,9.545698", \ - "9.175451,9.200684,9.218884,9.243898,9.288751,9.423771,9.474061", \ - "9.226622,9.307617,9.398325,9.433707,9.447898,9.481485,9.410623", \ - "9.724669,9.744251,9.762350,9.808371,9.981060,9.861881,9.626168", \ - "11.165410,11.093770,11.042810,10.897780,10.834450,10.752550,10.423660"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("2.313970,2.365164,2.404055,2.463337,2.531016,2.606316,2.692259", \ - "2.249394,2.288837,2.330604,2.392912,2.461144,2.549734,2.631475", \ - "2.108921,2.155426,2.220571,2.283802,2.379162,2.474285,2.569658", \ - "2.307339,2.312713,2.297217,2.293030,2.361002,2.455611,2.561027", \ - "2.862723,2.849268,2.810804,2.779415,2.697239,2.616343,2.659663", \ - "3.799282,3.791811,3.733397,3.655944,3.484205,3.246985,3.001704", \ - "5.187633,5.155667,5.118890,4.995097,4.739258,4.349700,3.828996"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("10.064250,10.128820,10.150720,10.101880,10.125260,10.217810,10.242350", \ - "9.996488,10.029620,10.057610,10.077980,10.155390,9.989607,10.162220", \ - "9.873670,9.874711,9.947211,9.950994,9.976695,10.052500,10.157170", \ - "9.874499,9.874550,9.898411,9.872306,9.868432,9.899090,10.149380", \ - "9.947315,10.036700,10.106590,10.118850,10.066050,10.136700,10.037830", \ - "10.529950,10.560930,10.599320,10.623690,10.614590,10.596210,10.432640", \ - "12.054710,12.006080,11.921800,11.836850,11.678830,11.669520,10.979930"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("1.993907,2.046429,2.106000,2.198802,2.291598,2.401231,2.505846", \ - "1.898231,1.943669,2.015254,2.110411,2.207736,2.316366,2.421181", \ - "1.753510,1.823917,1.894428,1.992020,2.109875,2.224999,2.339242", \ - "2.015740,2.027943,2.020162,2.015084,2.099385,2.202529,2.315206", \ - "2.583917,2.571620,2.531864,2.506893,2.447746,2.350639,2.406163", \ - "3.514836,3.490361,3.453725,3.365153,3.209447,2.979005,2.731355", \ - "4.851722,4.826136,4.770575,4.651772,4.416350,4.025257,3.530655"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("9.329554,9.393952,9.362449,9.393757,9.481560,9.514383,9.331259", \ - "9.227437,9.278023,9.274817,9.356135,9.386204,9.472913,9.210187", \ - "9.156740,9.177377,9.196787,9.219957,9.177821,9.371675,9.380696", \ - "9.156101,9.125147,9.193380,9.184754,9.265094,9.318058,9.363504", \ - "9.200657,9.280946,9.390081,9.333303,9.344228,9.408102,9.344906", \ - "9.704819,9.730799,9.754081,9.850965,9.804136,9.737449,9.611456", \ - "11.095710,11.042470,11.005160,10.985210,10.923290,10.814280,10.427290"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("2.077235,2.140802,2.160635,2.243302,2.330555,2.420073,2.507551", \ - "1.998464,2.052202,2.073935,2.140755,2.243144,2.334345,2.431258", \ - "1.851821,1.908978,1.973808,2.029309,2.139539,2.243318,2.347365", \ - "2.035163,2.035330,2.034089,2.040097,2.119652,2.222815,2.323109", \ - "2.614797,2.601957,2.559704,2.525510,2.444878,2.366717,2.421920", \ - "3.589109,3.536722,3.482865,3.383175,3.215585,2.978805,2.732843", \ - "4.974129,4.913344,4.853421,4.704483,4.442566,4.030527,3.522171"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); - values ("10.947780,10.974900,10.993120,11.041810,11.037880,11.157760,11.175070", \ - "10.857140,10.888170,10.911240,10.948890,10.965960,11.034560,11.056680", \ - "10.727080,10.757910,10.786600,10.844020,10.943200,10.890670,10.939710", \ - "10.731990,10.759810,10.772370,10.824070,10.784940,10.957200,10.941540", \ - "10.844810,10.950070,10.974110,10.992650,10.969480,10.920150,10.853440", \ - "11.248820,11.300370,11.345690,11.473530,11.459650,11.469310,11.089670", \ - "12.521740,12.537560,12.531390,12.452460,12.495380,12.219640,11.912670"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI22_X4 - Cell Description : Combinational cell (AOI22_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI22_X4) { - - drive_strength : 4; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 130.447551; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 27.859370; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 113.124000; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 30.269356; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 130.647330; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 113.124000; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 198.383020; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 115.533879; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 188.908280; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 30.269361; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 115.533883; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 32.680007; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 188.892990; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 149.449520; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 207.924640; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 207.906050; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 236.655133; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.418618; - fall_capacitance : 5.676731; - rise_capacitance : 6.418618; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.780931; - fall_capacitance : 5.763700; - rise_capacitance : 6.780931; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.090127; - fall_capacitance : 5.982798; - rise_capacitance : 6.090127; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.605098; - fall_capacitance : 6.180211; - rise_capacitance : 6.605098; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 97.961400; - function : "!((A1 & A2) | (B1 & B2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.007256,0.008706,0.010332,0.013553,0.019951,0.032703,0.058170", \ - "0.008514,0.009987,0.011636,0.014891,0.021328,0.034112,0.059602", \ - "0.011770,0.013901,0.016067,0.019859,0.026339,0.039088,0.064568", \ - "0.013354,0.016403,0.019527,0.025044,0.034296,0.049041,0.074324", \ - "0.012910,0.016889,0.020947,0.028127,0.040240,0.059762,0.089946", \ - "0.010153,0.015069,0.020069,0.028924,0.043848,0.067986,0.105682", \ - "0.004916,0.010703,0.016634,0.027163,0.044934,0.073644,0.118645"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.011709,0.014389,0.017366,0.023215,0.034755,0.057662,0.103341", \ - "0.012762,0.015433,0.018436,0.024357,0.036013,0.059044,0.104818", \ - "0.018599,0.021426,0.024210,0.029887,0.041367,0.064346,0.110168", \ - "0.025972,0.029913,0.033980,0.041210,0.053333,0.075746,0.121157", \ - "0.034473,0.039351,0.044425,0.053586,0.069323,0.094869,0.139459", \ - "0.044396,0.050157,0.056157,0.067015,0.085887,0.117181,0.166453", \ - "0.055837,0.062465,0.069389,0.081897,0.103634,0.140087,0.198604"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.004058,0.005270,0.006652,0.009415,0.014937,0.025978,0.048062", \ - "0.004055,0.005270,0.006651,0.009414,0.014937,0.025978,0.048058", \ - "0.006713,0.007692,0.008735,0.010614,0.015140,0.025980,0.048060", \ - "0.011222,0.012518,0.013882,0.016347,0.020622,0.028337,0.048062", \ - "0.017241,0.018872,0.020569,0.023629,0.028948,0.037836,0.053169", \ - "0.024881,0.026838,0.028906,0.032593,0.038914,0.049515,0.066769", \ - "0.034178,0.036499,0.038966,0.043334,0.050725,0.062954,0.082956"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.008147,0.010504,0.013178,0.018520,0.029174,0.050456,0.092983", \ - "0.008105,0.010483,0.013175,0.018512,0.029166,0.050444,0.093001", \ - "0.009910,0.011516,0.013621,0.018448,0.029165,0.050446,0.092987", \ - "0.014802,0.016936,0.019174,0.023106,0.030885,0.050430,0.093007", \ - "0.020381,0.022966,0.025766,0.030853,0.039535,0.054808,0.092983", \ - "0.027356,0.030226,0.033408,0.039348,0.049848,0.067132,0.098453", \ - "0.035989,0.039087,0.042575,0.049138,0.061034,0.081345,0.113559"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.007266,0.008715,0.010341,0.013562,0.019961,0.032711,0.058177", \ - "0.008569,0.010041,0.011690,0.014944,0.021381,0.034165,0.059653", \ - "0.011922,0.014045,0.016203,0.019979,0.026451,0.039200,0.064678", \ - "0.013361,0.016450,0.019603,0.025151,0.034419,0.049156,0.074445", \ - "0.012358,0.016449,0.020609,0.027930,0.040188,0.059810,0.090029", \ - "0.008595,0.013705,0.018890,0.028019,0.043292,0.067754,0.105637", \ - "0.001875,0.007953,0.014155,0.025105,0.043445,0.072784,0.118275"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.014734,0.018402,0.022478,0.030486,0.046266,0.077572,0.139977", \ - "0.015443,0.019102,0.023222,0.031341,0.047295,0.078777,0.141320", \ - "0.021364,0.024580,0.028393,0.036217,0.051978,0.083439,0.146086", \ - "0.030000,0.034610,0.039388,0.047947,0.063115,0.093919,0.156108", \ - "0.040025,0.045677,0.051596,0.062343,0.080925,0.112016,0.173138", \ - "0.051890,0.058479,0.065391,0.078012,0.100157,0.137180,0.198622", \ - "0.065771,0.073273,0.081159,0.095528,0.120814,0.163705,0.233217"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.004058,0.005270,0.006652,0.009414,0.014938,0.025978,0.048060", \ - "0.004056,0.005271,0.006652,0.009415,0.014937,0.025979,0.048058", \ - "0.006642,0.007628,0.008675,0.010568,0.015125,0.025980,0.048060", \ - "0.011166,0.012468,0.013832,0.016290,0.020564,0.028298,0.048064", \ - "0.017272,0.018920,0.020625,0.023688,0.028969,0.037812,0.053138", \ - "0.025024,0.027035,0.029132,0.032836,0.039138,0.049638,0.066789", \ - "0.034443,0.036845,0.039367,0.043803,0.051223,0.063356,0.083155"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.011399,0.014643,0.018292,0.025514,0.039886,0.068520,0.125737", \ - "0.011276,0.014583,0.018265,0.025510,0.039870,0.068532,0.125739", \ - "0.011991,0.014674,0.018014,0.025402,0.039873,0.068510,0.125737", \ - "0.016999,0.019716,0.022626,0.027827,0.040126,0.068524,0.125743", \ - "0.022577,0.025764,0.029237,0.035607,0.046610,0.069879,0.125737", \ - "0.029444,0.032943,0.036848,0.044179,0.057231,0.079170,0.127010", \ - "0.037945,0.041655,0.045871,0.053886,0.068552,0.093764,0.136910"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.007446,0.008898,0.010526,0.013753,0.020163,0.032939,0.058451", \ - "0.008752,0.010226,0.011877,0.015136,0.021584,0.034392,0.059927", \ - "0.012216,0.014310,0.016444,0.020190,0.026653,0.039426,0.064952", \ - "0.013831,0.016874,0.019987,0.025489,0.034706,0.049397,0.074717", \ - "0.013065,0.017078,0.021179,0.028430,0.040614,0.060165,0.090330", \ - "0.009633,0.014615,0.019715,0.028737,0.043900,0.068257,0.106066", \ - "0.003340,0.009248,0.015320,0.026111,0.044287,0.073479,0.118858"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.020179,0.023823,0.027892,0.035905,0.051716,0.083060,0.145464", \ - "0.020980,0.024647,0.028755,0.036853,0.052795,0.084288,0.146812", \ - "0.026267,0.029736,0.033701,0.041638,0.057467,0.088966,0.151587", \ - "0.036838,0.040967,0.045319,0.053197,0.068424,0.099364,0.161584", \ - "0.048464,0.053601,0.059057,0.069094,0.086738,0.117303,0.178540", \ - "0.061750,0.067752,0.074147,0.086020,0.107164,0.142984,0.203914", \ - "0.076998,0.083842,0.091125,0.104641,0.128867,0.170493,0.238624"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.005176,0.006567,0.008112,0.011076,0.016738,0.027820,0.049908", \ - "0.005173,0.006567,0.008112,0.011076,0.016738,0.027821,0.049906", \ - "0.008370,0.009274,0.010247,0.012191,0.016914,0.027821,0.049907", \ - "0.014459,0.015413,0.016502,0.018612,0.022531,0.030108,0.049908", \ - "0.022218,0.023279,0.024526,0.026985,0.031633,0.039906,0.054945", \ - "0.031791,0.032962,0.034396,0.037237,0.042618,0.052306,0.068815", \ - "0.043122,0.044484,0.046143,0.049437,0.055617,0.066660,0.085608"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.014283,0.017526,0.021172,0.028428,0.042848,0.071569,0.128865", \ - "0.014247,0.017506,0.021163,0.028423,0.042851,0.071564,0.128869", \ - "0.014061,0.017199,0.021011,0.028383,0.042844,0.071568,0.128862", \ - "0.018562,0.021244,0.023864,0.029680,0.042789,0.071561,0.128854", \ - "0.024278,0.027496,0.030930,0.037196,0.048151,0.072399,0.128852", \ - "0.030971,0.034657,0.038641,0.045986,0.058898,0.080800,0.129750", \ - "0.039097,0.043125,0.047526,0.055733,0.070438,0.095457,0.138917"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.008581,0.010022,0.011641,0.014853,0.021245,0.033992,0.059456", \ - "0.009854,0.011336,0.012990,0.016248,0.022684,0.035466,0.060954", \ - "0.012701,0.014531,0.016462,0.020059,0.026662,0.039533,0.065090", \ - "0.014709,0.017339,0.020022,0.024756,0.032865,0.046961,0.072770", \ - "0.014668,0.018261,0.021891,0.028233,0.038770,0.055807,0.084297", \ - "0.012265,0.016860,0.021486,0.029552,0.042887,0.063989,0.097137", \ - "0.007327,0.012926,0.018554,0.028383,0.044636,0.070238,0.109508"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.015156,0.017768,0.020699,0.026504,0.038018,0.060918,0.106575", \ - "0.016276,0.018916,0.021877,0.027730,0.039304,0.062264,0.107967", \ - "0.022355,0.024836,0.027685,0.033405,0.044859,0.067754,0.113443", \ - "0.031678,0.035155,0.038810,0.045429,0.056905,0.079310,0.124608", \ - "0.041982,0.046328,0.050933,0.059385,0.074161,0.098619,0.143057", \ - "0.053855,0.058989,0.064425,0.074452,0.092222,0.122196,0.170209", \ - "0.067631,0.073512,0.079739,0.091213,0.111630,0.146532,0.203380"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.004058,0.005271,0.006652,0.009415,0.014936,0.025980,0.048063", \ - "0.004058,0.005269,0.006652,0.009415,0.014937,0.025979,0.048062", \ - "0.005321,0.006369,0.007577,0.009959,0.015054,0.025979,0.048065", \ - "0.008540,0.009607,0.010759,0.013027,0.017610,0.027127,0.048094", \ - "0.013187,0.014438,0.015769,0.018233,0.022804,0.031776,0.050538", \ - "0.019002,0.020488,0.022076,0.024959,0.030049,0.039177,0.057121", \ - "0.025844,0.027593,0.029493,0.032928,0.038825,0.048805,0.066784"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.010213,0.012587,0.015274,0.020636,0.031328,0.052660,0.095262", \ - "0.010207,0.012583,0.015271,0.020632,0.031325,0.052675,0.095262", \ - "0.010895,0.012887,0.015307,0.020607,0.031325,0.052675,0.095263", \ - "0.015817,0.017917,0.020100,0.023952,0.032425,0.052658,0.095277", \ - "0.021145,0.023871,0.026716,0.031801,0.040416,0.056222,0.095259", \ - "0.027091,0.030357,0.033805,0.040037,0.050705,0.067980,0.100026", \ - "0.034012,0.037747,0.041709,0.048914,0.061451,0.082084,0.114391"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.008591,0.010032,0.011650,0.014863,0.021255,0.034001,0.059465", \ - "0.009908,0.011390,0.013044,0.016301,0.022735,0.035518,0.061005", \ - "0.012837,0.014664,0.016592,0.020186,0.026781,0.039649,0.065203", \ - "0.014840,0.017482,0.020171,0.024910,0.033017,0.047105,0.072908", \ - "0.014538,0.018179,0.021856,0.028260,0.038860,0.055931,0.084429", \ - "0.011473,0.016191,0.020929,0.029162,0.042696,0.063972,0.097211", \ - "0.005414,0.011236,0.017063,0.027196,0.043839,0.069840,0.109399"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.019571,0.023134,0.027138,0.035069,0.050800,0.082094,0.144475", \ - "0.020386,0.023994,0.028043,0.036047,0.051869,0.083250,0.145695", \ - "0.025965,0.029383,0.033297,0.041151,0.056850,0.088181,0.150643", \ - "0.036735,0.040825,0.045145,0.052996,0.068117,0.098894,0.160914", \ - "0.048733,0.053815,0.059221,0.069172,0.086688,0.117119,0.178149", \ - "0.062585,0.068523,0.074855,0.086599,0.107537,0.143107,0.203812", \ - "0.078725,0.085478,0.092654,0.105989,0.129914,0.171145,0.238866"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.004059,0.005271,0.006653,0.009414,0.014936,0.025978,0.048063", \ - "0.004057,0.005270,0.006653,0.009414,0.014937,0.025978,0.048061", \ - "0.005287,0.006336,0.007551,0.009939,0.015046,0.025980,0.048061", \ - "0.008468,0.009538,0.010700,0.012971,0.017569,0.027107,0.048092", \ - "0.013096,0.014368,0.015710,0.018186,0.022750,0.031737,0.050516", \ - "0.018940,0.020451,0.022062,0.024972,0.030066,0.039172,0.057101", \ - "0.025850,0.027640,0.029570,0.033036,0.038958,0.048925,0.066820"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.014289,0.017527,0.021179,0.028433,0.042859,0.071604,0.128902", \ - "0.014243,0.017500,0.021165,0.028432,0.042858,0.071611,0.128912", \ - "0.014135,0.017220,0.020999,0.028389,0.042852,0.071592,0.128908", \ - "0.018541,0.021237,0.023926,0.029755,0.042837,0.071593,0.128901", \ - "0.024008,0.027297,0.030776,0.037097,0.048159,0.072455,0.128900", \ - "0.030048,0.033922,0.038057,0.045585,0.058672,0.080767,0.129810", \ - "0.036955,0.041331,0.046024,0.054638,0.069784,0.095118,0.138894"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.008772,0.010214,0.011835,0.015054,0.021457,0.034228,0.059738", \ - "0.010093,0.011576,0.013231,0.016492,0.022939,0.035745,0.061280", \ - "0.013076,0.014887,0.016807,0.020391,0.026985,0.039876,0.065478", \ - "0.015213,0.017816,0.020476,0.025181,0.033262,0.047345,0.073183", \ - "0.015095,0.018675,0.022305,0.028650,0.039190,0.056221,0.084722", \ - "0.012256,0.016891,0.021563,0.029712,0.043153,0.064352,0.097555", \ - "0.006502,0.012206,0.017933,0.027944,0.044461,0.070346,0.109830"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.024943,0.028514,0.032529,0.040482,0.056244,0.087560,0.149952", \ - "0.025847,0.029449,0.033497,0.041504,0.057336,0.088725,0.151201", \ - "0.031159,0.034667,0.038640,0.046554,0.062304,0.093655,0.156142", \ - "0.042827,0.046574,0.050581,0.058119,0.073428,0.104299,0.166368", \ - "0.056362,0.061056,0.066100,0.075479,0.092218,0.122397,0.183573", \ - "0.071556,0.077040,0.082985,0.094121,0.114200,0.148712,0.209085", \ - "0.088838,0.095126,0.101872,0.114557,0.137582,0.177680,0.244165"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.005175,0.006567,0.008112,0.011076,0.016738,0.027820,0.049907", \ - "0.005177,0.006567,0.008112,0.011076,0.016738,0.027822,0.049909", \ - "0.006689,0.007817,0.009090,0.011586,0.016842,0.027822,0.049906", \ - "0.010807,0.011697,0.012747,0.014929,0.019451,0.028934,0.049937", \ - "0.016664,0.017554,0.018596,0.020703,0.024937,0.033685,0.052344", \ - "0.023836,0.024794,0.025951,0.028272,0.032752,0.041374,0.059027", \ - "0.032209,0.033249,0.034588,0.037254,0.042302,0.051513,0.068929"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.017097,0.020351,0.024031,0.031333,0.045823,0.074640,0.132039", \ - "0.017077,0.020346,0.024027,0.031330,0.045819,0.074637,0.132060", \ - "0.016875,0.020231,0.023968,0.031316,0.045818,0.074627,0.132055", \ - "0.020183,0.022632,0.025604,0.031922,0.045691,0.074620,0.132035", \ - "0.026035,0.029188,0.032562,0.038731,0.049921,0.075090,0.132063", \ - "0.032417,0.036150,0.040184,0.047545,0.060393,0.082550,0.132604", \ - "0.039482,0.043740,0.048370,0.056875,0.071810,0.096825,0.140980"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.012268,0.013900,0.015697,0.019182,0.025927,0.039057,0.064875", \ - "0.013510,0.015148,0.016949,0.020440,0.027194,0.040330,0.066152", \ - "0.018560,0.020249,0.022015,0.025413,0.032118,0.045229,0.071034", \ - "0.023904,0.026329,0.028878,0.033525,0.041624,0.055162,0.080753", \ - "0.027144,0.030313,0.033622,0.039677,0.050316,0.068101,0.096572", \ - "0.028210,0.032080,0.036132,0.043558,0.056644,0.078675,0.114186", \ - "0.026971,0.031501,0.036281,0.045058,0.060549,0.086709,0.129118"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.021235,0.024057,0.027203,0.033400,0.045649,0.069986,0.118512", \ - "0.022597,0.025466,0.028667,0.034955,0.047321,0.071770,0.120382", \ - "0.028489,0.031309,0.034470,0.040733,0.053136,0.077706,0.126478", \ - "0.037028,0.040591,0.044362,0.051283,0.063748,0.088251,0.137053", \ - "0.045187,0.049807,0.054658,0.063441,0.078787,0.104930,0.153624", \ - "0.054460,0.060088,0.065958,0.076553,0.094989,0.125977,0.177525", \ - "0.065970,0.072530,0.079341,0.091618,0.112917,0.148633,0.207386"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.007791,0.009026,0.010426,0.013208,0.018752,0.029828,0.051973", \ - "0.007775,0.009018,0.010420,0.013205,0.018752,0.029828,0.051974", \ - "0.008717,0.009662,0.010813,0.013296,0.018729,0.029828,0.051974", \ - "0.013380,0.014600,0.015888,0.018233,0.022396,0.030955,0.051978", \ - "0.019275,0.020856,0.022539,0.025586,0.030817,0.039562,0.055595", \ - "0.026352,0.028321,0.030428,0.034216,0.040687,0.051321,0.068481", \ - "0.034636,0.037071,0.039645,0.044224,0.051970,0.064589,0.084721"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.010195,0.012624,0.015386,0.020902,0.031904,0.053800,0.097537", \ - "0.010214,0.012630,0.015389,0.020902,0.031921,0.053816,0.097514", \ - "0.010355,0.012705,0.015426,0.020911,0.031893,0.053798,0.097517", \ - "0.014081,0.016061,0.018188,0.022508,0.032238,0.053810,0.097527", \ - "0.019927,0.022070,0.024460,0.029068,0.037752,0.055592,0.097526", \ - "0.027312,0.029503,0.032044,0.037019,0.046463,0.063974,0.100016", \ - "0.035896,0.038083,0.040736,0.046026,0.056229,0.075195,0.109679"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.010044,0.011778,0.013665,0.017278,0.024170,0.037435,0.063350", \ - "0.011315,0.013034,0.014911,0.018516,0.025406,0.038672,0.064587", \ - "0.016338,0.018192,0.020116,0.023580,0.030329,0.043537,0.069427", \ - "0.020789,0.023436,0.026190,0.031139,0.039619,0.053503,0.079134", \ - "0.023016,0.026468,0.030035,0.036488,0.047629,0.065960,0.094921", \ - "0.022909,0.027134,0.031498,0.039405,0.053136,0.075887,0.112068", \ - "0.020373,0.025307,0.030450,0.039789,0.056053,0.083126,0.126408"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.024144,0.027755,0.031782,0.039723,0.055445,0.086710,0.149091", \ - "0.025374,0.029039,0.033134,0.041193,0.057063,0.088472,0.150964", \ - "0.031017,0.034607,0.038646,0.046666,0.062580,0.094142,0.156833", \ - "0.039157,0.043339,0.047829,0.056150,0.071952,0.103414,0.166136", \ - "0.047118,0.052226,0.057640,0.067614,0.085573,0.117627,0.180166", \ - "0.056477,0.062532,0.068877,0.080430,0.100984,0.136972,0.200503", \ - "0.068267,0.075249,0.082511,0.095648,0.118720,0.158653,0.227632"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.007257,0.008517,0.009928,0.012710,0.018217,0.029217,0.051281", \ - "0.007107,0.008417,0.009858,0.012671,0.018202,0.029214,0.051280", \ - "0.008617,0.009511,0.010533,0.012847,0.018092,0.029202,0.051278", \ - "0.013397,0.014600,0.015867,0.018174,0.022285,0.030540,0.051278", \ - "0.019476,0.021026,0.022676,0.025646,0.030789,0.039437,0.055195", \ - "0.026843,0.028768,0.030829,0.034521,0.040830,0.051290,0.068323", \ - "0.035494,0.037887,0.040408,0.044880,0.052418,0.064781,0.084678"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.011612,0.014756,0.018350,0.025535,0.039888,0.068511,0.125738", \ - "0.011635,0.014770,0.018352,0.025527,0.039878,0.068532,0.125739", \ - "0.011752,0.014836,0.018384,0.025533,0.039878,0.068530,0.125743", \ - "0.014709,0.017394,0.020312,0.026499,0.039971,0.068530,0.125746", \ - "0.019470,0.022323,0.025529,0.031790,0.043753,0.069320,0.125747", \ - "0.025929,0.028790,0.032076,0.038559,0.051170,0.075199,0.126704", \ - "0.033835,0.036670,0.040013,0.046657,0.059678,0.084844,0.133044"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.010289,0.012013,0.013895,0.017503,0.024399,0.037681,0.063643", \ - "0.011556,0.013267,0.015140,0.018742,0.025635,0.038918,0.064882", \ - "0.016615,0.018446,0.020348,0.023797,0.030557,0.043784,0.069717", \ - "0.021225,0.023835,0.026557,0.031466,0.039901,0.053746,0.079423", \ - "0.023661,0.027051,0.030574,0.036967,0.048047,0.066318,0.095219", \ - "0.023822,0.027959,0.032258,0.040084,0.053724,0.076391,0.112487", \ - "0.021651,0.026448,0.031492,0.040720,0.056854,0.083803,0.126979"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.029521,0.033123,0.037155,0.045125,0.060894,0.092215,0.154619", \ - "0.030890,0.034535,0.038616,0.046669,0.062553,0.093992,0.156493", \ - "0.036440,0.040049,0.044110,0.052162,0.068108,0.099698,0.162378", \ - "0.045465,0.049371,0.053588,0.061614,0.077449,0.108968,0.171692", \ - "0.054870,0.059554,0.064601,0.074082,0.091458,0.123147,0.185709", \ - "0.065621,0.071151,0.077011,0.087903,0.107686,0.142905,0.206028", \ - "0.078681,0.085111,0.091767,0.104082,0.126209,0.165225,0.233361"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.009341,0.010561,0.011945,0.014701,0.020173,0.031123,0.053154", \ - "0.009187,0.010457,0.011875,0.014663,0.020158,0.031121,0.053155", \ - "0.010589,0.011411,0.012467,0.014810,0.020049,0.031111,0.053153", \ - "0.016489,0.017405,0.018441,0.020448,0.024227,0.032425,0.053152", \ - "0.023850,0.024974,0.026268,0.028756,0.033357,0.041481,0.057040", \ - "0.032572,0.033946,0.035535,0.038565,0.044134,0.053867,0.070302", \ - "0.042685,0.044400,0.046319,0.049948,0.056522,0.067945,0.087066"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.014364,0.017566,0.021199,0.028441,0.042862,0.071593,0.128906", \ - "0.014367,0.017569,0.021202,0.028442,0.042857,0.071594,0.128905", \ - "0.014401,0.017590,0.021209,0.028441,0.042863,0.071591,0.128907", \ - "0.016514,0.019206,0.022347,0.028917,0.042888,0.071599,0.128907", \ - "0.021122,0.024105,0.027399,0.033759,0.045875,0.072085,0.128901", \ - "0.027145,0.030250,0.033704,0.040393,0.053185,0.077371,0.129619", \ - "0.034602,0.037763,0.041336,0.048282,0.061606,0.086972,0.135515"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.013554,0.015182,0.016976,0.020462,0.027208,0.040338,0.066158", \ - "0.014863,0.016495,0.018296,0.021786,0.028539,0.041676,0.067501", \ - "0.018665,0.020371,0.022216,0.025728,0.032522,0.045705,0.071568", \ - "0.023508,0.025608,0.027845,0.032002,0.039564,0.053291,0.079268", \ - "0.027068,0.029836,0.032738,0.038031,0.047308,0.063226,0.091049", \ - "0.028494,0.032016,0.035664,0.042292,0.053836,0.073085,0.104795", \ - "0.027574,0.031873,0.036290,0.044310,0.058257,0.081394,0.118483"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.024794,0.027561,0.030672,0.036840,0.049075,0.073404,0.121906", \ - "0.026376,0.029169,0.032304,0.038506,0.050782,0.075150,0.123678", \ - "0.032489,0.035275,0.038408,0.044618,0.056922,0.081342,0.129933", \ - "0.042171,0.045434,0.048936,0.055432,0.067735,0.092139,0.140747", \ - "0.051984,0.056162,0.060610,0.068813,0.083469,0.108943,0.157496", \ - "0.063039,0.068119,0.073463,0.083312,0.100785,0.130775,0.181531", \ - "0.076694,0.082583,0.088731,0.100033,0.120092,0.154487,0.212024"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.007784,0.009021,0.010422,0.013207,0.018752,0.029828,0.051974", \ - "0.007780,0.009018,0.010420,0.013206,0.018752,0.029827,0.051972", \ - "0.008178,0.009322,0.010641,0.013306,0.018754,0.029829,0.051975", \ - "0.010629,0.011753,0.013014,0.015500,0.020419,0.030458,0.051984", \ - "0.014901,0.016168,0.017536,0.020100,0.024926,0.034392,0.053795", \ - "0.020310,0.021823,0.023457,0.026435,0.031714,0.041247,0.059805", \ - "0.026606,0.028428,0.030390,0.033934,0.040045,0.050417,0.069009"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.012309,0.014770,0.017557,0.023104,0.034143,0.056090,0.099866", \ - "0.012310,0.014770,0.017561,0.023106,0.034144,0.056104,0.099848", \ - "0.012356,0.014797,0.017570,0.023108,0.034150,0.056099,0.099849", \ - "0.015250,0.017237,0.019444,0.024119,0.034272,0.056088,0.099852", \ - "0.020873,0.023134,0.025593,0.030267,0.038994,0.057481,0.099850", \ - "0.027521,0.030037,0.032790,0.038003,0.047650,0.065266,0.101978", \ - "0.034719,0.037480,0.040554,0.046378,0.057116,0.076403,0.111116"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.011343,0.013072,0.014954,0.018561,0.025451,0.038715,0.064632", \ - "0.012645,0.014369,0.016250,0.019856,0.026748,0.040014,0.065935", \ - "0.016419,0.018221,0.020159,0.023796,0.030701,0.044005,0.069958", \ - "0.020758,0.023050,0.025451,0.029822,0.037618,0.051564,0.077641", \ - "0.023381,0.026440,0.029595,0.035247,0.044964,0.061266,0.089358", \ - "0.023645,0.027545,0.031523,0.038643,0.050809,0.070697,0.102877", \ - "0.021399,0.026145,0.030960,0.039588,0.054345,0.078348,0.116183"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.028797,0.032335,0.036316,0.044218,0.059916,0.091174,0.153519", \ - "0.030302,0.033873,0.037884,0.045830,0.061579,0.092886,0.155269", \ - "0.036200,0.039761,0.043768,0.051722,0.067509,0.098886,0.161342", \ - "0.045289,0.049178,0.053400,0.061390,0.077131,0.108467,0.170944", \ - "0.054692,0.059376,0.064417,0.073868,0.091209,0.122819,0.185187", \ - "0.065701,0.071196,0.077030,0.087877,0.107587,0.142699,0.205670", \ - "0.079537,0.085853,0.092436,0.104621,0.126542,0.165316,0.233209"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.007196,0.008468,0.009889,0.012683,0.018204,0.029213,0.051280", \ - "0.007135,0.008424,0.009856,0.012665,0.018196,0.029211,0.051280", \ - "0.007681,0.008835,0.010130,0.012779,0.018185,0.029215,0.051280", \ - "0.010446,0.011527,0.012749,0.015162,0.019995,0.029915,0.051302", \ - "0.014934,0.016162,0.017492,0.019986,0.024692,0.033992,0.053223", \ - "0.020546,0.022015,0.023606,0.026508,0.031662,0.041018,0.059363", \ - "0.027085,0.028853,0.030771,0.034230,0.040195,0.050375,0.068710"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.014364,0.017561,0.021191,0.028427,0.042845,0.071570,0.128857", \ - "0.014369,0.017564,0.021193,0.028429,0.042841,0.071571,0.128861", \ - "0.014400,0.017583,0.021206,0.028431,0.042845,0.071576,0.128868", \ - "0.016532,0.019243,0.022383,0.028939,0.042874,0.071561,0.128864", \ - "0.021081,0.024083,0.027381,0.033738,0.045906,0.072086,0.128853", \ - "0.026748,0.029965,0.033499,0.040272,0.053126,0.077378,0.129596", \ - "0.033242,0.036659,0.040452,0.047687,0.061308,0.086845,0.135502"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.011587,0.013306,0.015183,0.018787,0.025680,0.038962,0.064924", \ - "0.012888,0.014604,0.016479,0.020082,0.026976,0.040261,0.066226", \ - "0.016677,0.018465,0.020394,0.024021,0.030931,0.044254,0.070249", \ - "0.021104,0.023371,0.025749,0.030094,0.037872,0.051815,0.077932", \ - "0.023881,0.026894,0.030012,0.035624,0.045288,0.061561,0.089661", \ - "0.024346,0.028175,0.032102,0.039160,0.051255,0.071073,0.103228", \ - "0.022350,0.026991,0.031738,0.040278,0.054934,0.078849,0.116612"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.034137,0.037691,0.041690,0.049623,0.065363,0.096656,0.159039", \ - "0.035698,0.039272,0.043293,0.051257,0.067038,0.098372,0.160789", \ - "0.041594,0.045166,0.049188,0.057168,0.072986,0.104383,0.166880", \ - "0.051197,0.054835,0.058867,0.066822,0.082599,0.113971,0.176471", \ - "0.061842,0.066224,0.070995,0.080070,0.096938,0.128329,0.190730", \ - "0.074028,0.079146,0.084630,0.094978,0.114083,0.148532,0.211183", \ - "0.088936,0.094792,0.100960,0.112546,0.133753,0.171748,0.238871"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.009274,0.010509,0.011905,0.014674,0.020160,0.031120,0.053152", \ - "0.009212,0.010465,0.011873,0.014656,0.020152,0.031119,0.053151", \ - "0.009742,0.010823,0.012116,0.014761,0.020139,0.031122,0.053150", \ - "0.012922,0.013867,0.014979,0.017268,0.021968,0.031815,0.053176", \ - "0.018208,0.019162,0.020264,0.022470,0.026896,0.035964,0.055084", \ - "0.024814,0.025891,0.027154,0.029611,0.034262,0.043206,0.061292", \ - "0.032451,0.033724,0.035214,0.038079,0.043358,0.052906,0.070809"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.017119,0.020367,0.024037,0.031334,0.045820,0.074624,0.132033", \ - "0.017122,0.020367,0.024037,0.031333,0.045819,0.074625,0.132036", \ - "0.017136,0.020378,0.024045,0.031334,0.045817,0.074627,0.132039", \ - "0.018452,0.021333,0.024674,0.031530,0.045837,0.074625,0.132032", \ - "0.023039,0.026052,0.029375,0.035769,0.048171,0.074930,0.132038", \ - "0.028636,0.031870,0.035433,0.042279,0.055215,0.079670,0.132536", \ - "0.035063,0.038529,0.042343,0.049657,0.063402,0.089022,0.138020"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("0.988424,1.021934,1.056484,1.084044,1.117628,1.137906,1.147236", \ - "0.788319,0.868723,0.954089,1.034930,1.114429,1.182463,1.221925", \ - "0.916672,0.859201,0.865393,0.941662,1.031744,1.138499,1.219240", \ - "2.201253,1.991854,1.832946,1.570327,1.322789,1.300293,1.316362", \ - "4.406467,4.112854,3.844088,3.392216,2.768268,2.096610,1.810559", \ - "7.375927,7.189301,6.909945,6.354388,5.391812,4.147676,3.021547", \ - "11.315340,11.170890,10.934170,10.421830,9.282717,7.474757,5.442099"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("10.217290,10.346220,10.480430,10.629050,10.667090,10.766260,10.651070", \ - "9.904237,10.012310,10.146510,10.340510,10.441050,10.521990,10.525770", \ - "10.123510,10.147320,10.085660,10.197860,10.115720,10.133610,10.490140", \ - "11.039960,11.322910,11.222600,11.059120,10.885610,10.514710,10.502120", \ - "12.895550,12.892790,12.883990,12.826850,12.660270,12.138380,11.451970", \ - "16.440630,16.213250,15.984870,15.681580,15.245530,14.641590,13.185300", \ - "21.321450,20.955860,20.683950,20.054970,19.162980,18.141410,16.792390"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("1.067300,1.068071,1.069985,1.093096,1.129277,1.156054,1.167706", \ - "0.933458,0.992182,1.037624,1.101202,1.176511,1.240891,1.289938", \ - "1.098620,1.028655,1.048612,1.083432,1.184715,1.277833,1.362326", \ - "2.226444,2.053241,1.914385,1.679959,1.466409,1.449552,1.470680", \ - "4.078180,3.905848,3.682353,3.298951,2.751083,2.156308,1.922847", \ - "6.733529,6.569497,6.346471,5.916063,5.086786,4.005529,3.000502", \ - "10.180390,10.017640,9.875816,9.457286,8.552472,6.978588,5.175825"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("10.135430,10.259310,10.385860,10.492260,10.511310,10.455130,10.179340", \ - "9.723408,9.927287,10.007190,10.129920,10.247110,10.177330,10.033940", \ - "9.846332,9.955704,9.972098,10.004030,9.992051,9.904927,10.473190", \ - "11.100700,10.918360,10.898920,10.703580,10.562270,10.537810,9.951858", \ - "12.496140,12.590730,12.663050,12.532410,12.149930,11.713270,11.226370", \ - "15.570390,15.377500,15.222620,15.042000,14.742890,14.017050,12.561140", \ - "19.981620,19.631560,19.353670,18.886200,18.205640,17.386350,15.838300"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("1.002218,1.042281,1.070145,1.092501,1.129850,1.150084,1.170111", \ - "0.886466,0.962678,1.028953,1.107020,1.183936,1.248654,1.292232", \ - "0.989296,1.003645,1.035061,1.089346,1.188635,1.281543,1.366774", \ - "2.132631,1.962170,1.816740,1.603661,1.461096,1.453603,1.476801", \ - "4.131851,3.884726,3.626296,3.242440,2.707797,2.152369,1.923753", \ - "6.971979,6.715996,6.417798,5.912024,5.049806,3.965614,3.000867", \ - "10.610640,10.374730,10.060470,9.557427,8.525146,6.942122,5.154050"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("13.415310,13.496110,13.568820,13.681110,13.745560,13.952740,13.874050", \ - "13.059970,13.161380,13.233540,13.482800,13.556510,13.769310,13.733230", \ - "13.058240,13.101200,13.143580,13.183860,13.322670,13.509550,13.519980", \ - "14.065580,13.930800,13.994390,13.930150,13.737880,13.725700,13.629960", \ - "15.489690,15.690180,15.790360,15.629920,15.318840,14.932280,14.584380", \ - "18.269800,18.194500,18.148100,18.029760,17.732550,17.010680,16.210810", \ - "22.512210,22.249070,22.061220,21.769000,21.139210,20.267070,18.957970"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("1.019928,1.040034,1.068564,1.101940,1.115635,1.146183,1.154061", \ - "0.783749,0.877066,0.953998,1.038572,1.115889,1.174765,1.223216", \ - "0.593734,0.591042,0.649702,0.776484,0.918062,1.069812,1.188726", \ - "1.328625,1.227498,1.159145,1.033399,0.900829,1.012029,1.141752", \ - "2.824863,2.673261,2.506396,2.221427,1.840257,1.415208,1.333963", \ - "5.071189,4.884293,4.694063,4.331475,3.682520,2.843189,2.055972", \ - "8.019069,7.883280,7.702509,7.300726,6.531041,5.282643,3.808042"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("13.079690,13.219610,13.211250,13.313480,13.222450,13.304570,13.527120", \ - "12.823890,12.927690,13.022450,13.035820,13.173960,13.285510,12.733840", \ - "13.024780,13.018560,13.085730,13.064380,13.197690,13.022670,13.363490", \ - "14.154600,14.191770,14.150430,14.017490,13.910870,13.394330,13.669450", \ - "15.825390,15.923410,15.958620,15.941770,15.734230,14.839790,14.511130", \ - "19.184230,19.077580,19.006060,18.760460,18.481490,17.853550,16.678010", \ - "23.892330,23.732390,23.564290,23.252970,22.640210,21.802370,20.078780"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("1.075904,1.094221,1.095411,1.103274,1.141915,1.160248,1.161786", \ - "0.894371,0.977510,1.028837,1.110336,1.186897,1.242151,1.292814", \ - "0.748285,0.745256,0.816346,0.924273,1.066447,1.215020,1.323919", \ - "1.404182,1.325164,1.268368,1.183345,1.074264,1.190009,1.311284", \ - "2.688657,2.572784,2.430709,2.221221,1.909077,1.550854,1.497193", \ - "4.610809,4.448153,4.364018,4.072540,3.563672,2.842277,2.137740", \ - "7.106235,7.020692,6.935785,6.666894,6.060601,5.006122,3.728449"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("13.062580,13.108000,13.189880,13.243850,13.216580,13.286670,13.467750", \ - "12.710430,12.875790,12.932680,13.020370,13.046180,12.973470,13.277400", \ - "12.829790,12.938830,12.961600,12.990960,13.020960,13.188950,13.178950", \ - "13.929810,13.877590,13.820590,13.814540,13.625320,13.523200,13.338830", \ - "15.533540,15.647490,15.810450,15.655430,15.269710,14.838690,14.396620", \ - "18.373080,18.313010,18.312070,18.196810,18.046780,16.939350,16.134460", \ - "22.563250,22.421430,22.259200,21.961280,21.490680,20.499290,19.018580"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("1.052315,1.072179,1.086376,1.114398,1.140478,1.152570,1.169777", \ - "0.857101,0.971798,1.030439,1.103998,1.188153,1.246900,1.292894", \ - "0.653362,0.723028,0.810208,0.915034,1.077096,1.215431,1.329244", \ - "1.325239,1.271023,1.210070,1.115199,1.060963,1.181527,1.313580", \ - "2.668956,2.562494,2.427400,2.182265,1.869541,1.523928,1.497625", \ - "4.778552,4.590656,4.431888,4.060091,3.533705,2.806014,2.137111", \ - "7.456027,7.294334,7.134509,6.732026,6.095547,4.997080,3.709832"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("16.195030,16.354540,16.392860,16.398030,16.386190,16.758760,16.508280", \ - "15.989200,16.086060,16.108500,16.194490,16.214840,16.557110,16.726850", \ - "16.037500,16.080700,16.110800,16.171370,16.286130,16.175230,16.172020", \ - "16.949490,16.928800,16.887520,16.945500,16.882700,16.588790,16.564500", \ - "18.655170,18.854520,18.802780,18.615220,18.215090,17.813250,17.429190", \ - "21.322440,21.240570,21.289210,21.295530,20.963190,20.120380,19.486110", \ - "25.322710,25.248620,25.163700,24.975540,24.639180,23.838610,22.369680"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("4.622203,4.699553,4.785240,4.903919,5.069364,5.223091,5.386647", \ - "4.450157,4.560794,4.654737,4.755287,4.935869,5.095985,5.262500", \ - "4.270507,4.427018,4.514772,4.636899,4.800703,4.977790,5.164607", \ - "5.141305,5.076300,5.021473,4.945091,5.004317,5.119659,5.243506", \ - "6.962732,6.798677,6.701187,6.493948,6.169937,5.831219,5.733639", \ - "9.763743,9.617800,9.445100,9.088329,8.525679,7.704235,6.901286", \ - "13.565770,13.469120,13.295610,12.893430,12.113140,10.824240,9.231949"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("17.091550,17.251430,17.304780,17.290080,17.414130,17.293470,17.365410", \ - "16.743650,16.867140,17.030790,17.140830,17.252480,17.378890,17.122250", \ - "16.462170,16.550550,16.569990,16.692750,16.870090,16.872600,17.130270", \ - "16.461330,16.488170,16.533490,16.578360,16.463500,16.695980,16.776530", \ - "16.547080,16.691140,16.773380,16.989710,16.950430,16.937020,16.771180", \ - "18.114700,18.042030,17.956240,17.855700,17.922040,17.767890,17.418910", \ - "21.373150,21.092330,20.942140,20.547390,20.016710,19.944280,19.029470"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("3.904620,4.105188,4.193656,4.404544,4.594293,4.808298,5.007523", \ - "3.776201,3.904073,4.034992,4.201563,4.422116,4.639669,4.843084", \ - "3.646961,3.775807,3.879500,4.046073,4.246983,4.480408,4.696000", \ - "4.559501,4.497074,4.471537,4.385917,4.463832,4.596851,4.743251", \ - "6.367796,6.235166,6.153445,5.939276,5.614399,5.261151,5.185718", \ - "9.143997,8.972260,8.824628,8.477299,7.877455,7.074936,6.291681", \ - "12.813560,12.708040,12.530530,12.101380,11.341280,10.072100,8.514171"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("15.672610,15.746260,15.805980,15.881880,15.847900,15.946100,15.989630", \ - "15.244040,15.437640,15.504830,15.645730,15.650160,15.561120,15.849540", \ - "14.981700,15.043930,15.089380,15.235670,15.415860,15.594570,15.707990", \ - "14.883480,14.945110,15.003980,15.088770,15.184160,14.946940,15.430850", \ - "14.946640,15.175240,15.337320,15.454510,15.413730,15.154910,15.491110", \ - "16.354730,16.281110,16.236580,16.295520,16.495400,15.866250,15.948090", \ - "19.459240,19.265210,18.982610,18.682270,18.348240,18.162910,17.396710"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("4.124332,4.294935,4.359868,4.473694,4.645782,4.847481,5.023605", \ - "3.956313,4.085236,4.175132,4.318775,4.469489,4.675055,4.855672", \ - "3.863854,3.931215,3.997743,4.144381,4.315736,4.511529,4.705153", \ - "4.607339,4.560790,4.486598,4.446419,4.511321,4.630781,4.754999", \ - "6.468075,6.299986,6.195707,5.946749,5.611098,5.297373,5.200170", \ - "9.290904,9.096937,8.897275,8.479746,7.896103,7.068343,6.303790", \ - "13.088590,12.918370,12.680010,12.188970,11.361260,10.058370,8.491100"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("18.821620,18.884250,18.974780,19.097320,19.122310,19.088530,19.311550", \ - "18.557630,18.640740,18.700140,18.858420,18.990020,19.183260,19.125420", \ - "18.156740,18.226430,18.355760,18.509360,18.554410,18.736500,18.991110", \ - "18.031950,18.181620,18.223370,18.272360,18.317200,18.570630,18.601950", \ - "18.245070,18.412760,18.618870,18.600350,18.516400,18.563030,18.495990", \ - "19.303090,19.317460,19.428120,19.586170,19.580150,19.428340,19.037410", \ - "22.133980,22.041180,21.934600,21.593660,21.517340,21.296670,20.564860"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("4.627216,4.739456,4.788462,4.922117,5.074358,5.224724,5.387939", \ - "4.496837,4.554211,4.665975,4.786615,4.943837,5.105084,5.266217", \ - "4.238150,4.320328,4.417673,4.581531,4.756851,4.956954,5.151583", \ - "4.626583,4.617539,4.612510,4.584858,4.713388,4.912227,5.124170", \ - "5.706015,5.694047,5.643149,5.561725,5.409987,5.230951,5.326881", \ - "7.692541,7.610260,7.496696,7.329153,6.983579,6.505336,6.000082", \ - "10.428980,10.324100,10.206910,9.978076,9.523696,8.699995,7.654434"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("19.869650,20.022730,20.060860,20.068640,20.039430,19.964720,20.199470", \ - "19.711870,19.825260,19.875810,19.822850,19.811320,20.142140,20.253930", \ - "19.563050,19.521060,19.569290,19.723830,19.670310,19.752980,19.488980", \ - "19.532790,19.551500,19.614130,19.672850,19.739800,19.538640,19.718560", \ - "19.665890,19.725270,20.006610,20.061100,19.885250,19.986520,19.697620", \ - "20.899410,20.954900,20.922380,20.976730,21.150900,21.058150,20.510130", \ - "23.887440,23.841590,23.688970,23.544370,23.173840,23.139100,22.421000"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("3.921835,4.106043,4.205714,4.387084,4.602630,4.824156,5.011938", \ - "3.766817,3.926226,4.047285,4.211655,4.442307,4.643175,4.848915", \ - "3.550813,3.677372,3.782471,3.982048,4.234934,4.458789,4.684738", \ - "4.000602,4.054497,4.070010,4.044684,4.191101,4.418530,4.639863", \ - "5.153137,5.128282,5.103200,5.021321,4.906696,4.716288,4.839348", \ - "7.089843,6.992830,6.928190,6.722121,6.421429,5.972589,5.468008", \ - "9.693239,9.628214,9.569042,9.304147,8.851305,8.063285,7.063130"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("18.427890,18.477740,18.594290,18.643620,18.571190,18.621470,18.788440", \ - "18.222340,18.300840,18.416310,18.505260,18.549210,18.499930,18.703690", \ - "18.070650,18.122360,18.156460,18.284130,18.325470,18.443640,18.386410", \ - "18.061610,18.080200,18.159980,18.198380,18.314610,18.408340,18.364510", \ - "18.071730,18.357210,18.510250,18.503040,18.486750,18.468900,18.345410", \ - "19.259890,19.289610,19.328840,19.549700,19.699920,19.305060,18.861170", \ - "21.998920,21.937740,21.898840,21.723930,21.426700,21.475860,20.554240"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("4.198583,4.291290,4.363248,4.503637,4.667047,4.840110,5.020070", \ - "3.946935,4.116146,4.190076,4.327397,4.489391,4.678373,4.857676", \ - "3.745283,3.838132,3.959255,4.097264,4.272789,4.496718,4.694642", \ - "4.133359,4.107521,4.087987,4.088300,4.259461,4.449209,4.650236", \ - "5.254572,5.224660,5.150240,5.068809,4.906628,4.751398,4.850768", \ - "7.196676,7.098264,7.004750,6.790079,6.446156,5.968606,5.481639", \ - "9.963197,9.853352,9.720978,9.402561,8.890844,8.059166,7.047710"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); - values ("21.656190,21.705430,21.738110,21.744120,21.847060,22.004970,21.963720", \ - "21.474100,21.547200,21.574520,21.726050,21.614000,21.759260,21.726930", \ - "21.220290,21.277780,21.378040,21.532460,21.535790,21.607930,21.855890", \ - "21.237160,21.276470,21.312120,21.433440,21.499380,21.462790,21.773070", \ - "21.433970,21.694800,21.655310,21.686390,21.622670,21.547460,21.908790", \ - "22.331040,22.411520,22.498700,22.711080,22.725070,22.724590,22.516750", \ - "24.923340,24.921130,24.894790,24.833510,24.544090,24.516960,23.768430"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI211_X1 - Cell Description : Combinational cell (AOI211_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI211_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 34.565711; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 23.680690; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 44.991650; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 24.283052; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 37.357782; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 16.506589; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 31.158391; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 31.157280; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 44.409159; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 16.205805; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 30.927226; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 30.926126; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 44.335944; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 29.556779; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 44.304954; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 44.304075; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 58.945878; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.620338; - fall_capacitance : 1.562448; - rise_capacitance : 1.620338; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.658423; - fall_capacitance : 1.470553; - rise_capacitance : 1.658423; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.655202; - fall_capacitance : 1.402821; - rise_capacitance : 1.655202; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.679479; - fall_capacitance : 1.372605; - rise_capacitance : 1.679479; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 14.495900; - function : "!(((C1 & C2) | B) | A)"; - - timing () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.007642,0.008121,0.008987,0.010531,0.013260,0.018064,0.026579", \ - "0.009185,0.009650,0.010496,0.012018,0.014726,0.019516,0.028023", \ - "0.014418,0.014977,0.015968,0.017663,0.020458,0.025066,0.033468", \ - "0.018485,0.019297,0.020753,0.023248,0.027382,0.033964,0.044046", \ - "0.019911,0.020994,0.022942,0.026271,0.031808,0.040657,0.054265", \ - "0.018279,0.019644,0.022085,0.026284,0.033255,0.044435,0.061656", \ - "0.013409,0.015043,0.017946,0.022963,0.031397,0.044946,0.065858"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.041896,0.044058,0.048021,0.055276,0.068570,0.092966,0.137817", \ - "0.043049,0.045227,0.049220,0.056541,0.069937,0.094461,0.139458", \ - "0.048140,0.050289,0.054237,0.061500,0.074844,0.099377,0.144468", \ - "0.056305,0.058475,0.062435,0.069664,0.082942,0.107376,0.152372", \ - "0.064912,0.067450,0.071997,0.080102,0.094349,0.118968,0.163836", \ - "0.074205,0.077079,0.082207,0.091265,0.107060,0.134192,0.180252", \ - "0.086742,0.089935,0.095576,0.105478,0.122618,0.151902,0.201467"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.005701,0.006059,0.006709,0.007880,0.009992,0.013818,0.020819", \ - "0.005472,0.005859,0.006548,0.007769,0.009926,0.013787,0.020807", \ - "0.007589,0.007851,0.008311,0.009097,0.010587,0.013849,0.020752", \ - "0.012253,0.012634,0.013301,0.014431,0.016321,0.019306,0.024029", \ - "0.018184,0.018692,0.019561,0.021063,0.023546,0.027473,0.033572", \ - "0.025532,0.026189,0.027291,0.029166,0.032259,0.037149,0.044675", \ - "0.034300,0.035116,0.036524,0.038872,0.042634,0.048501,0.057454"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.022104,0.023978,0.027421,0.033781,0.045488,0.067028,0.106616", \ - "0.022109,0.023979,0.027422,0.033783,0.045488,0.067010,0.106629", \ - "0.022117,0.023985,0.027430,0.033784,0.045484,0.067012,0.106611", \ - "0.022875,0.024586,0.027795,0.033911,0.045495,0.067019,0.106629", \ - "0.027242,0.028945,0.032050,0.037656,0.047777,0.067669,0.106596", \ - "0.033142,0.034815,0.037929,0.043690,0.054190,0.072920,0.108483", \ - "0.041142,0.042714,0.045641,0.051255,0.061740,0.081027,0.115543"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.006100,0.006579,0.007449,0.009012,0.011788,0.016686,0.025333", \ - "0.007791,0.008240,0.009067,0.010579,0.013304,0.018161,0.026782", \ - "0.012598,0.013216,0.014303,0.016136,0.019112,0.023824,0.032253", \ - "0.015859,0.016759,0.018348,0.021046,0.025449,0.032347,0.042757", \ - "0.016398,0.017583,0.019713,0.023313,0.029214,0.038493,0.052546", \ - "0.013747,0.015244,0.017919,0.022452,0.029883,0.041614,0.059427", \ - "0.007742,0.009538,0.012699,0.018111,0.027086,0.041324,0.062990"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.045156,0.047668,0.052262,0.060679,0.076093,0.104395,0.156461", \ - "0.046196,0.048726,0.053356,0.061841,0.077374,0.105833,0.158054", \ - "0.051208,0.053697,0.058277,0.066694,0.082168,0.110622,0.162951", \ - "0.059158,0.061661,0.066238,0.074612,0.090007,0.118344,0.170570", \ - "0.067517,0.070355,0.075467,0.084603,0.100731,0.129040,0.181108", \ - "0.076569,0.079700,0.085306,0.095294,0.112832,0.143296,0.195851", \ - "0.088989,0.092420,0.098477,0.109180,0.127878,0.160208,0.215770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.004833,0.005234,0.005952,0.007231,0.009479,0.013440,0.020524", \ - "0.004646,0.005026,0.005759,0.007071,0.009362,0.013366,0.020487", \ - "0.007555,0.007822,0.008283,0.009076,0.010450,0.013542,0.020350", \ - "0.012434,0.012815,0.013462,0.014578,0.016431,0.019400,0.024037", \ - "0.018689,0.019186,0.020021,0.021467,0.023869,0.027706,0.033689", \ - "0.026471,0.027104,0.028153,0.029949,0.032913,0.037603,0.044933", \ - "0.035803,0.036603,0.037936,0.040166,0.043734,0.049321,0.057969"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.023049,0.025255,0.029312,0.036810,0.050616,0.076043,0.122847", \ - "0.023056,0.025262,0.029317,0.036810,0.050625,0.076045,0.122853", \ - "0.023072,0.025270,0.029321,0.036811,0.050624,0.076023,0.122850", \ - "0.023671,0.025716,0.029583,0.036908,0.050636,0.076011,0.122861", \ - "0.027540,0.029579,0.033321,0.039997,0.052365,0.076361,0.122838", \ - "0.032645,0.034670,0.038441,0.045381,0.058028,0.080578,0.123964", \ - "0.039932,0.041856,0.045443,0.052207,0.064810,0.087962,0.129552"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.006238,0.006716,0.007584,0.009145,0.011919,0.016816,0.025467", \ - "0.007920,0.008370,0.009197,0.010709,0.013433,0.018290,0.026916", \ - "0.012796,0.013406,0.014478,0.016294,0.019253,0.023947,0.032385", \ - "0.016180,0.017067,0.018632,0.021303,0.025675,0.032544,0.042923", \ - "0.016892,0.018065,0.020144,0.023710,0.029560,0.038785,0.052790", \ - "0.014460,0.015925,0.018555,0.023022,0.030379,0.042036,0.059778", \ - "0.008782,0.010518,0.013605,0.018926,0.027794,0.041911,0.063474"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.053603,0.056114,0.060721,0.069162,0.084631,0.113005,0.165179", \ - "0.054761,0.057285,0.061920,0.070418,0.085980,0.114478,0.166815", \ - "0.059679,0.062183,0.066786,0.075241,0.090772,0.119297,0.171742", \ - "0.067639,0.070130,0.074706,0.083111,0.098565,0.126995,0.179334", \ - "0.077069,0.079804,0.084750,0.093645,0.109330,0.137642,0.189809", \ - "0.087095,0.090094,0.095490,0.105150,0.122272,0.152262,0.204483", \ - "0.100336,0.103560,0.109399,0.119713,0.137889,0.169688,0.224709"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.005739,0.006155,0.006901,0.008226,0.010541,0.014558,0.021650", \ - "0.005500,0.005908,0.006682,0.008050,0.010416,0.014482,0.021612", \ - "0.008683,0.008907,0.009312,0.010030,0.011425,0.014635,0.021475", \ - "0.014681,0.014955,0.015455,0.016360,0.017975,0.020681,0.025118", \ - "0.022019,0.022362,0.022975,0.024093,0.026097,0.029504,0.035106", \ - "0.030929,0.031367,0.032102,0.033456,0.035873,0.039989,0.046781", \ - "0.041460,0.041983,0.042959,0.044628,0.047498,0.052340,0.060283"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.027422,0.029660,0.033777,0.041346,0.055268,0.080821,0.127845", \ - "0.027421,0.029661,0.033777,0.041348,0.055264,0.080832,0.127861", \ - "0.027430,0.029664,0.033777,0.041348,0.055264,0.080834,0.127869", \ - "0.027629,0.029813,0.033865,0.041377,0.055253,0.080837,0.127840", \ - "0.031077,0.033167,0.036842,0.043586,0.056387,0.080931,0.127800", \ - "0.035861,0.037994,0.041896,0.048983,0.061739,0.084504,0.128558", \ - "0.042430,0.044522,0.048389,0.055461,0.068397,0.091784,0.133651"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.007562,0.007999,0.008789,0.010213,0.012763,0.017334,0.025590", \ - "0.009127,0.009559,0.010346,0.011768,0.014319,0.018893,0.027151", \ - "0.013855,0.014417,0.015409,0.017105,0.019904,0.024445,0.032649", \ - "0.017035,0.017875,0.019352,0.021899,0.026106,0.032795,0.042990", \ - "0.017355,0.018484,0.020505,0.023955,0.029655,0.038728,0.052588", \ - "0.014300,0.015736,0.018302,0.022716,0.029989,0.041568,0.059242", \ - "0.007516,0.009268,0.012381,0.017745,0.026665,0.040844,0.062477"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.038360,0.040521,0.044482,0.051743,0.065034,0.089422,0.134280", \ - "0.038992,0.041172,0.045164,0.052483,0.065881,0.090405,0.135409", \ - "0.043932,0.046085,0.050030,0.057291,0.070642,0.095174,0.140261", \ - "0.053561,0.055772,0.059752,0.066991,0.080264,0.104690,0.149688", \ - "0.064654,0.067436,0.072374,0.080960,0.095627,0.120216,0.165040", \ - "0.078272,0.081535,0.087301,0.097282,0.114206,0.142220,0.188050", \ - "0.096128,0.099704,0.106184,0.117444,0.136487,0.167913,0.218710"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.004899,0.005229,0.005836,0.006952,0.009004,0.012789,0.019788", \ - "0.004824,0.005168,0.005794,0.006929,0.008995,0.012787,0.019787", \ - "0.006970,0.007239,0.007708,0.008518,0.009942,0.013055,0.019784", \ - "0.011409,0.011801,0.012490,0.013658,0.015592,0.018675,0.023449", \ - "0.017172,0.017705,0.018613,0.020161,0.022710,0.026753,0.032942", \ - "0.024382,0.025074,0.026240,0.028192,0.031384,0.036395,0.044011", \ - "0.033026,0.033892,0.035372,0.037821,0.041738,0.047774,0.056861"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.022105,0.023974,0.027422,0.033778,0.045483,0.066999,0.106624", \ - "0.022110,0.023980,0.027423,0.033780,0.045490,0.067011,0.106610", \ - "0.022123,0.023990,0.027426,0.033781,0.045479,0.067022,0.106613", \ - "0.023651,0.025226,0.028234,0.034039,0.045506,0.067013,0.106608", \ - "0.030215,0.031757,0.034571,0.039568,0.048756,0.067791,0.106614", \ - "0.037830,0.039468,0.042396,0.047805,0.057459,0.074359,0.108464", \ - "0.046097,0.047786,0.050888,0.056632,0.066984,0.085148,0.116706"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.006366,0.006820,0.007640,0.009109,0.011721,0.016366,0.024686", \ - "0.008000,0.008437,0.009234,0.010679,0.013271,0.017904,0.026220", \ - "0.012373,0.012984,0.014062,0.015878,0.018830,0.023478,0.031699", \ - "0.014856,0.015768,0.017372,0.020091,0.024523,0.031470,0.041928", \ - "0.014370,0.015586,0.017772,0.021462,0.027481,0.036907,0.051126", \ - "0.010363,0.011920,0.014699,0.019409,0.027103,0.039154,0.057319", \ - "0.002549,0.004445,0.007799,0.013518,0.022928,0.037712,0.059979"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.041693,0.044203,0.048799,0.057215,0.072633,0.100928,0.152994", \ - "0.042218,0.044749,0.049377,0.057865,0.073399,0.101854,0.154074", \ - "0.047059,0.049552,0.054129,0.062547,0.078020,0.106475,0.158805", \ - "0.056043,0.058572,0.063157,0.071537,0.086919,0.115258,0.167465", \ - "0.066377,0.069416,0.074850,0.084372,0.100837,0.129126,0.181124", \ - "0.079695,0.083122,0.089264,0.099993,0.118350,0.149402,0.201753", \ - "0.097354,0.101208,0.108029,0.119911,0.140139,0.174000,0.230276"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.004497,0.004847,0.005479,0.006627,0.008703,0.012485,0.019449", \ - "0.004342,0.004704,0.005364,0.006544,0.008654,0.012463,0.019442", \ - "0.006963,0.007233,0.007699,0.008502,0.009861,0.012816,0.019415", \ - "0.011500,0.011880,0.012557,0.013706,0.015618,0.018661,0.023389", \ - "0.017425,0.017951,0.018823,0.020337,0.022826,0.026790,0.032921", \ - "0.024884,0.025553,0.026669,0.028569,0.031683,0.036560,0.044070", \ - "0.033832,0.034665,0.036094,0.038475,0.042281,0.048150,0.057049"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.023047,0.025248,0.029313,0.036807,0.050614,0.076040,0.122860", \ - "0.023055,0.025261,0.029317,0.036811,0.050619,0.076042,0.122868", \ - "0.023079,0.025278,0.029323,0.036811,0.050625,0.076031,0.122866", \ - "0.024397,0.026323,0.029966,0.037026,0.050648,0.076031,0.122848", \ - "0.029915,0.031845,0.035381,0.041589,0.053181,0.076483,0.122842", \ - "0.036429,0.038377,0.041945,0.048527,0.060473,0.081628,0.123982", \ - "0.043883,0.045878,0.049526,0.056289,0.068611,0.090763,0.130231"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.006497,0.006949,0.007767,0.009233,0.011843,0.016488,0.024814", \ - "0.008125,0.008562,0.009358,0.010802,0.013393,0.018026,0.026348", \ - "0.012564,0.013170,0.014231,0.016031,0.018964,0.023598,0.031828", \ - "0.015168,0.016067,0.017649,0.020343,0.024746,0.031659,0.042084", \ - "0.014841,0.016050,0.018199,0.021847,0.027821,0.037202,0.051370", \ - "0.011060,0.012589,0.015325,0.019980,0.027597,0.039583,0.057662", \ - "0.003553,0.005405,0.008697,0.014330,0.023632,0.038298,0.060461"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.050138,0.052646,0.057254,0.065696,0.081165,0.109542,0.161728", \ - "0.050784,0.053307,0.057943,0.066440,0.082000,0.110504,0.162822", \ - "0.055534,0.058035,0.062637,0.071092,0.086621,0.115147,0.167578", \ - "0.064566,0.067057,0.071626,0.080006,0.095460,0.123875,0.176205", \ - "0.076511,0.079397,0.084557,0.093656,0.109425,0.137683,0.189785", \ - "0.091159,0.094380,0.100192,0.110392,0.128065,0.158384,0.210313", \ - "0.109994,0.113556,0.119980,0.131342,0.150776,0.183728,0.239154"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.005220,0.005585,0.006248,0.007452,0.009623,0.013515,0.020531", \ - "0.005045,0.005429,0.006123,0.007364,0.009573,0.013493,0.020525", \ - "0.007964,0.008199,0.008621,0.009373,0.010735,0.013833,0.020499", \ - "0.013624,0.013918,0.014453,0.015417,0.017109,0.019919,0.024439", \ - "0.020716,0.021084,0.021734,0.022929,0.025023,0.028584,0.034338", \ - "0.029395,0.029850,0.030644,0.032090,0.034643,0.038948,0.045923", \ - "0.039635,0.040222,0.041229,0.043025,0.046085,0.051187,0.059384"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.027419,0.029660,0.033779,0.041345,0.055264,0.080813,0.127865", \ - "0.027423,0.029661,0.033780,0.041347,0.055258,0.080830,0.127855", \ - "0.027430,0.029667,0.033775,0.041350,0.055253,0.080833,0.127849", \ - "0.027888,0.029998,0.033968,0.041408,0.055257,0.080799,0.127827", \ - "0.032947,0.034953,0.038393,0.044674,0.056906,0.080944,0.127799", \ - "0.039130,0.041167,0.044893,0.051644,0.063765,0.085283,0.128513", \ - "0.046332,0.048452,0.052294,0.059284,0.071865,0.094180,0.134105"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.00852938,0.00918945,0.0103979,0.0126179,0.0166961,0.0241945,0.0380126", \ - "0.00989017,0.0105597,0.0117848,0.0140289,0.0181361,0.0256675,0.0395108", \ - "0.0139571,0.0148590,0.0164280,0.0190628,0.0233045,0.0307890,0.0446096", \ - "0.0162188,0.0175545,0.0198819,0.0237894,0.0300948,0.0398835,0.0545770", \ - "0.0154816,0.0172987,0.0204330,0.0256934,0.0341596,0.0472905,0.0669357", \ - "0.0113229,0.0136540,0.0176261,0.0242745,0.0350142,0.0515900,0.0763627", \ - "0.00351922,0.00627000,0.0111016,0.0191797,0.0322162,0.0523783,0.0824146"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.0249252,0.0274722,0.0321279,0.0406335,0.0561377,0.0844980,0.136598", \ - "0.0250608,0.0276317,0.0323548,0.0409889,0.0566966,0.0852998,0.137639", \ - "0.0298366,0.0322004,0.0366518,0.0449997,0.0604815,0.0890088,0.141440", \ - "0.0416470,0.0441740,0.0486231,0.0562170,0.0708957,0.0986826,0.150443", \ - "0.0553734,0.0585013,0.0639483,0.0733984,0.0892877,0.116082,0.166694", \ - "0.0712534,0.0748881,0.0811765,0.0921706,0.110837,0.141449,0.191463", \ - "0.0897518,0.0937914,0.100911,0.113315,0.134377,0.169259,0.225080"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.00516843,0.00572268,0.00675190,0.00865307,0.0121598,0.0186366,0.0305960", \ - "0.00516545,0.00572396,0.00675076,0.00865303,0.0121608,0.0186347,0.0305965", \ - "0.00749288,0.00792817,0.00869861,0.00998844,0.0126809,0.0186364,0.0305947", \ - "0.0122926,0.0128685,0.0138669,0.0155853,0.0184373,0.0231079,0.0319468", \ - "0.0187991,0.0195196,0.0207748,0.0229140,0.0264539,0.0321429,0.0411002", \ - "0.0270714,0.0279374,0.0294864,0.0321099,0.0363707,0.0431380,0.0537052", \ - "0.0370303,0.0381463,0.0400298,0.0431828,0.0482632,0.0561784,0.0683798"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.0227015,0.0250003,0.0291706,0.0367681,0.0506089,0.0760570,0.122840", \ - "0.0225200,0.0248658,0.0291029,0.0367415,0.0506179,0.0760462,0.122846", \ - "0.0215269,0.0239972,0.0285448,0.0365165,0.0505763,0.0760496,0.122836", \ - "0.0249233,0.0266168,0.0299778,0.0366465,0.0499871,0.0759995,0.122860", \ - "0.0309701,0.0329472,0.0365279,0.0429768,0.0539009,0.0763456,0.122815", \ - "0.0380525,0.0401825,0.0440786,0.0510645,0.0632172,0.0835521,0.124027", \ - "0.0464814,0.0487868,0.0529260,0.0604326,0.0736477,0.0960094,0.133214"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.00986118,0.0105151,0.0117205,0.0139349,0.0180071,0.0255014,0.0393154", \ - "0.0112555,0.0119271,0.0131552,0.0154010,0.0195073,0.0270354,0.0408759", \ - "0.0146162,0.0154118,0.0168291,0.0193160,0.0236023,0.0311983,0.0451050", \ - "0.0174429,0.0185732,0.0205376,0.0238503,0.0292778,0.0381088,0.0527703", \ - "0.0178214,0.0193882,0.0221057,0.0266420,0.0339170,0.0451696,0.0624687", \ - "0.0149583,0.0170087,0.0205749,0.0264932,0.0359355,0.0503452,0.0716933", \ - "0.00843962,0.0110177,0.0154930,0.0228930,0.0346511,0.0525415,0.0787486"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.0323966,0.0348867,0.0394675,0.0478725,0.0632943,0.0916184,0.143760", \ - "0.0328076,0.0353310,0.0399652,0.0484546,0.0639858,0.0924435,0.144684", \ - "0.0375319,0.0399745,0.0444958,0.0528473,0.0682565,0.0966345,0.148886", \ - "0.0496893,0.0519478,0.0560409,0.0639271,0.0788262,0.106620,0.158299", \ - "0.0657075,0.0685264,0.0735492,0.0823581,0.0974118,0.124144,0.174790", \ - "0.0837126,0.0869815,0.0928737,0.103170,0.120838,0.150199,0.199730", \ - "0.104312,0.108002,0.114637,0.126299,0.146304,0.179795,0.233991"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.00516526,0.00572077,0.00675040,0.00865349,0.0121608,0.0186375,0.0305944", \ - "0.00516696,0.00572347,0.00675318,0.00865230,0.0121624,0.0186378,0.0305934", \ - "0.00622226,0.00670716,0.00761942,0.00925381,0.0124203,0.0186395,0.0305942", \ - "0.00936040,0.00984136,0.0107086,0.0122752,0.0151832,0.0206925,0.0312958", \ - "0.0141305,0.0147015,0.0157019,0.0174369,0.0204420,0.0257009,0.0355369", \ - "0.0202098,0.0209060,0.0220953,0.0241539,0.0275847,0.0332111,0.0428898", \ - "0.0275224,0.0283223,0.0297351,0.0321883,0.0362224,0.0426178,0.0529110"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.0272922,0.0295670,0.0337264,0.0413310,0.0552541,0.0808087,0.127836", \ - "0.0272309,0.0295209,0.0337029,0.0413236,0.0552490,0.0808301,0.127841", \ - "0.0267822,0.0291726,0.0334882,0.0412384,0.0552290,0.0808160,0.127837", \ - "0.0278289,0.0298282,0.0335970,0.0407908,0.0548817,0.0807971,0.127827", \ - "0.0337260,0.0357430,0.0393877,0.0455968,0.0572724,0.0807092,0.127767", \ - "0.0404575,0.0427200,0.0467256,0.0538237,0.0659977,0.0867236,0.128421", \ - "0.0479232,0.0504413,0.0549172,0.0627895,0.0762751,0.0987187,0.136567"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("1.168741,1.198886,1.213287,1.275678,1.330993,1.414006,1.489827", \ - "1.132425,1.128588,1.170922,1.226157,1.279901,1.366450,1.438518", \ - "1.147606,1.154861,1.174809,1.216138,1.257446,1.337488,1.406550", \ - "1.417117,1.429162,1.421103,1.414347,1.414091,1.397826,1.446412", \ - "1.848196,1.845047,1.843269,1.821252,1.783672,1.736990,1.661818", \ - "2.492356,2.484629,2.465833,2.438940,2.380405,2.281677,2.135923", \ - "3.354653,3.349250,3.320635,3.301242,3.237654,3.095904,2.870942"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("5.121826,5.132640,5.125428,5.136465,5.166651,5.138989,5.140376", \ - "5.054095,5.046135,5.061887,5.065622,5.079456,5.064681,5.083948", \ - "4.984311,4.994261,5.007002,5.023321,4.996849,5.057658,5.005354", \ - "4.976553,4.971723,4.982891,4.976207,4.957498,4.998538,5.014040", \ - "5.001628,5.010796,4.999788,4.991196,5.017265,4.940419,4.928364", \ - "5.098081,5.110935,5.132501,5.188235,5.156506,5.105048,5.107720", \ - "5.648200,5.632974,5.604860,5.560136,5.552232,5.535381,5.441342"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.873442,0.897872,0.958229,1.018385,1.115225,1.232307,1.341706", \ - "0.832638,0.856570,0.893013,0.973065,1.061074,1.185775,1.289086", \ - "0.914547,0.923351,0.943743,0.992944,1.054993,1.154904,1.248618", \ - "1.226749,1.237945,1.235079,1.230502,1.232287,1.233508,1.293964", \ - "1.671590,1.667487,1.656886,1.634124,1.606392,1.554717,1.499122", \ - "2.297066,2.289481,2.272627,2.248017,2.184741,2.086950,1.961299", \ - "3.123799,3.105354,3.104605,3.066433,3.010256,2.872293,2.660072"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("4.744050,4.739944,4.760703,4.766218,4.777480,4.749671,4.753271", \ - "4.659550,4.673985,4.679502,4.703641,4.730883,4.681071,4.701670", \ - "4.610948,4.618720,4.625662,4.634813,4.635212,4.668641,4.627540", \ - "4.593702,4.587628,4.588261,4.610062,4.604916,4.642002,4.633830", \ - "4.627573,4.621396,4.620517,4.624060,4.620938,4.590022,4.578102", \ - "4.714432,4.714617,4.758369,4.813221,4.766770,4.682266,4.665959", \ - "5.223609,5.204424,5.174214,5.144528,5.148602,5.143228,5.039795"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.974540,1.003559,1.028612,1.077131,1.161189,1.259825,1.356387", \ - "0.938253,0.935148,0.993284,1.041700,1.106123,1.201453,1.305706", \ - "0.967679,0.985424,1.009122,1.033054,1.097833,1.166137,1.263819", \ - "1.260541,1.265289,1.251298,1.260207,1.245332,1.240928,1.298501", \ - "1.696817,1.690239,1.683632,1.663417,1.614918,1.562719,1.501064", \ - "2.344068,2.335071,2.305588,2.275948,2.202032,2.102920,1.963027", \ - "3.209748,3.192198,3.166750,3.119977,3.035399,2.889774,2.662834"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("5.545064,5.561324,5.562781,5.581283,5.585049,5.602254,5.551233", \ - "5.485869,5.482842,5.506778,5.521427,5.522408,5.538529,5.629621", \ - "5.414126,5.427280,5.431362,5.460645,5.465643,5.439898,5.537949", \ - "5.394468,5.406721,5.409201,5.429401,5.438419,5.407043,5.496202", \ - "5.427639,5.421758,5.421458,5.441139,5.453522,5.403006,5.483950", \ - "5.524569,5.550871,5.578215,5.599454,5.567217,5.577990,5.567636", \ - "5.947538,5.951774,5.952059,5.943387,5.982466,5.936337,5.828515"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.999312,1.019019,1.023893,1.049606,1.075104,1.113129,1.147869", \ - "1.009903,1.007636,1.030391,1.050403,1.081545,1.122833,1.160643", \ - "1.034464,1.030435,1.029346,1.046298,1.086739,1.119492,1.159066", \ - "1.258157,1.257512,1.244825,1.241973,1.216907,1.183282,1.201696", \ - "1.628676,1.629649,1.615649,1.595440,1.552722,1.488549,1.408094", \ - "2.185584,2.182267,2.164331,2.136143,2.087881,1.986805,1.839101", \ - "2.916890,2.907671,2.906357,2.881438,2.832106,2.716169,2.516967"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("4.372546,4.383250,4.396562,4.413182,4.384879,4.435833,4.408877", \ - "4.295304,4.309406,4.322965,4.350540,4.376830,4.346292,4.319673", \ - "4.247305,4.257256,4.269947,4.269674,4.285314,4.326783,4.274712", \ - "4.243508,4.231850,4.241563,4.252562,4.248700,4.271606,4.215681", \ - "4.337029,4.341989,4.345748,4.331006,4.287985,4.281170,4.313708", \ - "4.617583,4.616960,4.637591,4.666425,4.610856,4.559342,4.412282", \ - "5.260794,5.231962,5.202168,5.173744,5.158179,5.069248,4.906512"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.811676,0.839313,0.871215,0.897207,0.948306,1.000666,1.049408", \ - "0.803526,0.829623,0.867140,0.903744,0.952838,1.002341,1.050377", \ - "0.874954,0.873784,0.885292,0.894816,0.939960,0.985654,1.032566", \ - "1.129339,1.116402,1.107457,1.102593,1.080379,1.055388,1.071564", \ - "1.491683,1.491734,1.479580,1.460556,1.418299,1.359139,1.275841", \ - "2.031129,2.028323,2.018552,1.989121,1.940129,1.840650,1.699931", \ - "2.731759,2.736864,2.734988,2.713463,2.654924,2.539462,2.344605"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("4.007427,4.014954,4.018038,4.032092,4.023510,4.066748,4.020920", \ - "3.933600,3.940451,3.945943,3.970622,3.968084,4.007179,3.946121", \ - "3.879515,3.874383,3.894274,3.899845,3.924446,3.889551,3.983069", \ - "3.869838,3.862719,3.861587,3.866137,3.856211,3.895149,3.860293", \ - "3.963926,3.970246,3.949422,3.945506,3.938193,3.899000,3.870969", \ - "4.205141,4.212177,4.230086,4.252310,4.217012,4.156837,4.019269", \ - "4.810295,4.791569,4.779415,4.746352,4.741755,4.656447,4.436596"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.882303,0.882068,0.899369,0.942889,0.971432,1.013988,1.056229", \ - "0.877361,0.883208,0.894306,0.932925,0.971048,1.010062,1.054359", \ - "0.897884,0.902328,0.913247,0.923130,0.961469,0.994958,1.039187", \ - "1.135784,1.121884,1.125053,1.110529,1.089784,1.059068,1.077018", \ - "1.515749,1.495622,1.486860,1.459880,1.417303,1.355036,1.272995", \ - "2.078823,2.062550,2.045450,2.008209,1.944526,1.842382,1.694127", \ - "2.806151,2.809441,2.786133,2.748465,2.682978,2.549136,2.337421"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("4.820156,4.816447,4.838011,4.857713,4.874006,4.866825,4.893682", \ - "4.752670,4.749431,4.773506,4.779250,4.819365,4.775213,4.854599", \ - "4.683124,4.696172,4.700226,4.710912,4.743228,4.761399,4.831113", \ - "4.668598,4.680521,4.681918,4.696310,4.710717,4.695500,4.771172", \ - "4.754398,4.747016,4.761939,4.746705,4.734625,4.718677,4.775219", \ - "5.000645,5.017113,5.060650,5.030015,5.014108,4.968409,4.917333", \ - "5.533001,5.523345,5.528842,5.524303,5.529804,5.463677,5.325617"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.314023,0.313535,0.304540,0.298427,0.293978,0.289857,0.294246", \ - "0.298029,0.298313,0.302028,0.303924,0.312285,0.321412,0.332464", \ - "0.326646,0.326682,0.325452,0.325233,0.335746,0.349663,0.365739", \ - "0.546462,0.540079,0.517738,0.483660,0.433427,0.414382,0.412953", \ - "0.914087,0.890323,0.872631,0.819011,0.749968,0.646529,0.552341", \ - "1.442776,1.427864,1.403031,1.353597,1.253851,1.097482,0.900675", \ - "2.123775,2.118429,2.098343,2.058940,1.965768,1.774165,1.485756"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("2.901615,2.914717,2.939029,2.942116,2.930787,2.925955,2.963295", \ - "2.806635,2.824643,2.836349,2.862880,2.887088,2.924470,2.910359", \ - "2.794837,2.805425,2.806197,2.805523,2.847391,2.822158,2.902441", \ - "3.033623,3.018318,3.004687,2.996164,2.945873,2.912809,2.919225", \ - "3.474837,3.454692,3.425944,3.379338,3.312412,3.235346,3.082705", \ - "3.998046,3.999841,3.992304,4.006001,3.914241,3.741472,3.532096", \ - "4.859950,4.836215,4.785015,4.741062,4.680982,4.521658,4.227964"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("0.316436,0.311452,0.306137,0.299477,0.295595,0.294762,0.296152", \ - "0.286480,0.294561,0.293549,0.301765,0.306696,0.322665,0.332494", \ - "0.260176,0.260564,0.264898,0.278809,0.300806,0.324889,0.352538", \ - "0.380122,0.379910,0.372588,0.359609,0.337695,0.333479,0.358977", \ - "0.621749,0.611745,0.603089,0.579511,0.545071,0.489073,0.425604", \ - "0.992465,0.974655,0.976723,0.950336,0.890205,0.804445,0.678263", \ - "1.485106,1.492649,1.476905,1.453827,1.399130,1.288693,1.105105"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); - values ("3.634227,3.646333,3.648409,3.649303,3.679653,3.692559,3.700720", \ - "3.561062,3.557789,3.581340,3.593951,3.623432,3.636820,3.606508", \ - "3.559095,3.569710,3.569964,3.573988,3.599566,3.600500,3.581761", \ - "3.774623,3.779234,3.769948,3.761056,3.726223,3.696723,3.664684", \ - "4.225438,4.206165,4.183956,4.158228,4.111757,4.011384,3.953010", \ - "4.765071,4.776557,4.789368,4.788174,4.689973,4.573231,4.415899", \ - "5.576947,5.566245,5.555122,5.529549,5.484004,5.360209,5.129766"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI211_X2 - Cell Description : Combinational cell (AOI211_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI211_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 69.131362; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 47.361380; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 89.983410; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 48.566103; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 74.715300; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 33.013288; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 62.316892; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 62.314461; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 88.818118; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 32.411423; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 61.854595; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 61.852175; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 88.671772; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 59.113559; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 88.609689; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 88.608039; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 117.891591; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.114220; - fall_capacitance : 2.989180; - rise_capacitance : 3.114220; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.425452; - fall_capacitance : 3.050151; - rise_capacitance : 3.425452; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.165257; - fall_capacitance : 2.649523; - rise_capacitance : 3.165257; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.454374; - fall_capacitance : 2.815764; - rise_capacitance : 3.454374; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 28.991700; - function : "!(((C1 & C2) | B) | A)"; - - timing () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.007417,0.007842,0.008539,0.009887,0.012463,0.017347,0.026663", \ - "0.008966,0.009376,0.010055,0.011380,0.013932,0.018798,0.028104", \ - "0.014132,0.014637,0.015448,0.016960,0.019661,0.024366,0.033547", \ - "0.018052,0.018782,0.019969,0.022189,0.026178,0.033008,0.044127", \ - "0.019326,0.020292,0.021898,0.024858,0.030190,0.039361,0.054358", \ - "0.017534,0.018754,0.020777,0.024505,0.031215,0.042790,0.061767", \ - "0.012495,0.013957,0.016332,0.020810,0.028921,0.042938,0.065989"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.040701,0.042592,0.045745,0.052004,0.064407,0.089008,0.137971", \ - "0.041846,0.043750,0.046927,0.053238,0.065742,0.090487,0.139608", \ - "0.046950,0.048830,0.051968,0.058221,0.070658,0.095401,0.144622", \ - "0.055091,0.057002,0.060165,0.066398,0.078773,0.103408,0.152526", \ - "0.063474,0.065705,0.069363,0.076457,0.089938,0.115005,0.163982", \ - "0.072578,0.075089,0.079221,0.087177,0.102154,0.129887,0.180389", \ - "0.084960,0.087756,0.092301,0.101026,0.117329,0.147262,0.201593"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.005502,0.005818,0.006338,0.007355,0.009336,0.013205,0.020854", \ - "0.005257,0.005600,0.006157,0.007227,0.009260,0.013171,0.020843", \ - "0.007444,0.007680,0.008052,0.008757,0.010092,0.013293,0.020789", \ - "0.012040,0.012383,0.012921,0.013930,0.015746,0.018855,0.024054", \ - "0.017905,0.018354,0.019056,0.020392,0.022789,0.026875,0.033590", \ - "0.025176,0.025751,0.026627,0.028311,0.031315,0.036395,0.044687", \ - "0.033860,0.034586,0.035720,0.037804,0.041476,0.047605,0.057471"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.021038,0.022669,0.025404,0.030870,0.041772,0.063478,0.106699", \ - "0.021040,0.022670,0.025410,0.030874,0.041771,0.063474,0.106698", \ - "0.021050,0.022680,0.025414,0.030874,0.041765,0.063467,0.106698", \ - "0.021902,0.023390,0.025914,0.031087,0.041800,0.063466,0.106717", \ - "0.026263,0.027746,0.030224,0.035127,0.044506,0.064335,0.106696", \ - "0.032160,0.033626,0.036085,0.041035,0.050864,0.069905,0.108578", \ - "0.040204,0.041549,0.043867,0.048631,0.058361,0.077854,0.115612"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.005882,0.006304,0.007002,0.008363,0.010981,0.015959,0.025420", \ - "0.007587,0.007980,0.008640,0.009948,0.012507,0.017436,0.026866", \ - "0.012283,0.012842,0.013733,0.015377,0.018268,0.023129,0.032335", \ - "0.015382,0.016187,0.017498,0.019909,0.024174,0.031360,0.042844", \ - "0.015763,0.016832,0.018577,0.021793,0.027499,0.037144,0.052641", \ - "0.012926,0.014295,0.016493,0.020527,0.027709,0.039902,0.059545", \ - "0.006741,0.008355,0.010950,0.015795,0.024463,0.039237,0.063123"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.043772,0.045972,0.049628,0.056886,0.071272,0.099821,0.156664", \ - "0.044807,0.047016,0.050701,0.058017,0.072516,0.101232,0.158253", \ - "0.049834,0.052014,0.055650,0.062898,0.077321,0.106031,0.163160", \ - "0.057764,0.059965,0.063610,0.070832,0.085176,0.113763,0.170779", \ - "0.065912,0.068399,0.072505,0.080485,0.095752,0.124457,0.181300", \ - "0.074775,0.077524,0.082035,0.090773,0.107359,0.138441,0.196027", \ - "0.087055,0.090048,0.094950,0.104360,0.122078,0.155059,0.215947"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.004611,0.004967,0.005546,0.006664,0.008787,0.012812,0.020559", \ - "0.004440,0.004771,0.005343,0.006493,0.008661,0.012733,0.020522", \ - "0.007410,0.007645,0.008021,0.008730,0.010003,0.013006,0.020387", \ - "0.012230,0.012565,0.013097,0.014086,0.015871,0.018939,0.024066", \ - "0.018412,0.018849,0.019530,0.020822,0.023130,0.027106,0.033709", \ - "0.026141,0.026686,0.027527,0.029138,0.031998,0.036877,0.044946", \ - "0.035395,0.036108,0.037193,0.039165,0.042644,0.048458,0.057976"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.021798,0.023716,0.026939,0.033389,0.046255,0.071880,0.122970", \ - "0.021809,0.023726,0.026946,0.033391,0.046251,0.071870,0.122987", \ - "0.021829,0.023736,0.026955,0.033395,0.046256,0.071879,0.122991", \ - "0.022520,0.024296,0.027311,0.033548,0.046275,0.071882,0.122973", \ - "0.026362,0.028142,0.031130,0.036993,0.048384,0.072366,0.122978", \ - "0.031456,0.033218,0.036211,0.042200,0.054032,0.076897,0.124102", \ - "0.038786,0.040437,0.043266,0.049053,0.060781,0.084168,0.129677"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.006019,0.006441,0.007138,0.008496,0.011111,0.016089,0.025555", \ - "0.007715,0.008109,0.008769,0.010077,0.012635,0.017565,0.027002", \ - "0.012487,0.013034,0.013914,0.015540,0.018413,0.023253,0.032468", \ - "0.015706,0.016501,0.017790,0.020174,0.024409,0.031553,0.043007", \ - "0.016248,0.017303,0.019023,0.022196,0.027851,0.037439,0.052887", \ - "0.013650,0.014981,0.017143,0.021114,0.028220,0.040324,0.059888", \ - "0.007809,0.009351,0.011878,0.016629,0.025181,0.039832,0.063605"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.052196,0.054395,0.058059,0.065334,0.079752,0.108362,0.165291", \ - "0.053343,0.055555,0.059241,0.066562,0.081072,0.109829,0.166894", \ - "0.058276,0.060464,0.064123,0.071403,0.085874,0.114639,0.171827", \ - "0.066232,0.068415,0.072052,0.079288,0.093681,0.122344,0.179427", \ - "0.075501,0.077905,0.081865,0.089608,0.104429,0.132994,0.189923", \ - "0.085373,0.088023,0.092328,0.100748,0.116881,0.147416,0.204583", \ - "0.098521,0.101374,0.106028,0.115034,0.132206,0.164566,0.224798"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.005500,0.005869,0.006471,0.007632,0.009828,0.013925,0.021686", \ - "0.005271,0.005626,0.006236,0.007439,0.009691,0.013844,0.021649", \ - "0.008553,0.008748,0.009070,0.009704,0.010946,0.014087,0.021514", \ - "0.014526,0.014768,0.015163,0.015946,0.017472,0.020255,0.025148", \ - "0.021837,0.022135,0.022608,0.023579,0.025464,0.028972,0.035136", \ - "0.030731,0.031079,0.031652,0.032825,0.035113,0.039338,0.046799", \ - "0.041181,0.041686,0.042438,0.043868,0.046598,0.051571,0.060306"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.026145,0.028099,0.031365,0.037884,0.050836,0.076592,0.127870", \ - "0.026144,0.028104,0.031370,0.037880,0.050839,0.076595,0.127868", \ - "0.026150,0.028107,0.031371,0.037886,0.050832,0.076594,0.127873", \ - "0.026388,0.028288,0.031487,0.037930,0.050841,0.076591,0.127861", \ - "0.029885,0.031710,0.034706,0.040485,0.052268,0.076785,0.127846", \ - "0.034640,0.036511,0.039610,0.045736,0.057714,0.080676,0.128620", \ - "0.041211,0.043028,0.046089,0.052178,0.064268,0.087916,0.133702"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.007307,0.007692,0.008326,0.009563,0.011955,0.016583,0.025600", \ - "0.008873,0.009254,0.009885,0.011119,0.013512,0.018141,0.027160", \ - "0.013511,0.014010,0.014824,0.016337,0.019045,0.023702,0.032657", \ - "0.016518,0.017263,0.018482,0.020748,0.024812,0.031750,0.042997", \ - "0.016648,0.017661,0.019321,0.022396,0.027906,0.037320,0.052588", \ - "0.013401,0.014681,0.016805,0.020730,0.027762,0.039770,0.059242", \ - "0.006412,0.007984,0.010533,0.015320,0.023918,0.038630,0.062482"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.037104,0.038997,0.042148,0.048407,0.060806,0.085407,0.134370", \ - "0.037738,0.039638,0.042813,0.049127,0.061626,0.086374,0.135496", \ - "0.042693,0.044569,0.047706,0.053958,0.066395,0.091133,0.140358", \ - "0.052250,0.054214,0.057409,0.063666,0.076041,0.100670,0.149785", \ - "0.062979,0.065455,0.069454,0.077055,0.091062,0.116203,0.165127", \ - "0.076319,0.079218,0.083914,0.092761,0.108976,0.137804,0.188125", \ - "0.093959,0.097246,0.102467,0.112387,0.130624,0.162964,0.218774"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.004706,0.004995,0.005477,0.006437,0.008348,0.012163,0.019802", \ - "0.004622,0.004924,0.005425,0.006407,0.008337,0.012161,0.019803", \ - "0.006819,0.007053,0.007441,0.008153,0.009471,0.012510,0.019800", \ - "0.011177,0.011527,0.012085,0.013132,0.015007,0.018196,0.023468", \ - "0.016869,0.017337,0.018077,0.019457,0.021923,0.026117,0.032953", \ - "0.023993,0.024601,0.025530,0.027289,0.030405,0.035599,0.044013", \ - "0.032534,0.033304,0.034493,0.036684,0.040517,0.046828,0.056854"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.021032,0.022666,0.025408,0.030873,0.041767,0.063468,0.106698", \ - "0.021042,0.022670,0.025410,0.030874,0.041766,0.063485,0.106696", \ - "0.021056,0.022679,0.025413,0.030874,0.041765,0.063482,0.106697", \ - "0.022769,0.024119,0.026466,0.031347,0.041827,0.063464,0.106703", \ - "0.029312,0.030655,0.032902,0.037338,0.045716,0.064530,0.106697", \ - "0.036848,0.038268,0.040620,0.045296,0.054391,0.071584,0.108555", \ - "0.045063,0.046486,0.048961,0.053926,0.063660,0.082174,0.116786"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.006110,0.006510,0.007168,0.008447,0.010904,0.015611,0.024698", \ - "0.007752,0.008135,0.008773,0.010025,0.012458,0.017149,0.026232", \ - "0.012005,0.012555,0.013438,0.015064,0.017933,0.022739,0.031711", \ - "0.014303,0.015118,0.016436,0.018867,0.023170,0.030393,0.041934", \ - "0.013606,0.014705,0.016509,0.019803,0.025640,0.035451,0.051133", \ - "0.009393,0.010800,0.013099,0.017303,0.024747,0.037296,0.057325", \ - "0.001359,0.003064,0.005826,0.010947,0.020050,0.035420,0.059997"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.040247,0.042444,0.046103,0.053361,0.067740,0.096290,0.153130", \ - "0.040773,0.042981,0.046665,0.053982,0.068480,0.097197,0.154217", \ - "0.045632,0.047809,0.051445,0.058695,0.073115,0.101817,0.158956", \ - "0.054545,0.056781,0.060453,0.067688,0.082032,0.110602,0.167608", \ - "0.064541,0.067243,0.071638,0.080012,0.095724,0.124482,0.181252", \ - "0.077595,0.080694,0.085655,0.095099,0.112621,0.144442,0.201861", \ - "0.095160,0.098608,0.104119,0.114566,0.133848,0.168601,0.230364"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.004293,0.004600,0.005108,0.006102,0.008042,0.011859,0.019461", \ - "0.004145,0.004448,0.004978,0.006005,0.007985,0.011835,0.019456", \ - "0.006806,0.007045,0.007426,0.008146,0.009429,0.012293,0.019430", \ - "0.011265,0.011615,0.012162,0.013186,0.015028,0.018204,0.023403", \ - "0.017128,0.017589,0.018299,0.019649,0.022052,0.026181,0.032933", \ - "0.024494,0.025088,0.025982,0.027688,0.030711,0.035778,0.044073", \ - "0.033341,0.034095,0.035247,0.037378,0.041088,0.047216,0.057051"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.021796,0.023715,0.026939,0.033387,0.046253,0.071880,0.122992", \ - "0.021807,0.023728,0.026944,0.033388,0.046247,0.071888,0.122977", \ - "0.021838,0.023748,0.026956,0.033396,0.046255,0.071887,0.122998", \ - "0.023328,0.024991,0.027838,0.033748,0.046304,0.071867,0.122979", \ - "0.028780,0.030458,0.033285,0.038828,0.049411,0.072559,0.122976", \ - "0.035234,0.036906,0.039763,0.045472,0.056686,0.078151,0.124109", \ - "0.042669,0.044358,0.047258,0.053099,0.064666,0.087122,0.130345"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.006240,0.006638,0.007295,0.008571,0.011026,0.015733,0.024827", \ - "0.007877,0.008259,0.008896,0.010147,0.012579,0.017271,0.026361", \ - "0.012197,0.012740,0.013613,0.015225,0.018072,0.022858,0.031840", \ - "0.014613,0.015421,0.016722,0.019128,0.023398,0.030587,0.042088", \ - "0.014082,0.015170,0.016944,0.020201,0.025988,0.035744,0.051370", \ - "0.010096,0.011476,0.013738,0.017885,0.025260,0.037716,0.057664", \ - "0.002374,0.004038,0.006743,0.011778,0.020772,0.036019,0.060474"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.048664,0.050866,0.054530,0.061804,0.076223,0.104840,0.161751", \ - "0.049310,0.051520,0.055206,0.062528,0.077038,0.105787,0.162860", \ - "0.054074,0.056264,0.059919,0.067199,0.081669,0.110431,0.167623", \ - "0.063110,0.065289,0.068919,0.076147,0.090535,0.119179,0.176265", \ - "0.074825,0.077365,0.081513,0.089468,0.104487,0.133005,0.189865", \ - "0.089253,0.092117,0.096788,0.105730,0.122502,0.153480,0.210398", \ - "0.107939,0.111123,0.116334,0.126244,0.144679,0.178423,0.239182"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.004993,0.005315,0.005845,0.006889,0.008927,0.012875,0.020545", \ - "0.004821,0.005149,0.005706,0.006788,0.008868,0.012851,0.020540", \ - "0.007812,0.008022,0.008363,0.009021,0.010266,0.013291,0.020515", \ - "0.013446,0.013698,0.014123,0.014968,0.016571,0.019485,0.024454", \ - "0.020503,0.020824,0.021328,0.022368,0.024366,0.028027,0.034355", \ - "0.029120,0.029519,0.030128,0.031398,0.033831,0.038245,0.045927", \ - "0.039326,0.039828,0.040615,0.042171,0.045116,0.050367,0.059385"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.026143,0.028099,0.031367,0.037884,0.050836,0.076596,0.127868", \ - "0.026145,0.028104,0.031368,0.037883,0.050840,0.076590,0.127885", \ - "0.026157,0.028108,0.031370,0.037884,0.050840,0.076590,0.127875", \ - "0.026718,0.028525,0.031634,0.037988,0.050850,0.076583,0.127873", \ - "0.031792,0.033544,0.036387,0.041754,0.052932,0.076857,0.127844", \ - "0.037910,0.039708,0.042688,0.048535,0.059908,0.081593,0.128561", \ - "0.045064,0.046899,0.049962,0.056019,0.067827,0.090481,0.134148"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.00819161,0.00876983,0.00973168,0.0116432,0.0154400,0.0229940,0.0380628", \ - "0.00954720,0.0101326,0.0111094,0.0130440,0.0168726,0.0244615,0.0395589", \ - "0.0134692,0.0142800,0.0155684,0.0179325,0.0220564,0.0295870,0.0446563", \ - "0.0154767,0.0166766,0.0185908,0.0220945,0.0282320,0.0384231,0.0546234", \ - "0.0144877,0.0161035,0.0186867,0.0234088,0.0316432,0.0453180,0.0669849", \ - "0.0100324,0.0121115,0.0153903,0.0213730,0.0318181,0.0490963,0.0764165", \ - "0.00190825,0.00439988,0.00836961,0.0156240,0.0283207,0.0493399,0.0824767"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.0236271,0.0258600,0.0295729,0.0369127,0.0513972,0.0800162,0.136912", \ - "0.0237559,0.0260036,0.0297606,0.0372115,0.0518993,0.0807997,0.137946", \ - "0.0286503,0.0306913,0.0341876,0.0413233,0.0557290,0.0845007,0.141752", \ - "0.0403171,0.0425703,0.0461797,0.0528997,0.0663171,0.0942632,0.150763", \ - "0.0536908,0.0565104,0.0609349,0.0692988,0.0845561,0.111796,0.167005", \ - "0.0693514,0.0725626,0.0776722,0.0873770,0.105262,0.136828,0.191770", \ - "0.0875936,0.0912314,0.0969718,0.107878,0.128033,0.163977,0.225376"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.00485252,0.00533632,0.00615344,0.00778455,0.0110475,0.0175734,0.0306188", \ - "0.00484945,0.00533650,0.00615264,0.00778587,0.0110475,0.0175735,0.0306175", \ - "0.00724125,0.00762501,0.00825246,0.00940765,0.0117720,0.0175857,0.0306162", \ - "0.0119643,0.0124717,0.0132845,0.0148109,0.0175609,0.0223744,0.0319661", \ - "0.0183403,0.0190020,0.0200326,0.0219477,0.0253670,0.0312557,0.0411077", \ - "0.0264967,0.0272985,0.0285699,0.0309196,0.0350609,0.0420675,0.0536985", \ - "0.0363820,0.0373589,0.0389042,0.0417373,0.0466948,0.0549286,0.0683644"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.0214012,0.0234193,0.0267477,0.0333128,0.0462472,0.0718754,0.122978", \ - "0.0211896,0.0232636,0.0266516,0.0332722,0.0462438,0.0718907,0.122984", \ - "0.0202422,0.0222923,0.0259553,0.0329437,0.0461814,0.0718655,0.122979", \ - "0.0240240,0.0254227,0.0279911,0.0335599,0.0456721,0.0717956,0.122983", \ - "0.0297956,0.0315133,0.0344078,0.0400554,0.0503399,0.0724975,0.122959", \ - "0.0367348,0.0386156,0.0417249,0.0478631,0.0594447,0.0802527,0.124156", \ - "0.0451526,0.0471227,0.0504136,0.0569640,0.0695070,0.0924755,0.133343"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.00949250,0.0100666,0.0110253,0.0129298,0.0167194,0.0242674,0.0393331", \ - "0.0108757,0.0114658,0.0124432,0.0143800,0.0182086,0.0257961,0.0408923", \ - "0.0141486,0.0148558,0.0160040,0.0181902,0.0222766,0.0299453,0.0451157", \ - "0.0167682,0.0177841,0.0193990,0.0223690,0.0276132,0.0367024,0.0527754", \ - "0.0168781,0.0182922,0.0205327,0.0246192,0.0317096,0.0434219,0.0624636", \ - "0.0137167,0.0155765,0.0185252,0.0238677,0.0330862,0.0481378,0.0716830", \ - "0.00689180,0.00925109,0.0129367,0.0196142,0.0311144,0.0498183,0.0787518"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.0310725,0.0332553,0.0368955,0.0441343,0.0585066,0.0870770,0.143938", \ - "0.0314644,0.0336742,0.0373629,0.0446792,0.0591689,0.0878817,0.144867", \ - "0.0362348,0.0383640,0.0419433,0.0491165,0.0634576,0.0920626,0.149077", \ - "0.0484421,0.0504834,0.0537091,0.0603758,0.0741622,0.102125,0.158488", \ - "0.0641518,0.0666505,0.0707113,0.0784747,0.0928443,0.119761,0.174979", \ - "0.0818852,0.0848146,0.0895418,0.0985993,0.115452,0.145646,0.199907", \ - "0.102260,0.105547,0.110926,0.121104,0.140171,0.174592,0.234145"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.00485352,0.00533924,0.00615362,0.00778403,0.0110481,0.0175724,0.0306153", \ - "0.00485617,0.00533909,0.00615213,0.00778580,0.0110472,0.0175736,0.0306162", \ - "0.00594640,0.00637117,0.00708588,0.00851099,0.0113935,0.0175828,0.0306162", \ - "0.00908145,0.00950549,0.0101978,0.0115544,0.0142545,0.0197766,0.0313189", \ - "0.0138004,0.0143078,0.0151177,0.0166543,0.0195008,0.0248213,0.0355533", \ - "0.0198063,0.0204183,0.0213879,0.0232181,0.0265244,0.0323166,0.0428863", \ - "0.0270316,0.0277315,0.0288883,0.0310705,0.0349714,0.0415998,0.0529008"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.0259999,0.0279915,0.0312955,0.0378505,0.0508352,0.0766058,0.127868", \ - "0.0259264,0.0279361,0.0312623,0.0378353,0.0508281,0.0766097,0.127866", \ - "0.0254264,0.0275328,0.0309849,0.0377121,0.0508020,0.0765826,0.127875", \ - "0.0267297,0.0284508,0.0313947,0.0374679,0.0502631,0.0765592,0.127869", \ - "0.0325568,0.0343339,0.0372645,0.0428567,0.0534714,0.0766787,0.127829", \ - "0.0391235,0.0410944,0.0443465,0.0506006,0.0622200,0.0832306,0.128482", \ - "0.0464485,0.0486530,0.0522661,0.0592011,0.0720895,0.0951793,0.136602"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("2.251342,2.275623,2.318022,2.417652,2.550845,2.708430,2.872654", \ - "2.148887,2.169997,2.219695,2.332655,2.453686,2.617985,2.773753", \ - "2.203992,2.215973,2.228982,2.311016,2.405706,2.544878,2.716847", \ - "2.756562,2.767233,2.761596,2.729871,2.734333,2.698557,2.795595", \ - "3.628163,3.619996,3.598600,3.565116,3.487893,3.368857,3.220919", \ - "4.904683,4.889487,4.857186,4.803254,4.690432,4.477859,4.159320", \ - "6.618797,6.570554,6.571679,6.528171,6.398823,6.128982,5.627810"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("10.350980,10.355710,10.389630,10.411170,10.395810,10.435360,10.471570", \ - "10.215040,10.222130,10.242990,10.294910,10.310130,10.268280,10.383000", \ - "10.117980,10.121660,10.137320,10.166330,10.170760,10.168210,10.232050", \ - "10.076400,10.078010,10.091130,10.092230,10.075270,10.126670,10.136560", \ - "10.153440,10.154380,10.143890,10.112180,10.085170,10.027110,10.163590", \ - "10.306260,10.351250,10.375120,10.431750,10.455600,10.287760,10.325610", \ - "11.442010,11.395660,11.336340,11.288130,11.200670,11.152040,11.015910"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("1.683319,1.725895,1.801859,1.938979,2.108563,2.365098,2.597500", \ - "1.569280,1.646208,1.724501,1.844201,2.024454,2.265344,2.487017", \ - "1.785432,1.792921,1.804353,1.861836,2.002814,2.181679,2.414972", \ - "2.398190,2.387313,2.402546,2.380333,2.390342,2.375352,2.489147", \ - "3.260629,3.248182,3.238555,3.193267,3.145237,3.048725,2.914537", \ - "4.492343,4.474520,4.483603,4.430491,4.318312,4.113392,3.816536", \ - "6.181060,6.166889,6.143843,6.089482,5.973927,5.696422,5.207721"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("9.576151,9.591294,9.636798,9.653866,9.662363,9.668901,9.657687", \ - "9.441248,9.462284,9.471364,9.510078,9.575826,9.597592,9.503297", \ - "9.347020,9.360507,9.368097,9.375713,9.389823,9.431927,9.356080", \ - "9.279895,9.290908,9.294780,9.316979,9.364834,9.359363,9.420309", \ - "9.383861,9.356750,9.359867,9.374513,9.387333,9.304858,9.273774", \ - "9.510163,9.531562,9.599888,9.671694,9.715883,9.659986,9.436140", \ - "10.579100,10.550810,10.499340,10.470790,10.459660,10.416880,10.185840"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("1.887173,1.907077,1.945190,2.070320,2.197064,2.420551,2.631308", \ - "1.760862,1.798054,1.861369,1.970379,2.123555,2.296911,2.521227", \ - "1.867986,1.892711,1.910004,1.961805,2.086163,2.247592,2.439498", \ - "2.438324,2.447985,2.434567,2.446891,2.421785,2.397460,2.515441", \ - "3.335547,3.327287,3.271297,3.243822,3.163251,3.058777,2.906667", \ - "4.616609,4.574842,4.542181,4.478324,4.349703,4.133272,3.809035", \ - "6.313956,6.308635,6.282812,6.180621,6.030378,5.711662,5.220857"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("11.214970,11.231020,11.241510,11.279830,11.332360,11.204190,11.426460", \ - "11.095990,11.078400,11.127870,11.151340,11.230750,11.246640,11.370400", \ - "10.954860,10.969670,10.979850,11.031370,11.084600,10.985570,11.253360", \ - "10.915840,10.929800,10.946890,10.964570,11.004420,11.029200,11.115810", \ - "10.982250,10.958780,10.974580,10.982340,11.016690,10.982770,11.108970", \ - "11.164250,11.199380,11.241360,11.353830,11.291260,11.250840,11.247780", \ - "12.042380,12.032350,12.004040,12.017770,12.046070,12.006550,11.768580"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("1.896521,1.923378,1.946842,1.998005,2.052400,2.119865,2.191113", \ - "1.897776,1.929961,1.958695,2.003645,2.061247,2.139081,2.206777", \ - "1.971828,1.956970,1.972583,2.001902,2.051329,2.123598,2.210644", \ - "2.431038,2.416558,2.415027,2.381665,2.350257,2.280137,2.299339", \ - "3.166725,3.161845,3.136750,3.104284,3.026220,2.895339,2.700793", \ - "4.275696,4.248619,4.237748,4.205571,4.104262,3.894663,3.565966", \ - "5.715178,5.739632,5.710631,5.689571,5.604752,5.358289,4.908194"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("8.893265,8.898327,8.915757,8.934406,8.934996,9.005870,8.949940", \ - "8.738651,8.745602,8.766479,8.802073,8.864998,8.860040,8.902866", \ - "8.606490,8.609162,8.623794,8.642127,8.647865,8.738141,8.773260", \ - "8.600696,8.601012,8.611468,8.606331,8.601622,8.665833,8.541745", \ - "8.830207,8.823792,8.813128,8.801741,8.749968,8.756664,8.738366", \ - "9.362225,9.383797,9.369670,9.445870,9.374621,9.122492,9.037418", \ - "10.681560,10.615920,10.563460,10.503560,10.466130,10.328760,9.924421"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("1.559780,1.572534,1.632302,1.712717,1.785840,1.908854,2.001290", \ - "1.562193,1.575549,1.624135,1.677229,1.783355,1.888366,1.996631", \ - "1.672635,1.682303,1.689493,1.703568,1.762921,1.857525,1.963937", \ - "2.162588,2.155435,2.142920,2.138292,2.091808,2.027667,2.046096", \ - "2.908779,2.884181,2.869619,2.844228,2.766336,2.633387,2.441974", \ - "3.970842,3.978953,3.939092,3.902859,3.803475,3.604517,3.288435", \ - "5.356809,5.394778,5.378303,5.344757,5.256333,5.020041,4.569131"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("8.127224,8.142543,8.156833,8.198879,8.192465,8.175680,8.128105", \ - "7.975640,7.992659,8.005574,8.030240,8.101675,8.105180,8.210307", \ - "7.851297,7.864132,7.906628,7.919447,7.900791,7.921595,8.069986", \ - "7.832740,7.842682,7.844711,7.873467,7.902326,7.928546,7.847617", \ - "8.057148,8.063809,8.023512,8.029175,8.031345,7.978327,7.846697", \ - "8.545119,8.546792,8.579188,8.638940,8.516274,8.440607,8.303738", \ - "9.758082,9.746625,9.701962,9.638579,9.630022,9.396263,8.979433"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("1.680625,1.673385,1.733680,1.769902,1.835427,1.926693,2.005595", \ - "1.636368,1.680081,1.690266,1.764280,1.824545,1.913628,2.006169", \ - "1.707240,1.716450,1.714367,1.759278,1.808408,1.884104,1.981566", \ - "2.184251,2.174916,2.154833,2.147231,2.096171,2.018342,2.056150", \ - "2.946043,2.916328,2.906661,2.842131,2.774320,2.621306,2.436469", \ - "4.069366,4.057807,4.013861,3.956017,3.823779,3.615887,3.285407", \ - "5.547525,5.525942,5.500251,5.422690,5.304656,5.032845,4.568936"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("9.730447,9.753405,9.793096,9.819360,9.828913,9.911501,9.961055", \ - "9.630419,9.612692,9.662234,9.703853,9.760029,9.785381,9.818171", \ - "9.493926,9.509063,9.519015,9.567565,9.615653,9.691154,9.774782", \ - "9.466794,9.479460,9.484508,9.509515,9.550730,9.609733,9.649823", \ - "9.640762,9.614494,9.648042,9.619576,9.604532,9.658709,9.664833", \ - "10.131500,10.148320,10.204620,10.222880,10.173230,10.065500,10.008130", \ - "11.192430,11.200580,11.205740,11.161820,11.209490,11.056610,10.765430"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.635903,0.635286,0.621806,0.608056,0.589444,0.584992,0.593537", \ - "0.603394,0.599419,0.612301,0.611869,0.617748,0.643318,0.663672", \ - "0.659394,0.645943,0.653690,0.652066,0.663988,0.691917,0.730304", \ - "1.115044,1.090034,1.056707,0.992024,0.896532,0.828680,0.829640", \ - "1.820067,1.817408,1.763542,1.685501,1.537076,1.320925,1.102039", \ - "2.883658,2.872247,2.827674,2.732191,2.557835,2.222867,1.794270", \ - "4.242732,4.222474,4.223469,4.143105,3.974663,3.611566,2.963221"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("5.800483,5.811631,5.863004,5.896195,5.940515,5.867659,5.860827", \ - "5.604704,5.629084,5.652358,5.692390,5.811129,5.840408,5.794398", \ - "5.590611,5.600927,5.604491,5.623843,5.617368,5.657184,5.698114", \ - "6.042106,6.036297,6.014782,5.972438,5.903614,5.846789,5.899021", \ - "6.966715,6.928251,6.870367,6.810669,6.671463,6.501832,6.268118", \ - "7.992142,7.984612,7.979032,7.993986,7.859842,7.523661,7.195663", \ - "9.742651,9.692834,9.617565,9.529151,9.370970,9.102759,8.494587"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("0.639312,0.630310,0.625690,0.609445,0.586369,0.584943,0.595461", \ - "0.579099,0.588998,0.591909,0.606045,0.616217,0.641853,0.669107", \ - "0.517039,0.522730,0.532716,0.549609,0.589559,0.645920,0.706083", \ - "0.765008,0.756572,0.750048,0.728687,0.692006,0.670087,0.720262", \ - "1.252942,1.244718,1.225336,1.181720,1.106524,0.995298,0.852626", \ - "1.966859,1.982367,1.950675,1.920190,1.822567,1.624860,1.357133", \ - "2.982773,2.972491,2.967776,2.910102,2.839886,2.602272,2.208807"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); - values ("7.270131,7.283741,7.290727,7.304024,7.361733,7.332799,7.276427", \ - "7.121795,7.139419,7.153446,7.185417,7.176066,7.204780,7.196147", \ - "7.122041,7.132499,7.135379,7.168184,7.165562,7.216746,7.148180", \ - "7.560144,7.559918,7.546270,7.520457,7.447796,7.439301,7.313589", \ - "8.466870,8.419375,8.385385,8.357292,8.194543,8.073498,7.888283", \ - "9.553085,9.530594,9.581663,9.604272,9.462268,9.200789,8.813944", \ - "11.165670,11.140230,11.141550,11.087460,10.995620,10.687460,10.239970"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI211_X4 - Cell Description : Combinational cell (AOI211_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI211_X4) { - - drive_strength : 4; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 126.001026; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 101.298890; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 122.607430; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 101.902462; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 131.987350; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 111.129810; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 125.781590; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 125.782690; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 139.032960; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 110.828850; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 125.550370; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 125.551580; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 138.959700; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 124.179110; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 138.927140; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 138.927690; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 153.568800; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.668945; - fall_capacitance : 1.612621; - rise_capacitance : 1.668945; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.696344; - fall_capacitance : 1.508759; - rise_capacitance : 1.696344; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.632665; - fall_capacitance : 1.388524; - rise_capacitance : 1.632665; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.749982; - fall_capacitance : 1.436850; - rise_capacitance : 1.749982; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "!(!(!(((C1 & C2) | B) | A)))"; - - timing () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034256,0.038181,0.041419,0.046939,0.056756,0.075370,0.112187", \ - "0.035711,0.039636,0.042874,0.048394,0.058211,0.076823,0.113642", \ - "0.041292,0.045216,0.048453,0.053971,0.063787,0.082399,0.119219", \ - "0.049369,0.053291,0.056532,0.062055,0.071875,0.090489,0.127309", \ - "0.055741,0.059686,0.062943,0.068495,0.078314,0.096933,0.133752", \ - "0.059672,0.063697,0.066999,0.072572,0.082404,0.101025,0.137832", \ - "0.060697,0.064871,0.068264,0.073915,0.083679,0.102298,0.139091"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.088431,0.094175,0.098997,0.108144,0.126374,0.162865,0.235765", \ - "0.089753,0.095497,0.100319,0.109466,0.127695,0.164186,0.237088", \ - "0.094695,0.100439,0.105261,0.114407,0.132639,0.169130,0.242031", \ - "0.102840,0.108583,0.113405,0.122551,0.140781,0.177271,0.250170", \ - "0.114178,0.119948,0.124775,0.133911,0.152125,0.188609,0.261505", \ - "0.127158,0.133096,0.137981,0.147110,0.165277,0.201732,0.274621", \ - "0.143109,0.149226,0.154191,0.163347,0.181473,0.217878,0.290734"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003967,0.005775,0.007567,0.011136,0.018528,0.034055,0.065918", \ - "0.003967,0.005774,0.007567,0.011136,0.018527,0.034055,0.065918", \ - "0.003959,0.005769,0.007563,0.011132,0.018526,0.034055,0.065915", \ - "0.004013,0.005813,0.007598,0.011154,0.018534,0.034056,0.065916", \ - "0.004180,0.005940,0.007698,0.011220,0.018567,0.034065,0.065920", \ - "0.004511,0.006203,0.007911,0.011363,0.018637,0.034083,0.065919", \ - "0.005015,0.006641,0.008281,0.011630,0.018777,0.034122,0.065925"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005882,0.008894,0.012414,0.020526,0.037670,0.072265,0.141513", \ - "0.005882,0.008894,0.012414,0.020526,0.037672,0.072271,0.141518", \ - "0.005880,0.008894,0.012416,0.020524,0.037671,0.072262,0.141525", \ - "0.005881,0.008894,0.012414,0.020523,0.037669,0.072264,0.141522", \ - "0.005950,0.008952,0.012447,0.020533,0.037671,0.072262,0.141529", \ - "0.006241,0.009253,0.012636,0.020602,0.037694,0.072272,0.141515", \ - "0.006558,0.009605,0.012877,0.020695,0.037720,0.072280,0.141529"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032749,0.036683,0.039926,0.045452,0.055273,0.073889,0.110706", \ - "0.034236,0.038171,0.041414,0.046939,0.056760,0.075375,0.112193", \ - "0.039880,0.043810,0.047050,0.052572,0.062391,0.081005,0.117823", \ - "0.047512,0.051439,0.054683,0.060209,0.070033,0.088648,0.125469", \ - "0.053333,0.057286,0.060546,0.066096,0.075936,0.094554,0.131374", \ - "0.056613,0.060657,0.063969,0.069548,0.079396,0.098018,0.134823", \ - "0.056840,0.061049,0.064464,0.070140,0.079934,0.098562,0.135354"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.095912,0.101805,0.106678,0.115822,0.134022,0.170492,0.243381", \ - "0.097146,0.103037,0.107909,0.117052,0.135251,0.171728,0.244613", \ - "0.101975,0.107869,0.112742,0.121885,0.140087,0.176556,0.249449", \ - "0.109871,0.115765,0.120637,0.129781,0.147983,0.184453,0.257343", \ - "0.120533,0.126447,0.131323,0.140462,0.158652,0.195116,0.267999", \ - "0.132887,0.138944,0.143881,0.153010,0.171150,0.207590,0.280461", \ - "0.148301,0.154516,0.159534,0.168701,0.186835,0.223205,0.296038"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003987,0.005793,0.007585,0.011150,0.018536,0.034059,0.065919", \ - "0.003984,0.005790,0.007582,0.011148,0.018535,0.034059,0.065917", \ - "0.003971,0.005780,0.007574,0.011141,0.018532,0.034057,0.065917", \ - "0.004031,0.005827,0.007610,0.011164,0.018541,0.034058,0.065917", \ - "0.004213,0.005966,0.007719,0.011236,0.018575,0.034068,0.065922", \ - "0.004578,0.006258,0.007955,0.011395,0.018653,0.034088,0.065922", \ - "0.005123,0.006737,0.008366,0.011691,0.018811,0.034131,0.065925"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006137,0.009158,0.012579,0.020582,0.037684,0.072273,0.141529", \ - "0.006137,0.009158,0.012580,0.020581,0.037685,0.072263,0.141525", \ - "0.006138,0.009159,0.012580,0.020583,0.037685,0.072269,0.141518", \ - "0.006136,0.009159,0.012579,0.020585,0.037692,0.072277,0.141529", \ - "0.006179,0.009196,0.012602,0.020589,0.037687,0.072266,0.141530", \ - "0.006441,0.009477,0.012789,0.020660,0.037706,0.072275,0.141520", \ - "0.006728,0.009803,0.013020,0.020756,0.037736,0.072286,0.141545"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033934,0.037878,0.041129,0.046661,0.056488,0.075105,0.111922", \ - "0.035419,0.039362,0.042612,0.048144,0.057971,0.076588,0.113404", \ - "0.041059,0.044999,0.048245,0.053774,0.063599,0.082214,0.119032", \ - "0.049110,0.053053,0.056305,0.061841,0.071670,0.090285,0.127105", \ - "0.055511,0.059500,0.062778,0.068346,0.078184,0.096804,0.133618", \ - "0.059392,0.063494,0.066838,0.072450,0.082302,0.100923,0.137723", \ - "0.060249,0.064533,0.067992,0.073717,0.083537,0.102180,0.138960"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.104712,0.110676,0.115573,0.124709,0.142893,0.179350,0.252231", \ - "0.106019,0.111981,0.116878,0.126016,0.144200,0.180654,0.253538", \ - "0.110836,0.116804,0.121695,0.130835,0.149018,0.185474,0.258355", \ - "0.118682,0.124645,0.129542,0.138680,0.156864,0.193321,0.266201", \ - "0.129456,0.135426,0.140325,0.149461,0.167633,0.204083,0.276962", \ - "0.142541,0.148636,0.153589,0.162727,0.180860,0.217297,0.290152", \ - "0.158492,0.164738,0.169771,0.178948,0.197086,0.233460,0.306294"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004043,0.005838,0.007619,0.011173,0.018548,0.034063,0.065918", \ - "0.004039,0.005835,0.007617,0.011171,0.018547,0.034061,0.065919", \ - "0.004030,0.005825,0.007609,0.011165,0.018544,0.034060,0.065918", \ - "0.004111,0.005889,0.007659,0.011195,0.018555,0.034063,0.065918", \ - "0.004348,0.006074,0.007807,0.011294,0.018604,0.034075,0.065923", \ - "0.004781,0.006429,0.008099,0.011496,0.018705,0.034102,0.065921", \ - "0.005365,0.006958,0.008561,0.011841,0.018895,0.034159,0.065927"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006272,0.009296,0.012665,0.020613,0.037695,0.072268,0.141524", \ - "0.006274,0.009295,0.012666,0.020614,0.037700,0.072271,0.141526", \ - "0.006272,0.009295,0.012666,0.020614,0.037702,0.072277,0.141525", \ - "0.006274,0.009295,0.012667,0.020614,0.037697,0.072268,0.141519", \ - "0.006288,0.009309,0.012676,0.020618,0.037697,0.072283,0.141527", \ - "0.006524,0.009561,0.012843,0.020681,0.037713,0.072284,0.141524", \ - "0.006795,0.009872,0.013070,0.020774,0.037743,0.072305,0.141537"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033282,0.037194,0.040422,0.045931,0.055739,0.074350,0.111167", \ - "0.034833,0.038745,0.041973,0.047481,0.057290,0.075901,0.112717", \ - "0.040342,0.044252,0.047480,0.052988,0.062796,0.081407,0.118224", \ - "0.047784,0.051696,0.054932,0.060448,0.070263,0.088875,0.125695", \ - "0.053308,0.057245,0.060497,0.066032,0.075866,0.094481,0.131302", \ - "0.056161,0.060185,0.063486,0.069064,0.078897,0.097514,0.134322", \ - "0.055718,0.059905,0.063306,0.068963,0.078792,0.097410,0.134199"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.084890,0.090634,0.095456,0.104605,0.122833,0.159323,0.232226", \ - "0.085695,0.091438,0.096261,0.105409,0.123638,0.160129,0.233029", \ - "0.090486,0.096229,0.101051,0.110197,0.128428,0.164922,0.237818", \ - "0.100165,0.105908,0.110730,0.119876,0.138106,0.174597,0.247495", \ - "0.115375,0.121153,0.125980,0.135092,0.153296,0.189771,0.262664", \ - "0.134209,0.140203,0.145106,0.154240,0.172357,0.208800,0.281678", \ - "0.156647,0.162870,0.167886,0.177055,0.195193,0.231600,0.304451"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003915,0.005729,0.007528,0.011106,0.018510,0.034048,0.065915", \ - "0.003913,0.005729,0.007528,0.011106,0.018511,0.034048,0.065915", \ - "0.003914,0.005730,0.007529,0.011106,0.018511,0.034048,0.065914", \ - "0.003984,0.005786,0.007574,0.011137,0.018523,0.034052,0.065915", \ - "0.004160,0.005921,0.007681,0.011207,0.018558,0.034061,0.065918", \ - "0.004515,0.006204,0.007909,0.011361,0.018633,0.034080,0.065919", \ - "0.005051,0.006675,0.008311,0.011651,0.018787,0.034123,0.065923"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005882,0.008896,0.012416,0.020521,0.037669,0.072272,0.141526", \ - "0.005882,0.008895,0.012415,0.020524,0.037671,0.072271,0.141528", \ - "0.005880,0.008894,0.012414,0.020524,0.037670,0.072262,0.141529", \ - "0.005883,0.008894,0.012416,0.020525,0.037671,0.072269,0.141527", \ - "0.005964,0.008967,0.012455,0.020535,0.037672,0.072260,0.141528", \ - "0.006353,0.009365,0.012707,0.020625,0.037703,0.072280,0.141533", \ - "0.006765,0.009828,0.013032,0.020756,0.037735,0.072285,0.141532"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032175,0.036090,0.039320,0.044830,0.054640,0.073250,0.110070", \ - "0.033716,0.037630,0.040861,0.046370,0.056180,0.074791,0.111608", \ - "0.039201,0.043114,0.046342,0.051850,0.061660,0.080270,0.117089", \ - "0.046208,0.050122,0.053357,0.058875,0.068693,0.087304,0.124123", \ - "0.051206,0.055149,0.058402,0.063944,0.073771,0.092386,0.129206", \ - "0.053422,0.057460,0.060768,0.066347,0.076188,0.094805,0.131612", \ - "0.052233,0.056445,0.059861,0.065536,0.075378,0.094003,0.130792"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.092444,0.098336,0.103209,0.112352,0.130553,0.167024,0.239918", \ - "0.093163,0.099056,0.103929,0.113074,0.131274,0.167748,0.240637", \ - "0.097823,0.103716,0.108588,0.117732,0.135934,0.172402,0.245298", \ - "0.106797,0.112690,0.117562,0.126705,0.144907,0.181379,0.254267", \ - "0.120583,0.126504,0.131382,0.140522,0.158693,0.195148,0.268030", \ - "0.138283,0.144381,0.149336,0.158472,0.176561,0.212975,0.285853", \ - "0.160123,0.166408,0.171464,0.180628,0.198761,0.235132,0.307972"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003920,0.005734,0.007532,0.011110,0.018512,0.034050,0.065916", \ - "0.003918,0.005733,0.007532,0.011109,0.018513,0.034049,0.065915", \ - "0.003918,0.005733,0.007531,0.011109,0.018511,0.034049,0.065914", \ - "0.003991,0.005791,0.007580,0.011141,0.018526,0.034052,0.065914", \ - "0.004179,0.005936,0.007692,0.011214,0.018562,0.034061,0.065919", \ - "0.004562,0.006242,0.007940,0.011382,0.018644,0.034083,0.065920", \ - "0.005127,0.006745,0.008373,0.011696,0.018812,0.034129,0.065924"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006138,0.009158,0.012580,0.020581,0.037684,0.072265,0.141519", \ - "0.006137,0.009159,0.012578,0.020584,0.037691,0.072270,0.141522", \ - "0.006137,0.009158,0.012580,0.020582,0.037685,0.072279,0.141521", \ - "0.006138,0.009158,0.012580,0.020581,0.037685,0.072261,0.141522", \ - "0.006196,0.009213,0.012611,0.020593,0.037687,0.072266,0.141530", \ - "0.006525,0.009565,0.012847,0.020682,0.037712,0.072275,0.141532", \ - "0.006868,0.009958,0.013135,0.020796,0.037744,0.072298,0.141538"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033317,0.037242,0.040479,0.045996,0.055811,0.074424,0.111242", \ - "0.034857,0.038781,0.042018,0.047535,0.057350,0.075961,0.112779", \ - "0.040354,0.044277,0.047512,0.053028,0.062843,0.081455,0.118273", \ - "0.047803,0.051733,0.054976,0.060504,0.070328,0.088941,0.125760", \ - "0.053386,0.057365,0.060637,0.066195,0.076038,0.094654,0.131470", \ - "0.056229,0.060329,0.063670,0.069285,0.079141,0.097760,0.134557", \ - "0.055698,0.059989,0.063454,0.069181,0.079060,0.097686,0.134464"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.101238,0.107202,0.112099,0.121237,0.139421,0.175879,0.248759", \ - "0.102040,0.108002,0.112899,0.122038,0.140219,0.176678,0.249559", \ - "0.106687,0.112650,0.117548,0.126687,0.144870,0.181327,0.254208", \ - "0.115616,0.121579,0.126476,0.135614,0.153798,0.190253,0.263138", \ - "0.129611,0.135582,0.140481,0.149603,0.167771,0.204217,0.277099", \ - "0.148262,0.154386,0.159352,0.168508,0.186628,0.223011,0.295873", \ - "0.170934,0.177237,0.182302,0.191482,0.209669,0.246030,0.318842"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003976,0.005777,0.007566,0.011132,0.018523,0.034052,0.065915", \ - "0.003975,0.005777,0.007566,0.011132,0.018523,0.034052,0.065915", \ - "0.003973,0.005777,0.007566,0.011132,0.018523,0.034051,0.065917", \ - "0.004073,0.005855,0.007629,0.011173,0.018541,0.034056,0.065916", \ - "0.004319,0.006047,0.007783,0.011275,0.018591,0.034069,0.065920", \ - "0.004773,0.006420,0.008089,0.011488,0.018699,0.034098,0.065920", \ - "0.005381,0.006977,0.008579,0.011854,0.018903,0.034159,0.065925"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006273,0.009295,0.012667,0.020613,0.037702,0.072281,0.141534", \ - "0.006274,0.009295,0.012667,0.020614,0.037695,0.072276,0.141526", \ - "0.006272,0.009296,0.012667,0.020613,0.037701,0.072273,0.141526", \ - "0.006275,0.009295,0.012667,0.020614,0.037702,0.072270,0.141535", \ - "0.006293,0.009316,0.012679,0.020618,0.037696,0.072276,0.141535", \ - "0.006589,0.009626,0.012888,0.020695,0.037715,0.072280,0.141534", \ - "0.006916,0.010003,0.013167,0.020810,0.037749,0.072299,0.141531"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0384389,0.0424216,0.0456987,0.0512630,0.0611136,0.0797387,0.116551", \ - "0.0398551,0.0438391,0.0471165,0.0526804,0.0625311,0.0811562,0.117969", \ - "0.0450085,0.0489926,0.0522711,0.0578346,0.0676851,0.0863113,0.123122", \ - "0.0526074,0.0566134,0.0599064,0.0654888,0.0753510,0.0939778,0.130790", \ - "0.0585354,0.0625869,0.0659104,0.0715043,0.0813923,0.100026,0.136839", \ - "0.0619814,0.0661642,0.0695654,0.0752552,0.0851450,0.103791,0.140583", \ - "0.0622876,0.0666837,0.0702287,0.0760484,0.0859373,0.104601,0.141375"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0757717,0.0816638,0.0865362,0.0956801,0.113881,0.150351,0.223240", \ - "0.0762511,0.0821426,0.0870150,0.0961592,0.114360,0.150830,0.223719", \ - "0.0801947,0.0860889,0.0909612,0.100104,0.118306,0.154781,0.227668", \ - "0.0909388,0.0968293,0.101701,0.110842,0.129045,0.165513,0.238405", \ - "0.109188,0.115097,0.119968,0.129101,0.147253,0.183712,0.256595", \ - "0.131015,0.137141,0.142103,0.151253,0.169322,0.205732,0.278603", \ - "0.155034,0.161431,0.166549,0.175687,0.193731,0.230079,0.302902"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00417356,0.00595204,0.00772039,0.0112511,0.0185965,0.0340781,0.0659171", \ - "0.00417332,0.00595173,0.00772032,0.0112515,0.0185965,0.0340788,0.0659156", \ - "0.00417655,0.00595434,0.00772257,0.0112527,0.0185971,0.0340792,0.0659155", \ - "0.00429097,0.00605262,0.00780301,0.0113074,0.0186231,0.0340850,0.0659192", \ - "0.00452052,0.00623935,0.00796002,0.0114198,0.0186856,0.0341094,0.0659235", \ - "0.00499767,0.00664359,0.00830005,0.0116636,0.0188168,0.0341480,0.0659283", \ - "0.00566608,0.00727205,0.00886299,0.0120979,0.0190705,0.0342379,0.0659433"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00613593,0.00915869,0.0125793,0.0205827,0.0376834,0.0722683,0.141530", \ - "0.00613608,0.00915862,0.0125796,0.0205856,0.0376853,0.0722668,0.141531", \ - "0.00613742,0.00915594,0.0125775,0.0205818,0.0376864,0.0722688,0.141525", \ - "0.00612891,0.00915168,0.0125755,0.0205807,0.0376881,0.0722789,0.141532", \ - "0.00617446,0.00918791,0.0125969,0.0205907,0.0376889,0.0722690,0.141525", \ - "0.00659778,0.00962528,0.0128835,0.0206875,0.0377111,0.0722885,0.141528", \ - "0.00710295,0.0102061,0.0133118,0.0208596,0.0377612,0.0722980,0.141534"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0397542,0.0437376,0.0470148,0.0525785,0.0624291,0.0810542,0.117867", \ - "0.0412320,0.0452148,0.0484925,0.0540562,0.0639068,0.0825324,0.119344", \ - "0.0452531,0.0492357,0.0525139,0.0580777,0.0679281,0.0865529,0.123364", \ - "0.0512612,0.0552590,0.0585477,0.0641246,0.0739823,0.0926081,0.129417", \ - "0.0567995,0.0608310,0.0641414,0.0697434,0.0796239,0.0982554,0.135068", \ - "0.0603696,0.0644683,0.0678238,0.0734732,0.0833837,0.102026,0.138830", \ - "0.0609969,0.0652265,0.0686628,0.0743829,0.0843498,0.103020,0.139812"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0832897,0.0892527,0.0941497,0.103291,0.121472,0.157927,0.230818", \ - "0.0839301,0.0898935,0.0947900,0.103927,0.122111,0.158569,0.231458", \ - "0.0882919,0.0942543,0.0991511,0.108289,0.126472,0.162926,0.235811", \ - "0.0991105,0.105073,0.109970,0.119108,0.137290,0.173743,0.246626", \ - "0.117623,0.123588,0.128483,0.137610,0.155791,0.192236,0.265117", \ - "0.141177,0.147311,0.152275,0.161446,0.179467,0.215866,0.288727", \ - "0.167026,0.173413,0.178522,0.187684,0.205713,0.241995,0.314808"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00417172,0.00595165,0.00772073,0.0112515,0.0185966,0.0340788,0.0659156", \ - "0.00417282,0.00595143,0.00772056,0.0112511,0.0185965,0.0340788,0.0659171", \ - "0.00417439,0.00595351,0.00772135,0.0112518,0.0185968,0.0340785,0.0659177", \ - "0.00424758,0.00601624,0.00777418,0.0112871,0.0186142,0.0340835,0.0659157", \ - "0.00439646,0.00613939,0.00787847,0.0113644,0.0186575,0.0340992,0.0659224", \ - "0.00467885,0.00637890,0.00808271,0.0115129,0.0187411,0.0341269,0.0659246", \ - "0.00512547,0.00677220,0.00842326,0.0117677,0.0188878,0.0341827,0.0659359"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00627407,0.00929438,0.0126671,0.0206132,0.0376963,0.0722747,0.141521", \ - "0.00627409,0.00929472,0.0126657,0.0206144,0.0376975,0.0722751,0.141521", \ - "0.00627375,0.00929427,0.0126653,0.0206136,0.0376947,0.0722699,0.141520", \ - "0.00626972,0.00929215,0.0126646,0.0206142,0.0377001,0.0722763,0.141520", \ - "0.00627052,0.00929437,0.0126656,0.0206130,0.0376942,0.0722656,0.141519", \ - "0.00661851,0.00964500,0.0128949,0.0206943,0.0377163,0.0722872,0.141519", \ - "0.00709886,0.0101926,0.0132990,0.0208541,0.0377543,0.0723047,0.141538"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.790520,11.682100,12.273530,12.754940,13.090980,13.254930,13.373810", \ - "10.750210,11.656070,12.200520,12.715660,13.026900,13.208710,13.321110", \ - "10.729720,11.627650,12.196910,12.675570,13.005340,13.179550,13.292740", \ - "10.985080,11.804030,12.349500,12.861700,13.181490,13.359300,13.484620", \ - "11.564930,12.333090,12.881650,13.336320,13.646320,13.842620,13.962240", \ - "12.505220,13.209940,13.787060,14.258190,14.581030,14.726440,14.837390", \ - "13.758480,14.439130,15.014720,15.534160,15.905670,16.097890,16.175590"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.373490,16.266540,16.751060,17.348040,17.877960,18.010180,18.232420", \ - "15.357270,16.158920,16.582990,17.285710,17.502730,17.636850,17.247790", \ - "15.325780,16.179600,16.625790,16.995640,17.603850,17.382040,18.015960", \ - "15.319140,16.142650,16.532240,16.968170,17.767730,17.899920,17.568070", \ - "15.376960,16.259600,16.743760,17.312430,17.848870,17.893120,18.311180", \ - "15.639600,16.565650,17.074810,17.690870,18.295070,18.410790,18.036780", \ - "16.251040,17.167200,17.599760,18.300870,19.070290,18.804640,19.660410"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.638800,11.538070,12.109240,12.624490,12.959340,13.154380,13.277330", \ - "10.613880,11.490410,12.072360,12.562290,12.916290,13.101560,13.219600", \ - "10.561050,11.427180,12.022000,12.533440,12.874920,13.066160,13.187780", \ - "10.802370,11.641500,12.192020,12.714920,13.047370,13.245570,13.371890", \ - "11.432800,12.209270,12.724770,13.202180,13.512450,13.718610,13.850330", \ - "12.348130,13.047300,13.614920,14.115250,14.450150,14.591940,14.712320", \ - "13.513380,14.294960,14.818920,15.388650,15.765250,15.968040,16.055550"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.258690,16.247870,16.605920,16.941660,17.656040,18.025110,17.916290", \ - "15.296880,16.184430,16.485670,16.897370,17.600190,17.880100,17.676850", \ - "15.215470,16.110410,16.416120,16.905600,17.514860,17.587540,17.517910", \ - "15.194000,15.984070,16.407560,16.956270,17.466830,17.282690,17.337810", \ - "15.318210,16.148820,16.586920,17.099490,17.387330,17.473990,17.653180", \ - "15.618270,16.482240,16.901340,17.455330,17.987670,18.181970,18.305850", \ - "16.195030,17.087670,17.550600,18.160630,18.741510,18.940890,19.256760"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.639060,11.557650,12.123860,12.628830,12.978670,13.158420,13.284400", \ - "10.581500,11.494350,12.039870,12.568280,12.905040,13.100070,13.228680", \ - "10.557190,11.461600,12.043580,12.541920,12.892840,13.072940,13.191300", \ - "10.827080,11.645350,12.217650,12.736770,13.070980,13.269740,13.398360", \ - "11.492250,12.230240,12.768910,13.256720,13.553820,13.763660,13.896130", \ - "12.466210,13.148880,13.715670,14.224790,14.538980,14.700740,14.811980", \ - "13.666320,14.409550,14.986940,15.551430,15.933160,16.127250,16.206670"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.305150,17.144380,17.459200,18.128780,18.452270,18.800610,17.937600", \ - "16.230920,17.059830,17.520580,18.090330,18.688730,18.984970,19.033840", \ - "16.159090,17.053350,17.446740,17.970450,18.313190,18.805840,18.854710", \ - "16.147210,16.987300,17.376160,17.917870,18.463200,18.781730,18.114640", \ - "16.247870,17.098730,17.467850,17.931760,18.658410,18.853120,18.964470", \ - "16.452350,17.431610,17.924460,18.341660,19.073520,19.273740,19.095200", \ - "16.968060,17.985600,18.361150,18.968700,19.692310,20.062650,20.169540"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.452100,11.323440,11.922710,12.397750,12.686420,12.830470,12.913580", \ - "10.468300,11.343300,11.907270,12.378170,12.675140,12.826260,12.915140", \ - "10.464140,11.323480,11.920510,12.396990,12.669920,12.821130,12.912570", \ - "10.679830,11.556800,12.052660,12.537250,12.838860,13.006800,13.095630", \ - "11.280460,12.081010,12.553130,13.012990,13.297480,13.456160,13.559670", \ - "12.124130,12.901520,13.411050,13.895330,14.204040,14.316920,14.402640", \ - "13.204900,13.978640,14.562310,15.082350,15.442090,15.615680,15.666150"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.666560,15.582560,15.945520,16.409500,16.944580,17.080320,16.375630", \ - "14.563270,15.485060,15.822810,16.490270,17.148720,17.312020,17.599400", \ - "14.511580,15.372170,15.857120,16.265720,17.044460,16.565140,17.427540", \ - "14.489250,15.418540,15.717150,16.388930,17.048490,16.743640,16.686390", \ - "14.855320,15.625700,16.071650,16.576340,17.247170,17.642540,17.374690", \ - "15.328850,16.213650,16.756400,17.378070,17.952910,18.105860,18.322910", \ - "16.036000,17.106940,17.597480,18.146330,18.898790,19.225650,18.872720"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.349510,11.224910,11.809940,12.304400,12.590700,12.749990,12.831020", \ - "10.348180,11.215350,11.804280,12.277430,12.576060,12.740010,12.822140", \ - "10.334390,11.203640,11.799400,12.268770,12.566030,12.724950,12.807390", \ - "10.550740,11.405830,11.939900,12.421460,12.739700,12.889290,12.987310", \ - "11.183060,11.937530,12.432850,12.896360,13.178410,13.350400,13.452280", \ - "11.980100,12.759660,13.295960,13.785410,14.074640,14.200310,14.285700", \ - "13.157600,13.851100,14.413610,14.946760,15.307180,15.493390,15.545650"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.664190,15.498360,15.818620,16.234680,16.827490,17.103700,16.771880", \ - "14.583850,15.379750,15.816030,16.337870,16.876460,17.223060,16.668820", \ - "14.481520,15.305260,15.683210,16.076400,16.578820,16.718870,16.530430", \ - "14.465440,15.273880,15.658050,16.207650,16.812170,16.955970,16.845910", \ - "14.716960,15.566180,15.970070,16.376720,16.890680,16.453180,17.015420", \ - "15.089860,16.149680,16.577050,16.956980,17.624320,17.912660,17.506290", \ - "15.930920,16.922870,17.288020,17.765530,18.444330,18.757740,18.508340"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.351400,11.251770,11.819810,12.279970,12.600890,12.741920,12.835720", \ - "10.349570,11.241540,11.813540,12.270150,12.591050,12.732530,12.826950", \ - "10.355010,11.230020,11.798540,12.257070,12.577420,12.725550,12.819720", \ - "10.621380,11.429090,11.938260,12.433120,12.746200,12.910820,13.008290", \ - "11.243050,11.952930,12.485630,12.955440,13.213860,13.394630,13.505710", \ - "12.147300,12.821430,13.380850,13.877050,14.168200,14.291090,14.374140", \ - "13.329170,13.972150,14.548860,15.110240,15.467890,15.645920,15.693650"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.615500,16.383650,16.806710,17.356080,17.719990,18.255400,18.145570", \ - "15.509350,16.331530,16.743910,17.320770,17.836450,18.032460,18.028420", \ - "15.425400,16.247700,16.671280,17.236280,17.595090,18.071450,17.892910", \ - "15.409950,16.229080,16.645520,17.132220,17.577870,18.182570,18.212060", \ - "15.517590,16.482090,16.823380,17.306670,18.024110,18.219440,18.279840", \ - "16.008580,17.058660,17.403570,18.029360,18.370880,18.614240,18.272620", \ - "16.846830,17.759080,18.226140,18.843410,19.560010,19.628220,19.686900"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.563326,10.432520,10.957860,11.436060,11.732940,11.867030,11.933250", \ - "9.597934,10.397890,10.979250,11.448570,11.745090,11.884730,11.948400", \ - "9.646369,10.448010,10.999510,11.493690,11.778670,11.913710,11.982260", \ - "9.928781,10.689940,11.229620,11.702300,12.017460,12.155390,12.224000", \ - "10.532510,11.216360,11.766660,12.234060,12.519610,12.677380,12.749010", \ - "11.461390,12.129580,12.658510,13.181410,13.509620,13.618870,13.670990", \ - "12.565830,13.239200,13.790580,14.409280,14.845630,15.050480,15.074710"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.504910,14.324120,14.716610,15.125890,15.815220,15.915620,16.124720", \ - "13.426150,14.239540,14.647090,15.035230,15.776880,15.984310,16.076440", \ - "13.385840,14.201240,14.650630,15.102490,15.763760,15.973460,16.138110", \ - "13.557830,14.376030,14.738650,15.336220,15.554710,15.757510,16.140930", \ - "14.221900,15.010810,15.421080,15.936010,16.155120,16.715330,16.844780", \ - "15.124400,16.061760,16.493250,17.033670,17.532380,17.599490,17.377060", \ - "16.364800,17.386950,17.724240,18.291190,18.978180,19.242300,18.849900"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.586475,10.389290,10.959290,11.441610,11.737560,11.876920,11.940830", \ - "9.594341,10.394410,10.973830,11.450190,11.747440,11.880350,11.946880", \ - "9.592659,10.367040,10.949790,11.432050,11.733360,11.862470,11.929850", \ - "9.705382,10.502280,11.008180,11.510150,11.802070,11.946060,12.004020", \ - "10.084090,10.796850,11.356990,11.834290,12.125670,12.259290,12.326060", \ - "10.633130,11.409280,11.953800,12.509090,12.821130,12.927980,12.973200", \ - "11.499280,12.236990,12.778580,13.387910,13.796940,14.004710,14.021220"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.397280,15.184150,15.598440,16.177480,16.811420,16.793840,16.389450", \ - "14.317230,15.127410,15.516020,16.126810,16.328340,16.806960,16.315550", \ - "14.307320,15.087560,15.580920,16.133910,16.406460,17.037800,16.300560", \ - "14.420890,15.304650,15.684160,16.251240,16.793020,17.213080,16.296230", \ - "14.973420,15.872860,16.221510,16.753710,17.209690,17.455800,17.812090", \ - "15.927100,16.966360,17.233020,17.723630,18.428130,18.767480,18.682100", \ - "17.226510,18.264100,18.623190,19.032630,19.627660,19.875370,19.632150"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI221_X1 - Cell Description : Combinational cell (AOI221_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI221_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 41.741212; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 17.064630; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 38.378010; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 17.666991; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 37.438192; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 38.377900; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 59.688860; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 38.980261; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 52.056961; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 17.666991; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 38.980261; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 18.269901; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 52.052352; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 32.227316; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 46.926935; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 46.923305; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 59.170189; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 16.585503; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 31.237305; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 31.236194; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 44.487758; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 31.205779; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 45.857581; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 45.856470; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 59.108099; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 31.204668; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 45.856470; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 45.854050; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 59.106889; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 44.364437; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 59.110382; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 59.108952; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 73.669192; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.677530; - fall_capacitance : 1.497393; - rise_capacitance : 1.677530; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.581620; - fall_capacitance : 1.574055; - rise_capacitance : 1.581620; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.628297; - fall_capacitance : 1.551475; - rise_capacitance : 1.628297; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.632251; - fall_capacitance : 1.383493; - rise_capacitance : 1.632251; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.706745; - fall_capacitance : 1.401918; - rise_capacitance : 1.706745; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 13.809200; - function : "!(((C1 & C2) | A) | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.007450,0.007874,0.008639,0.010006,0.012441,0.016780,0.024563", \ - "0.008984,0.009405,0.010167,0.011533,0.013968,0.018309,0.026094", \ - "0.013606,0.014161,0.015137,0.016794,0.019513,0.023857,0.031586", \ - "0.016705,0.017527,0.018977,0.021456,0.025529,0.031980,0.041782", \ - "0.017123,0.018226,0.020187,0.023521,0.029017,0.037720,0.050987", \ - "0.014461,0.015849,0.018333,0.022563,0.029512,0.040542,0.057390", \ - "0.008426,0.010110,0.013100,0.018197,0.026650,0.040070,0.060574"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.029578,0.031254,0.034294,0.039823,0.049868,0.068162,0.101536", \ - "0.030615,0.032300,0.035365,0.040939,0.051063,0.069462,0.102944", \ - "0.036080,0.037741,0.040772,0.046302,0.056380,0.074776,0.108320", \ - "0.045597,0.047483,0.050819,0.056517,0.066577,0.084885,0.118346", \ - "0.055932,0.058299,0.062453,0.069590,0.081573,0.101213,0.134578", \ - "0.068722,0.071507,0.076388,0.084766,0.098801,0.121697,0.158329", \ - "0.085106,0.088228,0.093731,0.103220,0.119121,0.145070,0.186396"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.004795,0.005118,0.005709,0.006786,0.008754,0.012356,0.018961", \ - "0.004724,0.005062,0.005670,0.006767,0.008747,0.012355,0.018961", \ - "0.006952,0.007214,0.007675,0.008460,0.009813,0.012706,0.018959", \ - "0.011372,0.011760,0.012435,0.013585,0.015453,0.018415,0.022973", \ - "0.017093,0.017621,0.018506,0.020009,0.022478,0.026378,0.032331", \ - "0.024199,0.024881,0.026014,0.027919,0.031013,0.035844,0.043186", \ - "0.032695,0.033542,0.034984,0.037370,0.041163,0.046991,0.055730"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.016793,0.018251,0.020920,0.025795,0.034705,0.050990,0.080690", \ - "0.016794,0.018258,0.020919,0.025800,0.034718,0.050959,0.080667", \ - "0.016828,0.018275,0.020929,0.025805,0.034705,0.050966,0.080686", \ - "0.019515,0.020618,0.022736,0.026850,0.034940,0.051002,0.080679", \ - "0.025808,0.027025,0.029244,0.033216,0.040174,0.053319,0.080771", \ - "0.032976,0.034293,0.036689,0.040988,0.048592,0.061798,0.085414", \ - "0.040816,0.042207,0.044752,0.049361,0.057627,0.071961,0.096340"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006310,0.006751,0.007543,0.008952,0.011442,0.015844,0.023681", \ - "0.007906,0.008330,0.009101,0.010487,0.012959,0.017351,0.025184", \ - "0.012156,0.012765,0.013817,0.015589,0.018456,0.022919,0.030660", \ - "0.014555,0.015451,0.017022,0.019669,0.023958,0.030652,0.040710", \ - "0.014166,0.015370,0.017483,0.021047,0.026829,0.035893,0.049526", \ - "0.010550,0.012060,0.014741,0.019254,0.026593,0.038104,0.055427", \ - "0.003462,0.005286,0.008498,0.013940,0.022869,0.036879,0.058002"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.032903,0.034924,0.038590,0.045258,0.057389,0.079467,0.119788", \ - "0.033832,0.035863,0.039558,0.046281,0.058500,0.080707,0.121153", \ - "0.039114,0.041119,0.044772,0.051433,0.063603,0.085804,0.126331", \ - "0.047923,0.050115,0.053947,0.060666,0.072761,0.094856,0.135271", \ - "0.057664,0.060245,0.064822,0.072791,0.086408,0.109215,0.149437", \ - "0.070291,0.073283,0.078479,0.087522,0.102839,0.128420,0.170602", \ - "0.086715,0.090070,0.095901,0.105973,0.122933,0.151063,0.197179"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.004412,0.004752,0.005365,0.006468,0.008452,0.012046,0.018617", \ - "0.004270,0.004616,0.005254,0.006389,0.008406,0.012026,0.018612", \ - "0.006940,0.007207,0.007664,0.008442,0.009742,0.012481,0.018588", \ - "0.011467,0.011842,0.012500,0.013623,0.015471,0.018401,0.022939", \ - "0.017365,0.017872,0.018730,0.020193,0.022595,0.026415,0.032310", \ - "0.024754,0.025407,0.026489,0.028332,0.031341,0.036029,0.043256", \ - "0.033604,0.034422,0.035803,0.038106,0.041782,0.047431,0.055976"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.018398,0.020185,0.023444,0.029425,0.040367,0.060374,0.096925", \ - "0.018402,0.020188,0.023451,0.029428,0.040362,0.060360,0.096905", \ - "0.018435,0.020210,0.023466,0.029429,0.040364,0.060358,0.096911", \ - "0.020611,0.022073,0.024858,0.030166,0.040480,0.060351,0.096912", \ - "0.025821,0.027399,0.030254,0.035426,0.044463,0.061895,0.096920", \ - "0.032042,0.033661,0.036613,0.041954,0.051594,0.068639,0.099958", \ - "0.039255,0.040891,0.043930,0.049428,0.059452,0.077268,0.108466"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006441,0.006880,0.007670,0.009076,0.011564,0.015966,0.023808", \ - "0.008030,0.008454,0.009224,0.010609,0.013080,0.017472,0.025312", \ - "0.012351,0.012950,0.013992,0.015747,0.018591,0.023039,0.030786", \ - "0.014872,0.015758,0.017305,0.019925,0.024187,0.030850,0.040873", \ - "0.014646,0.015820,0.017906,0.021437,0.027183,0.036187,0.049771", \ - "0.011240,0.012725,0.015364,0.019822,0.027100,0.038525,0.055780", \ - "0.004443,0.006235,0.009386,0.014743,0.023568,0.037465,0.058492"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.039732,0.041753,0.045432,0.052124,0.064287,0.086429,0.126814", \ - "0.040755,0.042788,0.046490,0.053226,0.065465,0.087704,0.128203", \ - "0.045962,0.047977,0.051650,0.058352,0.070560,0.092816,0.133396", \ - "0.055199,0.057234,0.060895,0.067553,0.079685,0.101836,0.142326", \ - "0.066368,0.068805,0.073130,0.080710,0.093847,0.116154,0.156439", \ - "0.080234,0.082993,0.087915,0.096471,0.111206,0.136068,0.177541", \ - "0.097733,0.100799,0.106303,0.115905,0.132150,0.159484,0.204765"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005111,0.005467,0.006111,0.007269,0.009351,0.013061,0.019694", \ - "0.004948,0.005321,0.005992,0.007187,0.009304,0.013041,0.019689", \ - "0.007936,0.008167,0.008584,0.009309,0.010597,0.013480,0.019667", \ - "0.013594,0.013882,0.014401,0.015347,0.016974,0.019681,0.023985", \ - "0.020647,0.021012,0.021650,0.022797,0.024831,0.028252,0.033756", \ - "0.029242,0.029694,0.030467,0.031865,0.034327,0.038457,0.045146", \ - "0.039376,0.039941,0.040928,0.042667,0.045611,0.050511,0.058354"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.021944,0.023756,0.027058,0.033093,0.044113,0.064200,0.100898", \ - "0.021943,0.023754,0.027060,0.033093,0.044113,0.064193,0.100894", \ - "0.021955,0.023762,0.027062,0.033095,0.044098,0.064197,0.100879", \ - "0.023111,0.024721,0.027716,0.033338,0.044143,0.064191,0.100868", \ - "0.028160,0.029794,0.032715,0.037934,0.047113,0.065178,0.100839", \ - "0.034122,0.035824,0.038917,0.044417,0.054179,0.071229,0.103264", \ - "0.041061,0.042858,0.046024,0.051758,0.062003,0.079964,0.111202"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.007458,0.007883,0.008648,0.010015,0.012450,0.016788,0.024570", \ - "0.009022,0.009443,0.010205,0.011571,0.014006,0.018348,0.026132", \ - "0.013698,0.014252,0.015226,0.016878,0.019587,0.023926,0.031656", \ - "0.016785,0.017611,0.019061,0.021545,0.025616,0.032067,0.041860", \ - "0.016991,0.018104,0.020078,0.023452,0.028985,0.037733,0.051039", \ - "0.013804,0.015227,0.017752,0.022059,0.029110,0.040284,0.057266", \ - "0.006900,0.008640,0.011698,0.016927,0.025571,0.039261,0.060048"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.036968,0.039099,0.042959,0.049978,0.062729,0.085937,0.128268", \ - "0.037679,0.039819,0.043714,0.050790,0.063644,0.086988,0.129459", \ - "0.042613,0.044729,0.048576,0.055601,0.068400,0.091741,0.134298", \ - "0.052203,0.054376,0.058261,0.065269,0.078002,0.101241,0.143694", \ - "0.063358,0.066092,0.070906,0.079232,0.093361,0.116850,0.159119", \ - "0.077280,0.080484,0.086068,0.095748,0.112005,0.138791,0.182309", \ - "0.095358,0.098894,0.105188,0.116069,0.134353,0.164399,0.212763"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.004796,0.005119,0.005710,0.006788,0.008755,0.012356,0.018961", \ - "0.004727,0.005064,0.005672,0.006768,0.008747,0.012355,0.018961", \ - "0.006915,0.007179,0.007638,0.008425,0.009781,0.012693,0.018959", \ - "0.011326,0.011713,0.012390,0.013534,0.015406,0.018373,0.022933", \ - "0.017068,0.017597,0.018489,0.020005,0.022476,0.026363,0.032310", \ - "0.024267,0.024947,0.026085,0.027993,0.031099,0.035908,0.043234", \ - "0.032886,0.033740,0.035182,0.037584,0.041390,0.047209,0.055927"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.022010,0.023848,0.027202,0.033354,0.044585,0.065059,0.102450", \ - "0.022016,0.023852,0.027205,0.033353,0.044575,0.065074,0.102459", \ - "0.022016,0.023856,0.027208,0.033356,0.044580,0.065071,0.102462", \ - "0.023609,0.025161,0.028090,0.033676,0.044622,0.065061,0.102448", \ - "0.030056,0.031572,0.034331,0.039249,0.048031,0.066027,0.102454", \ - "0.037525,0.039111,0.042017,0.047273,0.056611,0.072814,0.104675", \ - "0.045644,0.047285,0.050364,0.055958,0.065945,0.083368,0.113312"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006318,0.006759,0.007551,0.008960,0.011450,0.015852,0.023688", \ - "0.007941,0.008366,0.009137,0.010525,0.012998,0.017389,0.025223", \ - "0.012251,0.012858,0.013908,0.015674,0.018531,0.022988,0.030730", \ - "0.014645,0.015546,0.017115,0.019757,0.024046,0.030742,0.040786", \ - "0.014041,0.015255,0.017393,0.020986,0.026816,0.035905,0.049576", \ - "0.009935,0.011472,0.014196,0.018786,0.026236,0.037868,0.055327", \ - "0.001990,0.003865,0.007159,0.012736,0.021854,0.036127,0.057541"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.040316,0.042784,0.047267,0.055409,0.070206,0.097136,0.146290", \ - "0.040917,0.043401,0.047918,0.056128,0.071040,0.098127,0.147438", \ - "0.045734,0.048186,0.052650,0.060793,0.075644,0.102733,0.152130", \ - "0.054588,0.057089,0.061574,0.069690,0.084459,0.111410,0.160699", \ - "0.064975,0.067953,0.073251,0.082491,0.098387,0.125349,0.174411", \ - "0.078601,0.081981,0.087925,0.098294,0.115943,0.145631,0.195238", \ - "0.096531,0.100307,0.106951,0.118431,0.137830,0.170196,0.223675"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.004415,0.004754,0.005368,0.006470,0.008454,0.012046,0.018616", \ - "0.004274,0.004623,0.005260,0.006394,0.008409,0.012028,0.018612", \ - "0.006904,0.007171,0.007627,0.008408,0.009716,0.012467,0.018589", \ - "0.011406,0.011783,0.012448,0.013566,0.015423,0.018364,0.022892", \ - "0.017308,0.017821,0.018684,0.020150,0.022581,0.026402,0.032281", \ - "0.024727,0.025397,0.026491,0.028342,0.031351,0.036069,0.043278", \ - "0.033649,0.034482,0.035883,0.038215,0.041906,0.047571,0.056122"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.022991,0.025157,0.029109,0.036359,0.049615,0.073806,0.118020", \ - "0.023005,0.025166,0.029116,0.036361,0.049605,0.073788,0.118022", \ - "0.023024,0.025181,0.029127,0.036365,0.049604,0.073805,0.118003", \ - "0.024429,0.026326,0.029874,0.036626,0.049656,0.073789,0.118003", \ - "0.029838,0.031714,0.035181,0.041277,0.052376,0.074448,0.117992", \ - "0.036214,0.038108,0.041609,0.048013,0.059542,0.079787,0.119446", \ - "0.043532,0.045493,0.049078,0.055648,0.067529,0.088713,0.126037"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006448,0.006888,0.007677,0.009084,0.011572,0.015974,0.023816", \ - "0.008066,0.008491,0.009261,0.010647,0.013119,0.017512,0.025350", \ - "0.012446,0.013043,0.014081,0.015830,0.018667,0.023107,0.030857", \ - "0.014960,0.015847,0.017396,0.020015,0.024277,0.030939,0.040952", \ - "0.014529,0.015724,0.017820,0.021382,0.027164,0.036209,0.049821", \ - "0.010626,0.012147,0.014825,0.019359,0.026745,0.038297,0.055680", \ - "0.002995,0.004831,0.008058,0.013558,0.022574,0.036724,0.058026"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.048741,0.051206,0.055696,0.063855,0.078687,0.105683,0.154927", \ - "0.049461,0.051940,0.056460,0.064672,0.079595,0.106712,0.156085", \ - "0.054189,0.056645,0.061131,0.069307,0.084201,0.111333,0.160796", \ - "0.063140,0.065584,0.070036,0.078155,0.092961,0.119979,0.169342", \ - "0.075103,0.077922,0.082924,0.091775,0.107000,0.133868,0.183006", \ - "0.089992,0.093157,0.098784,0.108662,0.125673,0.154617,0.203733", \ - "0.109105,0.112591,0.118840,0.129834,0.148464,0.179951,0.232559"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005114,0.005468,0.006112,0.007272,0.009352,0.013061,0.019694", \ - "0.004953,0.005327,0.005997,0.007193,0.009308,0.013043,0.019689", \ - "0.007893,0.008130,0.008543,0.009271,0.010569,0.013466,0.019668", \ - "0.013523,0.013812,0.014342,0.015290,0.016916,0.019627,0.023940", \ - "0.020589,0.020956,0.021605,0.022757,0.024804,0.028225,0.033727", \ - "0.029249,0.029696,0.030481,0.031892,0.034369,0.038499,0.045181", \ - "0.039500,0.040063,0.041059,0.042799,0.045771,0.050662,0.058501"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.027339,0.029538,0.033546,0.040867,0.054204,0.078518,0.122910", \ - "0.027343,0.029541,0.033550,0.040868,0.054203,0.078519,0.122912", \ - "0.027347,0.029546,0.033552,0.040867,0.054210,0.078542,0.122900", \ - "0.027899,0.029933,0.033776,0.040955,0.054217,0.078507,0.122877", \ - "0.032847,0.034823,0.038252,0.044336,0.056023,0.078758,0.122852", \ - "0.038909,0.040925,0.044575,0.051133,0.062806,0.083316,0.123828", \ - "0.046008,0.048094,0.051854,0.058666,0.070763,0.092112,0.129761"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.007585,0.008008,0.008771,0.010137,0.012571,0.016911,0.024700", \ - "0.009148,0.009568,0.010329,0.011693,0.014128,0.018471,0.026261", \ - "0.013872,0.014422,0.015385,0.017025,0.019717,0.024047,0.031785", \ - "0.017066,0.017885,0.019325,0.021786,0.025835,0.032254,0.042019", \ - "0.017420,0.018522,0.020474,0.023816,0.029312,0.038015,0.051280", \ - "0.014432,0.015832,0.018329,0.022591,0.029598,0.040698,0.057611", \ - "0.007792,0.009502,0.012515,0.017684,0.026248,0.039837,0.060535"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.044261,0.046389,0.050266,0.057311,0.070113,0.093404,0.135853", \ - "0.045073,0.047215,0.051114,0.058208,0.071089,0.094492,0.137055", \ - "0.049929,0.052053,0.055928,0.062991,0.075845,0.099259,0.141924", \ - "0.059626,0.061745,0.065606,0.072620,0.085405,0.108731,0.151303", \ - "0.072634,0.075182,0.079700,0.087580,0.101080,0.124298,0.166685", \ - "0.088102,0.091052,0.096287,0.105421,0.120955,0.146901,0.189809", \ - "0.107334,0.110642,0.116557,0.126893,0.144380,0.173457,0.220822"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005490,0.005832,0.006454,0.007590,0.009657,0.013374,0.020040", \ - "0.005422,0.005777,0.006416,0.007570,0.009650,0.013373,0.020040", \ - "0.007822,0.008065,0.008494,0.009250,0.010655,0.013701,0.020039", \ - "0.013265,0.013590,0.014159,0.015159,0.016847,0.019623,0.023984", \ - "0.020112,0.020521,0.021231,0.022474,0.024628,0.028145,0.033741", \ - "0.028457,0.028963,0.029837,0.031361,0.033992,0.038281,0.045105", \ - "0.038308,0.038945,0.040042,0.041941,0.045103,0.050227,0.058283"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.025769,0.027629,0.031027,0.037232,0.048541,0.069135,0.106690", \ - "0.025773,0.027636,0.031026,0.037231,0.048534,0.069125,0.106689", \ - "0.025776,0.027636,0.031029,0.037230,0.048529,0.069142,0.106693", \ - "0.026444,0.028139,0.031282,0.037303,0.048541,0.069115,0.106679", \ - "0.032283,0.033834,0.036655,0.041482,0.050896,0.069623,0.106678", \ - "0.039594,0.041245,0.044228,0.049581,0.059037,0.075457,0.108282", \ - "0.047582,0.049361,0.052570,0.058302,0.068446,0.085978,0.116177"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006448,0.006888,0.007677,0.009084,0.011572,0.015974,0.023816", \ - "0.008066,0.008491,0.009261,0.010647,0.013119,0.017512,0.025350", \ - "0.012446,0.013043,0.014081,0.015830,0.018667,0.023107,0.030857", \ - "0.014960,0.015847,0.017396,0.020015,0.024277,0.030939,0.040952", \ - "0.014529,0.015724,0.017820,0.021382,0.027164,0.036209,0.049821", \ - "0.010626,0.012147,0.014825,0.019359,0.026745,0.038297,0.055680", \ - "0.002995,0.004831,0.008058,0.013558,0.022574,0.036724,0.058026"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.048741,0.051206,0.055696,0.063855,0.078687,0.105683,0.154927", \ - "0.049461,0.051940,0.056460,0.064672,0.079595,0.106712,0.156085", \ - "0.054189,0.056645,0.061131,0.069307,0.084201,0.111333,0.160796", \ - "0.063140,0.065584,0.070036,0.078155,0.092961,0.119979,0.169342", \ - "0.075103,0.077922,0.082924,0.091775,0.107000,0.133868,0.183006", \ - "0.089992,0.093157,0.098784,0.108662,0.125673,0.154617,0.203733", \ - "0.109105,0.112591,0.118840,0.129834,0.148464,0.179951,0.232559"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005114,0.005468,0.006112,0.007272,0.009352,0.013061,0.019694", \ - "0.004953,0.005327,0.005997,0.007193,0.009308,0.013043,0.019689", \ - "0.007893,0.008130,0.008543,0.009271,0.010569,0.013466,0.019668", \ - "0.013523,0.013812,0.014342,0.015290,0.016916,0.019627,0.023940", \ - "0.020589,0.020956,0.021605,0.022757,0.024804,0.028225,0.033727", \ - "0.029249,0.029696,0.030481,0.031892,0.034369,0.038499,0.045181", \ - "0.039500,0.040063,0.041059,0.042799,0.045771,0.050662,0.058501"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.027339,0.029538,0.033546,0.040867,0.054204,0.078518,0.122910", \ - "0.027343,0.029541,0.033550,0.040868,0.054203,0.078519,0.122912", \ - "0.027347,0.029546,0.033552,0.040867,0.054210,0.078542,0.122900", \ - "0.027899,0.029933,0.033776,0.040955,0.054217,0.078507,0.122877", \ - "0.032847,0.034823,0.038252,0.044336,0.056023,0.078758,0.122852", \ - "0.038909,0.040925,0.044575,0.051133,0.062806,0.083316,0.123828", \ - "0.046008,0.048094,0.051854,0.058666,0.070763,0.092112,0.129761"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006578,0.007017,0.007803,0.009207,0.011694,0.016097,0.023945", \ - "0.008191,0.008615,0.009384,0.010769,0.013240,0.017634,0.025479", \ - "0.012633,0.013223,0.014249,0.015984,0.018802,0.023228,0.030985", \ - "0.015266,0.016143,0.017672,0.020266,0.024498,0.031128,0.041110", \ - "0.014996,0.016174,0.018238,0.021762,0.027499,0.036493,0.050061", \ - "0.011327,0.012812,0.015449,0.019918,0.027227,0.038711,0.056022", \ - "0.004022,0.005817,0.008963,0.014354,0.023265,0.037306,0.058507"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.057298,0.059764,0.064252,0.072438,0.087319,0.114375,0.163761", \ - "0.058103,0.060582,0.065104,0.073328,0.088274,0.115439,0.164919", \ - "0.062774,0.065243,0.069747,0.077951,0.092883,0.120077,0.169675", \ - "0.071681,0.074121,0.078600,0.086743,0.101605,0.128707,0.178179", \ - "0.084832,0.087552,0.092352,0.100800,0.115625,0.142550,0.191801", \ - "0.100902,0.103884,0.109265,0.118753,0.135222,0.163553,0.212463", \ - "0.121098,0.124388,0.130282,0.140878,0.158907,0.189642,0.241523"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006301,0.006652,0.007291,0.008447,0.010511,0.014184,0.020791", \ - "0.006124,0.006496,0.007169,0.008365,0.010467,0.014166,0.020786", \ - "0.009318,0.009491,0.009812,0.010412,0.011684,0.014574,0.020765", \ - "0.015656,0.015876,0.016280,0.017050,0.018453,0.020902,0.024998", \ - "0.023512,0.023783,0.024274,0.025194,0.026923,0.029996,0.035151", \ - "0.033161,0.033488,0.034049,0.035138,0.037188,0.040843,0.047046", \ - "0.044541,0.044930,0.045657,0.046979,0.049386,0.053648,0.060856"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.031791,0.034017,0.038069,0.045448,0.058905,0.083356,0.128016", \ - "0.031797,0.034018,0.038067,0.045457,0.058885,0.083363,0.127964", \ - "0.031794,0.034017,0.038068,0.045458,0.058895,0.083369,0.127985", \ - "0.031955,0.034140,0.038140,0.045479,0.058893,0.083364,0.127934", \ - "0.036065,0.037940,0.041360,0.047788,0.059995,0.083424,0.127881", \ - "0.042091,0.044141,0.047836,0.054468,0.066162,0.087104,0.128458", \ - "0.049069,0.051196,0.055035,0.061961,0.074179,0.095653,0.133766"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.014386,0.015176,0.016600,0.019126,0.023575,0.031376,0.045143", \ - "0.015635,0.016423,0.017838,0.020357,0.024800,0.032601,0.046361", \ - "0.021017,0.021737,0.023029,0.025417,0.029747,0.037472,0.051194", \ - "0.028077,0.029100,0.030926,0.034048,0.039241,0.047566,0.061036", \ - "0.032754,0.034092,0.036459,0.040557,0.047393,0.058373,0.075416", \ - "0.034792,0.036449,0.039367,0.044410,0.052852,0.066484,0.087760", \ - "0.034143,0.036093,0.039543,0.045428,0.055472,0.071732,0.097166"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.044065,0.046201,0.050071,0.057098,0.069862,0.093063,0.135395", \ - "0.045129,0.047305,0.051244,0.058383,0.071294,0.094670,0.137163", \ - "0.050234,0.052376,0.056273,0.063379,0.076309,0.099813,0.142508", \ - "0.058822,0.060962,0.064839,0.071869,0.084687,0.108054,0.150707", \ - "0.067895,0.070374,0.074789,0.082616,0.096266,0.119737,0.162210", \ - "0.076945,0.079776,0.084786,0.093547,0.108752,0.134693,0.178427", \ - "0.087957,0.091174,0.096791,0.106511,0.123222,0.151437,0.198733"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.010448,0.011032,0.012091,0.013998,0.017438,0.023655,0.034970", \ - "0.010343,0.010937,0.012011,0.013939,0.017401,0.023635,0.034961", \ - "0.010475,0.010981,0.011924,0.013710,0.017142,0.023548,0.034945", \ - "0.015519,0.016033,0.016935,0.018482,0.021093,0.025614,0.035288", \ - "0.022175,0.022854,0.024011,0.025983,0.029251,0.034505,0.042860", \ - "0.030163,0.031004,0.032449,0.034901,0.038932,0.045360,0.055350", \ - "0.039423,0.040477,0.042270,0.045305,0.050140,0.057802,0.069606"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.022005,0.023847,0.027201,0.033353,0.044582,0.065062,0.102462", \ - "0.022008,0.023847,0.027205,0.033349,0.044579,0.065060,0.102452", \ - "0.022024,0.023859,0.027214,0.033354,0.044585,0.065090,0.102445", \ - "0.022608,0.024303,0.027488,0.033465,0.044609,0.065061,0.102460", \ - "0.026861,0.028520,0.031541,0.036923,0.046703,0.065667,0.102441", \ - "0.032720,0.034383,0.037418,0.043005,0.053073,0.070922,0.104418", \ - "0.041021,0.042556,0.045452,0.050868,0.060888,0.079178,0.111776"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011489,0.012321,0.013810,0.016443,0.021048,0.029061,0.043059", \ - "0.012843,0.013657,0.015123,0.017728,0.022304,0.030294,0.044278", \ - "0.018519,0.019316,0.020713,0.023086,0.027405,0.035215,0.049103", \ - "0.024625,0.025754,0.027744,0.031108,0.036628,0.045345,0.059018", \ - "0.028284,0.029742,0.032329,0.036738,0.043985,0.055486,0.073075", \ - "0.029162,0.030962,0.034138,0.039567,0.048538,0.062827,0.084797", \ - "0.027244,0.029357,0.033083,0.039436,0.050098,0.067149,0.093453"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.047435,0.049916,0.054408,0.062567,0.077368,0.104304,0.153449", \ - "0.048377,0.050898,0.055471,0.063754,0.078733,0.105869,0.155197", \ - "0.053342,0.055829,0.060349,0.068591,0.083588,0.110853,0.160425", \ - "0.061749,0.064223,0.068709,0.076860,0.091715,0.118830,0.168345", \ - "0.070644,0.073425,0.078389,0.087221,0.102669,0.129693,0.179000", \ - "0.079501,0.082601,0.088087,0.097740,0.114637,0.143765,0.193606", \ - "0.090491,0.093940,0.099970,0.110446,0.128626,0.159746,0.212718"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.009519,0.010151,0.011286,0.013305,0.016864,0.023172,0.034500", \ - "0.009295,0.009946,0.011108,0.013162,0.016765,0.023113,0.034471", \ - "0.010183,0.010613,0.011454,0.013116,0.016420,0.022882,0.034397", \ - "0.015655,0.016166,0.017050,0.018572,0.021140,0.025492,0.034833", \ - "0.022621,0.023282,0.024409,0.026324,0.029506,0.034648,0.042881", \ - "0.031016,0.031840,0.033246,0.035612,0.039502,0.045733,0.055509", \ - "0.040849,0.041881,0.043603,0.046529,0.051177,0.058531,0.070009"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.022983,0.025150,0.029107,0.036354,0.049619,0.073810,0.118008", \ - "0.022997,0.025155,0.029114,0.036359,0.049602,0.073816,0.117995", \ - "0.023019,0.025183,0.029124,0.036362,0.049618,0.073820,0.118013", \ - "0.023463,0.025526,0.029341,0.036453,0.049627,0.073800,0.118007", \ - "0.027242,0.029231,0.032866,0.039276,0.051193,0.074108,0.117987", \ - "0.032293,0.034303,0.037965,0.044689,0.056812,0.078285,0.119187", \ - "0.039755,0.041645,0.045162,0.051722,0.063794,0.085785,0.125013"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011760,0.012589,0.014073,0.016700,0.021302,0.029317,0.043329", \ - "0.013107,0.013920,0.015382,0.017982,0.022556,0.030549,0.044547", \ - "0.018793,0.019581,0.020959,0.023319,0.027647,0.035466,0.049373", \ - "0.025062,0.026174,0.028133,0.031470,0.036948,0.045622,0.059280", \ - "0.028914,0.030355,0.032914,0.037277,0.044468,0.055900,0.073431", \ - "0.030091,0.031856,0.034981,0.040338,0.049225,0.063418,0.085300", \ - "0.028542,0.030613,0.034270,0.040512,0.051045,0.067957,0.094155"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.055890,0.058359,0.062856,0.071021,0.085863,0.112851,0.162088", \ - "0.057032,0.059533,0.064084,0.072340,0.087307,0.114458,0.163850", \ - "0.061922,0.064409,0.068945,0.077204,0.092221,0.119504,0.169120", \ - "0.070255,0.072716,0.077200,0.085378,0.100274,0.127456,0.177034", \ - "0.080148,0.082825,0.087642,0.096224,0.111253,0.138273,0.187636", \ - "0.090051,0.093017,0.098288,0.107604,0.124076,0.152708,0.202174", \ - "0.102068,0.105293,0.111063,0.121112,0.138744,0.169277,0.221653"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011972,0.012562,0.013634,0.015562,0.019016,0.025214,0.036448", \ - "0.011727,0.012341,0.013445,0.015415,0.018914,0.025154,0.036421", \ - "0.012392,0.012824,0.013663,0.015303,0.018548,0.024924,0.036346", \ - "0.018626,0.019016,0.019732,0.021011,0.023296,0.027463,0.036766", \ - "0.026723,0.027212,0.028073,0.029627,0.032353,0.037033,0.044833", \ - "0.036346,0.036939,0.038004,0.039888,0.043181,0.048759,0.057932", \ - "0.047472,0.048216,0.049521,0.051854,0.055745,0.062282,0.072986"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.027336,0.029536,0.033546,0.040868,0.054220,0.078541,0.122899", \ - "0.027340,0.029535,0.033547,0.040871,0.054201,0.078551,0.122913", \ - "0.027346,0.029545,0.033549,0.040871,0.054217,0.078548,0.122903", \ - "0.027511,0.029669,0.033627,0.040893,0.054209,0.078519,0.122886", \ - "0.030752,0.032746,0.036319,0.042877,0.055213,0.078633,0.122841", \ - "0.035517,0.037612,0.041401,0.048258,0.060499,0.082148,0.123660", \ - "0.042247,0.044327,0.048071,0.054924,0.067312,0.089545,0.128987"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.015697,0.016488,0.017906,0.020426,0.024870,0.032674,0.046438", \ - "0.016992,0.017778,0.019196,0.021713,0.026152,0.033953,0.047715", \ - "0.021031,0.021816,0.023205,0.025692,0.030117,0.037923,0.051706", \ - "0.026943,0.027858,0.029485,0.032321,0.037188,0.045396,0.059321", \ - "0.031890,0.033065,0.035144,0.038706,0.044662,0.054357,0.070044", \ - "0.034490,0.035982,0.038618,0.043106,0.050547,0.062465,0.081074", \ - "0.034409,0.036242,0.039435,0.044881,0.053921,0.068381,0.090689"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.050300,0.052400,0.056240,0.063228,0.075963,0.099196,0.141587", \ - "0.051722,0.053841,0.057707,0.064739,0.077526,0.100810,0.143257", \ - "0.057285,0.059404,0.063270,0.070317,0.083139,0.106484,0.149021", \ - "0.066044,0.068155,0.072009,0.079026,0.091812,0.115127,0.157669", \ - "0.076218,0.078592,0.082849,0.090408,0.103655,0.126945,0.169390", \ - "0.086454,0.089142,0.093930,0.102340,0.117063,0.142440,0.185655", \ - "0.098958,0.101944,0.107251,0.116534,0.132544,0.160064,0.206616"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.010386,0.010979,0.012040,0.013958,0.017412,0.023641,0.034963", \ - "0.010333,0.010925,0.011996,0.013925,0.017388,0.023629,0.034959", \ - "0.010347,0.010916,0.011957,0.013856,0.017308,0.023605,0.034957", \ - "0.012620,0.013145,0.014095,0.015817,0.018929,0.024531,0.035223", \ - "0.017077,0.017630,0.018604,0.020335,0.023366,0.028762,0.038547", \ - "0.022951,0.023610,0.024746,0.026720,0.030049,0.035592,0.045223", \ - "0.029864,0.030680,0.032041,0.034371,0.038227,0.044453,0.054536"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.025770,0.027630,0.031027,0.037228,0.048543,0.069157,0.106689", \ - "0.025775,0.027633,0.031029,0.037229,0.048532,0.069127,0.106692", \ - "0.025776,0.027636,0.031031,0.037231,0.048538,0.069122,0.106704", \ - "0.025990,0.027799,0.031127,0.037262,0.048546,0.069140,0.106705", \ - "0.029705,0.031392,0.034431,0.039863,0.050028,0.069460,0.106659", \ - "0.035182,0.036931,0.040096,0.045825,0.056046,0.074025,0.108194", \ - "0.042252,0.044023,0.047210,0.053033,0.063513,0.082141,0.114953"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.012839,0.013665,0.015146,0.017767,0.022360,0.030363,0.044355", \ - "0.014187,0.015007,0.016475,0.019082,0.023660,0.031648,0.045633", \ - "0.018352,0.019170,0.020626,0.023174,0.027671,0.035620,0.049602", \ - "0.023830,0.024826,0.026570,0.029571,0.034632,0.043071,0.057215", \ - "0.027879,0.029175,0.031450,0.035301,0.041614,0.051732,0.067775", \ - "0.029344,0.031000,0.033896,0.038767,0.046707,0.059236,0.078433", \ - "0.027923,0.029966,0.033493,0.039412,0.049092,0.064334,0.087450"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.054735,0.057172,0.061622,0.069728,0.084500,0.111449,0.160676", \ - "0.056081,0.058540,0.063022,0.071177,0.086013,0.113028,0.162308", \ - "0.061563,0.064022,0.068503,0.076669,0.091544,0.118629,0.168012", \ - "0.070138,0.072586,0.077053,0.085185,0.100014,0.127065,0.176460", \ - "0.080026,0.082706,0.087514,0.096076,0.111111,0.138087,0.187351", \ - "0.089948,0.092908,0.098189,0.107510,0.123942,0.152551,0.202016", \ - "0.102275,0.105499,0.111245,0.121293,0.138852,0.169338,0.221613"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.009427,0.010063,0.011207,0.013236,0.016814,0.023140,0.034483", \ - "0.009301,0.009946,0.011102,0.013147,0.016747,0.023096,0.034463", \ - "0.009555,0.010120,0.011161,0.013083,0.016599,0.022995,0.034433", \ - "0.012368,0.012869,0.013792,0.015468,0.018513,0.024072,0.034700", \ - "0.017197,0.017738,0.018690,0.020359,0.023299,0.028566,0.038204", \ - "0.023389,0.024023,0.025122,0.027042,0.030258,0.035675,0.045082", \ - "0.030675,0.031450,0.032765,0.035023,0.038753,0.044784,0.054629"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.027376,0.029577,0.033588,0.040919,0.054275,0.078620,0.123046", \ - "0.027380,0.029579,0.033591,0.040920,0.054269,0.078625,0.123050", \ - "0.027382,0.029582,0.033594,0.040918,0.054267,0.078637,0.123038", \ - "0.027550,0.029712,0.033670,0.040947,0.054282,0.078631,0.123040", \ - "0.030725,0.032753,0.036374,0.042963,0.055295,0.078717,0.122995", \ - "0.035435,0.037546,0.041356,0.048235,0.060499,0.082274,0.123831", \ - "0.041674,0.043809,0.047668,0.054646,0.067200,0.089527,0.129143"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.013108,0.013931,0.015407,0.018024,0.022614,0.030619,0.044626", \ - "0.014451,0.015268,0.016734,0.019337,0.023912,0.031904,0.045903", \ - "0.018618,0.019432,0.020882,0.023419,0.027918,0.035874,0.049871", \ - "0.024172,0.025155,0.026886,0.029865,0.034907,0.043336,0.057482", \ - "0.028370,0.029645,0.031895,0.035711,0.041992,0.052050,0.068078", \ - "0.030041,0.031658,0.034523,0.039331,0.047217,0.059673,0.078805", \ - "0.028893,0.030878,0.034340,0.040180,0.049766,0.064918,0.087960"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.063170,0.065617,0.070072,0.078202,0.093013,0.120002,0.169300", \ - "0.064583,0.067042,0.071524,0.079688,0.094547,0.121591,0.170947", \ - "0.070076,0.072541,0.077033,0.085213,0.100110,0.127219,0.176701", \ - "0.078617,0.081070,0.085542,0.093700,0.108558,0.135653,0.185095", \ - "0.089230,0.091824,0.096512,0.104812,0.119651,0.146647,0.195988", \ - "0.100132,0.102987,0.108065,0.117115,0.133195,0.161385,0.210596", \ - "0.113288,0.116363,0.121867,0.131605,0.148720,0.178708,0.230465"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.011871,0.012469,0.013550,0.015493,0.018965,0.025182,0.036431", \ - "0.011737,0.012343,0.013439,0.015400,0.018895,0.025138,0.036412", \ - "0.011884,0.012431,0.013440,0.015309,0.018739,0.025036,0.036382", \ - "0.014932,0.015382,0.016214,0.017761,0.020665,0.026084,0.036645", \ - "0.020358,0.020787,0.021576,0.023024,0.025722,0.030719,0.040170", \ - "0.027405,0.027895,0.028750,0.030325,0.033137,0.038138,0.047209", \ - "0.035647,0.036259,0.037260,0.039083,0.042274,0.047714,0.057056"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.031793,0.034019,0.038069,0.045455,0.058902,0.083350,0.127963", \ - "0.031792,0.034017,0.038071,0.045455,0.058901,0.083369,0.127961", \ - "0.031796,0.034017,0.038071,0.045457,0.058905,0.083379,0.128009", \ - "0.031848,0.034062,0.038090,0.045459,0.058892,0.083352,0.127942", \ - "0.034307,0.036319,0.040000,0.046805,0.059485,0.083388,0.127889", \ - "0.039059,0.041183,0.045022,0.051947,0.064247,0.086280,0.128396", \ - "0.045030,0.047206,0.051147,0.058237,0.070909,0.093345,0.133208"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.008518,0.009165,0.010343,0.012489,0.016398,0.023527,0.036560", \ - "0.009871,0.010526,0.011721,0.013891,0.017828,0.024990,0.038048", \ - "0.013860,0.014750,0.016288,0.018855,0.022958,0.030072,0.043106", \ - "0.016093,0.017407,0.019676,0.023467,0.029573,0.038999,0.053075", \ - "0.015603,0.017352,0.020390,0.025455,0.033588,0.046170,0.064998", \ - "0.011982,0.014232,0.018049,0.024415,0.034643,0.050442,0.074064", \ - "0.005089,0.007731,0.012350,0.020018,0.032361,0.051468,0.079967"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.022111,0.024163,0.027886,0.034620,0.046815,0.068958,0.109308", \ - "0.022484,0.024557,0.028329,0.035168,0.047528,0.069867,0.110399", \ - "0.027486,0.029402,0.032966,0.039567,0.051722,0.073964,0.114564", \ - "0.038391,0.040633,0.044537,0.051187,0.062588,0.084183,0.124183", \ - "0.050686,0.053473,0.058274,0.066524,0.080241,0.102241,0.141201", \ - "0.065009,0.068248,0.073815,0.083425,0.099595,0.125826,0.166896", \ - "0.081686,0.085311,0.091649,0.102526,0.120811,0.150794,0.198299"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005149,0.005695,0.006697,0.008531,0.011896,0.018053,0.029331", \ - "0.005150,0.005696,0.006695,0.008531,0.011893,0.018052,0.029330", \ - "0.007510,0.007937,0.008683,0.009925,0.012474,0.018052,0.029326", \ - "0.012339,0.012901,0.013870,0.015535,0.018283,0.022737,0.030911", \ - "0.018839,0.019558,0.020771,0.022831,0.026237,0.031685,0.040240", \ - "0.027114,0.027966,0.029449,0.031962,0.036037,0.042506,0.052626", \ - "0.037046,0.038098,0.039917,0.042911,0.047771,0.055335,0.067013"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.018258,0.020074,0.023391,0.029408,0.040371,0.060375,0.096924", \ - "0.018171,0.020014,0.023355,0.029394,0.040359,0.060366,0.096906", \ - "0.017729,0.019501,0.022988,0.029254,0.040333,0.060360,0.096903", \ - "0.022217,0.023580,0.025934,0.030725,0.040450,0.060288,0.096923", \ - "0.028158,0.029806,0.032760,0.037945,0.046530,0.062630,0.096775", \ - "0.035266,0.037094,0.040364,0.046171,0.056130,0.072499,0.101329", \ - "0.043809,0.045759,0.049285,0.055574,0.066550,0.084884,0.114147"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.008517,0.009165,0.010343,0.012488,0.016397,0.023525,0.036558", \ - "0.009877,0.010532,0.011727,0.013895,0.017834,0.024994,0.038051", \ - "0.013925,0.014812,0.016346,0.018905,0.022998,0.030111,0.043142", \ - "0.016179,0.017493,0.019767,0.023557,0.029653,0.039070,0.053132", \ - "0.015440,0.017214,0.020285,0.025384,0.033573,0.046196,0.065044", \ - "0.011275,0.013539,0.017434,0.023896,0.034258,0.050219,0.073974", \ - "0.003435,0.006142,0.010871,0.018701,0.031304,0.050706,0.079529"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.024755,0.027250,0.031787,0.040007,0.054892,0.081895,0.131086", \ - "0.024894,0.027410,0.032003,0.040351,0.055433,0.082682,0.132107", \ - "0.029728,0.032032,0.036346,0.044400,0.059248,0.086408,0.135906", \ - "0.041539,0.044021,0.048371,0.055711,0.069720,0.096143,0.144978", \ - "0.055259,0.058337,0.063654,0.072817,0.088139,0.113629,0.161331", \ - "0.071140,0.074718,0.080854,0.091511,0.109509,0.138864,0.186238", \ - "0.089643,0.093618,0.100565,0.112572,0.132877,0.166327,0.219635"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005148,0.005694,0.006697,0.008533,0.011897,0.018050,0.029330", \ - "0.005150,0.005696,0.006695,0.008533,0.011896,0.018049,0.029331", \ - "0.007481,0.007909,0.008657,0.009909,0.012463,0.018051,0.029327", \ - "0.012273,0.012838,0.013811,0.015486,0.018243,0.022704,0.030895", \ - "0.018762,0.019479,0.020701,0.022784,0.026202,0.031665,0.040219", \ - "0.027018,0.027893,0.029401,0.031950,0.036059,0.042557,0.052664", \ - "0.036970,0.038076,0.039938,0.042984,0.047896,0.055509,0.067179"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.022512,0.024794,0.028898,0.036277,0.049591,0.073814,0.118008", \ - "0.022306,0.024640,0.028799,0.036242,0.049593,0.073803,0.118011", \ - "0.021339,0.023760,0.028211,0.035967,0.049542,0.073809,0.117997", \ - "0.024841,0.026483,0.029745,0.036174,0.048934,0.073733,0.118000", \ - "0.030905,0.032848,0.036343,0.042580,0.053042,0.074267,0.117940", \ - "0.037993,0.040115,0.043890,0.050650,0.062352,0.081762,0.119557", \ - "0.046425,0.048691,0.052726,0.060002,0.072708,0.094122,0.129309"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.008701,0.009349,0.010528,0.012677,0.016592,0.023734,0.036788", \ - "0.010061,0.010718,0.011915,0.014085,0.018030,0.025203,0.038282", \ - "0.014195,0.015072,0.016588,0.019124,0.023193,0.030320,0.043375", \ - "0.016614,0.017911,0.020157,0.023911,0.029965,0.039340,0.053364", \ - "0.016096,0.017850,0.020871,0.025912,0.034040,0.046602,0.065386", \ - "0.012221,0.014472,0.018290,0.024654,0.034929,0.050792,0.074463", \ - "0.004852,0.007512,0.012078,0.019782,0.032238,0.051502,0.080196"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.033306,0.035800,0.040335,0.048563,0.063480,0.090562,0.139879", \ - "0.033640,0.036159,0.040746,0.049068,0.064133,0.091403,0.140921", \ - "0.037916,0.040346,0.044803,0.052975,0.067908,0.095138,0.144752", \ - "0.049793,0.052009,0.056008,0.063674,0.078071,0.104697,0.153741", \ - "0.065440,0.068241,0.073198,0.081791,0.096399,0.121910,0.169897", \ - "0.082963,0.086182,0.092020,0.102079,0.119242,0.147576,0.194594", \ - "0.102869,0.106525,0.113052,0.124478,0.143929,0.176289,0.228353"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006434,0.007052,0.008163,0.010143,0.013639,0.019866,0.031159", \ - "0.006434,0.007050,0.008164,0.010143,0.013637,0.019865,0.031162", \ - "0.009128,0.009535,0.010217,0.011480,0.014181,0.019866,0.031161", \ - "0.015238,0.015674,0.016462,0.017886,0.020355,0.024528,0.032705", \ - "0.023193,0.023694,0.024589,0.026241,0.029146,0.034098,0.042192", \ - "0.033093,0.033615,0.034673,0.036597,0.039974,0.045714,0.055165", \ - "0.044799,0.045480,0.046724,0.048972,0.052898,0.059492,0.070271"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.027209,0.029451,0.033520,0.040892,0.054265,0.078617,0.123048", \ - "0.027139,0.029404,0.033490,0.040880,0.054278,0.078626,0.123046", \ - "0.026682,0.029033,0.033250,0.040781,0.054235,0.078631,0.123048", \ - "0.027670,0.029625,0.033307,0.040293,0.053828,0.078601,0.123029", \ - "0.033831,0.035799,0.039338,0.045269,0.056376,0.078563,0.122974", \ - "0.040895,0.043080,0.046925,0.053731,0.065389,0.084926,0.123897", \ - "0.049157,0.051503,0.055713,0.063130,0.075909,0.097246,0.132721"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.009845,0.010487,0.011662,0.013802,0.017704,0.024829,0.037857", \ - "0.011229,0.011889,0.013087,0.015256,0.019193,0.026352,0.039407", \ - "0.014524,0.015309,0.016694,0.019108,0.023239,0.030468,0.043589", \ - "0.017271,0.018382,0.020306,0.023533,0.028785,0.037256,0.051204", \ - "0.017700,0.019237,0.021883,0.026284,0.033308,0.044129,0.060655", \ - "0.015128,0.017120,0.020577,0.026288,0.035352,0.049160,0.069587", \ - "0.009221,0.011703,0.016008,0.023098,0.034317,0.051389,0.076392"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.028151,0.030158,0.033818,0.040480,0.052607,0.074711,0.115086", \ - "0.028751,0.030783,0.034487,0.041214,0.053429,0.075632,0.116076", \ - "0.033722,0.035687,0.039293,0.045904,0.058006,0.080132,0.120573", \ - "0.045566,0.047585,0.051152,0.057331,0.068978,0.090589,0.130503", \ - "0.059993,0.062485,0.066896,0.074545,0.087435,0.108728,0.147705", \ - "0.076314,0.079230,0.084376,0.093350,0.108587,0.133635,0.173553", \ - "0.095069,0.098352,0.104204,0.114368,0.131659,0.160329,0.206301"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005146,0.005692,0.006698,0.008533,0.011894,0.018051,0.029329", \ - "0.005149,0.005696,0.006698,0.008533,0.011894,0.018050,0.029329", \ - "0.006217,0.006697,0.007579,0.009156,0.012178,0.018059,0.029327", \ - "0.009401,0.009864,0.010706,0.012222,0.014992,0.020206,0.030135", \ - "0.014209,0.014763,0.015730,0.017402,0.020274,0.025267,0.034498", \ - "0.020323,0.020992,0.022142,0.024112,0.027397,0.032768,0.041880", \ - "0.027599,0.028404,0.029756,0.032100,0.035957,0.042069,0.051848"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.021892,0.023717,0.027041,0.033089,0.044108,0.064191,0.100913", \ - "0.021864,0.023696,0.027030,0.033083,0.044110,0.064187,0.100896", \ - "0.021575,0.023475,0.026890,0.033029,0.044089,0.064187,0.100876", \ - "0.024049,0.025503,0.028267,0.033556,0.043908,0.064171,0.100862", \ - "0.030227,0.031907,0.034867,0.040025,0.048635,0.065595,0.100772", \ - "0.036910,0.038859,0.042266,0.048199,0.058180,0.074406,0.104277", \ - "0.044307,0.046495,0.050338,0.057041,0.068380,0.086850,0.116150"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.009844,0.010486,0.011661,0.013800,0.017703,0.024827,0.037854", \ - "0.011235,0.011895,0.013091,0.015261,0.019198,0.026356,0.039410", \ - "0.014582,0.015365,0.016747,0.019156,0.023281,0.030507,0.043625", \ - "0.017401,0.018509,0.020426,0.023643,0.028880,0.037339,0.051274", \ - "0.017769,0.019310,0.021961,0.026369,0.033396,0.044219,0.060739", \ - "0.014896,0.016910,0.020392,0.026148,0.035266,0.049138,0.069617", \ - "0.008360,0.010899,0.015267,0.022450,0.033820,0.051055,0.076234"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.032183,0.034628,0.039089,0.047216,0.062003,0.088960,0.138141", \ - "0.032593,0.035067,0.039580,0.047788,0.062685,0.089754,0.139054", \ - "0.037348,0.039742,0.044136,0.052205,0.066976,0.093967,0.143270", \ - "0.049560,0.051787,0.055755,0.063341,0.077604,0.104013,0.152747", \ - "0.065561,0.068324,0.073228,0.081763,0.096261,0.121616,0.169330", \ - "0.083559,0.086770,0.092518,0.102484,0.119500,0.147630,0.194399", \ - "0.104154,0.107781,0.114250,0.125548,0.144811,0.176877,0.228575"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.005145,0.005692,0.006695,0.008532,0.011894,0.018052,0.029328", \ - "0.005148,0.005695,0.006698,0.008533,0.011894,0.018051,0.029326", \ - "0.006206,0.006682,0.007569,0.009150,0.012172,0.018058,0.029327", \ - "0.009347,0.009817,0.010659,0.012185,0.014962,0.020187,0.030127", \ - "0.014109,0.014669,0.015646,0.017334,0.020211,0.025216,0.034478", \ - "0.020181,0.020859,0.022025,0.024022,0.027321,0.032727,0.041860", \ - "0.027462,0.028277,0.029652,0.032028,0.035922,0.042065,0.051868"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.027141,0.029391,0.033461,0.040834,0.054198,0.078551,0.122882", \ - "0.027064,0.029333,0.033425,0.040816,0.054192,0.078527,0.122886", \ - "0.026582,0.028944,0.033174,0.040703,0.054168,0.078519,0.122883", \ - "0.027701,0.029653,0.033321,0.040274,0.053736,0.078475,0.122865", \ - "0.033643,0.035627,0.039177,0.045189,0.056329,0.078486,0.122809", \ - "0.040377,0.042603,0.046508,0.053377,0.065103,0.084797,0.123781", \ - "0.047842,0.050317,0.054681,0.062309,0.075308,0.096796,0.132481"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.010026,0.010671,0.011846,0.013990,0.017899,0.025036,0.038086", \ - "0.011422,0.012081,0.013280,0.015452,0.019395,0.026565,0.039644", \ - "0.014808,0.015586,0.016961,0.019366,0.023480,0.030717,0.043858", \ - "0.017741,0.018838,0.020735,0.023924,0.029134,0.037579,0.051512", \ - "0.018279,0.019799,0.022419,0.026778,0.033756,0.044531,0.061025", \ - "0.015629,0.017609,0.021047,0.026724,0.035766,0.049567,0.069979", \ - "0.009383,0.011860,0.016173,0.023248,0.034510,0.051642,0.076717"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.040676,0.043132,0.047609,0.055760,0.070594,0.097632,0.146942", \ - "0.041213,0.043683,0.048198,0.056410,0.071330,0.098461,0.147875", \ - "0.045741,0.048169,0.052621,0.060752,0.075588,0.102663,0.152095", \ - "0.057177,0.059453,0.063691,0.071522,0.085972,0.112552,0.161465", \ - "0.074909,0.077509,0.082139,0.090247,0.104155,0.129923,0.177885", \ - "0.094502,0.097531,0.102998,0.112475,0.128776,0.156038,0.202746", \ - "0.116477,0.119902,0.126012,0.136865,0.155381,0.186494,0.237089"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.006435,0.007051,0.008163,0.010144,0.013639,0.019865,0.031162", \ - "0.006434,0.007050,0.008164,0.010143,0.013637,0.019865,0.031161", \ - "0.007676,0.008190,0.009102,0.010746,0.013907,0.019873,0.031160", \ - "0.011511,0.011928,0.012700,0.014150,0.016869,0.022032,0.031950", \ - "0.017314,0.017739,0.018510,0.019927,0.022516,0.027293,0.036381", \ - "0.024565,0.025046,0.025895,0.027480,0.030294,0.035204,0.043981", \ - "0.033167,0.033732,0.034663,0.036482,0.039678,0.045133,0.054338"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.031727,0.033964,0.038042,0.045441,0.058893,0.083375,0.127956", \ - "0.031696,0.033947,0.038029,0.045440,0.058891,0.083373,0.127957", \ - "0.031502,0.033795,0.037934,0.045396,0.058874,0.083356,0.127948", \ - "0.031394,0.033514,0.037431,0.044791,0.058692,0.083317,0.127891", \ - "0.036851,0.038832,0.042157,0.048267,0.060017,0.083023,0.127848", \ - "0.043817,0.046002,0.049847,0.056620,0.068238,0.088214,0.128275", \ - "0.051545,0.053997,0.058306,0.065849,0.078675,0.099980,0.136045"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.900619,0.899176,0.915729,0.936734,0.957010,0.989581,1.017541", \ - "0.882439,0.887871,0.899170,0.919594,0.945314,0.980323,1.012240", \ - "0.890910,0.901869,0.902316,0.911764,0.934654,0.969880,1.005772", \ - "1.139512,1.141468,1.125183,1.115540,1.090253,1.053076,1.053999", \ - "1.573132,1.564574,1.547518,1.511750,1.462720,1.384815,1.287206", \ - "2.209240,2.199305,2.181421,2.141026,2.071661,1.955076,1.784479", \ - "3.041278,3.042346,3.027580,2.996869,2.931435,2.787282,2.549260"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.376915,4.390750,4.405576,4.406394,4.407949,4.411345,4.437690", \ - "4.315205,4.330175,4.320346,4.358840,4.330164,4.337236,4.380885", \ - "4.257382,4.270300,4.257226,4.265036,4.301646,4.304554,4.284698", \ - "4.258106,4.263463,4.247253,4.254181,4.254075,4.286232,4.278217", \ - "4.404853,4.410274,4.403805,4.389979,4.369356,4.373631,4.365663", \ - "4.728550,4.717966,4.722474,4.693008,4.755313,4.680129,4.494882", \ - "5.491232,5.476805,5.431728,5.357761,5.277178,5.219765,5.076480"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.745482,0.748328,0.766806,0.812708,0.849789,0.885462,0.923248", \ - "0.705041,0.734461,0.755163,0.786090,0.828495,0.863771,0.903035", \ - "0.769153,0.764744,0.763495,0.775045,0.804438,0.842185,0.885130", \ - "1.014891,1.015403,1.006544,0.990873,0.960344,0.926885,0.929503", \ - "1.434427,1.428144,1.416833,1.384213,1.333688,1.263197,1.163897", \ - "2.058996,2.046297,2.021977,1.992962,1.926592,1.805452,1.637400", \ - "2.860982,2.843787,2.843271,2.807292,2.748244,2.601592,2.365573"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.021583,4.021487,4.039788,4.036825,4.047095,4.031331,4.060317", \ - "3.937339,3.954747,3.958761,3.968720,3.980466,3.959860,3.997400", \ - "3.884557,3.899772,3.900780,3.917326,3.937015,3.931559,3.912286", \ - "3.882661,3.892616,3.890204,3.900062,3.917387,3.843510,3.900988", \ - "4.008304,4.032382,4.029657,4.023441,3.966258,4.001380,3.923542", \ - "4.305709,4.305967,4.307581,4.317036,4.336824,4.287696,4.112311", \ - "5.041043,5.016275,4.972722,4.930551,4.875410,4.829604,4.607805"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.794834,0.792111,0.812522,0.840219,0.862291,0.902367,0.930829", \ - "0.753041,0.776850,0.789924,0.815253,0.843451,0.874949,0.910735", \ - "0.778495,0.776642,0.780052,0.797203,0.822319,0.851065,0.890172", \ - "1.027887,1.017921,1.005662,0.993907,0.970920,0.926094,0.934270", \ - "1.458138,1.441307,1.425932,1.390233,1.335884,1.259144,1.160085", \ - "2.100348,2.082402,2.058639,2.006362,1.931807,1.807814,1.634155", \ - "2.939418,2.933633,2.898236,2.862462,2.771572,2.614843,2.361929"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.816283,4.833045,4.835913,4.863403,4.858564,4.878474,4.894333", \ - "4.762267,4.757619,4.785127,4.804840,4.794376,4.794767,4.888332", \ - "4.681534,4.698050,4.700530,4.732354,4.707895,4.784233,4.761071", \ - "4.671720,4.686975,4.686007,4.702019,4.715578,4.698890,4.748005", \ - "4.806514,4.821432,4.820480,4.790802,4.788901,4.750762,4.766273", \ - "5.073596,5.082277,5.100100,5.145038,5.140138,5.042116,4.946871", \ - "5.738463,5.722246,5.717285,5.686614,5.641339,5.607744,5.432511"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.905141,0.904174,0.922579,0.941250,0.967329,0.992600,1.022721", \ - "0.909514,0.908175,0.924968,0.944481,0.967491,1.001871,1.033390", \ - "0.936734,0.940249,0.946163,0.955065,0.978009,1.009743,1.041927", \ - "1.168611,1.164509,1.165437,1.150719,1.125601,1.092352,1.091926", \ - "1.544322,1.535021,1.526132,1.493966,1.459675,1.392198,1.310890", \ - "2.087662,2.086899,2.074030,2.043089,1.992449,1.901849,1.756583", \ - "2.812533,2.821095,2.813970,2.788547,2.748108,2.629889,2.430471"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.353736,4.366729,4.364262,4.386646,4.404714,4.402185,4.387378", \ - "4.275055,4.289131,4.288928,4.323287,4.338503,4.374953,4.361780", \ - "4.228098,4.227563,4.237199,4.246517,4.247088,4.301357,4.302768", \ - "4.208138,4.218396,4.211895,4.218467,4.214183,4.244383,4.239976", \ - "4.333964,4.340491,4.327677,4.310883,4.318995,4.310688,4.281578", \ - "4.635995,4.636152,4.636919,4.663093,4.621711,4.477550,4.456776", \ - "5.276899,5.268594,5.243494,5.192921,5.167804,5.092686,4.968164"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.755179,0.760123,0.788797,0.820159,0.853168,0.891053,0.930712", \ - "0.752963,0.759871,0.779936,0.812931,0.850674,0.887087,0.928306", \ - "0.815399,0.812960,0.811242,0.823911,0.841817,0.884131,0.926258", \ - "1.042710,1.049424,1.038168,1.021457,0.994400,0.970110,0.970522", \ - "1.412792,1.412456,1.397199,1.375382,1.329549,1.275225,1.186261", \ - "1.942593,1.944980,1.925931,1.900247,1.856977,1.755800,1.614389", \ - "2.653729,2.642656,2.644345,2.630328,2.579234,2.465596,2.269588"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.984665,3.982047,4.008662,4.011434,3.998189,4.025995,4.046083", \ - "3.907753,3.906217,3.935205,3.949289,3.978332,4.001040,4.003630", \ - "3.844586,3.859145,3.871473,3.877315,3.898945,3.841727,3.956142", \ - "3.839337,3.852146,3.856666,3.859512,3.836585,3.884224,3.920995", \ - "3.963752,3.956015,3.955164,3.934828,3.915331,3.942359,3.938667", \ - "4.224700,4.233217,4.253850,4.273978,4.230592,4.158844,4.101859", \ - "4.847609,4.830365,4.804960,4.777152,4.761699,4.700074,4.536160"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.785058,0.808399,0.822174,0.840150,0.872737,0.906806,0.937181", \ - "0.788674,0.800550,0.817108,0.831090,0.867264,0.893443,0.932196", \ - "0.822774,0.826069,0.832526,0.836033,0.860166,0.894946,0.929720", \ - "1.053668,1.046397,1.036405,1.028921,1.006287,0.965295,0.974945", \ - "1.430178,1.421770,1.411292,1.381854,1.337567,1.272812,1.183367", \ - "1.995545,1.973453,1.960422,1.923181,1.857970,1.762664,1.613872", \ - "2.732094,2.725054,2.694852,2.659812,2.598318,2.475780,2.264066"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.786790,4.802667,4.811794,4.830407,4.806733,4.858824,4.866406", \ - "4.717789,4.734611,4.745619,4.777202,4.801349,4.801107,4.807785", \ - "4.667139,4.664224,4.673070,4.686984,4.726882,4.691484,4.738030", \ - "4.657545,4.653141,4.659618,4.658279,4.678266,4.714568,4.747743", \ - "4.753519,4.747407,4.748913,4.754663,4.741248,4.744029,4.739639", \ - "5.016223,5.039581,5.068494,5.042604,5.030826,4.898265,4.894109", \ - "5.569059,5.559176,5.550451,5.547392,5.575734,5.490081,5.346506"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.940306,0.940601,0.945225,0.964597,0.982365,1.005207,1.026114", \ - "0.940574,0.930822,0.947532,0.965921,0.987806,1.014970,1.040662", \ - "0.956831,0.961649,0.966836,0.974360,0.996795,1.013489,1.049766", \ - "1.180907,1.175135,1.163297,1.145649,1.123804,1.088852,1.101512", \ - "1.557379,1.547338,1.534115,1.506824,1.463675,1.389354,1.306221", \ - "2.119868,2.113061,2.089679,2.064995,2.006325,1.901481,1.747882", \ - "2.892671,2.883281,2.862931,2.835818,2.768605,2.643926,2.436307"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("5.165768,5.178736,5.176616,5.188005,5.221214,5.178363,5.213556", \ - "5.094806,5.108783,5.129995,5.133939,5.162532,5.115912,5.194704", \ - "5.042489,5.034215,5.053337,5.066956,5.082930,5.109516,5.095016", \ - "5.017057,5.028420,5.024104,5.049212,5.069937,5.007376,5.077290", \ - "5.130478,5.117613,5.129618,5.116068,5.089485,5.126304,5.104940", \ - "5.428066,5.435656,5.451271,5.433557,5.410622,5.319685,5.273846", \ - "6.011204,5.993669,5.985118,5.959684,5.967677,5.879601,5.774741"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.785058,0.808399,0.822174,0.840150,0.872737,0.906806,0.937181", \ - "0.788674,0.800550,0.817108,0.831090,0.867264,0.893443,0.932196", \ - "0.822774,0.826069,0.832526,0.836033,0.860166,0.894946,0.929720", \ - "1.053668,1.046397,1.036405,1.028921,1.006287,0.965295,0.974945", \ - "1.430178,1.421770,1.411292,1.381854,1.337567,1.272812,1.183367", \ - "1.995545,1.973453,1.960422,1.923181,1.857970,1.762664,1.613872", \ - "2.732094,2.725054,2.694852,2.659812,2.598318,2.475780,2.264066"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.786790,4.802667,4.811794,4.830407,4.806733,4.858824,4.866406", \ - "4.717789,4.734611,4.745619,4.777202,4.801349,4.801107,4.807785", \ - "4.667139,4.664224,4.673070,4.686984,4.726882,4.691484,4.738030", \ - "4.657545,4.653141,4.659618,4.658279,4.678266,4.714568,4.747743", \ - "4.753519,4.747407,4.748913,4.754663,4.741248,4.744029,4.739639", \ - "5.016223,5.039581,5.068494,5.042604,5.030826,4.898265,4.894109", \ - "5.569059,5.559176,5.550451,5.547392,5.575734,5.490081,5.346506"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.830323,0.830262,0.840026,0.853674,0.879042,0.911541,0.940972", \ - "0.823069,0.821847,0.831782,0.851262,0.881492,0.907989,0.937486", \ - "0.835684,0.840237,0.849131,0.849340,0.880405,0.903280,0.938237", \ - "1.051791,1.055644,1.036189,1.024424,1.004525,0.970298,0.982120", \ - "1.442787,1.434438,1.415747,1.386400,1.335786,1.268046,1.180986", \ - "2.010542,2.005153,1.975322,1.936810,1.865502,1.762697,1.608809", \ - "2.781497,2.765496,2.748098,2.692744,2.616476,2.477562,2.269787"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("5.606988,5.604499,5.632823,5.627241,5.661178,5.670765,5.645334", \ - "5.544166,5.561129,5.572235,5.581778,5.620344,5.617491,5.711544", \ - "5.471938,5.488076,5.498242,5.527648,5.546142,5.569712,5.644716", \ - "5.457569,5.472519,5.480934,5.486417,5.514148,5.526250,5.521413", \ - "5.547047,5.554783,5.545284,5.549960,5.566293,5.564825,5.532304", \ - "5.829254,5.851584,5.857008,5.839086,5.817468,5.805576,5.679725", \ - "6.313847,6.331238,6.333401,6.354125,6.381445,6.246778,6.095107"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.423818,1.447748,1.457455,1.512911,1.558950,1.637950,1.709713", \ - "1.377609,1.379792,1.416696,1.464485,1.510694,1.581949,1.662117", \ - "1.322599,1.353177,1.375090,1.414394,1.461978,1.534250,1.613942", \ - "1.477478,1.469748,1.471356,1.480241,1.520444,1.560610,1.622260", \ - "1.849843,1.844238,1.839801,1.818889,1.799467,1.759060,1.760854", \ - "2.451429,2.439704,2.421207,2.396423,2.334919,2.230158,2.109873", \ - "3.289413,3.278530,3.251030,3.214808,3.135208,2.976495,2.756359"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("5.466684,5.480050,5.478080,5.485508,5.486492,5.517853,5.449617", \ - "5.394453,5.390105,5.414425,5.413342,5.447151,5.488654,5.530761", \ - "5.283789,5.299305,5.319433,5.315924,5.306917,5.413914,5.435258", \ - "5.257523,5.248602,5.266927,5.266934,5.287203,5.265464,5.280786", \ - "5.273749,5.265072,5.281689,5.287734,5.298738,5.288024,5.297077", \ - "5.323646,5.341976,5.350895,5.395845,5.361587,5.376063,5.388168", \ - "5.750008,5.721593,5.707275,5.703201,5.701796,5.716192,5.623442"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.191036,1.203538,1.246691,1.303160,1.390214,1.473372,1.581221", \ - "1.121847,1.164620,1.207485,1.256105,1.332622,1.424645,1.525793", \ - "1.112624,1.123234,1.161079,1.203628,1.276315,1.381935,1.475486", \ - "1.292025,1.285164,1.297824,1.295025,1.334666,1.404094,1.480493", \ - "1.683660,1.679949,1.660585,1.657328,1.635850,1.600515,1.603863", \ - "2.270966,2.261229,2.246593,2.216412,2.160437,2.057868,1.951597", \ - "3.092789,3.079516,3.049492,3.019319,2.938556,2.782966,2.569144"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("5.090295,5.106384,5.115420,5.131767,5.113998,5.161215,5.109612", \ - "5.000088,5.019078,5.033154,5.062437,5.078933,5.127920,5.070071", \ - "4.912406,4.910843,4.940236,4.948184,4.949860,5.005191,4.977494", \ - "4.870880,4.885522,4.893403,4.889808,4.897467,4.871953,4.949933", \ - "4.899595,4.896409,4.902176,4.909328,4.890273,4.904885,4.862920", \ - "4.941979,4.947749,4.976954,5.032940,4.987940,4.995294,4.918612", \ - "5.322761,5.322316,5.306390,5.304321,5.310192,5.322444,5.243966"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.261668,1.293485,1.306386,1.360832,1.423869,1.507876,1.593537", \ - "1.222837,1.227920,1.265874,1.307334,1.367261,1.458796,1.541976", \ - "1.188040,1.205241,1.216744,1.266340,1.323555,1.399207,1.487322", \ - "1.325933,1.314807,1.322085,1.323086,1.371856,1.428353,1.495914", \ - "1.715523,1.708656,1.691681,1.673570,1.643181,1.607324,1.610110", \ - "2.313997,2.301217,2.273677,2.236464,2.165823,2.064466,1.952060", \ - "3.147048,3.133174,3.102301,3.045298,2.955188,2.788096,2.571604"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("5.893554,5.909561,5.918874,5.931346,5.932763,5.954928,5.979045", \ - "5.835061,5.853303,5.866477,5.894598,5.906620,5.906155,5.981298", \ - "5.742633,5.741741,5.754036,5.788025,5.792154,5.804637,5.902548", \ - "5.693846,5.691045,5.700075,5.724567,5.757191,5.776217,5.834745", \ - "5.703812,5.700162,5.707376,5.717536,5.712455,5.699955,5.790650", \ - "5.763240,5.773708,5.832160,5.833991,5.783606,5.827325,5.848028", \ - "6.074723,6.081556,6.078182,6.075565,6.118194,6.135297,6.038202"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.409635,1.445120,1.460315,1.514501,1.564923,1.630078,1.708851", \ - "1.381401,1.400128,1.425447,1.464502,1.520307,1.581596,1.655421", \ - "1.318658,1.344301,1.372487,1.406141,1.457894,1.531298,1.614111", \ - "1.381480,1.395372,1.399817,1.411736,1.464425,1.527289,1.599298", \ - "1.631525,1.615918,1.635420,1.633466,1.634670,1.630258,1.655215", \ - "2.023189,2.025808,2.023609,2.009717,1.987038,1.951416,1.890098", \ - "2.603815,2.607958,2.606502,2.569598,2.533250,2.467621,2.333374"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("6.178905,6.189825,6.184994,6.190707,6.168683,6.176285,6.180083", \ - "6.135080,6.146152,6.143416,6.148249,6.180883,6.117479,6.127389", \ - "6.078390,6.090843,6.088237,6.111652,6.135873,6.153184,6.195686", \ - "6.061279,6.052136,6.069730,6.064457,6.074058,6.130922,6.133865", \ - "6.057562,6.069639,6.065608,6.060730,6.075866,6.070892,6.123820", \ - "6.132632,6.132803,6.173474,6.189935,6.195348,6.169594,6.162182", \ - "6.465266,6.466972,6.468499,6.485198,6.508537,6.515574,6.420830"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.183557,1.206226,1.243118,1.314129,1.391036,1.473602,1.578063", \ - "1.145668,1.152636,1.199366,1.264671,1.334276,1.432873,1.527860", \ - "1.086394,1.121686,1.155017,1.199204,1.273913,1.376287,1.472091", \ - "1.181212,1.197131,1.210252,1.231583,1.267746,1.362802,1.453901", \ - "1.434714,1.439996,1.456725,1.461627,1.461069,1.475846,1.498624", \ - "1.843216,1.838301,1.832646,1.836814,1.819053,1.790542,1.735469", \ - "2.430756,2.413141,2.410194,2.386087,2.365176,2.286921,2.165156"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("5.793746,5.807363,5.813396,5.829497,5.845114,5.823001,5.868976", \ - "5.748993,5.764238,5.772371,5.788006,5.808915,5.769268,5.757997", \ - "5.695577,5.710690,5.719037,5.722065,5.762516,5.793724,5.805843", \ - "5.681081,5.677406,5.684485,5.708104,5.697922,5.670110,5.751926", \ - "5.692494,5.690560,5.695803,5.693539,5.712042,5.680019,5.739467", \ - "5.748105,5.758648,5.796998,5.812280,5.811087,5.817672,5.800147", \ - "6.057467,6.067025,6.067474,6.087296,6.090950,6.125345,6.021806"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("1.256977,1.287559,1.305731,1.363300,1.425351,1.494382,1.592224", \ - "1.215162,1.246549,1.259111,1.314602,1.368660,1.446237,1.537104", \ - "1.153425,1.190351,1.219388,1.260141,1.314514,1.398628,1.487852", \ - "1.232373,1.225957,1.236701,1.259522,1.307856,1.376705,1.469104", \ - "1.490243,1.491257,1.490825,1.487218,1.484561,1.477560,1.515152", \ - "1.896483,1.877392,1.872533,1.849787,1.833102,1.799982,1.742266", \ - "2.487603,2.466186,2.460099,2.419388,2.383822,2.298825,2.170844"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("6.612008,6.608443,6.615475,6.637774,6.666618,6.659542,6.717494", \ - "6.573354,6.568923,6.577981,6.602131,6.628000,6.630386,6.688296", \ - "6.519552,6.516839,6.526464,6.550039,6.587118,6.589036,6.613486", \ - "6.484502,6.500066,6.508737,6.528457,6.553466,6.535159,6.613667", \ - "6.496664,6.493351,6.500975,6.512331,6.546853,6.525418,6.542935", \ - "6.583406,6.618598,6.639036,6.624549,6.624966,6.547132,6.599718", \ - "6.837296,6.850726,6.877129,6.900808,6.948167,6.908843,6.815248"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.287364,0.293143,0.287509,0.282196,0.285478,0.294172,0.297524", \ - "0.275341,0.274877,0.284636,0.289612,0.302964,0.317028,0.328680", \ - "0.298908,0.298226,0.299355,0.301334,0.311908,0.331903,0.353038", \ - "0.535032,0.520158,0.496611,0.463891,0.412526,0.392130,0.394488", \ - "0.942615,0.925652,0.893386,0.833000,0.755480,0.645026,0.542041", \ - "1.520505,1.510910,1.489724,1.429155,1.316086,1.138050,0.926621", \ - "2.300848,2.278527,2.252993,2.219349,2.106509,1.897577,1.578433"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("2.906793,2.925472,2.930842,2.947383,2.948672,2.924163,2.976683", \ - "2.810734,2.809483,2.841985,2.861916,2.904051,2.871699,2.938234", \ - "2.796288,2.810294,2.809551,2.801174,2.790455,2.829155,2.883696", \ - "3.047026,3.030167,3.037296,3.008728,2.964889,2.902754,2.941638", \ - "3.470625,3.478675,3.482901,3.430139,3.341939,3.243577,3.161220", \ - "4.072907,4.066678,4.041611,4.027916,3.989968,3.780326,3.700905", \ - "5.037748,5.011158,4.950250,4.889467,4.801276,4.683227,4.448903"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.326921,0.323733,0.314308,0.305949,0.294666,0.295666,0.295712", \ - "0.309530,0.306560,0.310567,0.312334,0.315166,0.322946,0.333731", \ - "0.330435,0.324399,0.328812,0.330857,0.331346,0.346423,0.365253", \ - "0.552559,0.538884,0.516410,0.484272,0.437925,0.415294,0.414705", \ - "0.912559,0.898173,0.874634,0.824070,0.755893,0.656121,0.558156", \ - "1.437958,1.426311,1.397026,1.348700,1.253838,1.108543,0.919492", \ - "2.113144,2.113272,2.099589,2.055828,1.964235,1.787463,1.509508"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("2.867002,2.884982,2.896719,2.904376,2.905941,2.936357,2.965618", \ - "2.774727,2.776844,2.811568,2.825281,2.868310,2.919557,2.931564", \ - "2.763564,2.757800,2.782068,2.770342,2.774069,2.804242,2.881465", \ - "2.983770,2.988583,2.980606,2.966838,2.929982,2.897126,2.833409", \ - "3.444737,3.426368,3.403555,3.357737,3.284832,3.223396,3.109333", \ - "3.968113,3.955713,3.953418,3.964464,3.885650,3.732691,3.563990", \ - "4.817894,4.796160,4.768128,4.706273,4.660376,4.519051,4.265981"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.305575,0.307285,0.302887,0.294531,0.292464,0.292346,0.297717", \ - "0.297002,0.295863,0.299866,0.303011,0.311275,0.319705,0.334055", \ - "0.318985,0.317136,0.321208,0.321623,0.330637,0.345581,0.364155", \ - "0.527183,0.519915,0.498514,0.469144,0.430590,0.413009,0.410193", \ - "0.914683,0.894967,0.865476,0.811590,0.740167,0.644938,0.555447", \ - "1.474095,1.447535,1.417573,1.363513,1.250730,1.102322,0.911759", \ - "2.190518,2.171888,2.153238,2.094056,1.977265,1.790232,1.502206"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.690669,3.707849,3.719091,3.741552,3.729100,3.756130,3.759774", \ - "3.613207,3.614037,3.647219,3.675752,3.695175,3.654869,3.727067", \ - "3.581164,3.578052,3.586361,3.601301,3.615839,3.596995,3.679296", \ - "3.772017,3.762214,3.761117,3.763409,3.757745,3.681499,3.735431", \ - "4.171132,4.172965,4.157246,4.105289,4.093042,4.033569,3.893722", \ - "4.714599,4.727144,4.727401,4.718649,4.646729,4.494106,4.378265", \ - "5.517612,5.506179,5.479448,5.447711,5.432080,5.260153,5.098422"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.295618,0.292627,0.289338,0.289912,0.289381,0.294051,0.300348", \ - "0.264515,0.275495,0.280074,0.292187,0.302847,0.321043,0.332472", \ - "0.231617,0.230473,0.239557,0.252976,0.279135,0.309709,0.336895", \ - "0.358565,0.358137,0.349658,0.336388,0.310825,0.305958,0.332839", \ - "0.629203,0.616885,0.605108,0.567739,0.531481,0.470710,0.405472", \ - "1.039375,1.039360,1.014309,0.975491,0.923598,0.813828,0.680966", \ - "1.601862,1.600826,1.573857,1.546383,1.485122,1.361822,1.152077"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.636623,3.629697,3.651240,3.660195,3.641149,3.653715,3.633768", \ - "3.559786,3.555116,3.582387,3.602684,3.579556,3.619490,3.682024", \ - "3.554424,3.568383,3.567605,3.582808,3.601068,3.618640,3.651744", \ - "3.799257,3.785060,3.774093,3.781953,3.722614,3.739452,3.726722", \ - "4.266158,4.259334,4.237401,4.180079,4.138895,4.035913,3.941190", \ - "4.832668,4.819229,4.819154,4.829032,4.757264,4.620428,4.397725", \ - "5.742894,5.729050,5.710832,5.671239,5.619079,5.457643,5.296593"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.327273,0.321937,0.314947,0.307059,0.299548,0.297209,0.300403", \ - "0.295238,0.304072,0.300416,0.307937,0.313535,0.323355,0.333922", \ - "0.261566,0.267045,0.271022,0.283207,0.298660,0.324053,0.349010", \ - "0.382373,0.382531,0.375497,0.363531,0.342744,0.336800,0.354516", \ - "0.623964,0.611458,0.605470,0.581717,0.545071,0.494633,0.431935", \ - "0.988451,0.979222,0.969390,0.945213,0.897634,0.808665,0.690413", \ - "1.478414,1.473317,1.475310,1.451329,1.396858,1.301861,1.122652"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("3.603980,3.600158,3.607083,3.630836,3.612917,3.621207,3.673361", \ - "3.530902,3.529493,3.539954,3.564941,3.555691,3.561961,3.639251", \ - "3.528589,3.523399,3.528579,3.546324,3.571028,3.584437,3.607490", \ - "3.744132,3.751291,3.747466,3.737306,3.707720,3.701991,3.692057", \ - "4.195076,4.179142,4.163123,4.113508,4.084452,3.989226,3.930770", \ - "4.738644,4.752350,4.751021,4.750755,4.656177,4.516811,4.356788", \ - "5.553186,5.544774,5.521640,5.502875,5.493486,5.302355,5.066572"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("0.303022,0.307255,0.303220,0.300946,0.294214,0.293658,0.296801", \ - "0.288018,0.292816,0.296613,0.301509,0.309696,0.320422,0.334553", \ - "0.249698,0.251858,0.264217,0.274796,0.295736,0.324160,0.347897", \ - "0.366944,0.363367,0.360175,0.347416,0.327668,0.333904,0.355687", \ - "0.623699,0.617609,0.601703,0.571865,0.539636,0.486019,0.430271", \ - "1.021412,1.006033,0.985314,0.958975,0.895258,0.802298,0.684639", \ - "1.540877,1.528807,1.511580,1.483412,1.416419,1.301840,1.118330"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); - values ("4.403294,4.418339,4.426800,4.432202,4.461024,4.494192,4.468759", \ - "4.338724,4.355419,4.366146,4.385450,4.410752,4.440727,4.429200", \ - "4.332058,4.340987,4.348542,4.349498,4.388651,4.381135,4.404318", \ - "4.520992,4.530543,4.530233,4.524268,4.525778,4.519273,4.483710", \ - "4.931372,4.935641,4.925740,4.900735,4.846455,4.741782,4.767645", \ - "5.530208,5.533310,5.544908,5.497055,5.410307,5.351985,5.227701", \ - "6.288959,6.288594,6.278323,6.266963,6.268055,6.101823,5.940391"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI221_X2 - Cell Description : Combinational cell (AOI221_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI221_X2) { - - drive_strength : 2; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 83.482383; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 34.129150; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 76.755910; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 35.334096; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 74.876120; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 76.755690; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 119.377720; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 77.960418; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 104.113680; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 35.333981; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 77.960521; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 36.539697; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 104.104660; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 64.454665; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 93.853804; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 93.846203; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 118.340290; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 33.171138; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 62.474720; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 62.472300; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 88.975718; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 62.411679; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 91.715283; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 91.712852; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 118.216397; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 62.409479; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 91.713072; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 91.708001; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 118.213647; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 88.728883; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 118.220558; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 118.217589; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 147.338351; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.504692; - fall_capacitance : 3.144840; - rise_capacitance : 3.504692; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.226091; - fall_capacitance : 3.213702; - rise_capacitance : 3.226091; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.135271; - fall_capacitance : 2.986162; - rise_capacitance : 3.135271; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.145027; - fall_capacitance : 2.640381; - rise_capacitance : 3.145027; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.501501; - fall_capacitance : 2.880490; - rise_capacitance : 3.501501; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 27.618400; - function : "!(((C1 & C2) | A) | (B1 & B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.007261,0.007615,0.008217,0.009394,0.011676,0.016089,0.024688", \ - "0.008798,0.009148,0.009748,0.010923,0.013203,0.017617,0.026218", \ - "0.013376,0.013841,0.014623,0.016080,0.018693,0.023174,0.031707", \ - "0.016385,0.017073,0.018231,0.020402,0.024311,0.031012,0.041920", \ - "0.016703,0.017631,0.019203,0.022126,0.027384,0.036424,0.051176", \ - "0.013946,0.015111,0.017106,0.020803,0.027454,0.038908,0.057629", \ - "0.007813,0.009212,0.011602,0.016073,0.024153,0.038091,0.060883"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.029026,0.030397,0.032765,0.037464,0.046778,0.065256,0.102037", \ - "0.030052,0.031434,0.033820,0.038557,0.047946,0.066539,0.103442", \ - "0.035538,0.036905,0.039258,0.043949,0.053286,0.071864,0.108838", \ - "0.044945,0.046508,0.049144,0.054130,0.063491,0.081988,0.118866", \ - "0.055002,0.056964,0.060254,0.066488,0.077913,0.098220,0.135052", \ - "0.067469,0.069787,0.073665,0.080996,0.094392,0.118077,0.158750", \ - "0.083598,0.086225,0.090607,0.098864,0.114042,0.140867,0.186763"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004680,0.004947,0.005405,0.006321,0.008143,0.011779,0.019059", \ - "0.004596,0.004875,0.005353,0.006290,0.008131,0.011776,0.019059", \ - "0.006846,0.007066,0.007433,0.008117,0.009366,0.012199,0.019055", \ - "0.011205,0.011531,0.012070,0.013069,0.014873,0.017951,0.023024", \ - "0.016864,0.017297,0.017999,0.019321,0.021702,0.025746,0.032385", \ - "0.023893,0.024453,0.025335,0.027019,0.030019,0.035055,0.043238", \ - "0.032303,0.033005,0.034133,0.036232,0.039925,0.046033,0.055784"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.016091,0.017280,0.019353,0.023483,0.031731,0.048176,0.080914", \ - "0.016092,0.017285,0.019354,0.023485,0.031729,0.048179,0.080894", \ - "0.016127,0.017314,0.019369,0.023495,0.031731,0.048152,0.080887", \ - "0.018928,0.019828,0.021431,0.024837,0.032174,0.048169,0.080886", \ - "0.025149,0.026165,0.027899,0.031300,0.037899,0.050925,0.080992", \ - "0.032316,0.033411,0.035250,0.038938,0.046069,0.059546,0.085596", \ - "0.040233,0.041346,0.043278,0.047192,0.054903,0.069540,0.096504"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006089,0.006456,0.007081,0.008298,0.010639,0.015126,0.023793", \ - "0.007700,0.008051,0.008656,0.009846,0.012162,0.016634,0.025296", \ - "0.011887,0.012395,0.013243,0.014809,0.017577,0.022223,0.030768", \ - "0.014179,0.014932,0.016190,0.018517,0.022657,0.029641,0.040839", \ - "0.013677,0.014682,0.016385,0.019517,0.025092,0.034535,0.049705", \ - "0.009946,0.011228,0.013377,0.017343,0.024401,0.036377,0.055663", \ - "0.002742,0.004272,0.006846,0.011635,0.020205,0.034785,0.058305"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.032211,0.033868,0.036720,0.042387,0.053622,0.075927,0.120357", \ - "0.033128,0.034795,0.037670,0.043381,0.054704,0.077151,0.121718", \ - "0.038445,0.040092,0.042927,0.048581,0.059840,0.082265,0.126921", \ - "0.047173,0.048985,0.052044,0.057824,0.069050,0.091358,0.135901", \ - "0.056649,0.058806,0.062431,0.069353,0.082245,0.105679,0.150030", \ - "0.068978,0.071452,0.075616,0.083480,0.098032,0.124341,0.171103", \ - "0.085190,0.087989,0.092615,0.101370,0.117517,0.146490,0.197608"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004273,0.004555,0.005038,0.005984,0.007834,0.011472,0.018717", \ - "0.004130,0.004404,0.004906,0.005886,0.007775,0.011447,0.018712", \ - "0.006836,0.007054,0.007419,0.008104,0.009342,0.011998,0.018684", \ - "0.011300,0.011626,0.012146,0.013129,0.014894,0.017954,0.022994", \ - "0.017150,0.017571,0.018250,0.019536,0.021847,0.025814,0.032364", \ - "0.024461,0.024993,0.025849,0.027477,0.030381,0.035277,0.043318", \ - "0.033224,0.033912,0.034999,0.037029,0.040588,0.046507,0.056046"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.017510,0.018960,0.021491,0.026558,0.036681,0.056860,0.097134", \ - "0.017517,0.018973,0.021497,0.026559,0.036674,0.056854,0.097133", \ - "0.017557,0.019003,0.021520,0.026567,0.036673,0.056861,0.097144", \ - "0.019823,0.021020,0.023140,0.027556,0.036892,0.056886,0.097129", \ - "0.024969,0.026248,0.028478,0.032902,0.041414,0.058712,0.097147", \ - "0.031217,0.032502,0.034786,0.039353,0.048332,0.065678,0.100162", \ - "0.038456,0.039749,0.042070,0.046778,0.056083,0.074162,0.108637"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006218,0.006584,0.007207,0.008421,0.010760,0.015248,0.023921", \ - "0.007823,0.008174,0.008778,0.009967,0.012283,0.016756,0.025423", \ - "0.012079,0.012581,0.013419,0.014969,0.017718,0.022342,0.030895", \ - "0.014494,0.015235,0.016477,0.018784,0.022891,0.029840,0.040999", \ - "0.014145,0.015134,0.016821,0.019919,0.025448,0.034830,0.049944", \ - "0.010638,0.011892,0.014012,0.017922,0.024911,0.036808,0.056009", \ - "0.003734,0.005229,0.007752,0.012456,0.020921,0.035377,0.058778"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.039033,0.040693,0.043557,0.049245,0.060517,0.082886,0.127381", \ - "0.040048,0.041716,0.044599,0.050321,0.061665,0.084141,0.128763", \ - "0.045289,0.046942,0.049798,0.055485,0.066793,0.089273,0.133983", \ - "0.054531,0.056208,0.059064,0.064721,0.075964,0.098344,0.142947", \ - "0.065482,0.067505,0.070911,0.077447,0.089829,0.112638,0.157040", \ - "0.079072,0.081369,0.085247,0.092683,0.106572,0.132101,0.178071", \ - "0.096356,0.098942,0.103300,0.111557,0.126948,0.155009,0.205198"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004965,0.005259,0.005767,0.006760,0.008704,0.012477,0.019799", \ - "0.004797,0.005092,0.005624,0.006657,0.008644,0.012453,0.019793", \ - "0.007843,0.008036,0.008358,0.008991,0.010166,0.012981,0.019767", \ - "0.013483,0.013720,0.014121,0.014925,0.016468,0.019261,0.024039", \ - "0.020524,0.020816,0.021290,0.022277,0.024182,0.027693,0.033812", \ - "0.029062,0.029421,0.030003,0.031209,0.033528,0.037787,0.045202", \ - "0.039164,0.039607,0.040357,0.041842,0.044645,0.049699,0.058420"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.021041,0.022525,0.025089,0.030209,0.040399,0.060688,0.101096", \ - "0.021044,0.022527,0.025093,0.030209,0.040408,0.060684,0.101094", \ - "0.021058,0.022539,0.025100,0.030210,0.040400,0.060686,0.101093", \ - "0.022283,0.023595,0.025896,0.030599,0.040463,0.060685,0.101101", \ - "0.027301,0.028642,0.030928,0.035426,0.043919,0.061905,0.101089", \ - "0.033259,0.034658,0.037049,0.041748,0.050883,0.068309,0.103462", \ - "0.040186,0.041630,0.044101,0.049023,0.058572,0.076845,0.111371"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.007271,0.007624,0.008227,0.009405,0.011686,0.016099,0.024696", \ - "0.008839,0.009188,0.009788,0.010963,0.013244,0.017658,0.026259", \ - "0.013473,0.013936,0.014711,0.016165,0.018770,0.023244,0.031778", \ - "0.016459,0.017153,0.018317,0.020488,0.024401,0.031102,0.041996", \ - "0.016569,0.017498,0.019087,0.022040,0.027338,0.036429,0.051218", \ - "0.013293,0.014476,0.016503,0.020268,0.027036,0.038636,0.057505", \ - "0.006267,0.007715,0.010159,0.014749,0.023028,0.037239,0.060357"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.036328,0.038073,0.041078,0.047045,0.058865,0.082311,0.128962", \ - "0.037021,0.038775,0.041805,0.047822,0.059743,0.083332,0.130141", \ - "0.041978,0.043708,0.046699,0.052657,0.064514,0.088088,0.134991", \ - "0.051504,0.053317,0.056370,0.062341,0.074141,0.097609,0.144397", \ - "0.062332,0.064615,0.068417,0.075672,0.089086,0.113184,0.159781", \ - "0.075894,0.078573,0.082991,0.091437,0.106941,0.134609,0.182883", \ - "0.093678,0.096660,0.101647,0.111103,0.128544,0.159575,0.213243"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004681,0.004947,0.005407,0.006321,0.008143,0.011779,0.019059", \ - "0.004599,0.004879,0.005355,0.006291,0.008131,0.011776,0.019059", \ - "0.006809,0.007029,0.007396,0.008084,0.009335,0.012184,0.019056", \ - "0.011160,0.011486,0.012021,0.013018,0.014827,0.017907,0.022987", \ - "0.016839,0.017275,0.017980,0.019305,0.021696,0.025735,0.032361", \ - "0.023944,0.024510,0.025404,0.027091,0.030102,0.035139,0.043287", \ - "0.032482,0.033195,0.034329,0.036441,0.040146,0.046253,0.055974"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.021120,0.022623,0.025233,0.030442,0.040834,0.061523,0.102726", \ - "0.021132,0.022626,0.025236,0.030442,0.040830,0.061509,0.102722", \ - "0.021135,0.022637,0.025239,0.030443,0.040830,0.061509,0.102730", \ - "0.022836,0.024084,0.026330,0.030979,0.040902,0.061533,0.102716", \ - "0.029286,0.030520,0.032675,0.036933,0.044958,0.062782,0.102709", \ - "0.036764,0.038057,0.040326,0.044787,0.053511,0.070040,0.104917", \ - "0.044934,0.046260,0.048588,0.053342,0.062663,0.080424,0.113534"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006097,0.006464,0.007090,0.008306,0.010648,0.015135,0.023802", \ - "0.007737,0.008088,0.008694,0.009885,0.012203,0.016676,0.025337", \ - "0.011984,0.012492,0.013334,0.014895,0.017657,0.022293,0.030839", \ - "0.014265,0.015020,0.016281,0.018613,0.022749,0.029734,0.040919", \ - "0.013553,0.014571,0.016285,0.019450,0.025070,0.034551,0.049758", \ - "0.009314,0.010609,0.012806,0.016842,0.024019,0.036134,0.055560", \ - "0.001248,0.002822,0.005460,0.010374,0.019148,0.034004,0.057843"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.039528,0.041554,0.045043,0.051965,0.065678,0.092882,0.147035", \ - "0.040117,0.042154,0.045666,0.052645,0.066470,0.093842,0.148178", \ - "0.044964,0.046972,0.050440,0.057350,0.071097,0.098450,0.152890", \ - "0.053805,0.055864,0.059374,0.066289,0.079964,0.107187,0.161495", \ - "0.063881,0.066356,0.070532,0.078541,0.093571,0.121100,0.175173", \ - "0.077128,0.079955,0.084681,0.093694,0.110448,0.140934,0.195898", \ - "0.094837,0.098010,0.103247,0.113208,0.131650,0.164964,0.224231"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004276,0.004558,0.005040,0.005987,0.007835,0.011473,0.018717", \ - "0.004133,0.004411,0.004913,0.005893,0.007779,0.011450,0.018712", \ - "0.006799,0.007016,0.007381,0.008067,0.009307,0.011978,0.018685", \ - "0.011245,0.011567,0.012089,0.013070,0.014846,0.017911,0.022954", \ - "0.017088,0.017512,0.018199,0.019492,0.021815,0.025785,0.032339", \ - "0.024441,0.024986,0.025847,0.027486,0.030400,0.035314,0.043344", \ - "0.033274,0.033970,0.035075,0.037127,0.040710,0.046658,0.056187"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.021911,0.023673,0.026744,0.032880,0.045145,0.069574,0.118272", \ - "0.021929,0.023690,0.026752,0.032884,0.045143,0.069564,0.118266", \ - "0.021948,0.023705,0.026759,0.032893,0.045144,0.069569,0.118250", \ - "0.023451,0.024988,0.027706,0.033304,0.045227,0.069560,0.118257", \ - "0.028797,0.030347,0.033052,0.038393,0.048491,0.070431,0.118266", \ - "0.035181,0.036740,0.039472,0.044921,0.055655,0.076222,0.119691", \ - "0.042611,0.044143,0.046911,0.052489,0.063536,0.085037,0.126266"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006227,0.006592,0.007216,0.008430,0.010769,0.015257,0.023930", \ - "0.007860,0.008212,0.008817,0.010007,0.012323,0.016797,0.025464", \ - "0.012176,0.012676,0.013510,0.015056,0.017797,0.022411,0.030966", \ - "0.014581,0.015322,0.016567,0.018875,0.022983,0.029929,0.041078", \ - "0.014027,0.015028,0.016722,0.019850,0.025421,0.034847,0.049995", \ - "0.010014,0.011282,0.013449,0.017430,0.024529,0.036565,0.055909", \ - "0.002257,0.003798,0.006379,0.011214,0.019873,0.034603,0.058318"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.047958,0.049983,0.053476,0.060412,0.074156,0.101429,0.155654", \ - "0.048664,0.050698,0.054213,0.061193,0.075026,0.102432,0.156814", \ - "0.053413,0.055431,0.058916,0.065855,0.079646,0.107053,0.161557", \ - "0.062384,0.064404,0.067856,0.074752,0.088464,0.115755,0.170137", \ - "0.074135,0.076478,0.080408,0.088018,0.102423,0.129622,0.183782", \ - "0.088734,0.091367,0.095808,0.104333,0.120365,0.150036,0.204421", \ - "0.107576,0.110503,0.115462,0.124909,0.142527,0.174845,0.233113"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004969,0.005263,0.005769,0.006763,0.008706,0.012478,0.019800", \ - "0.004802,0.005100,0.005632,0.006663,0.008648,0.012454,0.019794", \ - "0.007801,0.007992,0.008317,0.008950,0.010131,0.012965,0.019768", \ - "0.013416,0.013653,0.014059,0.014862,0.016407,0.019214,0.023999", \ - "0.020460,0.020757,0.021245,0.022236,0.024149,0.027670,0.033782", \ - "0.029066,0.029435,0.030016,0.031231,0.033566,0.037821,0.045231", \ - "0.039256,0.039718,0.040480,0.041973,0.044798,0.049865,0.058568"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.026240,0.028044,0.031158,0.037367,0.049720,0.074273,0.123147", \ - "0.026249,0.028050,0.031158,0.037367,0.049722,0.074275,0.123144", \ - "0.026257,0.028057,0.031163,0.037368,0.049724,0.074267,0.123136", \ - "0.026860,0.028517,0.031463,0.037500,0.049746,0.074264,0.123121", \ - "0.031843,0.033442,0.036199,0.041348,0.051975,0.074660,0.123102", \ - "0.037898,0.039568,0.042389,0.047989,0.058882,0.079608,0.124079", \ - "0.044996,0.046693,0.049612,0.055410,0.066708,0.088394,0.129998"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.007400,0.007752,0.008353,0.009529,0.011808,0.016222,0.024827", \ - "0.008967,0.009315,0.009914,0.011087,0.013366,0.017782,0.026389", \ - "0.013650,0.014109,0.014879,0.016318,0.018905,0.023365,0.031908", \ - "0.016751,0.017434,0.018585,0.020738,0.024623,0.031292,0.042156", \ - "0.017008,0.017921,0.019497,0.022417,0.027676,0.036719,0.051469", \ - "0.013930,0.015093,0.017098,0.020818,0.027520,0.039050,0.057855", \ - "0.007174,0.008596,0.011007,0.015535,0.023716,0.037821,0.060844"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.043603,0.045350,0.048364,0.054347,0.066204,0.089723,0.136459", \ - "0.044400,0.046156,0.049189,0.055212,0.067150,0.090781,0.137657", \ - "0.049272,0.051014,0.054022,0.060012,0.071911,0.095555,0.142530", \ - "0.058969,0.060711,0.063706,0.069657,0.081496,0.105038,0.151923", \ - "0.071706,0.073816,0.077379,0.084200,0.096985,0.120575,0.167263", \ - "0.086840,0.089297,0.093430,0.101334,0.116059,0.142763,0.190297", \ - "0.105793,0.108576,0.113267,0.122206,0.138768,0.168708,0.221219"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.005386,0.005666,0.006148,0.007112,0.009029,0.012794,0.020145", \ - "0.005305,0.005598,0.006098,0.007082,0.009017,0.012792,0.020146", \ - "0.007738,0.007937,0.008282,0.008928,0.010186,0.013187,0.020143", \ - "0.013149,0.013409,0.013855,0.014715,0.016330,0.019185,0.024044", \ - "0.019948,0.020288,0.020829,0.021901,0.023937,0.027571,0.033791", \ - "0.028223,0.028646,0.029310,0.030634,0.033138,0.037586,0.045162", \ - "0.038026,0.038551,0.039396,0.041032,0.044064,0.049385,0.058336"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.024861,0.026383,0.029020,0.034279,0.044738,0.065526,0.106878", \ - "0.024860,0.026386,0.029018,0.034278,0.044737,0.065524,0.106886", \ - "0.024864,0.026385,0.029024,0.034277,0.044742,0.065524,0.106895", \ - "0.025596,0.026974,0.029394,0.034410,0.044761,0.065543,0.106878", \ - "0.031491,0.032763,0.034972,0.039118,0.047605,0.066219,0.106877", \ - "0.038787,0.040162,0.042491,0.047056,0.055896,0.072507,0.108467", \ - "0.046787,0.048228,0.050711,0.055608,0.065094,0.082966,0.116321"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006227,0.006592,0.007216,0.008430,0.010769,0.015257,0.023930", \ - "0.007860,0.008212,0.008817,0.010007,0.012323,0.016797,0.025464", \ - "0.012176,0.012676,0.013510,0.015056,0.017797,0.022411,0.030966", \ - "0.014581,0.015322,0.016567,0.018875,0.022983,0.029929,0.041078", \ - "0.014027,0.015028,0.016722,0.019850,0.025421,0.034847,0.049995", \ - "0.010014,0.011282,0.013449,0.017430,0.024529,0.036565,0.055909", \ - "0.002257,0.003798,0.006379,0.011214,0.019873,0.034603,0.058318"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.047958,0.049983,0.053476,0.060412,0.074156,0.101429,0.155654", \ - "0.048664,0.050698,0.054213,0.061193,0.075026,0.102432,0.156814", \ - "0.053413,0.055431,0.058916,0.065855,0.079646,0.107053,0.161557", \ - "0.062384,0.064404,0.067856,0.074752,0.088464,0.115755,0.170137", \ - "0.074135,0.076478,0.080408,0.088018,0.102423,0.129622,0.183782", \ - "0.088734,0.091367,0.095808,0.104333,0.120365,0.150036,0.204421", \ - "0.107576,0.110503,0.115462,0.124909,0.142527,0.174845,0.233113"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004969,0.005263,0.005769,0.006763,0.008706,0.012478,0.019800", \ - "0.004802,0.005100,0.005632,0.006663,0.008648,0.012454,0.019794", \ - "0.007801,0.007992,0.008317,0.008950,0.010131,0.012965,0.019768", \ - "0.013416,0.013653,0.014059,0.014862,0.016407,0.019214,0.023999", \ - "0.020460,0.020757,0.021245,0.022236,0.024149,0.027670,0.033782", \ - "0.029066,0.029435,0.030016,0.031231,0.033566,0.037821,0.045231", \ - "0.039256,0.039718,0.040480,0.041973,0.044798,0.049865,0.058568"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.026240,0.028044,0.031158,0.037367,0.049720,0.074273,0.123147", \ - "0.026249,0.028050,0.031158,0.037367,0.049722,0.074275,0.123144", \ - "0.026257,0.028057,0.031163,0.037368,0.049724,0.074267,0.123136", \ - "0.026860,0.028517,0.031463,0.037500,0.049746,0.074264,0.123121", \ - "0.031843,0.033442,0.036199,0.041348,0.051975,0.074660,0.123102", \ - "0.037898,0.039568,0.042389,0.047989,0.058882,0.079608,0.124079", \ - "0.044996,0.046693,0.049612,0.055410,0.066708,0.088394,0.129998"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006358,0.006722,0.007344,0.008555,0.010892,0.015381,0.024060", \ - "0.007986,0.008337,0.008942,0.010130,0.012446,0.016920,0.025595", \ - "0.012368,0.012862,0.013686,0.015216,0.017937,0.022532,0.031097", \ - "0.014893,0.015624,0.016853,0.019137,0.023213,0.030124,0.041238", \ - "0.014505,0.015490,0.017157,0.020247,0.025768,0.035139,0.050237", \ - "0.010728,0.011980,0.014092,0.018013,0.025036,0.036992,0.056253", \ - "0.003307,0.004809,0.007312,0.012053,0.020596,0.035208,0.058796"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.056492,0.058514,0.062013,0.068953,0.082719,0.110043,0.164408", \ - "0.057287,0.059321,0.062832,0.069810,0.083655,0.111083,0.165585", \ - "0.061980,0.064002,0.067499,0.074452,0.088280,0.115735,0.170346", \ - "0.070914,0.072927,0.076392,0.083302,0.097052,0.124408,0.178891", \ - "0.083903,0.086120,0.089883,0.097196,0.111028,0.138239,0.192502", \ - "0.099717,0.102183,0.106400,0.114553,0.130021,0.159023,0.213058", \ - "0.119634,0.122360,0.127068,0.136108,0.153070,0.184592,0.241993"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006191,0.006477,0.006976,0.007963,0.009888,0.013615,0.020901", \ - "0.005997,0.006299,0.006831,0.007859,0.009830,0.013591,0.020896", \ - "0.009275,0.009411,0.009655,0.010161,0.011254,0.014086,0.020870", \ - "0.015594,0.015768,0.016073,0.016715,0.018007,0.020529,0.025065", \ - "0.023439,0.023649,0.024002,0.024776,0.026365,0.029501,0.035209", \ - "0.033050,0.033296,0.033704,0.034622,0.036519,0.040234,0.047101", \ - "0.044380,0.044696,0.045234,0.046336,0.048585,0.052934,0.060923"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.030669,0.032486,0.035633,0.041895,0.054336,0.079029,0.128133", \ - "0.030670,0.032490,0.035636,0.041896,0.054337,0.079039,0.128138", \ - "0.030673,0.032491,0.035634,0.041895,0.054346,0.079034,0.128140", \ - "0.030853,0.032635,0.035733,0.041940,0.054345,0.079022,0.128109", \ - "0.035074,0.036603,0.039253,0.044621,0.055768,0.079160,0.128077", \ - "0.041051,0.042722,0.045609,0.051268,0.062259,0.083275,0.128642", \ - "0.048005,0.049749,0.052728,0.058624,0.070062,0.091900,0.133944"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.014010,0.014662,0.015776,0.017941,0.022097,0.030018,0.045191", \ - "0.015254,0.015903,0.017015,0.019174,0.023320,0.031237,0.046406", \ - "0.020645,0.021250,0.022259,0.024274,0.028290,0.036117,0.051242", \ - "0.027528,0.028379,0.029841,0.032571,0.037535,0.046165,0.061076", \ - "0.032031,0.033141,0.035046,0.038628,0.045142,0.056512,0.075456", \ - "0.033877,0.035266,0.037602,0.042026,0.050073,0.064185,0.087816", \ - "0.033050,0.034684,0.037419,0.042609,0.052176,0.068985,0.097233"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.042898,0.044648,0.047661,0.053642,0.065472,0.088917,0.135568", \ - "0.043947,0.045730,0.048800,0.054879,0.066866,0.090502,0.137337", \ - "0.049014,0.050768,0.053798,0.059830,0.071815,0.095565,0.142631", \ - "0.057508,0.059271,0.062295,0.068272,0.080135,0.103735,0.150746", \ - "0.066323,0.068363,0.071843,0.078592,0.091447,0.115400,0.162203", \ - "0.075162,0.077489,0.081441,0.089051,0.103407,0.129986,0.178398", \ - "0.086029,0.088672,0.093094,0.101575,0.117386,0.146352,0.198694"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.010146,0.010623,0.011443,0.013062,0.016248,0.022527,0.034992", \ - "0.010038,0.010524,0.011359,0.012996,0.016207,0.022509,0.034987", \ - "0.010228,0.010634,0.011345,0.012831,0.015947,0.022413,0.034973", \ - "0.015233,0.015669,0.016375,0.017724,0.020218,0.024765,0.035322", \ - "0.021790,0.022354,0.023261,0.024993,0.028146,0.033583,0.042882", \ - "0.029678,0.030368,0.031509,0.033657,0.037552,0.044238,0.055356", \ - "0.038838,0.039726,0.041126,0.043776,0.048467,0.056448,0.069599"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.021114,0.022622,0.025227,0.030443,0.040833,0.061505,0.102727", \ - "0.021127,0.022623,0.025230,0.030443,0.040840,0.061508,0.102714", \ - "0.021137,0.022640,0.025237,0.030443,0.040826,0.061523,0.102710", \ - "0.021815,0.023190,0.025619,0.030623,0.040866,0.061531,0.102727", \ - "0.026084,0.027444,0.029795,0.034454,0.043419,0.062337,0.102719", \ - "0.031963,0.033310,0.035658,0.040392,0.049759,0.067942,0.104701", \ - "0.040347,0.041588,0.043786,0.048323,0.057555,0.076034,0.112036"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011082,0.011771,0.012942,0.015208,0.019526,0.027680,0.043118", \ - "0.012438,0.013112,0.014263,0.016500,0.020786,0.028912,0.044333", \ - "0.018107,0.018778,0.019891,0.021963,0.025945,0.033849,0.049160", \ - "0.024033,0.024972,0.026566,0.029524,0.034825,0.043891,0.059066", \ - "0.027476,0.028699,0.030799,0.034667,0.041621,0.053559,0.073128", \ - "0.028170,0.029692,0.032254,0.037024,0.045610,0.060434,0.084859", \ - "0.026056,0.027830,0.030824,0.036421,0.046625,0.064295,0.093534"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.046128,0.048163,0.051661,0.058599,0.072321,0.099531,0.153680", \ - "0.047046,0.049118,0.052681,0.059732,0.073637,0.101072,0.155430", \ - "0.051985,0.054019,0.057531,0.064528,0.078420,0.105975,0.160608", \ - "0.060298,0.062333,0.065826,0.072749,0.086498,0.113880,0.168441", \ - "0.068930,0.071221,0.075129,0.082730,0.097296,0.124720,0.179037", \ - "0.077579,0.080133,0.084452,0.092817,0.108709,0.138492,0.193622", \ - "0.088422,0.091251,0.096009,0.105134,0.122277,0.154126,0.212695"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.009210,0.009733,0.010618,0.012341,0.015657,0.022043,0.034520", \ - "0.008968,0.009509,0.010424,0.012184,0.015548,0.021980,0.034496", \ - "0.009973,0.010315,0.010943,0.012296,0.015265,0.021727,0.034426", \ - "0.015372,0.015799,0.016499,0.017828,0.020269,0.024691,0.034868", \ - "0.022246,0.022794,0.023676,0.025360,0.028421,0.033741,0.042893", \ - "0.030548,0.031230,0.032331,0.034403,0.038154,0.044622,0.055505", \ - "0.040273,0.041142,0.042499,0.045044,0.049542,0.057211,0.069976"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.021895,0.023660,0.026734,0.032881,0.045148,0.069562,0.118259", \ - "0.021914,0.023677,0.026744,0.032886,0.045146,0.069558,0.118262", \ - "0.021943,0.023697,0.026757,0.032894,0.045152,0.069559,0.118267", \ - "0.022472,0.024119,0.027057,0.033030,0.045174,0.069582,0.118254", \ - "0.026239,0.027877,0.030709,0.036253,0.047146,0.070028,0.118255", \ - "0.031305,0.032931,0.035779,0.041485,0.052767,0.074586,0.119455", \ - "0.038840,0.040358,0.043056,0.048574,0.059734,0.081962,0.125289"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011349,0.012035,0.013203,0.015462,0.019776,0.027930,0.043385", \ - "0.012698,0.013370,0.014518,0.016751,0.021034,0.029161,0.044600", \ - "0.018379,0.019038,0.020141,0.022188,0.026180,0.034094,0.049426", \ - "0.024461,0.025390,0.026961,0.029887,0.035149,0.044168,0.059330", \ - "0.028116,0.029323,0.031387,0.035207,0.042099,0.053976,0.073477", \ - "0.029101,0.030590,0.033098,0.037796,0.046294,0.061023,0.085362", \ - "0.027371,0.029093,0.032009,0.037500,0.047565,0.065096,0.094210"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.054584,0.056613,0.060112,0.067052,0.080802,0.108072,0.162300", \ - "0.055713,0.057769,0.061311,0.068334,0.082216,0.109665,0.164062", \ - "0.060558,0.062598,0.066126,0.073137,0.087051,0.114627,0.169282", \ - "0.068811,0.070829,0.074320,0.081259,0.095054,0.122508,0.177123", \ - "0.078502,0.080718,0.084481,0.091851,0.105972,0.133283,0.187687", \ - "0.088239,0.090680,0.094803,0.102839,0.118286,0.147512,0.202198", \ - "0.100143,0.102815,0.107331,0.116001,0.132561,0.163741,0.221628"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011681,0.012164,0.012995,0.014631,0.017835,0.024099,0.036473", \ - "0.011421,0.011924,0.012787,0.014467,0.017723,0.024035,0.036446", \ - "0.012169,0.012518,0.013145,0.014489,0.017409,0.023781,0.036379", \ - "0.018406,0.018729,0.019276,0.020371,0.022509,0.026660,0.036804", \ - "0.026442,0.026841,0.027490,0.028818,0.031403,0.036185,0.044853", \ - "0.035993,0.036462,0.037284,0.038894,0.041999,0.047748,0.057937", \ - "0.047034,0.047652,0.048676,0.050647,0.054342,0.061081,0.072962"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.026238,0.028041,0.031153,0.037367,0.049718,0.074272,0.123129", \ - "0.026245,0.028042,0.031155,0.037366,0.049723,0.074272,0.123137", \ - "0.026252,0.028052,0.031161,0.037369,0.049723,0.074271,0.123134", \ - "0.026443,0.028204,0.031265,0.037415,0.049730,0.074273,0.123130", \ - "0.029749,0.031430,0.034228,0.039763,0.051033,0.074442,0.123114", \ - "0.034490,0.036218,0.039171,0.045018,0.056431,0.078341,0.123950", \ - "0.041243,0.042947,0.045853,0.051658,0.063162,0.085686,0.129261"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.015368,0.016020,0.017129,0.019291,0.023444,0.031361,0.046532", \ - "0.016659,0.017308,0.018418,0.020575,0.024722,0.032641,0.047812", \ - "0.020693,0.021341,0.022436,0.024556,0.028683,0.036609,0.051804", \ - "0.026518,0.027280,0.028563,0.031020,0.035613,0.044020,0.059417", \ - "0.031324,0.032307,0.033965,0.037079,0.042754,0.052758,0.070134", \ - "0.033751,0.035021,0.037138,0.041066,0.048171,0.060524,0.081175", \ - "0.033494,0.035039,0.037617,0.042403,0.051040,0.066029,0.090818"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.049187,0.050914,0.053893,0.059827,0.071614,0.095048,0.141726", \ - "0.050602,0.052342,0.055346,0.061314,0.073160,0.096663,0.143405", \ - "0.056110,0.057849,0.060853,0.066830,0.078703,0.102272,0.149114", \ - "0.064793,0.066528,0.069517,0.075474,0.087307,0.110838,0.157675", \ - "0.074773,0.076734,0.080069,0.086564,0.099038,0.122633,0.169384", \ - "0.084819,0.087039,0.090783,0.098061,0.111916,0.137867,0.185655", \ - "0.097214,0.099681,0.103867,0.111861,0.126972,0.155114,0.206598"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.010083,0.010564,0.011390,0.013019,0.016219,0.022514,0.034989", \ - "0.010033,0.010518,0.011348,0.012984,0.016195,0.022500,0.034984", \ - "0.010055,0.010525,0.011332,0.012936,0.016123,0.022477,0.034984", \ - "0.012347,0.012782,0.013518,0.014977,0.017861,0.023510,0.035258", \ - "0.016774,0.017228,0.017995,0.019487,0.022321,0.027780,0.038576", \ - "0.022588,0.023128,0.024024,0.025744,0.028910,0.034610,0.045230", \ - "0.029451,0.030113,0.031174,0.033208,0.036912,0.043362,0.054548"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.024865,0.026382,0.029018,0.034279,0.044736,0.065528,0.106880", \ - "0.024864,0.026386,0.029020,0.034278,0.044738,0.065537,0.106897", \ - "0.024868,0.026386,0.029024,0.034281,0.044739,0.065522,0.106892", \ - "0.025117,0.026589,0.029156,0.034334,0.044743,0.065542,0.106890", \ - "0.028907,0.030292,0.032675,0.037303,0.046590,0.066022,0.106867", \ - "0.034362,0.035797,0.038270,0.043141,0.052656,0.070922,0.108415", \ - "0.041422,0.042870,0.045358,0.050291,0.060030,0.078933,0.115164"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.012484,0.013167,0.014331,0.016586,0.020891,0.029031,0.044463", \ - "0.013828,0.014505,0.015660,0.017901,0.022189,0.030316,0.045738", \ - "0.017987,0.018666,0.019810,0.022019,0.026212,0.034289,0.049709", \ - "0.023365,0.024192,0.025583,0.028202,0.033004,0.041674,0.057319", \ - "0.027251,0.028341,0.030161,0.033549,0.039613,0.050084,0.067877", \ - "0.028530,0.029949,0.032273,0.036549,0.044191,0.057218,0.078548", \ - "0.026934,0.028669,0.031508,0.036720,0.046012,0.061878,0.087594"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.053488,0.055486,0.058943,0.065820,0.079488,0.106684,0.160876", \ - "0.054824,0.056840,0.060325,0.067244,0.080980,0.108250,0.162512", \ - "0.060251,0.062266,0.065748,0.072678,0.086444,0.113795,0.168164", \ - "0.068746,0.070754,0.074221,0.081123,0.094844,0.122148,0.176518", \ - "0.078447,0.080658,0.084413,0.091766,0.105888,0.133137,0.187393", \ - "0.088183,0.090620,0.094742,0.102792,0.118215,0.147396,0.202049", \ - "0.100403,0.103067,0.107580,0.116242,0.132744,0.163847,0.221625"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.009117,0.009639,0.010531,0.012267,0.015602,0.022009,0.034506", \ - "0.008987,0.009518,0.010421,0.012174,0.015531,0.021964,0.034486", \ - "0.009283,0.009735,0.010536,0.012156,0.015403,0.021853,0.034460", \ - "0.012102,0.012520,0.013232,0.014649,0.017472,0.023072,0.034733", \ - "0.016907,0.017349,0.018100,0.019542,0.022291,0.027601,0.038231", \ - "0.023029,0.023550,0.024422,0.026092,0.029159,0.034705,0.045080", \ - "0.030247,0.030887,0.031923,0.033892,0.037479,0.043716,0.054632"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.026260,0.028065,0.031177,0.037395,0.049757,0.074335,0.123215", \ - "0.026266,0.028068,0.031181,0.037390,0.049749,0.074320,0.123210", \ - "0.026273,0.028075,0.031182,0.037394,0.049755,0.074320,0.123214", \ - "0.026465,0.028228,0.031288,0.037440,0.049761,0.074324,0.123211", \ - "0.029709,0.031386,0.034238,0.039808,0.051095,0.074513,0.123196", \ - "0.034384,0.036119,0.039096,0.044958,0.056402,0.078439,0.124051", \ - "0.040604,0.042366,0.045370,0.051311,0.062982,0.085626,0.129348"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.012748,0.013429,0.014589,0.016838,0.021139,0.029282,0.044730", \ - "0.014088,0.014764,0.015915,0.018151,0.022437,0.030565,0.046004", \ - "0.018250,0.018925,0.020065,0.022262,0.026456,0.034538,0.049976", \ - "0.023707,0.024527,0.025902,0.028499,0.033281,0.041936,0.057585", \ - "0.027741,0.028814,0.030612,0.033961,0.039984,0.050403,0.068176", \ - "0.029229,0.030612,0.032898,0.037125,0.044696,0.057653,0.078922", \ - "0.027889,0.029577,0.032359,0.037501,0.046705,0.062464,0.088093"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.061914,0.063919,0.067382,0.074271,0.087974,0.115219,0.169515", \ - "0.063319,0.065338,0.068822,0.075745,0.089494,0.116801,0.171162", \ - "0.068760,0.070775,0.074267,0.081207,0.094988,0.122361,0.176809", \ - "0.077217,0.079229,0.082706,0.089617,0.103369,0.130710,0.185187", \ - "0.087692,0.089834,0.093488,0.100638,0.114430,0.141690,0.195997", \ - "0.098428,0.100761,0.104724,0.112513,0.127564,0.156292,0.210628", \ - "0.111508,0.114043,0.118351,0.126679,0.142729,0.173291,0.230474"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.011575,0.012063,0.012903,0.014554,0.017778,0.024064,0.036457", \ - "0.011435,0.011932,0.012784,0.014455,0.017704,0.024019,0.036438", \ - "0.011612,0.012053,0.012830,0.014401,0.017564,0.023907,0.036412", \ - "0.014698,0.015060,0.015695,0.016994,0.019665,0.025093,0.036678", \ - "0.020128,0.020474,0.021072,0.022300,0.024769,0.029801,0.040202", \ - "0.027132,0.027520,0.028184,0.029523,0.032162,0.037226,0.047228", \ - "0.035328,0.035823,0.036595,0.038144,0.041154,0.046735,0.057064"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.030672,0.032487,0.035635,0.041892,0.054347,0.079023,0.128146", \ - "0.030671,0.032489,0.035635,0.041896,0.054332,0.079036,0.128139", \ - "0.030673,0.032491,0.035636,0.041899,0.054338,0.079027,0.128115", \ - "0.030739,0.032543,0.035670,0.041910,0.054342,0.079025,0.128142", \ - "0.033326,0.034972,0.037825,0.043535,0.055175,0.079089,0.128048", \ - "0.038001,0.039744,0.042743,0.048646,0.060129,0.082367,0.128614", \ - "0.043947,0.045728,0.048797,0.054841,0.066654,0.089445,0.133432"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.008213,0.008744,0.009661,0.011483,0.015103,0.022304,0.036665", \ - "0.009558,0.010095,0.011027,0.012872,0.016524,0.023759,0.038151", \ - "0.013417,0.014166,0.015406,0.017680,0.021672,0.028849,0.043213", \ - "0.015427,0.016534,0.018362,0.021719,0.027630,0.037494,0.053181", \ - "0.014713,0.016182,0.018635,0.023120,0.030992,0.044151,0.065129", \ - "0.010870,0.012752,0.015836,0.021471,0.031376,0.047898,0.074223", \ - "0.003710,0.005950,0.009659,0.016447,0.028410,0.048386,0.080151"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.020971,0.022660,0.025561,0.031297,0.042609,0.064982,0.109452", \ - "0.021349,0.023047,0.025985,0.031804,0.043278,0.065871,0.110551", \ - "0.026471,0.028022,0.030752,0.036314,0.047538,0.069993,0.114724", \ - "0.037199,0.039076,0.042178,0.047996,0.058593,0.080310,0.124362", \ - "0.049269,0.051582,0.055396,0.062599,0.075720,0.098546,0.141379", \ - "0.063388,0.066074,0.070481,0.078854,0.094264,0.121420,0.167060", \ - "0.079849,0.082912,0.087893,0.097351,0.114772,0.145749,0.198505"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004867,0.005312,0.006092,0.007646,0.010756,0.016972,0.029402", \ - "0.004864,0.005313,0.006090,0.007647,0.010755,0.016973,0.029404", \ - "0.007285,0.007637,0.008231,0.009343,0.011557,0.017014,0.029402", \ - "0.012042,0.012506,0.013284,0.014737,0.017376,0.021986,0.030975", \ - "0.018452,0.019057,0.020022,0.021844,0.025111,0.030766,0.040281", \ - "0.026595,0.027327,0.028515,0.030734,0.034682,0.041415,0.052666", \ - "0.036420,0.037328,0.038770,0.041437,0.046155,0.054053,0.067041"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.017319,0.018820,0.021395,0.026517,0.036679,0.056879,0.097147", \ - "0.017206,0.018735,0.021345,0.026496,0.036675,0.056866,0.097133", \ - "0.016824,0.018249,0.020834,0.026253,0.036616,0.056864,0.097127", \ - "0.021398,0.022625,0.024446,0.028331,0.037035,0.056760,0.097146", \ - "0.027216,0.028581,0.030906,0.035419,0.043802,0.059619,0.096980", \ - "0.034194,0.035710,0.038269,0.043315,0.052787,0.069709,0.101475", \ - "0.042754,0.044307,0.047029,0.052454,0.062828,0.081741,0.114270"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.008211,0.008743,0.009660,0.011482,0.015102,0.022302,0.036663", \ - "0.009562,0.010102,0.011033,0.012877,0.016528,0.023764,0.038153", \ - "0.013486,0.014233,0.015465,0.017734,0.021714,0.028888,0.043249", \ - "0.015520,0.016624,0.018450,0.021811,0.027715,0.037566,0.053238", \ - "0.014561,0.016043,0.018515,0.023034,0.030967,0.044174,0.065174", \ - "0.010123,0.012037,0.015174,0.020903,0.030954,0.047655,0.074139", \ - "0.002010,0.004315,0.008101,0.015051,0.027273,0.047585,0.079716"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.023376,0.025429,0.028959,0.035952,0.049757,0.077050,0.131259", \ - "0.023527,0.025585,0.029150,0.036245,0.050250,0.077813,0.132286", \ - "0.028501,0.030358,0.033660,0.040422,0.054127,0.081548,0.136107", \ - "0.040220,0.042291,0.045729,0.052171,0.064822,0.091396,0.145181", \ - "0.053658,0.056232,0.060444,0.068437,0.083056,0.109051,0.161539", \ - "0.069334,0.072313,0.077159,0.086433,0.103558,0.133898,0.186440", \ - "0.087606,0.090937,0.096451,0.106852,0.126152,0.160678,0.219844"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004867,0.005312,0.006090,0.007645,0.010755,0.016973,0.029402", \ - "0.004864,0.005313,0.006090,0.007647,0.010755,0.016974,0.029403", \ - "0.007258,0.007611,0.008208,0.009319,0.011544,0.017010,0.029403", \ - "0.011977,0.012445,0.013221,0.014686,0.017331,0.021951,0.030959", \ - "0.018349,0.018962,0.019942,0.021780,0.025070,0.030743,0.040258", \ - "0.026495,0.027237,0.028451,0.030705,0.034697,0.041455,0.052703", \ - "0.036354,0.037285,0.038763,0.041487,0.046254,0.054223,0.067208"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.021338,0.023226,0.026436,0.032741,0.045111,0.069561,0.118250", \ - "0.021099,0.023030,0.026300,0.032677,0.045105,0.069566,0.118253", \ - "0.020139,0.022025,0.025525,0.032266,0.045003,0.069553,0.118258", \ - "0.024008,0.025286,0.027710,0.032988,0.044483,0.069427,0.118251", \ - "0.029805,0.031401,0.034144,0.039524,0.049373,0.070328,0.118211", \ - "0.036785,0.038513,0.041468,0.047320,0.058403,0.078391,0.119790", \ - "0.045216,0.047021,0.050158,0.056394,0.068389,0.090428,0.129495"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.008395,0.008927,0.009845,0.011670,0.015295,0.022509,0.036896", \ - "0.009748,0.010288,0.011220,0.013066,0.016723,0.023971,0.038387", \ - "0.013759,0.014497,0.015715,0.017962,0.021907,0.029095,0.043482", \ - "0.015961,0.017051,0.018854,0.022178,0.028040,0.037840,0.053471", \ - "0.015229,0.016689,0.019123,0.023585,0.031447,0.044583,0.065517", \ - "0.011094,0.012980,0.016052,0.021704,0.031651,0.048240,0.074624", \ - "0.003411,0.005662,0.009369,0.016189,0.028255,0.048401,0.080385"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.031917,0.033966,0.037496,0.044491,0.058316,0.085674,0.139991", \ - "0.032250,0.034318,0.037887,0.044962,0.058934,0.086507,0.141042", \ - "0.036593,0.038576,0.042023,0.048937,0.062746,0.090247,0.144882", \ - "0.048538,0.050437,0.053517,0.059868,0.073075,0.099890,0.153871", \ - "0.063943,0.066282,0.070189,0.077657,0.091511,0.117241,0.170054", \ - "0.081217,0.083970,0.088506,0.097229,0.113508,0.142714,0.194730", \ - "0.100962,0.103997,0.109183,0.119031,0.137435,0.170758,0.228478"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006117,0.006627,0.007498,0.009201,0.012472,0.018787,0.031242", \ - "0.006118,0.006626,0.007499,0.009201,0.012471,0.018787,0.031242", \ - "0.008924,0.009257,0.009827,0.010842,0.013231,0.018819,0.031242", \ - "0.015016,0.015374,0.015988,0.017201,0.019528,0.023830,0.032773", \ - "0.022913,0.023327,0.024026,0.025440,0.028172,0.033256,0.042248", \ - "0.032761,0.033207,0.034017,0.035652,0.038831,0.044735,0.055208", \ - "0.044472,0.045018,0.045955,0.047875,0.051561,0.058363,0.070318"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.026064,0.027909,0.031076,0.037345,0.049738,0.074321,0.123222", \ - "0.025982,0.027843,0.031031,0.037327,0.049734,0.074324,0.123219", \ - "0.025446,0.027399,0.030708,0.037167,0.049698,0.074325,0.123215", \ - "0.026652,0.028237,0.031052,0.036863,0.049074,0.074266,0.123210", \ - "0.032756,0.034370,0.037151,0.042520,0.052469,0.074441,0.123164", \ - "0.039706,0.041491,0.044517,0.050404,0.061457,0.081387,0.124077", \ - "0.047844,0.049802,0.053087,0.059502,0.071592,0.093562,0.132833"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.009514,0.010043,0.010956,0.012772,0.016384,0.023579,0.037937", \ - "0.010887,0.011431,0.012363,0.014210,0.017861,0.025096,0.039486", \ - "0.014104,0.014758,0.015857,0.017952,0.021878,0.029200,0.043667", \ - "0.016669,0.017607,0.019155,0.022012,0.027068,0.035826,0.051283", \ - "0.016866,0.018164,0.020305,0.024218,0.031039,0.042352,0.060740", \ - "0.014042,0.015740,0.018533,0.023620,0.032437,0.046922,0.069693", \ - "0.007876,0.010014,0.013489,0.019792,0.030722,0.048628,0.076524"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.027012,0.028660,0.031507,0.037165,0.048400,0.070729,0.115187", \ - "0.027607,0.029275,0.032158,0.037877,0.049204,0.071644,0.116207", \ - "0.032628,0.034236,0.037028,0.042624,0.053817,0.076160,0.120714", \ - "0.044452,0.046131,0.048957,0.054252,0.064927,0.086695,0.130667", \ - "0.058640,0.060729,0.064214,0.070863,0.083140,0.104954,0.147868", \ - "0.074774,0.077199,0.081260,0.089035,0.103516,0.129384,0.173697", \ - "0.093342,0.096088,0.100738,0.109506,0.125904,0.155464,0.206468"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004868,0.005317,0.006092,0.007646,0.010755,0.016970,0.029403", \ - "0.004869,0.005313,0.006091,0.007645,0.010756,0.016971,0.029402", \ - "0.005973,0.006363,0.007045,0.008405,0.011132,0.017003,0.029403", \ - "0.009151,0.009541,0.010197,0.011486,0.014048,0.019281,0.030207", \ - "0.013916,0.014376,0.015147,0.016604,0.019302,0.024380,0.034559", \ - "0.019967,0.020518,0.021432,0.023162,0.026299,0.031833,0.041929", \ - "0.027172,0.027805,0.028896,0.030966,0.034670,0.041039,0.051880"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.020977,0.022476,0.025058,0.030196,0.040396,0.060695,0.101109", \ - "0.020943,0.022446,0.025041,0.030187,0.040405,0.060699,0.101115", \ - "0.020580,0.022160,0.024843,0.030094,0.040381,0.060689,0.101113", \ - "0.023307,0.024472,0.026574,0.030961,0.040305,0.060642,0.101100", \ - "0.029312,0.030703,0.033045,0.037541,0.045689,0.062475,0.101001", \ - "0.035831,0.037449,0.040149,0.045334,0.054864,0.071706,0.104457", \ - "0.043107,0.044912,0.047944,0.053796,0.064590,0.083712,0.116265"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.009513,0.010043,0.010955,0.012770,0.016383,0.023577,0.037934", \ - "0.010893,0.011436,0.012368,0.014215,0.017866,0.025100,0.039489", \ - "0.014164,0.014816,0.015912,0.018003,0.021922,0.029240,0.043703", \ - "0.016801,0.017737,0.019278,0.022126,0.027169,0.035912,0.051352", \ - "0.016936,0.018240,0.020380,0.024296,0.031127,0.042442,0.060826", \ - "0.013806,0.015512,0.018332,0.023454,0.032330,0.046894,0.069721", \ - "0.007002,0.009174,0.012703,0.019102,0.030176,0.048276,0.076365"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.030805,0.032813,0.036282,0.043180,0.056879,0.084103,0.138290", \ - "0.031207,0.033238,0.036749,0.043723,0.057536,0.084893,0.139207", \ - "0.036024,0.037978,0.041379,0.048203,0.061863,0.089124,0.143445", \ - "0.048319,0.050189,0.053268,0.059560,0.072642,0.099257,0.152921", \ - "0.064070,0.066379,0.070238,0.077649,0.091401,0.116999,0.169521", \ - "0.081845,0.084542,0.089037,0.097691,0.113831,0.142818,0.194580", \ - "0.102253,0.105274,0.110403,0.120131,0.138369,0.171404,0.228745"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.004868,0.005316,0.006092,0.007645,0.010755,0.016973,0.029403", \ - "0.004868,0.005315,0.006090,0.007647,0.010756,0.016972,0.029401", \ - "0.005958,0.006350,0.007033,0.008394,0.011127,0.017000,0.029403", \ - "0.009102,0.009487,0.010153,0.011443,0.014011,0.019260,0.030199", \ - "0.013811,0.014281,0.015053,0.016526,0.019242,0.024332,0.034537", \ - "0.019812,0.020382,0.021307,0.023062,0.026240,0.031798,0.041908", \ - "0.027036,0.027675,0.028787,0.030866,0.034625,0.041023,0.051894"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.026009,0.027857,0.031031,0.037303,0.049708,0.074271,0.123132", \ - "0.025917,0.027784,0.030980,0.037280,0.049694,0.074263,0.123130", \ - "0.025359,0.027317,0.030639,0.037108,0.049656,0.074262,0.123133", \ - "0.026697,0.028282,0.031090,0.036882,0.049044,0.074206,0.123114", \ - "0.032570,0.034198,0.036996,0.042387,0.052455,0.074426,0.123082", \ - "0.039164,0.040987,0.044079,0.050047,0.061184,0.081271,0.124013", \ - "0.046507,0.048535,0.051972,0.058602,0.070952,0.093126,0.132659"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.009696,0.010225,0.011140,0.012958,0.016577,0.023784,0.038168", \ - "0.011078,0.011622,0.012556,0.014404,0.018060,0.025307,0.039722", \ - "0.014392,0.015040,0.016130,0.018213,0.022121,0.029448,0.043938", \ - "0.017146,0.018070,0.019595,0.022417,0.027430,0.036153,0.051591", \ - "0.017455,0.018738,0.020850,0.024724,0.031498,0.042758,0.061111", \ - "0.014545,0.016226,0.019004,0.024059,0.032856,0.047329,0.070088", \ - "0.008038,0.010149,0.013628,0.019936,0.030895,0.048870,0.076851"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.039285,0.041301,0.044776,0.051693,0.065425,0.092695,0.147035", \ - "0.039812,0.041845,0.045355,0.052324,0.066141,0.093521,0.147978", \ - "0.044375,0.046372,0.049822,0.056708,0.070431,0.097752,0.152222", \ - "0.055910,0.057767,0.061041,0.067629,0.080950,0.107735,0.161597", \ - "0.073493,0.075671,0.079289,0.086290,0.099402,0.125230,0.177999", \ - "0.092859,0.095385,0.099681,0.107856,0.123277,0.151302,0.202874", \ - "0.114659,0.117487,0.122333,0.131637,0.149127,0.181099,0.237202"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.006118,0.006626,0.007497,0.009201,0.012472,0.018787,0.031241", \ - "0.006118,0.006627,0.007499,0.009201,0.012471,0.018787,0.031241", \ - "0.007422,0.007837,0.008566,0.009941,0.012832,0.018810,0.031241", \ - "0.011310,0.011638,0.012237,0.013445,0.015946,0.021118,0.032026", \ - "0.017106,0.017447,0.018042,0.019242,0.021638,0.026440,0.036444", \ - "0.024327,0.024716,0.025363,0.026705,0.029351,0.034346,0.044035", \ - "0.032926,0.033329,0.034064,0.035591,0.038586,0.044185,0.054361"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.030587,0.032423,0.035590,0.041877,0.054334,0.079035,0.128153", \ - "0.030557,0.032396,0.035572,0.041869,0.054331,0.079030,0.128148", \ - "0.030328,0.032212,0.035439,0.041804,0.054316,0.079012,0.128164", \ - "0.030301,0.032026,0.035031,0.041197,0.054020,0.078989,0.128097", \ - "0.035787,0.037400,0.040169,0.045215,0.055926,0.078809,0.128032", \ - "0.042644,0.044422,0.047441,0.053317,0.064308,0.084540,0.128445", \ - "0.050228,0.052237,0.055630,0.062145,0.074345,0.096288,0.136201"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.852918,1.897499,1.916131,1.948049,1.997955,2.082666,2.151534", \ - "1.822719,1.834600,1.880412,1.922793,1.988539,2.065967,2.141008", \ - "1.883823,1.886278,1.890669,1.898160,1.966312,2.026803,2.121187", \ - "2.395195,2.384839,2.351848,2.331438,2.288219,2.209187,2.213902", \ - "3.227872,3.226933,3.194268,3.148332,3.050638,2.898273,2.679750", \ - "4.509411,4.478140,4.464556,4.408610,4.284589,4.029340,3.662595", \ - "6.177618,6.154589,6.155488,6.125382,6.003253,5.718923,5.185437"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("8.804901,8.830771,8.815112,8.867295,8.874154,8.868929,8.852776", \ - "8.628692,8.638745,8.696897,8.710493,8.790216,8.818464,8.730725", \ - "8.514489,8.573600,8.573541,8.612447,8.626174,8.631593,8.571710", \ - "8.553891,8.558426,8.553568,8.535378,8.549560,8.581096,8.508561", \ - "8.792934,8.804973,8.805090,8.816108,8.804912,8.595679,8.695831", \ - "9.452173,9.417556,9.405326,9.427391,9.485657,9.339078,9.223781", \ - "10.995950,10.965550,10.879160,10.805110,10.569840,10.557640,10.046010"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.532985,1.543087,1.601113,1.667189,1.762432,1.861884,1.959351", \ - "1.495390,1.504536,1.558207,1.607740,1.713687,1.811808,1.913573", \ - "1.605210,1.612801,1.606895,1.615925,1.686092,1.765973,1.867948", \ - "2.129796,2.117110,2.114372,2.078172,2.024483,1.964914,1.965951", \ - "2.962701,2.937120,2.931493,2.870860,2.784779,2.633005,2.423171", \ - "4.200889,4.190354,4.148756,4.102943,3.974386,3.735350,3.366557", \ - "5.814661,5.808461,5.780087,5.729849,5.613619,5.333013,4.820749"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("8.065526,8.088352,8.084262,8.103545,8.163605,8.159236,8.072686", \ - "7.896987,7.921435,7.964774,8.001577,8.035499,7.943943,8.107245", \ - "7.798933,7.813652,7.822704,7.863194,7.882652,7.939828,7.799828", \ - "7.778575,7.796566,7.829221,7.843184,7.826474,7.850973,7.776491", \ - "8.032211,8.090729,8.060479,8.051425,8.047302,7.927755,7.815903", \ - "8.618907,8.611391,8.610421,8.659339,8.726980,8.533754,8.411581", \ - "10.107600,10.059260,9.984424,9.905408,9.775468,9.740078,9.426876"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.619378,1.662293,1.673161,1.738887,1.804840,1.886284,1.971471", \ - "1.568081,1.605833,1.626685,1.692236,1.755153,1.845338,1.932645", \ - "1.630018,1.632989,1.642321,1.669261,1.725534,1.797243,1.878439", \ - "2.146433,2.138526,2.109079,2.091544,2.041753,1.953991,1.970264", \ - "3.010974,2.993912,2.938764,2.886328,2.796744,2.622462,2.414919", \ - "4.277996,4.263998,4.224294,4.132839,3.989227,3.740423,3.353111", \ - "5.967831,5.950163,5.918454,5.840411,5.680684,5.358023,4.819401"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("9.655135,9.678970,9.720753,9.711013,9.783503,9.841660,9.787701", \ - "9.546585,9.527578,9.572389,9.630252,9.685544,9.760806,9.743696", \ - "9.430450,9.409428,9.450462,9.471577,9.537959,9.563971,9.558805", \ - "9.408125,9.384600,9.419944,9.430157,9.444768,9.453635,9.469617", \ - "9.667012,9.644214,9.630912,9.645799,9.634154,9.606766,9.500506", \ - "10.150320,10.159030,10.180880,10.240990,10.263370,10.186490,9.902030", \ - "11.493610,11.463180,11.418880,11.390310,11.368710,11.301570,11.023880"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.890838,1.919481,1.929133,1.964093,2.009253,2.084572,2.160318", \ - "1.905687,1.921859,1.929879,1.972088,2.028435,2.103085,2.186260", \ - "1.966716,1.972217,1.985424,1.987527,2.045112,2.117377,2.198461", \ - "2.445536,2.437311,2.418603,2.394027,2.359575,2.286063,2.297141", \ - "3.177896,3.172437,3.146419,3.111800,3.041608,2.908831,2.722448", \ - "4.277497,4.264923,4.250474,4.210917,4.120176,3.917314,3.605232", \ - "5.745146,5.734759,5.730691,5.697060,5.602337,5.385592,4.961652"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("8.729630,8.747346,8.778280,8.805858,8.841303,8.815033,8.745392", \ - "8.603597,8.591622,8.625909,8.666788,8.678334,8.673673,8.654493", \ - "8.480293,8.496216,8.483559,8.539433,8.579271,8.528391,8.504072", \ - "8.479542,8.451698,8.495414,8.507240,8.528396,8.538081,8.533189", \ - "8.682818,8.689325,8.702255,8.678089,8.597447,8.616804,8.655248", \ - "9.280243,9.274317,9.270128,9.345975,9.273998,9.052807,8.871109", \ - "10.578790,10.566000,10.488650,10.453280,10.311080,10.250460,9.883989"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.562225,1.578097,1.620773,1.686328,1.773164,1.865463,1.968806", \ - "1.552918,1.572256,1.605325,1.671198,1.749607,1.857095,1.969088", \ - "1.685651,1.692708,1.704803,1.702703,1.766490,1.849808,1.957395", \ - "2.185395,2.175735,2.169393,2.139368,2.098726,2.039890,2.045190", \ - "2.917063,2.910702,2.871920,2.840242,2.783056,2.651614,2.470068", \ - "3.967875,3.978867,3.956791,3.910245,3.816065,3.637597,3.325810", \ - "5.397205,5.397491,5.380630,5.337946,5.266937,5.046507,4.626130"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("8.007211,8.000633,8.024133,8.058902,8.037990,8.044964,8.068472", \ - "7.853255,7.848330,7.875277,7.923221,7.957928,7.918794,7.984258", \ - "7.729178,7.755870,7.777398,7.802217,7.828388,7.771556,7.887984", \ - "7.717675,7.740016,7.720637,7.746213,7.714211,7.809918,7.818398", \ - "7.953667,7.938813,7.911774,7.916012,7.907195,7.849332,7.848653", \ - "8.427543,8.440398,8.486973,8.526112,8.456730,8.362238,8.163700", \ - "9.693822,9.664256,9.633885,9.575570,9.516929,9.293314,9.023394"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.665724,1.686176,1.690912,1.733629,1.809534,1.886917,1.984645", \ - "1.653727,1.661768,1.675663,1.738655,1.799805,1.891966,1.968409", \ - "1.714355,1.723047,1.726245,1.753341,1.791865,1.878509,1.970922", \ - "2.189888,2.197019,2.166141,2.145125,2.109156,2.036352,2.054852", \ - "2.960420,2.934414,2.911117,2.870070,2.778096,2.658070,2.460111", \ - "4.079185,4.037278,4.030334,3.960324,3.849714,3.639913,3.313238", \ - "5.553491,5.540164,5.501547,5.452224,5.317115,5.071125,4.616019"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("9.611743,9.641257,9.666157,9.694904,9.704517,9.756579,9.670900", \ - "9.474179,9.505038,9.532665,9.580050,9.614914,9.624036,9.588687", \ - "9.373106,9.366291,9.389967,9.431715,9.478346,9.517566,9.478561", \ - "9.315570,9.342648,9.360973,9.378449,9.419354,9.419584,9.520305", \ - "9.500846,9.523306,9.535646,9.529103,9.506129,9.444374,9.534804", \ - "10.046940,10.037610,10.106900,10.143490,10.080600,9.997141,9.749261", \ - "11.151070,11.113340,11.111950,11.095270,11.083640,11.009470,10.588670"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.942447,1.975837,1.989654,2.004375,2.049968,2.104858,2.166365", \ - "1.971352,1.955284,1.980538,2.016702,2.062191,2.128425,2.194987", \ - "1.992436,2.011929,2.000440,2.031665,2.077215,2.137992,2.212884", \ - "2.460600,2.429793,2.432700,2.409255,2.358126,2.280745,2.307567", \ - "3.213823,3.205269,3.161765,3.123003,3.036662,2.911950,2.721021", \ - "4.333539,4.334158,4.319155,4.256984,4.143196,3.927000,3.597956", \ - "5.869317,5.861184,5.829766,5.790756,5.662047,5.411591,4.963266"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("10.355520,10.373490,10.405400,10.421220,10.453190,10.427470,10.404800", \ - "10.213720,10.250880,10.267880,10.320680,10.350070,10.323840,10.316740", \ - "10.110100,10.127470,10.116810,10.155510,10.183870,10.182460,10.214890", \ - "10.058450,10.073340,10.100540,10.125160,10.154800,10.196840,10.277960", \ - "10.278270,10.287620,10.265230,10.281930,10.235990,10.270630,10.312460", \ - "10.862590,10.870100,10.890160,10.936540,10.796590,10.747870,10.612280", \ - "12.010290,12.010150,12.001850,11.964800,11.920500,11.804050,11.536820"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.665724,1.686176,1.690912,1.733629,1.809534,1.886917,1.984645", \ - "1.653727,1.661768,1.675663,1.738655,1.799805,1.891966,1.968409", \ - "1.714355,1.723047,1.726245,1.753341,1.791865,1.878509,1.970922", \ - "2.189888,2.197019,2.166141,2.145125,2.109156,2.036352,2.054852", \ - "2.960420,2.934414,2.911117,2.870070,2.778096,2.658070,2.460111", \ - "4.079185,4.037278,4.030334,3.960324,3.849714,3.639913,3.313238", \ - "5.553491,5.540164,5.501547,5.452224,5.317115,5.071125,4.616019"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("9.611743,9.641257,9.666157,9.694904,9.704517,9.756579,9.670900", \ - "9.474179,9.505038,9.532665,9.580050,9.614914,9.624036,9.588687", \ - "9.373106,9.366291,9.389967,9.431715,9.478346,9.517566,9.478561", \ - "9.315570,9.342648,9.360973,9.378449,9.419354,9.419584,9.520305", \ - "9.500846,9.523306,9.535646,9.529103,9.506129,9.444374,9.534804", \ - "10.046940,10.037610,10.106900,10.143490,10.080600,9.997141,9.749261", \ - "11.151070,11.113340,11.111950,11.095270,11.083640,11.009470,10.588670"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("1.730432,1.733661,1.770494,1.784667,1.843823,1.919906,1.995073", \ - "1.721300,1.726879,1.747615,1.784225,1.832448,1.906644,1.987167", \ - "1.759110,1.764148,1.764744,1.781519,1.819981,1.892816,1.979575", \ - "2.210558,2.205265,2.190149,2.154465,2.107062,2.039906,2.063159", \ - "2.966833,2.954979,2.921206,2.881421,2.788518,2.638038,2.453854", \ - "4.108140,4.118871,4.060797,3.987345,3.872288,3.648397,3.309007", \ - "5.655132,5.640997,5.607500,5.514716,5.371877,5.082586,4.625032"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("11.253610,11.246970,11.273100,11.302820,11.353290,11.426390,11.484880", \ - "11.127880,11.122700,11.162060,11.200780,11.218090,11.323630,11.432240", \ - "10.984240,11.014090,11.040170,11.071240,11.130860,11.222080,11.310040", \ - "10.953180,10.945130,10.967010,10.986090,10.992560,10.979630,11.238330", \ - "11.124280,11.112660,11.129130,11.115930,11.130930,11.057270,11.257810", \ - "11.674170,11.712630,11.743500,11.707260,11.611570,11.500740,11.543750", \ - "12.650900,12.649920,12.649040,12.662100,12.700860,12.536530,12.365230"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.864812,2.906576,2.945677,3.020315,3.125089,3.270695,3.431522", \ - "2.751861,2.767957,2.814828,2.904643,3.027967,3.164419,3.335910", \ - "2.685032,2.717839,2.754793,2.832170,2.926920,3.071785,3.246637", \ - "2.980493,2.961773,2.983572,2.981210,3.043007,3.140574,3.273014", \ - "3.738836,3.740815,3.724531,3.693023,3.643112,3.548743,3.538990", \ - "4.932954,4.909811,4.899387,4.841578,4.720392,4.520423,4.241718", \ - "6.607900,6.594310,6.565738,6.475384,6.326486,6.023255,5.535334"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("10.894260,10.915810,10.903850,10.947860,10.979330,10.922400,10.814430", \ - "10.706410,10.747570,10.770250,10.802480,10.873610,10.949570,10.977570", \ - "10.531290,10.550730,10.585810,10.575080,10.647000,10.730600,10.812610", \ - "10.470000,10.461090,10.490390,10.508690,10.556400,10.597780,10.487820", \ - "10.521650,10.496110,10.523580,10.482890,10.528510,10.561050,10.518000", \ - "10.583310,10.604790,10.650770,10.714400,10.689820,10.729820,10.563660", \ - "11.476990,11.455900,11.426350,11.369450,11.364740,11.448000,11.157260"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.370955,2.395674,2.494675,2.601594,2.751997,2.955461,3.177103", \ - "2.298424,2.327708,2.387485,2.488206,2.653510,2.854021,3.071043", \ - "2.218400,2.243346,2.305587,2.392831,2.547064,2.748927,2.968417", \ - "2.606142,2.609987,2.613509,2.611495,2.682119,2.813469,2.979072", \ - "3.372941,3.374061,3.356242,3.343360,3.305004,3.229520,3.222937", \ - "4.573144,4.546107,4.529583,4.480858,4.364355,4.169996,3.913846", \ - "6.214058,6.172767,6.175653,6.084769,5.933231,5.631656,5.144934"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("10.119850,10.149710,10.174620,10.209420,10.229980,10.181320,10.136130", \ - "9.971932,9.971509,10.004510,10.068030,10.059480,10.197700,10.269170", \ - "9.766507,9.796744,9.824261,9.849835,9.893921,9.988237,10.093130", \ - "9.690162,9.717861,9.741308,9.739749,9.768112,9.755549,9.840167", \ - "9.750448,9.741674,9.761812,9.747554,9.779376,9.803779,9.873478", \ - "9.833273,9.835877,9.887012,9.988249,10.020340,9.992000,9.989498", \ - "10.632520,10.629810,10.607950,10.576810,10.533580,10.513850,10.426300"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.519576,2.590670,2.633909,2.698934,2.819322,2.996603,3.201190", \ - "2.446436,2.457591,2.502419,2.613358,2.733174,2.893230,3.086993", \ - "2.379183,2.416913,2.453117,2.494152,2.637384,2.789248,2.994705", \ - "2.671614,2.681903,2.675684,2.673874,2.731378,2.851155,3.007670", \ - "3.466446,3.432612,3.416823,3.392963,3.335970,3.242440,3.251668", \ - "4.660086,4.642574,4.588636,4.534821,4.401787,4.183113,3.917041", \ - "6.356759,6.318005,6.269796,6.176273,5.984521,5.643544,5.149643"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("11.762750,11.756900,11.781880,11.806920,11.872210,11.923110,11.954570", \ - "11.607810,11.641330,11.672480,11.725300,11.786740,11.789950,11.913780", \ - "11.426220,11.422280,11.451360,11.518690,11.586760,11.587310,11.777230", \ - "11.334960,11.327050,11.352220,11.411580,11.427510,11.536450,11.652340", \ - "11.358690,11.351080,11.370930,11.370430,11.426430,11.391760,11.481530", \ - "11.469460,11.523060,11.572710,11.630590,11.622950,11.570990,11.588430", \ - "12.129000,12.141040,12.139630,12.135710,12.215550,12.071360,12.087680"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.877082,2.889139,2.929095,3.013487,3.123706,3.261206,3.433722", \ - "2.763268,2.807489,2.849137,2.919321,3.030051,3.161115,3.338889", \ - "2.672236,2.690187,2.716997,2.808888,2.929371,3.081101,3.241114", \ - "2.787105,2.816428,2.818091,2.846606,2.919089,3.041659,3.220955", \ - "3.288130,3.280897,3.291617,3.299786,3.293680,3.280646,3.320503", \ - "4.083435,4.092237,4.075092,4.063964,4.019859,3.931518,3.798430", \ - "5.257506,5.243784,5.245555,5.217295,5.148816,4.986761,4.702282"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("12.321640,12.336520,12.321480,12.364240,12.305100,12.371510,12.280640", \ - "12.229920,12.247030,12.235850,12.266020,12.294100,12.344390,12.333750", \ - "12.121920,12.138890,12.127690,12.168720,12.224090,12.202620,12.300430", \ - "12.080770,12.068370,12.096290,12.090510,12.141040,12.123380,12.245570", \ - "12.091170,12.107250,12.093740,12.134650,12.130240,12.096190,12.126250", \ - "12.192480,12.224860,12.284230,12.397220,12.379580,12.337380,12.373970", \ - "12.894550,12.890800,12.890090,12.921380,12.878540,12.984710,12.784050"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.385450,2.442940,2.496705,2.599240,2.755556,2.964665,3.172229", \ - "2.297755,2.328962,2.363219,2.493482,2.661349,2.855857,3.062976", \ - "2.194501,2.242395,2.291341,2.396771,2.549913,2.741687,2.959315", \ - "2.411337,2.415766,2.443509,2.478900,2.547681,2.713830,2.928290", \ - "2.903883,2.914942,2.934270,2.940460,2.956424,2.963703,3.024683", \ - "3.727486,3.724863,3.711218,3.710808,3.677977,3.603514,3.489676", \ - "4.869037,4.853706,4.851933,4.849172,4.781302,4.609513,4.351617"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("11.565590,11.555740,11.574950,11.597110,11.640220,11.512440,11.680360", \ - "11.438830,11.467270,11.490390,11.493060,11.529380,11.575360,11.654040", \ - "11.334200,11.362380,11.385420,11.423780,11.473120,11.519250,11.536260", \ - "11.310580,11.301830,11.322510,11.368440,11.406360,11.416990,11.331490", \ - "11.337810,11.330010,11.349430,11.367410,11.333380,11.419000,11.416350", \ - "11.438230,11.450230,11.541740,11.600570,11.627410,11.553680,11.542360", \ - "12.089930,12.070020,12.113510,12.101840,12.193850,12.241990,11.987400"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("2.557457,2.594995,2.619747,2.718392,2.841455,3.012319,3.196495", \ - "2.457914,2.493023,2.535355,2.602035,2.726680,2.892317,3.099253", \ - "2.353894,2.370237,2.410511,2.491246,2.620980,2.801990,2.983315", \ - "2.476678,2.491373,2.515994,2.533325,2.622669,2.772277,2.944573", \ - "3.002836,2.984822,2.999832,2.991882,3.002539,2.986689,3.044665", \ - "3.803747,3.803801,3.789065,3.765348,3.711080,3.632985,3.501179", \ - "5.012396,4.995408,4.954643,4.909102,4.822782,4.645718,4.363678"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("13.165170,13.193160,13.214550,13.218320,13.200900,13.329630,13.356770", \ - "13.084150,13.113550,13.100740,13.136070,13.170390,13.114100,13.263580", \ - "12.981510,13.010580,12.998790,13.023780,13.061930,13.110350,13.145120", \ - "12.916910,12.945580,12.969590,12.993680,13.031010,12.981790,13.160040", \ - "12.946350,12.938450,12.959800,12.993750,13.022410,13.060710,13.046230", \ - "13.104270,13.162650,13.230440,13.208250,13.226860,13.144700,13.139830", \ - "13.645370,13.669150,13.685600,13.724630,13.843230,13.759260,13.572720"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.587631,0.587560,0.582749,0.574307,0.573644,0.580661,0.590836", \ - "0.552210,0.547627,0.562795,0.578896,0.592990,0.630303,0.661477", \ - "0.600073,0.586211,0.589489,0.600725,0.612230,0.660150,0.703271", \ - "1.088553,1.064374,1.026393,0.954222,0.856749,0.784275,0.786825", \ - "1.905731,1.872092,1.824605,1.718700,1.557039,1.317991,1.080348", \ - "3.078409,3.046358,3.018786,2.907533,2.702409,2.320971,1.854861", \ - "4.606409,4.590953,4.560670,4.482555,4.271841,3.849545,3.145470"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("5.867207,5.851219,5.897509,5.934355,5.996151,5.933963,5.967809", \ - "5.641949,5.661461,5.714608,5.765377,5.784294,5.765085,5.890769", \ - "5.654168,5.672525,5.662592,5.685685,5.695597,5.634032,5.845130", \ - "6.165966,6.125526,6.134552,6.064596,6.063992,5.881802,5.898589", \ - "6.969816,7.019991,7.059421,6.970685,6.823404,6.656123,6.472176", \ - "8.199091,8.176093,8.161161,8.118883,8.043903,7.809079,7.413758", \ - "10.121960,10.077990,10.021260,9.884298,9.690653,9.434167,8.908025"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.651963,0.652695,0.640349,0.615226,0.600770,0.585401,0.594751", \ - "0.616947,0.613821,0.616455,0.621508,0.624585,0.635379,0.659878", \ - "0.666850,0.653992,0.651761,0.657620,0.665028,0.687445,0.726680", \ - "1.115054,1.095234,1.056225,1.004477,0.906909,0.832990,0.827474", \ - "1.837209,1.820643,1.773849,1.683337,1.546001,1.337462,1.112751", \ - "2.873657,2.872404,2.825983,2.741941,2.575013,2.265372,1.832793", \ - "4.232946,4.232910,4.204725,4.160324,3.993171,3.645033,3.007506"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("5.770493,5.804148,5.833678,5.870992,5.905340,5.932818,5.949344", \ - "5.584359,5.621455,5.658189,5.709634,5.770184,5.831477,5.881431", \ - "5.582129,5.593799,5.611917,5.629222,5.671761,5.711227,5.782023", \ - "6.057914,6.031846,6.025641,6.001055,5.925966,5.894341,5.900360", \ - "6.952018,6.929751,6.885966,6.801880,6.653792,6.537123,6.236211", \ - "7.981546,7.991311,7.965435,7.975889,7.885366,7.542637,7.265980", \ - "9.734658,9.670766,9.613987,9.500109,9.360436,9.099785,8.591612"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.614951,0.603323,0.608127,0.591069,0.585104,0.582229,0.593608", \ - "0.581147,0.578819,0.595889,0.598000,0.618847,0.632811,0.659392", \ - "0.631127,0.637926,0.639974,0.633726,0.652098,0.691239,0.729169", \ - "1.068152,1.050594,1.023868,0.964686,0.874530,0.830927,0.823695", \ - "1.846679,1.797679,1.769954,1.679255,1.527375,1.319504,1.108875", \ - "2.966537,2.915351,2.868569,2.767767,2.568905,2.247272,1.817407", \ - "4.421333,4.373371,4.345727,4.220223,4.030321,3.637254,2.993914"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("7.419968,7.452257,7.480638,7.476701,7.500865,7.480127,7.638434", \ - "7.263806,7.262838,7.316755,7.366520,7.388049,7.388601,7.595551", \ - "7.204621,7.196456,7.218690,7.268899,7.307275,7.389645,7.474199", \ - "7.592517,7.578320,7.581567,7.550406,7.475587,7.452651,7.494588", \ - "8.435835,8.404832,8.387934,8.338770,8.251823,8.145020,7.914503", \ - "9.492351,9.487991,9.494099,9.563163,9.413861,9.179361,8.700484", \ - "11.090600,11.077810,11.055300,10.977990,10.933000,10.653270,10.083990"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.589393,0.583991,0.578621,0.582701,0.580894,0.584365,0.597008", \ - "0.540300,0.542385,0.553416,0.567539,0.594920,0.632867,0.663238", \ - "0.454083,0.451734,0.464971,0.489145,0.544200,0.604071,0.669847", \ - "0.719292,0.717551,0.704612,0.679652,0.641378,0.609879,0.671456", \ - "1.262986,1.243855,1.207955,1.178255,1.093117,0.959396,0.808826", \ - "2.102049,2.091145,2.036832,2.010385,1.887084,1.667762,1.359265", \ - "3.194691,3.204594,3.172750,3.141465,3.012671,2.768453,2.291848"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("7.289457,7.311193,7.304409,7.367936,7.393497,7.316793,7.468486", \ - "7.133671,7.159030,7.203655,7.238338,7.287803,7.187639,7.378802", \ - "7.171187,7.190072,7.179934,7.177124,7.250164,7.129833,7.318636", \ - "7.667829,7.632547,7.651239,7.624924,7.552882,7.418527,7.484300", \ - "8.581865,8.588357,8.544662,8.467959,8.385421,8.173712,7.971992", \ - "9.714709,9.685596,9.688217,9.711917,9.691889,9.303893,9.068468", \ - "11.516680,11.494450,11.479390,11.412840,11.298210,11.108410,10.600470"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.655812,0.643975,0.631959,0.617089,0.601820,0.592847,0.596377", \ - "0.604339,0.602233,0.605991,0.606181,0.620581,0.642298,0.666469", \ - "0.521532,0.531601,0.529263,0.556272,0.587768,0.637958,0.694103", \ - "0.764964,0.758273,0.753910,0.730683,0.699095,0.666685,0.714807", \ - "1.248123,1.216407,1.199861,1.184357,1.117868,1.004217,0.856591", \ - "1.959591,1.984337,1.961030,1.908732,1.823362,1.652311,1.378465", \ - "2.973337,2.959641,2.942896,2.910062,2.826230,2.638114,2.234642"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("7.250315,7.277377,7.262253,7.313281,7.325085,7.372992,7.382037", \ - "7.101997,7.096945,7.123974,7.151034,7.218817,7.250805,7.318884", \ - "7.101464,7.125920,7.106812,7.138042,7.191341,7.206342,7.263618", \ - "7.576243,7.554519,7.556752,7.521305,7.446564,7.426907,7.401642", \ - "8.450822,8.418991,8.400098,8.352371,8.265123,8.016942,7.849315", \ - "9.541644,9.535505,9.541364,9.613702,9.471393,9.084819,8.705693", \ - "11.156810,11.149500,11.138240,11.101160,11.045080,10.800800,10.143810"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("0.619498,0.613520,0.610883,0.603574,0.588045,0.585331,0.592461", \ - "0.558286,0.577259,0.586013,0.598644,0.612266,0.634891,0.667454", \ - "0.494482,0.491219,0.511341,0.539944,0.574007,0.639173,0.695750", \ - "0.746069,0.733056,0.727502,0.704752,0.662951,0.662325,0.709421", \ - "1.254536,1.239556,1.221941,1.176520,1.101343,0.986094,0.857597", \ - "2.030162,2.015899,2.007156,1.937177,1.828686,1.639701,1.363361", \ - "3.110391,3.077227,3.063824,2.998034,2.861432,2.648196,2.224388"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); - values ("8.849592,8.878002,8.900414,8.912026,8.971585,8.892979,8.963477", \ - "8.719252,8.749920,8.776345,8.805966,8.846721,8.945538,8.987632", \ - "8.732958,8.724004,8.744790,8.770107,8.832478,8.764359,8.836189", \ - "9.125850,9.109566,9.119272,9.090463,9.114399,9.081375,8.993263", \ - "9.955062,9.930559,9.920777,9.888376,9.788530,9.684010,9.557299", \ - "11.078490,11.105620,11.118310,11.106750,10.944020,10.669130,10.478840", \ - "12.621410,12.627170,12.632860,12.625480,12.555270,12.350530,11.902260"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI221_X4 - Cell Description : Combinational cell (AOI221_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI221_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 131.584293; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 94.684920; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 115.996320; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 95.288492; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 132.067870; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 115.996210; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 137.304640; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 116.599673; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 146.686650; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 95.288382; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 116.599672; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 95.892945; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 146.686540; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 126.855520; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 141.555150; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 141.555810; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 153.796500; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 111.208680; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 125.860570; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 125.861560; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 139.111830; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 125.829000; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 140.480780; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 140.481880; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 153.732150; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 125.830100; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 140.481990; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 140.481660; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 153.732150; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 138.988190; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 153.734020; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 153.734130; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 168.293400; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.649091; - fall_capacitance : 1.470067; - rise_capacitance : 1.649091; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.557194; - fall_capacitance : 1.547542; - rise_capacitance : 1.557194; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.649664; - fall_capacitance : 1.571596; - rise_capacitance : 1.649664; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.600832; - fall_capacitance : 1.359082; - rise_capacitance : 1.600832; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.681052; - fall_capacitance : 1.370970; - rise_capacitance : 1.681052; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "!(!(!(((C1 & C2) | A) | (B1 & B2))))"; - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033314,0.037217,0.040444,0.045953,0.055765,0.074382,0.111210", \ - "0.034835,0.038739,0.041966,0.047475,0.057287,0.075904,0.112734", \ - "0.040327,0.044230,0.047456,0.052964,0.062776,0.081392,0.118221", \ - "0.047769,0.051675,0.054908,0.060426,0.070244,0.088863,0.125693", \ - "0.053414,0.057345,0.060596,0.066125,0.075961,0.094583,0.131414", \ - "0.056615,0.060631,0.063930,0.069503,0.079356,0.097981,0.134798", \ - "0.056836,0.061010,0.064406,0.070060,0.079903,0.098539,0.135343"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.070662,0.076118,0.080873,0.090039,0.108315,0.144817,0.217698", \ - "0.071831,0.077286,0.082042,0.091208,0.109482,0.145987,0.218865", \ - "0.077186,0.082641,0.087397,0.096562,0.114836,0.151341,0.224220", \ - "0.087404,0.092859,0.097615,0.106778,0.125050,0.161551,0.234430", \ - "0.102503,0.108042,0.112804,0.121943,0.140185,0.176674,0.249550", \ - "0.120233,0.125980,0.130792,0.139922,0.158102,0.194555,0.267406", \ - "0.141033,0.147015,0.151910,0.161036,0.179190,0.215597,0.288440"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003931,0.005747,0.007546,0.011126,0.018532,0.034073,0.065948", \ - "0.003932,0.005747,0.007546,0.011126,0.018532,0.034073,0.065947", \ - "0.003934,0.005748,0.007547,0.011126,0.018532,0.034073,0.065947", \ - "0.004004,0.005805,0.007594,0.011157,0.018545,0.034076,0.065947", \ - "0.004179,0.005940,0.007700,0.011226,0.018579,0.034086,0.065951", \ - "0.004529,0.006218,0.007925,0.011379,0.018654,0.034105,0.065952", \ - "0.005054,0.006680,0.008318,0.011663,0.018805,0.034147,0.065957"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005424,0.008475,0.012186,0.020458,0.037661,0.072241,0.141510", \ - "0.005424,0.008475,0.012187,0.020458,0.037660,0.072243,0.141499", \ - "0.005424,0.008476,0.012187,0.020460,0.037659,0.072247,0.141507", \ - "0.005424,0.008477,0.012188,0.020456,0.037660,0.072259,0.141508", \ - "0.005619,0.008620,0.012259,0.020481,0.037666,0.072247,0.141499", \ - "0.005996,0.008972,0.012462,0.020551,0.037688,0.072260,0.141514", \ - "0.006408,0.009402,0.012733,0.020649,0.037718,0.072275,0.141515"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032228,0.036134,0.039363,0.044873,0.054686,0.073303,0.110132", \ - "0.033737,0.037643,0.040871,0.046382,0.056194,0.074811,0.111641", \ - "0.039205,0.043109,0.046336,0.051845,0.061657,0.080274,0.117103", \ - "0.046209,0.050116,0.053349,0.058869,0.068690,0.087308,0.124137", \ - "0.051329,0.055263,0.058515,0.064049,0.073876,0.092498,0.129327", \ - "0.053881,0.057910,0.061217,0.066801,0.076654,0.095279,0.132095", \ - "0.053327,0.057527,0.060940,0.066616,0.076470,0.095100,0.131899"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.078517,0.084133,0.088921,0.098069,0.116312,0.152798,0.225677", \ - "0.079600,0.085217,0.090004,0.099153,0.117396,0.153886,0.226759", \ - "0.084752,0.090370,0.095158,0.104305,0.122549,0.159037,0.231911", \ - "0.094002,0.099620,0.104407,0.113554,0.131795,0.168283,0.241158", \ - "0.107704,0.113381,0.118176,0.127296,0.145498,0.181965,0.254834", \ - "0.124456,0.130315,0.135167,0.144297,0.162422,0.198853,0.271702", \ - "0.144863,0.150917,0.155849,0.164992,0.183113,0.219545,0.292387"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003937,0.005751,0.007550,0.011129,0.018534,0.034074,0.065950", \ - "0.003936,0.005750,0.007550,0.011128,0.018533,0.034073,0.065949", \ - "0.003936,0.005750,0.007549,0.011129,0.018533,0.034074,0.065947", \ - "0.004010,0.005810,0.007598,0.011161,0.018548,0.034076,0.065947", \ - "0.004198,0.005954,0.007712,0.011235,0.018584,0.034086,0.065953", \ - "0.004575,0.006256,0.007956,0.011400,0.018665,0.034108,0.065953", \ - "0.005132,0.006750,0.008381,0.011709,0.018829,0.034154,0.065956"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005722,0.008735,0.012329,0.020510,0.037679,0.072267,0.141497", \ - "0.005723,0.008736,0.012331,0.020507,0.037679,0.072259,0.141499", \ - "0.005724,0.008736,0.012329,0.020508,0.037682,0.072266,0.141508", \ - "0.005723,0.008737,0.012330,0.020508,0.037682,0.072257,0.141499", \ - "0.005861,0.008847,0.012390,0.020527,0.037684,0.072261,0.141500", \ - "0.006176,0.009166,0.012583,0.020592,0.037701,0.072285,0.141507", \ - "0.006527,0.009540,0.012831,0.020685,0.037725,0.072289,0.141513"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033368,0.037284,0.040519,0.046037,0.055855,0.074474,0.111305", \ - "0.034875,0.038792,0.042026,0.047544,0.057363,0.075981,0.112809", \ - "0.040355,0.044270,0.047503,0.053020,0.062838,0.081457,0.118285", \ - "0.047805,0.051728,0.054970,0.060499,0.070325,0.088945,0.125776", \ - "0.053496,0.057469,0.060739,0.066299,0.076140,0.094761,0.131588", \ - "0.056666,0.060757,0.064097,0.069713,0.079589,0.098214,0.135023", \ - "0.056761,0.061039,0.064499,0.070222,0.080115,0.098753,0.135543"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.085733,0.091408,0.096207,0.105344,0.123574,0.160049,0.232921", \ - "0.086882,0.092556,0.097354,0.106493,0.124719,0.161197,0.234067", \ - "0.092023,0.097698,0.102496,0.111634,0.129864,0.166339,0.239215", \ - "0.101231,0.106903,0.111702,0.120840,0.139065,0.175542,0.248413", \ - "0.115365,0.121066,0.125868,0.134994,0.153183,0.189646,0.262509", \ - "0.133021,0.138897,0.143753,0.152884,0.171057,0.207480,0.280334", \ - "0.154200,0.160264,0.165197,0.174326,0.192532,0.228870,0.301703"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003993,0.005795,0.007585,0.011152,0.018545,0.034077,0.065947", \ - "0.003991,0.005794,0.007584,0.011151,0.018544,0.034077,0.065947", \ - "0.003993,0.005795,0.007585,0.011151,0.018545,0.034077,0.065946", \ - "0.004092,0.005873,0.007648,0.011193,0.018563,0.034081,0.065948", \ - "0.004337,0.006065,0.007802,0.011295,0.018613,0.034094,0.065953", \ - "0.004784,0.006433,0.008104,0.011505,0.018719,0.034123,0.065954", \ - "0.005384,0.006981,0.008584,0.011864,0.018918,0.034182,0.065960"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005835,0.008834,0.012385,0.020525,0.037680,0.072274,0.141516", \ - "0.005835,0.008833,0.012386,0.020524,0.037679,0.072270,0.141503", \ - "0.005836,0.008834,0.012387,0.020524,0.037685,0.072257,0.141498", \ - "0.005836,0.008835,0.012387,0.020524,0.037680,0.072274,0.141503", \ - "0.005901,0.008889,0.012415,0.020537,0.037682,0.072267,0.141502", \ - "0.006220,0.009201,0.012605,0.020600,0.037700,0.072279,0.141508", \ - "0.006551,0.009564,0.012845,0.020691,0.037728,0.072288,0.141518"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033321,0.037226,0.040452,0.045961,0.055773,0.074390,0.111222", \ - "0.034872,0.038777,0.042003,0.047512,0.057324,0.075941,0.112771", \ - "0.040401,0.044303,0.047530,0.053038,0.062850,0.081466,0.118295", \ - "0.047843,0.051751,0.054982,0.060500,0.070318,0.088937,0.125768", \ - "0.053370,0.057300,0.060550,0.066081,0.075918,0.094540,0.131370", \ - "0.056207,0.060224,0.063524,0.069097,0.078943,0.097566,0.134385", \ - "0.055760,0.059939,0.063339,0.068994,0.078825,0.097458,0.134260"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.084211,0.089945,0.094765,0.103908,0.122131,0.158613,0.231488", \ - "0.085095,0.090828,0.095648,0.104791,0.123013,0.159497,0.232371", \ - "0.089887,0.095621,0.100442,0.109585,0.127807,0.164286,0.237164", \ - "0.099539,0.105274,0.110095,0.119237,0.137460,0.173940,0.246811", \ - "0.114782,0.120552,0.125378,0.134510,0.152708,0.189166,0.262036", \ - "0.133838,0.139816,0.144715,0.153818,0.171965,0.208396,0.281250", \ - "0.156457,0.162660,0.167669,0.176828,0.194998,0.231351,0.304184"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003933,0.005747,0.007546,0.011126,0.018532,0.034073,0.065947", \ - "0.003933,0.005747,0.007546,0.011126,0.018531,0.034073,0.065949", \ - "0.003933,0.005748,0.007548,0.011126,0.018532,0.034073,0.065946", \ - "0.004003,0.005804,0.007594,0.011157,0.018545,0.034076,0.065948", \ - "0.004180,0.005940,0.007700,0.011226,0.018580,0.034085,0.065952", \ - "0.004534,0.006223,0.007929,0.011382,0.018656,0.034105,0.065952", \ - "0.005071,0.006695,0.008332,0.011673,0.018810,0.034148,0.065957"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005921,0.008930,0.012449,0.020551,0.037692,0.072272,0.141516", \ - "0.005918,0.008930,0.012449,0.020550,0.037690,0.072280,0.141520", \ - "0.005921,0.008930,0.012449,0.020554,0.037691,0.072279,0.141523", \ - "0.005922,0.008930,0.012448,0.020554,0.037697,0.072279,0.141511", \ - "0.006004,0.009003,0.012489,0.020566,0.037699,0.072289,0.141513", \ - "0.006383,0.009390,0.012733,0.020653,0.037720,0.072281,0.141529", \ - "0.006791,0.009847,0.013057,0.020779,0.037755,0.072299,0.141524"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.032236,0.036142,0.039370,0.044881,0.054694,0.073310,0.110140", \ - "0.033775,0.037681,0.040909,0.046420,0.056232,0.074849,0.111680", \ - "0.039278,0.043181,0.046408,0.051917,0.061729,0.080346,0.117175", \ - "0.046285,0.050193,0.053426,0.058946,0.068767,0.087385,0.124214", \ - "0.051293,0.055226,0.058480,0.064009,0.073844,0.092466,0.129298", \ - "0.053493,0.057523,0.060831,0.066418,0.076270,0.094894,0.131711", \ - "0.052300,0.056506,0.059921,0.065600,0.075447,0.094079,0.130880"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.091868,0.097752,0.102623,0.111764,0.129958,0.166414,0.239281", \ - "0.092668,0.098552,0.103423,0.112563,0.130758,0.167213,0.240082", \ - "0.097320,0.103204,0.108074,0.117215,0.135410,0.171871,0.244734", \ - "0.106210,0.112095,0.116966,0.126106,0.144299,0.180755,0.253620", \ - "0.120059,0.125971,0.130848,0.139958,0.158128,0.194572,0.267425", \ - "0.137890,0.143975,0.148926,0.158063,0.176177,0.212579,0.285421", \ - "0.159941,0.166209,0.171261,0.180418,0.198596,0.234893,0.307715"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003936,0.005751,0.007550,0.011129,0.018533,0.034074,0.065949", \ - "0.003935,0.005751,0.007550,0.011128,0.018533,0.034073,0.065949", \ - "0.003935,0.005750,0.007549,0.011128,0.018533,0.034074,0.065947", \ - "0.004011,0.005810,0.007598,0.011161,0.018548,0.034076,0.065947", \ - "0.004198,0.005954,0.007711,0.011235,0.018583,0.034087,0.065951", \ - "0.004580,0.006260,0.007959,0.011403,0.018666,0.034108,0.065951", \ - "0.005147,0.006764,0.008392,0.011718,0.018834,0.034155,0.065957"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006179,0.009196,0.012615,0.020613,0.037709,0.072293,0.141525", \ - "0.006180,0.009195,0.012614,0.020612,0.037712,0.072288,0.141521", \ - "0.006179,0.009195,0.012614,0.020615,0.037711,0.072281,0.141521", \ - "0.006180,0.009197,0.012615,0.020611,0.037707,0.072292,0.141532", \ - "0.006240,0.009252,0.012647,0.020623,0.037712,0.072284,0.141529", \ - "0.006561,0.009594,0.012878,0.020709,0.037739,0.072301,0.141520", \ - "0.006901,0.009983,0.013162,0.020824,0.037767,0.072306,0.141525"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033375,0.037292,0.040527,0.046045,0.055863,0.074482,0.111312", \ - "0.034913,0.038830,0.042065,0.047583,0.057400,0.076019,0.112847", \ - "0.040426,0.044341,0.047575,0.053092,0.062909,0.081528,0.118356", \ - "0.047879,0.051803,0.055045,0.060573,0.070400,0.089019,0.125849", \ - "0.053461,0.057432,0.060703,0.066267,0.076097,0.094718,0.131544", \ - "0.056296,0.060388,0.063728,0.069346,0.079215,0.097839,0.134649", \ - "0.055760,0.060043,0.063507,0.069236,0.079120,0.097754,0.134542"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.100673,0.106627,0.111523,0.120658,0.138833,0.175277,0.248136", \ - "0.101553,0.107508,0.112404,0.121536,0.139709,0.176157,0.249012", \ - "0.106188,0.112142,0.117038,0.126175,0.144348,0.180791,0.253650", \ - "0.115041,0.120995,0.125890,0.135025,0.153201,0.189645,0.262503", \ - "0.129076,0.135040,0.139938,0.149046,0.167221,0.203652,0.276512", \ - "0.147873,0.153985,0.158948,0.168091,0.186197,0.222585,0.295419", \ - "0.170715,0.177002,0.182063,0.191211,0.209439,0.245772,0.318587"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003991,0.005795,0.007585,0.011151,0.018545,0.034077,0.065950", \ - "0.003991,0.005794,0.007584,0.011151,0.018545,0.034077,0.065947", \ - "0.003991,0.005794,0.007585,0.011152,0.018544,0.034077,0.065949", \ - "0.004090,0.005873,0.007648,0.011193,0.018563,0.034081,0.065949", \ - "0.004337,0.006066,0.007802,0.011295,0.018613,0.034094,0.065953", \ - "0.004792,0.006438,0.008109,0.011508,0.018721,0.034123,0.065954", \ - "0.005399,0.006995,0.008597,0.011875,0.018925,0.034184,0.065959"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006313,0.009334,0.012702,0.020645,0.037720,0.072289,0.141519", \ - "0.006315,0.009334,0.012702,0.020643,0.037715,0.072280,0.141522", \ - "0.006315,0.009333,0.012702,0.020644,0.037723,0.072295,0.141518", \ - "0.006313,0.009335,0.012702,0.020643,0.037722,0.072284,0.141519", \ - "0.006338,0.009354,0.012714,0.020648,0.037722,0.072284,0.141532", \ - "0.006622,0.009658,0.012920,0.020728,0.037742,0.072295,0.141518", \ - "0.006949,0.010033,0.013194,0.020835,0.037772,0.072308,0.141526"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034453,0.038367,0.041601,0.047118,0.056935,0.075553,0.112383", \ - "0.036005,0.039919,0.043153,0.048670,0.058487,0.077105,0.113933", \ - "0.041540,0.045453,0.048686,0.054203,0.064020,0.082638,0.119466", \ - "0.049382,0.053304,0.056546,0.062072,0.071897,0.090516,0.127346", \ - "0.055471,0.059437,0.062705,0.068252,0.078100,0.096722,0.133549", \ - "0.058891,0.062970,0.066302,0.071907,0.081773,0.100398,0.137208", \ - "0.059062,0.063323,0.066770,0.072476,0.082347,0.100987,0.137779"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.091862,0.097656,0.102491,0.111630,0.129833,0.166301,0.239172", \ - "0.092817,0.098608,0.103444,0.112578,0.130785,0.167250,0.240122", \ - "0.097593,0.103385,0.108222,0.117357,0.135565,0.172033,0.244908", \ - "0.107210,0.113003,0.117839,0.126975,0.145182,0.181648,0.254518", \ - "0.122727,0.128536,0.133374,0.142509,0.160687,0.197145,0.270016", \ - "0.142903,0.148893,0.153795,0.162914,0.181053,0.217467,0.290323", \ - "0.166551,0.172758,0.177767,0.186898,0.205126,0.241469,0.314308"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003988,0.005792,0.007582,0.011150,0.018543,0.034076,0.065946", \ - "0.003989,0.005791,0.007582,0.011150,0.018543,0.034076,0.065946", \ - "0.003990,0.005793,0.007583,0.011150,0.018543,0.034076,0.065949", \ - "0.004083,0.005866,0.007642,0.011188,0.018560,0.034080,0.065950", \ - "0.004313,0.006047,0.007787,0.011286,0.018608,0.034093,0.065952", \ - "0.004739,0.006395,0.008073,0.011483,0.018709,0.034119,0.065951", \ - "0.005323,0.006922,0.008533,0.011826,0.018898,0.034176,0.065957"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006035,0.009041,0.012513,0.020575,0.037697,0.072275,0.141525", \ - "0.006036,0.009038,0.012513,0.020574,0.037703,0.072277,0.141523", \ - "0.006033,0.009039,0.012513,0.020578,0.037700,0.072279,0.141512", \ - "0.006036,0.009041,0.012514,0.020575,0.037699,0.072275,0.141522", \ - "0.006069,0.009070,0.012532,0.020579,0.037701,0.072294,0.141514", \ - "0.006412,0.009416,0.012750,0.020659,0.037724,0.072285,0.141522", \ - "0.006807,0.009860,0.013063,0.020780,0.037756,0.072300,0.141528"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.033375,0.037292,0.040527,0.046045,0.055863,0.074482,0.111312", \ - "0.034913,0.038830,0.042065,0.047583,0.057400,0.076019,0.112847", \ - "0.040426,0.044341,0.047575,0.053092,0.062909,0.081528,0.118356", \ - "0.047879,0.051803,0.055045,0.060573,0.070400,0.089019,0.125849", \ - "0.053461,0.057432,0.060703,0.066267,0.076097,0.094718,0.131544", \ - "0.056296,0.060388,0.063728,0.069346,0.079215,0.097839,0.134649", \ - "0.055760,0.060043,0.063507,0.069236,0.079120,0.097754,0.134542"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.100673,0.106627,0.111523,0.120658,0.138833,0.175277,0.248136", \ - "0.101553,0.107508,0.112404,0.121536,0.139709,0.176157,0.249012", \ - "0.106188,0.112142,0.117038,0.126175,0.144348,0.180791,0.253650", \ - "0.115041,0.120995,0.125890,0.135025,0.153201,0.189645,0.262503", \ - "0.129076,0.135040,0.139938,0.149046,0.167221,0.203652,0.276512", \ - "0.147873,0.153985,0.158948,0.168091,0.186197,0.222585,0.295419", \ - "0.170715,0.177002,0.182063,0.191211,0.209439,0.245772,0.318587"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.003991,0.005795,0.007585,0.011151,0.018545,0.034077,0.065950", \ - "0.003991,0.005794,0.007584,0.011151,0.018545,0.034077,0.065947", \ - "0.003991,0.005794,0.007585,0.011152,0.018544,0.034077,0.065949", \ - "0.004090,0.005873,0.007648,0.011193,0.018563,0.034081,0.065949", \ - "0.004337,0.006066,0.007802,0.011295,0.018613,0.034094,0.065953", \ - "0.004792,0.006438,0.008109,0.011508,0.018721,0.034123,0.065954", \ - "0.005399,0.006995,0.008597,0.011875,0.018925,0.034184,0.065959"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006313,0.009334,0.012702,0.020645,0.037720,0.072289,0.141519", \ - "0.006315,0.009334,0.012702,0.020643,0.037715,0.072280,0.141522", \ - "0.006315,0.009333,0.012702,0.020644,0.037723,0.072295,0.141518", \ - "0.006313,0.009335,0.012702,0.020643,0.037722,0.072284,0.141519", \ - "0.006338,0.009354,0.012714,0.020648,0.037722,0.072284,0.141532", \ - "0.006622,0.009658,0.012920,0.020728,0.037742,0.072295,0.141518", \ - "0.006949,0.010033,0.013194,0.020835,0.037772,0.072308,0.141526"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.034511,0.038439,0.041683,0.047210,0.057036,0.075655,0.112485", \ - "0.036048,0.039976,0.043220,0.048747,0.058573,0.077194,0.114020", \ - "0.041568,0.045495,0.048738,0.054264,0.064090,0.082709,0.119539", \ - "0.049414,0.053356,0.056610,0.062150,0.071984,0.090605,0.127434", \ - "0.055534,0.059539,0.062830,0.068414,0.078258,0.096882,0.133704", \ - "0.058939,0.063085,0.066458,0.072109,0.082000,0.100629,0.137433", \ - "0.059032,0.063378,0.066883,0.072659,0.082580,0.101226,0.138009"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.109563,0.115589,0.120513,0.129647,0.147798,0.184228,0.257088", \ - "0.110503,0.116530,0.121454,0.130586,0.148745,0.185173,0.258029", \ - "0.115136,0.121163,0.126087,0.135219,0.153375,0.189804,0.262661", \ - "0.123942,0.129969,0.134894,0.144026,0.162182,0.198610,0.271470", \ - "0.138018,0.144047,0.148972,0.158114,0.176244,0.212671,0.285528", \ - "0.157611,0.163760,0.168739,0.177900,0.196029,0.232403,0.305220", \ - "0.181260,0.187578,0.192654,0.201818,0.220036,0.256357,0.329159"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004057,0.005846,0.007626,0.011180,0.018559,0.034081,0.065946", \ - "0.004056,0.005846,0.007625,0.011180,0.018559,0.034081,0.065948", \ - "0.004057,0.005847,0.007627,0.011180,0.018559,0.034081,0.065948", \ - "0.004177,0.005942,0.007703,0.011230,0.018581,0.034086,0.065949", \ - "0.004475,0.006179,0.007895,0.011360,0.018646,0.034103,0.065954", \ - "0.004981,0.006605,0.008251,0.011612,0.018778,0.034140,0.065954", \ - "0.005618,0.007198,0.008781,0.012019,0.019011,0.034216,0.065963"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006455,0.009479,0.012799,0.020680,0.037727,0.072296,0.141529", \ - "0.006456,0.009480,0.012797,0.020680,0.037726,0.072297,0.141532", \ - "0.006456,0.009481,0.012799,0.020679,0.037728,0.072294,0.141535", \ - "0.006455,0.009480,0.012798,0.020680,0.037729,0.072288,0.141520", \ - "0.006464,0.009488,0.012803,0.020681,0.037733,0.072298,0.141529", \ - "0.006699,0.009738,0.012972,0.020745,0.037742,0.072293,0.141527", \ - "0.007014,0.010104,0.013245,0.020859,0.037780,0.072313,0.141525"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.048023,0.052066,0.055388,0.061006,0.070900,0.089548,0.126371", \ - "0.049242,0.053285,0.056607,0.062224,0.072118,0.090765,0.127590", \ - "0.054167,0.058208,0.061530,0.067147,0.077040,0.095686,0.132510", \ - "0.063915,0.067955,0.071274,0.076888,0.086780,0.105426,0.142250", \ - "0.073825,0.077893,0.081232,0.086872,0.096781,0.115437,0.152257", \ - "0.081826,0.085970,0.089356,0.094995,0.104818,0.123476,0.160285", \ - "0.087400,0.091688,0.095163,0.100907,0.110602,0.129264,0.166062"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.091535,0.097268,0.102086,0.111229,0.129451,0.165931,0.238803", \ - "0.092905,0.098638,0.103458,0.112601,0.130824,0.167303,0.240177", \ - "0.097947,0.103680,0.108500,0.117644,0.135867,0.172348,0.245217", \ - "0.106430,0.112164,0.116984,0.126127,0.144350,0.180828,0.253699", \ - "0.118043,0.123800,0.128624,0.137755,0.155953,0.192427,0.265296", \ - "0.130990,0.136909,0.141789,0.150903,0.169035,0.205484,0.278340", \ - "0.145883,0.151985,0.156947,0.166073,0.184139,0.220531,0.293384"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004434,0.006185,0.007928,0.011416,0.018706,0.034142,0.065963", \ - "0.004433,0.006184,0.007927,0.011416,0.018706,0.034141,0.065961", \ - "0.004429,0.006181,0.007924,0.011413,0.018704,0.034141,0.065960", \ - "0.004434,0.006183,0.007926,0.011413,0.018703,0.034139,0.065963", \ - "0.004596,0.006318,0.008038,0.011492,0.018745,0.034156,0.065965", \ - "0.004910,0.006578,0.008254,0.011645,0.018826,0.034177,0.065969", \ - "0.005396,0.007011,0.008629,0.011921,0.018980,0.034227,0.065973"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005919,0.008930,0.012449,0.020553,0.037690,0.072284,0.141525", \ - "0.005920,0.008929,0.012448,0.020552,0.037691,0.072281,0.141521", \ - "0.005921,0.008930,0.012449,0.020553,0.037696,0.072280,0.141516", \ - "0.005921,0.008930,0.012449,0.020552,0.037690,0.072285,0.141525", \ - "0.005973,0.008975,0.012473,0.020559,0.037692,0.072273,0.141513", \ - "0.006263,0.009273,0.012659,0.020626,0.037712,0.072280,0.141522", \ - "0.006590,0.009635,0.012907,0.020726,0.037745,0.072297,0.141529"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045428,0.049482,0.052812,0.058437,0.068338,0.086989,0.123811", \ - "0.046666,0.050720,0.054050,0.059675,0.069575,0.088227,0.125049", \ - "0.051708,0.055757,0.059084,0.064708,0.074606,0.093257,0.130080", \ - "0.061319,0.065363,0.068686,0.074307,0.084204,0.102852,0.139676", \ - "0.070575,0.074650,0.077995,0.083621,0.093531,0.112187,0.149012", \ - "0.077776,0.081942,0.085338,0.090992,0.100846,0.119504,0.156315", \ - "0.082427,0.086748,0.090247,0.096022,0.105754,0.124416,0.161211"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.099226,0.105109,0.109980,0.119120,0.137315,0.173770,0.246637", \ - "0.100514,0.106398,0.111269,0.120411,0.138604,0.175063,0.247928", \ - "0.105399,0.111285,0.116156,0.125294,0.143487,0.179943,0.252810", \ - "0.113665,0.119548,0.124419,0.133562,0.151758,0.188210,0.261080", \ - "0.124676,0.130576,0.135450,0.144581,0.162758,0.199208,0.272070", \ - "0.137045,0.143085,0.148016,0.157136,0.175256,0.211682,0.284539", \ - "0.151428,0.157629,0.162643,0.171807,0.189843,0.226215,0.299055"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004465,0.006214,0.007955,0.011438,0.018721,0.034148,0.065965", \ - "0.004462,0.006212,0.007953,0.011436,0.018720,0.034149,0.065964", \ - "0.004446,0.006198,0.007942,0.011428,0.018716,0.034146,0.065966", \ - "0.004456,0.006203,0.007944,0.011426,0.018712,0.034143,0.065964", \ - "0.004631,0.006347,0.008063,0.011511,0.018756,0.034160,0.065965", \ - "0.004980,0.006638,0.008305,0.011681,0.018846,0.034183,0.065971", \ - "0.005506,0.007115,0.008719,0.011990,0.019020,0.034241,0.065975"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006179,0.009196,0.012614,0.020614,0.037711,0.072282,0.141517", \ - "0.006178,0.009196,0.012615,0.020613,0.037711,0.072279,0.141527", \ - "0.006179,0.009195,0.012615,0.020612,0.037707,0.072285,0.141530", \ - "0.006179,0.009195,0.012613,0.020612,0.037716,0.072290,0.141512", \ - "0.006210,0.009224,0.012632,0.020617,0.037710,0.072282,0.141519", \ - "0.006468,0.009497,0.012812,0.020685,0.037728,0.072290,0.141518", \ - "0.006759,0.009830,0.013050,0.020781,0.037758,0.072314,0.141529"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047291,0.051384,0.054735,0.060384,0.070303,0.088959,0.125779", \ - "0.048526,0.052619,0.055970,0.061619,0.071537,0.090194,0.127015", \ - "0.053545,0.057634,0.060983,0.066628,0.076545,0.095201,0.132024", \ - "0.063305,0.067390,0.070735,0.076378,0.086292,0.104948,0.141768", \ - "0.073197,0.077328,0.080703,0.086361,0.096307,0.114969,0.151784", \ - "0.081095,0.085332,0.088772,0.094469,0.104341,0.123007,0.159809", \ - "0.086455,0.090865,0.094420,0.100255,0.110037,0.128711,0.165497"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.108034,0.113989,0.118882,0.128017,0.146194,0.182638,0.255497", \ - "0.109428,0.115383,0.120278,0.129412,0.147591,0.184033,0.256891", \ - "0.114350,0.120304,0.125199,0.134338,0.152511,0.188951,0.261813", \ - "0.122533,0.128487,0.133383,0.142517,0.160694,0.197137,0.269999", \ - "0.133607,0.139566,0.144462,0.153582,0.171745,0.208184,0.281043", \ - "0.146721,0.152800,0.157747,0.166867,0.184973,0.221376,0.294229", \ - "0.161728,0.167958,0.172988,0.182157,0.200219,0.236600,0.309391"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004603,0.006333,0.008056,0.011513,0.018765,0.034166,0.065970", \ - "0.004599,0.006330,0.008054,0.011511,0.018764,0.034165,0.065969", \ - "0.004587,0.006317,0.008044,0.011503,0.018759,0.034164,0.065966", \ - "0.004595,0.006324,0.008047,0.011503,0.018756,0.034162,0.065968", \ - "0.004817,0.006508,0.008200,0.011612,0.018814,0.034182,0.065970", \ - "0.005223,0.006855,0.008493,0.011823,0.018928,0.034215,0.065975", \ - "0.005788,0.007378,0.008958,0.012177,0.019133,0.034287,0.065984"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006316,0.009334,0.012701,0.020644,0.037719,0.072285,0.141518", \ - "0.006313,0.009334,0.012701,0.020644,0.037725,0.072287,0.141516", \ - "0.006316,0.009333,0.012703,0.020645,0.037721,0.072297,0.141517", \ - "0.006314,0.009333,0.012703,0.020643,0.037720,0.072289,0.141517", \ - "0.006324,0.009345,0.012709,0.020646,0.037725,0.072293,0.141523", \ - "0.006550,0.009583,0.012867,0.020704,0.037742,0.072289,0.141522", \ - "0.006829,0.009903,0.013100,0.020803,0.037762,0.072303,0.141528"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.049314,0.053357,0.056680,0.062297,0.072191,0.090837,0.127662", \ - "0.050597,0.054640,0.057962,0.063580,0.073474,0.092120,0.128942", \ - "0.054542,0.058583,0.061905,0.067522,0.077415,0.096062,0.132886", \ - "0.061692,0.065733,0.069056,0.074674,0.084567,0.103214,0.140040", \ - "0.069898,0.073958,0.077295,0.082931,0.092838,0.111488,0.148311", \ - "0.077117,0.081217,0.084580,0.090238,0.100164,0.118821,0.155639", \ - "0.082412,0.086590,0.089999,0.095660,0.105542,0.124206,0.161016"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.097955,0.103748,0.108584,0.117721,0.135928,0.172394,0.245272", \ - "0.099492,0.105285,0.110121,0.119258,0.137463,0.173932,0.246807", \ - "0.105114,0.110907,0.115743,0.124879,0.143087,0.179553,0.252424", \ - "0.113868,0.119662,0.124498,0.133633,0.151839,0.188308,0.261182", \ - "0.125725,0.131529,0.136366,0.145498,0.163687,0.200148,0.273019", \ - "0.139498,0.145443,0.150330,0.159446,0.177581,0.214013,0.286874", \ - "0.155323,0.161439,0.166404,0.175543,0.193655,0.229992,0.302829"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004435,0.006185,0.007928,0.011415,0.018706,0.034141,0.065962", \ - "0.004434,0.006184,0.007928,0.011415,0.018706,0.034141,0.065960", \ - "0.004432,0.006183,0.007927,0.011414,0.018706,0.034141,0.065960", \ - "0.004442,0.006190,0.007932,0.011418,0.018707,0.034141,0.065963", \ - "0.004538,0.006271,0.008001,0.011468,0.018734,0.034150,0.065964", \ - "0.004712,0.006419,0.008126,0.011558,0.018783,0.034167,0.065963", \ - "0.004996,0.006665,0.008337,0.011714,0.018872,0.034198,0.065973"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006036,0.009041,0.012513,0.020575,0.037700,0.072279,0.141512", \ - "0.006036,0.009040,0.012513,0.020574,0.037697,0.072275,0.141513", \ - "0.006036,0.009040,0.012513,0.020578,0.037705,0.072280,0.141521", \ - "0.006033,0.009041,0.012513,0.020574,0.037697,0.072276,0.141510", \ - "0.006058,0.009060,0.012525,0.020581,0.037704,0.072294,0.141516", \ - "0.006317,0.009325,0.012693,0.020638,0.037723,0.072284,0.141531", \ - "0.006625,0.009666,0.012926,0.020734,0.037749,0.072303,0.141538"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.046729,0.050782,0.054112,0.059738,0.069639,0.088289,0.125115", \ - "0.048021,0.052072,0.055402,0.061028,0.070928,0.089578,0.126404", \ - "0.051984,0.056036,0.059364,0.064989,0.074888,0.093539,0.130362", \ - "0.059048,0.063097,0.066425,0.072051,0.081950,0.100600,0.137422", \ - "0.066832,0.070899,0.074242,0.079882,0.089795,0.108448,0.145270", \ - "0.073366,0.077478,0.080847,0.086516,0.096450,0.115108,0.151925", \ - "0.077768,0.081965,0.085388,0.091081,0.100972,0.119637,0.156446"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.106716,0.112672,0.117568,0.126704,0.144879,0.181321,0.254185", \ - "0.108197,0.114152,0.119049,0.128183,0.146360,0.182803,0.255665", \ - "0.113739,0.119695,0.124592,0.133727,0.151903,0.188346,0.261206", \ - "0.122312,0.128268,0.133164,0.142299,0.160476,0.196917,0.269779", \ - "0.133502,0.139462,0.144360,0.153498,0.171658,0.208094,0.280953", \ - "0.146614,0.152689,0.157636,0.166754,0.184863,0.221272,0.294124", \ - "0.161815,0.168042,0.173069,0.182241,0.200315,0.236670,0.309473"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004463,0.006212,0.007954,0.011437,0.018721,0.034149,0.065966", \ - "0.004462,0.006211,0.007953,0.011436,0.018720,0.034148,0.065965", \ - "0.004454,0.006205,0.007948,0.011432,0.018719,0.034148,0.065965", \ - "0.004463,0.006210,0.007952,0.011433,0.018717,0.034146,0.065965", \ - "0.004562,0.006295,0.008022,0.011484,0.018744,0.034156,0.065968", \ - "0.004752,0.006457,0.008159,0.011583,0.018798,0.034173,0.065969", \ - "0.005071,0.006731,0.008394,0.011756,0.018897,0.034207,0.065975"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006316,0.009338,0.012704,0.020644,0.037717,0.072302,0.141517", \ - "0.006317,0.009337,0.012705,0.020644,0.037722,0.072292,0.141518", \ - "0.006316,0.009337,0.012705,0.020644,0.037718,0.072300,0.141516", \ - "0.006318,0.009336,0.012704,0.020647,0.037725,0.072293,0.141528", \ - "0.006328,0.009347,0.012712,0.020647,0.037726,0.072296,0.141527", \ - "0.006548,0.009581,0.012865,0.020705,0.037741,0.072292,0.141518", \ - "0.006821,0.009894,0.013094,0.020801,0.037761,0.072302,0.141526"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.048592,0.052684,0.056035,0.061684,0.071602,0.090259,0.127083", \ - "0.049881,0.053974,0.057324,0.062973,0.072891,0.091548,0.128367", \ - "0.053840,0.057931,0.061280,0.066928,0.076845,0.095501,0.132322", \ - "0.060957,0.065046,0.068395,0.074043,0.083959,0.102615,0.139438", \ - "0.069008,0.073126,0.076493,0.082160,0.092090,0.110750,0.147567", \ - "0.075985,0.080158,0.083559,0.089261,0.099207,0.117873,0.154684", \ - "0.080922,0.085192,0.088656,0.094388,0.104308,0.122992,0.159796"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.115498,0.121524,0.126448,0.135580,0.153741,0.190165,0.263021", \ - "0.117010,0.123036,0.127960,0.137092,0.155252,0.191675,0.264532", \ - "0.122587,0.128612,0.133537,0.142670,0.160820,0.197249,0.270108", \ - "0.131129,0.137155,0.142080,0.151212,0.169368,0.205797,0.278651", \ - "0.142330,0.148358,0.153282,0.162413,0.180566,0.216983,0.289841", \ - "0.156091,0.162211,0.167176,0.176285,0.194390,0.230775,0.303616", \ - "0.171867,0.178133,0.183180,0.192359,0.210440,0.246798,0.319624"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004603,0.006332,0.008055,0.011512,0.018764,0.034166,0.065966", \ - "0.004601,0.006330,0.008054,0.011512,0.018764,0.034166,0.065970", \ - "0.004592,0.006324,0.008049,0.011508,0.018761,0.034164,0.065969", \ - "0.004603,0.006330,0.008054,0.011509,0.018761,0.034164,0.065967", \ - "0.004722,0.006433,0.008140,0.011572,0.018795,0.034176,0.065968", \ - "0.004943,0.006623,0.008302,0.011691,0.018862,0.034198,0.065971", \ - "0.005298,0.006935,0.008573,0.011893,0.018978,0.034240,0.065980"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006455,0.009479,0.012799,0.020679,0.037729,0.072296,0.141535", \ - "0.006455,0.009480,0.012799,0.020679,0.037731,0.072288,0.141518", \ - "0.006454,0.009479,0.012798,0.020679,0.037731,0.072294,0.141525", \ - "0.006455,0.009480,0.012798,0.020679,0.037726,0.072289,0.141534", \ - "0.006459,0.009484,0.012801,0.020681,0.037728,0.072306,0.141517", \ - "0.006640,0.009673,0.012930,0.020727,0.037742,0.072311,0.141529", \ - "0.006906,0.009985,0.013159,0.020823,0.037768,0.072306,0.141532"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038631,0.042607,0.045884,0.051449,0.061303,0.079934,0.116757", \ - "0.040039,0.044015,0.047292,0.052857,0.062712,0.081343,0.118165", \ - "0.045148,0.049125,0.052403,0.057968,0.067823,0.086455,0.123277", \ - "0.052766,0.056764,0.060056,0.065640,0.075506,0.094139,0.130960", \ - "0.058852,0.062897,0.066219,0.071819,0.081715,0.100355,0.137178", \ - "0.062731,0.066905,0.070304,0.075992,0.085912,0.104564,0.141368", \ - "0.063787,0.068168,0.071709,0.077529,0.087433,0.106108,0.142894"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.067382,0.072998,0.077786,0.086933,0.105177,0.141665,0.214536", \ - "0.068049,0.073666,0.078452,0.087600,0.105842,0.142330,0.215207", \ - "0.072381,0.077997,0.082785,0.091933,0.110174,0.146663,0.219534", \ - "0.083465,0.089081,0.093868,0.103016,0.121258,0.157742,0.230618", \ - "0.101184,0.106877,0.111673,0.120797,0.139001,0.175460,0.248332", \ - "0.121259,0.127196,0.132070,0.141200,0.159320,0.195741,0.268575", \ - "0.143299,0.149524,0.154534,0.163648,0.181672,0.218055,0.290854"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004195,0.005973,0.007742,0.011273,0.018619,0.034104,0.065951", \ - "0.004194,0.005974,0.007742,0.011273,0.018620,0.034103,0.065951", \ - "0.004198,0.005976,0.007744,0.011275,0.018620,0.034104,0.065951", \ - "0.004314,0.006075,0.007825,0.011329,0.018647,0.034111,0.065952", \ - "0.004541,0.006260,0.007981,0.011441,0.018709,0.034134,0.065956", \ - "0.005013,0.006658,0.008317,0.011681,0.018838,0.034172,0.065962", \ - "0.005669,0.007278,0.008871,0.012109,0.019087,0.034261,0.065975"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005722,0.008735,0.012329,0.020509,0.037678,0.072265,0.141509", \ - "0.005721,0.008734,0.012329,0.020506,0.037674,0.072259,0.141504", \ - "0.005721,0.008734,0.012329,0.020507,0.037674,0.072263,0.141510", \ - "0.005719,0.008731,0.012328,0.020507,0.037678,0.072269,0.141502", \ - "0.005896,0.008874,0.012404,0.020528,0.037679,0.072259,0.141507", \ - "0.006342,0.009319,0.012675,0.020622,0.037704,0.072272,0.141511", \ - "0.006851,0.009888,0.013070,0.020768,0.037744,0.072299,0.141526"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038629,0.042605,0.045882,0.051447,0.061302,0.079932,0.116755", \ - "0.040044,0.044020,0.047296,0.052861,0.062716,0.081348,0.118171", \ - "0.045192,0.049169,0.052446,0.058011,0.067866,0.086498,0.123320", \ - "0.052832,0.056830,0.060123,0.065705,0.075572,0.094205,0.131026", \ - "0.058802,0.062847,0.066170,0.071788,0.081662,0.100303,0.137125", \ - "0.062314,0.066488,0.069888,0.075560,0.085462,0.104114,0.140917", \ - "0.062659,0.067046,0.070589,0.076408,0.086307,0.104981,0.141765"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.075792,0.081676,0.086547,0.095690,0.113883,0.150341,0.223204", \ - "0.076281,0.082165,0.087036,0.096176,0.114374,0.150827,0.223693", \ - "0.080262,0.086145,0.091017,0.100157,0.118350,0.154806,0.227674", \ - "0.091046,0.096926,0.101796,0.110937,0.129129,0.165588,0.238450", \ - "0.109360,0.115247,0.120115,0.129253,0.147398,0.183845,0.256705", \ - "0.131276,0.137387,0.142345,0.151491,0.169552,0.205951,0.278799", \ - "0.155368,0.161750,0.166863,0.175998,0.194036,0.230370,0.303172"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004195,0.005973,0.007742,0.011273,0.018620,0.034104,0.065951", \ - "0.004196,0.005974,0.007742,0.011273,0.018619,0.034104,0.065949", \ - "0.004198,0.005976,0.007744,0.011275,0.018620,0.034103,0.065949", \ - "0.004313,0.006073,0.007824,0.011328,0.018646,0.034111,0.065951", \ - "0.004541,0.006260,0.007981,0.011441,0.018709,0.034135,0.065958", \ - "0.005018,0.006663,0.008320,0.011685,0.018839,0.034173,0.065960", \ - "0.005683,0.007290,0.008882,0.012118,0.019093,0.034263,0.065974"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006176,0.009195,0.012614,0.020611,0.037716,0.072282,0.141531", \ - "0.006176,0.009196,0.012614,0.020613,0.037715,0.072282,0.141529", \ - "0.006177,0.009193,0.012613,0.020612,0.037708,0.072285,0.141529", \ - "0.006169,0.009187,0.012609,0.020612,0.037706,0.072287,0.141532", \ - "0.006206,0.009217,0.012627,0.020617,0.037715,0.072282,0.141517", \ - "0.006631,0.009654,0.012912,0.020714,0.037733,0.072291,0.141521", \ - "0.007135,0.010233,0.013340,0.020887,0.037779,0.072301,0.141530"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040340,0.044355,0.047654,0.053244,0.063117,0.081755,0.118576", \ - "0.041754,0.045769,0.049069,0.054659,0.064532,0.083170,0.119992", \ - "0.046904,0.050920,0.054220,0.059810,0.069684,0.088321,0.125147", \ - "0.054944,0.058984,0.062299,0.067906,0.077790,0.096430,0.133249", \ - "0.061614,0.065720,0.069078,0.074731,0.084630,0.103278,0.140097", \ - "0.065882,0.070140,0.073589,0.079324,0.089269,0.107929,0.144726", \ - "0.067073,0.071554,0.075159,0.081048,0.090992,0.109678,0.146454"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.084698,0.090654,0.095551,0.104687,0.122861,0.159308,0.232164", \ - "0.085315,0.091271,0.096167,0.105302,0.123479,0.159923,0.232781", \ - "0.089207,0.095163,0.100059,0.109193,0.127368,0.163809,0.236671", \ - "0.099605,0.105559,0.110455,0.119590,0.137765,0.174209,0.247063", \ - "0.117862,0.123814,0.128707,0.137834,0.155977,0.192426,0.265282", \ - "0.141081,0.147208,0.152172,0.161304,0.179368,0.215752,0.288573", \ - "0.166421,0.172807,0.177922,0.187073,0.205060,0.241380,0.314178"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004334,0.006091,0.007842,0.011347,0.018661,0.034120,0.065954", \ - "0.004334,0.006091,0.007842,0.011347,0.018661,0.034119,0.065952", \ - "0.004336,0.006094,0.007844,0.011348,0.018663,0.034120,0.065954", \ - "0.004467,0.006199,0.007931,0.011406,0.018692,0.034128,0.065954", \ - "0.004754,0.006442,0.008137,0.011556,0.018774,0.034159,0.065961", \ - "0.005294,0.006910,0.008536,0.011847,0.018934,0.034209,0.065967", \ - "0.005991,0.007580,0.009148,0.012329,0.019224,0.034318,0.065986"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006316,0.009337,0.012703,0.020645,0.037717,0.072290,0.141519", \ - "0.006316,0.009337,0.012704,0.020645,0.037723,0.072296,0.141519", \ - "0.006318,0.009336,0.012703,0.020644,0.037717,0.072300,0.141517", \ - "0.006315,0.009333,0.012703,0.020645,0.037717,0.072293,0.141520", \ - "0.006307,0.009326,0.012697,0.020644,0.037723,0.072286,0.141522", \ - "0.006665,0.009690,0.012938,0.020727,0.037746,0.072306,0.141528", \ - "0.007160,0.010254,0.013355,0.020892,0.037782,0.072310,0.141530"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.039947,0.043923,0.047199,0.052765,0.062619,0.081251,0.118073", \ - "0.041415,0.045392,0.048668,0.054233,0.064088,0.082720,0.119542", \ - "0.045392,0.049369,0.052647,0.058212,0.068066,0.086698,0.123519", \ - "0.051373,0.055363,0.058652,0.064231,0.074092,0.092725,0.129545", \ - "0.056978,0.060999,0.064310,0.069913,0.079799,0.098437,0.135261", \ - "0.060790,0.064884,0.068239,0.073891,0.083806,0.102455,0.139268", \ - "0.061925,0.066148,0.069583,0.075308,0.085279,0.103942,0.140746"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.073562,0.079236,0.084034,0.093172,0.111401,0.147879,0.220754", \ - "0.074356,0.080030,0.084829,0.093968,0.112195,0.148672,0.221546", \ - "0.079016,0.084691,0.089490,0.098628,0.116855,0.153332,0.226209", \ - "0.090168,0.095841,0.100640,0.109776,0.128004,0.164477,0.237353", \ - "0.108570,0.114272,0.119072,0.128189,0.146397,0.182863,0.255729", \ - "0.130383,0.136314,0.141183,0.150292,0.168329,0.204751,0.277598", \ - "0.154167,0.160368,0.165363,0.174469,0.192520,0.228804,0.301588"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004195,0.005973,0.007742,0.011273,0.018619,0.034104,0.065951", \ - "0.004194,0.005973,0.007742,0.011274,0.018619,0.034104,0.065948", \ - "0.004197,0.005974,0.007743,0.011274,0.018620,0.034104,0.065949", \ - "0.004269,0.006037,0.007796,0.011310,0.018637,0.034109,0.065950", \ - "0.004418,0.006161,0.007901,0.011386,0.018681,0.034125,0.065954", \ - "0.004700,0.006401,0.008104,0.011536,0.018765,0.034153,0.065958", \ - "0.005144,0.006792,0.008444,0.011790,0.018911,0.034208,0.065972"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005833,0.008834,0.012385,0.020527,0.037684,0.072263,0.141502", \ - "0.005835,0.008834,0.012386,0.020525,0.037686,0.072264,0.141499", \ - "0.005836,0.008834,0.012386,0.020528,0.037686,0.072273,0.141502", \ - "0.005834,0.008833,0.012384,0.020524,0.037678,0.072276,0.141510", \ - "0.005909,0.008897,0.012419,0.020534,0.037682,0.072266,0.141501", \ - "0.006335,0.009309,0.012668,0.020617,0.037703,0.072283,0.141515", \ - "0.006823,0.009846,0.013036,0.020753,0.037745,0.072289,0.141518"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.039946,0.043921,0.047197,0.052763,0.062617,0.081249,0.118072", \ - "0.041419,0.045395,0.048672,0.054237,0.064092,0.082724,0.119545", \ - "0.045437,0.049413,0.052691,0.058255,0.068110,0.086742,0.123564", \ - "0.051467,0.055458,0.058747,0.064325,0.074186,0.092818,0.129640", \ - "0.057051,0.061074,0.064384,0.069988,0.079869,0.098508,0.135333", \ - "0.060663,0.064756,0.068109,0.073762,0.083675,0.102324,0.139139", \ - "0.061350,0.065572,0.069007,0.074738,0.084696,0.103357,0.140161"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.083318,0.089275,0.094169,0.103304,0.121479,0.157919,0.230782", \ - "0.083966,0.089918,0.094814,0.103949,0.122124,0.158566,0.231429", \ - "0.088360,0.094313,0.099209,0.108344,0.126520,0.162963,0.235824", \ - "0.099211,0.105164,0.110059,0.119193,0.137367,0.173808,0.246670", \ - "0.117783,0.123734,0.128627,0.137750,0.155934,0.192363,0.265223", \ - "0.141421,0.147540,0.152500,0.161669,0.179680,0.216066,0.288902", \ - "0.167346,0.173719,0.178824,0.187983,0.206002,0.242275,0.315066"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004195,0.005973,0.007742,0.011274,0.018619,0.034104,0.065948", \ - "0.004194,0.005974,0.007742,0.011274,0.018619,0.034104,0.065948", \ - "0.004196,0.005974,0.007743,0.011274,0.018620,0.034104,0.065949", \ - "0.004269,0.006037,0.007794,0.011309,0.018637,0.034109,0.065950", \ - "0.004418,0.006160,0.007899,0.011386,0.018680,0.034124,0.065954", \ - "0.004697,0.006399,0.008102,0.011534,0.018764,0.034152,0.065958", \ - "0.005143,0.006792,0.008442,0.011788,0.018910,0.034208,0.065970"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006315,0.009332,0.012701,0.020643,0.037716,0.072288,0.141517", \ - "0.006315,0.009333,0.012703,0.020644,0.037719,0.072286,0.141516", \ - "0.006315,0.009332,0.012703,0.020642,0.037721,0.072285,0.141516", \ - "0.006309,0.009329,0.012699,0.020642,0.037715,0.072296,0.141516", \ - "0.006307,0.009325,0.012697,0.020641,0.037722,0.072293,0.141515", \ - "0.006650,0.009674,0.012924,0.020721,0.037737,0.072290,0.141525", \ - "0.007131,0.010220,0.013328,0.020880,0.037779,0.072311,0.141537"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041656,0.045670,0.048969,0.054560,0.064433,0.083070,0.119893", \ - "0.043132,0.047146,0.050445,0.056035,0.065908,0.084547,0.121370", \ - "0.047152,0.051168,0.054468,0.060058,0.069932,0.088569,0.125393", \ - "0.053331,0.057364,0.060675,0.066276,0.076157,0.094796,0.131617", \ - "0.059281,0.063354,0.066692,0.072323,0.082227,0.100872,0.137692", \ - "0.063431,0.067588,0.070978,0.076661,0.086604,0.105262,0.142070", \ - "0.064755,0.069052,0.072534,0.078300,0.088292,0.106977,0.143776"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.092177,0.098204,0.103129,0.112260,0.130415,0.166844,0.239699", \ - "0.092884,0.098911,0.103835,0.112966,0.131123,0.167551,0.240408", \ - "0.097225,0.103252,0.108176,0.117308,0.135464,0.171890,0.244752", \ - "0.107783,0.113810,0.118733,0.127866,0.146022,0.182449,0.255308", \ - "0.126160,0.132182,0.137105,0.146198,0.164342,0.200770,0.273622", \ - "0.150805,0.156949,0.161921,0.171031,0.189099,0.225477,0.298308", \ - "0.177967,0.184353,0.189467,0.198604,0.216581,0.252925,0.325668"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004334,0.006091,0.007842,0.011347,0.018661,0.034120,0.065954", \ - "0.004333,0.006091,0.007842,0.011347,0.018661,0.034120,0.065953", \ - "0.004336,0.006093,0.007843,0.011347,0.018662,0.034120,0.065954", \ - "0.004415,0.006159,0.007898,0.011385,0.018681,0.034125,0.065954", \ - "0.004588,0.006308,0.008026,0.011479,0.018734,0.034146,0.065958", \ - "0.004909,0.006583,0.008262,0.011653,0.018833,0.034181,0.065962", \ - "0.005394,0.007020,0.008644,0.011943,0.019002,0.034245,0.065979"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006456,0.009480,0.012799,0.020681,0.037727,0.072294,0.141529", \ - "0.006456,0.009479,0.012798,0.020679,0.037731,0.072297,0.141528", \ - "0.006454,0.009479,0.012798,0.020679,0.037726,0.072290,0.141526", \ - "0.006454,0.009477,0.012797,0.020680,0.037727,0.072302,0.141525", \ - "0.006443,0.009467,0.012792,0.020676,0.037726,0.072293,0.141529", \ - "0.006702,0.009730,0.012965,0.020737,0.037747,0.072295,0.141520", \ - "0.007180,0.010265,0.013361,0.020896,0.037788,0.072308,0.141543"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.465510,11.369660,11.914650,12.379370,12.671520,12.827090,12.910590", \ - "10.449280,11.313230,11.902290,12.364470,12.665850,12.813200,12.897480", \ - "10.434420,11.372460,11.878210,12.351560,12.650710,12.798160,12.887580", \ - "10.674980,11.549280,12.049690,12.535680,12.844420,12.988240,13.083040", \ - "11.311450,12.087690,12.597480,13.052330,13.329430,13.502000,13.600760", \ - "12.211800,13.024540,13.517160,14.007740,14.307410,14.422660,14.510880", \ - "13.516620,14.188580,14.779640,15.309260,15.659000,15.834350,15.885980"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.687460,15.454910,15.975310,16.462100,16.873760,17.212210,17.275140", \ - "14.677090,15.458040,15.818190,16.376490,16.957140,17.090120,17.053410", \ - "14.622410,15.393390,15.854080,16.263520,16.823980,17.053790,16.729660", \ - "14.577510,15.348180,15.814800,16.402120,16.880230,16.609910,16.723560", \ - "14.896380,15.700220,16.063550,16.669700,17.143850,17.154350,16.625030", \ - "15.256170,16.232420,16.705000,17.401110,17.921340,17.770500,17.911850", \ - "16.185560,17.128470,17.461590,18.216930,18.955380,18.444570,18.617760"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.354170,11.231540,11.826310,12.303130,12.590430,12.747610,12.830390", \ - "10.332930,11.207830,11.796130,12.270400,12.564980,12.712290,12.809100", \ - "10.330590,11.182950,11.764800,12.249820,12.543630,12.699450,12.783970", \ - "10.555250,11.402910,11.947030,12.411310,12.716230,12.881440,12.980540", \ - "11.217590,11.983100,12.464970,12.929420,13.197490,13.373930,13.485630", \ - "12.085140,12.855240,13.383140,13.872320,14.178350,14.298400,14.384680", \ - "13.273490,14.020930,14.600090,15.150380,15.514620,15.697350,15.744440"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.603890,15.357230,15.647140,16.289920,16.602010,16.421200,16.486800", \ - "14.543790,15.232560,15.600290,15.992410,16.679880,16.879380,16.537550", \ - "14.477950,15.253130,15.601040,16.037800,16.602960,16.654830,16.282290", \ - "14.462470,15.231510,15.648920,16.030200,16.620180,16.479230,16.016820", \ - "14.696820,15.540450,15.864140,16.445120,16.835620,16.837340,16.272870", \ - "15.122310,15.991310,16.416780,16.997240,17.522770,17.203190,17.755560", \ - "15.853650,16.855910,17.217730,17.759930,18.122140,18.139000,18.560900"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.353650,11.267540,11.796620,12.305270,12.584030,12.744270,12.838020", \ - "10.344400,11.260160,11.771120,12.253720,12.571200,12.717940,12.809150", \ - "10.316630,11.226440,11.776220,12.257060,12.538880,12.700030,12.794920", \ - "10.606130,11.418460,11.960780,12.428890,12.734500,12.903000,13.004590", \ - "11.263810,11.976040,12.516510,12.984600,13.250190,13.432850,13.538960", \ - "12.240150,12.926220,13.480030,13.974120,14.271030,14.389930,14.480100", \ - "13.499110,14.184980,14.735240,15.309370,15.677750,15.844290,15.896740"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.471520,16.184360,16.633840,16.995320,17.612330,17.538800,17.975550", \ - "15.399560,16.231420,16.505270,16.989780,17.588870,17.218670,18.018220", \ - "15.275910,16.061020,16.510620,16.919260,17.486790,17.768530,17.772640", \ - "15.347660,16.067400,16.524920,17.004270,17.490450,17.290150,17.881390", \ - "15.485220,16.351580,16.781470,17.337490,17.772080,17.531520,17.888950", \ - "16.028410,16.929890,17.359570,17.947980,18.428510,17.909670,18.452160", \ - "16.826290,17.679910,18.128510,18.799940,19.455410,19.450470,19.574050"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.458500,11.346700,11.918540,12.404210,12.675530,12.826750,12.914950", \ - "10.459570,11.399910,11.910220,12.386190,12.683640,12.837970,12.922240", \ - "10.477060,11.399550,11.923430,12.411750,12.699860,12.837900,12.928250", \ - "10.745710,11.564870,12.071200,12.557280,12.859070,13.018960,13.112110", \ - "11.318930,12.090730,12.579790,13.050990,13.321150,13.491290,13.588110", \ - "12.183820,12.916860,13.439200,13.918170,14.227150,14.342290,14.426960", \ - "13.328420,14.004020,14.564800,15.125800,15.467690,15.644390,15.693490"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.682150,15.566010,15.926360,16.374130,17.142060,17.221550,16.949400", \ - "14.638040,15.502900,15.907030,16.472520,17.092430,17.465730,16.885320", \ - "14.510620,15.434870,15.787790,16.454660,17.021580,17.093580,16.808820", \ - "14.475160,15.377150,15.759460,16.349720,16.600480,17.380180,17.541570", \ - "14.733460,15.618410,15.999800,16.555370,16.980530,17.146120,17.581500", \ - "15.353980,16.198360,16.718990,17.291640,17.960070,18.026570,18.483490", \ - "16.049830,17.124980,17.546460,18.114690,19.074190,19.307160,18.877750"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.368830,11.243420,11.807940,12.313010,12.596560,12.747790,12.834560", \ - "10.361510,11.226320,11.821990,12.302350,12.587060,12.733510,12.830160", \ - "10.360440,11.231950,11.794570,12.278720,12.576970,12.738460,12.822810", \ - "10.545200,11.393670,11.966340,12.439170,12.760430,12.909420,13.005420", \ - "11.185830,11.986470,12.473180,12.940670,13.192700,13.372380,13.480300", \ - "12.068840,12.783580,13.310310,13.797060,14.098510,14.221220,14.309930", \ - "13.094740,13.846160,14.436350,14.970970,15.331770,15.516150,15.570150"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.651680,15.439340,15.801960,16.264250,16.959770,17.214320,16.978750", \ - "14.587960,15.388850,15.806130,16.261620,16.511690,17.294710,16.854890", \ - "14.549150,15.310620,15.706330,16.084050,16.748300,17.082470,16.719280", \ - "14.462350,15.349620,15.697440,16.113700,16.640440,16.954840,17.057080", \ - "14.697510,15.553990,15.941350,16.393250,16.919210,17.377920,17.222630", \ - "15.249650,16.121570,16.479540,17.139300,17.403020,17.866700,17.040820", \ - "16.004940,16.945130,17.338070,17.985680,18.601460,18.947380,18.889170"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.375350,11.274980,11.800560,12.284680,12.604700,12.757820,12.831620", \ - "10.367100,11.224370,11.816290,12.278350,12.595000,12.736080,12.830090", \ - "10.372760,11.238140,11.787240,12.273340,12.592610,12.735520,12.835140", \ - "10.608780,11.432230,11.969320,12.461480,12.770170,12.939920,13.028060", \ - "11.237080,11.996580,12.507220,12.977170,13.247540,13.423090,13.528960", \ - "12.082190,12.845510,13.412550,13.897470,14.190270,14.324410,14.394980", \ - "13.317280,13.976200,14.550900,15.134580,15.499350,15.679320,15.723360"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.562420,16.373660,16.824450,17.261210,18.008530,18.187920,18.492040", \ - "15.525650,16.345090,16.813140,17.294810,17.985610,18.283880,18.211640", \ - "15.485130,16.246920,16.707980,17.283010,17.830500,18.056540,18.367220", \ - "15.396590,16.286730,16.676330,17.171700,17.778450,17.941480,18.331670", \ - "15.623160,16.417440,16.819230,17.423060,17.988110,18.140990,18.482600", \ - "16.110830,17.092830,17.382200,18.028960,18.395630,18.782980,18.978640", \ - "16.928380,17.781050,18.251290,18.749200,19.551210,19.755370,19.948340"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.478300,11.361360,11.895130,12.374730,12.691100,12.828870,12.922080", \ - "10.468710,11.344240,11.899510,12.403520,12.692130,12.832080,12.924190", \ - "10.495650,11.410080,11.909410,12.391620,12.687510,12.841480,12.938520", \ - "10.722480,11.581250,12.110580,12.571850,12.892130,13.039790,13.131150", \ - "11.356200,12.123060,12.617060,13.080360,13.360750,13.526360,13.634070", \ - "12.281260,12.996650,13.519540,13.995400,14.302290,14.421800,14.511180", \ - "13.377330,14.157060,14.704310,15.254190,15.625840,15.781380,15.830320"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.559220,16.446670,16.882220,17.440230,18.119400,18.419440,18.261210", \ - "15.575360,16.425290,16.772210,17.327650,18.129680,18.467320,18.103240", \ - "15.470330,16.347910,16.776370,17.355290,18.078070,18.307330,18.099920", \ - "15.385920,16.271140,16.666650,17.263400,17.968080,18.401730,18.068990", \ - "15.575230,16.463060,16.970850,17.542370,18.208850,18.082690,17.750660", \ - "16.215420,17.127900,17.564820,18.124380,18.875680,19.310840,18.769070", \ - "16.906320,18.001460,18.393200,19.011650,19.726480,19.796240,19.521760"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.375350,11.274980,11.800560,12.284680,12.604700,12.757820,12.831620", \ - "10.367100,11.224370,11.816290,12.278350,12.595000,12.736080,12.830090", \ - "10.372760,11.238140,11.787240,12.273340,12.592610,12.735520,12.835140", \ - "10.608780,11.432230,11.969320,12.461480,12.770170,12.939920,13.028060", \ - "11.237080,11.996580,12.507220,12.977170,13.247540,13.423090,13.528960", \ - "12.082190,12.845510,13.412550,13.897470,14.190270,14.324410,14.394980", \ - "13.317280,13.976200,14.550900,15.134580,15.499350,15.679320,15.723360"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.562420,16.373660,16.824450,17.261210,18.008530,18.187920,18.492040", \ - "15.525650,16.345090,16.813140,17.294810,17.985610,18.283880,18.211640", \ - "15.485130,16.246920,16.707980,17.283010,17.830500,18.056540,18.367220", \ - "15.396590,16.286730,16.676330,17.171700,17.778450,17.941480,18.331670", \ - "15.623160,16.417440,16.819230,17.423060,17.988110,18.140990,18.482600", \ - "16.110830,17.092830,17.382200,18.028960,18.395630,18.782980,18.978640", \ - "16.928380,17.781050,18.251290,18.749200,19.551210,19.755370,19.948340"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.410580,11.258870,11.817390,12.284400,12.607430,12.759520,12.852050", \ - "10.372430,11.247330,11.794620,12.299830,12.586290,12.744840,12.839440", \ - "10.445900,11.271730,11.804370,12.276640,12.590410,12.752990,12.845170", \ - "10.656910,11.448660,11.984870,12.477690,12.797810,12.966110,13.059030", \ - "11.298760,12.024580,12.568390,13.017660,13.298330,13.479980,13.596840", \ - "12.239540,12.970880,13.486760,13.992170,14.299460,14.416590,14.509360", \ - "13.443190,14.111170,14.694850,15.288520,15.661180,15.842110,15.884830"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.444770,17.390000,17.795920,18.357410,18.978990,19.098690,19.520320", \ - "16.317900,17.373290,17.772420,18.240180,18.922960,19.312110,19.556480", \ - "16.426540,17.227920,17.638400,18.172730,18.893880,19.260690,19.426350", \ - "16.377190,17.267750,17.676790,18.038470,18.908240,19.223000,19.436540", \ - "16.494900,17.323020,17.824010,18.308540,18.768790,19.068540,18.716200", \ - "17.080320,17.903750,18.349230,18.791820,19.338320,19.880310,19.239820", \ - "17.694230,18.722740,19.076360,19.678950,20.568360,20.897690,20.778440"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.150350,11.912550,12.538420,13.092580,13.466080,13.676580,13.801170", \ - "11.028410,11.861260,12.486140,13.029380,13.408950,13.622940,13.753250", \ - "11.048350,11.869980,12.435310,12.983950,13.353070,13.563740,13.703690", \ - "11.237350,11.985700,12.588550,13.153920,13.515080,13.730810,13.868680", \ - "11.772050,12.471820,13.035180,13.585770,13.968040,14.197220,14.344010", \ - "12.708960,13.377530,13.941550,14.464970,14.825100,15.005170,15.158350", \ - "13.915230,14.552100,15.145910,15.722870,16.123660,16.303880,16.394000"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.816870,16.727660,17.132310,17.680430,18.350680,18.464900,18.501070", \ - "15.777700,16.674770,16.985380,17.613730,18.264160,18.457350,18.229510", \ - "15.682620,16.570240,16.883440,17.540840,17.937210,18.233950,17.955080", \ - "15.628850,16.509720,16.844460,17.420970,18.000980,18.206420,18.365110", \ - "15.746030,16.623090,16.970060,17.482220,18.231170,18.535290,17.651230", \ - "15.965530,16.839290,17.372090,17.803810,18.630930,18.803050,18.558810", \ - "16.292720,17.327660,17.847000,18.483680,19.316770,19.447900,19.162600"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.948020,11.729480,12.349080,12.925460,13.309320,13.537370,13.692670", \ - "10.895470,11.668660,12.297210,12.855750,13.259220,13.483430,13.637670", \ - "10.837180,11.605740,12.236100,12.791630,13.189500,13.421620,13.575020", \ - "11.067060,11.817440,12.408480,12.981640,13.383710,13.601240,13.761560", \ - "11.627900,12.376160,12.886390,13.442990,13.827440,14.064020,14.229550", \ - "12.543110,13.261380,13.762900,14.333190,14.700420,14.885290,15.050040", \ - "13.731870,14.451320,14.980790,15.563560,15.984030,16.199830,16.290960"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.684360,16.599950,17.028730,17.386180,18.152830,18.373160,17.498010", \ - "15.685330,16.595960,16.910120,17.384330,18.042690,18.323960,18.594000", \ - "15.656630,16.427820,16.854650,17.240580,18.007530,17.982880,18.364040", \ - "15.590460,16.366590,16.757220,17.221810,17.705490,17.910330,17.604040", \ - "15.672060,16.538660,16.846580,17.317560,17.967910,18.382900,18.269660", \ - "15.891950,16.778880,17.208690,17.625160,18.416050,18.374600,18.161030", \ - "16.224860,17.288800,17.734940,18.338050,18.755030,18.898500,18.664000"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.981330,11.732210,12.343650,12.947590,13.341510,13.579430,13.734250", \ - "10.853330,11.719400,12.306660,12.879510,13.283710,13.524530,13.676050", \ - "10.889180,11.639550,12.245110,12.816450,13.220410,13.461750,13.607440", \ - "11.096450,11.887110,12.430480,13.031310,13.416450,13.646550,13.806650", \ - "11.653420,12.420610,12.968710,13.495510,13.923430,14.162530,14.318750", \ - "12.621160,13.334680,13.882530,14.444890,14.835270,15.019480,15.194010", \ - "14.036980,14.605200,15.159910,15.756900,16.196510,16.401980,16.491440"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.737100,17.545450,18.013450,18.561760,19.090050,19.497830,19.652610", \ - "16.662440,17.546100,17.892250,18.521360,18.976840,18.734800,19.609700", \ - "16.581090,17.385580,17.827740,18.404180,18.872600,19.271500,19.498840", \ - "16.523550,17.320500,17.744860,18.350050,18.972900,19.324830,19.438350", \ - "16.576510,17.450530,17.803710,18.229900,18.785250,19.368370,18.246070", \ - "16.888000,17.765440,18.187980,18.581290,19.080730,18.812910,19.069670", \ - "17.168280,18.139980,18.626000,19.283390,19.986810,20.215790,19.561960"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.158860,11.945210,12.511140,13.075130,13.451590,13.679060,13.801200", \ - "11.099890,11.887180,12.477650,13.035010,13.406890,13.619990,13.760260", \ - "11.059490,11.811590,12.404950,12.971130,13.346190,13.562000,13.708290", \ - "11.085640,11.852440,12.453200,13.023970,13.384820,13.599390,13.740720", \ - "11.399320,12.132310,12.694740,13.227130,13.603760,13.825160,13.973780", \ - "11.937900,12.674600,13.273370,13.814730,14.169340,14.335330,14.481570", \ - "12.851900,13.532850,14.096960,14.664210,15.052550,15.254380,15.335730"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.586100,17.472150,17.873240,18.432400,19.169950,19.527640,19.194490", \ - "16.553510,17.409410,17.839320,18.332330,19.130960,19.449320,19.182700", \ - "16.491060,17.425840,17.791080,18.468530,19.062370,19.428090,19.681890", \ - "16.500000,17.352680,17.764400,18.285810,19.026850,19.268780,19.078700", \ - "16.544970,17.464910,17.823420,18.494810,18.886760,19.037300,19.256950", \ - "16.813480,17.806590,18.131890,18.657540,19.592450,19.736140,19.926900", \ - "17.227560,18.171200,18.731010,19.349590,20.176110,20.133190,20.255950"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.947310,11.727190,12.334800,12.904870,13.302350,13.543150,13.691840", \ - "10.899410,11.669650,12.295880,12.875930,13.252440,13.485320,13.640250", \ - "10.837110,11.605620,12.233600,12.804760,13.190840,13.423950,13.573710", \ - "10.895070,11.679990,12.274670,12.846760,13.239280,13.473180,13.618500", \ - "11.216810,11.976810,12.518030,13.079640,13.457750,13.696090,13.858720", \ - "11.825130,12.557440,13.084880,13.666230,14.026250,14.207160,14.368440", \ - "12.599540,13.390210,13.940700,14.510940,14.914050,15.130390,15.225700"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.617190,17.429910,17.815310,18.381640,18.970400,19.336190,19.510510", \ - "16.569930,17.444130,17.805890,18.405520,18.940760,19.302850,19.481240", \ - "16.520390,17.413050,17.771600,18.358190,18.921990,19.137360,19.434830", \ - "16.472020,17.297060,17.754920,18.231780,18.853920,18.702310,18.888410", \ - "16.560160,17.396780,17.782910,18.290960,18.842930,19.379920,18.942620", \ - "16.690960,17.776740,18.173240,18.633200,19.119560,19.752950,19.500240", \ - "17.288220,18.140060,18.594940,19.214130,19.964290,20.196130,19.535510"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.998880,11.779790,12.365420,12.932210,13.341400,13.578890,13.732540", \ - "10.946020,11.720650,12.292850,12.884420,13.282040,13.527850,13.677940", \ - "10.887360,11.667730,12.255160,12.825320,13.231400,13.457940,13.614320", \ - "10.938250,11.740200,12.296730,12.866160,13.276090,13.506650,13.659500", \ - "11.239100,12.013800,12.545110,13.109280,13.510660,13.759560,13.910590", \ - "11.836320,12.561630,13.156260,13.722000,14.112290,14.291710,14.452740", \ - "12.801830,13.453520,14.020450,14.609160,15.040370,15.262300,15.354360"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.578680,18.431420,18.833430,19.358240,19.768170,20.481210,20.496530", \ - "17.545510,18.367740,18.735230,19.317120,19.746450,20.407880,20.444550", \ - "17.383460,18.385070,18.764610,19.268100,19.705980,20.333710,19.848860", \ - "17.291340,18.339200,18.747460,19.206140,19.768610,20.293770,20.267550", \ - "17.380640,18.327180,18.779930,19.361160,19.928190,20.089200,19.966870", \ - "17.737210,18.699060,19.131570,19.705710,20.356540,20.322960,20.258230", \ - "18.177910,19.189720,19.551190,20.159460,20.686120,21.168270,21.062870"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.598889,10.459540,10.976480,11.462370,11.762460,11.890590,11.954250", \ - "9.656029,10.447660,10.991300,11.479240,11.765640,11.897820,11.963370", \ - "9.631812,10.420000,11.007870,11.484330,11.782550,11.917130,11.985230", \ - "9.906891,10.704470,11.228830,11.712040,12.015220,12.150320,12.224270", \ - "10.467120,11.244620,11.792720,12.256460,12.545180,12.693890,12.770940", \ - "11.500710,12.223060,12.721810,13.248840,13.580770,13.691540,13.747710", \ - "12.708940,13.412890,13.959910,14.582340,15.012560,15.204560,15.229580"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.595160,14.285940,14.621950,15.281670,15.700990,15.813890,15.513930", \ - "13.515850,14.296540,14.559690,15.079820,15.501230,15.860250,15.416400", \ - "13.412190,14.240240,14.495200,15.023240,15.598140,15.162140,15.780200", \ - "13.632680,14.357810,14.672450,15.302740,15.494390,15.524060,15.413250", \ - "14.298790,15.116530,15.486690,15.826910,16.403880,16.439130,16.249710", \ - "15.241500,16.047070,16.511410,17.115070,17.622720,17.601430,17.281260", \ - "16.454260,17.482060,17.822010,18.275870,18.939210,19.108960,19.332080"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.629731,10.411770,10.987730,11.474220,11.756350,11.890490,11.955870", \ - "9.659869,10.467340,10.986400,11.468250,11.764640,11.898670,11.967220", \ - "9.650100,10.449130,11.025000,11.499150,11.796900,11.930790,11.999870", \ - "9.901980,10.694440,11.246090,11.736430,12.033300,12.170060,12.239740", \ - "10.464410,11.239320,11.787890,12.252370,12.544200,12.694410,12.766490", \ - "11.401060,12.126020,12.683780,13.190470,13.528170,13.636120,13.688420", \ - "12.565380,13.210830,13.805670,14.422300,14.862740,15.062040,15.087550"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.590680,14.416420,14.792330,15.254500,15.850660,16.347540,16.246200", \ - "13.514480,14.419080,14.724710,15.124050,15.667660,16.279380,16.195570", \ - "13.445700,14.289860,14.698620,15.059990,15.607690,15.838410,16.247320", \ - "13.658320,14.453090,14.785930,15.192710,16.010090,16.238350,16.236630", \ - "14.268280,15.025830,15.418240,15.869810,16.600660,16.822710,16.922200", \ - "15.184640,16.143030,16.525690,16.914190,17.634110,17.283130,17.432590", \ - "16.433710,17.434520,17.846550,18.386040,19.120250,18.969450,19.022560"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.558291,10.426890,10.974050,11.472930,11.772590,11.903690,11.975500", \ - "9.635829,10.436540,10.976740,11.472710,11.775050,11.916170,11.985710", \ - "9.668282,10.460240,11.009490,11.511170,11.808040,11.950600,12.018880", \ - "9.927865,10.732020,11.261360,11.762970,12.064520,12.209430,12.283490", \ - "10.626200,11.307080,11.813010,12.317410,12.614950,12.771730,12.838080", \ - "11.529850,12.244960,12.761310,13.309220,13.648640,13.754010,13.819570", \ - "12.897020,13.447900,13.966500,14.598040,15.070050,15.260020,15.292740"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.546250,15.350270,15.720630,16.376740,16.927160,16.626200,17.452500", \ - "14.484170,15.384600,15.749100,16.116700,16.781770,17.286520,17.400550", \ - "14.460840,15.325190,15.655890,16.259390,16.857140,17.117240,17.331020", \ - "14.546620,15.349310,15.771550,16.379420,16.922380,17.130080,16.317200", \ - "15.055940,15.919250,16.335860,16.769110,17.123610,17.601950,17.075200", \ - "15.994540,17.014170,17.383520,17.961350,18.596700,18.893920,18.424440", \ - "17.302710,18.242490,18.682420,19.232950,19.895620,20.239080,19.998840"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.603058,10.411330,10.979550,11.473510,11.759090,11.892290,11.961930", \ - "9.609681,10.406380,10.991210,11.475310,11.778630,11.900510,11.960770", \ - "9.581450,10.431530,10.954920,11.436860,11.734110,11.873650,11.933200", \ - "9.678976,10.456270,11.020300,11.499110,11.808230,11.947010,12.000460", \ - "10.082340,10.808230,11.361990,11.858050,12.120660,12.266790,12.329900", \ - "10.758360,11.495520,12.031290,12.563990,12.871510,12.969990,13.019180", \ - "11.636750,12.311170,12.890060,13.508480,13.927020,14.120200,14.141900"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.281990,15.093380,15.554840,16.117160,16.482490,16.245440,16.089740", \ - "14.271200,15.103630,15.434090,15.890110,16.127880,16.727930,16.684880", \ - "14.185530,14.982540,15.377450,16.000180,16.430290,16.728220,16.273160", \ - "14.421200,15.236200,15.546480,16.013680,16.698380,16.724390,16.612170", \ - "15.093860,15.890320,16.257070,16.827340,17.358040,17.217040,17.528360", \ - "16.092720,16.955970,17.381350,17.804010,18.488330,18.384960,18.461030", \ - "17.340520,18.290980,18.733320,19.307280,19.941150,20.107560,20.051800"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.597664,10.415790,10.987240,11.471280,11.758050,11.902220,11.961850", \ - "9.616843,10.459310,10.998110,11.483140,11.766130,11.902060,11.962640", \ - "9.596479,10.437170,10.981530,11.457150,11.746930,11.882380,11.946250", \ - "9.727450,10.495820,11.020490,11.522900,11.825680,11.966150,12.020600", \ - "10.104300,10.873950,11.378160,11.866510,12.132000,12.271850,12.338920", \ - "10.723440,11.441040,11.996080,12.527620,12.831160,12.940500,12.986630", \ - "11.468100,12.212560,12.795140,13.400520,13.824180,14.019970,14.047650"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.492020,15.315410,15.648540,16.272730,16.907930,17.125740,17.362190", \ - "14.397060,15.192490,15.619980,16.216240,16.722880,17.168660,17.302220", \ - "14.351140,15.241110,15.588690,16.134660,16.321920,17.024930,17.272040", \ - "14.464180,15.336870,15.805220,16.353460,16.890220,16.876360,17.402100", \ - "14.915710,15.889520,16.279730,16.826410,17.209720,17.628120,17.840570", \ - "15.984010,17.027300,17.448500,17.853990,18.519500,18.573530,18.625890", \ - "17.290260,18.338110,18.738390,19.292900,19.988550,20.362570,19.617370"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.628612,10.428250,10.973840,11.461240,11.784570,11.912290,11.976440", \ - "9.608506,10.417470,10.958070,11.482010,11.783650,11.916330,11.985250", \ - "9.617892,10.427720,10.938090,11.461720,11.761190,11.900520,11.968630", \ - "9.684171,10.493050,11.026950,11.536730,11.845020,11.987190,12.051870", \ - "10.128110,10.876520,11.368570,11.888710,12.180440,12.324240,12.389810", \ - "10.769050,11.456890,12.029730,12.573450,12.896230,13.011650,13.062950", \ - "11.675140,12.344730,12.876800,13.491730,13.931140,14.123810,14.156730"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.423040,16.229470,16.625570,17.151430,17.651980,17.933070,17.974650", \ - "15.348150,16.164180,16.650350,17.153630,17.452340,17.736270,17.929130", \ - "15.290920,16.210690,16.525600,17.105020,17.847980,18.195150,17.780310", \ - "15.471630,16.365950,16.749470,17.178590,17.900340,17.763640,17.830170", \ - "15.865230,16.740750,17.175120,17.738050,18.353930,18.478410,18.359900", \ - "16.923170,17.856240,18.185310,18.704130,19.356730,19.869520,19.891510", \ - "18.231260,19.204960,19.602890,20.097410,20.477690,20.983670,21.437890"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI222_X1 - Cell Description : Combinational cell (AOI222_X1) with drive strength X1 - *******************************************************************************************/ - - cell (AOI222_X1) { - - drive_strength : 1; - - area : 2.128000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 47.398844; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 10.446689; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 31.761840; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 11.049171; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 32.355532; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 31.761840; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 53.075110; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 32.364201; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 46.946757; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 11.049171; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 32.364202; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 11.651861; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 46.943127; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 32.819237; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 47.439326; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 47.435564; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 59.102247; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 31.761840; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 53.075110; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 32.364311; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 47.029741; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 53.075220; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 74.386070; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 53.677471; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 61.645111; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 32.364312; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 53.677472; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 32.967113; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 61.641360; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 47.384601; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 62.004712; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 62.000961; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 73.847092; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 11.049170; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 32.364310; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 11.651860; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 47.025891; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 32.364310; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 53.677472; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 32.967110; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 61.641360; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 11.651860; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 32.967112; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 12.254881; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 61.635200; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 47.380729; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 62.000851; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 61.994559; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 73.844233; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 37.518481; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 52.137228; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 52.132740; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 59.177190; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 52.137272; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 66.756041; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 66.751553; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 73.869372; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 52.132795; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 66.751553; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 66.744436; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 73.866511; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 59.321584; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 73.941926; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 73.939063; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 88.409023; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.636678; - fall_capacitance : 1.402766; - rise_capacitance : 1.636678; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.695263; - fall_capacitance : 1.399063; - rise_capacitance : 1.695263; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.579130; - fall_capacitance : 1.474216; - rise_capacitance : 1.579130; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.621925; - fall_capacitance : 1.450570; - rise_capacitance : 1.621925; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.547208; - fall_capacitance : 1.532896; - rise_capacitance : 1.547208; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.586715; - fall_capacitance : 1.500883; - rise_capacitance : 1.586715; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 13.008100; - function : "!(((A1 & A2) | (B1 & B2)) | (C1 & C2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008528,0.009163,0.010304,0.012363,0.016076,0.022782,0.034918", \ - "0.009834,0.010475,0.011632,0.013715,0.017456,0.024193,0.036354", \ - "0.013799,0.014672,0.016165,0.018639,0.022572,0.029260,0.041397", \ - "0.016172,0.017437,0.019621,0.023246,0.029065,0.038042,0.051407", \ - "0.016078,0.017764,0.020661,0.025449,0.033134,0.045040,0.062844", \ - "0.013271,0.015390,0.019001,0.024960,0.034532,0.049354,0.071568", \ - "0.007526,0.010020,0.014335,0.021477,0.032951,0.050748,0.077382"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.016709,0.018263,0.021066,0.026133,0.035249,0.051634,0.081164", \ - "0.017556,0.019101,0.021922,0.027041,0.036258,0.052786,0.082460", \ - "0.023452,0.024817,0.027378,0.032203,0.041187,0.057589,0.087265", \ - "0.033564,0.035447,0.038694,0.044146,0.052998,0.068638,0.097780", \ - "0.044690,0.047062,0.051114,0.057984,0.069307,0.087288,0.115844", \ - "0.057550,0.060335,0.065069,0.073149,0.086586,0.108204,0.141690", \ - "0.072332,0.075487,0.080954,0.090170,0.105490,0.130323,0.169304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005188,0.005724,0.006694,0.008456,0.011649,0.017437,0.027936", \ - "0.005190,0.005724,0.006693,0.008453,0.011649,0.017437,0.027935", \ - "0.007601,0.008011,0.008736,0.009922,0.012300,0.017465,0.027936", \ - "0.012405,0.012958,0.013898,0.015502,0.018126,0.022359,0.029825", \ - "0.018852,0.019535,0.020697,0.022689,0.025963,0.031165,0.039267", \ - "0.026975,0.027804,0.029227,0.031636,0.035547,0.041744,0.051376", \ - "0.036771,0.037800,0.039543,0.042394,0.047025,0.054249,0.065363"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014165,0.015656,0.018306,0.023043,0.031469,0.046469,0.073539", \ - "0.013973,0.015485,0.018190,0.022976,0.031420,0.046463,0.073528", \ - "0.014020,0.015290,0.017721,0.022487,0.031222,0.046417,0.073520", \ - "0.019269,0.020401,0.022390,0.025619,0.032302,0.046120,0.073478", \ - "0.025462,0.026797,0.029147,0.033226,0.040020,0.051150,0.074181", \ - "0.032727,0.034218,0.036865,0.041532,0.049445,0.062266,0.082556", \ - "0.041530,0.043133,0.045991,0.051054,0.059829,0.074388,0.097380"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008528,0.009161,0.010304,0.012362,0.016074,0.022781,0.034915", \ - "0.009837,0.010480,0.011636,0.013718,0.017460,0.024196,0.036357", \ - "0.013868,0.014737,0.016225,0.018692,0.022616,0.029301,0.041437", \ - "0.016272,0.017538,0.019720,0.023347,0.029164,0.038128,0.051476", \ - "0.015919,0.017612,0.020542,0.025372,0.033107,0.045071,0.062903", \ - "0.012422,0.014586,0.018247,0.024323,0.034058,0.049065,0.071450", \ - "0.005548,0.008109,0.012554,0.019877,0.031641,0.049796,0.076813"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.018219,0.020107,0.023569,0.029944,0.041562,0.062524,0.100319", \ - "0.018930,0.020802,0.024262,0.030675,0.042410,0.063563,0.101530", \ - "0.024915,0.026540,0.029642,0.035618,0.046994,0.067997,0.105995", \ - "0.036406,0.038494,0.042119,0.048246,0.058526,0.078565,0.115934", \ - "0.049213,0.051854,0.056378,0.064093,0.076874,0.097387,0.133229", \ - "0.064016,0.067106,0.072371,0.081420,0.096575,0.121087,0.159461", \ - "0.080996,0.084486,0.090542,0.100831,0.118056,0.146145,0.190554"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005191,0.005724,0.006695,0.008455,0.011647,0.017437,0.027937", \ - "0.005188,0.005721,0.006692,0.008453,0.011649,0.017436,0.027934", \ - "0.007571,0.007985,0.008707,0.009897,0.012286,0.017462,0.027937", \ - "0.012337,0.012890,0.013840,0.015446,0.018080,0.022323,0.029805", \ - "0.018752,0.019446,0.020620,0.022634,0.025927,0.031143,0.039236", \ - "0.026879,0.027719,0.029162,0.031626,0.035572,0.041803,0.051422", \ - "0.036698,0.037754,0.039539,0.042469,0.047171,0.054451,0.065573"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.017599,0.019642,0.023265,0.029629,0.040679,0.059961,0.094239", \ - "0.017204,0.019278,0.022970,0.029441,0.040597,0.059934,0.094227", \ - "0.016632,0.018444,0.021889,0.028562,0.040165,0.059846,0.094225", \ - "0.021661,0.023088,0.025364,0.030028,0.039704,0.059063,0.094159", \ - "0.028055,0.029628,0.032446,0.037394,0.045768,0.061249,0.093490", \ - "0.035416,0.037186,0.040295,0.045817,0.055278,0.070925,0.098226", \ - "0.044158,0.046044,0.049408,0.055375,0.065751,0.083116,0.111055"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008711,0.009346,0.010489,0.012551,0.016269,0.022987,0.035144", \ - "0.010022,0.010665,0.011824,0.013909,0.017656,0.024404,0.036586", \ - "0.014137,0.014994,0.016467,0.018912,0.022810,0.029508,0.041665", \ - "0.016701,0.017952,0.020104,0.023699,0.029477,0.038401,0.051706", \ - "0.016565,0.018230,0.021119,0.025900,0.033578,0.045473,0.063250", \ - "0.013334,0.015463,0.019082,0.025077,0.034727,0.049643,0.071944", \ - "0.006934,0.009386,0.013731,0.020948,0.032578,0.050594,0.077490"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024855,0.026822,0.030394,0.036865,0.048549,0.069557,0.107395", \ - "0.025622,0.027593,0.031183,0.037708,0.049491,0.070652,0.108643", \ - "0.030867,0.032704,0.036103,0.042404,0.054003,0.075087,0.113121", \ - "0.043403,0.045307,0.048660,0.054328,0.065063,0.085477,0.122982", \ - "0.058039,0.060453,0.064659,0.071891,0.084003,0.103767,0.140107", \ - "0.074343,0.077152,0.082137,0.090660,0.105086,0.128686,0.166017", \ - "0.092719,0.095914,0.101569,0.111333,0.127782,0.154914,0.198198"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006476,0.007078,0.008156,0.010058,0.013384,0.019247,0.029769", \ - "0.006475,0.007079,0.008157,0.010058,0.013384,0.019246,0.029764", \ - "0.009218,0.009613,0.010273,0.011464,0.013998,0.019269,0.029769", \ - "0.015290,0.015721,0.016495,0.017864,0.020207,0.024176,0.031608", \ - "0.023138,0.023627,0.024493,0.026089,0.028900,0.033615,0.041251", \ - "0.032836,0.033396,0.034399,0.036261,0.039505,0.045013,0.053978", \ - "0.044367,0.045072,0.046261,0.048416,0.052187,0.058488,0.068739"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022058,0.024026,0.027526,0.033720,0.044601,0.063819,0.098223", \ - "0.021817,0.023818,0.027363,0.033617,0.044575,0.063819,0.098231", \ - "0.020750,0.022844,0.026557,0.033064,0.044308,0.063759,0.098224", \ - "0.023590,0.024981,0.027705,0.033055,0.043376,0.063278,0.098164", \ - "0.030264,0.031867,0.034697,0.039641,0.047949,0.064361,0.097503", \ - "0.037607,0.039435,0.042633,0.048209,0.057634,0.073157,0.101269", \ - "0.046138,0.048122,0.051638,0.057786,0.068273,0.085583,0.113310"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008537,0.009170,0.010313,0.012371,0.016084,0.022790,0.034924", \ - "0.009871,0.010515,0.011671,0.013753,0.017495,0.024231,0.036393", \ - "0.013905,0.014775,0.016263,0.018729,0.022650,0.029338,0.041474", \ - "0.016234,0.017503,0.019699,0.023331,0.029163,0.038135,0.051488", \ - "0.015793,0.017505,0.020444,0.025300,0.033058,0.045038,0.062888", \ - "0.012266,0.014418,0.018117,0.024207,0.033982,0.049017,0.071420", \ - "0.005366,0.007946,0.012404,0.019754,0.031554,0.049736,0.076775"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020599,0.022595,0.026197,0.032678,0.044297,0.065173,0.102812", \ - "0.021096,0.023103,0.026739,0.033305,0.045082,0.066144,0.103954", \ - "0.026452,0.028252,0.031614,0.037889,0.049438,0.070390,0.108239", \ - "0.037700,0.039856,0.043572,0.049863,0.060507,0.080775,0.118017", \ - "0.050262,0.052956,0.057555,0.065403,0.078377,0.099112,0.135219", \ - "0.064794,0.067943,0.073290,0.082450,0.097772,0.122528,0.161186", \ - "0.081628,0.085148,0.091247,0.101639,0.119010,0.147322,0.192005"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005189,0.005724,0.006694,0.008453,0.011648,0.017437,0.027934", \ - "0.005189,0.005721,0.006692,0.008454,0.011648,0.017436,0.027936", \ - "0.007552,0.007967,0.008692,0.009884,0.012277,0.017459,0.027935", \ - "0.012353,0.012905,0.013852,0.015456,0.018083,0.022318,0.029800", \ - "0.018839,0.019537,0.020698,0.022698,0.025973,0.031156,0.039239", \ - "0.027046,0.027887,0.029329,0.031764,0.035681,0.041865,0.051445", \ - "0.036938,0.037994,0.039772,0.042667,0.047339,0.054579,0.065639"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.018492,0.020362,0.023686,0.029599,0.040147,0.059018,0.093111", \ - "0.018273,0.020184,0.023569,0.029546,0.040130,0.059019,0.093111", \ - "0.017611,0.019402,0.022817,0.029142,0.039988,0.058996,0.093104", \ - "0.022125,0.023533,0.025806,0.030480,0.039879,0.058727,0.093090", \ - "0.028227,0.029821,0.032670,0.037650,0.045940,0.061137,0.092850", \ - "0.035434,0.037211,0.040348,0.045917,0.055410,0.070988,0.097861", \ - "0.044050,0.045944,0.049333,0.055355,0.065786,0.083171,0.110953"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008536,0.009170,0.010311,0.012369,0.016082,0.022788,0.034922", \ - "0.009874,0.010517,0.011674,0.013756,0.017496,0.024232,0.036392", \ - "0.013957,0.014823,0.016306,0.018767,0.022680,0.029367,0.041500", \ - "0.016322,0.017593,0.019786,0.023420,0.029242,0.038204,0.051540", \ - "0.015704,0.017418,0.020371,0.025263,0.033057,0.045074,0.062939", \ - "0.011618,0.013822,0.017558,0.023742,0.033628,0.048803,0.071333", \ - "0.003823,0.006449,0.011008,0.018501,0.030525,0.048993,0.076325"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022333,0.024711,0.029051,0.036912,0.051092,0.076572,0.122468", \ - "0.022668,0.025039,0.029396,0.037353,0.051706,0.077420,0.123539", \ - "0.028029,0.030139,0.034134,0.041691,0.055757,0.081342,0.127527", \ - "0.040343,0.042708,0.046800,0.053669,0.066573,0.091356,0.136850", \ - "0.054412,0.057375,0.062445,0.071102,0.085511,0.109169,0.153478", \ - "0.070609,0.074070,0.079956,0.090074,0.107045,0.134642,0.178656", \ - "0.089351,0.093199,0.099879,0.111316,0.130523,0.162028,0.212023"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005187,0.005725,0.006694,0.008456,0.011650,0.017437,0.027936", \ - "0.005189,0.005723,0.006694,0.008454,0.011649,0.017438,0.027937", \ - "0.007530,0.007949,0.008673,0.009868,0.012270,0.017458,0.027937", \ - "0.012296,0.012850,0.013800,0.015402,0.018041,0.022292,0.029778", \ - "0.018722,0.019434,0.020620,0.022634,0.025924,0.031134,0.039218", \ - "0.026915,0.027773,0.029232,0.031707,0.035676,0.041891,0.051471", \ - "0.036812,0.037893,0.039692,0.042655,0.047397,0.054693,0.065781"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022386,0.024789,0.029036,0.036465,0.049454,0.072399,0.113660", \ - "0.021968,0.024439,0.028780,0.036337,0.049397,0.072413,0.113670", \ - "0.020794,0.023154,0.027675,0.035661,0.049165,0.072390,0.113660", \ - "0.024610,0.026196,0.029370,0.035693,0.048120,0.072051,0.113635", \ - "0.030866,0.032731,0.036098,0.042075,0.052207,0.072402,0.113345", \ - "0.038140,0.040168,0.043802,0.050265,0.061410,0.079950,0.115212", \ - "0.046688,0.048872,0.052763,0.059698,0.071770,0.092075,0.125243"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008720,0.009354,0.010497,0.012558,0.016277,0.022994,0.035150", \ - "0.010059,0.010702,0.011860,0.013945,0.017692,0.024439,0.036621", \ - "0.014222,0.015078,0.016546,0.018985,0.022876,0.029573,0.041728", \ - "0.016752,0.018006,0.020173,0.023773,0.029553,0.038474,0.051769", \ - "0.016338,0.018042,0.020953,0.025792,0.033530,0.045477,0.063285", \ - "0.012540,0.014710,0.018395,0.024508,0.034308,0.049386,0.071831", \ - "0.005187,0.007727,0.012199,0.019596,0.031477,0.049799,0.077007"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030716,0.033145,0.037532,0.045436,0.059635,0.085152,0.131122", \ - "0.031173,0.033619,0.038045,0.046033,0.060374,0.086062,0.132232", \ - "0.035792,0.038116,0.042377,0.050176,0.064361,0.090003,0.136225", \ - "0.048305,0.050478,0.054180,0.061277,0.074795,0.099807,0.145451", \ - "0.064363,0.067056,0.071776,0.079906,0.093634,0.117284,0.161872", \ - "0.082212,0.085321,0.090922,0.100461,0.116655,0.143253,0.186814", \ - "0.102372,0.105914,0.112180,0.123067,0.141473,0.171888,0.220676"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006475,0.007080,0.008157,0.010059,0.013384,0.019249,0.029768", \ - "0.006476,0.007079,0.008157,0.010058,0.013384,0.019246,0.029766", \ - "0.009174,0.009572,0.010231,0.011435,0.013980,0.019265,0.029768", \ - "0.015249,0.015676,0.016448,0.017813,0.020169,0.024144,0.031583", \ - "0.023129,0.023612,0.024502,0.026098,0.028904,0.033598,0.041232", \ - "0.032932,0.033479,0.034503,0.036374,0.039618,0.045109,0.054031", \ - "0.044573,0.045308,0.046486,0.048664,0.052442,0.058740,0.068952"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.027575,0.029877,0.033979,0.041245,0.054126,0.077129,0.118566", \ - "0.027381,0.029721,0.033867,0.041189,0.054117,0.077145,0.118573", \ - "0.026460,0.028921,0.033265,0.040845,0.054002,0.077108,0.118537", \ - "0.027440,0.029406,0.033062,0.039952,0.052982,0.076977,0.118521", \ - "0.033742,0.035664,0.039075,0.044891,0.055570,0.076650,0.118345", \ - "0.040980,0.043099,0.046793,0.053303,0.064443,0.083060,0.119353", \ - "0.049357,0.051637,0.055692,0.062784,0.074926,0.095184,0.128517"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008720,0.009354,0.010498,0.012559,0.016278,0.022996,0.035152", \ - "0.010056,0.010699,0.011858,0.013943,0.017690,0.024438,0.036620", \ - "0.014172,0.015030,0.016503,0.018948,0.022844,0.029544,0.041701", \ - "0.016662,0.017920,0.020085,0.023690,0.029476,0.038408,0.051717", \ - "0.016439,0.018120,0.021027,0.025829,0.033531,0.045444,0.063237", \ - "0.013186,0.015313,0.018948,0.024971,0.034652,0.049597,0.071915", \ - "0.006684,0.009229,0.013577,0.020832,0.032496,0.050536,0.077453"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.027512,0.029517,0.033127,0.039613,0.051252,0.072166,0.109863", \ - "0.028149,0.030170,0.033815,0.040372,0.052124,0.073185,0.111039", \ - "0.032938,0.034870,0.038393,0.044806,0.056434,0.077434,0.115333", \ - "0.044894,0.046853,0.050286,0.056128,0.067185,0.087647,0.125022", \ - "0.059253,0.061695,0.065976,0.073307,0.085593,0.105630,0.142046", \ - "0.075279,0.078126,0.083170,0.091790,0.106363,0.130175,0.167769", \ - "0.093448,0.096670,0.102373,0.112222,0.128803,0.156129,0.199656"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006475,0.007080,0.008158,0.010058,0.013384,0.019249,0.029766", \ - "0.006475,0.007079,0.008157,0.010059,0.013384,0.019247,0.029765", \ - "0.009198,0.009595,0.010253,0.011450,0.013989,0.019267,0.029767", \ - "0.015309,0.015738,0.016503,0.017866,0.020212,0.024171,0.031607", \ - "0.023233,0.023729,0.024583,0.026164,0.028945,0.033630,0.041260", \ - "0.033073,0.033581,0.034578,0.036415,0.039613,0.045081,0.054004", \ - "0.044701,0.045316,0.046519,0.048635,0.052360,0.058614,0.068801"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022362,0.024193,0.027477,0.033363,0.043894,0.062861,0.097102", \ - "0.022275,0.024123,0.027433,0.033338,0.043895,0.062865,0.097088", \ - "0.021671,0.023620,0.027077,0.033153,0.043838,0.062881,0.097073", \ - "0.024006,0.025433,0.028156,0.033362,0.043376,0.062750,0.097054", \ - "0.030459,0.032080,0.034922,0.039873,0.048091,0.064127,0.096777", \ - "0.037638,0.039493,0.042711,0.048310,0.057753,0.073130,0.100801", \ - "0.046031,0.048057,0.051597,0.057781,0.068298,0.085604,0.113132"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008720,0.009354,0.010497,0.012558,0.016277,0.022994,0.035150", \ - "0.010059,0.010702,0.011860,0.013945,0.017692,0.024439,0.036621", \ - "0.014222,0.015078,0.016546,0.018985,0.022876,0.029573,0.041728", \ - "0.016752,0.018006,0.020173,0.023773,0.029553,0.038474,0.051769", \ - "0.016338,0.018042,0.020953,0.025792,0.033530,0.045477,0.063285", \ - "0.012540,0.014710,0.018395,0.024508,0.034308,0.049386,0.071831", \ - "0.005187,0.007727,0.012199,0.019596,0.031477,0.049799,0.077007"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030716,0.033145,0.037532,0.045436,0.059635,0.085152,0.131122", \ - "0.031173,0.033619,0.038045,0.046033,0.060374,0.086062,0.132232", \ - "0.035792,0.038116,0.042377,0.050176,0.064361,0.090003,0.136225", \ - "0.048305,0.050478,0.054180,0.061277,0.074795,0.099807,0.145451", \ - "0.064363,0.067056,0.071776,0.079906,0.093634,0.117284,0.161872", \ - "0.082212,0.085321,0.090922,0.100461,0.116655,0.143253,0.186814", \ - "0.102372,0.105914,0.112180,0.123067,0.141473,0.171888,0.220676"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006475,0.007080,0.008157,0.010059,0.013384,0.019249,0.029768", \ - "0.006476,0.007079,0.008157,0.010058,0.013384,0.019246,0.029766", \ - "0.009174,0.009572,0.010231,0.011435,0.013980,0.019265,0.029768", \ - "0.015249,0.015676,0.016448,0.017813,0.020169,0.024144,0.031583", \ - "0.023129,0.023612,0.024502,0.026098,0.028904,0.033598,0.041232", \ - "0.032932,0.033479,0.034503,0.036374,0.039618,0.045109,0.054031", \ - "0.044573,0.045308,0.046486,0.048664,0.052442,0.058740,0.068952"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.027575,0.029877,0.033979,0.041245,0.054126,0.077129,0.118566", \ - "0.027381,0.029721,0.033867,0.041189,0.054117,0.077145,0.118573", \ - "0.026460,0.028921,0.033265,0.040845,0.054002,0.077108,0.118537", \ - "0.027440,0.029406,0.033062,0.039952,0.052982,0.076977,0.118521", \ - "0.033742,0.035664,0.039075,0.044891,0.055570,0.076650,0.118345", \ - "0.040980,0.043099,0.046793,0.053303,0.064443,0.083060,0.119353", \ - "0.049357,0.051637,0.055692,0.062784,0.074926,0.095184,0.128517"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008905,0.009539,0.010684,0.012748,0.016473,0.023203,0.035381", \ - "0.010246,0.010890,0.012048,0.014136,0.017889,0.024648,0.036851", \ - "0.014487,0.015332,0.016784,0.019203,0.023070,0.029782,0.041959", \ - "0.017180,0.018418,0.020557,0.024124,0.029866,0.038746,0.052001", \ - "0.016994,0.018671,0.021541,0.026319,0.033999,0.045882,0.063634", \ - "0.013519,0.015646,0.019258,0.025279,0.034981,0.049969,0.072326", \ - "0.006608,0.009182,0.013460,0.020719,0.032450,0.050622,0.077700"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.039277,0.041709,0.046104,0.054013,0.068217,0.093747,0.139796", \ - "0.039867,0.042325,0.046753,0.054726,0.069042,0.094722,0.140915", \ - "0.044159,0.046555,0.050907,0.058782,0.073013,0.098670,0.144937", \ - "0.055657,0.057823,0.061898,0.069376,0.083169,0.108317,0.154073", \ - "0.073624,0.076135,0.080603,0.088307,0.101390,0.125531,0.170326", \ - "0.093035,0.095993,0.101287,0.110389,0.125886,0.151658,0.195063", \ - "0.114645,0.117994,0.123914,0.134383,0.152026,0.181511,0.229157"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008580,0.009147,0.010166,0.011989,0.015246,0.021081,0.031602", \ - "0.008580,0.009147,0.010164,0.011988,0.015248,0.021081,0.031603", \ - "0.011287,0.011548,0.012118,0.013314,0.015817,0.021095,0.031605", \ - "0.018083,0.018414,0.019031,0.020182,0.022271,0.025946,0.033398", \ - "0.027055,0.027399,0.028067,0.029346,0.031750,0.036008,0.043220", \ - "0.038159,0.038520,0.039252,0.040677,0.043356,0.048225,0.056547", \ - "0.051323,0.051694,0.052569,0.054155,0.057191,0.062668,0.072075"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.032421,0.034667,0.038705,0.045916,0.058794,0.081900,0.123502", \ - "0.032332,0.034599,0.038657,0.045895,0.058783,0.081897,0.123476", \ - "0.031845,0.034189,0.038355,0.045722,0.058740,0.081863,0.123439", \ - "0.031303,0.033445,0.037365,0.044624,0.058196,0.081805,0.123427", \ - "0.036906,0.038828,0.042083,0.047937,0.059295,0.081127,0.123291", \ - "0.044248,0.046340,0.050013,0.056493,0.067543,0.086443,0.123684", \ - "0.052686,0.054973,0.059025,0.066112,0.078200,0.098300,0.131954"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009855,0.010483,0.011621,0.013674,0.017380,0.024081,0.036214", \ - "0.011193,0.011839,0.012998,0.015081,0.018822,0.025556,0.037715", \ - "0.014455,0.015223,0.016565,0.018887,0.022834,0.029639,0.041868", \ - "0.017238,0.018321,0.020178,0.023275,0.028293,0.036331,0.049433", \ - "0.017896,0.019381,0.021919,0.026107,0.032780,0.043051,0.058658", \ - "0.015846,0.017750,0.021032,0.026428,0.034980,0.048013,0.067299", \ - "0.010797,0.013160,0.017217,0.023853,0.034366,0.050379,0.073886"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021338,0.022876,0.025660,0.030690,0.039759,0.056112,0.085638", \ - "0.022301,0.023851,0.026659,0.031729,0.040860,0.057282,0.086877", \ - "0.027973,0.029435,0.032122,0.037045,0.046046,0.062384,0.091943", \ - "0.039676,0.041354,0.044302,0.049330,0.057796,0.073607,0.102701", \ - "0.052772,0.054884,0.058595,0.064953,0.075557,0.092653,0.120907", \ - "0.067539,0.070025,0.074423,0.081928,0.094541,0.115114,0.147408", \ - "0.084490,0.087298,0.092324,0.100867,0.115277,0.138915,0.176480"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005187,0.005721,0.006695,0.008454,0.011648,0.017437,0.027937", \ - "0.005189,0.005725,0.006694,0.008456,0.011650,0.017436,0.027938", \ - "0.006287,0.006751,0.007601,0.009112,0.011963,0.017459,0.027937", \ - "0.009490,0.009944,0.010757,0.012206,0.014834,0.019711,0.028874", \ - "0.014297,0.014836,0.015765,0.017375,0.020087,0.024793,0.033367", \ - "0.020374,0.021024,0.022126,0.024022,0.027139,0.032231,0.040780", \ - "0.027601,0.028361,0.029660,0.031901,0.035577,0.041394,0.050606"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.017190,0.018655,0.021286,0.025982,0.034386,0.049469,0.076574", \ - "0.017084,0.018570,0.021217,0.025949,0.034379,0.049453,0.076589", \ - "0.016609,0.018044,0.020769,0.025667,0.034265,0.049449,0.076578", \ - "0.020738,0.021848,0.023696,0.027365,0.034620,0.049097,0.076549", \ - "0.026884,0.028256,0.030638,0.034709,0.041462,0.053048,0.076877", \ - "0.033543,0.035182,0.037999,0.042835,0.050860,0.063678,0.084359", \ - "0.040984,0.042854,0.046039,0.051576,0.060801,0.075663,0.098722"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009854,0.010483,0.011621,0.013673,0.017379,0.024081,0.036212", \ - "0.011197,0.011844,0.013003,0.015086,0.018825,0.025560,0.037718", \ - "0.014513,0.015278,0.016619,0.018937,0.022879,0.029682,0.041907", \ - "0.017379,0.018458,0.020310,0.023399,0.028404,0.036427,0.049517", \ - "0.017981,0.019463,0.022001,0.026198,0.032881,0.043159,0.058761", \ - "0.015577,0.017501,0.020807,0.026248,0.034858,0.047986,0.067333", \ - "0.009788,0.012189,0.016312,0.023067,0.033751,0.049962,0.073673"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023981,0.025904,0.029410,0.035798,0.047384,0.068311,0.106086", \ - "0.024801,0.026731,0.030258,0.036689,0.048349,0.069365,0.107227", \ - "0.030438,0.032244,0.035586,0.041802,0.053277,0.074198,0.112020", \ - "0.043247,0.045134,0.048455,0.054104,0.064726,0.084993,0.122289", \ - "0.058242,0.060628,0.064787,0.071957,0.083974,0.103634,0.139780", \ - "0.075099,0.077879,0.082776,0.091228,0.105513,0.128923,0.166033", \ - "0.094235,0.097389,0.102982,0.112625,0.128881,0.155740,0.198671"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005186,0.005721,0.006693,0.008456,0.011648,0.017437,0.027936", \ - "0.005187,0.005723,0.006694,0.008454,0.011648,0.017439,0.027938", \ - "0.006276,0.006737,0.007592,0.009104,0.011958,0.017457,0.027936", \ - "0.009436,0.009893,0.010707,0.012165,0.014793,0.019689,0.028864", \ - "0.014186,0.014732,0.015675,0.017292,0.020031,0.024749,0.033336", \ - "0.020208,0.020862,0.021991,0.023910,0.027081,0.032198,0.040746", \ - "0.027398,0.028199,0.029529,0.031811,0.035522,0.041391,0.050631"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021920,0.023898,0.027417,0.033639,0.044552,0.063810,0.098196", \ - "0.021669,0.023677,0.027243,0.033528,0.044516,0.063815,0.098185", \ - "0.020659,0.022729,0.026441,0.032977,0.044253,0.063733,0.098194", \ - "0.023608,0.025024,0.027755,0.033084,0.043374,0.063257,0.098139", \ - "0.030061,0.031692,0.034544,0.039518,0.047917,0.064378,0.097479", \ - "0.036982,0.038877,0.042141,0.047816,0.057348,0.072976,0.101224", \ - "0.044570,0.046698,0.050384,0.056770,0.067539,0.085109,0.113029"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010037,0.010667,0.011806,0.013861,0.017574,0.024287,0.036440", \ - "0.011384,0.012029,0.013190,0.015275,0.019022,0.025766,0.037947", \ - "0.014738,0.015499,0.016834,0.019146,0.023078,0.029889,0.042136", \ - "0.017717,0.018784,0.020617,0.023679,0.028660,0.036667,0.049756", \ - "0.018482,0.019948,0.022453,0.026609,0.033243,0.043473,0.059047", \ - "0.016288,0.018185,0.021446,0.026827,0.035365,0.048412,0.067702", \ - "0.010779,0.013130,0.017200,0.023869,0.034438,0.050546,0.074161"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030775,0.032743,0.036303,0.042751,0.054383,0.075341,0.113169", \ - "0.031646,0.033624,0.037211,0.043694,0.055387,0.076422,0.114313", \ - "0.036916,0.038828,0.042316,0.048687,0.060274,0.081242,0.119115", \ - "0.049670,0.051432,0.054508,0.060358,0.071414,0.091897,0.129295", \ - "0.066329,0.068559,0.072494,0.079268,0.090763,0.110181,0.146637", \ - "0.084649,0.087234,0.091931,0.099940,0.113610,0.136226,0.172617", \ - "0.105078,0.108053,0.113325,0.122550,0.138151,0.164179,0.206095"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006476,0.007078,0.008156,0.010058,0.013383,0.019250,0.029768", \ - "0.006475,0.007079,0.008157,0.010058,0.013384,0.019248,0.029768", \ - "0.007745,0.008246,0.009128,0.010693,0.013684,0.019264,0.029767", \ - "0.011599,0.012006,0.012751,0.014139,0.016709,0.021537,0.030685", \ - "0.017380,0.017790,0.018540,0.019897,0.022345,0.026843,0.035252", \ - "0.024562,0.025024,0.025860,0.027365,0.030066,0.034705,0.042895", \ - "0.033038,0.033599,0.034525,0.036242,0.039316,0.044499,0.053145"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026115,0.028044,0.031482,0.037601,0.048441,0.067705,0.102203", \ - "0.025968,0.027916,0.031388,0.037546,0.048419,0.067680,0.102181", \ - "0.025268,0.027289,0.030876,0.037210,0.048269,0.067643,0.102163", \ - "0.026094,0.027737,0.030800,0.036520,0.047286,0.067369,0.102128", \ - "0.032539,0.034150,0.036959,0.041843,0.050523,0.067683,0.101655", \ - "0.039783,0.041638,0.044837,0.050405,0.059806,0.075284,0.104383", \ - "0.047576,0.049679,0.053332,0.059639,0.070270,0.087657,0.115412"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009863,0.010491,0.011629,0.013682,0.017388,0.024089,0.036221", \ - "0.011232,0.011878,0.013037,0.015119,0.018859,0.025594,0.037752", \ - "0.014554,0.015319,0.016660,0.018979,0.022918,0.029722,0.041947", \ - "0.017371,0.018454,0.020313,0.023407,0.028418,0.036447,0.049537", \ - "0.017884,0.019378,0.021935,0.026151,0.032852,0.043146,0.058761", \ - "0.015395,0.017335,0.020664,0.026135,0.034784,0.047937,0.067311", \ - "0.009545,0.011957,0.016111,0.022906,0.033631,0.049878,0.073633"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026627,0.028595,0.032149,0.038561,0.050112,0.070952,0.108568", \ - "0.027317,0.029305,0.032897,0.039369,0.051008,0.071937,0.109653", \ - "0.032501,0.034405,0.037879,0.044218,0.055733,0.076575,0.114267", \ - "0.044741,0.046678,0.050080,0.055910,0.066867,0.087186,0.124364", \ - "0.059452,0.061874,0.066101,0.073385,0.085578,0.105512,0.141744", \ - "0.076028,0.078843,0.083797,0.092347,0.106796,0.130419,0.167806", \ - "0.094948,0.098138,0.103776,0.113503,0.129896,0.156958,0.200150"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005188,0.005722,0.006695,0.008455,0.011649,0.017438,0.027936", \ - "0.005189,0.005723,0.006696,0.008456,0.011648,0.017437,0.027938", \ - "0.006263,0.006728,0.007583,0.009097,0.011954,0.017455,0.027935", \ - "0.009429,0.009886,0.010703,0.012163,0.014794,0.019683,0.028861", \ - "0.014220,0.014763,0.015700,0.017312,0.020038,0.024750,0.033339", \ - "0.020301,0.020957,0.022077,0.023986,0.027119,0.032228,0.040766", \ - "0.027569,0.028337,0.029662,0.031930,0.035633,0.041458,0.050672"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022328,0.024164,0.027457,0.033347,0.043902,0.062858,0.097100", \ - "0.022231,0.024086,0.027407,0.033322,0.043894,0.062868,0.097105", \ - "0.021608,0.023565,0.027029,0.033124,0.043828,0.062843,0.097092", \ - "0.024046,0.025483,0.028217,0.033418,0.043385,0.062702,0.097053", \ - "0.030275,0.031918,0.034789,0.039759,0.048065,0.064166,0.096758", \ - "0.037049,0.038957,0.042248,0.047938,0.057486,0.072979,0.100794", \ - "0.044530,0.046660,0.050375,0.056795,0.067598,0.085154,0.112885"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009862,0.010491,0.011628,0.013681,0.017388,0.024087,0.036217", \ - "0.011234,0.011880,0.013039,0.015121,0.018861,0.025594,0.037752", \ - "0.014597,0.015362,0.016700,0.019016,0.022950,0.029751,0.041973", \ - "0.017495,0.018573,0.020424,0.023508,0.028506,0.036522,0.049600", \ - "0.017986,0.019478,0.022031,0.026248,0.032951,0.043240,0.058844", \ - "0.015231,0.017182,0.020528,0.026030,0.034725,0.047929,0.067344", \ - "0.008804,0.011250,0.015456,0.022323,0.033159,0.049571,0.073472"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.029636,0.032016,0.036331,0.044142,0.058234,0.083646,0.129536", \ - "0.030159,0.032560,0.036917,0.044798,0.058995,0.084525,0.130520", \ - "0.035262,0.037549,0.041748,0.049454,0.063499,0.088939,0.134921", \ - "0.048129,0.050271,0.053977,0.061004,0.074401,0.099241,0.144636", \ - "0.064534,0.067199,0.071879,0.079956,0.093582,0.117113,0.161489", \ - "0.082890,0.085993,0.091501,0.100974,0.117038,0.143464,0.186829", \ - "0.103760,0.107275,0.113490,0.124254,0.142490,0.172652,0.221124"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.005188,0.005721,0.006695,0.008455,0.011648,0.017437,0.027935", \ - "0.005187,0.005724,0.006696,0.008455,0.011648,0.017436,0.027938", \ - "0.006252,0.006721,0.007578,0.009090,0.011951,0.017454,0.027937", \ - "0.009388,0.009848,0.010662,0.012122,0.014764,0.019667,0.028853", \ - "0.014118,0.014668,0.015614,0.017236,0.019980,0.024718,0.033316", \ - "0.020144,0.020808,0.021944,0.023871,0.027045,0.032176,0.040739", \ - "0.027380,0.028178,0.029514,0.031819,0.035560,0.041417,0.050677"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.027465,0.029788,0.033903,0.041200,0.054110,0.077129,0.118547", \ - "0.027258,0.029612,0.033782,0.041137,0.054100,0.077130,0.118535", \ - "0.026346,0.028810,0.033174,0.040781,0.053980,0.077126,0.118544", \ - "0.027488,0.029443,0.033107,0.039972,0.052968,0.076952,0.118519", \ - "0.033574,0.035514,0.038943,0.044811,0.055570,0.076663,0.118335", \ - "0.040472,0.042638,0.046403,0.052988,0.064208,0.082988,0.119384", \ - "0.048059,0.050469,0.054671,0.061979,0.074351,0.094798,0.128399"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010044,0.010674,0.011814,0.013869,0.017582,0.024295,0.036446", \ - "0.011419,0.012065,0.013226,0.015311,0.019057,0.025801,0.037981", \ - "0.014821,0.015581,0.016914,0.019224,0.023148,0.029958,0.042202", \ - "0.017829,0.018897,0.020729,0.023789,0.028762,0.036762,0.049839", \ - "0.018484,0.019960,0.022482,0.026657,0.033314,0.043554,0.059130", \ - "0.015947,0.017868,0.021175,0.026615,0.035235,0.048359,0.067714", \ - "0.009791,0.012206,0.016339,0.023128,0.033868,0.050163,0.073964"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.038068,0.040471,0.044814,0.052649,0.066766,0.092209,0.138174", \ - "0.038690,0.041114,0.045489,0.053382,0.067580,0.093116,0.139175", \ - "0.043480,0.045844,0.050146,0.057943,0.072052,0.097528,0.143554", \ - "0.055439,0.057591,0.061594,0.069007,0.082685,0.107668,0.153190", \ - "0.073673,0.076172,0.080591,0.088257,0.101290,0.125286,0.169860", \ - "0.093625,0.096548,0.101789,0.110802,0.126180,0.151762,0.194983", \ - "0.115882,0.119197,0.125071,0.135422,0.152919,0.182145,0.229533"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006475,0.007079,0.008158,0.010058,0.013385,0.019249,0.029766", \ - "0.006476,0.007079,0.008157,0.010058,0.013382,0.019247,0.029766", \ - "0.007725,0.008227,0.009112,0.010678,0.013676,0.019262,0.029766", \ - "0.011543,0.011953,0.012702,0.014091,0.016672,0.021515,0.030673", \ - "0.017308,0.017722,0.018477,0.019844,0.022308,0.026798,0.035230", \ - "0.024507,0.024966,0.025811,0.027331,0.030022,0.034694,0.042886", \ - "0.033077,0.033597,0.034531,0.036287,0.039353,0.044543,0.053176"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.032345,0.034615,0.038659,0.045883,0.058769,0.081868,0.123453", \ - "0.032251,0.034533,0.038602,0.045854,0.058768,0.081874,0.123457", \ - "0.031752,0.034106,0.038288,0.045681,0.058707,0.081839,0.123412", \ - "0.031342,0.033464,0.037372,0.044613,0.058148,0.081764,0.123395", \ - "0.036757,0.038703,0.042011,0.047918,0.059292,0.081122,0.123268", \ - "0.043862,0.045993,0.049705,0.056224,0.067333,0.086390,0.123658", \ - "0.051706,0.054088,0.058258,0.065471,0.077706,0.097937,0.131850"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010044,0.010675,0.011814,0.013870,0.017583,0.024296,0.036449", \ - "0.011416,0.012062,0.013224,0.015309,0.019055,0.025801,0.037981", \ - "0.014777,0.015538,0.016874,0.019186,0.023116,0.029929,0.042175", \ - "0.017708,0.018780,0.020618,0.023687,0.028673,0.036686,0.049776", \ - "0.018391,0.019863,0.022384,0.026559,0.033215,0.043462,0.059046", \ - "0.016109,0.018013,0.021305,0.026716,0.035291,0.048367,0.067680", \ - "0.010526,0.012900,0.016995,0.023700,0.034329,0.050473,0.074127"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.033511,0.035486,0.039053,0.045481,0.057057,0.077925,0.115593", \ - "0.034294,0.036286,0.039879,0.046351,0.057992,0.078932,0.116694", \ - "0.039240,0.041193,0.044728,0.051122,0.062687,0.083569,0.121307", \ - "0.051326,0.053067,0.056333,0.062395,0.073587,0.094046,0.131337", \ - "0.067660,0.069916,0.073916,0.080787,0.092429,0.112124,0.148547", \ - "0.085648,0.088291,0.093044,0.101144,0.114947,0.137756,0.174424", \ - "0.105873,0.108870,0.114186,0.123484,0.139217,0.165413,0.207562"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006476,0.007080,0.008158,0.010059,0.013383,0.019247,0.029766", \ - "0.006476,0.007079,0.008158,0.010058,0.013384,0.019249,0.029767", \ - "0.007735,0.008235,0.009119,0.010686,0.013679,0.019263,0.029767", \ - "0.011596,0.012000,0.012744,0.014126,0.016703,0.021532,0.030682", \ - "0.017419,0.017828,0.018571,0.019925,0.022364,0.026848,0.035254", \ - "0.024668,0.025130,0.025941,0.027446,0.030104,0.034744,0.042913", \ - "0.033249,0.033752,0.034668,0.036399,0.039407,0.044551,0.053196"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026070,0.027896,0.031178,0.037072,0.047664,0.066720,0.101029", \ - "0.026031,0.027865,0.031155,0.037061,0.047654,0.066697,0.101059", \ - "0.025738,0.027622,0.030983,0.036978,0.047637,0.066675,0.101022", \ - "0.026527,0.028145,0.031132,0.036656,0.047140,0.066608,0.101017", \ - "0.032760,0.034374,0.037180,0.041994,0.050578,0.067332,0.100828", \ - "0.039870,0.041719,0.044935,0.050517,0.059893,0.075226,0.103839", \ - "0.047563,0.049669,0.053334,0.059666,0.070319,0.087667,0.115194"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010044,0.010674,0.011814,0.013869,0.017582,0.024295,0.036446", \ - "0.011419,0.012065,0.013226,0.015311,0.019057,0.025801,0.037981", \ - "0.014821,0.015581,0.016914,0.019224,0.023148,0.029958,0.042202", \ - "0.017829,0.018897,0.020729,0.023789,0.028762,0.036762,0.049839", \ - "0.018484,0.019960,0.022482,0.026657,0.033314,0.043554,0.059130", \ - "0.015947,0.017868,0.021175,0.026615,0.035235,0.048359,0.067714", \ - "0.009791,0.012206,0.016339,0.023128,0.033868,0.050163,0.073964"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.038068,0.040471,0.044814,0.052649,0.066766,0.092209,0.138174", \ - "0.038690,0.041114,0.045489,0.053382,0.067580,0.093116,0.139175", \ - "0.043480,0.045844,0.050146,0.057943,0.072052,0.097528,0.143554", \ - "0.055439,0.057591,0.061594,0.069007,0.082685,0.107668,0.153190", \ - "0.073673,0.076172,0.080591,0.088257,0.101290,0.125286,0.169860", \ - "0.093625,0.096548,0.101789,0.110802,0.126180,0.151762,0.194983", \ - "0.115882,0.119197,0.125071,0.135422,0.152919,0.182145,0.229533"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.006475,0.007079,0.008158,0.010058,0.013385,0.019249,0.029766", \ - "0.006476,0.007079,0.008157,0.010058,0.013382,0.019247,0.029766", \ - "0.007725,0.008227,0.009112,0.010678,0.013676,0.019262,0.029766", \ - "0.011543,0.011953,0.012702,0.014091,0.016672,0.021515,0.030673", \ - "0.017308,0.017722,0.018477,0.019844,0.022308,0.026798,0.035230", \ - "0.024507,0.024966,0.025811,0.027331,0.030022,0.034694,0.042886", \ - "0.033077,0.033597,0.034531,0.036287,0.039353,0.044543,0.053176"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.032345,0.034615,0.038659,0.045883,0.058769,0.081868,0.123453", \ - "0.032251,0.034533,0.038602,0.045854,0.058768,0.081874,0.123457", \ - "0.031752,0.034106,0.038288,0.045681,0.058707,0.081839,0.123412", \ - "0.031342,0.033464,0.037372,0.044613,0.058148,0.081764,0.123395", \ - "0.036757,0.038703,0.042011,0.047918,0.059292,0.081122,0.123268", \ - "0.043862,0.045993,0.049705,0.056224,0.067333,0.086390,0.123658", \ - "0.051706,0.054088,0.058258,0.065471,0.077706,0.097937,0.131850"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010229,0.010860,0.012000,0.014059,0.017779,0.024503,0.036678", \ - "0.011607,0.012254,0.013415,0.015502,0.019254,0.026010,0.038212", \ - "0.015046,0.015801,0.017127,0.019431,0.023347,0.030168,0.042433", \ - "0.018163,0.019220,0.021031,0.024068,0.029017,0.037002,0.050079", \ - "0.018988,0.020441,0.022932,0.027063,0.033673,0.043869,0.059417", \ - "0.016672,0.018571,0.021819,0.027191,0.035741,0.048794,0.068088", \ - "0.010809,0.013168,0.017252,0.023941,0.034573,0.050759,0.074463"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.046603,0.049015,0.053364,0.061208,0.075337,0.100800,0.146775", \ - "0.047316,0.049735,0.054109,0.061999,0.076190,0.101730,0.147793", \ - "0.051949,0.054342,0.058681,0.066506,0.080643,0.106145,0.152185", \ - "0.063216,0.065498,0.069663,0.077260,0.091065,0.116158,0.161746", \ - "0.082349,0.084695,0.088869,0.096228,0.109192,0.133553,0.178292", \ - "0.103779,0.106586,0.111572,0.120223,0.135025,0.159851,0.203230", \ - "0.127422,0.130579,0.136193,0.146144,0.163012,0.191419,0.237801"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008580,0.009147,0.010165,0.011988,0.015248,0.021084,0.031604", \ - "0.008580,0.009144,0.010165,0.011989,0.015245,0.021083,0.031603", \ - "0.009834,0.010251,0.011042,0.012587,0.015529,0.021095,0.031604", \ - "0.013863,0.014218,0.014889,0.016162,0.018625,0.023363,0.032498", \ - "0.020269,0.020588,0.021196,0.022363,0.024586,0.028863,0.037135", \ - "0.028412,0.028762,0.029377,0.030594,0.032907,0.037157,0.045014", \ - "0.038099,0.038434,0.039083,0.040416,0.042965,0.047559,0.055644"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.037042,0.039284,0.043301,0.050520,0.063436,0.086606,0.128292", \ - "0.036996,0.039247,0.043280,0.050504,0.063433,0.086587,0.128299", \ - "0.036748,0.039043,0.043130,0.050421,0.063410,0.086601,0.128251", \ - "0.035717,0.037965,0.042054,0.049644,0.063130,0.086566,0.128236", \ - "0.039928,0.041727,0.045100,0.051459,0.063303,0.085851,0.128182", \ - "0.047309,0.049387,0.053048,0.059467,0.070362,0.089955,0.128150", \ - "0.055476,0.057812,0.061871,0.068990,0.081059,0.101105,0.135371"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013948,0.014658,0.015919,0.018164,0.022131,0.029153,0.041619", \ - "0.015284,0.015994,0.017260,0.019509,0.023485,0.030510,0.042984", \ - "0.020419,0.021121,0.022338,0.024526,0.028459,0.035463,0.047921", \ - "0.026501,0.027510,0.029281,0.032308,0.037305,0.045276,0.057798", \ - "0.030200,0.031524,0.033846,0.037829,0.044429,0.054998,0.071289", \ - "0.031273,0.032908,0.035796,0.040729,0.048913,0.062058,0.082415", \ - "0.029603,0.031551,0.034966,0.040780,0.050559,0.066267,0.090661"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.032798,0.034453,0.037426,0.042765,0.052354,0.069604,0.100739", \ - "0.033793,0.035478,0.038502,0.043928,0.053625,0.071004,0.102263", \ - "0.039007,0.040667,0.043664,0.049060,0.058772,0.076237,0.107645", \ - "0.048820,0.050639,0.053769,0.059207,0.068853,0.086213,0.117569", \ - "0.059231,0.061516,0.065505,0.072291,0.083655,0.102184,0.133439", \ - "0.070822,0.073591,0.078370,0.086461,0.099970,0.121790,0.156426", \ - "0.085166,0.088345,0.093836,0.103209,0.118665,0.143637,0.183000"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009052,0.009597,0.010583,0.012363,0.015574,0.021390,0.031927", \ - "0.009041,0.009591,0.010578,0.012359,0.015572,0.021390,0.031927", \ - "0.009607,0.010054,0.010891,0.012478,0.015534,0.021380,0.031929", \ - "0.014546,0.015058,0.015950,0.017461,0.019997,0.024171,0.032722", \ - "0.020933,0.021609,0.022767,0.024713,0.027926,0.033025,0.041007", \ - "0.028652,0.029509,0.030949,0.033370,0.037324,0.043579,0.053200", \ - "0.037654,0.038698,0.040498,0.043495,0.048263,0.055718,0.067103"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.017624,0.019050,0.021645,0.026339,0.034857,0.050215,0.077907", \ - "0.017629,0.019062,0.021646,0.026350,0.034863,0.050194,0.077916", \ - "0.017663,0.019085,0.021660,0.026352,0.034843,0.050193,0.077931", \ - "0.019699,0.020853,0.023005,0.027099,0.035005,0.050199,0.077915", \ - "0.026210,0.027392,0.029532,0.033341,0.039908,0.052464,0.078052", \ - "0.034142,0.035389,0.037638,0.041722,0.048822,0.061130,0.082965", \ - "0.043320,0.044621,0.046884,0.051138,0.058736,0.071918,0.094408"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013960,0.014667,0.015929,0.018172,0.022142,0.029161,0.041627", \ - "0.015340,0.016048,0.017314,0.019563,0.023541,0.030564,0.043037", \ - "0.020535,0.021236,0.022449,0.024640,0.028574,0.035577,0.048037", \ - "0.026635,0.027648,0.029419,0.032443,0.037438,0.045397,0.057920", \ - "0.030136,0.031475,0.033825,0.037825,0.044462,0.055064,0.071381", \ - "0.030672,0.032335,0.035261,0.040277,0.048578,0.061848,0.082346", \ - "0.028042,0.030047,0.033548,0.039484,0.049482,0.065467,0.090158"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.040770,0.042877,0.046654,0.053439,0.065611,0.087510,0.127004", \ - "0.041449,0.043597,0.047438,0.054328,0.066651,0.088706,0.128362", \ - "0.046035,0.048148,0.051956,0.058813,0.071156,0.093319,0.133175", \ - "0.055646,0.057773,0.061556,0.068354,0.080569,0.102616,0.142416", \ - "0.067108,0.069749,0.074350,0.082260,0.095621,0.117822,0.157428", \ - "0.079889,0.083035,0.088501,0.097777,0.113362,0.138853,0.179864", \ - "0.095852,0.099394,0.105680,0.116360,0.134051,0.162845,0.208763"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009055,0.009598,0.010583,0.012361,0.015575,0.021390,0.031927", \ - "0.009043,0.009592,0.010578,0.012359,0.015574,0.021391,0.031926", \ - "0.009577,0.010027,0.010865,0.012465,0.015527,0.021379,0.031927", \ - "0.014488,0.015005,0.015894,0.017411,0.019943,0.024126,0.032701", \ - "0.020927,0.021600,0.022752,0.024699,0.027899,0.032981,0.040975", \ - "0.028772,0.029626,0.031086,0.033498,0.037442,0.043665,0.053241", \ - "0.037964,0.039027,0.040820,0.043835,0.048608,0.056020,0.067328"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023265,0.025069,0.028336,0.034263,0.044985,0.064307,0.099250", \ - "0.023281,0.025079,0.028341,0.034262,0.044965,0.064303,0.099244", \ - "0.023291,0.025087,0.028344,0.034262,0.044991,0.064305,0.099240", \ - "0.024297,0.025886,0.028851,0.034437,0.045005,0.064305,0.099229", \ - "0.030669,0.032148,0.034819,0.039460,0.048009,0.065182,0.099227", \ - "0.038877,0.040402,0.043157,0.048138,0.056898,0.072052,0.101670", \ - "0.048389,0.049959,0.052713,0.057879,0.067110,0.083221,0.110904"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014193,0.014898,0.016160,0.018403,0.022373,0.029398,0.041883", \ - "0.015572,0.016279,0.017544,0.019793,0.023770,0.030802,0.043293", \ - "0.020769,0.021462,0.022666,0.024862,0.028802,0.035815,0.048292", \ - "0.027006,0.028007,0.029769,0.032773,0.037734,0.045663,0.058173", \ - "0.030694,0.032016,0.034343,0.038323,0.044912,0.055459,0.071737", \ - "0.031473,0.033123,0.036007,0.040976,0.049216,0.062421,0.082849", \ - "0.029169,0.031146,0.034605,0.040467,0.050387,0.066262,0.090869"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.048094,0.050190,0.053965,0.060752,0.072941,0.094884,0.134441", \ - "0.048947,0.051071,0.054893,0.061757,0.074061,0.096129,0.135813", \ - "0.053471,0.055585,0.059396,0.066262,0.078608,0.100793,0.140676", \ - "0.063061,0.065154,0.068924,0.075723,0.087978,0.110058,0.149894", \ - "0.076205,0.078664,0.083002,0.090484,0.103229,0.125213,0.164882", \ - "0.090704,0.093604,0.098738,0.107433,0.122311,0.146940,0.187249", \ - "0.108106,0.111398,0.117206,0.127341,0.144181,0.171960,0.216800"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011030,0.011569,0.012551,0.014326,0.017529,0.023315,0.033818", \ - "0.011020,0.011563,0.012546,0.014323,0.017528,0.023316,0.033819", \ - "0.011500,0.011958,0.012813,0.014417,0.017483,0.023308,0.033818", \ - "0.017095,0.017533,0.018300,0.019643,0.021976,0.026007,0.034576", \ - "0.024616,0.025162,0.026119,0.027784,0.030630,0.035315,0.042934", \ - "0.033646,0.034322,0.035514,0.037542,0.040987,0.046655,0.055684", \ - "0.044116,0.044954,0.046411,0.048944,0.053058,0.059759,0.070332"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026991,0.028817,0.032123,0.038098,0.048894,0.068304,0.103340", \ - "0.026991,0.028817,0.032123,0.038105,0.048881,0.068316,0.103349", \ - "0.026995,0.028824,0.032123,0.038103,0.048876,0.068313,0.103354", \ - "0.027332,0.029062,0.032266,0.038155,0.048904,0.068303,0.103342", \ - "0.032891,0.034420,0.037028,0.041781,0.050915,0.068718,0.103326", \ - "0.040829,0.042424,0.045260,0.050353,0.059230,0.074611,0.105098", \ - "0.050008,0.051678,0.054673,0.060022,0.069413,0.085670,0.113567"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011738,0.012486,0.013813,0.016151,0.020239,0.027388,0.039975", \ - "0.013080,0.013823,0.015147,0.017481,0.021566,0.028714,0.041302", \ - "0.018298,0.019065,0.020395,0.022624,0.026574,0.033644,0.046196", \ - "0.023530,0.024631,0.026546,0.029780,0.035046,0.043345,0.056093", \ - "0.026259,0.027689,0.030212,0.034464,0.041428,0.052434,0.069194", \ - "0.026231,0.028003,0.031125,0.036393,0.045044,0.058747,0.079714", \ - "0.023319,0.025426,0.029095,0.035320,0.045650,0.062052,0.087213"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.036665,0.038664,0.042251,0.048690,0.060253,0.081072,0.118653", \ - "0.037534,0.039570,0.043216,0.049751,0.061450,0.082427,0.120165", \ - "0.042568,0.044575,0.048186,0.054687,0.066400,0.087466,0.125391", \ - "0.051824,0.053896,0.057550,0.064033,0.075630,0.096576,0.134418", \ - "0.061660,0.064178,0.068593,0.076185,0.089119,0.110636,0.148299", \ - "0.073062,0.076008,0.081148,0.089880,0.104597,0.128929,0.168691", \ - "0.087446,0.090786,0.096624,0.106566,0.123016,0.149993,0.193733"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008552,0.009106,0.010095,0.011877,0.015079,0.020853,0.031319", \ - "0.008451,0.009015,0.010026,0.011831,0.015051,0.020839,0.031320", \ - "0.009454,0.009843,0.010595,0.012067,0.014989,0.020768,0.031306", \ - "0.014569,0.015074,0.015946,0.017432,0.019932,0.024027,0.032264", \ - "0.021125,0.021785,0.022909,0.024816,0.027961,0.032983,0.040883", \ - "0.029086,0.029922,0.031315,0.033685,0.037554,0.043680,0.053168", \ - "0.038382,0.039420,0.041176,0.044104,0.048764,0.056056,0.067243"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.019098,0.020839,0.024001,0.029757,0.040164,0.059002,0.093118", \ - "0.019117,0.020855,0.024014,0.029760,0.040163,0.059022,0.093125", \ - "0.019163,0.020894,0.024036,0.029772,0.040177,0.059032,0.093113", \ - "0.020764,0.022255,0.025034,0.030250,0.040299,0.059015,0.093120", \ - "0.026090,0.027627,0.030386,0.035352,0.043922,0.060442,0.093156", \ - "0.032881,0.034434,0.037251,0.042345,0.051407,0.067355,0.096376", \ - "0.041244,0.042725,0.045566,0.050731,0.060019,0.076516,0.105427"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011747,0.012495,0.013823,0.016161,0.020249,0.027397,0.039982", \ - "0.013133,0.013876,0.015201,0.017535,0.021621,0.028769,0.041355", \ - "0.018412,0.019179,0.020507,0.022733,0.026687,0.033759,0.046311", \ - "0.023670,0.024771,0.026692,0.029919,0.035187,0.043475,0.056214", \ - "0.026183,0.027625,0.030195,0.034482,0.041471,0.052509,0.069289", \ - "0.025649,0.027454,0.030614,0.035964,0.044735,0.058560,0.079664", \ - "0.021791,0.023953,0.027718,0.034072,0.044624,0.061307,0.086765"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.044773,0.047221,0.051610,0.059483,0.073608,0.099002,0.144843", \ - "0.045324,0.047818,0.052283,0.060279,0.074568,0.100170,0.146192", \ - "0.049769,0.052226,0.056647,0.064603,0.078914,0.104625,0.150883", \ - "0.058816,0.061269,0.065654,0.073541,0.087705,0.113270,0.159447", \ - "0.069516,0.072423,0.077492,0.086275,0.101327,0.126874,0.172816", \ - "0.081905,0.085249,0.091088,0.101054,0.117969,0.146163,0.192812", \ - "0.097712,0.101470,0.108098,0.119378,0.138089,0.169064,0.219678"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008557,0.009108,0.010096,0.011880,0.015079,0.020852,0.031320", \ - "0.008460,0.009023,0.010032,0.011835,0.015054,0.020840,0.031319", \ - "0.009417,0.009815,0.010564,0.012050,0.014980,0.020771,0.031308", \ - "0.014507,0.015014,0.015880,0.017376,0.019876,0.023971,0.032242", \ - "0.021103,0.021765,0.022872,0.024783,0.027928,0.032940,0.040842", \ - "0.029127,0.029975,0.031379,0.033750,0.037624,0.043739,0.053184", \ - "0.038574,0.039613,0.041388,0.044350,0.049010,0.056291,0.067418"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024038,0.026153,0.029987,0.036966,0.049595,0.072420,0.113678", \ - "0.024065,0.026173,0.030005,0.036970,0.049601,0.072408,0.113663", \ - "0.024099,0.026204,0.030021,0.036980,0.049606,0.072419,0.113659", \ - "0.024954,0.026880,0.030440,0.037181,0.049666,0.072422,0.113670", \ - "0.030264,0.032127,0.035489,0.041265,0.052016,0.072968,0.113671", \ - "0.037145,0.039036,0.042394,0.048501,0.059401,0.078388,0.115276", \ - "0.045704,0.047529,0.050893,0.057041,0.068155,0.087834,0.122376"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011989,0.012734,0.014059,0.016393,0.020480,0.027634,0.040235", \ - "0.013373,0.014114,0.015436,0.017767,0.021852,0.029005,0.041607", \ - "0.018671,0.019428,0.020740,0.022952,0.026912,0.033994,0.046564", \ - "0.024078,0.025164,0.027065,0.030267,0.035500,0.043748,0.056462", \ - "0.026807,0.028221,0.030759,0.035005,0.041948,0.052921,0.069648", \ - "0.026537,0.028309,0.031428,0.036716,0.045406,0.059154,0.080172", \ - "0.023046,0.025174,0.028860,0.035134,0.045567,0.062131,0.087454"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.053312,0.055743,0.060123,0.067993,0.082135,0.107580,0.153500", \ - "0.054069,0.056533,0.060966,0.068924,0.083191,0.108793,0.154864", \ - "0.058440,0.060891,0.065308,0.073267,0.087580,0.113307,0.159594", \ - "0.067452,0.069877,0.074242,0.082114,0.096315,0.121923,0.168154", \ - "0.079569,0.082317,0.087148,0.095565,0.109997,0.135467,0.181487", \ - "0.093419,0.096546,0.102062,0.111539,0.127795,0.155262,0.201405", \ - "0.110638,0.114122,0.120254,0.131008,0.148980,0.178998,0.228701"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010634,0.011170,0.012140,0.013897,0.017064,0.022792,0.033216", \ - "0.010534,0.011085,0.012074,0.013853,0.017039,0.022783,0.033212", \ - "0.011355,0.011765,0.012534,0.014028,0.016954,0.022715,0.033204", \ - "0.017322,0.017729,0.018445,0.019725,0.021979,0.025855,0.034123", \ - "0.025075,0.025588,0.026456,0.028029,0.030764,0.035327,0.042817", \ - "0.034394,0.035024,0.036106,0.038022,0.041319,0.046805,0.055656", \ - "0.045222,0.045997,0.047350,0.049725,0.053657,0.060125,0.070456"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.028347,0.030508,0.034410,0.041469,0.054197,0.077145,0.118579", \ - "0.028354,0.030517,0.034417,0.041474,0.054208,0.077161,0.118561", \ - "0.028370,0.030531,0.034426,0.041479,0.054212,0.077156,0.118558", \ - "0.028685,0.030783,0.034596,0.041565,0.054237,0.077149,0.118549", \ - "0.033311,0.035174,0.038437,0.044446,0.055761,0.077360,0.118545", \ - "0.039814,0.041773,0.045297,0.051576,0.062631,0.081901,0.119640", \ - "0.047891,0.049888,0.053471,0.059856,0.071227,0.091161,0.126046"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011979,0.012725,0.014050,0.016384,0.020471,0.027625,0.040226", \ - "0.013321,0.014062,0.015382,0.017712,0.021797,0.028950,0.041555", \ - "0.018556,0.019314,0.020629,0.022843,0.026799,0.033878,0.046447", \ - "0.023948,0.025035,0.026926,0.030130,0.035366,0.043622,0.056341", \ - "0.026865,0.028281,0.030782,0.034994,0.041906,0.052848,0.069550", \ - "0.027106,0.028854,0.031931,0.037142,0.045710,0.059324,0.080219", \ - "0.024544,0.026609,0.030228,0.036355,0.046591,0.062855,0.087894"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.043569,0.045560,0.049146,0.055591,0.067179,0.088042,0.125701", \ - "0.044604,0.046618,0.050248,0.056764,0.068455,0.089440,0.127221", \ - "0.049578,0.051581,0.055198,0.061715,0.073442,0.094532,0.132480", \ - "0.058955,0.060964,0.064544,0.070991,0.082617,0.103595,0.141504", \ - "0.070310,0.072698,0.076853,0.084074,0.096546,0.117604,0.155315", \ - "0.083145,0.085862,0.090701,0.098933,0.113037,0.136661,0.175684", \ - "0.098765,0.101848,0.107310,0.116755,0.132452,0.158562,0.201453"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010627,0.011164,0.012136,0.013892,0.017061,0.022791,0.033213", \ - "0.010524,0.011075,0.012065,0.013846,0.017035,0.022780,0.033211", \ - "0.011388,0.011788,0.012558,0.014042,0.016960,0.022711,0.033203", \ - "0.017385,0.017792,0.018510,0.019794,0.022025,0.025916,0.034146", \ - "0.025106,0.025614,0.026486,0.028062,0.030795,0.035365,0.042858", \ - "0.034318,0.034951,0.036006,0.037929,0.041233,0.046737,0.055637", \ - "0.044950,0.045730,0.047088,0.049445,0.053380,0.059866,0.070266"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022609,0.024386,0.027603,0.033421,0.043922,0.062867,0.097092", \ - "0.022615,0.024394,0.027607,0.033415,0.043910,0.062864,0.097077", \ - "0.022633,0.024409,0.027616,0.033424,0.043926,0.062856,0.097093", \ - "0.023425,0.025043,0.028017,0.033610,0.043968,0.062871,0.097062", \ - "0.028425,0.030012,0.032847,0.037793,0.046647,0.063770,0.097057", \ - "0.034872,0.036527,0.039461,0.044734,0.053948,0.069930,0.099653", \ - "0.042778,0.044467,0.047470,0.052894,0.062446,0.079156,0.108135"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011989,0.012734,0.014059,0.016393,0.020480,0.027634,0.040235", \ - "0.013373,0.014114,0.015436,0.017767,0.021852,0.029005,0.041607", \ - "0.018671,0.019428,0.020740,0.022952,0.026912,0.033994,0.046564", \ - "0.024078,0.025164,0.027065,0.030267,0.035500,0.043748,0.056462", \ - "0.026807,0.028221,0.030759,0.035005,0.041948,0.052921,0.069648", \ - "0.026537,0.028309,0.031428,0.036716,0.045406,0.059154,0.080172", \ - "0.023046,0.025174,0.028860,0.035134,0.045567,0.062131,0.087454"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.053312,0.055743,0.060123,0.067993,0.082135,0.107580,0.153500", \ - "0.054069,0.056533,0.060966,0.068924,0.083191,0.108793,0.154864", \ - "0.058440,0.060891,0.065308,0.073267,0.087580,0.113307,0.159594", \ - "0.067452,0.069877,0.074242,0.082114,0.096315,0.121923,0.168154", \ - "0.079569,0.082317,0.087148,0.095565,0.109997,0.135467,0.181487", \ - "0.093419,0.096546,0.102062,0.111539,0.127795,0.155262,0.201405", \ - "0.110638,0.114122,0.120254,0.131008,0.148980,0.178998,0.228701"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010634,0.011170,0.012140,0.013897,0.017064,0.022792,0.033216", \ - "0.010534,0.011085,0.012074,0.013853,0.017039,0.022783,0.033212", \ - "0.011355,0.011765,0.012534,0.014028,0.016954,0.022715,0.033204", \ - "0.017322,0.017729,0.018445,0.019725,0.021979,0.025855,0.034123", \ - "0.025075,0.025588,0.026456,0.028029,0.030764,0.035327,0.042817", \ - "0.034394,0.035024,0.036106,0.038022,0.041319,0.046805,0.055656", \ - "0.045222,0.045997,0.047350,0.049725,0.053657,0.060125,0.070456"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.028347,0.030508,0.034410,0.041469,0.054197,0.077145,0.118579", \ - "0.028354,0.030517,0.034417,0.041474,0.054208,0.077161,0.118561", \ - "0.028370,0.030531,0.034426,0.041479,0.054212,0.077156,0.118558", \ - "0.028685,0.030783,0.034596,0.041565,0.054237,0.077149,0.118549", \ - "0.033311,0.035174,0.038437,0.044446,0.055761,0.077360,0.118545", \ - "0.039814,0.041773,0.045297,0.051576,0.062631,0.081901,0.119640", \ - "0.047891,0.049888,0.053471,0.059856,0.071227,0.091161,0.126046"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012232,0.012974,0.014295,0.016624,0.020710,0.027868,0.040486", \ - "0.013615,0.014355,0.015670,0.017997,0.022081,0.029241,0.041859", \ - "0.018928,0.019678,0.020972,0.023172,0.027138,0.034228,0.046815", \ - "0.024493,0.025566,0.027439,0.030608,0.035803,0.044014,0.056713", \ - "0.027455,0.028862,0.031319,0.035512,0.042404,0.053321,0.069992", \ - "0.027430,0.029178,0.032242,0.037452,0.046057,0.059720,0.080650", \ - "0.024347,0.026422,0.030033,0.036170,0.046486,0.062911,0.088123"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.061865,0.064287,0.068656,0.076526,0.090679,0.116160,0.162131", \ - "0.062762,0.065214,0.069627,0.077556,0.091810,0.117415,0.163516", \ - "0.067129,0.069574,0.073990,0.081941,0.096254,0.121999,0.168285", \ - "0.076043,0.078458,0.082813,0.090693,0.104919,0.130578,0.176859", \ - "0.089170,0.091781,0.096411,0.104376,0.118551,0.144038,0.190105", \ - "0.104350,0.107297,0.112504,0.121587,0.137292,0.164125,0.209941", \ - "0.122790,0.126061,0.131845,0.142153,0.159442,0.188716,0.237640"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012861,0.013363,0.014280,0.015964,0.019055,0.024723,0.035109", \ - "0.012765,0.013280,0.014215,0.015920,0.019031,0.024714,0.035105", \ - "0.013527,0.013911,0.014639,0.016072,0.018936,0.024647,0.035099", \ - "0.019944,0.020273,0.020881,0.021989,0.024009,0.027741,0.036001", \ - "0.028601,0.029007,0.029730,0.031053,0.033452,0.037636,0.044758", \ - "0.039019,0.039504,0.040368,0.041963,0.044804,0.049766,0.058097", \ - "0.051047,0.051660,0.052727,0.054683,0.058034,0.063813,0.073473"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.032754,0.034944,0.038889,0.046015,0.058836,0.081894,0.123471", \ - "0.032758,0.034948,0.038892,0.046019,0.058838,0.081915,0.123461", \ - "0.032768,0.034952,0.038896,0.046016,0.058842,0.081922,0.123460", \ - "0.032893,0.035057,0.038967,0.046052,0.058836,0.081896,0.123487", \ - "0.036383,0.038244,0.041670,0.047976,0.059743,0.081967,0.123425", \ - "0.042914,0.044911,0.048478,0.054833,0.065880,0.085612,0.124103", \ - "0.050729,0.052783,0.056445,0.063011,0.074514,0.094562,0.129823"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.015243,0.015952,0.017214,0.019458,0.023428,0.030447,0.042914", \ - "0.016651,0.017362,0.018626,0.020874,0.024847,0.031870,0.044345", \ - "0.020575,0.021309,0.022599,0.024858,0.028858,0.035918,0.048431", \ - "0.025841,0.026730,0.028284,0.030977,0.035553,0.043200,0.056041", \ - "0.029975,0.031132,0.033148,0.036602,0.042323,0.051548,0.066292", \ - "0.031759,0.033218,0.035788,0.040170,0.047367,0.058818,0.076554", \ - "0.030789,0.032575,0.035728,0.041080,0.049875,0.063820,0.085180"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.037643,0.039272,0.042215,0.047520,0.057078,0.074314,0.105457", \ - "0.038911,0.040554,0.043518,0.048853,0.058454,0.075734,0.106911", \ - "0.044492,0.046136,0.049100,0.054444,0.064067,0.081396,0.112641", \ - "0.054795,0.056466,0.059447,0.064782,0.074377,0.091671,0.122904", \ - "0.066902,0.069011,0.072720,0.079094,0.089908,0.107791,0.138951", \ - "0.080259,0.082784,0.087219,0.094798,0.107548,0.128511,0.162263", \ - "0.096536,0.099401,0.104488,0.113259,0.127799,0.151691,0.189872"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009047,0.009594,0.010578,0.012357,0.015572,0.021390,0.031927", \ - "0.009044,0.009591,0.010577,0.012356,0.015573,0.021390,0.031927", \ - "0.009313,0.009824,0.010759,0.012466,0.015595,0.021391,0.031927", \ - "0.011710,0.012199,0.013089,0.014687,0.017565,0.022702,0.032404", \ - "0.016131,0.016675,0.017626,0.019269,0.022121,0.027131,0.036140", \ - "0.021880,0.022534,0.023659,0.025575,0.028778,0.034040,0.042996", \ - "0.028642,0.029444,0.030792,0.033076,0.036834,0.042808,0.052338"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020525,0.021974,0.024590,0.029335,0.037878,0.053298,0.081109", \ - "0.020524,0.021976,0.024593,0.029330,0.037890,0.053296,0.081118", \ - "0.020536,0.021980,0.024596,0.029334,0.037893,0.053324,0.081122", \ - "0.021676,0.022940,0.025281,0.029643,0.037944,0.053287,0.081111", \ - "0.027763,0.028990,0.031179,0.035054,0.041775,0.054891,0.081129", \ - "0.035314,0.036657,0.039037,0.043240,0.050493,0.062917,0.085325", \ - "0.043474,0.044946,0.047540,0.052114,0.060094,0.073560,0.096238"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.015254,0.015962,0.017223,0.019467,0.023436,0.030455,0.042922", \ - "0.016707,0.017416,0.018682,0.020926,0.024901,0.031926,0.044397", \ - "0.020700,0.021432,0.022719,0.024980,0.028978,0.036038,0.048550", \ - "0.025998,0.026885,0.028442,0.031131,0.035703,0.043347,0.056182", \ - "0.030081,0.031243,0.033270,0.036722,0.042448,0.051681,0.066426", \ - "0.031582,0.033061,0.035652,0.040059,0.047305,0.058825,0.076612", \ - "0.029983,0.031806,0.035020,0.040459,0.049364,0.063468,0.085003"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.047008,0.049081,0.052811,0.059542,0.071663,0.093535,0.133040", \ - "0.048040,0.050126,0.053886,0.060654,0.072835,0.094761,0.134316", \ - "0.053092,0.055178,0.058942,0.065720,0.077934,0.099916,0.139560", \ - "0.062906,0.064987,0.068734,0.075484,0.087655,0.109609,0.149238", \ - "0.076044,0.078497,0.082823,0.090281,0.103028,0.124945,0.164477", \ - "0.090690,0.093586,0.098678,0.107419,0.122195,0.146757,0.186990", \ - "0.108637,0.111915,0.117700,0.127751,0.144478,0.172094,0.216795"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.009047,0.009595,0.010579,0.012359,0.015573,0.021390,0.031927", \ - "0.009043,0.009590,0.010578,0.012358,0.015572,0.021390,0.031927", \ - "0.009304,0.009815,0.010753,0.012461,0.015592,0.021391,0.031929", \ - "0.011667,0.012165,0.013051,0.014645,0.017521,0.022680,0.032394", \ - "0.016076,0.016619,0.017566,0.019216,0.022075,0.027096,0.036108", \ - "0.021853,0.022507,0.023635,0.025553,0.028759,0.034022,0.042965", \ - "0.028706,0.029508,0.030862,0.033150,0.036908,0.042877,0.052383"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026993,0.028821,0.032121,0.038099,0.048881,0.068294,0.103324", \ - "0.026995,0.028822,0.032127,0.038096,0.048883,0.068290,0.103327", \ - "0.026999,0.028825,0.032128,0.038096,0.048884,0.068290,0.103333", \ - "0.027363,0.029079,0.032272,0.038161,0.048883,0.068292,0.103329", \ - "0.032857,0.034389,0.037051,0.041827,0.050954,0.068766,0.103311", \ - "0.040695,0.042297,0.045181,0.050264,0.059181,0.074665,0.105112", \ - "0.049301,0.051053,0.054137,0.059617,0.069162,0.085538,0.113551"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.015487,0.016193,0.017454,0.019697,0.023667,0.030693,0.043178", \ - "0.016940,0.017647,0.018911,0.021158,0.025132,0.032163,0.044653", \ - "0.020939,0.021668,0.022946,0.025205,0.029207,0.036277,0.048806", \ - "0.026301,0.027181,0.028729,0.031405,0.035960,0.043597,0.056436", \ - "0.030510,0.031659,0.033668,0.037097,0.042787,0.051997,0.066720", \ - "0.032185,0.033642,0.036212,0.040579,0.047772,0.059243,0.076989", \ - "0.030808,0.032610,0.035784,0.041162,0.050004,0.064035,0.085502"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.054296,0.056372,0.060111,0.066855,0.079004,0.100912,0.140466", \ - "0.055388,0.057471,0.061232,0.068003,0.080195,0.102151,0.141755", \ - "0.060458,0.062543,0.066308,0.073097,0.085320,0.107347,0.147014", \ - "0.070246,0.072323,0.076071,0.082835,0.095032,0.117016,0.156699", \ - "0.084585,0.086908,0.091013,0.098153,0.110425,0.132336,0.171910", \ - "0.100762,0.103479,0.108267,0.116614,0.130783,0.154627,0.194392", \ - "0.120031,0.123101,0.128516,0.138082,0.154131,0.180917,0.224686"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011025,0.011569,0.012550,0.014324,0.017528,0.023317,0.033819", \ - "0.011022,0.011565,0.012547,0.014323,0.017527,0.023316,0.033818", \ - "0.011264,0.011779,0.012713,0.014421,0.017543,0.023317,0.033819", \ - "0.013899,0.014364,0.015205,0.016737,0.019533,0.024590,0.034282", \ - "0.018891,0.019358,0.020188,0.021686,0.024360,0.029178,0.038038", \ - "0.025486,0.026026,0.026972,0.028633,0.031516,0.036431,0.045094", \ - "0.033282,0.033930,0.035047,0.036986,0.040298,0.045761,0.054807"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030757,0.032603,0.035938,0.041953,0.052798,0.072314,0.107434", \ - "0.030760,0.032602,0.035935,0.041951,0.052795,0.072307,0.107427", \ - "0.030761,0.032603,0.035934,0.041950,0.052799,0.072317,0.107447", \ - "0.030863,0.032682,0.035988,0.041975,0.052807,0.072291,0.107434", \ - "0.035230,0.036720,0.039432,0.044507,0.054093,0.072441,0.107421", \ - "0.043031,0.044647,0.047532,0.052673,0.061660,0.077398,0.108686", \ - "0.051682,0.053440,0.056564,0.062070,0.071666,0.088080,0.116343"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013045,0.013791,0.015116,0.017451,0.021536,0.028682,0.041268", \ - "0.014435,0.015181,0.016505,0.018838,0.022923,0.030070,0.042659", \ - "0.018345,0.019118,0.020476,0.022829,0.026908,0.034077,0.046697", \ - "0.023202,0.024161,0.025828,0.028671,0.033421,0.041263,0.054280", \ - "0.026496,0.027761,0.029959,0.033665,0.039700,0.049288,0.064323", \ - "0.027203,0.028802,0.031610,0.036328,0.043967,0.055957,0.074201", \ - "0.024980,0.026933,0.030391,0.036164,0.045520,0.060160,0.082239"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.042585,0.044548,0.048091,0.054486,0.066008,0.086808,0.124413", \ - "0.043783,0.045762,0.049331,0.055760,0.067336,0.088187,0.125848", \ - "0.049244,0.051222,0.054792,0.061232,0.072832,0.093745,0.131477", \ - "0.058830,0.060818,0.064374,0.070785,0.082340,0.103201,0.140918", \ - "0.070172,0.072535,0.076697,0.083916,0.096363,0.117392,0.154990", \ - "0.083132,0.085838,0.090660,0.098931,0.112979,0.136532,0.175521", \ - "0.099367,0.102390,0.107808,0.117187,0.132772,0.158765,0.201489"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008500,0.009056,0.010054,0.011844,0.015057,0.020841,0.031318", \ - "0.008462,0.009019,0.010027,0.011823,0.015043,0.020834,0.031315", \ - "0.008826,0.009329,0.010249,0.011946,0.015063,0.020820,0.031317", \ - "0.011497,0.011971,0.012828,0.014376,0.017177,0.022272,0.031854", \ - "0.016132,0.016662,0.017589,0.019197,0.021971,0.026859,0.035728", \ - "0.022058,0.022701,0.023793,0.025669,0.028793,0.033952,0.042745", \ - "0.029032,0.029810,0.031116,0.033356,0.037025,0.042890,0.052256"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.022616,0.024396,0.027608,0.033422,0.043916,0.062874,0.097101", \ - "0.022627,0.024403,0.027610,0.033423,0.043922,0.062847,0.097080", \ - "0.022637,0.024415,0.027618,0.033425,0.043912,0.062874,0.097078", \ - "0.023459,0.025076,0.028047,0.033610,0.043955,0.062852,0.097065", \ - "0.028393,0.029984,0.032820,0.037808,0.046692,0.063790,0.097060", \ - "0.034695,0.036380,0.039363,0.044634,0.053887,0.069929,0.099686", \ - "0.041916,0.043727,0.046856,0.052403,0.062154,0.078999,0.108079"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013054,0.013801,0.015126,0.017460,0.021544,0.028690,0.041275", \ - "0.014490,0.015236,0.016559,0.018893,0.022978,0.030124,0.042711", \ - "0.018467,0.019238,0.020596,0.022947,0.027027,0.034196,0.046817", \ - "0.023361,0.024314,0.025980,0.028822,0.033569,0.041406,0.054418", \ - "0.026602,0.027871,0.030072,0.033781,0.039831,0.049417,0.064458", \ - "0.027051,0.028665,0.031494,0.036236,0.043927,0.055964,0.074266", \ - "0.024218,0.026223,0.029737,0.035583,0.045053,0.059851,0.082093"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.052087,0.054490,0.058818,0.066621,0.080681,0.106054,0.151898", \ - "0.053050,0.055470,0.059831,0.067680,0.081806,0.107239,0.153145", \ - "0.058019,0.060437,0.064801,0.072660,0.086815,0.112320,0.158340", \ - "0.067278,0.069694,0.074030,0.081852,0.095957,0.121419,0.167413", \ - "0.079392,0.082125,0.086959,0.095355,0.109784,0.135164,0.181044", \ - "0.093388,0.096482,0.101995,0.111478,0.127655,0.155069,0.201117", \ - "0.111104,0.114556,0.120682,0.131326,0.149189,0.179110,0.228661"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.008503,0.009055,0.010056,0.011846,0.015056,0.020841,0.031318", \ - "0.008466,0.009027,0.010031,0.011826,0.015046,0.020836,0.031316", \ - "0.008820,0.009320,0.010244,0.011943,0.015061,0.020821,0.031316", \ - "0.011453,0.011927,0.012788,0.014341,0.017153,0.022250,0.031842", \ - "0.016065,0.016596,0.017522,0.019138,0.021924,0.026817,0.035695", \ - "0.021998,0.022640,0.023739,0.025622,0.028762,0.033922,0.042716", \ - "0.029022,0.029807,0.031114,0.033371,0.037055,0.042927,0.052283"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.028359,0.030519,0.034419,0.041468,0.054190,0.077159,0.118547", \ - "0.028363,0.030527,0.034420,0.041470,0.054206,0.077146,0.118545", \ - "0.028374,0.030529,0.034428,0.041475,0.054193,0.077152,0.118561", \ - "0.028691,0.030785,0.034599,0.041558,0.054220,0.077155,0.118539", \ - "0.033260,0.035170,0.038471,0.044486,0.055786,0.077387,0.118525", \ - "0.039678,0.041667,0.045206,0.051495,0.062570,0.081934,0.119656", \ - "0.047226,0.049313,0.052986,0.059532,0.071018,0.091038,0.126037"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013296,0.014040,0.015361,0.017693,0.021775,0.028926,0.041529", \ - "0.014729,0.015473,0.016795,0.019125,0.023208,0.030360,0.042966", \ - "0.018717,0.019483,0.020835,0.023176,0.027256,0.034432,0.047068", \ - "0.023684,0.024633,0.026284,0.029104,0.033831,0.041658,0.054670", \ - "0.027070,0.028324,0.030503,0.034178,0.040187,0.049732,0.064752", \ - "0.027707,0.029306,0.032102,0.036793,0.044430,0.056407,0.074638", \ - "0.025129,0.027100,0.030566,0.036336,0.045735,0.060442,0.082603"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.060564,0.062964,0.067300,0.075112,0.089199,0.114614,0.160540", \ - "0.061592,0.064005,0.068361,0.076213,0.090348,0.115812,0.161772", \ - "0.066577,0.068991,0.073356,0.081219,0.095392,0.120922,0.166967", \ - "0.075808,0.078218,0.082545,0.090374,0.104506,0.130001,0.176047", \ - "0.088980,0.091584,0.096197,0.104226,0.118336,0.143724,0.189644", \ - "0.104276,0.107194,0.112383,0.121492,0.137131,0.163917,0.209648", \ - "0.123173,0.126419,0.132178,0.142405,0.159633,0.188776,0.237554"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010575,0.011116,0.012094,0.013861,0.017040,0.022781,0.033212", \ - "0.010538,0.011084,0.012067,0.013842,0.017029,0.022775,0.033210", \ - "0.010843,0.011339,0.012260,0.013946,0.017041,0.022762,0.033210", \ - "0.013801,0.014234,0.015027,0.016488,0.019188,0.024172,0.033735", \ - "0.019081,0.019515,0.020287,0.021694,0.024252,0.028933,0.037638", \ - "0.025911,0.026406,0.027285,0.028856,0.031617,0.036376,0.044839", \ - "0.033941,0.034556,0.035577,0.037422,0.040579,0.045887,0.054732"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.032755,0.034942,0.038890,0.046007,0.058824,0.081882,0.123485", \ - "0.032755,0.034946,0.038887,0.046011,0.058824,0.081872,0.123433", \ - "0.032763,0.034946,0.038892,0.046008,0.058816,0.081876,0.123435", \ - "0.032894,0.035055,0.038965,0.046043,0.058821,0.081865,0.123445", \ - "0.036401,0.038286,0.041695,0.048010,0.059756,0.081964,0.123405", \ - "0.042845,0.044840,0.048413,0.054753,0.065857,0.085670,0.124099", \ - "0.050289,0.052390,0.056117,0.062743,0.074348,0.094454,0.129830"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013287,0.014030,0.015352,0.017683,0.021767,0.028917,0.041520", \ - "0.014675,0.015419,0.016740,0.019069,0.023154,0.030306,0.042909", \ - "0.018595,0.019363,0.020716,0.023057,0.027137,0.034312,0.046949", \ - "0.023528,0.024477,0.026131,0.028952,0.033683,0.041515,0.054532", \ - "0.026964,0.028213,0.030385,0.034058,0.040057,0.049604,0.064617", \ - "0.027857,0.029438,0.032220,0.036879,0.044470,0.056393,0.074572", \ - "0.025877,0.027804,0.031221,0.036913,0.046189,0.060739,0.082750"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.049460,0.051424,0.054977,0.061387,0.072940,0.093775,0.131427", \ - "0.050711,0.052688,0.056261,0.062698,0.074288,0.095175,0.132880", \ - "0.056181,0.058163,0.061738,0.068188,0.079810,0.100740,0.138518", \ - "0.065788,0.067766,0.071306,0.077714,0.089296,0.110193,0.147951", \ - "0.078372,0.080625,0.084587,0.091518,0.103511,0.124366,0.161998", \ - "0.092587,0.095148,0.099700,0.107583,0.121112,0.144083,0.182476", \ - "0.109912,0.112774,0.117847,0.126805,0.141793,0.167097,0.209087"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010573,0.011114,0.012094,0.013860,0.017040,0.022781,0.033212", \ - "0.010531,0.011078,0.012063,0.013839,0.017027,0.022774,0.033209", \ - "0.010851,0.011345,0.012264,0.013949,0.017042,0.022761,0.033211", \ - "0.013853,0.014276,0.015071,0.016534,0.019234,0.024195,0.033747", \ - "0.019157,0.019584,0.020355,0.021764,0.024310,0.028976,0.037675", \ - "0.025970,0.026465,0.027337,0.028899,0.031651,0.036405,0.044870", \ - "0.033953,0.034552,0.035564,0.037395,0.040542,0.045839,0.054704"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026187,0.027988,0.031235,0.037100,0.047676,0.066705,0.101045", \ - "0.026192,0.027992,0.031236,0.037103,0.047667,0.066700,0.101062", \ - "0.026199,0.027997,0.031239,0.037101,0.047670,0.066711,0.101052", \ - "0.026499,0.028232,0.031401,0.037172,0.047689,0.066712,0.101041", \ - "0.030975,0.032577,0.035405,0.040362,0.049613,0.067223,0.101007", \ - "0.037193,0.038878,0.041891,0.047214,0.056532,0.072637,0.103045", \ - "0.044355,0.046159,0.049338,0.054993,0.064792,0.081729,0.110866"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013296,0.014040,0.015361,0.017693,0.021775,0.028926,0.041529", \ - "0.014729,0.015473,0.016795,0.019125,0.023208,0.030360,0.042966", \ - "0.018717,0.019483,0.020835,0.023176,0.027256,0.034432,0.047068", \ - "0.023684,0.024633,0.026284,0.029104,0.033831,0.041658,0.054670", \ - "0.027070,0.028324,0.030503,0.034178,0.040187,0.049732,0.064752", \ - "0.027707,0.029306,0.032102,0.036793,0.044430,0.056407,0.074638", \ - "0.025129,0.027100,0.030566,0.036336,0.045735,0.060442,0.082603"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.060564,0.062964,0.067300,0.075112,0.089199,0.114614,0.160540", \ - "0.061592,0.064005,0.068361,0.076213,0.090348,0.115812,0.161772", \ - "0.066577,0.068991,0.073356,0.081219,0.095392,0.120922,0.166967", \ - "0.075808,0.078218,0.082545,0.090374,0.104506,0.130001,0.176047", \ - "0.088980,0.091584,0.096197,0.104226,0.118336,0.143724,0.189644", \ - "0.104276,0.107194,0.112383,0.121492,0.137131,0.163917,0.209648", \ - "0.123173,0.126419,0.132178,0.142405,0.159633,0.188776,0.237554"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010575,0.011116,0.012094,0.013861,0.017040,0.022781,0.033212", \ - "0.010538,0.011084,0.012067,0.013842,0.017029,0.022775,0.033210", \ - "0.010843,0.011339,0.012260,0.013946,0.017041,0.022762,0.033210", \ - "0.013801,0.014234,0.015027,0.016488,0.019188,0.024172,0.033735", \ - "0.019081,0.019515,0.020287,0.021694,0.024252,0.028933,0.037638", \ - "0.025911,0.026406,0.027285,0.028856,0.031617,0.036376,0.044839", \ - "0.033941,0.034556,0.035577,0.037422,0.040579,0.045887,0.054732"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.032755,0.034942,0.038890,0.046007,0.058824,0.081882,0.123485", \ - "0.032755,0.034946,0.038887,0.046011,0.058824,0.081872,0.123433", \ - "0.032763,0.034946,0.038892,0.046008,0.058816,0.081876,0.123435", \ - "0.032894,0.035055,0.038965,0.046043,0.058821,0.081865,0.123445", \ - "0.036401,0.038286,0.041695,0.048010,0.059756,0.081964,0.123405", \ - "0.042845,0.044840,0.048413,0.054753,0.065857,0.085670,0.124099", \ - "0.050289,0.052390,0.056117,0.062743,0.074348,0.094454,0.129830"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013537,0.014279,0.015596,0.017923,0.022005,0.029161,0.041779", \ - "0.014970,0.015712,0.017029,0.019355,0.023438,0.030596,0.043216", \ - "0.018966,0.019729,0.021074,0.023405,0.027487,0.034668,0.047322", \ - "0.024008,0.024947,0.026582,0.029384,0.034094,0.041911,0.054925", \ - "0.027536,0.028775,0.030929,0.034570,0.040537,0.050047,0.065049", \ - "0.028368,0.029945,0.032705,0.037334,0.044916,0.056832,0.075016", \ - "0.026052,0.027994,0.031394,0.037079,0.046395,0.061016,0.083091"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.069078,0.071482,0.075828,0.083643,0.097743,0.123174,0.169168", \ - "0.070165,0.072582,0.076928,0.084779,0.098915,0.124400,0.170395", \ - "0.075174,0.077595,0.081949,0.089819,0.104002,0.129534,0.175606", \ - "0.084376,0.086787,0.091118,0.098952,0.113092,0.138606,0.184695", \ - "0.098188,0.100670,0.105033,0.112830,0.126902,0.152316,0.198252", \ - "0.114593,0.117410,0.122408,0.131235,0.146412,0.172576,0.218271", \ - "0.134601,0.137680,0.143185,0.153031,0.169770,0.198267,0.246367"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012806,0.013312,0.014238,0.015932,0.019034,0.024714,0.035107", \ - "0.012772,0.013281,0.014213,0.015913,0.019023,0.024708,0.035105", \ - "0.013051,0.013523,0.014392,0.016010,0.019031,0.024695,0.035105", \ - "0.016139,0.016531,0.017258,0.018626,0.021207,0.026085,0.035623", \ - "0.021866,0.022226,0.022895,0.024157,0.026520,0.031013,0.039573", \ - "0.029434,0.029830,0.030556,0.031897,0.034350,0.038782,0.046961", \ - "0.038370,0.038854,0.039665,0.041202,0.043944,0.048760,0.057155"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.037224,0.039434,0.043412,0.050572,0.063461,0.086621,0.128362", \ - "0.037224,0.039436,0.043409,0.050575,0.063453,0.086632,0.128311", \ - "0.037230,0.039435,0.043412,0.050570,0.063466,0.086616,0.128290", \ - "0.037279,0.039479,0.043440,0.050587,0.063452,0.086619,0.128315", \ - "0.039730,0.041694,0.045259,0.051815,0.063931,0.086648,0.128231", \ - "0.046153,0.048159,0.051738,0.058149,0.069162,0.089516,0.128670", \ - "0.053576,0.055674,0.059426,0.066096,0.077719,0.097940,0.133768"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.016350,0.017155,0.018590,0.021106,0.025480,0.033041,0.046144", \ - "0.017622,0.018427,0.019857,0.022373,0.026744,0.034304,0.047406", \ - "0.022933,0.023667,0.025002,0.027411,0.031691,0.039185,0.052252", \ - "0.031407,0.032361,0.034054,0.036944,0.041730,0.049418,0.062098", \ - "0.037665,0.038897,0.041079,0.044846,0.051088,0.061167,0.076871", \ - "0.041466,0.042975,0.045639,0.050207,0.057895,0.070351,0.089863", \ - "0.042677,0.044458,0.047603,0.052881,0.061998,0.076783,0.100033"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.042408,0.044106,0.047137,0.052564,0.062266,0.079676,0.111061", \ - "0.043647,0.045369,0.048454,0.053960,0.063783,0.081328,0.112830", \ - "0.049254,0.050954,0.054012,0.059498,0.069327,0.086960,0.118618", \ - "0.059298,0.061016,0.064073,0.069529,0.079278,0.096813,0.128432", \ - "0.070307,0.072361,0.075979,0.082242,0.092964,0.110949,0.142475", \ - "0.080309,0.082765,0.087058,0.094391,0.106876,0.127606,0.161564", \ - "0.090753,0.093617,0.098617,0.107097,0.121421,0.144941,0.182936"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012292,0.012870,0.013905,0.015755,0.019053,0.024942,0.035507", \ - "0.012200,0.012786,0.013834,0.015704,0.019023,0.024927,0.035501", \ - "0.011726,0.012285,0.013303,0.015182,0.018669,0.024795,0.035469", \ - "0.016417,0.016920,0.017783,0.019268,0.021753,0.026209,0.035564", \ - "0.023125,0.023777,0.024875,0.026745,0.029847,0.034796,0.042654", \ - "0.031035,0.031841,0.033231,0.035585,0.039406,0.045488,0.054896", \ - "0.040161,0.041145,0.042856,0.045772,0.050386,0.057673,0.068849"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.018616,0.020047,0.022658,0.027401,0.035974,0.051441,0.079388", \ - "0.018647,0.020067,0.022676,0.027404,0.035981,0.051463,0.079399", \ - "0.018688,0.020109,0.022701,0.027425,0.035965,0.051472,0.079371", \ - "0.019265,0.020595,0.023052,0.027614,0.036036,0.051456,0.079397", \ - "0.023887,0.025154,0.027439,0.031522,0.038723,0.052588,0.079437", \ - "0.030406,0.031702,0.034073,0.038371,0.045976,0.059262,0.082954", \ - "0.039450,0.040711,0.043002,0.047280,0.054979,0.068647,0.092577"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.015342,0.016144,0.017577,0.020084,0.024456,0.032004,0.045085", \ - "0.016615,0.017413,0.018837,0.021340,0.025696,0.033239,0.046317", \ - "0.022045,0.022755,0.024061,0.026430,0.030664,0.038116,0.051143", \ - "0.029991,0.030972,0.032711,0.035659,0.040527,0.048330,0.061000", \ - "0.035599,0.036871,0.039111,0.042974,0.049361,0.059614,0.075517", \ - "0.038550,0.040116,0.042874,0.047592,0.055477,0.068199,0.088026", \ - "0.038759,0.040607,0.043863,0.049339,0.058739,0.073888,0.097582"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.049335,0.051443,0.055224,0.062008,0.074181,0.096081,0.135574", \ - "0.050398,0.052545,0.056389,0.063279,0.075599,0.097664,0.137314", \ - "0.055660,0.057776,0.061582,0.068442,0.080780,0.102948,0.142802", \ - "0.064701,0.066805,0.070580,0.077373,0.089600,0.111650,0.151445", \ - "0.074810,0.077186,0.081406,0.088806,0.101610,0.123673,0.163323", \ - "0.084159,0.086885,0.091676,0.099975,0.114329,0.138673,0.179465", \ - "0.094474,0.097556,0.102956,0.112196,0.128070,0.154758,0.199107"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011607,0.012196,0.013240,0.015109,0.018427,0.024327,0.034891", \ - "0.011471,0.012071,0.013135,0.015026,0.018369,0.024294,0.034876", \ - "0.011228,0.011767,0.012750,0.014571,0.017983,0.024139,0.034831", \ - "0.016160,0.016666,0.017529,0.019011,0.021511,0.025858,0.035030", \ - "0.022939,0.023594,0.024696,0.026564,0.029654,0.034593,0.042443", \ - "0.031033,0.031845,0.033219,0.035558,0.039366,0.045415,0.054777", \ - "0.040413,0.041428,0.043111,0.046022,0.050596,0.057817,0.068900"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023274,0.025071,0.028335,0.034259,0.044981,0.064330,0.099244", \ - "0.023286,0.025087,0.028348,0.034262,0.044969,0.064309,0.099248", \ - "0.023313,0.025103,0.028355,0.034275,0.044991,0.064313,0.099242", \ - "0.023614,0.025343,0.028520,0.034349,0.044997,0.064330,0.099248", \ - "0.027449,0.029069,0.031969,0.037084,0.046632,0.064729,0.099231", \ - "0.033085,0.034725,0.037716,0.043138,0.052785,0.069661,0.101108", \ - "0.041250,0.042819,0.045671,0.051000,0.060642,0.077966,0.108478"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.015626,0.016427,0.017852,0.020358,0.024720,0.032270,0.045368", \ - "0.016898,0.017694,0.019112,0.021610,0.025963,0.033506,0.046600", \ - "0.022288,0.023003,0.024312,0.026686,0.030922,0.038380,0.051423", \ - "0.030388,0.031358,0.033069,0.035993,0.040836,0.048604,0.061272", \ - "0.036174,0.037431,0.039645,0.043467,0.049811,0.060016,0.075871", \ - "0.039378,0.040918,0.043626,0.048290,0.056123,0.068775,0.088524", \ - "0.039904,0.041716,0.044907,0.050310,0.059614,0.074672,0.098273"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.056656,0.058753,0.062528,0.069314,0.081507,0.103438,0.142997", \ - "0.057894,0.060017,0.063839,0.070701,0.083007,0.105074,0.144754", \ - "0.063095,0.065206,0.069021,0.075885,0.088226,0.110410,0.150278", \ - "0.072058,0.074152,0.077926,0.084728,0.096980,0.119065,0.158913", \ - "0.083064,0.085351,0.089430,0.096607,0.109041,0.131039,0.170740", \ - "0.093638,0.096227,0.100809,0.108748,0.122681,0.146531,0.186816", \ - "0.105181,0.108095,0.113217,0.122032,0.137300,0.163344,0.207042"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014093,0.014636,0.015620,0.017399,0.020608,0.026395,0.036864", \ - "0.013956,0.014511,0.015512,0.017315,0.020552,0.026362,0.036849", \ - "0.013628,0.014139,0.015083,0.016843,0.020169,0.026211,0.036807", \ - "0.018914,0.019331,0.020067,0.021363,0.023606,0.027870,0.036994", \ - "0.026677,0.027200,0.028104,0.029683,0.032396,0.036938,0.044403", \ - "0.035830,0.036482,0.037605,0.039573,0.042886,0.048379,0.057203", \ - "0.046354,0.047154,0.048553,0.050997,0.054948,0.061480,0.071878"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026988,0.028818,0.032122,0.038102,0.048870,0.068333,0.103321", \ - "0.026990,0.028817,0.032120,0.038095,0.048882,0.068299,0.103330", \ - "0.027004,0.028828,0.032128,0.038103,0.048868,0.068292,0.103334", \ - "0.027126,0.028925,0.032192,0.038124,0.048891,0.068327,0.103319", \ - "0.030297,0.031901,0.034785,0.040094,0.049959,0.068501,0.103297", \ - "0.035750,0.037457,0.040536,0.046055,0.055772,0.072735,0.104725", \ - "0.043276,0.044965,0.048016,0.053555,0.063458,0.080974,0.111554"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012265,0.013130,0.014661,0.017332,0.021935,0.029808,0.043288", \ - "0.013668,0.014516,0.016022,0.018665,0.023240,0.031088,0.044553", \ - "0.019734,0.020506,0.021836,0.024174,0.028450,0.036075,0.049412", \ - "0.027125,0.028191,0.030066,0.033223,0.038386,0.046529,0.059415", \ - "0.032272,0.033632,0.036060,0.040154,0.046868,0.057533,0.073875", \ - "0.034867,0.036531,0.039456,0.044430,0.052681,0.065850,0.086160", \ - "0.034733,0.036694,0.040116,0.045883,0.055649,0.071269,0.095504"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.046675,0.048717,0.052370,0.058892,0.070551,0.091483,0.129204", \ - "0.047780,0.049858,0.053575,0.060199,0.071993,0.093076,0.130954", \ - "0.053245,0.055297,0.058976,0.065573,0.077389,0.098562,0.136636", \ - "0.063119,0.065178,0.068848,0.075397,0.087112,0.108175,0.146185", \ - "0.074095,0.076441,0.080595,0.087808,0.100203,0.121391,0.159260", \ - "0.084058,0.086775,0.091524,0.099691,0.113683,0.137228,0.176484", \ - "0.094623,0.097702,0.103099,0.112255,0.127850,0.153824,0.196697"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010881,0.011545,0.012713,0.014750,0.018278,0.024385,0.035081", \ - "0.010628,0.011315,0.012512,0.014594,0.018166,0.024317,0.035047", \ - "0.011021,0.011507,0.012425,0.014193,0.017584,0.023951,0.034906", \ - "0.016595,0.017092,0.017939,0.019403,0.021861,0.026064,0.035066", \ - "0.023692,0.024336,0.025410,0.027240,0.030248,0.035096,0.042816", \ - "0.032080,0.032872,0.034229,0.036505,0.040202,0.046098,0.055269", \ - "0.041774,0.042766,0.044433,0.047275,0.051700,0.058700,0.069521"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.020039,0.021770,0.024922,0.030664,0.041110,0.060028,0.094234", \ - "0.020084,0.021805,0.024951,0.030684,0.041097,0.060035,0.094233", \ - "0.020154,0.021869,0.024998,0.030714,0.041117,0.060033,0.094228", \ - "0.020616,0.022259,0.025283,0.030878,0.041199,0.060055,0.094224", \ - "0.024522,0.026085,0.028919,0.033907,0.043087,0.060660,0.094256", \ - "0.029956,0.031573,0.034505,0.039828,0.049283,0.065874,0.096416", \ - "0.037691,0.039282,0.042176,0.047518,0.057092,0.074175,0.104209"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011810,0.012656,0.014158,0.016786,0.021329,0.029127,0.042518", \ - "0.013214,0.014041,0.015517,0.018110,0.022619,0.030386,0.043757", \ - "0.019177,0.019953,0.021306,0.023608,0.027820,0.035362,0.048600", \ - "0.026066,0.027152,0.029061,0.032266,0.037491,0.045721,0.058596", \ - "0.030585,0.031985,0.034453,0.038634,0.045470,0.056272,0.072789", \ - "0.032336,0.034058,0.037075,0.042197,0.050631,0.064043,0.084618", \ - "0.031223,0.033230,0.036786,0.042742,0.052775,0.068745,0.093365"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.053234,0.055688,0.060075,0.067951,0.082070,0.107478,0.153314", \ - "0.054167,0.056665,0.061128,0.069123,0.083415,0.109008,0.155036", \ - "0.059299,0.061754,0.066173,0.074135,0.088435,0.114154,0.160403", \ - "0.068216,0.070662,0.075038,0.082914,0.097090,0.122659,0.168848", \ - "0.078215,0.080905,0.085687,0.094079,0.108585,0.134080,0.180085", \ - "0.087439,0.090456,0.095740,0.104941,0.120936,0.148306,0.194801", \ - "0.097746,0.101073,0.106897,0.116920,0.134229,0.163675,0.213295"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010310,0.010969,0.012129,0.014158,0.017671,0.023764,0.034443", \ - "0.010054,0.010732,0.011923,0.013989,0.017548,0.023679,0.034398", \ - "0.010699,0.011165,0.012032,0.013739,0.017038,0.023334,0.034258", \ - "0.016315,0.016813,0.017660,0.019127,0.021574,0.025723,0.034567", \ - "0.023457,0.024096,0.025178,0.027004,0.030020,0.034857,0.042565", \ - "0.032000,0.032787,0.034145,0.036417,0.040086,0.045944,0.055082", \ - "0.041977,0.042954,0.044604,0.047413,0.051812,0.058746,0.069481"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.024044,0.026154,0.029997,0.036974,0.049611,0.072419,0.113669", \ - "0.024075,0.026182,0.030007,0.036979,0.049605,0.072411,0.113668", \ - "0.024122,0.026216,0.030036,0.036987,0.049613,0.072405,0.113675", \ - "0.024387,0.026437,0.030184,0.037064,0.049613,0.072426,0.113676", \ - "0.027723,0.029659,0.033071,0.039247,0.050797,0.072646,0.113641", \ - "0.032516,0.034491,0.038081,0.044591,0.056176,0.076474,0.114780", \ - "0.039671,0.041578,0.045055,0.051492,0.063121,0.083951,0.120622"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012096,0.012939,0.014436,0.017058,0.021598,0.029396,0.042799", \ - "0.013493,0.014319,0.015790,0.018379,0.022886,0.030655,0.044038", \ - "0.019451,0.020218,0.021551,0.023851,0.028073,0.035624,0.048879", \ - "0.026499,0.027570,0.029455,0.032627,0.037813,0.046002,0.058864", \ - "0.031230,0.032610,0.035042,0.039178,0.045951,0.056698,0.073151", \ - "0.033277,0.034963,0.037910,0.042961,0.051323,0.064640,0.085140", \ - "0.032533,0.034509,0.037964,0.043816,0.053729,0.069570,0.094079"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.061775,0.064207,0.068586,0.076455,0.090594,0.116038,0.161948", \ - "0.062909,0.065374,0.069807,0.077763,0.092029,0.117627,0.163687", \ - "0.067963,0.070413,0.074834,0.082792,0.097107,0.122831,0.169104", \ - "0.076784,0.079214,0.083591,0.091473,0.105680,0.131304,0.177545", \ - "0.087593,0.090194,0.094815,0.102913,0.117159,0.142646,0.188721", \ - "0.097930,0.100800,0.105875,0.114718,0.130311,0.157223,0.203349", \ - "0.109316,0.112469,0.118023,0.127627,0.144386,0.173252,0.222304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012986,0.013588,0.014664,0.016577,0.019949,0.025893,0.036448", \ - "0.012709,0.013335,0.014445,0.016399,0.019820,0.025809,0.036404", \ - "0.013107,0.013564,0.014416,0.016070,0.019284,0.025460,0.036264", \ - "0.019350,0.019731,0.020409,0.021637,0.023798,0.027765,0.036549", \ - "0.027585,0.028058,0.028874,0.030350,0.032927,0.037285,0.044567", \ - "0.037306,0.037876,0.038903,0.040706,0.043797,0.049031,0.057571", \ - "0.048528,0.049244,0.050502,0.052743,0.056407,0.062549,0.072523"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.028342,0.030504,0.034410,0.041469,0.054198,0.077151,0.118556", \ - "0.028352,0.030515,0.034412,0.041473,0.054195,0.077130,0.118563", \ - "0.028375,0.030528,0.034425,0.041481,0.054214,0.077141,0.118549", \ - "0.028490,0.030624,0.034489,0.041504,0.054207,0.077136,0.118541", \ - "0.031095,0.033026,0.036548,0.042989,0.054916,0.077223,0.118525", \ - "0.035812,0.037877,0.041583,0.048209,0.059902,0.080406,0.119288", \ - "0.042366,0.044412,0.048124,0.054795,0.066698,0.087744,0.124597"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012564,0.013425,0.014950,0.017613,0.022211,0.030083,0.043571", \ - "0.013960,0.014805,0.016307,0.018944,0.023514,0.031362,0.044837", \ - "0.020016,0.020774,0.022078,0.024425,0.028709,0.036342,0.049695", \ - "0.027569,0.028621,0.030462,0.033587,0.038706,0.046817,0.059687", \ - "0.032926,0.034272,0.036651,0.040693,0.047351,0.057952,0.074243", \ - "0.035797,0.037426,0.040294,0.045197,0.053368,0.066449,0.086683", \ - "0.036056,0.037949,0.041288,0.046948,0.056589,0.072088,0.096210"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.053710,0.055727,0.059354,0.065856,0.077518,0.098471,0.136267", \ - "0.054984,0.057027,0.060698,0.067273,0.079037,0.100121,0.138020", \ - "0.060396,0.062429,0.066091,0.072667,0.084467,0.105650,0.143731", \ - "0.070246,0.072269,0.075902,0.082423,0.094143,0.115240,0.153295", \ - "0.082213,0.084452,0.088414,0.095360,0.107362,0.128427,0.166339", \ - "0.093444,0.095995,0.100484,0.108260,0.121787,0.144810,0.183559", \ - "0.105265,0.108142,0.113214,0.121888,0.136819,0.162124,0.204373"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013664,0.014261,0.015331,0.017236,0.020602,0.026544,0.037103", \ - "0.013401,0.014023,0.015128,0.017074,0.020489,0.026474,0.037068", \ - "0.013558,0.014022,0.014904,0.016603,0.019882,0.026110,0.036928", \ - "0.019639,0.020022,0.020702,0.021921,0.024091,0.028136,0.037071", \ - "0.027787,0.028267,0.029091,0.030565,0.033139,0.037517,0.044819", \ - "0.037299,0.037884,0.038942,0.040757,0.043885,0.049156,0.057748", \ - "0.048201,0.048921,0.050223,0.052509,0.056238,0.062472,0.072546"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023451,0.025231,0.028454,0.034283,0.044821,0.063860,0.098198", \ - "0.023470,0.025249,0.028463,0.034296,0.044840,0.063851,0.098207", \ - "0.023507,0.025279,0.028491,0.034315,0.044836,0.063874,0.098211", \ - "0.023743,0.025481,0.028643,0.034397,0.044872,0.063859,0.098218", \ - "0.027068,0.028666,0.031502,0.036647,0.046211,0.064185,0.098199", \ - "0.032308,0.034014,0.037076,0.042523,0.052125,0.068770,0.099880", \ - "0.039523,0.041251,0.044356,0.049932,0.059771,0.077059,0.107174"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012096,0.012939,0.014436,0.017058,0.021598,0.029396,0.042799", \ - "0.013493,0.014319,0.015790,0.018379,0.022886,0.030655,0.044038", \ - "0.019451,0.020218,0.021551,0.023851,0.028073,0.035624,0.048879", \ - "0.026499,0.027570,0.029455,0.032627,0.037813,0.046002,0.058864", \ - "0.031230,0.032610,0.035042,0.039178,0.045951,0.056698,0.073151", \ - "0.033277,0.034963,0.037910,0.042961,0.051323,0.064640,0.085140", \ - "0.032533,0.034509,0.037964,0.043816,0.053729,0.069570,0.094079"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.061775,0.064207,0.068586,0.076455,0.090594,0.116038,0.161948", \ - "0.062909,0.065374,0.069807,0.077763,0.092029,0.117627,0.163687", \ - "0.067963,0.070413,0.074834,0.082792,0.097107,0.122831,0.169104", \ - "0.076784,0.079214,0.083591,0.091473,0.105680,0.131304,0.177545", \ - "0.087593,0.090194,0.094815,0.102913,0.117159,0.142646,0.188721", \ - "0.097930,0.100800,0.105875,0.114718,0.130311,0.157223,0.203349", \ - "0.109316,0.112469,0.118023,0.127627,0.144386,0.173252,0.222304"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012986,0.013588,0.014664,0.016577,0.019949,0.025893,0.036448", \ - "0.012709,0.013335,0.014445,0.016399,0.019820,0.025809,0.036404", \ - "0.013107,0.013564,0.014416,0.016070,0.019284,0.025460,0.036264", \ - "0.019350,0.019731,0.020409,0.021637,0.023798,0.027765,0.036549", \ - "0.027585,0.028058,0.028874,0.030350,0.032927,0.037285,0.044567", \ - "0.037306,0.037876,0.038903,0.040706,0.043797,0.049031,0.057571", \ - "0.048528,0.049244,0.050502,0.052743,0.056407,0.062549,0.072523"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.028342,0.030504,0.034410,0.041469,0.054198,0.077151,0.118556", \ - "0.028352,0.030515,0.034412,0.041473,0.054195,0.077130,0.118563", \ - "0.028375,0.030528,0.034425,0.041481,0.054214,0.077141,0.118549", \ - "0.028490,0.030624,0.034489,0.041504,0.054207,0.077136,0.118541", \ - "0.031095,0.033026,0.036548,0.042989,0.054916,0.077223,0.118525", \ - "0.035812,0.037877,0.041583,0.048209,0.059902,0.080406,0.119288", \ - "0.042366,0.044412,0.048124,0.054795,0.066698,0.087744,0.124597"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012384,0.013224,0.014716,0.017332,0.021866,0.029665,0.043078", \ - "0.013774,0.014598,0.016064,0.018650,0.023152,0.030922,0.044316", \ - "0.019724,0.020482,0.021790,0.024097,0.028326,0.035886,0.049156", \ - "0.026938,0.027993,0.029845,0.032987,0.038132,0.046278,0.059139", \ - "0.031875,0.033233,0.035627,0.039711,0.046424,0.057112,0.073512", \ - "0.034212,0.035873,0.038758,0.043729,0.052001,0.065225,0.085636", \ - "0.033869,0.035802,0.039174,0.044911,0.054690,0.070387,0.094774"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.070321,0.072745,0.077117,0.084978,0.099131,0.124607,0.170595", \ - "0.071599,0.074045,0.078457,0.086388,0.100637,0.126238,0.172356", \ - "0.076649,0.079091,0.083504,0.091460,0.105768,0.131495,0.177783", \ - "0.085373,0.087795,0.092171,0.100066,0.114297,0.139948,0.186229", \ - "0.096734,0.099231,0.103664,0.111555,0.125729,0.151242,0.197354", \ - "0.108043,0.110797,0.115688,0.124280,0.139524,0.166001,0.211971", \ - "0.120367,0.123357,0.128733,0.137969,0.154317,0.182699,0.231209"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.015516,0.016078,0.017089,0.018906,0.022161,0.027983,0.038438", \ - "0.015238,0.015823,0.016866,0.018726,0.022030,0.027900,0.038394", \ - "0.015525,0.015950,0.016760,0.018348,0.021476,0.027550,0.038255", \ - "0.022070,0.022378,0.022933,0.023986,0.025913,0.029780,0.038520", \ - "0.031166,0.031539,0.032194,0.033408,0.035654,0.039622,0.046536", \ - "0.041892,0.042327,0.043143,0.044625,0.047277,0.051991,0.059994", \ - "0.054198,0.054748,0.055738,0.057588,0.060703,0.066203,0.075500"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.032749,0.034937,0.038885,0.045999,0.058810,0.081870,0.123487", \ - "0.032748,0.034941,0.038885,0.046004,0.058817,0.081871,0.123484", \ - "0.032762,0.034948,0.038890,0.046007,0.058826,0.081891,0.123429", \ - "0.032810,0.034989,0.038920,0.046020,0.058822,0.081866,0.123442", \ - "0.034634,0.036637,0.040285,0.046942,0.059166,0.081900,0.123385", \ - "0.039447,0.041531,0.045271,0.051938,0.063588,0.084459,0.123844", \ - "0.045651,0.047764,0.051557,0.058370,0.070406,0.091559,0.128654"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.017641,0.018448,0.019880,0.022396,0.026768,0.034327,0.047431", \ - "0.018968,0.019774,0.021207,0.023720,0.028090,0.035650,0.048757", \ - "0.023054,0.023837,0.025240,0.027722,0.032077,0.039642,0.052765", \ - "0.029638,0.030526,0.032082,0.034792,0.039432,0.047226,0.060387", \ - "0.035809,0.036910,0.038832,0.042126,0.047635,0.056656,0.071310", \ - "0.039956,0.041341,0.043747,0.047838,0.054623,0.065537,0.082708", \ - "0.041687,0.043347,0.046228,0.051139,0.059315,0.072462,0.092900"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.047361,0.049016,0.052002,0.057375,0.067033,0.084426,0.115817", \ - "0.048873,0.050546,0.053553,0.058954,0.068657,0.086097,0.117519", \ - "0.054855,0.056525,0.059535,0.064948,0.074675,0.092159,0.123653", \ - "0.065084,0.066759,0.069767,0.075169,0.084871,0.102334,0.133823", \ - "0.077250,0.079191,0.082619,0.088613,0.098972,0.116612,0.148059", \ - "0.088613,0.090910,0.094952,0.101925,0.113888,0.134022,0.167366", \ - "0.100619,0.103287,0.107963,0.115994,0.129577,0.152314,0.189504"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012250,0.012831,0.013869,0.015727,0.019037,0.024930,0.035504", \ - "0.012213,0.012795,0.013840,0.015704,0.019019,0.024922,0.035501", \ - "0.011962,0.012552,0.013604,0.015491,0.018864,0.024869,0.035490", \ - "0.013836,0.014382,0.015339,0.017059,0.020095,0.025498,0.035630", \ - "0.018046,0.018594,0.019556,0.021249,0.024208,0.029432,0.038715", \ - "0.023827,0.024468,0.025563,0.027453,0.030646,0.035966,0.045111", \ - "0.030659,0.031417,0.032731,0.034964,0.038641,0.044561,0.054137"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.021497,0.022958,0.025604,0.030393,0.039017,0.054577,0.082591", \ - "0.021507,0.022965,0.025609,0.030394,0.039023,0.054593,0.082611", \ - "0.021520,0.022977,0.025613,0.030393,0.039025,0.054586,0.082586", \ - "0.021802,0.023213,0.025786,0.030493,0.039056,0.054595,0.082583", \ - "0.025853,0.027155,0.029490,0.033599,0.041101,0.055320,0.082603", \ - "0.032100,0.033479,0.035947,0.040351,0.048084,0.061426,0.085563", \ - "0.040190,0.041609,0.044142,0.048723,0.056766,0.070707,0.094773"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.016652,0.017454,0.018879,0.021385,0.025749,0.033292,0.046378", \ - "0.017964,0.018765,0.020190,0.022691,0.027048,0.034586,0.047669", \ - "0.022077,0.022851,0.024234,0.026693,0.031021,0.038554,0.051646", \ - "0.028450,0.029346,0.030920,0.033642,0.038300,0.046112,0.059264", \ - "0.034157,0.035281,0.037248,0.040616,0.046202,0.055336,0.070066", \ - "0.037598,0.039027,0.041520,0.045728,0.052672,0.063811,0.081183", \ - "0.038421,0.040156,0.043149,0.048236,0.056658,0.070123,0.090925"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.055571,0.057641,0.061372,0.068104,0.080233,0.102102,0.141614", \ - "0.056983,0.059069,0.062831,0.069605,0.081790,0.103719,0.143273", \ - "0.062717,0.064802,0.068564,0.075344,0.087559,0.109548,0.149197", \ - "0.071922,0.073999,0.077751,0.084507,0.096685,0.118645,0.158283", \ - "0.082969,0.085254,0.089318,0.096477,0.108897,0.130831,0.170412", \ - "0.093488,0.096077,0.100661,0.108660,0.122542,0.146343,0.186634", \ - "0.105156,0.108066,0.113189,0.122038,0.137282,0.163284,0.206914"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.011540,0.012127,0.013184,0.015062,0.018393,0.024304,0.034879", \ - "0.011468,0.012064,0.013125,0.015012,0.018356,0.024282,0.034870", \ - "0.011295,0.011880,0.012934,0.014824,0.018198,0.024222,0.034856", \ - "0.013388,0.013919,0.014866,0.016570,0.019596,0.024956,0.035038", \ - "0.017751,0.018299,0.019254,0.020930,0.023864,0.029023,0.038249", \ - "0.023644,0.024291,0.025382,0.027269,0.030441,0.035728,0.044787", \ - "0.030626,0.031395,0.032705,0.034933,0.038600,0.044486,0.053973"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.026996,0.028824,0.032128,0.038096,0.048880,0.068299,0.103345", \ - "0.026998,0.028825,0.032132,0.038099,0.048891,0.068308,0.103329", \ - "0.027010,0.028833,0.032130,0.038101,0.048887,0.068304,0.103348", \ - "0.027132,0.028931,0.032196,0.038132,0.048890,0.068297,0.103323", \ - "0.030274,0.031899,0.034807,0.040111,0.049994,0.068529,0.103321", \ - "0.035677,0.037388,0.040472,0.045988,0.055735,0.072753,0.104746", \ - "0.042840,0.044568,0.047696,0.053315,0.063320,0.080909,0.111563"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.016935,0.017734,0.019155,0.021657,0.026014,0.033560,0.046658", \ - "0.018247,0.019044,0.020463,0.022960,0.027312,0.034854,0.047949", \ - "0.022345,0.023116,0.024498,0.026958,0.031285,0.038822,0.051929", \ - "0.028775,0.029662,0.031225,0.033940,0.038585,0.046382,0.059540", \ - "0.034602,0.035711,0.037658,0.040998,0.046567,0.055658,0.070372", \ - "0.038219,0.039618,0.042081,0.046248,0.053152,0.064237,0.081569", \ - "0.039267,0.040960,0.043906,0.048940,0.057292,0.070694,0.091424"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.062859,0.064930,0.068669,0.075410,0.087563,0.109472,0.149052", \ - "0.064335,0.066417,0.070177,0.076947,0.089141,0.111105,0.150702", \ - "0.070073,0.072159,0.075924,0.082711,0.094936,0.116949,0.156628", \ - "0.079244,0.081322,0.085077,0.091846,0.104046,0.126034,0.165747", \ - "0.090957,0.093164,0.097113,0.104034,0.116264,0.138201,0.177819", \ - "0.102566,0.105055,0.109469,0.117187,0.130734,0.154121,0.194026", \ - "0.115301,0.118063,0.122970,0.131482,0.146260,0.171722,0.214760"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.014022,0.014570,0.015561,0.017351,0.020575,0.026374,0.036855", \ - "0.013949,0.014502,0.015501,0.017302,0.020538,0.026352,0.036845", \ - "0.013751,0.014301,0.015298,0.017109,0.020379,0.026293,0.036831", \ - "0.015935,0.016413,0.017285,0.018881,0.021763,0.027002,0.037007", \ - "0.020726,0.021189,0.022019,0.023525,0.026256,0.031188,0.040231", \ - "0.027340,0.027857,0.028764,0.030391,0.033230,0.038181,0.046935", \ - "0.035122,0.035772,0.036850,0.038734,0.041961,0.047364,0.056404"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.030756,0.032603,0.035937,0.041958,0.052792,0.072307,0.107484", \ - "0.030759,0.032605,0.035937,0.041954,0.052790,0.072306,0.107456", \ - "0.030760,0.032604,0.035940,0.041956,0.052790,0.072300,0.107440", \ - "0.030808,0.032640,0.035958,0.041967,0.052789,0.072291,0.107456", \ - "0.033187,0.034827,0.037826,0.043322,0.053468,0.072367,0.107422", \ - "0.038617,0.040359,0.043468,0.049013,0.058783,0.075938,0.108474", \ - "0.045523,0.047292,0.050488,0.056197,0.066328,0.083984,0.114721"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013601,0.014461,0.015985,0.018647,0.023242,0.031105,0.044579", \ - "0.014988,0.015843,0.017356,0.020005,0.024586,0.032437,0.045902", \ - "0.019395,0.020223,0.021682,0.024212,0.028676,0.036456,0.049896", \ - "0.025625,0.026589,0.028271,0.031164,0.036022,0.044109,0.057561", \ - "0.030876,0.032095,0.034234,0.037838,0.043753,0.053248,0.068345", \ - "0.033809,0.035361,0.038048,0.042538,0.049873,0.061472,0.079346", \ - "0.034133,0.035998,0.039219,0.044625,0.053470,0.067478,0.088859"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.052716,0.054708,0.058294,0.064746,0.076348,0.097247,0.134984", \ - "0.054155,0.056165,0.059778,0.066266,0.077916,0.098872,0.136659", \ - "0.060053,0.062062,0.065679,0.072178,0.083856,0.104863,0.142730", \ - "0.070114,0.072122,0.075736,0.082220,0.093872,0.114850,0.152707", \ - "0.082101,0.084333,0.088286,0.095222,0.107209,0.128225,0.166019", \ - "0.093290,0.095848,0.100346,0.108138,0.121637,0.144629,0.183349", \ - "0.105229,0.108123,0.113188,0.121908,0.136806,0.162068,0.204221"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010796,0.011455,0.012633,0.014687,0.018230,0.024352,0.035064", \ - "0.010672,0.011343,0.012529,0.014595,0.018159,0.024305,0.035036", \ - "0.010708,0.011305,0.012397,0.014362,0.017879,0.024120,0.034968", \ - "0.013401,0.013921,0.014854,0.016536,0.019547,0.024935,0.035069", \ - "0.018179,0.018717,0.019647,0.021288,0.024152,0.029228,0.038390", \ - "0.024376,0.024993,0.026053,0.027898,0.030985,0.036162,0.045068", \ - "0.031592,0.032350,0.033630,0.035794,0.039366,0.045101,0.054405"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.023488,0.025267,0.028480,0.034310,0.044846,0.063880,0.098222", \ - "0.023505,0.025281,0.028491,0.034316,0.044842,0.063894,0.098212", \ - "0.023523,0.025295,0.028504,0.034322,0.044848,0.063876,0.098227", \ - "0.023759,0.025497,0.028652,0.034408,0.044890,0.063874,0.098222", \ - "0.027033,0.028653,0.031522,0.036682,0.046238,0.064220,0.098208", \ - "0.032246,0.033955,0.037017,0.042479,0.052094,0.068811,0.099934", \ - "0.039071,0.040848,0.044022,0.049682,0.059639,0.076997,0.107175"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013164,0.014005,0.015497,0.018112,0.022643,0.030429,0.043812", \ - "0.014547,0.015380,0.016860,0.019458,0.023970,0.031738,0.045108", \ - "0.018886,0.019702,0.021142,0.023641,0.028039,0.035738,0.049082", \ - "0.024846,0.025816,0.027507,0.030401,0.035261,0.043327,0.056731", \ - "0.029611,0.030854,0.033031,0.036687,0.042674,0.052245,0.067377", \ - "0.031862,0.033471,0.036228,0.040820,0.048289,0.060073,0.078106", \ - "0.031319,0.033258,0.036578,0.042145,0.051220,0.065511,0.087195"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.060550,0.062952,0.067282,0.075083,0.089144,0.114517,0.160369", \ - "0.061891,0.064311,0.068675,0.076523,0.090645,0.116081,0.161996", \ - "0.067540,0.069959,0.074324,0.082182,0.096341,0.121851,0.167865", \ - "0.076633,0.079042,0.083396,0.091227,0.105345,0.130822,0.176832", \ - "0.087490,0.090084,0.094695,0.102800,0.116995,0.142416,0.188333", \ - "0.097776,0.100645,0.105723,0.114626,0.130163,0.157028,0.203149", \ - "0.109266,0.112422,0.117986,0.127633,0.144351,0.173174,0.222125"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.010220,0.010880,0.012048,0.014089,0.017618,0.023723,0.034420", \ - "0.010078,0.010745,0.011925,0.013978,0.017530,0.023664,0.034386", \ - "0.010209,0.010792,0.011863,0.013801,0.017283,0.023487,0.034316", \ - "0.013013,0.013529,0.014445,0.016110,0.019078,0.024424,0.034481", \ - "0.017871,0.018407,0.019335,0.020968,0.023806,0.028827,0.037921", \ - "0.024143,0.024756,0.025824,0.027666,0.030752,0.035871,0.044718", \ - "0.031510,0.032247,0.033529,0.035693,0.039252,0.044956,0.054195"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.028362,0.030525,0.034422,0.041478,0.054200,0.077172,0.118559", \ - "0.028375,0.030532,0.034426,0.041481,0.054197,0.077146,0.118575", \ - "0.028387,0.030542,0.034436,0.041481,0.054198,0.077150,0.118578", \ - "0.028502,0.030636,0.034498,0.041509,0.054214,0.077153,0.118571", \ - "0.031100,0.033046,0.036572,0.043010,0.054936,0.077222,0.118530", \ - "0.035748,0.037813,0.041518,0.048141,0.059843,0.080430,0.119313", \ - "0.041924,0.044031,0.047808,0.054580,0.066569,0.087663,0.124633"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013447,0.014285,0.015774,0.018384,0.022911,0.030697,0.044092", \ - "0.014827,0.015658,0.017133,0.019727,0.024236,0.032006,0.045389", \ - "0.019162,0.019975,0.021408,0.023898,0.028299,0.036003,0.049362", \ - "0.025191,0.026150,0.027827,0.030702,0.035545,0.043599,0.057007", \ - "0.030108,0.031336,0.033481,0.037105,0.043053,0.052571,0.067687", \ - "0.032557,0.034132,0.036847,0.041386,0.048809,0.060519,0.078493", \ - "0.032285,0.034166,0.037423,0.042921,0.051909,0.066113,0.087713"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.069033,0.071434,0.075764,0.083581,0.097673,0.123087,0.169005", \ - "0.070442,0.072856,0.077215,0.085066,0.099205,0.124672,0.170657", \ - "0.076103,0.078517,0.082884,0.090754,0.104926,0.130466,0.176519", \ - "0.085161,0.087567,0.091918,0.099762,0.113912,0.139425,0.185479", \ - "0.096583,0.099094,0.103543,0.111414,0.125540,0.150987,0.196964", \ - "0.107878,0.110643,0.115549,0.124174,0.139398,0.165852,0.211739", \ - "0.120306,0.123316,0.128677,0.137981,0.154271,0.182600,0.231049"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012889,0.013494,0.014578,0.016502,0.019892,0.025854,0.036425", \ - "0.012733,0.013348,0.014448,0.016390,0.019803,0.025792,0.036391", \ - "0.012748,0.013303,0.014320,0.016177,0.019543,0.025615,0.036321", \ - "0.015716,0.016160,0.016986,0.018510,0.021327,0.026512,0.036480", \ - "0.021107,0.021529,0.022295,0.023707,0.026280,0.031051,0.039938", \ - "0.028169,0.028645,0.029485,0.030998,0.033687,0.038401,0.046901", \ - "0.036481,0.037036,0.038027,0.039771,0.042803,0.047942,0.056674"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.032767,0.034954,0.038894,0.046013,0.058824,0.081891,0.123468", \ - "0.032772,0.034955,0.038900,0.046013,0.058836,0.081909,0.123503", \ - "0.032775,0.034959,0.038899,0.046016,0.058825,0.081885,0.123470", \ - "0.032824,0.034998,0.038924,0.046030,0.058838,0.081898,0.123466", \ - "0.034670,0.036676,0.040317,0.046968,0.059198,0.081915,0.123413", \ - "0.039404,0.041488,0.045228,0.051878,0.063593,0.084496,0.123891", \ - "0.045370,0.047515,0.051349,0.058211,0.070310,0.091501,0.128698"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013899,0.014755,0.016273,0.018929,0.023517,0.031380,0.044863", \ - "0.015281,0.016131,0.017641,0.020285,0.024860,0.032711,0.046186", \ - "0.019682,0.020504,0.021953,0.024477,0.028943,0.036728,0.050180", \ - "0.025976,0.026930,0.028601,0.031471,0.036311,0.044386,0.057840", \ - "0.031371,0.032573,0.034682,0.038252,0.044129,0.053576,0.068657", \ - "0.034514,0.036026,0.038669,0.043101,0.050379,0.061919,0.079725", \ - "0.035084,0.036900,0.040052,0.045388,0.054155,0.068072,0.089369"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.059675,0.061660,0.065245,0.071699,0.083315,0.104244,0.142035", \ - "0.061175,0.063168,0.066772,0.073254,0.084909,0.105883,0.143710", \ - "0.067086,0.069085,0.072694,0.079189,0.090875,0.111903,0.149803", \ - "0.077138,0.079136,0.082737,0.089216,0.100875,0.121880,0.159783", \ - "0.089868,0.092015,0.095821,0.102520,0.114268,0.135245,0.173068", \ - "0.102200,0.104632,0.108925,0.116425,0.129534,0.152088,0.190394", \ - "0.115251,0.117969,0.122782,0.131129,0.145500,0.170199,0.211781"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013571,0.014175,0.015254,0.017171,0.020552,0.026511,0.037085", \ - "0.013439,0.014050,0.015142,0.017078,0.020481,0.026463,0.037058", \ - "0.013367,0.013925,0.014947,0.016813,0.020190,0.026278,0.036989", \ - "0.016167,0.016621,0.017455,0.018992,0.021831,0.027055,0.037082", \ - "0.021436,0.021855,0.022627,0.024052,0.026663,0.031468,0.040421", \ - "0.028386,0.028864,0.029697,0.031220,0.033918,0.038692,0.047251", \ - "0.036533,0.037082,0.038080,0.039837,0.042893,0.048079,0.056882"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.027002,0.028811,0.032072,0.037972,0.048593,0.067733,0.102215", \ - "0.027010,0.028819,0.032078,0.037973,0.048598,0.067752,0.102203", \ - "0.027018,0.028824,0.032089,0.037979,0.048594,0.067742,0.102194", \ - "0.027141,0.028930,0.032166,0.038024,0.048618,0.067720,0.102188", \ - "0.029753,0.031375,0.034301,0.039642,0.049509,0.067900,0.102161", \ - "0.034985,0.036720,0.039824,0.045334,0.054981,0.071826,0.103499", \ - "0.041646,0.043456,0.046696,0.052426,0.062496,0.079969,0.110186"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013447,0.014285,0.015774,0.018384,0.022911,0.030697,0.044092", \ - "0.014827,0.015658,0.017133,0.019727,0.024236,0.032006,0.045389", \ - "0.019162,0.019975,0.021408,0.023898,0.028299,0.036003,0.049362", \ - "0.025191,0.026150,0.027827,0.030702,0.035545,0.043599,0.057007", \ - "0.030108,0.031336,0.033481,0.037105,0.043053,0.052571,0.067687", \ - "0.032557,0.034132,0.036847,0.041386,0.048809,0.060519,0.078493", \ - "0.032285,0.034166,0.037423,0.042921,0.051909,0.066113,0.087713"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.069033,0.071434,0.075764,0.083581,0.097673,0.123087,0.169005", \ - "0.070442,0.072856,0.077215,0.085066,0.099205,0.124672,0.170657", \ - "0.076103,0.078517,0.082884,0.090754,0.104926,0.130466,0.176519", \ - "0.085161,0.087567,0.091918,0.099762,0.113912,0.139425,0.185479", \ - "0.096583,0.099094,0.103543,0.111414,0.125540,0.150987,0.196964", \ - "0.107878,0.110643,0.115549,0.124174,0.139398,0.165852,0.211739", \ - "0.120306,0.123316,0.128677,0.137981,0.154271,0.182600,0.231049"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.012889,0.013494,0.014578,0.016502,0.019892,0.025854,0.036425", \ - "0.012733,0.013348,0.014448,0.016390,0.019803,0.025792,0.036391", \ - "0.012748,0.013303,0.014320,0.016177,0.019543,0.025615,0.036321", \ - "0.015716,0.016160,0.016986,0.018510,0.021327,0.026512,0.036480", \ - "0.021107,0.021529,0.022295,0.023707,0.026280,0.031051,0.039938", \ - "0.028169,0.028645,0.029485,0.030998,0.033687,0.038401,0.046901", \ - "0.036481,0.037036,0.038027,0.039771,0.042803,0.047942,0.056674"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.032767,0.034954,0.038894,0.046013,0.058824,0.081891,0.123468", \ - "0.032772,0.034955,0.038900,0.046013,0.058836,0.081909,0.123503", \ - "0.032775,0.034959,0.038899,0.046016,0.058825,0.081885,0.123470", \ - "0.032824,0.034998,0.038924,0.046030,0.058838,0.081898,0.123466", \ - "0.034670,0.036676,0.040317,0.046968,0.059198,0.081915,0.123413", \ - "0.039404,0.041488,0.045228,0.051878,0.063593,0.084496,0.123891", \ - "0.045370,0.047515,0.051349,0.058211,0.070310,0.091501,0.128698"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.013733,0.014567,0.016051,0.018657,0.023178,0.030965,0.044372", \ - "0.015107,0.015936,0.017409,0.019998,0.024503,0.032273,0.045667", \ - "0.019439,0.020247,0.021674,0.024158,0.028560,0.036269,0.049640", \ - "0.025535,0.026487,0.028149,0.031004,0.035831,0.043873,0.057283", \ - "0.030594,0.031808,0.033926,0.037514,0.043423,0.052900,0.067999", \ - "0.033252,0.034794,0.037467,0.041951,0.049311,0.060960,0.078879", \ - "0.033223,0.035080,0.038274,0.043688,0.052596,0.066700,0.088214"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.077549,0.079941,0.084285,0.092113,0.106205,0.131637,0.177589", \ - "0.079010,0.081414,0.085764,0.093615,0.107752,0.133226,0.179263", \ - "0.084682,0.087099,0.091471,0.099330,0.113501,0.139056,0.185137", \ - "0.093720,0.096125,0.100472,0.108323,0.122482,0.147998,0.194084", \ - "0.105377,0.107802,0.112166,0.119990,0.134102,0.159560,0.205579", \ - "0.117647,0.120336,0.125107,0.133523,0.148459,0.174480,0.220345", \ - "0.130908,0.133812,0.139009,0.148092,0.164017,0.191910,0.239879"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.015417,0.015982,0.017000,0.018830,0.022102,0.027945,0.038415", \ - "0.015260,0.015834,0.016867,0.018716,0.022012,0.027882,0.038381", \ - "0.015226,0.015748,0.016709,0.018483,0.021744,0.027705,0.038311", \ - "0.018245,0.018650,0.019402,0.020819,0.023502,0.028569,0.038462", \ - "0.024018,0.024372,0.025030,0.026285,0.028661,0.033230,0.041931", \ - "0.031769,0.032122,0.032807,0.034087,0.036470,0.040859,0.049071", \ - "0.040872,0.041298,0.042095,0.043539,0.046151,0.050820,0.059119"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.037222,0.039438,0.043407,0.050578,0.063461,0.086622,0.128293", \ - "0.037227,0.039432,0.043408,0.050571,0.063462,0.086604,0.128355", \ - "0.037224,0.039440,0.043411,0.050571,0.063443,0.086616,0.128342", \ - "0.037246,0.039449,0.043418,0.050579,0.063453,0.086609,0.128322", \ - "0.038458,0.040524,0.044269,0.051109,0.063636,0.086628,0.128274", \ - "0.043175,0.045267,0.049012,0.055672,0.067342,0.088630,0.128522", \ - "0.049043,0.051204,0.055074,0.061957,0.074123,0.095349,0.132855"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.291166,0.290236,0.283160,0.281494,0.275370,0.282126,0.286477", \ - "0.259704,0.262422,0.265202,0.266397,0.274876,0.291529,0.303262", \ - "0.271356,0.271538,0.270536,0.272322,0.275878,0.297065,0.315227", \ - "0.529157,0.513345,0.483299,0.449268,0.395720,0.367425,0.362087", \ - "0.980713,0.962455,0.921150,0.852536,0.768231,0.652420,0.537228", \ - "1.642341,1.613941,1.585331,1.510484,1.389954,1.207774,0.977056", \ - "2.491564,2.470107,2.459297,2.394527,2.269308,2.043374,1.696820"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.852056,2.846624,2.886650,2.873594,2.927990,2.955224,2.953923", \ - "2.750259,2.776802,2.784408,2.832444,2.824895,2.909332,2.955006", \ - "2.759075,2.762607,2.781409,2.764804,2.779763,2.818846,2.885940", \ - "3.039337,3.017323,3.026012,3.000843,2.953373,2.910328,2.941737", \ - "3.458899,3.465096,3.477157,3.481934,3.389975,3.346720,3.248200", \ - "4.181430,4.172447,4.135724,4.108168,4.030130,3.935352,3.792006", \ - "5.264568,5.234364,5.185146,5.084698,4.958467,4.823950,4.653777"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.337047,0.335637,0.324535,0.312387,0.296906,0.284131,0.287098", \ - "0.305143,0.306643,0.304661,0.296022,0.298165,0.299358,0.304928", \ - "0.315823,0.311756,0.309922,0.305929,0.307912,0.316876,0.327850", \ - "0.543478,0.532735,0.506590,0.476193,0.426033,0.397540,0.387424", \ - "0.931197,0.925731,0.893254,0.840516,0.771572,0.664442,0.555653", \ - "1.513466,1.502659,1.474937,1.418612,1.321643,1.166300,0.963000", \ - "2.258766,2.261702,2.240770,2.195919,2.093709,1.914391,1.619321"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.770476,2.792993,2.806338,2.843346,2.849726,2.787362,2.879168", \ - "2.677595,2.700272,2.717660,2.759545,2.792047,2.730797,2.870258", \ - "2.688462,2.681492,2.710314,2.703851,2.749888,2.667496,2.777323", \ - "2.936643,2.941784,2.930106,2.928126,2.845472,2.896179,2.840918", \ - "3.398583,3.429608,3.405821,3.372910,3.267087,3.178750,3.141107", \ - "4.025127,4.008161,3.990707,3.990576,3.924208,3.827002,3.628493", \ - "5.003346,4.977907,4.921595,4.857009,4.734541,4.687913,4.398890"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.314207,0.313226,0.309130,0.301328,0.292360,0.284663,0.286645", \ - "0.288745,0.290284,0.290012,0.289445,0.292587,0.295402,0.306255", \ - "0.299600,0.295271,0.296623,0.297985,0.304676,0.313102,0.329549", \ - "0.522076,0.513469,0.490084,0.456421,0.416416,0.392658,0.388860", \ - "0.936156,0.916796,0.886223,0.835682,0.760680,0.656245,0.556045", \ - "1.545145,1.531207,1.485360,1.432699,1.318597,1.153724,0.956845", \ - "2.345059,2.325923,2.288038,2.227420,2.114066,1.907292,1.605810"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.595274,3.617304,3.630598,3.658327,3.685435,3.678903,3.721030", \ - "3.514196,3.537895,3.554070,3.588130,3.589380,3.568916,3.680573", \ - "3.504048,3.500517,3.518894,3.539429,3.562421,3.598933,3.622877", \ - "3.715075,3.702767,3.699886,3.694363,3.673594,3.698973,3.681651", \ - "4.185806,4.165356,4.145565,4.133291,4.042763,4.009398,3.972864", \ - "4.749162,4.734460,4.738936,4.740342,4.699244,4.606731,4.450910", \ - "5.664920,5.648874,5.609978,5.553751,5.529901,5.405292,5.220372"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.308891,0.306278,0.299554,0.287791,0.285730,0.281673,0.288751", \ - "0.282509,0.285571,0.286610,0.287406,0.294837,0.299801,0.314570", \ - "0.304770,0.303125,0.303196,0.303666,0.310066,0.323324,0.340539", \ - "0.538639,0.523171,0.500588,0.471405,0.421674,0.394952,0.390066", \ - "0.937572,0.927273,0.894075,0.844000,0.760981,0.659608,0.550830", \ - "1.526207,1.513858,1.484488,1.428638,1.324841,1.154741,0.954765", \ - "2.280551,2.282111,2.241631,2.205546,2.104539,1.916040,1.610858"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.882432,2.881523,2.915826,2.928942,2.935845,2.890306,2.980141", \ - "2.787158,2.793351,2.815098,2.845566,2.849549,2.882899,2.938972", \ - "2.773518,2.789631,2.795491,2.792181,2.809160,2.773150,2.881801", \ - "3.031854,3.016185,3.006050,2.971377,2.977294,2.870264,2.943829", \ - "3.469836,3.488739,3.471978,3.406869,3.367772,3.217514,3.168575", \ - "4.073844,4.064181,4.049877,4.039847,4.022967,3.782237,3.720370", \ - "5.025987,5.001646,4.967631,4.896676,4.792191,4.728131,4.481649"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.348242,0.343933,0.332320,0.320257,0.301451,0.289667,0.289507", \ - "0.321292,0.324008,0.318627,0.313497,0.308373,0.309158,0.315902", \ - "0.337518,0.334876,0.334548,0.329765,0.330020,0.338483,0.348099", \ - "0.550418,0.538679,0.522034,0.495246,0.449183,0.419436,0.409531", \ - "0.914371,0.895644,0.876410,0.829038,0.761519,0.670230,0.570140", \ - "1.424625,1.415731,1.395265,1.346969,1.270524,1.126542,0.944659", \ - "2.113032,2.095926,2.079310,2.048041,1.971600,1.802014,1.547345"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("2.827405,2.847759,2.865597,2.890999,2.859021,2.884925,2.894029", \ - "2.736053,2.758437,2.780784,2.812058,2.812727,2.834613,2.857005", \ - "2.726284,2.740993,2.752627,2.761683,2.772390,2.777199,2.804602", \ - "2.976271,2.966238,2.957761,2.939114,2.932345,2.874445,2.868203", \ - "3.429491,3.410940,3.391893,3.348131,3.296231,3.208629,3.044475", \ - "3.967667,3.965957,3.956574,3.950379,3.852328,3.730578,3.616148", \ - "4.836702,4.799845,4.760800,4.719574,4.649536,4.528346,4.220791"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.325200,0.317052,0.314891,0.305226,0.296795,0.289651,0.284878", \ - "0.303414,0.300589,0.305517,0.302425,0.304987,0.307930,0.317294", \ - "0.323497,0.324988,0.324718,0.323187,0.327668,0.335592,0.350637", \ - "0.536941,0.523344,0.504369,0.474365,0.436590,0.414261,0.411008", \ - "0.916826,0.895083,0.868786,0.818749,0.754274,0.660906,0.567873", \ - "1.463457,1.438300,1.418269,1.354177,1.267122,1.116164,0.938482", \ - "2.172426,2.158704,2.138066,2.087862,1.985774,1.806602,1.536504"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.653909,3.673557,3.672596,3.701308,3.710659,3.734774,3.694976", \ - "3.576863,3.579923,3.600583,3.635771,3.674963,3.631081,3.767456", \ - "3.545974,3.544909,3.559528,3.589874,3.585078,3.629462,3.687168", \ - "3.741559,3.733942,3.738120,3.738656,3.715535,3.663263,3.668259", \ - "4.170617,4.154876,4.144371,4.099702,4.067250,3.985441,3.948714", \ - "4.710420,4.728218,4.730011,4.708139,4.614881,4.491026,4.398196", \ - "5.520295,5.510940,5.490353,5.469641,5.421376,5.272358,5.102260"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.286540,0.282896,0.284787,0.282885,0.281168,0.282298,0.288877", \ - "0.270741,0.267350,0.276767,0.277927,0.290579,0.301994,0.316213", \ - "0.291604,0.288312,0.295185,0.295127,0.306995,0.322618,0.342196", \ - "0.514252,0.502612,0.483275,0.450405,0.408488,0.390731,0.391538", \ - "0.940865,0.921290,0.885164,0.831816,0.752760,0.648161,0.549213", \ - "1.547946,1.535175,1.490858,1.434233,1.317087,1.145361,0.948331", \ - "2.367348,2.345050,2.304153,2.246684,2.115735,1.910131,1.602735"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.683756,3.704685,3.716276,3.742138,3.755965,3.776365,3.823815", \ - "3.602779,3.625373,3.640165,3.672788,3.648589,3.742068,3.784827", \ - "3.590871,3.586921,3.595531,3.624597,3.620189,3.669445,3.728809", \ - "3.792644,3.781707,3.779549,3.776709,3.729262,3.740317,3.785917", \ - "4.249111,4.225503,4.209079,4.195380,4.153200,4.055508,3.942661", \ - "4.787412,4.796848,4.804346,4.794238,4.707630,4.566442,4.414216", \ - "5.712418,5.677310,5.661529,5.597286,5.531563,5.475950,5.163750"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.325200,0.317052,0.314891,0.305226,0.296795,0.289651,0.284878", \ - "0.303414,0.300589,0.305517,0.302425,0.304987,0.307930,0.317294", \ - "0.323497,0.324988,0.324718,0.323187,0.327668,0.335592,0.350637", \ - "0.536941,0.523344,0.504369,0.474365,0.436590,0.414261,0.411008", \ - "0.916826,0.895083,0.868786,0.818749,0.754274,0.660906,0.567873", \ - "1.463457,1.438300,1.418269,1.354177,1.267122,1.116164,0.938482", \ - "2.172426,2.158704,2.138066,2.087862,1.985774,1.806602,1.536504"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.653909,3.673557,3.672596,3.701308,3.710659,3.734774,3.694976", \ - "3.576863,3.579923,3.600583,3.635771,3.674963,3.631081,3.767456", \ - "3.545974,3.544909,3.559528,3.589874,3.585078,3.629462,3.687168", \ - "3.741559,3.733942,3.738120,3.738656,3.715535,3.663263,3.668259", \ - "4.170617,4.154876,4.144371,4.099702,4.067250,3.985441,3.948714", \ - "4.710420,4.728218,4.730011,4.708139,4.614881,4.491026,4.398196", \ - "5.520295,5.510940,5.490353,5.469641,5.421376,5.272358,5.102260"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.308267,0.303934,0.304382,0.297145,0.289482,0.288642,0.285940", \ - "0.291216,0.290374,0.296318,0.294759,0.300906,0.303878,0.313668", \ - "0.314656,0.315688,0.312890,0.315524,0.325220,0.337369,0.348652", \ - "0.513681,0.503986,0.487495,0.455625,0.430198,0.414932,0.407691", \ - "0.905532,0.889466,0.862290,0.812377,0.741188,0.650843,0.564583", \ - "1.482885,1.459197,1.426325,1.363164,1.256424,1.108099,0.931156", \ - "2.229472,2.212708,2.176200,2.104113,1.992095,1.808015,1.532789"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.481013,4.482247,4.499702,4.517891,4.521349,4.576387,4.605385", \ - "4.414343,4.416844,4.436823,4.463176,4.495061,4.533331,4.570780", \ - "4.372219,4.372565,4.394745,4.391885,4.415848,4.477865,4.521249", \ - "4.523878,4.536910,4.528166,4.546499,4.536791,4.557530,4.575312", \ - "4.926277,4.913535,4.911195,4.893804,4.838951,4.763319,4.766270", \ - "5.500657,5.506048,5.522107,5.465231,5.391107,5.260080,5.181150", \ - "6.251227,6.233405,6.227180,6.231562,6.239611,6.020185,5.877040"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.292319,0.288692,0.284227,0.276670,0.279166,0.283504,0.286938", \ - "0.250337,0.256547,0.259957,0.269250,0.276539,0.293272,0.304620", \ - "0.205108,0.203927,0.212462,0.224796,0.240447,0.269926,0.298256", \ - "0.338440,0.336725,0.320975,0.307958,0.285563,0.272713,0.295123", \ - "0.639870,0.622489,0.608050,0.575459,0.526252,0.458760,0.383053", \ - "1.106764,1.098937,1.079534,1.021783,0.962541,0.838598,0.692143", \ - "1.745496,1.737625,1.717320,1.665972,1.602698,1.453679,1.222665"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.545296,3.567108,3.589938,3.603871,3.605134,3.622317,3.654770", \ - "3.490474,3.489004,3.516781,3.531726,3.551258,3.583210,3.644149", \ - "3.506863,3.496189,3.523769,3.547753,3.555889,3.568884,3.612826", \ - "3.776556,3.782990,3.772770,3.770853,3.747254,3.698389,3.663993", \ - "4.212582,4.248414,4.258407,4.222322,4.198805,4.096526,3.960140", \ - "4.908456,4.911173,4.896664,4.870016,4.874978,4.791358,4.493799", \ - "5.956347,5.936812,5.918476,5.882586,5.766048,5.710389,5.492407"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.338079,0.331470,0.322991,0.310515,0.296964,0.288270,0.286124", \ - "0.299743,0.299786,0.297960,0.296833,0.296982,0.296834,0.306309", \ - "0.251179,0.249869,0.254038,0.261467,0.271670,0.288025,0.313211", \ - "0.367125,0.365949,0.358025,0.341172,0.319189,0.305944,0.321486", \ - "0.623839,0.612292,0.604930,0.578472,0.537488,0.485900,0.414667", \ - "1.024599,1.020232,1.001259,0.971347,0.913625,0.829634,0.702992", \ - "1.580420,1.561995,1.568108,1.540539,1.476999,1.371067,1.175581"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.502902,3.522321,3.531393,3.548562,3.576499,3.566512,3.525190", \ - "3.425034,3.446400,3.458744,3.489416,3.497520,3.558871,3.578671", \ - "3.444305,3.461792,3.468122,3.470844,3.456171,3.534288,3.543970", \ - "3.704547,3.694483,3.685777,3.690865,3.665430,3.632042,3.632221", \ - "4.193360,4.179940,4.151629,4.111761,4.062581,4.017011,3.833209", \ - "4.777223,4.763204,4.766621,4.771397,4.740126,4.611193,4.456730", \ - "5.703340,5.690313,5.677276,5.619466,5.574047,5.492477,5.243536"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.313521,0.314367,0.308592,0.300621,0.292674,0.286422,0.287269", \ - "0.283806,0.285016,0.286430,0.287985,0.291367,0.298353,0.307017", \ - "0.234840,0.236004,0.243898,0.252576,0.265901,0.288619,0.314881", \ - "0.347398,0.346243,0.339369,0.326418,0.304872,0.304510,0.325152", \ - "0.632143,0.611220,0.602565,0.576066,0.528547,0.476998,0.412695", \ - "1.058021,1.043133,1.026081,0.983364,0.929905,0.827889,0.698310", \ - "1.647497,1.623764,1.609036,1.575568,1.503071,1.372954,1.170905"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.323089,4.320081,4.334043,4.335234,4.381639,4.313935,4.418185", \ - "4.253235,4.260151,4.287015,4.285400,4.327149,4.367765,4.421645", \ - "4.261167,4.257179,4.265882,4.279454,4.322181,4.289171,4.404122", \ - "4.477761,4.462044,4.485999,4.477332,4.483782,4.428616,4.470638", \ - "4.932365,4.914893,4.925344,4.902065,4.824309,4.757224,4.649873", \ - "5.524202,5.540756,5.539219,5.576096,5.511546,5.382289,5.278343", \ - "6.420870,6.416101,6.394481,6.374879,6.331045,6.221761,6.052867"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.308959,0.304347,0.298096,0.288597,0.285351,0.286816,0.288472", \ - "0.275020,0.279722,0.278350,0.286981,0.294726,0.302932,0.316485", \ - "0.238561,0.238582,0.243968,0.255128,0.269554,0.296425,0.324670", \ - "0.360939,0.360408,0.353104,0.337425,0.317321,0.305284,0.325789", \ - "0.621378,0.613215,0.605236,0.577832,0.538528,0.480755,0.410749", \ - "1.034671,1.030192,1.018687,0.986048,0.927832,0.829916,0.698500", \ - "1.584039,1.593697,1.568981,1.549804,1.473241,1.376176,1.171330"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.590914,3.609129,3.616564,3.635890,3.626511,3.624377,3.688157", \ - "3.536153,3.533957,3.544779,3.577744,3.562906,3.633752,3.633987", \ - "3.532523,3.548196,3.553419,3.559792,3.581610,3.542083,3.517472", \ - "3.781800,3.769115,3.764565,3.770120,3.703395,3.721759,3.604561", \ - "4.257742,4.243374,4.233431,4.185259,4.119579,4.046610,3.918257", \ - "4.813921,4.823992,4.830175,4.826131,4.799480,4.674291,4.416841", \ - "5.729248,5.737870,5.705535,5.678001,5.612939,5.528458,5.325524"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.344910,0.339646,0.330313,0.319000,0.301833,0.289217,0.288738", \ - "0.315654,0.315353,0.310707,0.311920,0.310846,0.311910,0.317685", \ - "0.274747,0.275628,0.279977,0.284711,0.293783,0.311541,0.331859", \ - "0.385598,0.386112,0.379726,0.367093,0.346273,0.332859,0.351504", \ - "0.620032,0.610441,0.606269,0.586302,0.554703,0.502523,0.436810", \ - "0.978667,0.982536,0.965627,0.941585,0.903727,0.824041,0.706572", \ - "1.461372,1.464301,1.465357,1.438169,1.398929,1.300258,1.143011"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("3.565142,3.563799,3.577184,3.594918,3.614215,3.627027,3.600101", \ - "3.491930,3.492740,3.509507,3.536087,3.555340,3.565896,3.557212", \ - "3.490947,3.506324,3.517673,3.520257,3.519777,3.487584,3.529736", \ - "3.731142,3.721292,3.723083,3.720027,3.692083,3.689499,3.617945", \ - "4.176346,4.160127,4.148682,4.122434,4.083636,3.978221,3.924706", \ - "4.751212,4.747734,4.770875,4.757253,4.659556,4.549036,4.398420", \ - "5.553019,5.546515,5.529484,5.521780,5.495767,5.331411,5.129352"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.324424,0.321350,0.312037,0.309376,0.297327,0.290998,0.290205", \ - "0.294358,0.300267,0.297971,0.299240,0.304228,0.308366,0.318322", \ - "0.257038,0.261012,0.268141,0.277922,0.291189,0.310968,0.336116", \ - "0.376000,0.368125,0.363205,0.351591,0.330273,0.330915,0.350898", \ - "0.628690,0.609929,0.603719,0.572510,0.544710,0.494765,0.433924", \ - "1.013898,1.003387,0.983044,0.952342,0.905002,0.818789,0.702923", \ - "1.537747,1.515820,1.503216,1.470308,1.425485,1.316942,1.138004"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.368051,4.385870,4.400429,4.414175,4.404892,4.419115,4.497242", \ - "4.321395,4.322055,4.338997,4.339958,4.344869,4.362456,4.469405", \ - "4.310024,4.308374,4.321916,4.333916,4.321555,4.392492,4.440319", \ - "4.508444,4.501853,4.508226,4.491268,4.510503,4.427494,4.416851", \ - "4.928837,4.915963,4.918365,4.882556,4.839616,4.737810,4.708722", \ - "5.524197,5.528594,5.543565,5.502878,5.452861,5.328381,5.181539", \ - "6.290285,6.291932,6.292192,6.274219,6.288812,6.131583,5.959490"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.291320,0.290145,0.285206,0.286041,0.283380,0.284409,0.289612", \ - "0.264861,0.269548,0.271895,0.279125,0.290824,0.300257,0.317153", \ - "0.221659,0.228339,0.236489,0.245914,0.272452,0.298112,0.326112", \ - "0.349306,0.340748,0.335687,0.322429,0.301271,0.304358,0.329705", \ - "0.626348,0.617694,0.601466,0.568640,0.530854,0.471646,0.409215", \ - "1.065468,1.048766,1.027298,0.995987,0.927611,0.819074,0.692398", \ - "1.664797,1.639789,1.622750,1.588082,1.508519,1.377777,1.167851"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.409667,4.405850,4.429951,4.424327,4.455395,4.472382,4.459164", \ - "4.340620,4.361054,4.372163,4.375630,4.399063,4.409413,4.487593", \ - "4.347870,4.352724,4.351321,4.370314,4.373478,4.331709,4.364139", \ - "4.552710,4.543258,4.543323,4.535528,4.515256,4.470303,4.461303", \ - "5.000743,4.979658,4.968703,4.946587,4.911774,4.794267,4.751476", \ - "5.590287,5.584144,5.608847,5.616090,5.550977,5.429968,5.268738", \ - "6.451374,6.447464,6.449314,6.422022,6.394854,6.312912,6.032145"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.324424,0.321350,0.312037,0.309376,0.297327,0.290998,0.290205", \ - "0.294358,0.300267,0.297971,0.299240,0.304228,0.308366,0.318322", \ - "0.257038,0.261012,0.268141,0.277922,0.291189,0.310968,0.336116", \ - "0.376000,0.368125,0.363205,0.351591,0.330273,0.330915,0.350898", \ - "0.628690,0.609929,0.603719,0.572510,0.544710,0.494765,0.433924", \ - "1.013898,1.003387,0.983044,0.952342,0.905002,0.818789,0.702923", \ - "1.537747,1.515820,1.503216,1.470308,1.425485,1.316942,1.138004"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.368051,4.385870,4.400429,4.414175,4.404892,4.419115,4.497242", \ - "4.321395,4.322055,4.338997,4.339958,4.344869,4.362456,4.469405", \ - "4.310024,4.308374,4.321916,4.333916,4.321555,4.392492,4.440319", \ - "4.508444,4.501853,4.508226,4.491268,4.510503,4.427494,4.416851", \ - "4.928837,4.915963,4.918365,4.882556,4.839616,4.737810,4.708722", \ - "5.524197,5.528594,5.543565,5.502878,5.452861,5.328381,5.181539", \ - "6.290285,6.291932,6.292192,6.274219,6.288812,6.131583,5.959490"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("0.307031,0.309758,0.303471,0.298230,0.292789,0.287179,0.286228", \ - "0.282679,0.288406,0.290566,0.296411,0.302821,0.306632,0.318480", \ - "0.247727,0.250855,0.254851,0.271337,0.287876,0.312209,0.333168", \ - "0.357961,0.350730,0.346487,0.335834,0.322070,0.330595,0.347339", \ - "0.625071,0.608440,0.600054,0.575212,0.537090,0.486513,0.432651", \ - "1.036328,1.019887,1.001695,0.959241,0.903707,0.809517,0.694268", \ - "1.573800,1.567944,1.538700,1.503769,1.431088,1.312923,1.134791"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.191091,5.190765,5.205735,5.220788,5.223750,5.231512,5.308011", \ - "5.131571,5.150972,5.149003,5.180804,5.220662,5.189649,5.267350", \ - "5.114268,5.132393,5.147338,5.158297,5.166857,5.226115,5.239673", \ - "5.293529,5.307681,5.297936,5.302075,5.321851,5.280568,5.320561", \ - "5.693903,5.702015,5.682294,5.662055,5.622793,5.625055,5.498665", \ - "6.316812,6.312045,6.302417,6.265164,6.233908,6.123132,5.962255", \ - "7.047851,7.056498,7.044476,7.060723,7.046807,6.842221,6.677254"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.194997,1.208937,1.225495,1.245441,1.271034,1.302175,1.337880", \ - "1.182980,1.200491,1.213167,1.233046,1.258311,1.290287,1.328553", \ - "1.158310,1.158048,1.184381,1.206543,1.239106,1.274977,1.314420", \ - "1.304891,1.306007,1.298709,1.284508,1.289323,1.307942,1.340366", \ - "1.678637,1.683452,1.656881,1.637382,1.594116,1.531786,1.488166", \ - "2.299120,2.277927,2.263852,2.221907,2.141599,2.032224,1.876131", \ - "3.132674,3.121199,3.097357,3.054169,2.964614,2.793232,2.561051"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.750977,4.741264,4.762606,4.760660,4.769476,4.766199,4.744430", \ - "4.666481,4.676980,4.676565,4.724765,4.736473,4.747600,4.737242", \ - "4.564949,4.582120,4.579197,4.613332,4.646020,4.641480,4.673816", \ - "4.536105,4.550767,4.543125,4.553914,4.561240,4.578173,4.524715", \ - "4.634999,4.650051,4.627646,4.640219,4.565409,4.625609,4.610098", \ - "4.836596,4.860188,4.854256,4.857974,4.868307,4.728528,4.821885", \ - "5.487774,5.481640,5.452270,5.381456,5.316628,5.289770,5.237274"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.205262,1.212615,1.219660,1.247888,1.266841,1.306881,1.340804", \ - "1.202165,1.220248,1.232724,1.249144,1.271894,1.312229,1.346608", \ - "1.195586,1.213781,1.220870,1.245734,1.277631,1.309027,1.354002", \ - "1.336472,1.339019,1.333792,1.319268,1.326753,1.347902,1.381744", \ - "1.682951,1.681203,1.668194,1.646192,1.604635,1.550625,1.512768", \ - "2.214251,2.212909,2.196404,2.149622,2.096358,2.003349,1.865981", \ - "2.948973,2.940174,2.931727,2.893079,2.822195,2.682089,2.474495"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.711737,4.706949,4.730987,4.740143,4.746156,4.672152,4.783804", \ - "4.627376,4.625437,4.655331,4.674250,4.646529,4.624400,4.727837", \ - "4.525889,4.521475,4.548497,4.568373,4.577020,4.647233,4.688668", \ - "4.485312,4.498954,4.500740,4.524283,4.544330,4.477693,4.530768", \ - "4.572431,4.562157,4.557979,4.571360,4.509722,4.568170,4.603930", \ - "4.798912,4.806330,4.823190,4.797875,4.773084,4.674840,4.673306", \ - "5.310822,5.309654,5.279233,5.268962,5.230192,5.184758,4.981345"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.242227,1.242220,1.242344,1.260314,1.284666,1.311738,1.338765", \ - "1.241142,1.244742,1.247210,1.260713,1.292606,1.319533,1.355832", \ - "1.225386,1.225982,1.245863,1.268283,1.285147,1.319797,1.359982", \ - "1.344477,1.333078,1.333609,1.325750,1.339427,1.363523,1.386152", \ - "1.691189,1.687211,1.669448,1.644956,1.606491,1.543526,1.516990", \ - "2.245090,2.227901,2.204054,2.166524,2.095872,1.998773,1.865202", \ - "3.006965,2.982796,2.960829,2.924938,2.837772,2.694801,2.480919"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.509311,5.524461,5.528651,5.538010,5.565062,5.527042,5.538549", \ - "5.458580,5.455334,5.484080,5.483715,5.481522,5.575652,5.563492", \ - "5.352805,5.348665,5.376706,5.402143,5.421783,5.480661,5.499958", \ - "5.302973,5.317659,5.320925,5.336480,5.343434,5.339218,5.423146", \ - "5.358054,5.370022,5.369196,5.370785,5.338757,5.394150,5.439257", \ - "5.589260,5.603717,5.628343,5.597601,5.576232,5.504709,5.496318", \ - "6.045384,6.053719,6.040574,6.029249,6.020522,5.997202,5.836225"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.026964,1.051264,1.071369,1.095600,1.142257,1.187517,1.230252", \ - "1.005993,1.036538,1.055173,1.079425,1.117263,1.170192,1.215705", \ - "0.994266,1.003786,1.023226,1.046844,1.094370,1.142484,1.185291", \ - "1.155960,1.157812,1.150549,1.145485,1.138722,1.173667,1.204841", \ - "1.547363,1.539729,1.518639,1.493840,1.455522,1.391853,1.350509", \ - "2.146403,2.134565,2.112073,2.063824,1.995215,1.873394,1.728473", \ - "2.951521,2.937997,2.918647,2.877071,2.779311,2.624217,2.376438"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.377469,4.380811,4.403209,4.396471,4.370052,4.341808,4.430197", \ - "4.284101,4.306602,4.320963,4.325156,4.328411,4.417995,4.398847", \ - "4.195706,4.193451,4.227467,4.220132,4.284355,4.307821,4.292289", \ - "4.162790,4.180457,4.187117,4.183870,4.197984,4.200838,4.248177", \ - "4.255454,4.273616,4.256217,4.264419,4.238005,4.273539,4.246075", \ - "4.442413,4.450204,4.446499,4.477482,4.497198,4.449220,4.364131", \ - "5.044958,5.026592,4.998888,4.945792,4.918356,4.933676,4.780220"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.049633,1.055202,1.069570,1.101475,1.145270,1.192550,1.233892", \ - "1.023410,1.053566,1.070691,1.095618,1.142561,1.183001,1.234956", \ - "1.029540,1.038816,1.059328,1.081476,1.129738,1.179704,1.227106", \ - "1.187506,1.195720,1.184615,1.179974,1.183297,1.211764,1.246797", \ - "1.546219,1.539857,1.519853,1.500730,1.468437,1.412746,1.378122", \ - "2.067559,2.064501,2.042770,2.011801,1.942545,1.857485,1.723051", \ - "2.790098,2.781146,2.755862,2.733634,2.658922,2.519906,2.318409"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("4.326502,4.345102,4.342299,4.368705,4.393123,4.385663,4.422455", \ - "4.243312,4.264740,4.285659,4.304217,4.330958,4.369267,4.389150", \ - "4.159189,4.164932,4.182751,4.176840,4.232076,4.273947,4.308312", \ - "4.117936,4.133946,4.128472,4.142634,4.177022,4.131342,4.159793", \ - "4.183170,4.197616,4.187397,4.173515,4.177583,4.214305,4.144669", \ - "4.386927,4.383809,4.420889,4.420500,4.399039,4.283741,4.255746", \ - "4.866351,4.854903,4.844960,4.841051,4.827901,4.707951,4.616709"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.094922,1.095800,1.117877,1.138240,1.162730,1.203325,1.241829", \ - "1.075993,1.093079,1.106560,1.128420,1.154921,1.197170,1.240417", \ - "1.067596,1.068236,1.092926,1.117617,1.147815,1.185518,1.229534", \ - "1.201689,1.207169,1.197084,1.188716,1.198775,1.218312,1.251495", \ - "1.552255,1.543895,1.533535,1.500897,1.470848,1.411465,1.382386", \ - "2.100098,2.084640,2.067945,2.026508,1.953969,1.852956,1.718869", \ - "2.842319,2.826104,2.800122,2.762474,2.670697,2.516724,2.314528"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.150335,5.153521,5.166312,5.179914,5.208500,5.206613,5.223429", \ - "5.080672,5.083025,5.114191,5.127125,5.138639,5.165582,5.198232", \ - "4.978585,4.980123,4.998597,5.012045,5.030789,5.073538,5.141405", \ - "4.942233,4.941676,4.955775,4.960082,4.959379,5.033956,5.071703", \ - "4.979921,4.995993,4.988453,4.984613,4.996548,5.032123,5.053072", \ - "5.197008,5.200442,5.233978,5.201692,5.182941,5.179759,5.157258", \ - "5.601939,5.600253,5.604071,5.598497,5.636564,5.583646,5.401248"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.093455,1.092499,1.099540,1.126330,1.157161,1.200538,1.235525", \ - "1.052854,1.079884,1.082214,1.109014,1.138427,1.180016,1.225242", \ - "1.030954,1.031601,1.060761,1.077368,1.110606,1.148033,1.193923", \ - "1.168767,1.169616,1.162128,1.149104,1.158884,1.186372,1.213523", \ - "1.555204,1.548561,1.531560,1.492492,1.458040,1.390294,1.355029", \ - "2.166506,2.157506,2.130049,2.076155,1.991649,1.872634,1.724568", \ - "3.006092,2.984341,2.953241,2.904508,2.790054,2.623173,2.381155"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.172076,5.192835,5.201667,5.222647,5.247717,5.175910,5.273703", \ - "5.117964,5.132010,5.153272,5.163933,5.148187,5.219258,5.233357", \ - "5.002561,5.023572,5.044817,5.074916,5.090653,5.148141,5.159164", \ - "4.983527,4.993975,4.988397,5.017745,5.015575,5.033256,5.095026", \ - "5.061398,5.045485,5.050444,5.050372,5.055980,5.047324,5.075362", \ - "5.229756,5.246027,5.254705,5.295529,5.300493,5.272842,5.199494", \ - "5.756941,5.748027,5.736231,5.727511,5.710840,5.739203,5.605910"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.094922,1.095800,1.117877,1.138240,1.162730,1.203325,1.241829", \ - "1.075993,1.093079,1.106560,1.128420,1.154921,1.197170,1.240417", \ - "1.067596,1.068236,1.092926,1.117617,1.147815,1.185518,1.229534", \ - "1.201689,1.207169,1.197084,1.188716,1.198775,1.218312,1.251495", \ - "1.552255,1.543895,1.533535,1.500897,1.470848,1.411465,1.382386", \ - "2.100098,2.084640,2.067945,2.026508,1.953969,1.852956,1.718869", \ - "2.842319,2.826104,2.800122,2.762474,2.670697,2.516724,2.314528"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.150335,5.153521,5.166312,5.179914,5.208500,5.206613,5.223429", \ - "5.080672,5.083025,5.114191,5.127125,5.138639,5.165582,5.198232", \ - "4.978585,4.980123,4.998597,5.012045,5.030789,5.073538,5.141405", \ - "4.942233,4.941676,4.955775,4.960082,4.959379,5.033956,5.071703", \ - "4.979921,4.995993,4.988453,4.984613,4.996548,5.032123,5.053072", \ - "5.197008,5.200442,5.233978,5.201692,5.182941,5.179759,5.157258", \ - "5.601939,5.600253,5.604071,5.598497,5.636564,5.583646,5.401248"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.126790,1.131145,1.137673,1.158368,1.173752,1.215230,1.246553", \ - "1.105297,1.127437,1.138545,1.152411,1.178325,1.203155,1.240517", \ - "1.099104,1.107009,1.119296,1.137461,1.162649,1.197602,1.240338", \ - "1.210113,1.209254,1.200977,1.195549,1.212139,1.233844,1.258720", \ - "1.563497,1.560225,1.538188,1.512981,1.463736,1.407250,1.383917", \ - "2.116028,2.098127,2.072120,2.029390,1.960992,1.850787,1.717402", \ - "2.879758,2.854409,2.827933,2.777252,2.675987,2.528655,2.309726"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.956705,5.975525,5.991976,5.983046,6.037173,6.000622,6.024548", \ - "5.915058,5.916955,5.936052,5.939675,5.956981,5.967002,6.022285", \ - "5.812764,5.814753,5.833936,5.856436,5.885364,5.928512,5.917012", \ - "5.750781,5.769203,5.784897,5.802381,5.807020,5.833309,5.878217", \ - "5.801618,5.799344,5.811702,5.817280,5.811598,5.826405,5.855126", \ - "6.030248,6.025185,6.017507,6.001834,5.971100,5.960170,5.953089", \ - "6.383244,6.388459,6.385593,6.411600,6.420591,6.358661,6.296869"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.205726,1.202412,1.226939,1.234643,1.269098,1.298266,1.329760", \ - "1.195599,1.194936,1.219809,1.234197,1.264463,1.295668,1.325947", \ - "1.139318,1.154253,1.162574,1.192221,1.220122,1.266477,1.306582", \ - "1.193247,1.202714,1.200137,1.198873,1.211995,1.248715,1.290220", \ - "1.436756,1.421695,1.426543,1.419140,1.402540,1.371252,1.352481", \ - "1.834632,1.839187,1.827823,1.804578,1.768666,1.701269,1.622167", \ - "2.425120,2.427772,2.407379,2.377473,2.334260,2.247045,2.092990"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.457842,5.445875,5.464719,5.446823,5.434672,5.490879,5.385756", \ - "5.390835,5.406712,5.400513,5.422956,5.456150,5.424871,5.492534", \ - "5.333589,5.349557,5.370708,5.364347,5.399749,5.335096,5.417353", \ - "5.338043,5.326427,5.345706,5.363301,5.320842,5.342566,5.401793", \ - "5.418578,5.405714,5.423005,5.423799,5.427960,5.416127,5.434715", \ - "5.621001,5.623186,5.650435,5.657817,5.644746,5.566987,5.539497", \ - "6.199232,6.180125,6.169453,6.155675,6.139109,6.166313,6.041218"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.207227,1.221085,1.230193,1.248589,1.267000,1.304236,1.337498", \ - "1.212185,1.211407,1.236168,1.250319,1.276893,1.308540,1.345984", \ - "1.182495,1.189644,1.194074,1.225534,1.260387,1.305059,1.345676", \ - "1.241521,1.233356,1.241922,1.236785,1.256681,1.288974,1.340708", \ - "1.451019,1.453135,1.447500,1.430723,1.430085,1.404049,1.391629", \ - "1.807943,1.801038,1.791146,1.787849,1.759274,1.705748,1.639480", \ - "2.312991,2.321933,2.319008,2.294831,2.257413,2.179918,2.062495"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.408215,5.421825,5.423849,5.422684,5.443716,5.428940,5.444010", \ - "5.371054,5.364918,5.389251,5.384929,5.403601,5.374708,5.401374", \ - "5.325473,5.319557,5.323024,5.347132,5.347441,5.394580,5.346312", \ - "5.292598,5.306338,5.308259,5.323722,5.341592,5.309485,5.326035", \ - "5.348103,5.360081,5.359615,5.347029,5.338316,5.300229,5.351069", \ - "5.581795,5.596235,5.620455,5.603392,5.579125,5.493789,5.481531", \ - "6.048269,6.058670,6.044663,6.056579,6.026910,5.917892,5.799130"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.237233,1.236082,1.254856,1.271493,1.287411,1.311971,1.345896", \ - "1.241723,1.241104,1.260523,1.276048,1.294458,1.320455,1.351057", \ - "1.209197,1.216944,1.220955,1.251391,1.268496,1.310334,1.354016", \ - "1.245998,1.239846,1.245234,1.246084,1.261949,1.301713,1.346684", \ - "1.464936,1.456777,1.446634,1.442166,1.430783,1.404025,1.401050", \ - "1.827091,1.820732,1.815245,1.794069,1.765363,1.708237,1.637976", \ - "2.368524,2.359015,2.347239,2.316989,2.266598,2.187283,2.063100"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.222224,6.236418,6.238923,6.246727,6.248504,6.257999,6.278687", \ - "6.189694,6.183646,6.210754,6.215807,6.208260,6.208557,6.236719", \ - "6.124667,6.139798,6.144175,6.162650,6.174734,6.131842,6.182922", \ - "6.108854,6.123349,6.126494,6.138068,6.150358,6.190989,6.162622", \ - "6.159874,6.173236,6.173936,6.162122,6.185723,6.109673,6.123028", \ - "6.414411,6.404590,6.398247,6.405436,6.349160,6.365301,6.310438", \ - "6.833428,6.829499,6.824584,6.847137,6.871498,6.811666,6.618356"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.041905,1.054045,1.076954,1.093436,1.141617,1.187171,1.230794", \ - "1.027589,1.029738,1.057260,1.090817,1.124951,1.173504,1.218662", \ - "0.963510,0.980370,1.000229,1.039237,1.080584,1.124807,1.181171", \ - "1.046316,1.056891,1.046806,1.054144,1.070457,1.110007,1.164567", \ - "1.294150,1.277818,1.285223,1.272077,1.267715,1.242779,1.225718", \ - "1.695149,1.690645,1.676754,1.648572,1.616749,1.566302,1.487933", \ - "2.250505,2.252170,2.248092,2.230035,2.180982,2.084992,1.942569"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.070215,5.087783,5.094229,5.095382,5.117039,5.107933,5.136870", \ - "5.029607,5.048445,5.057260,5.053759,5.066610,5.120202,5.129309", \ - "4.975990,4.994805,5.003798,5.007764,5.005678,5.025326,5.063659", \ - "4.973745,4.968890,4.984072,4.992616,4.961225,5.016037,5.050520", \ - "5.038189,5.033937,5.039647,5.053199,5.031094,5.027384,5.050214", \ - "5.221553,5.230978,5.247421,5.293171,5.293274,5.232199,5.185668", \ - "5.736357,5.749826,5.741391,5.731807,5.720147,5.754680,5.605923"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.046175,1.047755,1.081514,1.104861,1.139788,1.193156,1.234850", \ - "1.044598,1.047165,1.079083,1.096266,1.142306,1.191404,1.236557", \ - "1.005369,1.024533,1.038328,1.067497,1.116125,1.167520,1.223366", \ - "1.081854,1.093245,1.099930,1.103400,1.114311,1.160299,1.206800", \ - "1.308524,1.310235,1.303476,1.303675,1.296937,1.279213,1.261656", \ - "1.647810,1.659413,1.654524,1.645757,1.611832,1.570515,1.503748", \ - "2.165412,2.155629,2.148104,2.143777,2.099950,2.035093,1.918440"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.052206,5.050744,5.063437,5.062666,5.056254,5.108910,5.126790", \ - "4.996374,4.995916,5.012766,5.025278,5.024533,5.059384,5.087691", \ - "4.951663,4.951304,4.966401,4.986076,5.008058,4.973159,5.038242", \ - "4.931374,4.930417,4.943130,4.944371,4.974432,5.002417,5.027878", \ - "4.970932,4.986668,4.979563,4.990812,4.976406,4.925437,5.028863", \ - "5.173847,5.192534,5.225453,5.207044,5.195956,5.169371,5.142769", \ - "5.603048,5.602702,5.609368,5.622311,5.641794,5.594428,5.399221"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.089091,1.090148,1.119119,1.137676,1.161670,1.202189,1.242854", \ - "1.088334,1.088070,1.115036,1.127691,1.157234,1.199458,1.241956", \ - "1.043750,1.062129,1.068613,1.104357,1.129712,1.177396,1.228264", \ - "1.109001,1.104527,1.106795,1.109027,1.122721,1.165870,1.217388", \ - "1.326713,1.327214,1.320319,1.312479,1.300053,1.279316,1.270406", \ - "1.694125,1.686807,1.679681,1.650149,1.627133,1.573134,1.504400", \ - "2.207101,2.210426,2.189250,2.167345,2.124380,2.045678,1.919097"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.854808,5.853594,5.874710,5.885084,5.864601,5.911370,5.931216", \ - "5.803188,5.821392,5.837005,5.853734,5.879248,5.902949,5.905585", \ - "5.759697,5.759620,5.775722,5.801750,5.791016,5.831422,5.847945", \ - "5.736474,5.735875,5.749568,5.764058,5.775505,5.788019,5.832119", \ - "5.791298,5.789160,5.800187,5.806034,5.811445,5.847204,5.830423", \ - "6.018251,6.016725,6.007340,6.009818,5.973611,5.918014,5.938794", \ - "6.387662,6.393496,6.389828,6.424916,6.449000,6.334438,6.298500"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.085707,1.086803,1.112312,1.134727,1.156926,1.198095,1.239969", \ - "1.074453,1.071579,1.096954,1.113426,1.150113,1.182895,1.223278", \ - "1.003723,1.027262,1.034046,1.065525,1.098574,1.140376,1.188785", \ - "1.057221,1.064978,1.068631,1.065379,1.090043,1.124731,1.173592", \ - "1.310977,1.310149,1.301005,1.286994,1.272034,1.246696,1.233059", \ - "1.722803,1.717531,1.701838,1.677097,1.635771,1.568875,1.484956", \ - "2.313880,2.303765,2.289030,2.259221,2.189748,2.097610,1.942373"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.887892,5.883573,5.891557,5.919507,5.920895,5.888731,5.965366", \ - "5.829133,5.848651,5.858283,5.885200,5.876027,5.834018,5.975338", \ - "5.776488,5.796256,5.811567,5.828725,5.833818,5.894122,5.910640", \ - "5.771687,5.767813,5.774787,5.800482,5.817467,5.850503,5.896735", \ - "5.835731,5.846726,5.850418,5.847413,5.866663,5.895161,5.893872", \ - "6.031631,6.030955,6.071409,6.106369,6.059604,5.981330,6.057341", \ - "6.503437,6.502761,6.502719,6.517647,6.521036,6.465565,6.434625"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.089091,1.090148,1.119119,1.137676,1.161670,1.202189,1.242854", \ - "1.088334,1.088070,1.115036,1.127691,1.157234,1.199458,1.241956", \ - "1.043750,1.062129,1.068613,1.104357,1.129712,1.177396,1.228264", \ - "1.109001,1.104527,1.106795,1.109027,1.122721,1.165870,1.217388", \ - "1.326713,1.327214,1.320319,1.312479,1.300053,1.279316,1.270406", \ - "1.694125,1.686807,1.679681,1.650149,1.627133,1.573134,1.504400", \ - "2.207101,2.210426,2.189250,2.167345,2.124380,2.045678,1.919097"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.854808,5.853594,5.874710,5.885084,5.864601,5.911370,5.931216", \ - "5.803188,5.821392,5.837005,5.853734,5.879248,5.902949,5.905585", \ - "5.759697,5.759620,5.775722,5.801750,5.791016,5.831422,5.847945", \ - "5.736474,5.735875,5.749568,5.764058,5.775505,5.788019,5.832119", \ - "5.791298,5.789160,5.800187,5.806034,5.811445,5.847204,5.830423", \ - "6.018251,6.016725,6.007340,6.009818,5.973611,5.918014,5.938794", \ - "6.387662,6.393496,6.389828,6.424916,6.449000,6.334438,6.298500"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.122460,1.132466,1.142801,1.157718,1.174429,1.212198,1.242399", \ - "1.119556,1.129499,1.140550,1.156104,1.175593,1.213243,1.243765", \ - "1.072898,1.091864,1.098372,1.124677,1.154609,1.191545,1.226345", \ - "1.114618,1.115664,1.112359,1.115042,1.141307,1.181524,1.224812", \ - "1.340328,1.337619,1.321768,1.317938,1.303445,1.275558,1.271966", \ - "1.704307,1.697043,1.689040,1.668677,1.631795,1.578069,1.504472", \ - "2.246826,2.244968,2.225006,2.186116,2.144003,2.049754,1.920373"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.658618,6.676813,6.692083,6.689025,6.694908,6.740399,6.731211", \ - "6.629135,6.629263,6.646047,6.663718,6.660740,6.699105,6.689672", \ - "6.587736,6.587911,6.604781,6.625928,6.642263,6.668358,6.748307", \ - "6.562369,6.562538,6.577457,6.599459,6.595047,6.622499,6.631788", \ - "6.595227,6.593416,6.604646,6.625330,6.612011,6.617152,6.627673", \ - "6.814284,6.812080,6.802629,6.816392,6.818192,6.733260,6.734791", \ - "7.170342,7.180392,7.204560,7.233273,7.249646,7.179850,7.083103"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.856467,1.879050,1.898937,1.951165,2.014949,2.099345,2.181474", \ - "1.812673,1.847243,1.872008,1.908867,1.971279,2.061136,2.146810", \ - "1.759087,1.793926,1.833913,1.862399,1.936986,2.022149,2.106016", \ - "1.886112,1.886808,1.900911,1.935523,1.967107,2.038643,2.118121", \ - "2.267522,2.267856,2.257394,2.260819,2.250676,2.233906,2.256804", \ - "2.866682,2.861869,2.855389,2.837007,2.792554,2.714682,2.623987", \ - "3.731799,3.715119,3.698477,3.665610,3.606879,3.476397,3.297395"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.292846,6.310171,6.306250,6.324468,6.358184,6.336446,6.351590", \ - "6.219545,6.213312,6.241078,6.249863,6.227876,6.317769,6.385105", \ - "6.117017,6.135010,6.133572,6.154305,6.179017,6.178187,6.302910", \ - "6.077285,6.094243,6.089416,6.089418,6.117882,6.076282,6.077400", \ - "6.069699,6.086582,6.082621,6.079343,6.098038,6.122831,6.177643", \ - "6.081742,6.101266,6.132364,6.152367,6.151014,6.093023,6.118607", \ - "6.410798,6.404196,6.389365,6.370997,6.362138,6.408379,6.373361"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.753386,1.752776,1.802599,1.848947,1.912939,2.011576,2.116505", \ - "1.702144,1.718130,1.747856,1.805421,1.864998,1.971630,2.071014", \ - "1.657433,1.662413,1.706221,1.752050,1.831535,1.917032,2.021668", \ - "1.786668,1.787780,1.798763,1.811871,1.873703,1.944221,2.029907", \ - "2.162144,2.161850,2.147960,2.143404,2.145989,2.122885,2.146297", \ - "2.740879,2.738791,2.721407,2.685984,2.654455,2.593748,2.505562", \ - "3.563838,3.558711,3.540625,3.508193,3.437464,3.327919,3.148355"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.944601,5.960121,5.964359,5.984283,5.977978,5.965354,5.984849", \ - "5.872912,5.878723,5.900884,5.922562,5.944957,5.942300,5.938492", \ - "5.779221,5.775035,5.791876,5.786147,5.811328,5.865931,5.863623", \ - "5.721882,5.736581,5.739554,5.748059,5.781084,5.744370,5.816400", \ - "5.738365,5.731778,5.734305,5.741326,5.731333,5.749085,5.794271", \ - "5.751686,5.777070,5.801661,5.816321,5.795047,5.758072,5.785177", \ - "6.040542,6.020700,6.023561,6.001191,6.029233,6.029413,6.025889"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.813597,1.818370,1.853503,1.887514,1.961210,2.041396,2.128890", \ - "1.777219,1.795180,1.818268,1.861883,1.917155,1.997557,2.078373", \ - "1.722474,1.733014,1.769185,1.810822,1.866960,1.946367,2.031638", \ - "1.818163,1.828841,1.842686,1.858887,1.901042,1.968056,2.038766", \ - "2.183999,2.186624,2.183006,2.176662,2.159520,2.139131,2.158471", \ - "2.778606,2.775947,2.754865,2.727769,2.686431,2.608558,2.510971", \ - "3.607240,3.605448,3.583909,3.541014,3.460151,3.329088,3.154341"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.762126,6.777483,6.782921,6.782471,6.814601,6.778955,6.820088", \ - "6.703847,6.700659,6.732114,6.725003,6.713939,6.747288,6.800584", \ - "6.606098,6.614499,6.618319,6.650299,6.674025,6.658107,6.733638", \ - "6.541236,6.556317,6.560086,6.589836,6.596076,6.646040,6.649124", \ - "6.540565,6.549768,6.549167,6.535254,6.571025,6.576683,6.629936", \ - "6.593314,6.622854,6.644416,6.620018,6.590683,6.652522,6.616299", \ - "6.797239,6.804408,6.807098,6.818423,6.854614,6.849578,6.730058"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.520610,1.530153,1.584446,1.631177,1.745047,1.852881,1.992294", \ - "1.468291,1.505556,1.551882,1.604784,1.695155,1.825077,1.954110", \ - "1.424875,1.479652,1.514893,1.571431,1.648437,1.784832,1.899585", \ - "1.608011,1.621371,1.631084,1.659922,1.718367,1.796328,1.908546", \ - "2.014756,2.013524,2.010730,2.011447,2.006187,1.999969,2.032956", \ - "2.612875,2.615364,2.596495,2.587233,2.530391,2.483896,2.398834", \ - "3.463897,3.456833,3.436633,3.402101,3.335462,3.216818,3.032823"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.922860,5.926988,5.933742,5.946080,5.991293,5.935288,6.024961", \ - "5.827171,5.851827,5.869191,5.875264,5.877981,5.918499,5.977771", \ - "5.729089,5.753582,5.765970,5.784030,5.820121,5.883096,5.869466", \ - "5.694657,5.715695,5.727125,5.730415,5.748143,5.695195,5.808299", \ - "5.706526,5.704942,5.717322,5.729293,5.701028,5.673324,5.776526", \ - "5.688555,5.719318,5.749223,5.789485,5.810652,5.818442,5.737947", \ - "5.985944,5.990370,5.978304,5.971327,6.001245,6.029192,5.958280"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.415034,1.461594,1.491439,1.568520,1.661192,1.801079,1.935348", \ - "1.385875,1.396280,1.453877,1.523345,1.630211,1.739949,1.890533", \ - "1.350952,1.365242,1.422935,1.484728,1.580400,1.700548,1.833914", \ - "1.526692,1.541977,1.546573,1.573247,1.635734,1.724337,1.834898", \ - "1.924009,1.928046,1.919544,1.929776,1.923589,1.918199,1.959266", \ - "2.494749,2.507776,2.487379,2.482165,2.417833,2.380882,2.302978", \ - "3.307323,3.303918,3.288632,3.271322,3.206307,3.076965,2.916885"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("5.569873,5.570634,5.586162,5.593770,5.623916,5.584647,5.582702", \ - "5.480167,5.501909,5.504173,5.526871,5.525039,5.539435,5.540630", \ - "5.389246,5.390378,5.411862,5.438544,5.464124,5.518645,5.552876", \ - "5.354920,5.355111,5.369217,5.369148,5.413104,5.376720,5.405642", \ - "5.342453,5.341501,5.355262,5.374188,5.336793,5.388659,5.427111", \ - "5.367709,5.380836,5.425021,5.439136,5.412405,5.427461,5.430329", \ - "5.611364,5.600897,5.602482,5.606881,5.647007,5.621742,5.591181"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.534680,1.546877,1.569261,1.642879,1.709023,1.821906,1.951554", \ - "1.471707,1.509927,1.538045,1.595672,1.674750,1.782444,1.906076", \ - "1.430010,1.465445,1.499054,1.550079,1.619487,1.729944,1.852953", \ - "1.575788,1.586599,1.603177,1.626079,1.682414,1.759230,1.858608", \ - "1.978085,1.972682,1.963905,1.947814,1.944749,1.935155,1.969476", \ - "2.563367,2.545981,2.532383,2.502792,2.460865,2.399952,2.310355", \ - "3.390042,3.365250,3.350238,3.312807,3.221848,3.105978,2.923793"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.375272,6.393866,6.403992,6.410908,6.443154,6.472486,6.489898", \ - "6.317245,6.319695,6.347599,6.355541,6.351672,6.423807,6.450022", \ - "6.203675,6.225209,6.244503,6.245539,6.307565,6.331038,6.394591", \ - "6.161760,6.162129,6.191886,6.205179,6.207498,6.277926,6.317093", \ - "6.147815,6.165567,6.174105,6.188431,6.157704,6.223168,6.259520", \ - "6.204613,6.242599,6.255929,6.261383,6.234301,6.218372,6.226744", \ - "6.386028,6.400974,6.407921,6.407634,6.444615,6.407275,6.386533"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.608104,1.628211,1.662238,1.697196,1.777413,1.884637,2.013643", \ - "1.576080,1.586182,1.628759,1.660339,1.740869,1.857508,1.978777", \ - "1.535779,1.540842,1.593385,1.620918,1.717676,1.813736,1.926914", \ - "1.662588,1.670904,1.675814,1.703467,1.752001,1.838265,1.936215", \ - "2.060154,2.059093,2.051238,2.049196,2.032911,2.015314,2.055184", \ - "2.671032,2.657996,2.637325,2.618496,2.565896,2.497297,2.403902", \ - "3.528066,3.507143,3.491249,3.437416,3.369310,3.233566,3.044389"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.724448,6.745879,6.763316,6.776492,6.736188,6.769634,6.868426", \ - "6.670136,6.665673,6.688925,6.718099,6.715170,6.814273,6.821872", \ - "6.570443,6.562348,6.594531,6.598528,6.612538,6.723836,6.717019", \ - "6.521360,6.519804,6.543822,6.565730,6.544039,6.534460,6.656034", \ - "6.512960,6.511181,6.538145,6.533347,6.535821,6.611664,6.621536", \ - "6.536166,6.545390,6.588277,6.598590,6.620180,6.618328,6.660759", \ - "6.745099,6.755845,6.761842,6.779339,6.811563,6.858324,6.796908"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.534680,1.546877,1.569261,1.642879,1.709023,1.821906,1.951554", \ - "1.471707,1.509927,1.538045,1.595672,1.674750,1.782444,1.906076", \ - "1.430010,1.465445,1.499054,1.550079,1.619487,1.729944,1.852953", \ - "1.575788,1.586599,1.603177,1.626079,1.682414,1.759230,1.858608", \ - "1.978085,1.972682,1.963905,1.947814,1.944749,1.935155,1.969476", \ - "2.563367,2.545981,2.532383,2.502792,2.460865,2.399952,2.310355", \ - "3.390042,3.365250,3.350238,3.312807,3.221848,3.105978,2.923793"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.375272,6.393866,6.403992,6.410908,6.443154,6.472486,6.489898", \ - "6.317245,6.319695,6.347599,6.355541,6.351672,6.423807,6.450022", \ - "6.203675,6.225209,6.244503,6.245539,6.307565,6.331038,6.394591", \ - "6.161760,6.162129,6.191886,6.205179,6.207498,6.277926,6.317093", \ - "6.147815,6.165567,6.174105,6.188431,6.157704,6.223168,6.259520", \ - "6.204613,6.242599,6.255929,6.261383,6.234301,6.218372,6.226744", \ - "6.386028,6.400974,6.407921,6.407634,6.444615,6.407275,6.386533"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.606792,1.611800,1.653296,1.684960,1.750893,1.865126,1.965790", \ - "1.544081,1.576848,1.610332,1.640199,1.704725,1.811189,1.919665", \ - "1.525399,1.529964,1.563639,1.603814,1.672491,1.767977,1.866729", \ - "1.625583,1.636382,1.646192,1.679179,1.721307,1.778494,1.864756", \ - "2.013999,2.005761,1.995678,1.989992,1.971987,1.948084,1.988310", \ - "2.595580,2.589978,2.569173,2.533271,2.475768,2.407782,2.316772", \ - "3.433275,3.409708,3.385693,3.345726,3.243565,3.111945,2.925105"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.200084,7.200413,7.208687,7.233425,7.253499,7.267319,7.294449", \ - "7.133233,7.153456,7.168375,7.187608,7.216840,7.220618,7.256489", \ - "7.037798,7.058203,7.071137,7.090837,7.108489,7.121269,7.203622", \ - "6.989907,6.990504,7.012403,7.014463,7.025197,7.097028,7.123805", \ - "6.974820,6.974189,6.982688,7.003338,7.003527,6.978013,7.121096", \ - "7.065709,7.067405,7.065955,7.045152,7.025657,7.010239,7.111945", \ - "7.198022,7.197557,7.229538,7.251933,7.321398,7.300215,7.290797"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.868268,1.883960,1.908407,1.951104,2.016211,2.099381,2.181704", \ - "1.806770,1.839098,1.869227,1.920735,1.977022,2.062239,2.158303", \ - "1.781718,1.796526,1.817952,1.871420,1.924661,2.019041,2.112353", \ - "1.813664,1.809594,1.829008,1.852886,1.925413,2.000801,2.094321", \ - "2.046932,2.056403,2.062670,2.075036,2.087992,2.101501,2.152379", \ - "2.449481,2.436694,2.443975,2.436524,2.427604,2.421277,2.392678", \ - "3.047438,3.050769,3.045542,3.031474,2.989818,2.948345,2.844262"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.002825,7.017857,7.010629,7.034896,7.032418,6.984066,7.071987", \ - "6.956072,6.972587,6.967501,6.993930,6.978833,6.956100,7.056808", \ - "6.915032,6.904858,6.931390,6.925007,6.933717,6.966517,7.012199", \ - "6.886005,6.875193,6.896330,6.903262,6.919654,6.911268,6.934106", \ - "6.882368,6.872579,6.894386,6.910068,6.930116,6.848376,6.962010", \ - "6.891738,6.916080,6.924701,6.988371,6.996241,6.993776,6.947998", \ - "7.144513,7.146902,7.146250,7.141443,7.167181,7.243351,7.097723"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.738478,1.772114,1.791048,1.849959,1.916712,2.009132,2.115653", \ - "1.703341,1.720927,1.754639,1.801366,1.866106,1.972958,2.071617", \ - "1.636870,1.673914,1.699867,1.745970,1.826259,1.911790,2.009135", \ - "1.700602,1.699856,1.718415,1.748515,1.813641,1.903260,1.999381", \ - "1.942610,1.952299,1.956455,1.973054,1.981075,2.003218,2.043851", \ - "2.332533,2.330523,2.337139,2.337750,2.327891,2.314798,2.285430", \ - "2.908770,2.897163,2.906166,2.885696,2.854529,2.822725,2.722325"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.661756,6.675044,6.679175,6.691438,6.698540,6.674348,6.723493", \ - "6.616588,6.610505,6.634792,6.652262,6.650466,6.617330,6.671932", \ - "6.558057,6.572644,6.576315,6.590598,6.607916,6.648996,6.651553", \ - "6.531495,6.545426,6.548178,6.554723,6.563781,6.533572,6.593275", \ - "6.529590,6.544216,6.546993,6.530834,6.552585,6.507518,6.606153", \ - "6.579045,6.584971,6.630753,6.626379,6.593657,6.575739,6.602434", \ - "6.776151,6.785286,6.780470,6.792600,6.851188,6.790307,6.721834"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.806883,1.837875,1.853190,1.886629,1.962049,2.041434,2.125572", \ - "1.770127,1.774752,1.812397,1.845753,1.916571,1.996109,2.083350", \ - "1.705169,1.736014,1.747881,1.797841,1.865185,1.940127,2.030002", \ - "1.740560,1.755141,1.762235,1.789696,1.852212,1.926996,2.012552", \ - "1.986189,1.993628,1.996171,1.988869,2.001321,2.008726,2.055023", \ - "2.380261,2.377817,2.365464,2.360170,2.338755,2.328654,2.289037", \ - "2.966835,2.965055,2.943915,2.922119,2.886962,2.836989,2.733403"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.475609,7.468743,7.492359,7.496473,7.523715,7.512794,7.561122", \ - "7.435273,7.429313,7.454600,7.463725,7.481126,7.459923,7.530209", \ - "7.377610,7.392739,7.397281,7.417913,7.445852,7.383338,7.437319", \ - "7.348796,7.363531,7.367316,7.388506,7.357872,7.374991,7.432177", \ - "7.349121,7.342212,7.366389,7.352295,7.337165,7.389786,7.442121", \ - "7.431245,7.428971,7.434299,7.438225,7.452701,7.399958,7.436263", \ - "7.576612,7.589345,7.612663,7.605204,7.679014,7.667068,7.550590"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.524857,1.543230,1.576734,1.640091,1.731341,1.863005,1.992208", \ - "1.485852,1.495253,1.549022,1.610812,1.707250,1.831090,1.963110", \ - "1.431665,1.457259,1.491629,1.566436,1.658517,1.776944,1.912103", \ - "1.502495,1.524233,1.546268,1.576317,1.646501,1.762644,1.884224", \ - "1.773772,1.784237,1.799753,1.811068,1.834148,1.867512,1.926271", \ - "2.191617,2.189326,2.195756,2.179489,2.198205,2.185731,2.172405", \ - "2.774620,2.779811,2.786479,2.756832,2.756628,2.695824,2.618684"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.639742,6.642046,6.650938,6.658953,6.630878,6.664412,6.639644", \ - "6.577135,6.597688,6.608738,6.619549,6.649137,6.605783,6.716539", \ - "6.536253,6.533801,6.544876,6.555436,6.605731,6.640882,6.639630", \ - "6.511924,6.508955,6.518976,6.538441,6.527980,6.595393,6.609752", \ - "6.506130,6.504149,6.517480,6.531050,6.513495,6.562341,6.595864", \ - "6.497237,6.532130,6.567824,6.608873,6.606648,6.640162,6.583175", \ - "6.723334,6.737028,6.737969,6.759574,6.815915,6.842162,6.808693"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.416077,1.453880,1.483934,1.567818,1.656209,1.789477,1.931572", \ - "1.375141,1.421043,1.451281,1.524343,1.631259,1.755031,1.889743", \ - "1.333197,1.369042,1.399548,1.463125,1.560212,1.699116,1.833007", \ - "1.438714,1.452396,1.471872,1.500551,1.568703,1.671630,1.810093", \ - "1.690108,1.700819,1.722259,1.740444,1.763856,1.794739,1.854182", \ - "2.082568,2.102948,2.108486,2.097553,2.097673,2.093091,2.091166", \ - "2.672521,2.659317,2.666827,2.650073,2.646245,2.601392,2.516689"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("6.278047,6.286731,6.288794,6.298875,6.300822,6.325702,6.285793", \ - "6.233602,6.237348,6.248015,6.260433,6.255156,6.273148,6.238153", \ - "6.176929,6.186511,6.191332,6.201052,6.213099,6.265914,6.281263", \ - "6.152772,6.151641,6.165820,6.175904,6.159520,6.166501,6.272610", \ - "6.143171,6.160514,6.155659,6.156229,6.172215,6.173896,6.186508", \ - "6.191822,6.208400,6.240819,6.241974,6.238721,6.232051,6.255277", \ - "6.366466,6.364852,6.379897,6.398042,6.436701,6.449834,6.376907"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.528047,1.536335,1.584320,1.627569,1.717404,1.819293,1.943812", \ - "1.480029,1.511376,1.548344,1.586047,1.669697,1.786078,1.909298", \ - "1.435886,1.463814,1.487402,1.538290,1.615031,1.727363,1.840871", \ - "1.482619,1.501561,1.518747,1.543846,1.612473,1.720261,1.817815", \ - "1.741845,1.760116,1.754798,1.766804,1.785906,1.807802,1.872484", \ - "2.157467,2.154798,2.141009,2.134535,2.127436,2.125661,2.100577", \ - "2.734054,2.721864,2.726533,2.708448,2.668001,2.615035,2.529549"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.079633,7.097041,7.110869,7.119998,7.113188,7.171737,7.194642", \ - "7.040065,7.058125,7.073809,7.087739,7.072770,7.123099,7.168590", \ - "7.002803,7.002648,7.018666,7.042711,7.045728,7.054430,7.084319", \ - "6.958088,6.976075,6.991276,7.001456,7.015068,7.044878,7.076010", \ - "6.967444,6.966612,6.980958,6.992202,7.013807,6.961360,6.987581", \ - "7.037837,7.055253,7.055260,7.039737,7.067112,7.000404,7.012333", \ - "7.164604,7.185191,7.206568,7.235113,7.311210,7.292542,7.279810"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.595192,1.631842,1.664933,1.701665,1.791899,1.885253,2.009555", \ - "1.567194,1.590474,1.627900,1.672610,1.762226,1.858300,1.969682", \ - "1.520423,1.547304,1.570444,1.629390,1.714554,1.816194,1.920613", \ - "1.570925,1.583088,1.599880,1.620659,1.704128,1.794468,1.898413", \ - "1.836550,1.838921,1.837599,1.842064,1.871716,1.878978,1.955147", \ - "2.245063,2.242580,2.228461,2.238692,2.220787,2.197989,2.185022", \ - "2.854088,2.841752,2.828195,2.803457,2.777764,2.717369,2.627439"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.443329,7.440061,7.449915,7.470890,7.494710,7.509969,7.524179", \ - "7.402347,7.399938,7.411327,7.438597,7.478431,7.454318,7.495294", \ - "7.339562,7.360547,7.372702,7.391689,7.426175,7.372308,7.485320", \ - "7.313316,7.310673,7.345254,7.365461,7.375997,7.342111,7.455593", \ - "7.311332,7.308843,7.342422,7.326797,7.336747,7.331143,7.440083", \ - "7.355701,7.367247,7.403690,7.434709,7.423557,7.442255,7.463367", \ - "7.528395,7.522140,7.552369,7.579221,7.640889,7.680115,7.624439"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.528047,1.536335,1.584320,1.627569,1.717404,1.819293,1.943812", \ - "1.480029,1.511376,1.548344,1.586047,1.669697,1.786078,1.909298", \ - "1.435886,1.463814,1.487402,1.538290,1.615031,1.727363,1.840871", \ - "1.482619,1.501561,1.518747,1.543846,1.612473,1.720261,1.817815", \ - "1.741845,1.760116,1.754798,1.766804,1.785906,1.807802,1.872484", \ - "2.157467,2.154798,2.141009,2.134535,2.127436,2.125661,2.100577", \ - "2.734054,2.721864,2.726533,2.708448,2.668001,2.615035,2.529549"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.079633,7.097041,7.110869,7.119998,7.113188,7.171737,7.194642", \ - "7.040065,7.058125,7.073809,7.087739,7.072770,7.123099,7.168590", \ - "7.002803,7.002648,7.018666,7.042711,7.045728,7.054430,7.084319", \ - "6.958088,6.976075,6.991276,7.001456,7.015068,7.044878,7.076010", \ - "6.967444,6.966612,6.980958,6.992202,7.013807,6.961360,6.987581", \ - "7.037837,7.055253,7.055260,7.039737,7.067112,7.000404,7.012333", \ - "7.164604,7.185191,7.206568,7.235113,7.311210,7.292542,7.279810"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("1.606954,1.606139,1.653870,1.704066,1.760287,1.848469,1.972308", \ - "1.563081,1.569727,1.610100,1.650936,1.715725,1.803565,1.927660", \ - "1.504667,1.527444,1.558797,1.580995,1.670499,1.749505,1.871646", \ - "1.540041,1.550358,1.570155,1.598860,1.666873,1.747604,1.842119", \ - "1.787903,1.800138,1.803108,1.800043,1.816266,1.823587,1.893016", \ - "2.187166,2.184549,2.186635,2.161993,2.153609,2.136503,2.103554", \ - "2.790039,2.778016,2.762666,2.735856,2.701206,2.628278,2.537485"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); - values ("7.902425,7.901713,7.916935,7.947205,7.916642,7.965546,7.994526", \ - "7.866144,7.865948,7.882210,7.889136,7.937891,7.916269,7.951565", \ - "7.811674,7.830831,7.828987,7.862073,7.868444,7.902742,7.885818", \ - "7.783963,7.783908,7.800312,7.807341,7.860288,7.839596,7.877811", \ - "7.773139,7.772475,7.788120,7.800152,7.828205,7.861748,7.898067", \ - "7.848075,7.867821,7.864606,7.861934,7.877526,7.898096,7.921653", \ - "7.990497,8.013736,8.040563,8.070417,8.089333,8.116375,8.078029"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI222_X2 - Cell Description : Combinational cell (AOI222_X2) with drive strength X2 - *******************************************************************************************/ - - cell (AOI222_X2) { - - drive_strength : 2; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 94.797579; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 20.893290; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 63.523570; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 22.098233; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 64.711086; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 63.523680; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 106.150220; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 64.728403; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 93.893327; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 22.098233; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 64.728403; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 23.303726; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 93.885737; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 65.638628; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 94.878916; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 94.871183; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 118.204282; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 63.523570; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 106.150110; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 64.728403; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 94.059295; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 106.150220; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 148.772030; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 107.354833; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 123.290244; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 64.728403; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 107.354833; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 65.934116; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 123.282533; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 94.769015; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 124.009358; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 124.001735; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 147.693862; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 22.098347; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 64.728519; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 23.303730; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 94.051694; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 64.728519; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 107.354949; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 65.934232; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 123.282643; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 23.303730; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 65.934232; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 24.509883; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 123.269883; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 94.761403; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 124.001735; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 123.989063; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 147.688026; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 75.036940; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 104.274500; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 104.265260; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 118.354165; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 104.274610; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 133.512280; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 133.502930; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 147.738535; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 104.265370; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 133.502930; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 133.488520; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 147.732706; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 118.642962; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 147.883751; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 147.877920; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 176.817613; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.164179; - fall_capacitance : 2.687380; - rise_capacitance : 3.164179; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.216068; - fall_capacitance : 2.629247; - rise_capacitance : 3.216068; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.026291; - fall_capacitance : 2.814978; - rise_capacitance : 3.026291; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.400260; - fall_capacitance : 3.056170; - rise_capacitance : 3.400260; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.964483; - fall_capacitance : 2.939623; - rise_capacitance : 2.964483; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.315441; - fall_capacitance : 3.126214; - rise_capacitance : 3.315441; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.634800; - function : "!(((A1 & A2) | (B1 & B2)) | (C1 & C2))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008362,0.008828,0.009681,0.011377,0.014744,0.021443,0.034803", \ - "0.009663,0.010135,0.011001,0.012718,0.016117,0.022850,0.036240", \ - "0.013576,0.014226,0.015372,0.017489,0.021239,0.027922,0.041283", \ - "0.015854,0.016798,0.018467,0.021566,0.027078,0.036375,0.051294", \ - "0.015689,0.016934,0.019148,0.023240,0.030507,0.042831,0.062701", \ - "0.012754,0.014339,0.017105,0.022202,0.031264,0.046606,0.071394", \ - "0.006887,0.008753,0.012060,0.018161,0.029036,0.047451,0.077177"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.016344,0.017480,0.019572,0.023736,0.032002,0.048373,0.080871", \ - "0.017203,0.018339,0.020435,0.024634,0.032996,0.049520,0.082180", \ - "0.023151,0.024142,0.026017,0.029912,0.037977,0.054338,0.086989", \ - "0.033103,0.034517,0.036983,0.041618,0.050003,0.065486,0.097512", \ - "0.044132,0.045902,0.048965,0.054774,0.065400,0.083907,0.115576", \ - "0.056866,0.058948,0.062530,0.069350,0.081914,0.104105,0.141380", \ - "0.071582,0.073930,0.078040,0.085814,0.100143,0.125579,0.168924"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005064,0.005453,0.006175,0.007621,0.010513,0.016295,0.027854", \ - "0.005060,0.005450,0.006173,0.007621,0.010514,0.016295,0.027854", \ - "0.007487,0.007790,0.008338,0.009372,0.011399,0.016380,0.027856", \ - "0.012264,0.012669,0.013383,0.014740,0.017215,0.021550,0.029756", \ - "0.018637,0.019159,0.020053,0.021739,0.024810,0.030170,0.039191", \ - "0.026736,0.027335,0.028424,0.030481,0.034174,0.040549,0.051278", \ - "0.036472,0.037270,0.038559,0.041003,0.045398,0.052866,0.065236"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013763,0.014858,0.016848,0.020753,0.028416,0.043418,0.073201", \ - "0.013565,0.014686,0.016706,0.020669,0.028376,0.043435,0.073206", \ - "0.013681,0.014607,0.016370,0.020108,0.028121,0.043380,0.073190", \ - "0.018948,0.019778,0.021279,0.024035,0.029754,0.043195,0.073158", \ - "0.025046,0.026025,0.027803,0.031248,0.037616,0.048838,0.073908", \ - "0.032254,0.033346,0.035330,0.039241,0.046619,0.059772,0.082299", \ - "0.041013,0.042188,0.044283,0.048532,0.056659,0.071527,0.097104"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008361,0.008828,0.009681,0.011375,0.014743,0.021442,0.034800", \ - "0.009669,0.010140,0.011006,0.012723,0.016120,0.022853,0.036243", \ - "0.013646,0.014293,0.015434,0.017545,0.021285,0.027964,0.041322", \ - "0.015954,0.016898,0.018572,0.021670,0.027178,0.036465,0.051364", \ - "0.015511,0.016768,0.019009,0.023144,0.030472,0.042855,0.062761", \ - "0.011879,0.013492,0.016318,0.021516,0.030740,0.046296,0.071281", \ - "0.004880,0.006799,0.010196,0.016474,0.027625,0.046441,0.076606"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.017764,0.019146,0.021709,0.026905,0.037399,0.058340,0.099925", \ - "0.018504,0.019867,0.022418,0.027632,0.038225,0.059366,0.101160", \ - "0.024547,0.025725,0.027978,0.032752,0.042910,0.063820,0.105632", \ - "0.035891,0.037459,0.040206,0.045389,0.054819,0.074517,0.115584", \ - "0.048578,0.050553,0.053974,0.060486,0.072452,0.093502,0.132877", \ - "0.063234,0.065543,0.069529,0.077163,0.091300,0.116426,0.159104", \ - "0.080137,0.082773,0.087323,0.095980,0.112033,0.140768,0.190131"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005064,0.005454,0.006173,0.007622,0.010514,0.016296,0.027855", \ - "0.005061,0.005450,0.006173,0.007621,0.010513,0.016295,0.027855", \ - "0.007458,0.007762,0.008312,0.009347,0.011385,0.016376,0.027856", \ - "0.012194,0.012604,0.013323,0.014681,0.017165,0.021507,0.029737", \ - "0.018528,0.019059,0.019963,0.021676,0.024762,0.030147,0.039160", \ - "0.026599,0.027237,0.028346,0.030441,0.034187,0.040608,0.051322", \ - "0.036419,0.037171,0.038535,0.041039,0.045522,0.053062,0.065451"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.017057,0.018557,0.021273,0.026568,0.036717,0.056060,0.093813", \ - "0.016653,0.018178,0.020949,0.026346,0.036615,0.056036,0.093820", \ - "0.016170,0.017470,0.019979,0.025327,0.036055,0.055917,0.093813", \ - "0.021257,0.022296,0.024107,0.027670,0.036081,0.054967,0.093761", \ - "0.027575,0.028725,0.030845,0.034990,0.042838,0.057931,0.093091", \ - "0.034881,0.036160,0.038489,0.043109,0.051884,0.067855,0.097896", \ - "0.043623,0.044968,0.047449,0.052414,0.061993,0.079687,0.110720"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008544,0.009011,0.009865,0.011562,0.014935,0.021646,0.035030", \ - "0.009852,0.010325,0.011192,0.012911,0.016314,0.023059,0.036473", \ - "0.013914,0.014554,0.015682,0.017773,0.021487,0.028169,0.041552", \ - "0.016383,0.017315,0.018969,0.022036,0.027502,0.036744,0.051594", \ - "0.016157,0.017394,0.019608,0.023689,0.030959,0.043268,0.063108", \ - "0.012821,0.014410,0.017179,0.022304,0.031439,0.046883,0.071773", \ - "0.006224,0.008102,0.011429,0.017589,0.028607,0.047263,0.077283"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.024375,0.025817,0.028473,0.033783,0.044362,0.065345,0.106960", \ - "0.025163,0.026606,0.029272,0.034618,0.045289,0.066427,0.108216", \ - "0.030448,0.031786,0.034289,0.039408,0.049852,0.070877,0.112699", \ - "0.042945,0.044363,0.046902,0.051709,0.061138,0.081361,0.122569", \ - "0.057458,0.059248,0.062417,0.068495,0.079785,0.099916,0.139699", \ - "0.073628,0.075743,0.079464,0.086622,0.100031,0.124155,0.165614", \ - "0.091910,0.094288,0.098578,0.106735,0.121986,0.149657,0.197709"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006320,0.006765,0.007576,0.009162,0.012216,0.018105,0.029694", \ - "0.006318,0.006764,0.007576,0.009162,0.012215,0.018105,0.029695", \ - "0.009101,0.009393,0.009923,0.010861,0.013056,0.018178,0.029696", \ - "0.015179,0.015497,0.016067,0.017200,0.019382,0.023406,0.031549", \ - "0.022986,0.023354,0.023999,0.025327,0.027898,0.032704,0.041188", \ - "0.032686,0.033076,0.033829,0.035362,0.038358,0.043945,0.053892", \ - "0.044224,0.044753,0.045591,0.047381,0.050850,0.057278,0.068643"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021523,0.022968,0.025588,0.030727,0.040673,0.059912,0.097725", \ - "0.021283,0.022748,0.025408,0.030600,0.040614,0.059901,0.097736", \ - "0.020202,0.021744,0.024530,0.029941,0.040284,0.059834,0.097722", \ - "0.023228,0.024225,0.026174,0.030417,0.039544,0.059200,0.097702", \ - "0.029800,0.030971,0.033115,0.037253,0.044934,0.060885,0.097024", \ - "0.037089,0.038426,0.040831,0.045499,0.054270,0.070139,0.100845", \ - "0.045552,0.047029,0.049648,0.054779,0.064489,0.082172,0.112935"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008371,0.008836,0.009689,0.011384,0.014751,0.021451,0.034810", \ - "0.009705,0.010175,0.011041,0.012758,0.016155,0.022888,0.036277", \ - "0.013684,0.014333,0.015472,0.017582,0.021321,0.028001,0.041360", \ - "0.015909,0.016861,0.018539,0.021651,0.027174,0.036471,0.051376", \ - "0.015383,0.016648,0.018903,0.023054,0.030414,0.042821,0.062746", \ - "0.011707,0.013335,0.016171,0.021394,0.030652,0.046235,0.071247", \ - "0.004694,0.006628,0.010048,0.016339,0.027529,0.046377,0.076568"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.020142,0.021605,0.024294,0.029627,0.040180,0.061043,0.102456", \ - "0.020659,0.022125,0.024834,0.030234,0.040927,0.061991,0.103620", \ - "0.026063,0.027368,0.029839,0.034931,0.045343,0.066253,0.107906", \ - "0.037189,0.038796,0.041623,0.046947,0.056657,0.076725,0.117688", \ - "0.049626,0.051636,0.055120,0.061744,0.073899,0.095233,0.134883", \ - "0.064036,0.066379,0.070415,0.078144,0.092446,0.117831,0.160836", \ - "0.080763,0.083404,0.088007,0.096744,0.112940,0.141903,0.191585"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005063,0.005452,0.006176,0.007622,0.010512,0.016295,0.027854", \ - "0.005062,0.005450,0.006174,0.007622,0.010514,0.016294,0.027855", \ - "0.007440,0.007745,0.008294,0.009329,0.011373,0.016373,0.027856", \ - "0.012213,0.012619,0.013337,0.014697,0.017169,0.021504,0.029733", \ - "0.018626,0.019156,0.020053,0.021753,0.024820,0.030172,0.039163", \ - "0.026785,0.027414,0.028529,0.030596,0.034307,0.040682,0.051351", \ - "0.036634,0.037462,0.038774,0.041266,0.045703,0.053188,0.065512"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.017983,0.019356,0.021846,0.026735,0.036319,0.055176,0.092692", \ - "0.017760,0.019169,0.021705,0.026665,0.036300,0.055189,0.092682", \ - "0.017156,0.018451,0.020906,0.026124,0.036116,0.055177,0.092683", \ - "0.021728,0.022793,0.024497,0.028150,0.036377,0.054765,0.092681", \ - "0.027740,0.028915,0.031060,0.035236,0.043091,0.057883,0.092441", \ - "0.034876,0.036168,0.038524,0.043185,0.052013,0.067949,0.097521", \ - "0.043504,0.044870,0.047365,0.052370,0.062010,0.079747,0.110619"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008370,0.008835,0.009688,0.011383,0.014750,0.021448,0.034807", \ - "0.009706,0.010178,0.011043,0.012760,0.016157,0.022889,0.036277", \ - "0.013735,0.014383,0.015518,0.017623,0.021354,0.028030,0.041386", \ - "0.016002,0.016950,0.018631,0.021737,0.027257,0.036542,0.051428", \ - "0.015289,0.016564,0.018824,0.023007,0.030407,0.042850,0.062794", \ - "0.011059,0.012700,0.015584,0.020888,0.030265,0.046007,0.071161", \ - "0.003122,0.005104,0.008585,0.015019,0.026429,0.045580,0.076114"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021781,0.023520,0.026743,0.033197,0.046054,0.071511,0.122023", \ - "0.022138,0.023874,0.027098,0.033613,0.046632,0.072348,0.123113", \ - "0.027562,0.029093,0.032011,0.038108,0.050748,0.076286,0.127108", \ - "0.039782,0.041540,0.044642,0.050534,0.061851,0.086404,0.136431", \ - "0.053669,0.055919,0.059747,0.067053,0.080524,0.104464,0.153058", \ - "0.069782,0.072354,0.076783,0.085305,0.101137,0.129392,0.178235", \ - "0.088400,0.091267,0.096334,0.105925,0.123807,0.155991,0.211548"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005063,0.005453,0.006176,0.007620,0.010513,0.016295,0.027854", \ - "0.005062,0.005450,0.006174,0.007620,0.010514,0.016295,0.027856", \ - "0.007418,0.007725,0.008275,0.009312,0.011361,0.016370,0.027855", \ - "0.012155,0.012563,0.013284,0.014642,0.017123,0.021468,0.029718", \ - "0.018508,0.019042,0.019957,0.021673,0.024770,0.030143,0.039138", \ - "0.026644,0.027290,0.028414,0.030522,0.034278,0.040702,0.051377", \ - "0.036538,0.037310,0.038683,0.041230,0.045734,0.053295,0.065653"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021734,0.023504,0.026700,0.032881,0.044755,0.067752,0.113137", \ - "0.021308,0.023135,0.026407,0.032707,0.044701,0.067742,0.113140", \ - "0.020186,0.021901,0.025179,0.031864,0.044361,0.067692,0.113134", \ - "0.024204,0.025313,0.027567,0.032569,0.043502,0.067237,0.113133", \ - "0.030310,0.031670,0.034189,0.039174,0.048459,0.068147,0.112828", \ - "0.037503,0.038986,0.041697,0.047091,0.057414,0.076319,0.114740", \ - "0.046068,0.047630,0.050515,0.056265,0.067397,0.088056,0.124851"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008552,0.009019,0.009873,0.011570,0.014943,0.021654,0.035036", \ - "0.009890,0.010363,0.011230,0.012948,0.016350,0.023095,0.036508", \ - "0.014004,0.014642,0.015766,0.017851,0.021555,0.028235,0.041616", \ - "0.016433,0.017369,0.019029,0.022107,0.027580,0.036819,0.051659", \ - "0.015940,0.017195,0.019426,0.023555,0.030889,0.043264,0.063143", \ - "0.012017,0.013629,0.016458,0.021683,0.030969,0.046598,0.071656", \ - "0.004490,0.006434,0.009838,0.016157,0.027419,0.046413,0.076798"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.030158,0.031941,0.035212,0.041715,0.054597,0.080085,0.130626", \ - "0.030638,0.032431,0.035728,0.042294,0.055306,0.080993,0.131755", \ - "0.035291,0.036988,0.040141,0.046512,0.059340,0.084932,0.135770", \ - "0.047811,0.049426,0.052248,0.057886,0.069950,0.094827,0.145001", \ - "0.063713,0.065728,0.069284,0.076126,0.088874,0.112469,0.161439", \ - "0.081423,0.083772,0.087956,0.095974,0.111006,0.138171,0.186378", \ - "0.101504,0.104123,0.108879,0.117965,0.135004,0.166043,0.220169"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006320,0.006765,0.007576,0.009163,0.012216,0.018104,0.029696", \ - "0.006318,0.006765,0.007576,0.009162,0.012215,0.018104,0.029695", \ - "0.009055,0.009350,0.009883,0.010823,0.013033,0.018172,0.029696", \ - "0.015134,0.015451,0.016023,0.017161,0.019344,0.023369,0.031528", \ - "0.022980,0.023348,0.024009,0.025334,0.027908,0.032702,0.041172", \ - "0.032770,0.033177,0.033932,0.035472,0.038469,0.044049,0.053948", \ - "0.044445,0.044931,0.045821,0.047626,0.051112,0.057528,0.068852"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026950,0.028646,0.031708,0.037718,0.049460,0.072452,0.117974", \ - "0.026757,0.028476,0.031580,0.037648,0.049423,0.072452,0.117980", \ - "0.025821,0.027632,0.030891,0.037205,0.049266,0.072431,0.117971", \ - "0.026945,0.028356,0.031038,0.036591,0.048187,0.072194,0.117959", \ - "0.033221,0.034621,0.037184,0.042208,0.051568,0.072189,0.117797", \ - "0.040392,0.041920,0.044703,0.050152,0.060466,0.079241,0.118863", \ - "0.048696,0.050386,0.053418,0.059324,0.070570,0.091175,0.128039"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008553,0.009020,0.009874,0.011571,0.014944,0.021656,0.035039", \ - "0.009888,0.010361,0.011227,0.012946,0.016349,0.023094,0.036507", \ - "0.013952,0.014593,0.015720,0.017811,0.021523,0.028206,0.041590", \ - "0.016344,0.017282,0.018939,0.022018,0.027498,0.036750,0.051606", \ - "0.016036,0.017281,0.019504,0.023604,0.030901,0.043236,0.063094", \ - "0.012673,0.014255,0.017040,0.022187,0.031354,0.046830,0.071741", \ - "0.006065,0.007947,0.011288,0.017468,0.028514,0.047200,0.077246"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.027055,0.028528,0.031222,0.036563,0.047124,0.068011,0.109470", \ - "0.027707,0.029191,0.031909,0.037305,0.047975,0.069025,0.110655", \ - "0.032521,0.033935,0.036546,0.041799,0.052317,0.073279,0.114953", \ - "0.044447,0.045903,0.048505,0.053364,0.063220,0.083571,0.124660", \ - "0.058674,0.060496,0.063712,0.069891,0.081343,0.101725,0.141679", \ - "0.074576,0.076715,0.080483,0.087725,0.101278,0.125631,0.167386", \ - "0.092651,0.095049,0.099374,0.107602,0.122978,0.150864,0.199209"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006332,0.006775,0.007586,0.009170,0.012220,0.018108,0.029694", \ - "0.006329,0.006775,0.007586,0.009171,0.012221,0.018106,0.029696", \ - "0.009093,0.009384,0.009910,0.010849,0.013050,0.018176,0.029696", \ - "0.015207,0.015522,0.016090,0.017215,0.019387,0.023406,0.031544", \ - "0.023099,0.023461,0.024103,0.025412,0.027957,0.032729,0.041195", \ - "0.032890,0.033285,0.034024,0.035536,0.038485,0.044021,0.053928", \ - "0.044534,0.045024,0.045865,0.047613,0.051050,0.057407,0.068706"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021863,0.023211,0.025654,0.030501,0.040059,0.058977,0.096606", \ - "0.021775,0.023137,0.025604,0.030466,0.040050,0.059000,0.096608", \ - "0.021159,0.022598,0.025184,0.030226,0.039965,0.058983,0.096614", \ - "0.023627,0.024653,0.026633,0.030808,0.039657,0.058802,0.096598", \ - "0.029999,0.031192,0.033342,0.037492,0.045090,0.060701,0.096301", \ - "0.037136,0.038471,0.040898,0.045597,0.054380,0.070180,0.100387", \ - "0.045474,0.046963,0.049599,0.054762,0.064521,0.082209,0.112781"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008552,0.009019,0.009873,0.011570,0.014943,0.021654,0.035036", \ - "0.009890,0.010363,0.011230,0.012948,0.016350,0.023095,0.036508", \ - "0.014004,0.014642,0.015766,0.017851,0.021555,0.028235,0.041616", \ - "0.016433,0.017369,0.019029,0.022107,0.027580,0.036819,0.051659", \ - "0.015940,0.017195,0.019426,0.023555,0.030889,0.043264,0.063143", \ - "0.012017,0.013629,0.016458,0.021683,0.030969,0.046598,0.071656", \ - "0.004490,0.006434,0.009838,0.016157,0.027419,0.046413,0.076798"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.030158,0.031941,0.035212,0.041715,0.054597,0.080085,0.130626", \ - "0.030638,0.032431,0.035728,0.042294,0.055306,0.080993,0.131755", \ - "0.035291,0.036988,0.040141,0.046512,0.059340,0.084932,0.135770", \ - "0.047811,0.049426,0.052248,0.057886,0.069950,0.094827,0.145001", \ - "0.063713,0.065728,0.069284,0.076126,0.088874,0.112469,0.161439", \ - "0.081423,0.083772,0.087956,0.095974,0.111006,0.138171,0.186378", \ - "0.101504,0.104123,0.108879,0.117965,0.135004,0.166043,0.220169"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006320,0.006765,0.007576,0.009163,0.012216,0.018104,0.029696", \ - "0.006318,0.006765,0.007576,0.009162,0.012215,0.018104,0.029695", \ - "0.009055,0.009350,0.009883,0.010823,0.013033,0.018172,0.029696", \ - "0.015134,0.015451,0.016023,0.017161,0.019344,0.023369,0.031528", \ - "0.022980,0.023348,0.024009,0.025334,0.027908,0.032702,0.041172", \ - "0.032770,0.033177,0.033932,0.035472,0.038469,0.044049,0.053948", \ - "0.044445,0.044931,0.045821,0.047626,0.051112,0.057528,0.068852"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026950,0.028646,0.031708,0.037718,0.049460,0.072452,0.117974", \ - "0.026757,0.028476,0.031580,0.037648,0.049423,0.072452,0.117980", \ - "0.025821,0.027632,0.030891,0.037205,0.049266,0.072431,0.117971", \ - "0.026945,0.028356,0.031038,0.036591,0.048187,0.072194,0.117959", \ - "0.033221,0.034621,0.037184,0.042208,0.051568,0.072189,0.117797", \ - "0.040392,0.041920,0.044703,0.050152,0.060466,0.079241,0.118863", \ - "0.048696,0.050386,0.053418,0.059324,0.070570,0.091175,0.128039"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008738,0.009204,0.010059,0.011758,0.015137,0.021861,0.035269", \ - "0.010077,0.010551,0.011417,0.013137,0.016545,0.023302,0.036740", \ - "0.014270,0.014900,0.016011,0.018077,0.021749,0.028441,0.041848", \ - "0.016863,0.017786,0.019425,0.022472,0.027904,0.037097,0.051890", \ - "0.016599,0.017836,0.020027,0.024108,0.031376,0.043680,0.063494", \ - "0.013013,0.014596,0.017341,0.022493,0.031680,0.047198,0.072156", \ - "0.005984,0.007866,0.011142,0.017332,0.028445,0.047265,0.077495"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.038706,0.040493,0.043766,0.050269,0.063146,0.088624,0.139223", \ - "0.039320,0.041118,0.044419,0.050977,0.063955,0.089592,0.140383", \ - "0.043630,0.045383,0.048614,0.055073,0.067952,0.093549,0.144398", \ - "0.055178,0.056762,0.059757,0.065834,0.078241,0.103285,0.153541", \ - "0.072997,0.074893,0.078234,0.084680,0.096860,0.120626,0.169804", \ - "0.092306,0.094513,0.098505,0.106082,0.120443,0.146642,0.194550", \ - "0.113803,0.116276,0.120756,0.129446,0.145761,0.175752,0.228602"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008449,0.008862,0.009628,0.011136,0.014102,0.019948,0.031537", \ - "0.008446,0.008861,0.009628,0.011135,0.014102,0.019948,0.031537", \ - "0.011205,0.011405,0.011799,0.012726,0.014890,0.020007,0.031538", \ - "0.018002,0.018241,0.018695,0.019628,0.021523,0.025245,0.033342", \ - "0.026949,0.027214,0.027696,0.028732,0.030886,0.035175,0.043171", \ - "0.038060,0.038333,0.038847,0.039982,0.042392,0.047269,0.056480", \ - "0.051215,0.051540,0.052106,0.053387,0.056098,0.061587,0.071993"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.031788,0.033435,0.036441,0.042380,0.054074,0.077114,0.122804", \ - "0.031700,0.033360,0.036385,0.042349,0.054062,0.077110,0.122820", \ - "0.031202,0.032922,0.036036,0.042136,0.053997,0.077098,0.122788", \ - "0.030734,0.032308,0.035184,0.041062,0.053235,0.076982,0.122756", \ - "0.036366,0.037776,0.040344,0.044999,0.055063,0.076509,0.122664", \ - "0.043654,0.045183,0.047936,0.053330,0.063560,0.082410,0.123116", \ - "0.052026,0.053701,0.056733,0.062642,0.073829,0.094279,0.131411"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009920,0.010382,0.011231,0.012921,0.016281,0.022974,0.036329", \ - "0.011284,0.011760,0.012627,0.014346,0.017744,0.024476,0.037864", \ - "0.014558,0.015125,0.016139,0.018081,0.021741,0.028562,0.042030", \ - "0.017292,0.018098,0.019516,0.022152,0.026864,0.035079,0.049585", \ - "0.017865,0.018964,0.020909,0.024494,0.030816,0.041432,0.058790", \ - "0.015687,0.017116,0.019633,0.024260,0.032380,0.045901,0.067408", \ - "0.010495,0.012270,0.015394,0.021092,0.031088,0.047716,0.073956"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021621,0.022755,0.024839,0.028987,0.037232,0.053603,0.086163", \ - "0.022564,0.023709,0.025810,0.029995,0.038300,0.054749,0.087386", \ - "0.028204,0.029284,0.031288,0.035337,0.043498,0.059844,0.092440", \ - "0.039947,0.041187,0.043407,0.047628,0.055387,0.071116,0.103185", \ - "0.053115,0.054685,0.057475,0.062801,0.072652,0.090121,0.121374", \ - "0.067962,0.069803,0.073090,0.079361,0.091069,0.112054,0.147881", \ - "0.084937,0.087058,0.090828,0.097948,0.111286,0.135369,0.177047"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005059,0.005453,0.006174,0.007620,0.010514,0.016294,0.027855", \ - "0.005061,0.005454,0.006176,0.007619,0.010514,0.016295,0.027855", \ - "0.006147,0.006485,0.007118,0.008378,0.010908,0.016344,0.027856", \ - "0.009333,0.009674,0.010287,0.011481,0.013858,0.018702,0.028784", \ - "0.014135,0.014538,0.015248,0.016589,0.019097,0.023841,0.033263", \ - "0.020230,0.020702,0.021534,0.023123,0.026044,0.031229,0.040665", \ - "0.027476,0.028026,0.029006,0.030889,0.034330,0.040278,0.050487"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.017168,0.018245,0.020207,0.024086,0.031743,0.046833,0.076745", \ - "0.017072,0.018160,0.020139,0.024043,0.031726,0.046814,0.076742", \ - "0.016584,0.017636,0.019659,0.023716,0.031576,0.046784,0.076738", \ - "0.020630,0.021467,0.022836,0.025781,0.032227,0.046457,0.076721", \ - "0.026731,0.027739,0.029542,0.032984,0.039310,0.050868,0.077014", \ - "0.033343,0.034550,0.036678,0.040773,0.048290,0.061458,0.084414", \ - "0.040716,0.042082,0.044501,0.049168,0.057810,0.073069,0.098750"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009920,0.010381,0.011231,0.012920,0.016279,0.022972,0.036327", \ - "0.011289,0.011765,0.012632,0.014350,0.017748,0.024479,0.037867", \ - "0.014615,0.015182,0.016193,0.018132,0.021788,0.028605,0.042069", \ - "0.017432,0.018236,0.019650,0.022278,0.026979,0.035178,0.049670", \ - "0.017949,0.019053,0.020999,0.024588,0.030922,0.041542,0.058897", \ - "0.015424,0.016867,0.019405,0.024075,0.032257,0.045867,0.067447", \ - "0.009498,0.011311,0.014488,0.020283,0.030433,0.047273,0.073755"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.024329,0.025747,0.028366,0.033620,0.044132,0.065066,0.106687", \ - "0.025122,0.026548,0.029182,0.034472,0.045053,0.066088,0.107817", \ - "0.030720,0.032054,0.034541,0.039626,0.050001,0.070908,0.112602", \ - "0.043542,0.044939,0.047437,0.052176,0.061629,0.081781,0.122853", \ - "0.058632,0.060389,0.063514,0.069510,0.080658,0.100622,0.140334", \ - "0.075542,0.077630,0.081270,0.088336,0.101563,0.125405,0.166563", \ - "0.094745,0.097109,0.101327,0.109334,0.124365,0.151691,0.199273"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005059,0.005452,0.006174,0.007620,0.010512,0.016295,0.027855", \ - "0.005061,0.005454,0.006174,0.007622,0.010514,0.016295,0.027856", \ - "0.006130,0.006477,0.007106,0.008369,0.010902,0.016342,0.027856", \ - "0.009282,0.009624,0.010236,0.011437,0.013823,0.018678,0.028774", \ - "0.014028,0.014429,0.015146,0.016503,0.019031,0.023781,0.033230", \ - "0.020061,0.020538,0.021388,0.023000,0.025950,0.031179,0.040636", \ - "0.027280,0.027838,0.028843,0.030754,0.034251,0.040259,0.050511"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021904,0.023357,0.025988,0.031141,0.041133,0.060446,0.098351", \ - "0.021658,0.023134,0.025800,0.031016,0.041071,0.060423,0.098369", \ - "0.020655,0.022186,0.024967,0.030386,0.040745,0.060352,0.098362", \ - "0.023495,0.024528,0.026546,0.030850,0.040035,0.059762,0.098322", \ - "0.029899,0.031100,0.033252,0.037421,0.045166,0.061357,0.097669", \ - "0.036787,0.038144,0.040624,0.045402,0.054286,0.070287,0.101344", \ - "0.044301,0.045851,0.048635,0.054011,0.064052,0.082031,0.113057"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010100,0.010564,0.011415,0.013106,0.016472,0.023177,0.036557", \ - "0.011474,0.011950,0.012819,0.014539,0.017941,0.024685,0.038097", \ - "0.014840,0.015402,0.016409,0.018342,0.021987,0.028811,0.042300", \ - "0.017771,0.018565,0.019963,0.022566,0.027239,0.035419,0.049909", \ - "0.018450,0.019542,0.021461,0.025011,0.031294,0.041861,0.059183", \ - "0.016141,0.017561,0.020063,0.024671,0.032782,0.046304,0.067817", \ - "0.010498,0.012266,0.015392,0.021116,0.031151,0.047876,0.074242"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.031145,0.032591,0.035251,0.040559,0.051114,0.072070,0.113698", \ - "0.031996,0.033454,0.036129,0.041468,0.052082,0.073120,0.114840", \ - "0.037231,0.038641,0.041242,0.046472,0.056971,0.077931,0.119625", \ - "0.049956,0.051261,0.053542,0.058300,0.068229,0.088645,0.129809", \ - "0.066710,0.068361,0.071298,0.076951,0.087560,0.107066,0.147151", \ - "0.085067,0.086999,0.090487,0.097174,0.109800,0.132778,0.173112", \ - "0.105580,0.107765,0.111754,0.119384,0.133779,0.160194,0.206602"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006320,0.006765,0.007576,0.009162,0.012215,0.018103,0.029695", \ - "0.006319,0.006765,0.007575,0.009162,0.012215,0.018104,0.029695", \ - "0.007585,0.007953,0.008629,0.009902,0.012592,0.018147,0.029695", \ - "0.011456,0.011758,0.012308,0.013432,0.015751,0.020542,0.030602", \ - "0.017249,0.017550,0.018102,0.019213,0.021442,0.025913,0.035155", \ - "0.024456,0.024779,0.025395,0.026626,0.029071,0.033758,0.042784", \ - "0.032997,0.033342,0.034032,0.035432,0.038249,0.043477,0.053021"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026072,0.027483,0.030055,0.035114,0.044999,0.064288,0.102265", \ - "0.025930,0.027358,0.029952,0.035043,0.044966,0.064265,0.102258", \ - "0.025254,0.026731,0.029410,0.034659,0.044783,0.064238,0.102245", \ - "0.026000,0.027220,0.029488,0.034138,0.043808,0.063885,0.102223", \ - "0.032396,0.033554,0.035678,0.039799,0.047569,0.064510,0.101785", \ - "0.039595,0.040948,0.043349,0.048023,0.056770,0.072511,0.104441", \ - "0.047347,0.048891,0.051625,0.056918,0.066820,0.084574,0.115399"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009929,0.010390,0.011240,0.012928,0.016288,0.022982,0.036336", \ - "0.011323,0.011799,0.012666,0.014385,0.017782,0.024514,0.037902", \ - "0.014657,0.015222,0.016234,0.018174,0.021828,0.028646,0.042109", \ - "0.017428,0.018233,0.019651,0.022284,0.026992,0.035196,0.049690", \ - "0.017855,0.018967,0.020924,0.024530,0.030886,0.041529,0.058896", \ - "0.015241,0.016694,0.019252,0.023947,0.032168,0.045815,0.067423", \ - "0.009253,0.011079,0.014272,0.020100,0.030299,0.047194,0.073711"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.027006,0.028455,0.031113,0.036399,0.046895,0.067737,0.109202", \ - "0.027672,0.029137,0.031824,0.037165,0.047745,0.068692,0.110255", \ - "0.032820,0.034223,0.036817,0.042027,0.052472,0.073322,0.114871", \ - "0.045059,0.046488,0.049051,0.053880,0.063725,0.083992,0.124955", \ - "0.059855,0.061632,0.064814,0.070901,0.082217,0.102449,0.142308", \ - "0.076475,0.078586,0.082274,0.089421,0.102794,0.126873,0.168340", \ - "0.095466,0.097841,0.102099,0.110175,0.125335,0.152874,0.200750"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005059,0.005453,0.006173,0.007621,0.010513,0.016295,0.027855", \ - "0.005059,0.005455,0.006175,0.007620,0.010514,0.016294,0.027854", \ - "0.006121,0.006465,0.007098,0.008361,0.010896,0.016339,0.027856", \ - "0.009278,0.009619,0.010229,0.011432,0.013815,0.018673,0.028771", \ - "0.014058,0.014457,0.015171,0.016525,0.019048,0.023797,0.033234", \ - "0.020153,0.020627,0.021472,0.023074,0.026014,0.031217,0.040648", \ - "0.027446,0.027999,0.028985,0.030894,0.034361,0.040342,0.050549"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022286,0.023637,0.026091,0.030946,0.040544,0.059536,0.097253", \ - "0.022196,0.023561,0.026033,0.030917,0.040529,0.059511,0.097242", \ - "0.021601,0.023039,0.025626,0.030676,0.040456,0.059498,0.097244", \ - "0.023932,0.024992,0.027014,0.031230,0.040122,0.059324,0.097206", \ - "0.030118,0.031325,0.033488,0.037667,0.045341,0.061162,0.096946", \ - "0.036852,0.038217,0.040714,0.045516,0.054408,0.070324,0.100847", \ - "0.044250,0.045813,0.048614,0.054022,0.064096,0.082074,0.112899"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.009928,0.010389,0.011239,0.012927,0.016287,0.022980,0.036334", \ - "0.011326,0.011802,0.012668,0.014387,0.017783,0.024514,0.037901", \ - "0.014700,0.015264,0.016274,0.018211,0.021860,0.028674,0.042136", \ - "0.017551,0.018353,0.019765,0.022391,0.027084,0.035274,0.049754", \ - "0.017959,0.019071,0.021026,0.024631,0.030985,0.041625,0.058981", \ - "0.015092,0.016551,0.019125,0.023841,0.032105,0.045806,0.067462", \ - "0.008528,0.010365,0.013605,0.019512,0.029822,0.046873,0.073558"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.030092,0.031845,0.035069,0.041498,0.054293,0.079707,0.130242", \ - "0.030588,0.032358,0.035613,0.042105,0.055002,0.080546,0.131213", \ - "0.035646,0.037332,0.040462,0.046783,0.059509,0.084946,0.135598", \ - "0.048477,0.050063,0.052838,0.058514,0.070548,0.095314,0.145300", \ - "0.064969,0.066941,0.070452,0.077196,0.089811,0.113319,0.162143", \ - "0.083402,0.085728,0.089808,0.097720,0.112574,0.139467,0.187459", \ - "0.104328,0.106920,0.111625,0.120560,0.137389,0.168077,0.221748"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.005059,0.005453,0.006173,0.007621,0.010512,0.016295,0.027855", \ - "0.005058,0.005455,0.006175,0.007620,0.010514,0.016295,0.027854", \ - "0.006111,0.006453,0.007091,0.008353,0.010893,0.016339,0.027856", \ - "0.009234,0.009580,0.010189,0.011395,0.013782,0.018656,0.028763", \ - "0.013961,0.014360,0.015084,0.016446,0.018996,0.023752,0.033207", \ - "0.019987,0.020476,0.021328,0.022954,0.025920,0.031159,0.040618", \ - "0.027249,0.027809,0.028822,0.030755,0.034266,0.040290,0.050542"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.027435,0.029130,0.032205,0.038243,0.050018,0.073074,0.118678", \ - "0.027234,0.028956,0.032071,0.038163,0.049984,0.073053,0.118686", \ - "0.026354,0.028162,0.031421,0.037744,0.049826,0.073031,0.118679", \ - "0.027397,0.028830,0.031545,0.037139,0.048772,0.072812,0.118665", \ - "0.033411,0.034837,0.037411,0.042467,0.051992,0.072790,0.118513", \ - "0.040255,0.041818,0.044669,0.050196,0.060604,0.079570,0.119475", \ - "0.047795,0.049557,0.052715,0.058847,0.070352,0.091191,0.128432"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010108,0.010572,0.011423,0.013114,0.016480,0.023185,0.036564", \ - "0.011511,0.011987,0.012855,0.014575,0.017977,0.024720,0.038131", \ - "0.014924,0.015485,0.016490,0.018421,0.022059,0.028881,0.042366", \ - "0.017888,0.018680,0.020077,0.022679,0.027345,0.035515,0.049993", \ - "0.018463,0.019561,0.021488,0.025055,0.031358,0.041944,0.059267", \ - "0.015814,0.017252,0.019789,0.024442,0.032633,0.046246,0.067835", \ - "0.009533,0.011328,0.014523,0.020348,0.030545,0.047475,0.074053"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.038563,0.040330,0.043572,0.050022,0.062836,0.088265,0.138868", \ - "0.039163,0.040944,0.044211,0.050712,0.063604,0.089138,0.139828", \ - "0.043916,0.045655,0.048862,0.055273,0.068069,0.093535,0.144222", \ - "0.055814,0.057410,0.060383,0.066447,0.078804,0.103742,0.153830", \ - "0.074117,0.075973,0.079269,0.085653,0.097714,0.121463,0.170511", \ - "0.094150,0.096313,0.100227,0.107713,0.121904,0.147865,0.195633", \ - "0.116470,0.118910,0.123338,0.131893,0.148024,0.177704,0.230137"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006321,0.006766,0.007576,0.009162,0.012216,0.018105,0.029695", \ - "0.006321,0.006765,0.007576,0.009162,0.012215,0.018103,0.029696", \ - "0.007561,0.007931,0.008613,0.009886,0.012583,0.018143,0.029695", \ - "0.011403,0.011699,0.012255,0.013389,0.015709,0.020518,0.030591", \ - "0.017176,0.017479,0.018036,0.019157,0.021386,0.025874,0.035130", \ - "0.024377,0.024717,0.025333,0.026594,0.029045,0.033735,0.042778", \ - "0.032968,0.033351,0.034031,0.035441,0.038275,0.043522,0.053052"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.032296,0.033948,0.036966,0.042925,0.054659,0.077757,0.123550", \ - "0.032201,0.033869,0.036904,0.042890,0.054646,0.077755,0.123533", \ - "0.031727,0.033447,0.036567,0.042687,0.054578,0.077736,0.123526", \ - "0.031265,0.032836,0.035744,0.041642,0.053848,0.077633,0.123485", \ - "0.036634,0.038044,0.040606,0.045373,0.055565,0.077142,0.123389", \ - "0.043679,0.045229,0.048020,0.053467,0.063748,0.082838,0.123785", \ - "0.051507,0.053232,0.056350,0.062376,0.073745,0.094370,0.131841"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010109,0.010573,0.011423,0.013115,0.016481,0.023187,0.036567", \ - "0.011509,0.011985,0.012854,0.014573,0.017976,0.024719,0.038132", \ - "0.014881,0.015444,0.016450,0.018383,0.022027,0.028852,0.042339", \ - "0.017766,0.018562,0.019963,0.022573,0.027253,0.035437,0.049929", \ - "0.018362,0.019459,0.021389,0.024956,0.031260,0.041849,0.059182", \ - "0.015962,0.017389,0.019915,0.024550,0.032692,0.046253,0.067794", \ - "0.010258,0.012042,0.015185,0.020930,0.031026,0.047804,0.074206"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.033917,0.035369,0.038032,0.043328,0.053840,0.074699,0.116190", \ - "0.034674,0.036142,0.038827,0.044162,0.054737,0.075681,0.117263", \ - "0.039597,0.041032,0.043670,0.048933,0.059428,0.080307,0.121867", \ - "0.051625,0.052907,0.055335,0.060305,0.070417,0.090837,0.131880", \ - "0.068070,0.069754,0.072723,0.078453,0.089209,0.109011,0.149103", \ - "0.086126,0.088082,0.091609,0.098367,0.111125,0.134316,0.174936", \ - "0.106397,0.108605,0.112618,0.120320,0.134836,0.161445,0.208116"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006332,0.006777,0.007586,0.009171,0.012221,0.018106,0.029696", \ - "0.006332,0.006777,0.007585,0.009170,0.012220,0.018105,0.029694", \ - "0.007584,0.007953,0.008631,0.009901,0.012592,0.018147,0.029696", \ - "0.011458,0.011757,0.012307,0.013431,0.015749,0.020538,0.030600", \ - "0.017290,0.017586,0.018136,0.019246,0.021470,0.025918,0.035159", \ - "0.024557,0.024896,0.025490,0.026714,0.029146,0.033795,0.042803", \ - "0.033184,0.033520,0.034198,0.035584,0.038346,0.043540,0.053076"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026015,0.027352,0.029797,0.034653,0.044269,0.063309,0.101136", \ - "0.025981,0.027323,0.029776,0.034640,0.044272,0.063314,0.101141", \ - "0.025700,0.027081,0.029589,0.034538,0.044242,0.063304,0.101125", \ - "0.026440,0.027635,0.029843,0.034361,0.043752,0.063220,0.101100", \ - "0.032618,0.033792,0.035910,0.040014,0.047685,0.064218,0.100958", \ - "0.039682,0.041041,0.043451,0.048138,0.056871,0.072502,0.103890", \ - "0.047324,0.048884,0.051635,0.056941,0.066870,0.084615,0.115201"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010108,0.010572,0.011423,0.013114,0.016480,0.023185,0.036564", \ - "0.011511,0.011987,0.012855,0.014575,0.017977,0.024720,0.038131", \ - "0.014924,0.015485,0.016490,0.018421,0.022059,0.028881,0.042366", \ - "0.017888,0.018680,0.020077,0.022679,0.027345,0.035515,0.049993", \ - "0.018463,0.019561,0.021488,0.025055,0.031358,0.041944,0.059267", \ - "0.015814,0.017252,0.019789,0.024442,0.032633,0.046246,0.067835", \ - "0.009533,0.011328,0.014523,0.020348,0.030545,0.047475,0.074053"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.038563,0.040330,0.043572,0.050022,0.062836,0.088265,0.138868", \ - "0.039163,0.040944,0.044211,0.050712,0.063604,0.089138,0.139828", \ - "0.043916,0.045655,0.048862,0.055273,0.068069,0.093535,0.144222", \ - "0.055814,0.057410,0.060383,0.066447,0.078804,0.103742,0.153830", \ - "0.074117,0.075973,0.079269,0.085653,0.097714,0.121463,0.170511", \ - "0.094150,0.096313,0.100227,0.107713,0.121904,0.147865,0.195633", \ - "0.116470,0.118910,0.123338,0.131893,0.148024,0.177704,0.230137"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.006321,0.006766,0.007576,0.009162,0.012216,0.018105,0.029695", \ - "0.006321,0.006765,0.007576,0.009162,0.012215,0.018103,0.029696", \ - "0.007561,0.007931,0.008613,0.009886,0.012583,0.018143,0.029695", \ - "0.011403,0.011699,0.012255,0.013389,0.015709,0.020518,0.030591", \ - "0.017176,0.017479,0.018036,0.019157,0.021386,0.025874,0.035130", \ - "0.024377,0.024717,0.025333,0.026594,0.029045,0.033735,0.042778", \ - "0.032968,0.033351,0.034031,0.035441,0.038275,0.043522,0.053052"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.032296,0.033948,0.036966,0.042925,0.054659,0.077757,0.123550", \ - "0.032201,0.033869,0.036904,0.042890,0.054646,0.077755,0.123533", \ - "0.031727,0.033447,0.036567,0.042687,0.054578,0.077736,0.123526", \ - "0.031265,0.032836,0.035744,0.041642,0.053848,0.077633,0.123485", \ - "0.036634,0.038044,0.040606,0.045373,0.055565,0.077142,0.123389", \ - "0.043679,0.045229,0.048020,0.053467,0.063748,0.082838,0.123785", \ - "0.051507,0.053232,0.056350,0.062376,0.073745,0.094370,0.131841"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010293,0.010758,0.011607,0.013302,0.016674,0.023392,0.036796", \ - "0.011699,0.012174,0.013042,0.014765,0.018172,0.024928,0.038364", \ - "0.015149,0.015705,0.016706,0.018630,0.022258,0.029089,0.042600", \ - "0.018222,0.019006,0.020387,0.022966,0.027607,0.035757,0.050234", \ - "0.018966,0.020050,0.021951,0.025477,0.031731,0.042265,0.059555", \ - "0.016549,0.017959,0.020447,0.025047,0.033160,0.046691,0.068209", \ - "0.010558,0.012337,0.015449,0.021186,0.031286,0.048088,0.074556"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.047101,0.048872,0.052111,0.058567,0.071384,0.096817,0.147374", \ - "0.047780,0.049566,0.052828,0.059318,0.072198,0.097718,0.148393", \ - "0.052399,0.054148,0.057382,0.063822,0.076638,0.102115,0.152770", \ - "0.063626,0.065305,0.068419,0.074642,0.087147,0.112180,0.162324", \ - "0.082760,0.084499,0.087617,0.093729,0.105428,0.129667,0.178875", \ - "0.104283,0.106337,0.110096,0.117245,0.130873,0.156030,0.203803", \ - "0.127975,0.130300,0.134498,0.142730,0.158267,0.187047,0.238303"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008449,0.008865,0.009628,0.011135,0.014102,0.019947,0.031537", \ - "0.008446,0.008865,0.009629,0.011135,0.014102,0.019947,0.031536", \ - "0.009697,0.009998,0.010581,0.011822,0.014458,0.019982,0.031537", \ - "0.013739,0.013991,0.014481,0.015509,0.017705,0.022386,0.032420", \ - "0.020150,0.020384,0.020826,0.021761,0.023755,0.027969,0.037042", \ - "0.028332,0.028560,0.029007,0.029977,0.032026,0.036256,0.044902", \ - "0.038022,0.038256,0.038715,0.039764,0.042024,0.046626,0.055531"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.036959,0.038596,0.041593,0.047535,0.059281,0.082444,0.128274", \ - "0.036921,0.038558,0.041561,0.047522,0.059273,0.082439,0.128315", \ - "0.036682,0.038352,0.041399,0.047425,0.059246,0.082442,0.128293", \ - "0.035653,0.037300,0.040343,0.046505,0.058884,0.082386,0.128266", \ - "0.039779,0.041100,0.043584,0.048766,0.059394,0.081693,0.128225", \ - "0.047145,0.048652,0.051377,0.056742,0.066922,0.086295,0.128209", \ - "0.055270,0.056977,0.060002,0.065938,0.077149,0.097542,0.135313"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013747,0.014265,0.015209,0.017065,0.020680,0.027702,0.041408", \ - "0.015079,0.015598,0.016547,0.018406,0.022029,0.029060,0.042770", \ - "0.020206,0.020728,0.021661,0.023449,0.027013,0.034013,0.047708", \ - "0.026177,0.026924,0.028276,0.030836,0.035514,0.043700,0.057583", \ - "0.029735,0.030715,0.032521,0.035883,0.042053,0.052894,0.071025", \ - "0.030700,0.031910,0.034135,0.038311,0.045958,0.059436,0.082063", \ - "0.028896,0.030341,0.032932,0.037890,0.047021,0.063119,0.090235"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.032309,0.033525,0.035747,0.040151,0.048861,0.066105,0.100388", \ - "0.033296,0.034535,0.036797,0.041273,0.050095,0.067489,0.101914", \ - "0.038512,0.039733,0.041966,0.046411,0.055227,0.072693,0.107292", \ - "0.048250,0.049598,0.051996,0.056540,0.065320,0.082672,0.117205", \ - "0.058506,0.060218,0.063222,0.068955,0.079588,0.098580,0.133075", \ - "0.070020,0.072069,0.075694,0.082554,0.095174,0.117532,0.156038", \ - "0.084309,0.086669,0.090882,0.098760,0.113214,0.138809,0.182551"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008877,0.009275,0.010005,0.011463,0.014369,0.020162,0.031737", \ - "0.008866,0.009265,0.009999,0.011457,0.014367,0.020160,0.031738", \ - "0.009474,0.009795,0.010400,0.011669,0.014365,0.020146,0.031738", \ - "0.014387,0.014773,0.015442,0.016720,0.019067,0.023295,0.032563", \ - "0.020738,0.021247,0.022094,0.023741,0.026752,0.031991,0.040875", \ - "0.028427,0.029046,0.030104,0.032153,0.035879,0.042334,0.053030", \ - "0.037355,0.038131,0.039491,0.042001,0.046517,0.054219,0.066883"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.017226,0.018277,0.020204,0.024065,0.031778,0.047129,0.077634", \ - "0.017239,0.018280,0.020214,0.024068,0.031776,0.047115,0.077632", \ - "0.017270,0.018309,0.020231,0.024084,0.031773,0.047097,0.077630", \ - "0.019408,0.020232,0.021811,0.025097,0.032086,0.047119,0.077645", \ - "0.025878,0.026746,0.028348,0.031512,0.037603,0.049818,0.077799", \ - "0.033781,0.034686,0.036365,0.039724,0.046248,0.058681,0.082757", \ - "0.042940,0.043807,0.045503,0.048991,0.055941,0.069254,0.094154"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013755,0.014275,0.015218,0.017075,0.020688,0.027710,0.041415", \ - "0.015133,0.015654,0.016600,0.018462,0.022083,0.029112,0.042822", \ - "0.020324,0.020845,0.021771,0.023561,0.027127,0.034128,0.047823", \ - "0.026305,0.027054,0.028410,0.030972,0.035646,0.043824,0.057704", \ - "0.029658,0.030647,0.032480,0.035873,0.042073,0.052957,0.071113", \ - "0.030082,0.031319,0.033572,0.037825,0.045577,0.059206,0.081990", \ - "0.027317,0.028806,0.031467,0.036531,0.045864,0.062270,0.089731"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.040097,0.041641,0.044462,0.050059,0.061118,0.082999,0.126487", \ - "0.040769,0.042340,0.045212,0.050904,0.062109,0.084177,0.127847", \ - "0.045361,0.046911,0.049752,0.055399,0.066599,0.088766,0.132656", \ - "0.054963,0.056526,0.059362,0.064969,0.076051,0.098076,0.141890", \ - "0.066257,0.068197,0.071681,0.078324,0.090785,0.113305,0.156906", \ - "0.078940,0.081246,0.085392,0.093254,0.107754,0.133796,0.179342", \ - "0.094855,0.097513,0.102282,0.111273,0.127758,0.157226,0.208201"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008877,0.009276,0.010006,0.011463,0.014368,0.020160,0.031736", \ - "0.008867,0.009265,0.010000,0.011460,0.014367,0.020160,0.031736", \ - "0.009439,0.009764,0.010375,0.011650,0.014355,0.020148,0.031737", \ - "0.014334,0.014714,0.015381,0.016659,0.019012,0.023242,0.032537", \ - "0.020737,0.021239,0.022083,0.023728,0.026735,0.031961,0.040835", \ - "0.028527,0.029165,0.030237,0.032275,0.035996,0.042421,0.053069", \ - "0.037667,0.038445,0.039806,0.042332,0.046854,0.054535,0.067126"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022765,0.024086,0.026522,0.031383,0.041085,0.060402,0.098855", \ - "0.022780,0.024092,0.026529,0.031388,0.041084,0.060407,0.098860", \ - "0.022785,0.024099,0.026531,0.031390,0.041085,0.060420,0.098854", \ - "0.023863,0.025021,0.027202,0.031675,0.041152,0.060421,0.098836", \ - "0.030255,0.031332,0.033333,0.037271,0.044826,0.061579,0.098861", \ - "0.038440,0.039550,0.041579,0.045684,0.053719,0.069046,0.101319", \ - "0.047919,0.048980,0.051038,0.055275,0.063713,0.079956,0.110582"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013988,0.014507,0.015449,0.017302,0.020916,0.027945,0.041670", \ - "0.015365,0.015884,0.016831,0.018689,0.022310,0.029345,0.043077", \ - "0.020559,0.021074,0.021987,0.023781,0.027351,0.034362,0.048077", \ - "0.026682,0.027424,0.028768,0.031304,0.035949,0.044091,0.057956", \ - "0.030219,0.031198,0.033009,0.036368,0.042523,0.053354,0.071458", \ - "0.030889,0.032109,0.034335,0.038536,0.046229,0.059775,0.082486", \ - "0.028451,0.029914,0.032530,0.037529,0.046769,0.063067,0.090427"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.047414,0.048955,0.051770,0.057361,0.068425,0.090336,0.133852", \ - "0.048264,0.049825,0.052677,0.058332,0.069505,0.091564,0.135234", \ - "0.052793,0.054344,0.057188,0.062837,0.074036,0.096206,0.140085", \ - "0.062379,0.063917,0.066730,0.072322,0.083420,0.105481,0.149315", \ - "0.075400,0.077227,0.080479,0.086739,0.098621,0.120654,0.164288", \ - "0.089817,0.091975,0.095809,0.103161,0.116904,0.141974,0.186678", \ - "0.107157,0.109593,0.114044,0.122513,0.138120,0.166443,0.216185"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010840,0.011237,0.011968,0.013425,0.016325,0.022094,0.033633", \ - "0.010831,0.011229,0.011962,0.013421,0.016323,0.022094,0.033633", \ - "0.011348,0.011682,0.012304,0.013596,0.016307,0.022082,0.033634", \ - "0.016958,0.017277,0.017849,0.018971,0.021110,0.025128,0.034417", \ - "0.024464,0.024868,0.025560,0.026942,0.029578,0.034366,0.042796", \ - "0.033445,0.033954,0.034824,0.036503,0.039711,0.045510,0.055525", \ - "0.043888,0.044506,0.045601,0.047678,0.051532,0.058391,0.070150"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026480,0.027816,0.030278,0.035192,0.044959,0.064360,0.102896", \ - "0.026480,0.027822,0.030283,0.035192,0.044957,0.064366,0.102904", \ - "0.026484,0.027823,0.030288,0.035196,0.044960,0.064383,0.102892", \ - "0.026866,0.028112,0.030473,0.035280,0.044991,0.064368,0.102896", \ - "0.032468,0.033595,0.035604,0.039445,0.047513,0.065019,0.102878", \ - "0.040361,0.041525,0.043654,0.047850,0.056018,0.071440,0.104696", \ - "0.049489,0.050710,0.052912,0.057326,0.065952,0.082356,0.113181"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011548,0.012098,0.013094,0.015033,0.018768,0.025934,0.039773", \ - "0.012888,0.013435,0.014427,0.016362,0.020093,0.027257,0.041098", \ - "0.018087,0.018659,0.019671,0.021571,0.025135,0.032196,0.045992", \ - "0.023198,0.024017,0.025482,0.028222,0.033182,0.041729,0.055892", \ - "0.025814,0.026877,0.028801,0.032423,0.038953,0.050271,0.068926", \ - "0.025639,0.026971,0.029368,0.033843,0.041959,0.056038,0.079370", \ - "0.022589,0.024154,0.026964,0.032271,0.041955,0.058812,0.086806"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.036059,0.037526,0.040203,0.045506,0.056001,0.076792,0.118150", \ - "0.036920,0.038414,0.041136,0.046527,0.057159,0.078122,0.119655", \ - "0.041955,0.043427,0.046119,0.051469,0.062085,0.083138,0.124879", \ - "0.051140,0.052679,0.055435,0.060802,0.071338,0.092245,0.133888", \ - "0.060824,0.062700,0.066009,0.072410,0.084411,0.106308,0.147767", \ - "0.072149,0.074334,0.078218,0.085582,0.099273,0.124081,0.168163", \ - "0.086468,0.088990,0.093436,0.101792,0.117107,0.144667,0.193161"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008372,0.008774,0.009514,0.010977,0.013874,0.019628,0.031128", \ - "0.008266,0.008681,0.009435,0.010923,0.013842,0.019613,0.031123", \ - "0.009338,0.009616,0.010154,0.011314,0.013864,0.019525,0.031113", \ - "0.014402,0.014779,0.015437,0.016690,0.019015,0.023179,0.032101", \ - "0.020904,0.021396,0.022245,0.023851,0.026810,0.031956,0.040748", \ - "0.028824,0.029433,0.030477,0.032480,0.036131,0.042430,0.052980", \ - "0.038086,0.038854,0.040164,0.042634,0.047045,0.054573,0.067009"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.018609,0.019884,0.022235,0.026953,0.036382,0.055181,0.092681", \ - "0.018635,0.019905,0.022249,0.026952,0.036374,0.055182,0.092696", \ - "0.018680,0.019942,0.022277,0.026970,0.036393,0.055178,0.092707", \ - "0.020370,0.021452,0.023491,0.027698,0.036573,0.055221,0.092693", \ - "0.025654,0.026775,0.028843,0.032946,0.040820,0.056981,0.092736", \ - "0.032396,0.033534,0.035632,0.039835,0.048117,0.064150,0.096025", \ - "0.040705,0.041809,0.043890,0.048120,0.056607,0.073154,0.105065"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011557,0.012107,0.013103,0.015041,0.018776,0.025942,0.039780", \ - "0.012941,0.013488,0.014480,0.016415,0.020147,0.027311,0.041150", \ - "0.018206,0.018776,0.019785,0.021678,0.025246,0.032310,0.046108", \ - "0.023341,0.024161,0.025625,0.028368,0.033323,0.041859,0.056012", \ - "0.025742,0.026820,0.028770,0.032416,0.038981,0.050343,0.069019", \ - "0.025034,0.026389,0.028822,0.033376,0.041602,0.055835,0.079313", \ - "0.021036,0.022650,0.025523,0.030958,0.040846,0.058017,0.086365"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.043977,0.045773,0.049050,0.055540,0.068360,0.093733,0.144174", \ - "0.044524,0.046350,0.049688,0.056286,0.069278,0.094866,0.145518", \ - "0.048978,0.050778,0.054073,0.060620,0.073601,0.099301,0.150205", \ - "0.057984,0.059800,0.063084,0.069578,0.082429,0.107952,0.158758", \ - "0.068528,0.070675,0.074490,0.081867,0.095860,0.121592,0.172136", \ - "0.080836,0.083315,0.087718,0.096120,0.111799,0.140499,0.192142", \ - "0.096654,0.099460,0.104474,0.113928,0.131350,0.162902,0.219002"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008375,0.008776,0.009516,0.010979,0.013875,0.019628,0.031125", \ - "0.008274,0.008690,0.009440,0.010927,0.013846,0.019615,0.031123", \ - "0.009299,0.009581,0.010122,0.011290,0.013854,0.019529,0.031114", \ - "0.014341,0.014710,0.015374,0.016629,0.018950,0.023125,0.032081", \ - "0.020872,0.021358,0.022209,0.023816,0.026779,0.031921,0.040704", \ - "0.028874,0.029488,0.030542,0.032547,0.036202,0.042492,0.053003", \ - "0.038254,0.039032,0.040366,0.042855,0.047286,0.054808,0.067211"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023441,0.024990,0.027842,0.033561,0.044986,0.067804,0.113158", \ - "0.023471,0.025010,0.027860,0.033569,0.044987,0.067800,0.113158", \ - "0.023509,0.025042,0.027883,0.033582,0.044991,0.067767,0.113139", \ - "0.024443,0.025838,0.028445,0.033867,0.045086,0.067794,0.113150", \ - "0.029717,0.031074,0.033597,0.038485,0.048033,0.068624,0.113155", \ - "0.036593,0.037934,0.040456,0.045492,0.055431,0.074540,0.114798", \ - "0.045088,0.046447,0.048897,0.053932,0.064047,0.083816,0.121923"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011790,0.012339,0.013332,0.015267,0.019000,0.026171,0.040028", \ - "0.013173,0.013718,0.014708,0.016640,0.020370,0.027539,0.041399", \ - "0.018457,0.019018,0.020020,0.021888,0.025462,0.032537,0.046355", \ - "0.023747,0.024554,0.026000,0.028717,0.033634,0.042129,0.056257", \ - "0.026347,0.027407,0.029340,0.032938,0.039455,0.050752,0.069376", \ - "0.025912,0.027240,0.029639,0.034132,0.042277,0.056424,0.079809", \ - "0.022283,0.023858,0.026676,0.032015,0.041798,0.058830,0.087031"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.053454,0.055247,0.058520,0.065014,0.077865,0.103321,0.153889", \ - "0.054228,0.056040,0.059355,0.065920,0.078894,0.104516,0.155261", \ - "0.058603,0.060405,0.063710,0.070268,0.083272,0.109014,0.159994", \ - "0.067595,0.069374,0.072630,0.079123,0.092016,0.117628,0.168543", \ - "0.079733,0.081753,0.085366,0.092371,0.105681,0.131179,0.181855", \ - "0.093711,0.095992,0.100135,0.108020,0.122959,0.150752,0.201781", \ - "0.111016,0.113573,0.118217,0.127142,0.143664,0.174105,0.229112"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010603,0.010999,0.011730,0.013188,0.016087,0.021834,0.033294", \ - "0.010499,0.010907,0.011655,0.013135,0.016058,0.021821,0.033292", \ - "0.011359,0.011656,0.012224,0.013436,0.016043,0.021737,0.033283", \ - "0.017483,0.017775,0.018301,0.019349,0.021396,0.025271,0.034234", \ - "0.025420,0.025783,0.026407,0.027677,0.030152,0.034730,0.042977", \ - "0.034929,0.035361,0.036119,0.037653,0.040638,0.046130,0.055861", \ - "0.045938,0.046484,0.047450,0.049332,0.052883,0.059372,0.070720"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.028258,0.029849,0.032760,0.038576,0.050131,0.073075,0.118695", \ - "0.028262,0.029856,0.032765,0.038575,0.050139,0.073075,0.118680", \ - "0.028277,0.029868,0.032777,0.038580,0.050134,0.073073,0.118682", \ - "0.028582,0.030122,0.032967,0.038691,0.050179,0.073080,0.118677", \ - "0.033167,0.034558,0.036996,0.041903,0.052049,0.073439,0.118680", \ - "0.039608,0.041042,0.043692,0.048888,0.059022,0.078364,0.119754", \ - "0.047601,0.049069,0.051742,0.057052,0.067458,0.087534,0.126109"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011781,0.012330,0.013322,0.015258,0.018991,0.026162,0.040021", \ - "0.013120,0.013664,0.014655,0.016586,0.020315,0.027485,0.041345", \ - "0.018338,0.018902,0.019906,0.021782,0.025351,0.032422,0.046238", \ - "0.023607,0.024413,0.025858,0.028577,0.033497,0.042001,0.056134", \ - "0.026404,0.027455,0.029365,0.032943,0.039424,0.050681,0.069276", \ - "0.026506,0.027818,0.030172,0.034592,0.042623,0.056623,0.079862", \ - "0.023803,0.025335,0.028093,0.033315,0.042878,0.059607,0.087469"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.043727,0.045194,0.047877,0.053199,0.063736,0.084616,0.126109", \ - "0.044769,0.046254,0.048969,0.054350,0.064984,0.086001,0.127629", \ - "0.049740,0.051216,0.053921,0.059295,0.069953,0.091068,0.132893", \ - "0.059111,0.060577,0.063247,0.068568,0.079131,0.100127,0.141903", \ - "0.070501,0.072244,0.075359,0.081376,0.092872,0.114152,0.155707", \ - "0.083375,0.085384,0.089016,0.095903,0.108895,0.132844,0.176069", \ - "0.099118,0.101395,0.105520,0.113376,0.127845,0.154371,0.201838"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010601,0.010997,0.011728,0.013187,0.016086,0.021833,0.033294", \ - "0.010491,0.010901,0.011649,0.013130,0.016055,0.021820,0.033292", \ - "0.011394,0.011685,0.012253,0.013456,0.016052,0.021733,0.033283", \ - "0.017557,0.017843,0.018369,0.019414,0.021460,0.025329,0.034256", \ - "0.025459,0.025815,0.026441,0.027706,0.030176,0.034772,0.043023", \ - "0.034847,0.035280,0.036036,0.037569,0.040552,0.046058,0.055835", \ - "0.045673,0.046215,0.047170,0.049053,0.052611,0.059112,0.070527"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022544,0.023853,0.026250,0.031041,0.040569,0.059520,0.097229", \ - "0.022554,0.023861,0.026256,0.031042,0.040572,0.059512,0.097221", \ - "0.022567,0.023873,0.026265,0.031049,0.040574,0.059513,0.097240", \ - "0.023333,0.024529,0.026740,0.031284,0.040637,0.059520,0.097219", \ - "0.028292,0.029465,0.031593,0.035762,0.043710,0.060649,0.097211", \ - "0.034678,0.035896,0.038095,0.042468,0.050935,0.067121,0.099769", \ - "0.042515,0.043753,0.045989,0.050479,0.059249,0.076100,0.108184"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011790,0.012339,0.013332,0.015267,0.019000,0.026171,0.040028", \ - "0.013173,0.013718,0.014708,0.016640,0.020370,0.027539,0.041399", \ - "0.018457,0.019018,0.020020,0.021888,0.025462,0.032537,0.046355", \ - "0.023747,0.024554,0.026000,0.028717,0.033634,0.042129,0.056257", \ - "0.026347,0.027407,0.029340,0.032938,0.039455,0.050752,0.069376", \ - "0.025912,0.027240,0.029639,0.034132,0.042277,0.056424,0.079809", \ - "0.022283,0.023858,0.026676,0.032015,0.041798,0.058830,0.087031"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.053454,0.055247,0.058520,0.065014,0.077865,0.103321,0.153889", \ - "0.054228,0.056040,0.059355,0.065920,0.078894,0.104516,0.155261", \ - "0.058603,0.060405,0.063710,0.070268,0.083272,0.109014,0.159994", \ - "0.067595,0.069374,0.072630,0.079123,0.092016,0.117628,0.168543", \ - "0.079733,0.081753,0.085366,0.092371,0.105681,0.131179,0.181855", \ - "0.093711,0.095992,0.100135,0.108020,0.122959,0.150752,0.201781", \ - "0.111016,0.113573,0.118217,0.127142,0.143664,0.174105,0.229112"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010603,0.010999,0.011730,0.013188,0.016087,0.021834,0.033294", \ - "0.010499,0.010907,0.011655,0.013135,0.016058,0.021821,0.033292", \ - "0.011359,0.011656,0.012224,0.013436,0.016043,0.021737,0.033283", \ - "0.017483,0.017775,0.018301,0.019349,0.021396,0.025271,0.034234", \ - "0.025420,0.025783,0.026407,0.027677,0.030152,0.034730,0.042977", \ - "0.034929,0.035361,0.036119,0.037653,0.040638,0.046130,0.055861", \ - "0.045938,0.046484,0.047450,0.049332,0.052883,0.059372,0.070720"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.028258,0.029849,0.032760,0.038576,0.050131,0.073075,0.118695", \ - "0.028262,0.029856,0.032765,0.038575,0.050139,0.073075,0.118680", \ - "0.028277,0.029868,0.032777,0.038580,0.050134,0.073073,0.118682", \ - "0.028582,0.030122,0.032967,0.038691,0.050179,0.073080,0.118677", \ - "0.033167,0.034558,0.036996,0.041903,0.052049,0.073439,0.118680", \ - "0.039608,0.041042,0.043692,0.048888,0.059022,0.078364,0.119754", \ - "0.047601,0.049069,0.051742,0.057052,0.067458,0.087534,0.126109"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012032,0.012578,0.013567,0.015498,0.019230,0.026405,0.040283", \ - "0.013413,0.013956,0.014944,0.016871,0.020598,0.027774,0.041653", \ - "0.018710,0.019265,0.020254,0.022105,0.025686,0.032769,0.046609", \ - "0.024154,0.024951,0.026379,0.029069,0.033950,0.042402,0.056509", \ - "0.026960,0.028006,0.029908,0.033465,0.039929,0.051166,0.069720", \ - "0.026822,0.028122,0.030470,0.034890,0.042963,0.057009,0.080297", \ - "0.023593,0.025132,0.027872,0.033113,0.042747,0.059640,0.087711"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.062020,0.063804,0.067067,0.073545,0.086397,0.111872,0.162471", \ - "0.062932,0.064733,0.068027,0.074558,0.087502,0.113104,0.163886", \ - "0.067301,0.069098,0.072394,0.078943,0.091931,0.117660,0.168641", \ - "0.076195,0.077973,0.081225,0.087714,0.100612,0.126244,0.177185", \ - "0.089318,0.091243,0.094694,0.101373,0.114278,0.139760,0.190494", \ - "0.104595,0.106733,0.110654,0.118176,0.132586,0.159722,0.210333", \ - "0.123103,0.125508,0.129861,0.138405,0.154261,0.183868,0.237970"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012932,0.013298,0.013978,0.015353,0.018141,0.023785,0.035189", \ - "0.012833,0.013210,0.013905,0.015301,0.018112,0.023773,0.035188", \ - "0.013642,0.013920,0.014442,0.015577,0.018085,0.023690,0.035180", \ - "0.020163,0.020401,0.020833,0.021722,0.023526,0.027170,0.036112", \ - "0.028965,0.029255,0.029749,0.030796,0.032941,0.037107,0.044925", \ - "0.039539,0.039869,0.040462,0.041704,0.044245,0.049161,0.058296", \ - "0.051742,0.052159,0.052906,0.054426,0.057409,0.063156,0.073719"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.032667,0.034274,0.037218,0.043074,0.054713,0.077790,0.123528", \ - "0.032672,0.034274,0.037218,0.043075,0.054714,0.077760,0.123553", \ - "0.032675,0.034280,0.037224,0.043081,0.054719,0.077761,0.123537", \ - "0.032801,0.034390,0.037303,0.043129,0.054725,0.077775,0.123515", \ - "0.036247,0.037615,0.040154,0.045317,0.055885,0.077937,0.123534", \ - "0.042728,0.044186,0.046862,0.052126,0.062338,0.081948,0.124180", \ - "0.050470,0.051974,0.054722,0.060146,0.070695,0.090913,0.129875"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.015003,0.015526,0.016470,0.018324,0.021936,0.028958,0.042666", \ - "0.016408,0.016929,0.017876,0.019733,0.023352,0.030381,0.044094", \ - "0.020317,0.020857,0.021832,0.023704,0.027344,0.034417,0.048177", \ - "0.025511,0.026165,0.027342,0.029601,0.033839,0.041591,0.055777", \ - "0.029537,0.030393,0.031930,0.034856,0.040200,0.049642,0.065980", \ - "0.031191,0.032280,0.034257,0.037964,0.044704,0.056478,0.076192", \ - "0.030059,0.031404,0.033865,0.038409,0.046636,0.060985,0.084766"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.037102,0.038299,0.040491,0.044855,0.053522,0.070735,0.104998", \ - "0.038365,0.039575,0.041786,0.046176,0.054885,0.072150,0.106460", \ - "0.043945,0.045152,0.047361,0.051756,0.060483,0.077793,0.112183", \ - "0.054216,0.055450,0.057678,0.062079,0.070783,0.088057,0.122432", \ - "0.066172,0.067737,0.070529,0.075887,0.085923,0.104152,0.138462", \ - "0.079438,0.081312,0.084656,0.091052,0.102873,0.124290,0.161735", \ - "0.095673,0.097828,0.101720,0.109044,0.122560,0.146907,0.189281"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008873,0.009272,0.010001,0.011460,0.014366,0.020162,0.031738", \ - "0.008871,0.009268,0.009998,0.011459,0.014367,0.020160,0.031736", \ - "0.009150,0.009528,0.010218,0.011607,0.014418,0.020163,0.031738", \ - "0.011555,0.011918,0.012574,0.013888,0.016481,0.021625,0.032229", \ - "0.015965,0.016365,0.017077,0.018454,0.021074,0.026085,0.035979", \ - "0.021679,0.022162,0.023007,0.024622,0.027610,0.032967,0.042826", \ - "0.028429,0.029008,0.029998,0.031923,0.035455,0.041606,0.052167"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.020125,0.021189,0.023139,0.027027,0.034775,0.050178,0.080760", \ - "0.020125,0.021186,0.023136,0.027032,0.034773,0.050162,0.080771", \ - "0.020138,0.021195,0.023147,0.027031,0.034779,0.050163,0.080777", \ - "0.021342,0.022261,0.023989,0.027512,0.034888,0.050171,0.080769", \ - "0.027425,0.028325,0.029973,0.033183,0.039312,0.052142,0.080815", \ - "0.034917,0.035902,0.037681,0.041171,0.047864,0.060424,0.085057", \ - "0.042999,0.044071,0.045985,0.049812,0.057155,0.070835,0.095940"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.015015,0.015535,0.016480,0.018333,0.021947,0.028968,0.042674", \ - "0.016463,0.016984,0.017930,0.019787,0.023406,0.030433,0.044146", \ - "0.020439,0.020979,0.021954,0.023823,0.027466,0.034537,0.048295", \ - "0.025671,0.026326,0.027500,0.029759,0.033989,0.041738,0.055918", \ - "0.029638,0.030499,0.032044,0.034963,0.040324,0.049776,0.066116", \ - "0.031007,0.032105,0.034102,0.037833,0.044630,0.056475,0.076248", \ - "0.029265,0.030630,0.033128,0.037742,0.046089,0.060605,0.084588"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.046300,0.047820,0.050601,0.056137,0.067132,0.088973,0.132434", \ - "0.047328,0.048860,0.051667,0.057239,0.068287,0.090191,0.133712", \ - "0.052383,0.053913,0.056720,0.062299,0.073373,0.095340,0.138958", \ - "0.062182,0.063711,0.066499,0.072060,0.083101,0.105022,0.148628", \ - "0.075191,0.077005,0.080262,0.086528,0.098367,0.120359,0.163869", \ - "0.089741,0.091885,0.095714,0.103064,0.116753,0.141776,0.186386", \ - "0.107662,0.110078,0.114517,0.122880,0.138424,0.166583,0.216131"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008873,0.009272,0.010002,0.011461,0.014365,0.020162,0.031736", \ - "0.008868,0.009269,0.009999,0.011459,0.014366,0.020161,0.031736", \ - "0.009144,0.009515,0.010208,0.011600,0.014414,0.020163,0.031738", \ - "0.011514,0.011872,0.012535,0.013850,0.016448,0.021599,0.032219", \ - "0.015909,0.016309,0.017020,0.018398,0.021025,0.026036,0.035946", \ - "0.021652,0.022138,0.022983,0.024607,0.027594,0.032946,0.042795", \ - "0.028466,0.029064,0.030057,0.031997,0.035540,0.041673,0.052206"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026488,0.027825,0.030284,0.035197,0.044958,0.064382,0.102897", \ - "0.026486,0.027830,0.030288,0.035196,0.044968,0.064355,0.102898", \ - "0.026491,0.027826,0.030284,0.035198,0.044970,0.064361,0.102912", \ - "0.026899,0.028143,0.030483,0.035287,0.044984,0.064375,0.102897", \ - "0.032441,0.033554,0.035597,0.039488,0.047573,0.065038,0.102903", \ - "0.040222,0.041408,0.043558,0.047773,0.055965,0.071461,0.104744", \ - "0.048748,0.050040,0.052318,0.056877,0.065654,0.082212,0.113196"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.015247,0.015766,0.016708,0.018560,0.022174,0.029202,0.042929", \ - "0.016695,0.017215,0.018159,0.020014,0.023633,0.030668,0.044400", \ - "0.020680,0.021217,0.022184,0.024049,0.027692,0.034771,0.048550", \ - "0.025972,0.026624,0.027792,0.030035,0.034252,0.041989,0.056173", \ - "0.030067,0.030919,0.032448,0.035345,0.040671,0.050088,0.066410", \ - "0.031612,0.032696,0.034668,0.038368,0.045112,0.056898,0.076620", \ - "0.030097,0.031438,0.033902,0.038462,0.046743,0.061184,0.085081"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.053553,0.055072,0.057860,0.063401,0.074406,0.096267,0.139760", \ - "0.054646,0.056175,0.058975,0.064542,0.075589,0.097505,0.141061", \ - "0.059716,0.061245,0.064051,0.069629,0.080701,0.102676,0.146311", \ - "0.069495,0.071017,0.073807,0.079365,0.090408,0.112351,0.155996", \ - "0.083739,0.085445,0.088536,0.094497,0.105795,0.127682,0.171194", \ - "0.099832,0.101835,0.105437,0.112389,0.125454,0.149697,0.193674", \ - "0.119050,0.121314,0.125433,0.133378,0.148241,0.175448,0.223909"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010835,0.011234,0.011964,0.013422,0.016322,0.022095,0.033633", \ - "0.010832,0.011231,0.011962,0.013421,0.016323,0.022095,0.033633", \ - "0.011090,0.011466,0.012162,0.013555,0.016366,0.022096,0.033633", \ - "0.013752,0.014087,0.014709,0.015961,0.018479,0.023516,0.034109", \ - "0.018744,0.019083,0.019704,0.020936,0.023359,0.028154,0.037882", \ - "0.025324,0.025721,0.026419,0.027801,0.030445,0.035417,0.044928", \ - "0.033090,0.033573,0.034375,0.035996,0.039079,0.044649,0.054644"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.030231,0.031582,0.034063,0.039007,0.048824,0.068290,0.106938", \ - "0.030233,0.031583,0.034061,0.039003,0.048819,0.068289,0.106948", \ - "0.030236,0.031586,0.034065,0.039004,0.048824,0.068291,0.106922", \ - "0.030344,0.031671,0.034126,0.039041,0.048832,0.068288,0.106934", \ - "0.034827,0.035903,0.037912,0.042006,0.050535,0.068591,0.106905", \ - "0.042542,0.043722,0.045886,0.050144,0.058381,0.074075,0.108242", \ - "0.051117,0.052407,0.054733,0.059306,0.068115,0.084707,0.115903"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012819,0.013368,0.014362,0.016297,0.020028,0.027191,0.041030", \ - "0.014204,0.014752,0.015745,0.017680,0.021411,0.028575,0.042417", \ - "0.018094,0.018665,0.019686,0.021658,0.025387,0.032573,0.046455", \ - "0.022867,0.023582,0.024849,0.027247,0.031668,0.039638,0.054027", \ - "0.026035,0.026977,0.028660,0.031812,0.037494,0.047339,0.064025", \ - "0.026611,0.027819,0.029979,0.033994,0.041187,0.053548,0.073856", \ - "0.024234,0.025745,0.028401,0.033323,0.042125,0.057226,0.081835"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.041922,0.043361,0.046003,0.051256,0.061696,0.082454,0.123793", \ - "0.043115,0.044568,0.047232,0.052518,0.063010,0.083831,0.125230", \ - "0.048572,0.050024,0.052685,0.057976,0.068486,0.089359,0.130854", \ - "0.058124,0.059582,0.062230,0.067509,0.077983,0.098803,0.140272", \ - "0.069333,0.071077,0.074202,0.080240,0.091723,0.112985,0.154337", \ - "0.082209,0.084197,0.087864,0.094788,0.107754,0.131683,0.174855", \ - "0.098416,0.100690,0.104832,0.112598,0.127060,0.153473,0.200776"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008318,0.008724,0.009468,0.010940,0.013850,0.019615,0.031123", \ - "0.008276,0.008686,0.009437,0.010918,0.013835,0.019607,0.031120", \ - "0.008670,0.009038,0.009713,0.011090,0.013888,0.019590,0.031123", \ - "0.011344,0.011696,0.012327,0.013598,0.016122,0.021196,0.031675", \ - "0.015970,0.016358,0.017052,0.018387,0.020939,0.025839,0.035567", \ - "0.021855,0.022319,0.023144,0.024723,0.027648,0.032891,0.042570", \ - "0.028790,0.029350,0.030332,0.032220,0.035673,0.041694,0.052071"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022123,0.023428,0.025814,0.030585,0.040081,0.058978,0.096614", \ - "0.022131,0.023435,0.025820,0.030588,0.040091,0.059002,0.096600", \ - "0.022149,0.023446,0.025830,0.030594,0.040086,0.058982,0.096601", \ - "0.023026,0.024205,0.026395,0.030871,0.040172,0.058988,0.096598", \ - "0.027958,0.029122,0.031250,0.035424,0.043404,0.060199,0.096601", \ - "0.034220,0.035454,0.037680,0.042045,0.050523,0.066727,0.099271", \ - "0.041371,0.042691,0.045012,0.049635,0.058582,0.075547,0.107682"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012828,0.013378,0.014371,0.016306,0.020037,0.027200,0.041038", \ - "0.014258,0.014806,0.015799,0.017734,0.021465,0.028629,0.042470", \ - "0.018216,0.018785,0.019806,0.021777,0.025506,0.032692,0.046572", \ - "0.023025,0.023739,0.025005,0.027400,0.031815,0.039782,0.054164", \ - "0.026146,0.027092,0.028778,0.031931,0.037613,0.047471,0.064160", \ - "0.026452,0.027672,0.029839,0.033886,0.041126,0.053553,0.073920", \ - "0.023477,0.025007,0.027710,0.032694,0.041616,0.056894,0.081687"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.051260,0.053021,0.056247,0.062661,0.075403,0.100727,0.151144", \ - "0.052219,0.053993,0.057249,0.063704,0.076509,0.101909,0.152400", \ - "0.057186,0.058962,0.062213,0.068679,0.081512,0.106984,0.157575", \ - "0.066424,0.068187,0.071414,0.077856,0.090645,0.116063,0.166639", \ - "0.078426,0.080447,0.084060,0.091083,0.104407,0.129808,0.180261", \ - "0.092338,0.094621,0.098781,0.106718,0.121648,0.149423,0.200350", \ - "0.110038,0.112590,0.117286,0.126138,0.142647,0.173013,0.227886"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.008321,0.008728,0.009471,0.010942,0.013851,0.019615,0.031122", \ - "0.008282,0.008693,0.009441,0.010922,0.013838,0.019609,0.031121", \ - "0.008663,0.009029,0.009708,0.011085,0.013885,0.019592,0.031124", \ - "0.011297,0.011652,0.012286,0.013556,0.016088,0.021171,0.031666", \ - "0.015896,0.016289,0.016982,0.018329,0.020886,0.025788,0.035537", \ - "0.021794,0.022257,0.023089,0.024677,0.027605,0.032854,0.042537", \ - "0.028783,0.029340,0.030328,0.032232,0.035709,0.041730,0.052104"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.027762,0.029341,0.032243,0.038036,0.049569,0.072474,0.117992", \ - "0.027768,0.029353,0.032251,0.038042,0.049559,0.072467,0.117996", \ - "0.027778,0.029355,0.032254,0.038044,0.049563,0.072466,0.117988", \ - "0.028120,0.029647,0.032469,0.038165,0.049610,0.072460,0.117985", \ - "0.032730,0.034149,0.036658,0.041553,0.051621,0.072867,0.117978", \ - "0.039117,0.040577,0.043214,0.048412,0.058549,0.077919,0.119132", \ - "0.046597,0.048127,0.050851,0.056276,0.066803,0.086936,0.125559"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013061,0.013609,0.014599,0.016531,0.020260,0.027428,0.041286", \ - "0.014490,0.015036,0.016027,0.017958,0.021688,0.028858,0.042717", \ - "0.018457,0.019024,0.020041,0.022002,0.025728,0.032921,0.046820", \ - "0.023346,0.024049,0.025304,0.027683,0.032077,0.040032,0.054413", \ - "0.026605,0.027544,0.029208,0.032330,0.037978,0.047782,0.064450", \ - "0.027109,0.028310,0.030447,0.034438,0.041633,0.053987,0.074283", \ - "0.024380,0.025884,0.028539,0.033463,0.042296,0.057478,0.082181"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.060689,0.062456,0.065689,0.072122,0.084908,0.110303,0.160853", \ - "0.061723,0.063503,0.066753,0.073217,0.086046,0.111504,0.162139", \ - "0.066712,0.068490,0.071747,0.078221,0.091083,0.116604,0.167340", \ - "0.075927,0.077684,0.080921,0.087365,0.100189,0.125671,0.176382", \ - "0.089088,0.091000,0.094450,0.101136,0.114009,0.139411,0.189973", \ - "0.104453,0.106620,0.110512,0.118032,0.132391,0.159462,0.209996", \ - "0.123446,0.125841,0.130178,0.138619,0.154446,0.183940,0.237855"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010544,0.010945,0.011683,0.013151,0.016062,0.021822,0.033291", \ - "0.010507,0.010912,0.011654,0.013129,0.016049,0.021815,0.033290", \ - "0.010830,0.011195,0.011881,0.013273,0.016091,0.021798,0.033292", \ - "0.013884,0.014197,0.014776,0.015975,0.018407,0.023374,0.033831", \ - "0.019299,0.019606,0.020168,0.021310,0.023601,0.028228,0.037765", \ - "0.026275,0.026613,0.027251,0.028517,0.031002,0.035737,0.044991", \ - "0.034463,0.034858,0.035589,0.037062,0.039923,0.045232,0.054928"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.032675,0.034278,0.037222,0.043085,0.054722,0.077775,0.123548", \ - "0.032678,0.034283,0.037224,0.043084,0.054714,0.077786,0.123584", \ - "0.032683,0.034284,0.037226,0.043084,0.054719,0.077771,0.123579", \ - "0.032807,0.034393,0.037308,0.043133,0.054738,0.077766,0.123554", \ - "0.036285,0.037671,0.040216,0.045361,0.055924,0.077931,0.123510", \ - "0.042651,0.044123,0.046797,0.052065,0.062288,0.082000,0.124211", \ - "0.050042,0.051572,0.054362,0.059856,0.070502,0.090797,0.129916"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013052,0.013599,0.014589,0.016522,0.020251,0.027419,0.041278", \ - "0.014436,0.014983,0.015973,0.017904,0.021633,0.028804,0.042665", \ - "0.018337,0.018903,0.019921,0.021884,0.025609,0.032801,0.046702", \ - "0.023188,0.023894,0.025150,0.027531,0.031928,0.039887,0.054276", \ - "0.026498,0.027433,0.029095,0.032213,0.037853,0.047650,0.064315", \ - "0.027259,0.028449,0.030582,0.034548,0.041689,0.053981,0.074217", \ - "0.025141,0.026606,0.029225,0.034075,0.042801,0.057808,0.082322"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.049569,0.051016,0.053671,0.058950,0.069438,0.090274,0.131731", \ - "0.050826,0.052282,0.054952,0.060254,0.070782,0.091665,0.133181", \ - "0.056296,0.057753,0.060425,0.065736,0.076285,0.097217,0.138805", \ - "0.065862,0.067310,0.069954,0.075238,0.085754,0.106651,0.148216", \ - "0.078458,0.080114,0.083068,0.088819,0.099896,0.120813,0.162258", \ - "0.092731,0.094617,0.098036,0.104561,0.117002,0.140249,0.182733", \ - "0.110138,0.112236,0.116080,0.123466,0.137281,0.162892,0.209298"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010544,0.010944,0.011681,0.013149,0.016062,0.021821,0.033292", \ - "0.010501,0.010905,0.011649,0.013125,0.016047,0.021814,0.033290", \ - "0.010834,0.011201,0.011887,0.013277,0.016094,0.021797,0.033292", \ - "0.013930,0.014247,0.014824,0.016011,0.018445,0.023400,0.033841", \ - "0.019376,0.019679,0.020240,0.021372,0.023659,0.028280,0.037798", \ - "0.026338,0.026685,0.027305,0.028557,0.031030,0.035768,0.045025", \ - "0.034464,0.034858,0.035580,0.037042,0.039897,0.045186,0.054902"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026122,0.027441,0.029862,0.034687,0.044282,0.063309,0.101121", \ - "0.026125,0.027444,0.029866,0.034691,0.044292,0.063325,0.101160", \ - "0.026130,0.027448,0.029870,0.034694,0.044282,0.063313,0.101127", \ - "0.026421,0.027697,0.030051,0.034795,0.044309,0.063315,0.101111", \ - "0.030855,0.032037,0.034171,0.038273,0.046580,0.064020,0.101111", \ - "0.037013,0.038250,0.040521,0.044934,0.053476,0.069747,0.103161", \ - "0.044119,0.045440,0.047810,0.052495,0.061525,0.078644,0.110896"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013061,0.013609,0.014599,0.016531,0.020260,0.027428,0.041286", \ - "0.014490,0.015036,0.016027,0.017958,0.021688,0.028858,0.042717", \ - "0.018457,0.019024,0.020041,0.022002,0.025728,0.032921,0.046820", \ - "0.023346,0.024049,0.025304,0.027683,0.032077,0.040032,0.054413", \ - "0.026605,0.027544,0.029208,0.032330,0.037978,0.047782,0.064450", \ - "0.027109,0.028310,0.030447,0.034438,0.041633,0.053987,0.074283", \ - "0.024380,0.025884,0.028539,0.033463,0.042296,0.057478,0.082181"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.060689,0.062456,0.065689,0.072122,0.084908,0.110303,0.160853", \ - "0.061723,0.063503,0.066753,0.073217,0.086046,0.111504,0.162139", \ - "0.066712,0.068490,0.071747,0.078221,0.091083,0.116604,0.167340", \ - "0.075927,0.077684,0.080921,0.087365,0.100189,0.125671,0.176382", \ - "0.089088,0.091000,0.094450,0.101136,0.114009,0.139411,0.189973", \ - "0.104453,0.106620,0.110512,0.118032,0.132391,0.159462,0.209996", \ - "0.123446,0.125841,0.130178,0.138619,0.154446,0.183940,0.237855"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010544,0.010945,0.011683,0.013151,0.016062,0.021822,0.033291", \ - "0.010507,0.010912,0.011654,0.013129,0.016049,0.021815,0.033290", \ - "0.010830,0.011195,0.011881,0.013273,0.016091,0.021798,0.033292", \ - "0.013884,0.014197,0.014776,0.015975,0.018407,0.023374,0.033831", \ - "0.019299,0.019606,0.020168,0.021310,0.023601,0.028228,0.037765", \ - "0.026275,0.026613,0.027251,0.028517,0.031002,0.035737,0.044991", \ - "0.034463,0.034858,0.035589,0.037062,0.039923,0.045232,0.054928"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.032675,0.034278,0.037222,0.043085,0.054722,0.077775,0.123548", \ - "0.032678,0.034283,0.037224,0.043084,0.054714,0.077786,0.123584", \ - "0.032683,0.034284,0.037226,0.043084,0.054719,0.077771,0.123579", \ - "0.032807,0.034393,0.037308,0.043133,0.054738,0.077766,0.123554", \ - "0.036285,0.037671,0.040216,0.045361,0.055924,0.077931,0.123510", \ - "0.042651,0.044123,0.046797,0.052065,0.062288,0.082000,0.124211", \ - "0.050042,0.051572,0.054362,0.059856,0.070502,0.090797,0.129916"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013302,0.013848,0.014835,0.016762,0.020490,0.027662,0.041540", \ - "0.014731,0.015276,0.016263,0.018190,0.021918,0.029091,0.042972", \ - "0.018708,0.019270,0.020281,0.022234,0.025956,0.033154,0.047074", \ - "0.023670,0.024367,0.025608,0.027970,0.032346,0.040286,0.054670", \ - "0.027075,0.028000,0.029643,0.032730,0.038341,0.048103,0.064749", \ - "0.027771,0.028955,0.031058,0.035008,0.042137,0.054423,0.074661", \ - "0.025303,0.026769,0.029386,0.034243,0.042992,0.058069,0.082678"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.069183,0.070946,0.074178,0.080614,0.093404,0.118812,0.169357", \ - "0.070275,0.072042,0.075284,0.081743,0.094570,0.120022,0.170614", \ - "0.075287,0.077058,0.080305,0.086777,0.099638,0.125146,0.175828", \ - "0.084460,0.086222,0.089450,0.095896,0.108727,0.134215,0.184894", \ - "0.098254,0.100082,0.103334,0.109749,0.122523,0.147919,0.198465", \ - "0.114745,0.116809,0.120547,0.127807,0.141660,0.168154,0.218488", \ - "0.134808,0.137068,0.141178,0.149274,0.164616,0.193402,0.246526"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012875,0.013247,0.013932,0.015316,0.018116,0.023773,0.035188", \ - "0.012840,0.013213,0.013904,0.015295,0.018103,0.023767,0.035185", \ - "0.013139,0.013479,0.014120,0.015432,0.018141,0.023750,0.035187", \ - "0.016296,0.016570,0.017099,0.018193,0.020503,0.025302,0.035719", \ - "0.022116,0.022366,0.022848,0.023844,0.025941,0.030356,0.039694", \ - "0.029807,0.030070,0.030581,0.031641,0.033812,0.038184,0.047115", \ - "0.038872,0.039177,0.039747,0.040946,0.043403,0.048173,0.057353"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.037132,0.038743,0.041702,0.047601,0.059300,0.082453,0.128335", \ - "0.037129,0.038745,0.041702,0.047598,0.059295,0.082449,0.128308", \ - "0.037136,0.038744,0.041700,0.047604,0.059303,0.082442,0.128309", \ - "0.037188,0.038792,0.041735,0.047621,0.059316,0.082458,0.128299", \ - "0.039605,0.041043,0.043693,0.049055,0.059975,0.082509,0.128286", \ - "0.045989,0.047454,0.050121,0.055402,0.065566,0.085749,0.128702", \ - "0.053333,0.054861,0.057657,0.063159,0.073848,0.094200,0.133763"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.016125,0.016721,0.017799,0.019895,0.023912,0.031526,0.045973", \ - "0.017397,0.017992,0.019070,0.021163,0.025176,0.032789,0.047235", \ - "0.022741,0.023276,0.024272,0.026254,0.030151,0.037682,0.052081", \ - "0.031144,0.031863,0.033153,0.035583,0.040052,0.047938,0.061923", \ - "0.037322,0.038236,0.039925,0.043096,0.048918,0.059230,0.076679", \ - "0.041046,0.042174,0.044200,0.048080,0.055232,0.067956,0.089625", \ - "0.042172,0.043507,0.045876,0.050386,0.058847,0.073948,0.099759"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.042055,0.043299,0.045565,0.050047,0.058868,0.076282,0.110837", \ - "0.043282,0.044550,0.046856,0.051410,0.060348,0.077908,0.112603", \ - "0.048905,0.050152,0.052433,0.056959,0.065895,0.083532,0.118405", \ - "0.058952,0.060215,0.062507,0.067016,0.075884,0.093414,0.128230", \ - "0.069855,0.071370,0.074090,0.079342,0.089263,0.107528,0.142259", \ - "0.079740,0.081548,0.084789,0.090964,0.102542,0.123649,0.161312", \ - "0.090030,0.092146,0.095932,0.103123,0.116409,0.140407,0.182576"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012158,0.012584,0.013355,0.014881,0.017884,0.023773,0.035393", \ - "0.012060,0.012491,0.013276,0.014821,0.017844,0.023753,0.035388", \ - "0.011599,0.012002,0.012754,0.014281,0.017401,0.023592,0.035356", \ - "0.016299,0.016671,0.017319,0.018569,0.020886,0.025271,0.035451", \ - "0.022962,0.023445,0.024255,0.025840,0.028743,0.033826,0.042561", \ - "0.030826,0.031426,0.032464,0.034441,0.038048,0.044300,0.054772", \ - "0.039918,0.040647,0.041937,0.044377,0.048733,0.056242,0.068687"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.018229,0.019279,0.021225,0.025113,0.032867,0.048335,0.079104", \ - "0.018253,0.019303,0.021241,0.025115,0.032872,0.048346,0.079111", \ - "0.018300,0.019339,0.021274,0.025137,0.032878,0.048340,0.079117", \ - "0.018902,0.019870,0.021693,0.025397,0.032976,0.048363,0.079101", \ - "0.023519,0.024450,0.026164,0.029556,0.036096,0.049701,0.079164", \ - "0.030015,0.030962,0.032728,0.036268,0.043220,0.056605,0.082693", \ - "0.039074,0.039960,0.041681,0.045158,0.052157,0.065879,0.092301"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.015103,0.015700,0.016775,0.018869,0.022878,0.030482,0.044909", \ - "0.016381,0.016973,0.018044,0.020126,0.024127,0.031720,0.046142", \ - "0.021853,0.022370,0.023339,0.025281,0.029130,0.036610,0.050964", \ - "0.029720,0.030444,0.031773,0.034259,0.038818,0.046825,0.060822", \ - "0.035237,0.036180,0.037908,0.041166,0.047131,0.057641,0.075322", \ - "0.038095,0.039269,0.041364,0.045382,0.052742,0.065757,0.087776", \ - "0.038204,0.039599,0.042055,0.046734,0.055468,0.070971,0.097286"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.048863,0.050404,0.053228,0.058825,0.069881,0.091760,0.135247", \ - "0.049915,0.051486,0.054361,0.060047,0.071252,0.093320,0.136988", \ - "0.055199,0.056746,0.059585,0.065234,0.076435,0.098600,0.142493", \ - "0.064263,0.065804,0.068626,0.074219,0.085307,0.107338,0.151159", \ - "0.074284,0.076034,0.079200,0.085368,0.097194,0.119389,0.163035", \ - "0.083540,0.085540,0.089143,0.096086,0.109320,0.133989,0.179161", \ - "0.093719,0.095987,0.100048,0.107850,0.122496,0.149587,0.198688"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011457,0.011889,0.012672,0.014216,0.017242,0.023149,0.034773", \ - "0.011317,0.011758,0.012554,0.014123,0.017177,0.023111,0.034758", \ - "0.011098,0.011486,0.012207,0.013689,0.016739,0.022926,0.034712", \ - "0.016040,0.016408,0.017054,0.018307,0.020625,0.024930,0.034915", \ - "0.022775,0.023255,0.024077,0.025662,0.028567,0.033635,0.042353", \ - "0.030831,0.031414,0.032458,0.034425,0.038009,0.044232,0.054646", \ - "0.040180,0.040919,0.042193,0.044623,0.048951,0.056401,0.068728"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022769,0.024086,0.026524,0.031386,0.041086,0.060422,0.098851", \ - "0.022786,0.024101,0.026532,0.031391,0.041085,0.060414,0.098859", \ - "0.022815,0.024125,0.026547,0.031399,0.041086,0.060409,0.098858", \ - "0.023131,0.024394,0.026745,0.031501,0.041132,0.060402,0.098858", \ - "0.026975,0.028158,0.030350,0.034576,0.043093,0.061014,0.098833", \ - "0.032586,0.033786,0.036012,0.040468,0.049271,0.066283,0.100743", \ - "0.040768,0.041894,0.044010,0.048337,0.057081,0.074432,0.108127"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.015391,0.015983,0.017055,0.019140,0.023144,0.030749,0.045189", \ - "0.016665,0.017254,0.018320,0.020398,0.024392,0.031987,0.046421", \ - "0.022095,0.022616,0.023588,0.025535,0.029387,0.036872,0.051243", \ - "0.030111,0.030831,0.032138,0.034604,0.039132,0.047102,0.061095", \ - "0.035824,0.036749,0.038452,0.041672,0.047589,0.058045,0.075672", \ - "0.038929,0.040074,0.042130,0.046096,0.053390,0.066332,0.088271", \ - "0.039370,0.040714,0.043121,0.047722,0.056369,0.071759,0.097964"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.056168,0.057709,0.060526,0.066114,0.077180,0.099091,0.142611", \ - "0.057401,0.058962,0.061814,0.067467,0.078640,0.100701,0.144373", \ - "0.062621,0.064174,0.067016,0.072665,0.083867,0.106035,0.149917", \ - "0.071622,0.073160,0.075978,0.081572,0.092681,0.114743,0.158588", \ - "0.082600,0.084286,0.087341,0.093293,0.104744,0.126753,0.170446", \ - "0.093059,0.094970,0.098407,0.105047,0.117848,0.141957,0.186546", \ - "0.104502,0.106660,0.110500,0.117876,0.131925,0.158315,0.206648"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013966,0.014365,0.015096,0.016557,0.019467,0.025244,0.036755", \ - "0.013822,0.014231,0.014977,0.016462,0.019401,0.025207,0.036741", \ - "0.013515,0.013886,0.014574,0.015999,0.018958,0.025023,0.036696", \ - "0.018827,0.019133,0.019670,0.020750,0.022822,0.026966,0.036886", \ - "0.026555,0.026936,0.027600,0.028913,0.031442,0.036052,0.044327", \ - "0.035698,0.036157,0.036997,0.038613,0.041690,0.047296,0.057090", \ - "0.046189,0.046790,0.047821,0.049828,0.053510,0.060185,0.071722"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026481,0.027819,0.030281,0.035194,0.044960,0.064360,0.102893", \ - "0.026482,0.027827,0.030289,0.035198,0.044963,0.064391,0.102899", \ - "0.026494,0.027834,0.030290,0.035201,0.044966,0.064384,0.102915", \ - "0.026626,0.027941,0.030367,0.035239,0.044981,0.064365,0.102896", \ - "0.029830,0.031008,0.033160,0.037484,0.046335,0.064658,0.102890", \ - "0.035247,0.036499,0.038792,0.043346,0.052236,0.069269,0.104323", \ - "0.042764,0.043992,0.046266,0.050815,0.059830,0.077398,0.111171"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012041,0.012680,0.013833,0.016062,0.020300,0.028247,0.043116", \ - "0.013451,0.014075,0.015208,0.017408,0.021613,0.029531,0.044381", \ - "0.019547,0.020118,0.021135,0.023052,0.026911,0.034546,0.049241", \ - "0.026852,0.027648,0.029078,0.031752,0.036594,0.044978,0.059244", \ - "0.031911,0.032929,0.034798,0.038262,0.044551,0.055498,0.073681", \ - "0.034408,0.035648,0.037894,0.042131,0.049842,0.063339,0.085923", \ - "0.034187,0.035661,0.038266,0.043185,0.052287,0.068294,0.095224"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.046198,0.047700,0.050431,0.055819,0.066414,0.087328,0.128840", \ - "0.047296,0.048826,0.051605,0.057081,0.067818,0.088900,0.130592", \ - "0.052778,0.054282,0.057034,0.062473,0.073203,0.094378,0.136278", \ - "0.062657,0.064177,0.066921,0.072328,0.082970,0.104012,0.145843", \ - "0.073523,0.075269,0.078384,0.084417,0.095888,0.117239,0.158916", \ - "0.083382,0.085388,0.088969,0.095827,0.108758,0.132653,0.176124", \ - "0.093820,0.096116,0.100184,0.107943,0.122355,0.148729,0.196232"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010703,0.011192,0.012075,0.013777,0.017023,0.023173,0.034962", \ - "0.010447,0.010956,0.011862,0.013604,0.016900,0.023098,0.034926", \ - "0.010902,0.011260,0.011922,0.013333,0.016339,0.022685,0.034782", \ - "0.016465,0.016832,0.017472,0.018707,0.020986,0.025174,0.034947", \ - "0.023532,0.023999,0.024798,0.026350,0.029176,0.034157,0.042721", \ - "0.031877,0.032469,0.033485,0.035396,0.038877,0.044930,0.055130", \ - "0.041545,0.042276,0.043539,0.045900,0.050095,0.057316,0.069352"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.019558,0.020822,0.023169,0.027874,0.037315,0.056175,0.093826", \ - "0.019610,0.020867,0.023205,0.027892,0.037308,0.056186,0.093818", \ - "0.019684,0.020937,0.023258,0.027931,0.037336,0.056171,0.093822", \ - "0.020162,0.021360,0.023596,0.028147,0.037432,0.056227,0.093816", \ - "0.024067,0.025211,0.027320,0.031485,0.039699,0.057013,0.093858", \ - "0.029475,0.030656,0.032840,0.037226,0.045848,0.062573,0.096029", \ - "0.037198,0.038342,0.040504,0.044868,0.053586,0.070707,0.103837"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011585,0.012210,0.013340,0.015529,0.019706,0.027571,0.042340", \ - "0.012997,0.013607,0.014714,0.016870,0.021008,0.028836,0.043580", \ - "0.018981,0.019557,0.020583,0.022501,0.026297,0.033842,0.048424", \ - "0.025782,0.026587,0.028041,0.030762,0.035673,0.044144,0.058425", \ - "0.030191,0.031230,0.033143,0.036690,0.043097,0.054211,0.072583", \ - "0.031850,0.033132,0.035450,0.039813,0.047710,0.061485,0.084367", \ - "0.030637,0.032140,0.034850,0.039938,0.049310,0.065698,0.093076"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.052634,0.054432,0.057710,0.064204,0.077019,0.102391,0.152832", \ - "0.053559,0.055391,0.058727,0.065323,0.078313,0.103901,0.154545", \ - "0.058709,0.060512,0.063808,0.070357,0.083337,0.109033,0.159940", \ - "0.067656,0.069451,0.072716,0.079198,0.092049,0.117584,0.168406", \ - "0.077587,0.079567,0.083142,0.090121,0.103499,0.129032,0.179649", \ - "0.086715,0.088926,0.092897,0.100581,0.115285,0.142961,0.194371", \ - "0.096898,0.099342,0.103707,0.112163,0.128083,0.157884,0.212764"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010123,0.010611,0.011486,0.013180,0.016414,0.022549,0.034317", \ - "0.009861,0.010362,0.011266,0.012994,0.016274,0.022458,0.034274", \ - "0.010586,0.010911,0.011549,0.012902,0.015820,0.022065,0.034131", \ - "0.016179,0.016549,0.017198,0.018425,0.020714,0.024856,0.034450", \ - "0.023291,0.023767,0.024572,0.026118,0.028943,0.033902,0.042468", \ - "0.031796,0.032387,0.033402,0.035305,0.038769,0.044791,0.054946", \ - "0.041752,0.042480,0.043725,0.046073,0.050225,0.057370,0.069304"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023451,0.024992,0.027846,0.033567,0.044996,0.067788,0.113143", \ - "0.023483,0.025021,0.027868,0.033574,0.045005,0.067800,0.113138", \ - "0.023532,0.025062,0.027897,0.033592,0.045006,0.067803,0.113158", \ - "0.023813,0.025301,0.028080,0.033692,0.045046,0.067772,0.113137", \ - "0.027151,0.028574,0.031151,0.036218,0.046547,0.068103,0.113143", \ - "0.031926,0.033367,0.036041,0.041396,0.051948,0.072326,0.114298", \ - "0.039077,0.040460,0.043048,0.048298,0.058847,0.079695,0.120165"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011856,0.012478,0.013604,0.015789,0.019960,0.027826,0.042610", \ - "0.013261,0.013868,0.014973,0.017125,0.021260,0.029089,0.043849", \ - "0.019241,0.019810,0.020826,0.022728,0.026534,0.034091,0.048691", \ - "0.026203,0.026999,0.028432,0.031120,0.035991,0.044423,0.058684", \ - "0.030824,0.031848,0.033727,0.037226,0.043577,0.054627,0.072935", \ - "0.032779,0.034030,0.036293,0.040585,0.048398,0.062076,0.084869", \ - "0.031944,0.033407,0.036042,0.041015,0.050261,0.066513,0.093766"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.062115,0.063906,0.067181,0.073673,0.086524,0.111981,0.162547", \ - "0.063265,0.065079,0.068392,0.074956,0.087929,0.113548,0.164288", \ - "0.068337,0.070141,0.073444,0.080002,0.093006,0.118750,0.169725", \ - "0.077184,0.078972,0.082243,0.088740,0.101636,0.127259,0.178192", \ - "0.088042,0.089951,0.093408,0.100135,0.113137,0.138641,0.189396", \ - "0.098387,0.100488,0.104280,0.111647,0.125915,0.153054,0.204040", \ - "0.109800,0.112116,0.116251,0.124251,0.139585,0.168717,0.222909"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013115,0.013558,0.014366,0.015951,0.019040,0.025009,0.036624", \ - "0.012828,0.013288,0.014123,0.015752,0.018894,0.024918,0.036578", \ - "0.013248,0.013580,0.014208,0.015535,0.018388,0.024517,0.036434", \ - "0.019607,0.019882,0.020376,0.021364,0.023324,0.027204,0.036728", \ - "0.028029,0.028357,0.028931,0.030100,0.032407,0.036774,0.044778", \ - "0.037907,0.038298,0.039042,0.040459,0.043240,0.048452,0.057809", \ - "0.049319,0.049823,0.050715,0.052481,0.055778,0.061895,0.072823"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.028263,0.029849,0.032760,0.038577,0.050141,0.073108,0.118700", \ - "0.028267,0.029856,0.032767,0.038578,0.050130,0.073079,0.118702", \ - "0.028290,0.029876,0.032781,0.038584,0.050135,0.073076,0.118678", \ - "0.028402,0.029967,0.032848,0.038624,0.050147,0.073076,0.118690", \ - "0.030952,0.032374,0.035000,0.040281,0.051034,0.073205,0.118668", \ - "0.035644,0.037160,0.039933,0.045420,0.056104,0.076664,0.119420", \ - "0.042114,0.043625,0.046399,0.051917,0.062788,0.083930,0.124683"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012320,0.012956,0.014104,0.016327,0.020559,0.028505,0.043388", \ - "0.013722,0.014345,0.015474,0.017669,0.021870,0.029788,0.044653", \ - "0.019805,0.020369,0.021376,0.023284,0.027153,0.034798,0.049512", \ - "0.027274,0.028055,0.029462,0.032107,0.036912,0.045257,0.059508", \ - "0.032540,0.033547,0.035375,0.038794,0.045023,0.055911,0.074035", \ - "0.035323,0.036531,0.038722,0.042892,0.050517,0.063932,0.086426", \ - "0.035484,0.036896,0.039423,0.044240,0.053225,0.069101,0.095904"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.054023,0.055509,0.058223,0.063595,0.074205,0.095183,0.136817", \ - "0.055307,0.056811,0.059558,0.064988,0.075697,0.096812,0.138578", \ - "0.060729,0.062228,0.064966,0.070393,0.081126,0.102341,0.144308", \ - "0.070584,0.072072,0.074791,0.080177,0.090828,0.111942,0.153868", \ - "0.082575,0.084221,0.087185,0.092954,0.104002,0.125129,0.166907", \ - "0.093830,0.095704,0.099070,0.105539,0.117955,0.141222,0.184091", \ - "0.105698,0.107819,0.111603,0.118857,0.132570,0.158179,0.204873"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013833,0.014272,0.015069,0.016643,0.019717,0.025675,0.037289", \ - "0.013559,0.014014,0.014842,0.016461,0.019588,0.025599,0.037253", \ - "0.013732,0.014069,0.014712,0.016075,0.018982,0.025180,0.037108", \ - "0.019919,0.020190,0.020680,0.021669,0.023622,0.027558,0.037251", \ - "0.028235,0.028564,0.029143,0.030326,0.032645,0.037023,0.045039", \ - "0.037905,0.038317,0.039060,0.040500,0.043312,0.048573,0.057993", \ - "0.048977,0.049478,0.050419,0.052210,0.055580,0.061805,0.072849"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.023385,0.024694,0.027099,0.031906,0.041493,0.060526,0.098364", \ - "0.023405,0.024711,0.027114,0.031918,0.041497,0.060512,0.098364", \ - "0.023443,0.024744,0.027142,0.031931,0.041492,0.060535,0.098353", \ - "0.023669,0.024950,0.027305,0.032034,0.041540,0.060521,0.098370", \ - "0.026939,0.028124,0.030253,0.034486,0.043079,0.060951,0.098365", \ - "0.032142,0.033396,0.035693,0.040221,0.049015,0.065811,0.100028", \ - "0.039287,0.040560,0.042886,0.047504,0.056535,0.073936,0.107235"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011856,0.012478,0.013604,0.015789,0.019960,0.027826,0.042610", \ - "0.013261,0.013868,0.014973,0.017125,0.021260,0.029089,0.043849", \ - "0.019241,0.019810,0.020826,0.022728,0.026534,0.034091,0.048691", \ - "0.026203,0.026999,0.028432,0.031120,0.035991,0.044423,0.058684", \ - "0.030824,0.031848,0.033727,0.037226,0.043577,0.054627,0.072935", \ - "0.032779,0.034030,0.036293,0.040585,0.048398,0.062076,0.084869", \ - "0.031944,0.033407,0.036042,0.041015,0.050261,0.066513,0.093766"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.062115,0.063906,0.067181,0.073673,0.086524,0.111981,0.162547", \ - "0.063265,0.065079,0.068392,0.074956,0.087929,0.113548,0.164288", \ - "0.068337,0.070141,0.073444,0.080002,0.093006,0.118750,0.169725", \ - "0.077184,0.078972,0.082243,0.088740,0.101636,0.127259,0.178192", \ - "0.088042,0.089951,0.093408,0.100135,0.113137,0.138641,0.189396", \ - "0.098387,0.100488,0.104280,0.111647,0.125915,0.153054,0.204040", \ - "0.109800,0.112116,0.116251,0.124251,0.139585,0.168717,0.222909"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013115,0.013558,0.014366,0.015951,0.019040,0.025009,0.036624", \ - "0.012828,0.013288,0.014123,0.015752,0.018894,0.024918,0.036578", \ - "0.013248,0.013580,0.014208,0.015535,0.018388,0.024517,0.036434", \ - "0.019607,0.019882,0.020376,0.021364,0.023324,0.027204,0.036728", \ - "0.028029,0.028357,0.028931,0.030100,0.032407,0.036774,0.044778", \ - "0.037907,0.038298,0.039042,0.040459,0.043240,0.048452,0.057809", \ - "0.049319,0.049823,0.050715,0.052481,0.055778,0.061895,0.072823"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.028263,0.029849,0.032760,0.038577,0.050141,0.073108,0.118700", \ - "0.028267,0.029856,0.032767,0.038578,0.050130,0.073079,0.118702", \ - "0.028290,0.029876,0.032781,0.038584,0.050135,0.073076,0.118678", \ - "0.028402,0.029967,0.032848,0.038624,0.050147,0.073076,0.118690", \ - "0.030952,0.032374,0.035000,0.040281,0.051034,0.073205,0.118668", \ - "0.035644,0.037160,0.039933,0.045420,0.056104,0.076664,0.119420", \ - "0.042114,0.043625,0.046399,0.051917,0.062788,0.083930,0.124683"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012146,0.012764,0.013886,0.016063,0.020231,0.028095,0.042892", \ - "0.013541,0.014147,0.015249,0.017398,0.021528,0.029358,0.044131", \ - "0.019511,0.020074,0.021077,0.022967,0.026786,0.034353,0.048973", \ - "0.026634,0.027419,0.028833,0.031490,0.036323,0.044708,0.058957", \ - "0.031475,0.032481,0.034328,0.037776,0.044067,0.055050,0.073294", \ - "0.033740,0.034966,0.037161,0.041376,0.049100,0.062677,0.085377", \ - "0.033302,0.034733,0.037284,0.042147,0.051242,0.067348,0.094463"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.070669,0.072455,0.075720,0.082200,0.095047,0.120516,0.171126", \ - "0.071958,0.073760,0.077056,0.083586,0.096528,0.122138,0.172886", \ - "0.077030,0.078831,0.082122,0.088671,0.101660,0.127387,0.178353", \ - "0.085785,0.087571,0.090841,0.097334,0.110246,0.135886,0.186848", \ - "0.097168,0.099002,0.102321,0.108845,0.121728,0.147243,0.198060", \ - "0.108485,0.110514,0.114169,0.121312,0.135236,0.161940,0.212646", \ - "0.120847,0.123057,0.127041,0.134734,0.149642,0.178257,0.231816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.015697,0.016109,0.016860,0.018353,0.021306,0.027125,0.038619", \ - "0.015410,0.015838,0.016619,0.018154,0.021159,0.027033,0.038574", \ - "0.015739,0.016049,0.016632,0.017885,0.020630,0.026632,0.038430", \ - "0.022373,0.022588,0.022983,0.023808,0.025528,0.029242,0.038701", \ - "0.031611,0.031867,0.032308,0.033259,0.035234,0.039173,0.046751", \ - "0.042460,0.042754,0.043343,0.044481,0.046839,0.051487,0.060251", \ - "0.054945,0.055327,0.056025,0.057452,0.060212,0.065636,0.075804"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.032673,0.034273,0.037218,0.043081,0.054716,0.077788,0.123549", \ - "0.032677,0.034277,0.037224,0.043079,0.054726,0.077804,0.123543", \ - "0.032680,0.034287,0.037225,0.043088,0.054722,0.077763,0.123526", \ - "0.032729,0.034323,0.037255,0.043100,0.054729,0.077801,0.123543", \ - "0.034506,0.035978,0.038697,0.044166,0.055221,0.077823,0.123558", \ - "0.039291,0.040820,0.043621,0.049136,0.059845,0.080628,0.123975", \ - "0.045433,0.046983,0.049825,0.055450,0.066474,0.087749,0.128743"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.017388,0.017984,0.019062,0.021157,0.025172,0.032786,0.047234", \ - "0.018711,0.019308,0.020384,0.022478,0.026492,0.034109,0.048557", \ - "0.022804,0.023380,0.024428,0.026485,0.030476,0.038092,0.052559", \ - "0.029351,0.030003,0.031177,0.033444,0.037730,0.045631,0.060172", \ - "0.035463,0.036275,0.037739,0.040519,0.045639,0.054842,0.071058", \ - "0.039515,0.040557,0.042417,0.045869,0.052191,0.063371,0.082428", \ - "0.041151,0.042401,0.044621,0.048792,0.056419,0.069878,0.092580"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.046962,0.048183,0.050406,0.054830,0.063591,0.080961,0.115488", \ - "0.048473,0.049699,0.051944,0.056392,0.065199,0.082621,0.117195", \ - "0.054461,0.055688,0.057933,0.062390,0.071215,0.088685,0.123335", \ - "0.064699,0.065932,0.068178,0.072628,0.081434,0.098875,0.133518", \ - "0.076789,0.078222,0.080797,0.085794,0.095341,0.113146,0.147751", \ - "0.088047,0.089745,0.092787,0.098633,0.109671,0.130100,0.167023", \ - "0.099928,0.101898,0.105430,0.112172,0.124756,0.147840,0.189079"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012119,0.012543,0.013317,0.014851,0.017863,0.023761,0.035391", \ - "0.012078,0.012504,0.013283,0.014823,0.017843,0.023750,0.035386", \ - "0.011823,0.012255,0.013041,0.014597,0.017660,0.023683,0.035374", \ - "0.013707,0.014107,0.014820,0.016239,0.019008,0.024405,0.035520", \ - "0.017908,0.018310,0.019031,0.020435,0.023144,0.028371,0.038599", \ - "0.023671,0.024133,0.024951,0.026539,0.029503,0.034914,0.044978", \ - "0.030477,0.031025,0.032007,0.033880,0.037323,0.043393,0.053999"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.021094,0.022167,0.024136,0.028067,0.035887,0.051434,0.082260", \ - "0.021103,0.022176,0.024146,0.028073,0.035895,0.051448,0.082253", \ - "0.021121,0.022191,0.024157,0.028076,0.035896,0.051451,0.082250", \ - "0.021423,0.022448,0.024357,0.028203,0.035942,0.051437,0.082241", \ - "0.025482,0.026436,0.028193,0.031606,0.038332,0.052382,0.082269", \ - "0.031699,0.032708,0.034561,0.038203,0.045277,0.058751,0.085258", \ - "0.039763,0.040795,0.042721,0.046476,0.053836,0.067889,0.094463"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.016389,0.016979,0.018055,0.020141,0.024145,0.031745,0.046170", \ - "0.017703,0.018293,0.019364,0.021446,0.025444,0.033040,0.047463", \ - "0.021821,0.022392,0.023426,0.025459,0.029418,0.037002,0.051434", \ - "0.028152,0.028815,0.029998,0.032278,0.036582,0.044505,0.059040", \ - "0.033774,0.034618,0.036119,0.038961,0.044177,0.053490,0.069804", \ - "0.037130,0.038217,0.040125,0.043686,0.050186,0.061596,0.080893", \ - "0.037850,0.039159,0.041459,0.045784,0.053660,0.067478,0.090587"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.055061,0.056582,0.059363,0.064898,0.075890,0.097730,0.141187", \ - "0.056471,0.058003,0.060808,0.066377,0.077426,0.099325,0.142842", \ - "0.062213,0.063744,0.066548,0.072127,0.083199,0.105163,0.148776", \ - "0.071434,0.072965,0.075762,0.081320,0.092360,0.114288,0.157897", \ - "0.082437,0.084123,0.087164,0.093112,0.104545,0.126489,0.170027", \ - "0.092871,0.094781,0.098219,0.104887,0.117641,0.141709,0.186241", \ - "0.104410,0.106568,0.110419,0.117825,0.131857,0.158149,0.206427"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.011385,0.011821,0.012610,0.014165,0.017203,0.023126,0.034762", \ - "0.011312,0.011749,0.012544,0.014109,0.017163,0.023101,0.034753", \ - "0.011149,0.011578,0.012361,0.013919,0.016988,0.023026,0.034737", \ - "0.013253,0.013642,0.014350,0.015754,0.018503,0.023864,0.034923", \ - "0.017611,0.018010,0.018726,0.020125,0.022805,0.027979,0.038136", \ - "0.023491,0.023950,0.024766,0.026357,0.029311,0.034673,0.044654", \ - "0.030444,0.030990,0.031980,0.033846,0.037282,0.043323,0.053841"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026490,0.027828,0.030290,0.035196,0.044955,0.064378,0.102901", \ - "0.026493,0.027828,0.030289,0.035196,0.044959,0.064357,0.102888", \ - "0.026502,0.027836,0.030291,0.035198,0.044957,0.064372,0.102894", \ - "0.026631,0.027944,0.030371,0.035239,0.044979,0.064376,0.102883", \ - "0.029799,0.030999,0.033174,0.037508,0.046345,0.064681,0.102880", \ - "0.035170,0.036423,0.038729,0.043285,0.052185,0.069273,0.104346", \ - "0.042314,0.043580,0.045909,0.050540,0.059652,0.077330,0.111168"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.016673,0.017263,0.018332,0.020413,0.024412,0.032011,0.046450", \ - "0.017986,0.018575,0.019641,0.021717,0.025710,0.033305,0.047742", \ - "0.022091,0.022659,0.023691,0.025723,0.029681,0.037267,0.051715", \ - "0.028479,0.029134,0.030312,0.032582,0.036866,0.044778,0.059318", \ - "0.034226,0.035052,0.036538,0.039353,0.044544,0.053816,0.070112", \ - "0.037753,0.038816,0.040699,0.044221,0.050671,0.062028,0.081273", \ - "0.038701,0.039975,0.042228,0.046506,0.054310,0.068049,0.091087"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.062310,0.063829,0.066613,0.072152,0.083158,0.105019,0.148511", \ - "0.063781,0.065309,0.068110,0.073675,0.084719,0.106631,0.150176", \ - "0.069536,0.071068,0.073870,0.079449,0.090522,0.112495,0.156138", \ - "0.078744,0.080270,0.083066,0.088625,0.099672,0.121623,0.165252", \ - "0.090435,0.092065,0.095012,0.100775,0.111911,0.133827,0.177391", \ - "0.101969,0.103802,0.107091,0.113522,0.125930,0.149530,0.193593", \ - "0.114640,0.116663,0.120331,0.127418,0.140951,0.166690,0.214260"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013893,0.014295,0.015033,0.016505,0.019429,0.025222,0.036746", \ - "0.013816,0.014222,0.014967,0.016449,0.019387,0.025197,0.036736", \ - "0.013618,0.014023,0.014763,0.016248,0.019209,0.025122,0.036721", \ - "0.015828,0.016176,0.016819,0.018117,0.020724,0.025933,0.036901", \ - "0.020620,0.020948,0.021567,0.022807,0.025270,0.030185,0.040130", \ - "0.027216,0.027582,0.028252,0.029601,0.032209,0.037180,0.046825", \ - "0.035002,0.035454,0.036254,0.037813,0.040796,0.046290,0.056281"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.030231,0.031579,0.034061,0.039003,0.048819,0.068287,0.106942", \ - "0.030236,0.031584,0.034063,0.039005,0.048826,0.068299,0.106939", \ - "0.030232,0.031586,0.034067,0.039004,0.048820,0.068290,0.106938", \ - "0.030284,0.031628,0.034095,0.039019,0.048833,0.068290,0.106932", \ - "0.032703,0.033906,0.036128,0.040607,0.049717,0.068432,0.106924", \ - "0.038101,0.039376,0.041694,0.046278,0.055195,0.072360,0.108004", \ - "0.044983,0.046283,0.048657,0.053363,0.062606,0.080357,0.114274"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013350,0.013986,0.015133,0.017353,0.021581,0.029518,0.044380", \ - "0.014737,0.015367,0.016506,0.018714,0.022927,0.030849,0.045702", \ - "0.019145,0.019756,0.020854,0.022968,0.027042,0.034870,0.049692", \ - "0.025324,0.026042,0.027315,0.029750,0.034256,0.042473,0.057347", \ - "0.030501,0.031412,0.033043,0.036097,0.041642,0.051358,0.068090", \ - "0.033351,0.034522,0.036582,0.040394,0.047279,0.059206,0.079060", \ - "0.033553,0.034981,0.037457,0.042058,0.050362,0.064760,0.088537"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.052202,0.053667,0.056339,0.061647,0.072162,0.093024,0.134512", \ - "0.053636,0.055114,0.057808,0.063149,0.073718,0.094635,0.136180", \ - "0.059544,0.061020,0.063716,0.069065,0.079656,0.100629,0.142260", \ - "0.069615,0.071091,0.073785,0.079126,0.089690,0.110630,0.152258", \ - "0.081538,0.083185,0.086146,0.091915,0.102967,0.123996,0.165562", \ - "0.092633,0.094521,0.097900,0.104415,0.116800,0.140073,0.182867", \ - "0.104474,0.106617,0.110413,0.117733,0.131471,0.157023,0.203678"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010615,0.011109,0.011996,0.013709,0.016970,0.023137,0.034943", \ - "0.010485,0.010984,0.011886,0.013613,0.016895,0.023086,0.034916", \ - "0.010554,0.010994,0.011801,0.013423,0.016619,0.022877,0.034845", \ - "0.013272,0.013648,0.014339,0.015723,0.018456,0.023845,0.034953", \ - "0.018045,0.018435,0.019131,0.020494,0.023119,0.028202,0.038278", \ - "0.024211,0.024655,0.025458,0.027003,0.029880,0.035118,0.044936", \ - "0.031415,0.031963,0.032921,0.034738,0.038080,0.043962,0.054267"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.022997,0.024298,0.026690,0.031474,0.041010,0.059976,0.097747", \ - "0.023017,0.024315,0.026704,0.031478,0.041017,0.059980,0.097730", \ - "0.023036,0.024337,0.026722,0.031488,0.041011,0.059975,0.097741", \ - "0.023277,0.024549,0.026891,0.031602,0.041058,0.059987,0.097725", \ - "0.026573,0.027763,0.029929,0.034164,0.042704,0.060486,0.097741", \ - "0.031749,0.033006,0.035304,0.039818,0.048609,0.065412,0.099504", \ - "0.038546,0.039852,0.042234,0.046908,0.056006,0.073461,0.106742"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.012913,0.013533,0.014655,0.016833,0.020995,0.028846,0.043606", \ - "0.014297,0.014911,0.016023,0.018185,0.022327,0.030157,0.044902", \ - "0.018634,0.019236,0.020319,0.022411,0.026420,0.034158,0.048871", \ - "0.024535,0.025255,0.026538,0.028979,0.033490,0.041691,0.056512", \ - "0.029219,0.030148,0.031808,0.034917,0.040533,0.050335,0.067121", \ - "0.031395,0.032594,0.034707,0.038612,0.045641,0.057770,0.077819", \ - "0.030711,0.032175,0.034741,0.039486,0.048024,0.062740,0.086868"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.059919,0.061681,0.064908,0.071321,0.084061,0.109384,0.159796", \ - "0.061254,0.063030,0.066283,0.072735,0.085540,0.110936,0.161414", \ - "0.066917,0.068691,0.071942,0.078405,0.091236,0.116700,0.167299", \ - "0.076029,0.077799,0.081039,0.087480,0.100275,0.125702,0.176292", \ - "0.086849,0.088757,0.092203,0.098957,0.111923,0.137305,0.187807", \ - "0.097056,0.099169,0.102970,0.110377,0.124604,0.151718,0.202600", \ - "0.108450,0.110780,0.114935,0.122993,0.138341,0.167414,0.221546"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.010039,0.010528,0.011403,0.013108,0.016354,0.022507,0.034296", \ - "0.009892,0.010388,0.011276,0.012993,0.016260,0.022441,0.034261", \ - "0.010054,0.010480,0.011273,0.012862,0.016024,0.022240,0.034189", \ - "0.012884,0.013258,0.013936,0.015299,0.017997,0.023342,0.034360", \ - "0.017733,0.018126,0.018824,0.020175,0.022780,0.027803,0.037807", \ - "0.023976,0.024421,0.025223,0.026774,0.029640,0.034843,0.044597", \ - "0.031317,0.031864,0.032820,0.034636,0.037963,0.043812,0.054046"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.027760,0.029349,0.032248,0.038039,0.049568,0.072468,0.117979", \ - "0.027772,0.029356,0.032253,0.038041,0.049559,0.072452,0.117978", \ - "0.027785,0.029364,0.032261,0.038046,0.049561,0.072452,0.117991", \ - "0.027905,0.029471,0.032336,0.038085,0.049575,0.072455,0.117979", \ - "0.030543,0.031978,0.034600,0.039856,0.050539,0.072617,0.117959", \ - "0.035144,0.036662,0.039432,0.044901,0.055588,0.076176,0.118772", \ - "0.041303,0.042849,0.045672,0.051242,0.062188,0.083350,0.124110"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013181,0.013799,0.014918,0.017090,0.021249,0.029101,0.043876", \ - "0.014562,0.015174,0.016283,0.018440,0.022579,0.030411,0.045172", \ - "0.018896,0.019496,0.020575,0.022655,0.026666,0.034410,0.049139", \ - "0.024870,0.025582,0.026853,0.029273,0.033765,0.041955,0.056778", \ - "0.029698,0.030615,0.032253,0.035326,0.040906,0.050658,0.067423", \ - "0.032067,0.033246,0.035324,0.039178,0.046147,0.058205,0.078195", \ - "0.031652,0.033069,0.035578,0.040260,0.048704,0.063325,0.087364"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.069352,0.071115,0.074351,0.080782,0.093567,0.118958,0.169498", \ - "0.070758,0.072533,0.075788,0.082250,0.095077,0.120526,0.171141", \ - "0.076436,0.078214,0.081470,0.087946,0.100810,0.126330,0.177037", \ - "0.085514,0.087287,0.090539,0.096991,0.109822,0.135315,0.186016", \ - "0.096966,0.098815,0.102140,0.108640,0.121476,0.146908,0.197509", \ - "0.108287,0.110315,0.113959,0.121122,0.135016,0.161672,0.212297", \ - "0.120759,0.122959,0.126949,0.134666,0.149519,0.178085,0.231570"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013016,0.013461,0.014275,0.015873,0.018977,0.024967,0.036601", \ - "0.012854,0.013308,0.014132,0.015749,0.018879,0.024900,0.036566", \ - "0.012871,0.013278,0.014034,0.015562,0.018621,0.024696,0.036493", \ - "0.015910,0.016238,0.016840,0.018077,0.020609,0.025745,0.036655", \ - "0.021407,0.021711,0.022261,0.023394,0.025699,0.030406,0.040128", \ - "0.028613,0.028937,0.029531,0.030739,0.033146,0.037814,0.047119", \ - "0.037051,0.037450,0.038138,0.039519,0.042234,0.047342,0.056908"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.032676,0.034280,0.037223,0.043081,0.054719,0.077763,0.123528", \ - "0.032680,0.034283,0.037224,0.043083,0.054716,0.077758,0.123555", \ - "0.032688,0.034286,0.037225,0.043085,0.054720,0.077786,0.123532", \ - "0.032730,0.034327,0.037257,0.043097,0.054722,0.077760,0.123521", \ - "0.034540,0.036010,0.038726,0.044184,0.055230,0.077834,0.123487", \ - "0.039226,0.040762,0.043562,0.049077,0.059802,0.080650,0.123979", \ - "0.045163,0.046728,0.049602,0.055281,0.066357,0.087677,0.128755"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013627,0.014260,0.015403,0.017617,0.021838,0.029776,0.044652", \ - "0.015011,0.015639,0.016774,0.018976,0.023184,0.031107,0.045974", \ - "0.019414,0.020023,0.021114,0.023217,0.027293,0.035126,0.049963", \ - "0.025660,0.026367,0.027633,0.030043,0.034534,0.042739,0.057616", \ - "0.030975,0.031870,0.033483,0.036504,0.042008,0.051679,0.068393", \ - "0.034017,0.035164,0.037191,0.040952,0.047776,0.059637,0.079433", \ - "0.034481,0.035855,0.038275,0.042812,0.051033,0.065341,0.089030"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.059954,0.061414,0.064091,0.069404,0.079951,0.100873,0.142466", \ - "0.061447,0.062917,0.065610,0.070951,0.081533,0.102503,0.144141", \ - "0.067371,0.068841,0.071538,0.076889,0.087498,0.108522,0.150249", \ - "0.077432,0.078901,0.081591,0.086927,0.097516,0.118513,0.160248", \ - "0.090174,0.091751,0.094595,0.100162,0.110881,0.131885,0.173534", \ - "0.102545,0.104332,0.107519,0.113749,0.125748,0.148513,0.190832", \ - "0.115638,0.117635,0.121238,0.128159,0.141325,0.166284,0.212214"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013737,0.014179,0.014986,0.016572,0.019662,0.025639,0.037270", \ - "0.013598,0.014048,0.014865,0.016469,0.019583,0.025587,0.037244", \ - "0.013528,0.013933,0.014692,0.016223,0.019287,0.025374,0.037172", \ - "0.016390,0.016715,0.017320,0.018570,0.021111,0.026289,0.037270", \ - "0.021751,0.022052,0.022605,0.023742,0.026069,0.030821,0.040615", \ - "0.028833,0.029154,0.029751,0.030963,0.033376,0.038096,0.047466", \ - "0.037083,0.037486,0.038185,0.039580,0.042321,0.047488,0.057130"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.026931,0.028253,0.030692,0.035549,0.045199,0.064318,0.102280", \ - "0.026937,0.028262,0.030696,0.035554,0.045196,0.064324,0.102270", \ - "0.026950,0.028274,0.030709,0.035562,0.045200,0.064322,0.102272", \ - "0.027066,0.028379,0.030792,0.035611,0.045231,0.064331,0.102272", \ - "0.029634,0.030830,0.033018,0.037397,0.046287,0.064592,0.102261", \ - "0.034827,0.036109,0.038434,0.043004,0.051861,0.068789,0.103570", \ - "0.041446,0.042778,0.045196,0.049958,0.059204,0.076802,0.110214"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013181,0.013799,0.014918,0.017090,0.021249,0.029101,0.043876", \ - "0.014562,0.015174,0.016283,0.018440,0.022579,0.030411,0.045172", \ - "0.018896,0.019496,0.020575,0.022655,0.026666,0.034410,0.049139", \ - "0.024870,0.025582,0.026853,0.029273,0.033765,0.041955,0.056778", \ - "0.029698,0.030615,0.032253,0.035326,0.040906,0.050658,0.067423", \ - "0.032067,0.033246,0.035324,0.039178,0.046147,0.058205,0.078195", \ - "0.031652,0.033069,0.035578,0.040260,0.048704,0.063325,0.087364"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.069352,0.071115,0.074351,0.080782,0.093567,0.118958,0.169498", \ - "0.070758,0.072533,0.075788,0.082250,0.095077,0.120526,0.171141", \ - "0.076436,0.078214,0.081470,0.087946,0.100810,0.126330,0.177037", \ - "0.085514,0.087287,0.090539,0.096991,0.109822,0.135315,0.186016", \ - "0.096966,0.098815,0.102140,0.108640,0.121476,0.146908,0.197509", \ - "0.108287,0.110315,0.113959,0.121122,0.135016,0.161672,0.212297", \ - "0.120759,0.122959,0.126949,0.134666,0.149519,0.178085,0.231570"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013016,0.013461,0.014275,0.015873,0.018977,0.024967,0.036601", \ - "0.012854,0.013308,0.014132,0.015749,0.018879,0.024900,0.036566", \ - "0.012871,0.013278,0.014034,0.015562,0.018621,0.024696,0.036493", \ - "0.015910,0.016238,0.016840,0.018077,0.020609,0.025745,0.036655", \ - "0.021407,0.021711,0.022261,0.023394,0.025699,0.030406,0.040128", \ - "0.028613,0.028937,0.029531,0.030739,0.033146,0.037814,0.047119", \ - "0.037051,0.037450,0.038138,0.039519,0.042234,0.047342,0.056908"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.032676,0.034280,0.037223,0.043081,0.054719,0.077763,0.123528", \ - "0.032680,0.034283,0.037224,0.043083,0.054716,0.077758,0.123555", \ - "0.032688,0.034286,0.037225,0.043085,0.054720,0.077786,0.123532", \ - "0.032730,0.034327,0.037257,0.043097,0.054722,0.077760,0.123521", \ - "0.034540,0.036010,0.038726,0.044184,0.055230,0.077834,0.123487", \ - "0.039226,0.040762,0.043562,0.049077,0.059802,0.080650,0.123979", \ - "0.045163,0.046728,0.049602,0.055281,0.066357,0.087677,0.128755"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.013466,0.014082,0.015197,0.017364,0.021518,0.029370,0.044158", \ - "0.014845,0.015455,0.016560,0.018713,0.022847,0.030680,0.045454", \ - "0.019178,0.019772,0.020845,0.022914,0.026927,0.034677,0.049421", \ - "0.025218,0.025924,0.027181,0.029582,0.034056,0.042231,0.057057", \ - "0.030189,0.031096,0.032709,0.035751,0.041285,0.050995,0.067737", \ - "0.032766,0.033916,0.035958,0.039761,0.046670,0.058654,0.078581", \ - "0.032604,0.033995,0.036452,0.041051,0.049410,0.063929,0.087873"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.077841,0.079600,0.082833,0.089271,0.102055,0.127460,0.178001", \ - "0.079294,0.081068,0.084318,0.090766,0.103590,0.129043,0.179619", \ - "0.085002,0.086778,0.090027,0.096497,0.109352,0.134867,0.185534", \ - "0.094056,0.095828,0.099074,0.105529,0.118370,0.143862,0.194535", \ - "0.105737,0.107515,0.110764,0.117210,0.130008,0.155445,0.206055", \ - "0.118049,0.120014,0.123547,0.130514,0.144123,0.170355,0.220847", \ - "0.131355,0.133482,0.137341,0.144837,0.159347,0.187421,0.240360"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.015597,0.016011,0.016769,0.018273,0.021243,0.027083,0.038597", \ - "0.015434,0.015856,0.016625,0.018148,0.021144,0.027015,0.038562", \ - "0.015412,0.015792,0.016500,0.017942,0.020876,0.026811,0.038489", \ - "0.018490,0.018782,0.019327,0.020459,0.022841,0.027820,0.038643", \ - "0.024341,0.024586,0.025057,0.026045,0.028148,0.032611,0.042127", \ - "0.032185,0.032437,0.032913,0.033916,0.036017,0.040319,0.049281", \ - "0.041422,0.041725,0.042271,0.043392,0.045699,0.050295,0.059358"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.037127,0.038745,0.041703,0.047601,0.059309,0.082456,0.128314", \ - "0.037129,0.038745,0.041709,0.047600,0.059298,0.082457,0.128296", \ - "0.037137,0.038749,0.041703,0.047599,0.059304,0.082446,0.128277", \ - "0.037150,0.038761,0.041717,0.047609,0.059313,0.082458,0.128286", \ - "0.038332,0.039842,0.042637,0.048245,0.059543,0.082486,0.128278", \ - "0.043025,0.044546,0.047333,0.052836,0.063532,0.084733,0.128578", \ - "0.048826,0.050407,0.053298,0.058993,0.070118,0.091487,0.132860"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.579094,0.581255,0.571795,0.564368,0.560094,0.563062,0.573918", \ - "0.521394,0.523836,0.524213,0.531448,0.546519,0.574543,0.609203", \ - "0.547863,0.543481,0.533910,0.534113,0.551054,0.588785,0.628567", \ - "1.064126,1.029729,1.001419,0.929552,0.826835,0.733611,0.726661", \ - "1.969075,1.941175,1.882873,1.770206,1.597214,1.346387,1.071803", \ - "3.286184,3.250417,3.206091,3.096403,2.868322,2.477830,1.954706", \ - "4.989804,4.991907,4.946972,4.825687,4.628628,4.156365,3.403344"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.913173,5.881352,5.921112,5.942474,6.021706,6.118852,6.098292", \ - "5.700470,5.744011,5.789273,5.828594,5.907225,5.982547,6.057427", \ - "5.748670,5.755144,5.739529,5.772764,5.841473,5.835781,5.836336", \ - "6.287595,6.250264,6.241459,6.195727,6.195472,6.070745,6.139528", \ - "7.137145,7.130390,7.156952,7.177057,7.100124,6.859831,6.669917", \ - "8.593266,8.544529,8.481717,8.438604,8.317059,8.203492,7.748747", \ - "10.766650,10.737660,10.622360,10.482420,10.205630,9.961515,9.410635"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.677328,0.674056,0.661901,0.636931,0.602748,0.576273,0.574275", \ - "0.619493,0.616570,0.610387,0.602999,0.593289,0.597711,0.607124", \ - "0.630293,0.625616,0.613973,0.616400,0.611877,0.630198,0.662648", \ - "1.095490,1.064108,1.040090,0.983888,0.885394,0.799901,0.772595", \ - "1.884645,1.839732,1.822754,1.724040,1.583271,1.369631,1.112909", \ - "3.021447,3.009130,2.964985,2.888885,2.709252,2.378947,1.924191", \ - "4.513208,4.520494,4.493792,4.436852,4.261597,3.890229,3.235356"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.749949,5.790345,5.823182,5.861931,5.913530,5.947478,6.010654", \ - "5.564761,5.570020,5.645662,5.689538,5.800846,5.855757,5.928596", \ - "5.594280,5.587487,5.597562,5.641243,5.693898,5.783723,5.811440", \ - "6.094952,6.117912,6.099391,6.056441,6.042560,5.959288,5.938482", \ - "7.019739,7.043184,7.044266,6.965578,6.846146,6.548529,6.293451", \ - "8.256578,8.233487,8.178522,8.163039,8.164469,7.831105,7.545888", \ - "10.209520,10.154310,10.084320,9.967885,9.766743,9.621360,9.050330"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.635064,0.632374,0.625425,0.609503,0.584432,0.570315,0.571896", \ - "0.579683,0.580460,0.578583,0.579439,0.578427,0.589807,0.607909", \ - "0.602620,0.587470,0.590396,0.599412,0.603151,0.624024,0.660718", \ - "1.049101,1.034372,1.001228,0.944375,0.853943,0.791395,0.776453", \ - "1.892074,1.850700,1.805691,1.711435,1.569330,1.344840,1.107957", \ - "3.107318,3.082011,3.020972,2.919824,2.710323,2.367931,1.907110", \ - "4.701425,4.652877,4.604676,4.521991,4.300015,3.895700,3.219134"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.400995,7.403658,7.427322,7.481267,7.555734,7.429049,7.702873", \ - "7.242400,7.247130,7.275047,7.341572,7.457556,7.336639,7.624815", \ - "7.180053,7.223793,7.241196,7.287148,7.343354,7.321650,7.510701", \ - "7.651319,7.636960,7.635034,7.614707,7.602154,7.645062,7.627794", \ - "8.570868,8.570034,8.540867,8.473061,8.385492,8.256803,7.948258", \ - "9.685653,9.679355,9.702094,9.711609,9.664189,9.440331,8.902049", \ - "11.529890,11.529920,11.491410,11.375610,11.234740,11.111130,10.675270"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.622872,0.607986,0.601697,0.581829,0.568484,0.568320,0.578166", \ - "0.573298,0.573287,0.576319,0.569488,0.580806,0.606163,0.633796", \ - "0.614753,0.612692,0.595863,0.599061,0.611554,0.640930,0.678648", \ - "1.085893,1.069149,1.031767,0.966022,0.873792,0.789385,0.778291", \ - "1.893291,1.868683,1.822768,1.730707,1.570295,1.351808,1.102095", \ - "3.048287,3.037715,2.986070,2.892884,2.715782,2.358251,1.908332", \ - "4.585608,4.563870,4.511933,4.455467,4.282666,3.896286,3.225512"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.977012,5.994970,6.001391,6.055472,6.058389,6.154869,6.001884", \ - "5.745434,5.795164,5.822996,5.897602,5.972218,5.947993,6.135442", \ - "5.767859,5.782897,5.772248,5.834503,5.766772,5.821217,6.022270", \ - "6.287486,6.267590,6.252654,6.238659,6.113086,6.141129,5.932246", \ - "7.138076,7.143183,7.185698,7.090387,6.951227,6.727855,6.478493", \ - "8.323326,8.345259,8.296033,8.277543,8.184076,7.976461,7.437128", \ - "10.295890,10.258380,10.176940,10.047280,9.864354,9.685915,9.185076"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.693788,0.692524,0.674699,0.651472,0.619223,0.588243,0.579415", \ - "0.651719,0.649276,0.646844,0.630638,0.623983,0.621506,0.636651", \ - "0.680538,0.677996,0.674023,0.660113,0.666546,0.676700,0.705547", \ - "1.117196,1.094228,1.065453,1.012600,0.926233,0.839725,0.817642", \ - "1.806785,1.792610,1.754328,1.699510,1.574425,1.370471,1.134105", \ - "2.844656,2.849375,2.818830,2.740963,2.594276,2.301541,1.888076", \ - "4.222764,4.209865,4.182416,4.120294,3.986197,3.675053,3.084897"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("5.873964,5.890354,5.902887,5.952654,5.972492,5.999218,6.043024", \ - "5.694393,5.713626,5.732722,5.789708,5.810665,5.890846,5.972235", \ - "5.680104,5.687318,5.688370,5.695807,5.686212,5.751054,5.894474", \ - "6.141599,6.135193,6.147714,6.094879,6.018046,5.949532,6.030139", \ - "7.063871,7.040982,6.993367,6.937628,6.798614,6.624395,6.348673", \ - "8.137143,8.142166,8.134305,8.102380,7.967948,7.774593,7.405690", \ - "9.886498,9.851001,9.788471,9.681691,9.555359,9.380665,8.698612"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.650817,0.646023,0.639917,0.617987,0.603349,0.579246,0.575598", \ - "0.611941,0.612825,0.610680,0.611288,0.606407,0.614172,0.631186", \ - "0.633370,0.650587,0.648583,0.647046,0.653258,0.674228,0.703548", \ - "1.070245,1.061110,1.029380,0.973629,0.890300,0.833708,0.821486", \ - "1.840379,1.805147,1.765869,1.689070,1.550696,1.350722,1.131327", \ - "2.932822,2.914115,2.871178,2.766444,2.583660,2.292481,1.870252", \ - "4.378935,4.346474,4.302001,4.228264,4.038515,3.681358,3.078916"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.527717,7.543023,7.554795,7.578970,7.664839,7.612621,7.647756", \ - "7.340908,7.394601,7.411227,7.465427,7.547509,7.528273,7.580378", \ - "7.318820,7.329863,7.336120,7.354241,7.342211,7.396289,7.480516", \ - "7.712615,7.714703,7.704962,7.701946,7.691759,7.576989,7.660110", \ - "8.536063,8.526374,8.491784,8.439961,8.372747,8.229553,8.151945", \ - "9.615661,9.632418,9.663057,9.710469,9.558627,9.255024,9.056673", \ - "11.248160,11.228000,11.197200,11.146240,11.058570,10.836430,10.460830"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.579048,0.578555,0.576573,0.570161,0.566614,0.563152,0.572996", \ - "0.542796,0.545561,0.543830,0.559088,0.571671,0.600749,0.627471", \ - "0.572900,0.571235,0.578347,0.585320,0.607183,0.638197,0.683283", \ - "1.038997,1.020463,0.992458,0.934529,0.840955,0.783361,0.782824", \ - "1.894620,1.851560,1.807789,1.706586,1.552174,1.327743,1.096291", \ - "3.135526,3.101542,3.037611,2.930031,2.702160,2.357811,1.894793", \ - "4.748992,4.716938,4.639704,4.558039,4.316254,3.884731,3.208742"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.581644,7.604512,7.649420,7.640185,7.737156,7.638407,7.824178", \ - "7.422835,7.471431,7.497669,7.497897,7.612029,7.678696,7.759652", \ - "7.357757,7.400160,7.429807,7.460604,7.499369,7.462472,7.460486", \ - "7.809255,7.796681,7.795953,7.765610,7.754387,7.728531,7.752859", \ - "8.716744,8.690576,8.666284,8.600744,8.522334,8.280325,8.244864", \ - "9.807059,9.803374,9.785094,9.822733,9.741950,9.373287,9.091628", \ - "11.625610,11.586620,11.557540,11.500120,11.355250,11.248430,10.745690"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.650817,0.646023,0.639917,0.617987,0.603349,0.579246,0.575598", \ - "0.611941,0.612825,0.610680,0.611288,0.606407,0.614172,0.631186", \ - "0.633370,0.650587,0.648583,0.647046,0.653258,0.674228,0.703548", \ - "1.070245,1.061110,1.029380,0.973629,0.890300,0.833708,0.821486", \ - "1.840379,1.805147,1.765869,1.689070,1.550696,1.350722,1.131327", \ - "2.932822,2.914115,2.871178,2.766444,2.583660,2.292481,1.870252", \ - "4.378935,4.346474,4.302001,4.228264,4.038515,3.681358,3.078916"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.527717,7.543023,7.554795,7.578970,7.664839,7.612621,7.647756", \ - "7.340908,7.394601,7.411227,7.465427,7.547509,7.528273,7.580378", \ - "7.318820,7.329863,7.336120,7.354241,7.342211,7.396289,7.480516", \ - "7.712615,7.714703,7.704962,7.701946,7.691759,7.576989,7.660110", \ - "8.536063,8.526374,8.491784,8.439961,8.372747,8.229553,8.151945", \ - "9.615661,9.632418,9.663057,9.710469,9.558627,9.255024,9.056673", \ - "11.248160,11.228000,11.197200,11.146240,11.058570,10.836430,10.460830"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.620677,0.618099,0.604926,0.602709,0.590294,0.575524,0.577012", \ - "0.570848,0.579850,0.589793,0.593099,0.596153,0.615932,0.634763", \ - "0.629722,0.618321,0.623420,0.634615,0.640046,0.671064,0.705702", \ - "1.036339,1.016606,0.993683,0.937340,0.869042,0.834252,0.822220", \ - "1.826306,1.792651,1.751717,1.666733,1.531361,1.332569,1.126622", \ - "2.968556,2.945400,2.888739,2.770506,2.586627,2.274525,1.856621", \ - "4.485885,4.428898,4.381774,4.273482,4.071612,3.680913,3.063602"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.147165,9.174444,9.209917,9.228983,9.278409,9.220693,9.472404", \ - "9.038684,9.033804,9.085242,9.117130,9.184566,9.132411,9.410472", \ - "8.935785,8.948412,8.956992,8.991875,9.072078,9.023536,9.310215", \ - "9.278392,9.283472,9.282827,9.301497,9.241191,9.283512,9.205746", \ - "10.045560,10.043090,10.056540,10.022260,9.916790,9.823715,9.738577", \ - "11.195350,11.226620,11.245680,11.199450,11.074540,10.935860,10.631340", \ - "12.708350,12.702160,12.692410,12.666930,12.628240,12.384460,12.020320"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.587903,0.582373,0.568461,0.567581,0.558166,0.565308,0.575629", \ - "0.500537,0.508808,0.508705,0.530219,0.553061,0.576453,0.606340", \ - "0.390921,0.398731,0.409415,0.431262,0.471688,0.530566,0.597149", \ - "0.657059,0.656741,0.634120,0.615630,0.567869,0.536587,0.582584", \ - "1.231074,1.232667,1.185534,1.143755,1.051540,0.916334,0.750987", \ - "2.173930,2.165410,2.126162,2.064066,1.919769,1.686286,1.362467", \ - "3.447059,3.427127,3.392990,3.316602,3.179913,2.904703,2.407169"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.472978,7.509675,7.542449,7.549855,7.588725,7.530425,7.670774", \ - "7.361014,7.400361,7.377643,7.455581,7.508466,7.571013,7.557207", \ - "7.390706,7.423886,7.392310,7.456658,7.442584,7.482172,7.537173", \ - "7.934821,7.908523,7.897162,7.871418,7.883069,7.712956,7.621753", \ - "8.797113,8.870115,8.868873,8.872608,8.783773,8.620017,8.354016", \ - "10.185460,10.207610,10.191590,10.175460,10.136630,9.837161,9.580577", \ - "12.272770,12.263550,12.234830,12.157850,11.983070,11.806000,11.326810"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.661549,0.669958,0.649088,0.633856,0.601399,0.579193,0.575872", \ - "0.594594,0.597500,0.599217,0.594483,0.594475,0.593457,0.614546", \ - "0.482952,0.486590,0.496329,0.511118,0.533740,0.571109,0.624364", \ - "0.713219,0.715863,0.695413,0.680850,0.639413,0.601480,0.643542", \ - "1.229217,1.215838,1.175749,1.148637,1.074679,0.964591,0.813285", \ - "2.014621,2.010532,1.972147,1.950769,1.850089,1.660876,1.380379", \ - "3.088900,3.116223,3.083245,3.051407,2.939813,2.735634,2.327951"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.391916,7.390088,7.453163,7.481250,7.502485,7.572852,7.622040", \ - "7.244895,7.281384,7.303505,7.340246,7.400033,7.457386,7.523971", \ - "7.269059,7.303907,7.284233,7.345916,7.339164,7.385021,7.453607", \ - "7.785436,7.770123,7.764398,7.754948,7.741532,7.623238,7.626515", \ - "8.761164,8.733963,8.704587,8.651978,8.554444,8.433550,8.258849", \ - "9.923444,9.920504,9.899149,9.939104,9.890097,9.566785,9.265604", \ - "11.770190,11.736740,11.706970,11.670160,11.524810,11.369840,10.846970"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.629223,0.626226,0.622062,0.610964,0.591315,0.574438,0.573808", \ - "0.557084,0.554976,0.562765,0.575652,0.583448,0.594525,0.612437", \ - "0.454901,0.460474,0.473212,0.484900,0.518090,0.567312,0.626511", \ - "0.676918,0.682126,0.668178,0.647015,0.608149,0.599404,0.638645", \ - "1.235507,1.211495,1.175491,1.146617,1.067496,0.949028,0.809452", \ - "2.077062,2.078625,2.038747,1.981554,1.860884,1.647827,1.370764", \ - "3.254660,3.237223,3.186712,3.122306,2.981826,2.756680,2.316987"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.034101,9.033290,9.051589,9.095499,9.079026,9.110538,9.312251", \ - "8.892072,8.921374,8.920579,8.972427,9.016282,8.954941,9.216359", \ - "8.905086,8.902965,8.919068,8.953997,8.985241,9.028343,9.175556", \ - "9.323489,9.313082,9.317713,9.337422,9.330888,9.210797,9.311491", \ - "10.242830,10.221450,10.202540,10.183040,10.071090,10.018860,9.864767", \ - "11.420030,11.428700,11.433170,11.468220,11.375960,11.134980,10.919250", \ - "13.210110,13.194230,13.177600,13.159580,13.102640,12.870510,12.461430"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.618505,0.611301,0.595965,0.590347,0.572051,0.571867,0.580550", \ - "0.548075,0.555537,0.552207,0.568690,0.584048,0.600383,0.631931", \ - "0.454700,0.459793,0.473289,0.485366,0.528026,0.587069,0.647179", \ - "0.701188,0.698892,0.684804,0.671541,0.629346,0.598419,0.652948", \ - "1.227656,1.218987,1.177864,1.149435,1.075779,0.958495,0.806193", \ - "2.019775,2.027261,1.990045,1.964522,1.840566,1.657668,1.370723", \ - "3.159252,3.144147,3.113780,3.080865,2.979337,2.742021,2.322105"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.571518,7.613540,7.628102,7.657223,7.618984,7.596164,7.566514", \ - "7.459605,7.460134,7.479992,7.512400,7.541140,7.592853,7.730921", \ - "7.446997,7.481764,7.452166,7.470908,7.482197,7.524186,7.666660", \ - "7.944509,7.953451,7.925564,7.916837,7.879607,7.810665,7.837050", \ - "8.883703,8.853717,8.829791,8.783785,8.705728,8.565097,8.198928", \ - "10.000600,9.999330,10.027440,10.028040,10.004560,9.647435,9.420909", \ - "11.826040,11.834140,11.807870,11.750440,11.679380,11.525520,11.004830"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.693340,0.684032,0.665163,0.648841,0.612960,0.587910,0.581243", \ - "0.623993,0.627418,0.622162,0.624802,0.621800,0.616937,0.633910", \ - "0.529123,0.536436,0.544114,0.559815,0.582798,0.616635,0.671091", \ - "0.760796,0.740720,0.737586,0.726639,0.686352,0.654033,0.694995", \ - "1.206058,1.213813,1.177530,1.153080,1.102922,1.003146,0.857443", \ - "1.923167,1.920846,1.896300,1.864527,1.795123,1.635273,1.392153", \ - "2.883474,2.910681,2.871075,2.865320,2.769190,2.617648,2.260503"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("7.522440,7.533178,7.537775,7.579603,7.583687,7.529496,7.574346", \ - "7.373872,7.387781,7.397876,7.448740,7.504233,7.550736,7.487759", \ - "7.368116,7.376525,7.414604,7.415175,7.451762,7.488971,7.546724", \ - "7.844430,7.843756,7.830115,7.809015,7.768493,7.720756,7.605773", \ - "8.739332,8.721446,8.687350,8.625237,8.545184,8.364708,8.203500", \ - "9.840444,9.860050,9.889887,9.920081,9.778369,9.497824,9.158142", \ - "11.475700,11.461570,11.454680,11.411180,11.317760,11.097380,10.628170"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.645130,0.641203,0.636544,0.622410,0.604249,0.578513,0.578947", \ - "0.585567,0.587348,0.601786,0.605345,0.610867,0.617844,0.634847", \ - "0.502598,0.508513,0.521595,0.542162,0.570774,0.612078,0.669322", \ - "0.732193,0.723306,0.711330,0.694642,0.659157,0.646318,0.688906", \ - "1.230133,1.208850,1.177282,1.152356,1.088718,0.986083,0.850128", \ - "1.993127,1.988661,1.961730,1.903466,1.808533,1.629278,1.380983", \ - "3.035398,3.021405,2.982400,2.933518,2.819274,2.624811,2.250106"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.128419,9.139753,9.182606,9.213955,9.247902,9.201636,9.397187", \ - "9.032515,9.046662,9.056077,9.099550,9.151917,9.238046,9.308751", \ - "9.006796,9.017252,9.021543,9.040258,9.109686,9.034433,9.254112", \ - "9.403208,9.404733,9.397275,9.400689,9.398838,9.372293,9.418005", \ - "10.239010,10.234230,10.228070,10.178810,10.104430,10.022360,9.868756", \ - "11.424490,11.455810,11.471910,11.406540,11.250090,11.114400,10.725590", \ - "12.951610,12.949360,12.944720,12.929590,12.916850,12.656210,12.165620"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.577414,0.575989,0.573541,0.567239,0.571255,0.568208,0.578112", \ - "0.518978,0.520898,0.544839,0.550053,0.571588,0.601835,0.631035", \ - "0.431413,0.439080,0.455718,0.474325,0.523000,0.582107,0.648371", \ - "0.662868,0.671385,0.657367,0.639479,0.600688,0.591902,0.650874", \ - "1.230621,1.211773,1.174932,1.134330,1.052249,0.938749,0.797641", \ - "2.102720,2.086968,2.054231,1.982924,1.860523,1.649793,1.358853", \ - "3.288917,3.269879,3.217096,3.163935,3.002125,2.747675,2.306673"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.209463,9.207413,9.223260,9.277735,9.307151,9.230803,9.257787", \ - "9.069266,9.069265,9.088654,9.157117,9.145858,9.289994,9.163763", \ - "9.035641,9.078106,9.092436,9.088539,9.117864,9.241789,9.132587", \ - "9.486985,9.476895,9.480261,9.467318,9.459128,9.336685,9.492503", \ - "10.372300,10.354550,10.336660,10.291640,10.213650,10.114890,9.879099", \ - "11.551750,11.562380,11.571480,11.589360,11.536280,11.236600,11.028280", \ - "13.272090,13.291770,13.242170,13.208520,13.192230,12.949380,12.370800"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.645130,0.641203,0.636544,0.622410,0.604249,0.578513,0.578947", \ - "0.585567,0.587348,0.601786,0.605345,0.610867,0.617844,0.634847", \ - "0.502598,0.508513,0.521595,0.542162,0.570774,0.612078,0.669322", \ - "0.732193,0.723306,0.711330,0.694642,0.659157,0.646318,0.688906", \ - "1.230133,1.208850,1.177282,1.152356,1.088718,0.986083,0.850128", \ - "1.993127,1.988661,1.961730,1.903466,1.808533,1.629278,1.380983", \ - "3.035398,3.021405,2.982400,2.933518,2.819274,2.624811,2.250106"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.128419,9.139753,9.182606,9.213955,9.247902,9.201636,9.397187", \ - "9.032515,9.046662,9.056077,9.099550,9.151917,9.238046,9.308751", \ - "9.006796,9.017252,9.021543,9.040258,9.109686,9.034433,9.254112", \ - "9.403208,9.404733,9.397275,9.400689,9.398838,9.372293,9.418005", \ - "10.239010,10.234230,10.228070,10.178810,10.104430,10.022360,9.868756", \ - "11.424490,11.455810,11.471910,11.406540,11.250090,11.114400,10.725590", \ - "12.951610,12.949360,12.944720,12.929590,12.916850,12.656210,12.165620"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("0.614186,0.613847,0.615932,0.604456,0.585458,0.578953,0.579991", \ - "0.561901,0.566626,0.576161,0.591167,0.595464,0.611490,0.636787", \ - "0.483527,0.491003,0.495290,0.525195,0.556019,0.608476,0.671548", \ - "0.695713,0.689092,0.677595,0.663185,0.630595,0.643853,0.693952", \ - "1.222642,1.209471,1.178532,1.137801,1.073613,0.968417,0.850878", \ - "2.037871,2.017843,1.965789,1.917321,1.797791,1.622949,1.367925", \ - "3.107900,3.112378,3.060848,2.983108,2.878651,2.640019,2.238822"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.775480,10.787600,10.794240,10.817680,10.853280,10.937280,10.997600", \ - "10.654900,10.668310,10.703560,10.718080,10.803670,10.846810,10.916320", \ - "10.617500,10.629100,10.672990,10.679190,10.717090,10.843170,10.860420", \ - "10.972030,10.979090,10.978110,10.995800,11.032260,11.042610,11.019280", \ - "11.771060,11.770110,11.750430,11.730210,11.676020,11.513430,11.374800", \ - "13.012640,13.005960,12.977760,12.939450,12.814250,12.686540,12.298470", \ - "14.470030,14.476510,14.449710,14.486790,14.495960,14.204740,13.718490"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.401028,2.416646,2.429044,2.467421,2.514990,2.593854,2.665070", \ - "2.370983,2.399287,2.412314,2.454055,2.495704,2.580739,2.661320", \ - "2.301348,2.310082,2.343422,2.392898,2.462814,2.531443,2.631294", \ - "2.600057,2.620237,2.601209,2.576293,2.560950,2.610824,2.687999", \ - "3.385856,3.383242,3.348461,3.307846,3.210424,3.083727,2.973310", \ - "4.609004,4.591383,4.544992,4.463996,4.333872,4.105870,3.755590", \ - "6.276330,6.258284,6.217172,6.154538,5.988868,5.661679,5.109249"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.642112,9.618004,9.658384,9.659600,9.591413,9.578773,9.728394", \ - "9.454899,9.487240,9.516967,9.526274,9.602858,9.487217,9.701193", \ - "9.278227,9.300847,9.286968,9.341967,9.382558,9.472856,9.409665", \ - "9.216423,9.242502,9.260434,9.279767,9.319738,9.354879,9.378726", \ - "9.400685,9.423997,9.435008,9.433800,9.348612,9.293187,9.410414", \ - "9.839210,9.836299,9.863401,9.849799,9.944764,9.800966,9.670969", \ - "11.161900,11.119870,11.071940,10.985430,10.873080,10.786520,10.521770"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.396998,2.433114,2.435953,2.479278,2.537837,2.599082,2.672037", \ - "2.408065,2.416894,2.447249,2.482185,2.529673,2.618027,2.694290", \ - "2.409371,2.420873,2.436868,2.480090,2.524986,2.610968,2.704935", \ - "2.694444,2.685562,2.674364,2.650240,2.653956,2.700955,2.760837", \ - "3.376508,3.368741,3.334129,3.307568,3.228654,3.120533,3.022997", \ - "4.454584,4.444553,4.416279,4.354327,4.232045,4.024721,3.733923", \ - "5.924924,5.914043,5.890557,5.818644,5.709795,5.430160,4.950437"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("9.534965,9.571355,9.581262,9.615815,9.631213,9.481778,9.596651", \ - "9.365281,9.406191,9.423556,9.475406,9.512059,9.383061,9.522874", \ - "9.164618,9.201949,9.214380,9.276221,9.313379,9.424407,9.431582", \ - "9.126466,9.119459,9.166173,9.139850,9.156661,9.090972,9.252883", \ - "9.264681,9.291473,9.287064,9.258555,9.250763,9.277467,9.150460", \ - "9.724947,9.746622,9.735075,9.821685,9.693222,9.629078,9.531655", \ - "10.811480,10.792780,10.754080,10.683760,10.654030,10.550330,10.288440"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.478075,2.469593,2.490513,2.513881,2.563714,2.613200,2.685827", \ - "2.470137,2.483381,2.498211,2.524088,2.572347,2.639100,2.706381", \ - "2.465201,2.474433,2.493528,2.522064,2.558582,2.628766,2.718132", \ - "2.693353,2.675441,2.682887,2.659972,2.670026,2.714388,2.772079", \ - "3.395857,3.373445,3.358059,3.316116,3.231876,3.118921,3.036794", \ - "4.496694,4.486107,4.447873,4.370126,4.242299,4.040850,3.732989", \ - "6.023454,6.002806,5.949964,5.894524,5.728886,5.435795,4.964991"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("11.172360,11.167840,11.218760,11.228460,11.279680,11.296220,11.271240", \ - "11.029490,11.069290,11.084270,11.123860,11.180390,11.240770,11.202740", \ - "10.819460,10.857940,10.871560,10.885460,10.951750,11.096080,11.036140", \ - "10.762860,10.787300,10.765560,10.783130,10.780700,10.953410,10.935420", \ - "10.877160,10.868150,10.868620,10.909980,10.887200,10.931190,10.825930", \ - "11.345340,11.336430,11.387860,11.367160,11.358180,11.143780,11.195160", \ - "12.277490,12.271990,12.257200,12.242190,12.216050,12.150210,11.806720"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.063085,2.080887,2.135812,2.176426,2.267000,2.364172,2.466634", \ - "2.032865,2.057134,2.091526,2.151060,2.214067,2.324411,2.432015", \ - "1.948550,2.001909,2.003479,2.079635,2.159026,2.270631,2.369368", \ - "2.340010,2.324104,2.310771,2.305228,2.283926,2.336627,2.411147", \ - "3.108235,3.086261,3.064962,3.013545,2.944834,2.802323,2.688187", \ - "4.306362,4.289721,4.238721,4.180780,4.043583,3.786077,3.460523", \ - "5.915632,5.895051,5.868622,5.776802,5.628987,5.307911,4.759229"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("8.900028,8.899665,8.917387,8.929342,8.950016,8.983019,9.053386", \ - "8.719136,8.722834,8.747990,8.778316,8.856014,8.958431,8.970955", \ - "8.544453,8.544795,8.565073,8.621648,8.689911,8.736015,8.780108", \ - "8.481408,8.476805,8.490308,8.501101,8.549969,8.441707,8.693434", \ - "8.679721,8.665945,8.676638,8.643919,8.671913,8.681150,8.666332", \ - "9.027948,9.035871,9.026498,9.048779,9.197128,9.048786,8.932207", \ - "10.264890,10.224940,10.191170,10.125840,10.026630,10.005620,9.768157"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.083483,2.094247,2.143692,2.200459,2.274638,2.376074,2.467423", \ - "2.086721,2.086098,2.125082,2.182061,2.266347,2.361959,2.462484", \ - "2.062353,2.062918,2.095626,2.163428,2.225200,2.332492,2.445919", \ - "2.403142,2.404357,2.398694,2.380106,2.357023,2.416220,2.495463", \ - "3.096642,3.092568,3.064208,3.032425,2.960378,2.848160,2.748848", \ - "4.149192,4.145879,4.120262,4.049772,3.950079,3.738702,3.451562", \ - "5.556441,5.570524,5.539663,5.478251,5.369245,5.086904,4.629021"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("8.812132,8.825656,8.835069,8.849506,8.845587,8.834647,8.825865", \ - "8.644906,8.662782,8.679996,8.711960,8.776571,8.887572,8.758022", \ - "8.452455,8.466234,8.477975,8.517830,8.553218,8.548435,8.767224", \ - "8.396794,8.408066,8.412776,8.410554,8.410114,8.477131,8.517875", \ - "8.532352,8.538242,8.535575,8.524405,8.502932,8.490714,8.489774", \ - "8.909128,8.915258,8.956926,9.004902,8.944039,8.803777,8.715308", \ - "9.893702,9.895734,9.875829,9.806407,9.768436,9.684687,9.442268"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.192955,2.205345,2.232708,2.248144,2.310031,2.393169,2.487125", \ - "2.186200,2.198837,2.196879,2.244524,2.304981,2.397465,2.483253", \ - "2.138277,2.150000,2.177856,2.232277,2.277779,2.369135,2.464645", \ - "2.425318,2.425090,2.408168,2.388706,2.390114,2.437235,2.511613", \ - "3.133841,3.116218,3.098855,3.047447,2.968892,2.847236,2.763719", \ - "4.214681,4.201323,4.160998,4.100371,3.948775,3.745614,3.443391", \ - "5.698121,5.680985,5.648021,5.572098,5.406855,5.107478,4.618225"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.584930,10.598260,10.643830,10.652160,10.723070,10.744110,10.754260", \ - "10.484190,10.500410,10.514830,10.542290,10.648030,10.659640,10.690150", \ - "10.281720,10.296830,10.322030,10.326750,10.416830,10.474780,10.534680", \ - "10.173640,10.184620,10.227110,10.253600,10.300170,10.398080,10.455140", \ - "10.300100,10.298160,10.297770,10.330380,10.354480,10.399700,10.419900", \ - "10.687740,10.705590,10.796090,10.738820,10.677350,10.693280,10.632060", \ - "11.541730,11.523330,11.521400,11.561590,11.611450,11.529330,11.337600"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.186414,2.199382,2.227084,2.242323,2.307070,2.392574,2.480494", \ - "2.108722,2.125151,2.158601,2.219298,2.268724,2.347922,2.448933", \ - "2.065490,2.077789,2.118744,2.131569,2.203875,2.296311,2.390485", \ - "2.360394,2.335305,2.339417,2.312679,2.318902,2.359299,2.431066", \ - "3.149783,3.131449,3.097502,3.038670,2.946609,2.805804,2.708652", \ - "4.344468,4.328176,4.292443,4.191746,4.031909,3.797412,3.449862", \ - "6.048022,6.019596,5.949781,5.865337,5.654421,5.304261,4.765505"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.661890,10.661140,10.724670,10.746460,10.743650,10.845370,10.848920", \ - "10.555620,10.558160,10.581610,10.646620,10.626550,10.745860,10.768590", \ - "10.327170,10.373060,10.395430,10.403590,10.450920,10.606220,10.583560", \ - "10.248170,10.290290,10.303780,10.345590,10.362260,10.449890,10.498450", \ - "10.432150,10.423640,10.434750,10.430580,10.470990,10.459510,10.550210", \ - "10.743950,10.783000,10.843300,10.911250,10.945820,10.832470,10.821410", \ - "11.851410,11.810110,11.803870,11.769000,11.700060,11.750580,11.526440"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.192955,2.205345,2.232708,2.248144,2.310031,2.393169,2.487125", \ - "2.186200,2.198837,2.196879,2.244524,2.304981,2.397465,2.483253", \ - "2.138277,2.150000,2.177856,2.232277,2.277779,2.369135,2.464645", \ - "2.425318,2.425090,2.408168,2.388706,2.390114,2.437235,2.511613", \ - "3.133841,3.116218,3.098855,3.047447,2.968892,2.847236,2.763719", \ - "4.214681,4.201323,4.160998,4.100371,3.948775,3.745614,3.443391", \ - "5.698121,5.680985,5.648021,5.572098,5.406855,5.107478,4.618225"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.584930,10.598260,10.643830,10.652160,10.723070,10.744110,10.754260", \ - "10.484190,10.500410,10.514830,10.542290,10.648030,10.659640,10.690150", \ - "10.281720,10.296830,10.322030,10.326750,10.416830,10.474780,10.534680", \ - "10.173640,10.184620,10.227110,10.253600,10.300170,10.398080,10.455140", \ - "10.300100,10.298160,10.297770,10.330380,10.354480,10.399700,10.419900", \ - "10.687740,10.705590,10.796090,10.738820,10.677350,10.693280,10.632060", \ - "11.541730,11.523330,11.521400,11.561590,11.611450,11.529330,11.337600"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.256893,2.266590,2.288098,2.311987,2.343938,2.423479,2.502391", \ - "2.235076,2.232611,2.263826,2.296647,2.335863,2.405976,2.498869", \ - "2.200906,2.210452,2.232949,2.267551,2.312475,2.393124,2.481556", \ - "2.426089,2.415655,2.412146,2.396192,2.421460,2.459983,2.527684", \ - "3.136560,3.135370,3.096135,3.048637,2.963397,2.839409,2.773779", \ - "4.255188,4.233825,4.190692,4.102185,3.970498,3.743070,3.433561", \ - "5.778353,5.753279,5.693164,5.606563,5.425792,5.102291,4.627607"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("12.236570,12.249830,12.259400,12.315190,12.363720,12.332640,12.359630", \ - "12.117930,12.142260,12.183560,12.224880,12.283850,12.252040,12.483240", \ - "11.914900,11.930340,11.981260,11.984300,12.098230,12.101130,12.363340", \ - "11.829610,11.841720,11.848900,11.891900,11.922970,11.995850,12.194580", \ - "11.894540,11.920270,11.941460,11.917480,11.993320,11.991630,12.032530", \ - "12.379590,12.360810,12.375870,12.345720,12.359710,12.268740,12.338570", \ - "13.105930,13.097570,13.112190,13.150000,13.160840,13.078240,12.947380"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.375347,2.416845,2.434480,2.475605,2.520878,2.584443,2.669848", \ - "2.388557,2.380789,2.411702,2.454812,2.498740,2.582544,2.658267", \ - "2.278377,2.292218,2.323662,2.355691,2.428385,2.522844,2.619890", \ - "2.394210,2.390683,2.390423,2.392247,2.406254,2.483506,2.587509", \ - "2.863636,2.846884,2.859100,2.848940,2.810058,2.762946,2.704665", \ - "3.695058,3.674170,3.666297,3.626319,3.562501,3.426377,3.251706", \ - "4.857746,4.849619,4.854042,4.817716,4.698897,4.524881,4.191484"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("11.010230,11.035520,11.052910,11.068460,11.018950,11.047590,11.070010", \ - "10.928490,10.955990,10.976920,10.958090,10.964010,11.075000,10.978960", \ - "10.815040,10.842140,10.863230,10.852570,10.921370,10.982460,11.030880", \ - "10.773450,10.821530,10.816410,10.808800,10.812680,10.856740,10.963970", \ - "10.991150,10.962240,10.977300,10.982940,10.970210,10.927270,10.844520", \ - "11.402270,11.413050,11.407950,11.432080,11.484860,11.378790,11.283070", \ - "12.584710,12.561380,12.546200,12.496690,12.438680,12.463590,12.107320"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.408657,2.413987,2.430206,2.482780,2.525550,2.602169,2.675254", \ - "2.405268,2.416500,2.448183,2.487732,2.532880,2.607804,2.692899", \ - "2.349669,2.363549,2.393835,2.440937,2.493965,2.594973,2.690892", \ - "2.474506,2.477355,2.470639,2.481667,2.487327,2.577815,2.673916", \ - "2.893907,2.879338,2.895445,2.885629,2.869114,2.828156,2.790375", \ - "3.600132,3.622036,3.600635,3.595577,3.534790,3.442161,3.273096", \ - "4.661373,4.657439,4.638629,4.625795,4.540044,4.407852,4.137658"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.973730,10.966120,10.970110,10.998100,10.975910,11.071480,11.080580", \ - "10.857240,10.892790,10.901070,10.903200,10.922040,10.984430,10.995650", \ - "10.766790,10.769810,10.810710,10.817850,10.841990,10.762410,10.887160", \ - "10.743270,10.736550,10.747190,10.788930,10.808980,10.827400,10.848170", \ - "10.859290,10.848980,10.850650,10.850280,10.879740,10.736890,10.776190", \ - "11.295490,11.323070,11.373390,11.342470,11.324340,11.131080,11.163990", \ - "12.286040,12.242670,12.273210,12.239850,12.218860,12.073820,11.805110"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.480239,2.484777,2.507450,2.516316,2.564510,2.614979,2.687163", \ - "2.482663,2.468977,2.513079,2.518318,2.575734,2.638653,2.707825", \ - "2.415745,2.427383,2.451484,2.481984,2.534246,2.607879,2.703998", \ - "2.490808,2.503171,2.500100,2.478555,2.510656,2.588450,2.690765", \ - "2.925463,2.912127,2.922518,2.903624,2.875955,2.820797,2.796945", \ - "3.653446,3.663232,3.649138,3.618877,3.550571,3.444261,3.279456", \ - "4.747149,4.719041,4.718623,4.672483,4.605031,4.424568,4.133233"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("12.604220,12.597560,12.626490,12.621940,12.675010,12.664310,12.762090", \ - "12.497390,12.533780,12.542880,12.562470,12.623010,12.543280,12.680030", \ - "12.409260,12.404160,12.413570,12.451070,12.504460,12.510060,12.594260", \ - "12.337460,12.372880,12.398070,12.417510,12.429290,12.429740,12.538030", \ - "12.443090,12.475930,12.486710,12.506610,12.451630,12.426500,12.457060", \ - "12.952240,12.973550,12.982790,12.954800,12.916270,12.754850,12.833770", \ - "13.815260,13.821360,13.825210,13.803560,13.871290,13.616440,13.455260"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.050600,2.112355,2.115177,2.193590,2.268835,2.360999,2.460996", \ - "2.054286,2.056161,2.100490,2.152471,2.216485,2.323382,2.439188", \ - "1.941622,1.959993,1.978518,2.054988,2.136470,2.253988,2.365605", \ - "2.096135,2.115523,2.114624,2.117734,2.117500,2.219147,2.329632", \ - "2.592854,2.563565,2.577465,2.570549,2.541915,2.505296,2.444853", \ - "3.390869,3.395389,3.384622,3.348436,3.286532,3.157225,2.974389", \ - "4.546782,4.540004,4.506338,4.480947,4.405990,4.220751,3.894397"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.320070,10.294520,10.307780,10.343290,10.386690,10.347310,10.295640", \ - "10.216530,10.214920,10.231810,10.242480,10.314620,10.322810,10.394680", \ - "10.110310,10.115720,10.125140,10.137540,10.140970,10.161850,10.331260", \ - "10.062850,10.058730,10.069770,10.126690,10.126370,10.204140,10.045800", \ - "10.240150,10.237790,10.248860,10.212500,10.246120,10.245870,10.309410", \ - "10.569840,10.606600,10.620840,10.668410,10.732660,10.678730,10.599630", \ - "11.664520,11.667670,11.667580,11.630890,11.582310,11.660150,11.430690"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.100315,2.097265,2.143960,2.186432,2.276189,2.360531,2.470057", \ - "2.089310,2.090425,2.134168,2.188092,2.267724,2.370279,2.463907", \ - "2.013729,2.039400,2.069426,2.124005,2.209018,2.326906,2.436328", \ - "2.189371,2.186587,2.188520,2.195749,2.211808,2.302277,2.420244", \ - "2.608764,2.607512,2.611361,2.612412,2.599732,2.562156,2.523017", \ - "3.333531,3.304380,3.330130,3.286979,3.261479,3.159861,3.016870", \ - "4.331051,4.327201,4.317428,4.298728,4.230315,4.110586,3.843745"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("10.233030,10.242990,10.246710,10.264890,10.315560,10.303720,10.239020", \ - "10.120390,10.132170,10.176340,10.172440,10.198300,10.184000,10.204880", \ - "10.031450,10.043450,10.050790,10.086000,10.105460,10.142000,10.274030", \ - "9.993154,10.002430,10.005340,10.032740,10.088250,10.034850,10.080570", \ - "10.112170,10.111300,10.121870,10.099860,10.150150,10.159440,10.045880", \ - "10.512710,10.529380,10.550840,10.578410,10.507960,10.434790,10.357310", \ - "11.362900,11.382380,11.384910,11.409410,11.437160,11.305140,11.014780"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.183049,2.195900,2.223863,2.248895,2.312615,2.401362,2.487191", \ - "2.177292,2.190452,2.218640,2.260946,2.319200,2.394831,2.483222", \ - "2.093553,2.104943,2.130491,2.195315,2.263849,2.350955,2.454782", \ - "2.225296,2.221109,2.220455,2.225796,2.248128,2.323517,2.434359", \ - "2.662954,2.650522,2.659718,2.635607,2.612963,2.562864,2.537691", \ - "3.403039,3.377397,3.367861,3.340748,3.271247,3.161043,3.004585", \ - "4.431966,4.427319,4.399575,4.381089,4.303914,4.128160,3.845838"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("12.036750,12.045310,12.064150,12.072380,12.126670,12.123960,12.167610", \ - "11.931790,11.948470,11.951910,11.993730,12.053290,12.012990,12.093860", \ - "11.845600,11.865330,11.867470,11.899850,11.974010,11.977890,11.995560", \ - "11.801020,11.811200,11.816900,11.855360,11.901450,11.928170,11.977460", \ - "11.877180,11.886150,11.886770,11.911680,11.934640,11.993910,12.025340", \ - "12.352850,12.346520,12.345990,12.327190,12.346020,12.234450,12.244270", \ - "13.079930,13.110470,13.125910,13.142490,13.172210,13.144000,12.913060"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.176112,2.189132,2.217545,2.247127,2.312808,2.391360,2.480662", \ - "2.144209,2.157455,2.185872,2.228806,2.271272,2.353375,2.448617", \ - "2.011497,2.053390,2.058511,2.106281,2.178548,2.270884,2.380049", \ - "2.150223,2.146802,2.144515,2.134050,2.157942,2.244436,2.349373", \ - "2.636208,2.622349,2.596959,2.595609,2.560557,2.494718,2.469452", \ - "3.464092,3.431712,3.431679,3.378876,3.286486,3.160903,2.977243", \ - "4.649147,4.640163,4.604471,4.547015,4.421774,4.230320,3.898129"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("12.053430,12.096140,12.111400,12.121770,12.121040,12.164490,12.283000", \ - "11.982110,12.001800,12.043990,12.033310,12.079980,12.188310,12.256920", \ - "11.878300,11.922370,11.941190,11.972240,12.024170,12.049050,12.127890", \ - "11.869480,11.867200,11.880960,11.923750,11.971850,12.026710,12.103890", \ - "12.001210,11.998660,12.009190,12.002010,11.977610,12.090310,12.103170", \ - "12.352540,12.400490,12.427630,12.533230,12.493220,12.486660,12.365010", \ - "13.350510,13.319370,13.333350,13.379990,13.398020,13.381100,13.190980"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.183049,2.195900,2.223863,2.248895,2.312615,2.401362,2.487191", \ - "2.177292,2.190452,2.218640,2.260946,2.319200,2.394831,2.483222", \ - "2.093553,2.104943,2.130491,2.195315,2.263849,2.350955,2.454782", \ - "2.225296,2.221109,2.220455,2.225796,2.248128,2.323517,2.434359", \ - "2.662954,2.650522,2.659718,2.635607,2.612963,2.562864,2.537691", \ - "3.403039,3.377397,3.367861,3.340748,3.271247,3.161043,3.004585", \ - "4.431966,4.427319,4.399575,4.381089,4.303914,4.128160,3.845838"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("12.036750,12.045310,12.064150,12.072380,12.126670,12.123960,12.167610", \ - "11.931790,11.948470,11.951910,11.993730,12.053290,12.012990,12.093860", \ - "11.845600,11.865330,11.867470,11.899850,11.974010,11.977890,11.995560", \ - "11.801020,11.811200,11.816900,11.855360,11.901450,11.928170,11.977460", \ - "11.877180,11.886150,11.886770,11.911680,11.934640,11.993910,12.025340", \ - "12.352850,12.346520,12.345990,12.327190,12.346020,12.234450,12.244270", \ - "13.079930,13.110470,13.125910,13.142490,13.172210,13.144000,12.913060"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.249252,2.259027,2.280883,2.312475,2.360370,2.422130,2.503556", \ - "2.248196,2.252435,2.274726,2.299600,2.353216,2.419001,2.495401", \ - "2.148896,2.160808,2.205979,2.237109,2.281737,2.363892,2.466464", \ - "2.232107,2.229203,2.227097,2.232139,2.277253,2.353290,2.450497", \ - "2.689511,2.677446,2.660882,2.655495,2.618237,2.565076,2.543386", \ - "3.422556,3.423924,3.411159,3.369528,3.285053,3.180545,3.010237", \ - "4.510824,4.503163,4.474234,4.426383,4.332220,4.134652,3.844256"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("13.645590,13.657500,13.662770,13.718590,13.771600,13.794870,13.774490", \ - "13.586290,13.599260,13.606490,13.650690,13.664490,13.750380,13.858990", \ - "13.467270,13.480240,13.525440,13.551750,13.559560,13.664810,13.814600", \ - "13.417640,13.429360,13.442890,13.498720,13.559810,13.561340,13.680120", \ - "13.522460,13.532660,13.532840,13.531160,13.532690,13.618560,13.583170", \ - "13.933150,13.940970,13.939500,13.947340,13.873390,13.928590,13.877110", \ - "14.685870,14.684990,14.710380,14.737840,14.825910,14.695310,14.499120"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.713090,3.750433,3.793698,3.837470,3.991884,4.159758,4.368114", \ - "3.644252,3.655906,3.705313,3.795694,3.900272,4.092315,4.298438", \ - "3.559107,3.569135,3.607528,3.710449,3.839276,3.996929,4.219321", \ - "3.776882,3.776497,3.793141,3.836844,3.910954,4.066637,4.241012", \ - "4.520154,4.540601,4.516068,4.509352,4.505358,4.468259,4.505710", \ - "5.729290,5.716061,5.690763,5.662572,5.579858,5.461898,5.241487", \ - "7.469273,7.453492,7.410325,7.347954,7.248234,6.987189,6.573143"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("12.794790,12.824350,12.848530,12.877910,12.794250,12.843400,13.001010", \ - "12.648190,12.681840,12.714140,12.745070,12.807030,12.903820,12.779180", \ - "12.445200,12.475380,12.502790,12.524100,12.609980,12.657190,12.812020", \ - "12.368220,12.395990,12.418570,12.454790,12.504760,12.533000,12.655450", \ - "12.401770,12.378420,12.400480,12.363970,12.355130,12.441380,12.563720", \ - "12.373540,12.404560,12.435670,12.526910,12.511150,12.609120,12.632830", \ - "13.044600,13.046110,13.015730,12.965680,12.967890,13.029420,13.000190"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.433209,3.509219,3.533478,3.656143,3.787106,3.979962,4.226661", \ - "3.367955,3.393022,3.449363,3.551935,3.713962,3.903744,4.136919", \ - "3.274228,3.345977,3.354965,3.464933,3.589740,3.790473,4.030484", \ - "3.558314,3.539597,3.582115,3.606545,3.701363,3.857262,4.036864", \ - "4.299092,4.281793,4.290744,4.309665,4.275096,4.242780,4.302406", \ - "5.466256,5.470138,5.438955,5.409693,5.332229,5.217136,5.000365", \ - "7.118379,7.100850,7.091370,7.032662,6.928483,6.680875,6.289047"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("12.113950,12.109800,12.160410,12.157440,12.163400,12.153640,12.227720", \ - "11.930120,11.970790,11.988110,12.012150,12.112600,12.080940,12.136200", \ - "11.744360,11.781670,11.794340,11.827470,11.892320,11.764790,12.069960", \ - "11.672260,11.706880,11.714940,11.724660,11.680960,11.694010,11.857730", \ - "11.663380,11.696360,11.702250,11.693990,11.638720,11.711880,11.849400", \ - "11.724970,11.730370,11.811330,11.896500,11.830880,11.726310,11.833900", \ - "12.272650,12.284260,12.254960,12.209890,12.249530,12.347670,12.269380"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.630572,3.651692,3.696118,3.760332,3.885531,4.047045,4.256056", \ - "3.523942,3.526586,3.603927,3.682673,3.775407,3.954948,4.159535", \ - "3.449777,3.469459,3.517514,3.582227,3.687175,3.854697,4.067885", \ - "3.636635,3.650157,3.659094,3.691729,3.789022,3.892676,4.073505", \ - "4.386466,4.363766,4.375636,4.343057,4.325795,4.278965,4.320880", \ - "5.563701,5.527547,5.503095,5.447992,5.390427,5.237861,5.015122", \ - "7.239586,7.222536,7.170870,7.122560,6.980998,6.690295,6.299156"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("13.751110,13.746720,13.755880,13.770210,13.787470,13.890870,13.900750", \ - "13.593490,13.633590,13.648130,13.709200,13.766890,13.663710,13.812190", \ - "13.398940,13.437430,13.451090,13.492870,13.492730,13.660790,13.614850", \ - "13.311080,13.306000,13.357310,13.365070,13.352140,13.513860,13.538710", \ - "13.300650,13.295080,13.301570,13.341000,13.383240,13.363020,13.526350", \ - "13.405710,13.417550,13.461120,13.484960,13.395590,13.511510,13.503670", \ - "13.823660,13.847440,13.833800,13.870740,13.888700,13.919460,13.896030"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.978176,3.035541,3.101195,3.207299,3.430356,3.676640,3.987956", \ - "2.931632,2.973384,3.062606,3.135835,3.346700,3.602179,3.912118", \ - "2.861553,2.932367,2.950429,3.103361,3.251090,3.531203,3.819760", \ - "3.183148,3.227856,3.247710,3.280513,3.383490,3.563786,3.817292", \ - "4.005687,4.026660,3.990001,4.023793,4.019780,3.994694,4.068326", \ - "5.220491,5.204194,5.215096,5.176866,5.106629,4.963254,4.793720", \ - "6.928513,6.900383,6.878877,6.826608,6.710116,6.465811,6.065637"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("12.066510,12.068930,12.091520,12.152640,12.147780,12.232590,12.153240", \ - "11.875530,11.927660,11.958170,12.003780,12.078040,11.992670,12.218170", \ - "11.700300,11.729770,11.755570,11.752610,11.823770,11.955420,12.090450", \ - "11.614280,11.644500,11.635330,11.678690,11.750070,11.839830,11.882080", \ - "11.633460,11.636720,11.657560,11.667760,11.656650,11.632120,11.818900", \ - "11.595940,11.606490,11.701200,11.792090,11.834220,11.765540,11.884510", \ - "12.201820,12.177950,12.157110,12.181220,12.146400,12.372000,12.182210"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.837700,2.873144,2.945720,3.060262,3.286888,3.537715,3.859728", \ - "2.743855,2.798301,2.864392,2.965244,3.174351,3.459511,3.778395", \ - "2.710289,2.738230,2.799546,2.913097,3.088601,3.360171,3.667641", \ - "3.057373,3.076672,3.079168,3.120803,3.223816,3.409287,3.661038", \ - "3.839191,3.856597,3.827066,3.853844,3.838234,3.840854,3.901269", \ - "5.019183,5.016331,4.996773,4.966752,4.895953,4.766592,4.601495", \ - "6.606955,6.629599,6.606609,6.563814,6.451142,6.209081,5.827809"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("11.349980,11.350090,11.395270,11.418100,11.450080,11.444100,11.529200", \ - "11.192960,11.210750,11.227840,11.258430,11.330600,11.383530,11.456480", \ - "10.977260,10.991050,11.039080,11.085420,11.150800,11.110790,11.351190", \ - "10.910560,10.922360,10.928600,10.984090,10.989780,11.037420,11.149510", \ - "10.920580,10.931640,10.935950,10.927900,10.978010,10.982030,11.086560", \ - "10.922440,10.953990,11.040960,11.099560,11.080200,11.011690,11.131210", \ - "11.459570,11.455070,11.437050,11.455980,11.439540,11.534500,11.449400"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.074770,3.090047,3.153192,3.251423,3.413635,3.638814,3.898766", \ - "2.993481,3.020293,3.034243,3.155787,3.300009,3.528714,3.804746", \ - "2.915739,2.942813,2.988785,3.087262,3.198103,3.447299,3.713305", \ - "3.184205,3.181216,3.201974,3.232961,3.328616,3.496720,3.714163", \ - "3.951544,3.965839,3.956600,3.935836,3.897420,3.867629,3.939877", \ - "5.125543,5.120023,5.084803,5.037950,4.954824,4.819341,4.611672", \ - "6.785789,6.766613,6.711501,6.635804,6.510192,6.248459,5.851044"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("13.145030,13.158260,13.195950,13.228530,13.267680,13.137730,13.358750", \ - "13.031560,13.047890,13.062010,13.114940,13.169300,13.247680,13.279920", \ - "12.805860,12.838900,12.870570,12.910620,12.914860,13.036610,13.096440", \ - "12.722430,12.735330,12.744030,12.798480,12.820480,12.878300,13.024210", \ - "12.695840,12.707340,12.712860,12.754400,12.739000,12.770970,12.818670", \ - "12.805680,12.843700,12.894010,12.906620,12.828580,12.867670,12.843380", \ - "13.166290,13.173060,13.208890,13.228390,13.252160,13.363930,13.154910"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.242589,3.245348,3.285791,3.401015,3.552597,3.769994,4.038797", \ - "3.146037,3.172438,3.228873,3.321659,3.481815,3.677270,3.952702", \ - "3.068220,3.110648,3.177335,3.235873,3.394081,3.588584,3.850553", \ - "3.320969,3.330146,3.364340,3.412559,3.499352,3.652455,3.874486", \ - "4.119548,4.137057,4.106213,4.081528,4.078117,4.042267,4.116729", \ - "5.344272,5.339911,5.313977,5.257481,5.171465,5.008803,4.801956", \ - "7.059655,7.040968,7.015757,6.917201,6.784787,6.496071,6.072608"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("13.837350,13.884220,13.906370,13.902740,13.993760,14.030960,14.105960", \ - "13.721670,13.725760,13.773490,13.782460,13.889160,14.003140,14.014860", \ - "13.518160,13.521130,13.546860,13.629500,13.605960,13.752230,13.836460", \ - "13.435450,13.436270,13.458220,13.472700,13.572020,13.633450,13.684930", \ - "13.417870,13.427410,13.439120,13.486730,13.489600,13.585680,13.618390", \ - "13.463570,13.480290,13.534890,13.624040,13.609360,13.592870,13.669500", \ - "13.908820,13.911830,13.908270,13.919300,13.910480,14.159870,13.967430"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.074770,3.090047,3.153192,3.251423,3.413635,3.638814,3.898766", \ - "2.993481,3.020293,3.034243,3.155787,3.300009,3.528714,3.804746", \ - "2.915739,2.942813,2.988785,3.087262,3.198103,3.447299,3.713305", \ - "3.184205,3.181216,3.201974,3.232961,3.328616,3.496720,3.714163", \ - "3.951544,3.965839,3.956600,3.935836,3.897420,3.867629,3.939877", \ - "5.125543,5.120023,5.084803,5.037950,4.954824,4.819341,4.611672", \ - "6.785789,6.766613,6.711501,6.635804,6.510192,6.248459,5.851044"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("13.145030,13.158260,13.195950,13.228530,13.267680,13.137730,13.358750", \ - "13.031560,13.047890,13.062010,13.114940,13.169300,13.247680,13.279920", \ - "12.805860,12.838900,12.870570,12.910620,12.914860,13.036610,13.096440", \ - "12.722430,12.735330,12.744030,12.798480,12.820480,12.878300,13.024210", \ - "12.695840,12.707340,12.712860,12.754400,12.739000,12.770970,12.818670", \ - "12.805680,12.843700,12.894010,12.906620,12.828580,12.867670,12.843380", \ - "13.166290,13.173060,13.208890,13.228390,13.252160,13.363930,13.154910"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.202382,3.240672,3.284203,3.360442,3.487185,3.699836,3.935203", \ - "3.133904,3.156613,3.205057,3.273164,3.395166,3.611010,3.844608", \ - "3.045148,3.064666,3.108224,3.173639,3.323462,3.501245,3.739431", \ - "3.265222,3.255097,3.298256,3.312157,3.418510,3.529203,3.733275", \ - "4.027055,4.009437,4.015328,3.968066,3.946269,3.894518,3.977339", \ - "5.207364,5.200764,5.159935,5.095782,5.002024,4.840512,4.628412", \ - "6.884600,6.860143,6.803357,6.729228,6.565795,6.278247,5.861481"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("14.796250,14.809490,14.818860,14.835630,14.908380,14.933460,14.963100", \ - "14.681820,14.684440,14.730460,14.740770,14.846990,14.840930,14.885730", \ - "14.474700,14.490530,14.503460,14.562870,14.644070,14.634370,14.705230", \ - "14.378550,14.392670,14.403300,14.426340,14.509550,14.555100,14.628940", \ - "14.346570,14.358920,14.365770,14.404990,14.441220,14.362610,14.634480", \ - "14.489870,14.505430,14.525930,14.509560,14.458090,14.430800,14.661050", \ - "14.790610,14.803500,14.813270,14.888640,14.962600,15.007410,14.963840"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.679225,3.737274,3.785953,3.848351,3.986623,4.171821,4.372257", \ - "3.617636,3.641252,3.691318,3.781489,3.900007,4.088975,4.297782", \ - "3.553576,3.579003,3.623789,3.698546,3.824088,4.014041,4.211670", \ - "3.591921,3.607607,3.629317,3.692620,3.803189,3.960686,4.174757", \ - "4.074577,4.108765,4.107290,4.139178,4.143081,4.181248,4.282815", \ - "4.897447,4.873525,4.884981,4.894579,4.882327,4.849560,4.770009", \ - "6.111632,6.083932,6.074925,6.046655,6.015475,5.907238,5.694857"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("14.222780,14.249170,14.268050,14.293850,14.227590,14.240920,14.361940", \ - "14.129340,14.157580,14.179790,14.186870,14.191960,14.299750,14.308590", \ - "14.046930,14.022010,14.059860,14.060430,14.131920,14.134250,14.281780", \ - "13.988480,13.962490,13.985600,14.000860,14.069800,13.974860,14.147050", \ - "13.980090,13.955310,13.999940,14.007870,14.013260,14.068800,14.053210", \ - "13.995390,14.034070,14.076720,14.141000,14.170820,14.224240,14.213840", \ - "14.460810,14.465920,14.502240,14.516220,14.509770,14.642410,14.453650"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.485237,3.511384,3.565951,3.652660,3.802075,3.981730,4.226596", \ - "3.375482,3.377395,3.466091,3.554875,3.699056,3.899282,4.138637", \ - "3.283835,3.309110,3.363376,3.437451,3.588089,3.792878,4.034050", \ - "3.398803,3.419035,3.435361,3.463440,3.591144,3.759334,3.998405", \ - "3.854299,3.893560,3.897459,3.929111,3.942229,3.999871,4.089424", \ - "4.669420,4.662226,4.674883,4.675673,4.662197,4.639323,4.566418", \ - "5.801249,5.805322,5.789313,5.792202,5.768454,5.649658,5.443219"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("13.554330,13.547250,13.551310,13.573040,13.592110,13.653840,13.463550", \ - "13.464300,13.459050,13.466800,13.474020,13.550220,13.597190,13.611290", \ - "13.346820,13.341260,13.348510,13.407850,13.389920,13.512120,13.469090", \ - "13.294610,13.286250,13.292880,13.340720,13.369080,13.441670,13.477380", \ - "13.289050,13.283610,13.298880,13.324920,13.347960,13.364950,13.308160", \ - "13.334550,13.393160,13.436820,13.457570,13.426400,13.443980,13.474060", \ - "13.739700,13.766470,13.801120,13.805130,13.832400,13.858330,13.901970"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.628586,3.658811,3.686268,3.766156,3.875466,4.046376,4.247730", \ - "3.546781,3.571000,3.611896,3.686234,3.774630,3.958178,4.151323", \ - "3.417664,3.466119,3.498143,3.577809,3.678042,3.851487,4.050882", \ - "3.481140,3.502391,3.512010,3.567218,3.663379,3.819471,4.020109", \ - "3.946136,3.976283,3.971454,3.988193,3.987455,4.025159,4.122074", \ - "4.742255,4.756764,4.746800,4.742032,4.692668,4.646767,4.573344", \ - "5.927899,5.920831,5.903236,5.857727,5.806092,5.683686,5.472051"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("15.143720,15.178550,15.190590,15.221480,15.229770,15.116780,15.285680", \ - "15.062950,15.099130,15.123500,15.136150,15.097060,15.204810,15.292450", \ - "14.988270,14.983730,15.017030,15.052980,15.071510,14.986870,15.153220", \ - "14.929930,14.924220,14.942700,14.983830,14.999750,15.007790,15.136090", \ - "14.888030,14.924340,14.929770,14.944490,15.005500,15.009870,15.065600", \ - "15.037480,15.096320,15.101050,15.087580,15.077600,15.138000,15.149810", \ - "15.384460,15.373120,15.417880,15.466330,15.538140,15.426140,15.376340"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.034997,3.067224,3.134007,3.208936,3.435782,3.673686,3.982986", \ - "2.950597,2.994032,3.050207,3.136201,3.352956,3.611605,3.902924", \ - "2.875597,2.904931,2.966901,3.073087,3.262694,3.519474,3.818371", \ - "3.020948,3.039042,3.063572,3.122682,3.255589,3.495332,3.770086", \ - "3.525282,3.558327,3.590920,3.618543,3.654609,3.728292,3.861586", \ - "4.362676,4.382855,4.390946,4.391055,4.391674,4.379277,4.338821", \ - "5.571282,5.574033,5.553654,5.533876,5.508564,5.395847,5.234971"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("13.474440,13.472750,13.489370,13.518100,13.583420,13.574250,13.557200", \ - "13.382600,13.382980,13.431040,13.469770,13.482990,13.456380,13.653360", \ - "13.254940,13.301120,13.321860,13.351740,13.375290,13.474700,13.555140", \ - "13.216330,13.250860,13.269780,13.304180,13.324910,13.329810,13.495780", \ - "13.238800,13.240040,13.259500,13.249570,13.329330,13.347950,13.466810", \ - "13.215260,13.283040,13.337630,13.445390,13.413850,13.412330,13.403770", \ - "13.631620,13.672840,13.665810,13.713460,13.794760,13.980050,13.845580"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("2.824602,2.860538,2.953939,3.068471,3.286474,3.542658,3.863623", \ - "2.761261,2.797592,2.867069,2.971300,3.171280,3.453130,3.771592", \ - "2.664794,2.683311,2.770004,2.903244,3.060715,3.337695,3.657810", \ - "2.852876,2.876236,2.918974,2.965148,3.077413,3.331881,3.610887", \ - "3.399668,3.398690,3.432096,3.444386,3.509014,3.577711,3.715196", \ - "4.191031,4.167384,4.174990,4.217345,4.199649,4.192910,4.180623", \ - "5.336775,5.333943,5.331923,5.296189,5.296807,5.207304,5.031472"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("12.758380,12.768800,12.809300,12.832320,12.816950,12.760650,12.848620", \ - "12.669560,12.681560,12.725550,12.736880,12.768810,12.803060,12.753220", \ - "12.592250,12.604340,12.611780,12.626260,12.646320,12.749850,12.837500", \ - "12.506930,12.518060,12.559970,12.563610,12.595810,12.601170,12.607340", \ - "12.526160,12.535390,12.539980,12.566800,12.569650,12.656980,12.648860", \ - "12.571090,12.629080,12.703200,12.697300,12.721340,12.745030,12.701450", \ - "12.931560,12.941340,12.981420,13.025660,13.103220,13.183670,13.029100"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.082664,3.079499,3.138020,3.225016,3.389276,3.618836,3.892336", \ - "2.996182,3.010300,3.067361,3.161299,3.326129,3.534836,3.814525", \ - "2.879235,2.897488,2.947322,3.067139,3.196420,3.442259,3.710325", \ - "3.008470,3.013523,3.029417,3.082569,3.212393,3.404519,3.665794", \ - "3.525736,3.518896,3.517482,3.554893,3.579027,3.612626,3.753853", \ - "4.320353,4.319226,4.313599,4.314301,4.262771,4.240354,4.204360", \ - "5.476827,5.477024,5.456150,5.411880,5.355078,5.242153,5.050728"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("14.584850,14.570960,14.613450,14.621550,14.673630,14.620180,14.775290", \ - "14.480790,14.492900,14.500760,14.539720,14.605800,14.501740,14.684210", \ - "14.369130,14.381850,14.427680,14.460980,14.523510,14.487750,14.686560", \ - "14.315920,14.327820,14.372830,14.402170,14.389360,14.519230,14.627460", \ - "14.297320,14.308920,14.357490,14.349100,14.424440,14.361430,14.576790", \ - "14.470480,14.482720,14.490780,14.505620,14.525380,14.543380,14.629450", \ - "14.727070,14.741030,14.792730,14.850040,14.894580,14.935670,14.947450"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.244963,3.270610,3.324176,3.394003,3.559629,3.750962,4.021321", \ - "3.168698,3.159434,3.247862,3.340552,3.485882,3.702171,3.953720", \ - "3.079641,3.103200,3.153130,3.230338,3.376659,3.582197,3.856388", \ - "3.140816,3.146529,3.178617,3.247772,3.373335,3.570079,3.804853", \ - "3.650852,3.684281,3.679251,3.707465,3.730415,3.773063,3.896345", \ - "4.494351,4.472935,4.500463,4.482448,4.463869,4.427813,4.364340", \ - "5.716534,5.716653,5.694850,5.661396,5.598932,5.456126,5.255264"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("15.282350,15.281310,15.300200,15.337710,15.338020,15.417990,15.525990", \ - "15.189690,15.200740,15.221640,15.253060,15.314860,15.395720,15.502710", \ - "15.074960,15.075280,15.097410,15.172740,15.183450,15.279580,15.352030", \ - "15.021670,15.021360,15.042240,15.077940,15.084850,15.213990,15.319840", \ - "15.017220,15.017570,15.036010,15.065380,15.113310,15.202320,15.267990", \ - "15.106510,15.126870,15.184580,15.243280,15.193120,15.206520,15.251160", \ - "15.406720,15.446330,15.458070,15.496200,15.626190,15.669820,15.744810"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.082664,3.079499,3.138020,3.225016,3.389276,3.618836,3.892336", \ - "2.996182,3.010300,3.067361,3.161299,3.326129,3.534836,3.814525", \ - "2.879235,2.897488,2.947322,3.067139,3.196420,3.442259,3.710325", \ - "3.008470,3.013523,3.029417,3.082569,3.212393,3.404519,3.665794", \ - "3.525736,3.518896,3.517482,3.554893,3.579027,3.612626,3.753853", \ - "4.320353,4.319226,4.313599,4.314301,4.262771,4.240354,4.204360", \ - "5.476827,5.477024,5.456150,5.411880,5.355078,5.242153,5.050728"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("14.584850,14.570960,14.613450,14.621550,14.673630,14.620180,14.775290", \ - "14.480790,14.492900,14.500760,14.539720,14.605800,14.501740,14.684210", \ - "14.369130,14.381850,14.427680,14.460980,14.523510,14.487750,14.686560", \ - "14.315920,14.327820,14.372830,14.402170,14.389360,14.519230,14.627460", \ - "14.297320,14.308920,14.357490,14.349100,14.424440,14.361430,14.576790", \ - "14.470480,14.482720,14.490780,14.505620,14.525380,14.543380,14.629450", \ - "14.727070,14.741030,14.792730,14.850040,14.894580,14.935670,14.947450"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("3.193138,3.248856,3.295206,3.361303,3.507599,3.699500,3.935803", \ - "3.121636,3.157400,3.198337,3.282485,3.407198,3.612839,3.845800", \ - "3.005691,3.061755,3.108273,3.158688,3.282684,3.500393,3.733320", \ - "3.077718,3.101153,3.109594,3.189478,3.270764,3.458470,3.686640", \ - "3.608186,3.601717,3.596065,3.616899,3.626193,3.651749,3.770456", \ - "4.411018,4.391037,4.392561,4.369096,4.330401,4.271796,4.213647", \ - "5.587860,5.585096,5.561270,5.498163,5.410315,5.278840,5.074386"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); - values ("16.207160,16.219160,16.224970,16.269220,16.238880,16.343720,16.381150", \ - "16.134260,16.147460,16.155890,16.197480,16.219960,16.231910,16.285950", \ - "16.034370,16.040360,16.047970,16.101800,16.128540,16.246010,16.374370", \ - "15.969430,15.982550,15.990720,16.039900,16.018210,16.132880,16.144040", \ - "15.946410,15.958520,15.965640,16.003750,16.024030,16.123960,16.186490", \ - "16.095600,16.108890,16.112060,16.140510,16.123790,16.153430,16.238260", \ - "16.378810,16.397530,16.417220,16.507830,16.606140,16.631700,16.547990"); - } - } - } - - } - - - /****************************************************************************************** - Module : AOI222_X4 - Cell Description : Combinational cell (AOI222_X4) with drive strength X4 - *******************************************************************************************/ - - cell (AOI222_X4) { - - drive_strength : 4; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 134.853561; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 88.068420; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 109.382020; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 88.671881; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 126.983780; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 109.382130; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 130.693310; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 109.985592; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 141.574950; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 88.671881; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 109.985592; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 89.276223; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 141.575610; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 127.447540; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 142.067640; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 142.068410; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 153.728520; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 109.382130; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 130.693420; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 109.985592; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 141.658000; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 130.693420; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 152.001850; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 131.296882; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 156.273370; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 109.985592; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 131.296882; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 110.590155; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 156.274030; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 142.012860; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 156.633070; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 156.633730; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 168.473360; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 88.671992; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 109.985592; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 89.276335; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 141.658660; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 109.985592; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 131.296882; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 110.590155; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 156.274030; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 89.276333; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 110.590155; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 89.881667; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 156.272050; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 142.013520; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 156.633620; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 156.631750; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 168.472590; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 132.148170; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 146.766950; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 146.766840; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 153.803540; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 146.766950; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 161.385730; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 161.385620; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 168.495690; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 146.766950; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 161.385730; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 161.382980; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 168.495250; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 153.947860; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 168.568180; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 168.567740; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 183.034940; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.596468; - fall_capacitance : 1.366426; - rise_capacitance : 1.596468; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.701800; - fall_capacitance : 1.409926; - rise_capacitance : 1.701800; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.596573; - fall_capacitance : 1.497763; - rise_capacitance : 1.596573; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.677640; - fall_capacitance : 1.503462; - rise_capacitance : 1.677640; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.578039; - fall_capacitance : 1.565171; - rise_capacitance : 1.578039; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.650615; - fall_capacitance : 1.567317; - rise_capacitance : 1.650615; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "!(!(!(((A1 & A2) | (B1 & B2)) | (C1 & C2))))"; - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038770,0.042752,0.046032,0.051600,0.061457,0.080093,0.116925", \ - "0.040134,0.044117,0.047396,0.052964,0.062822,0.081457,0.118288", \ - "0.045217,0.049201,0.052482,0.058049,0.067907,0.086542,0.123371", \ - "0.052920,0.056923,0.060218,0.065806,0.075675,0.094313,0.131142", \ - "0.059253,0.063303,0.066628,0.072248,0.082135,0.100779,0.137609", \ - "0.063690,0.067867,0.071268,0.076944,0.086854,0.105509,0.142319", \ - "0.065629,0.070010,0.073549,0.079364,0.089261,0.107948,0.144743"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.056688,0.062085,0.066833,0.076010,0.094302,0.130826,0.203722", \ - "0.057671,0.063068,0.067817,0.076993,0.095288,0.131809,0.204706", \ - "0.062662,0.068058,0.072806,0.081983,0.100276,0.136795,0.209696", \ - "0.074370,0.079764,0.084512,0.093689,0.111979,0.148495,0.221398", \ - "0.091301,0.096814,0.101568,0.110700,0.128941,0.165437,0.238328", \ - "0.109670,0.115437,0.120247,0.129364,0.147523,0.183960,0.256841", \ - "0.129679,0.135744,0.140666,0.149773,0.167891,0.204226,0.277063"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004193,0.005972,0.007740,0.011271,0.018617,0.034105,0.065958", \ - "0.004194,0.005971,0.007740,0.011271,0.018617,0.034104,0.065957", \ - "0.004197,0.005974,0.007742,0.011272,0.018618,0.034104,0.065956", \ - "0.004313,0.006072,0.007823,0.011326,0.018644,0.034112,0.065958", \ - "0.004539,0.006258,0.007979,0.011438,0.018706,0.034135,0.065961", \ - "0.004999,0.006647,0.008307,0.011674,0.018833,0.034173,0.065969", \ - "0.005646,0.007254,0.008848,0.012092,0.019076,0.034259,0.065981"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005286,0.008364,0.012123,0.020431,0.037642,0.072237,0.141526", \ - "0.005286,0.008364,0.012122,0.020432,0.037648,0.072244,0.141524", \ - "0.005286,0.008362,0.012122,0.020430,0.037645,0.072256,0.141521", \ - "0.005278,0.008359,0.012119,0.020429,0.037644,0.072239,0.141510", \ - "0.005559,0.008564,0.012222,0.020460,0.037653,0.072252,0.141524", \ - "0.006034,0.008986,0.012458,0.020538,0.037672,0.072259,0.141532", \ - "0.006553,0.009539,0.012810,0.020662,0.037710,0.072273,0.141534"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038768,0.042751,0.046031,0.051599,0.061455,0.080090,0.116920", \ - "0.040140,0.044123,0.047402,0.052970,0.062827,0.081462,0.118292", \ - "0.045266,0.049248,0.052530,0.058096,0.067953,0.086590,0.123419", \ - "0.052992,0.056997,0.060292,0.065879,0.075747,0.094384,0.131215", \ - "0.059195,0.063246,0.066571,0.072193,0.082073,0.100717,0.137547", \ - "0.063169,0.067348,0.070749,0.076429,0.086343,0.104998,0.141809", \ - "0.064263,0.068652,0.072193,0.078012,0.087922,0.106595,0.143390"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.064164,0.069838,0.074641,0.083791,0.102032,0.138526,0.211428", \ - "0.064979,0.070651,0.075455,0.084604,0.102846,0.139340,0.212238", \ - "0.069649,0.075320,0.080122,0.089272,0.107516,0.144014,0.216912", \ - "0.081207,0.086861,0.091662,0.100814,0.119057,0.155560,0.228455", \ - "0.099506,0.105211,0.110013,0.119139,0.137345,0.173829,0.246722", \ - "0.120120,0.126065,0.130943,0.140076,0.158185,0.194617,0.267476", \ - "0.142597,0.148829,0.153844,0.162959,0.181015,0.217369,0.290210"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004193,0.005971,0.007740,0.011271,0.018617,0.034104,0.065958", \ - "0.004193,0.005972,0.007740,0.011271,0.018617,0.034104,0.065957", \ - "0.004196,0.005974,0.007742,0.011273,0.018618,0.034104,0.065956", \ - "0.004312,0.006072,0.007822,0.011326,0.018644,0.034111,0.065959", \ - "0.004540,0.006259,0.007979,0.011439,0.018706,0.034135,0.065963", \ - "0.005005,0.006654,0.008311,0.011678,0.018835,0.034173,0.065969", \ - "0.005663,0.007269,0.008862,0.012103,0.019083,0.034261,0.065983"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005791,0.008802,0.012365,0.020514,0.037676,0.072275,0.141529", \ - "0.005788,0.008800,0.012365,0.020515,0.037683,0.072280,0.141529", \ - "0.005781,0.008796,0.012362,0.020512,0.037677,0.072270,0.141535", \ - "0.005746,0.008766,0.012347,0.020507,0.037673,0.072266,0.141544", \ - "0.005882,0.008870,0.012398,0.020521,0.037675,0.072266,0.141542", \ - "0.006329,0.009308,0.012665,0.020613,0.037704,0.072289,0.141544", \ - "0.006846,0.009882,0.013061,0.020761,0.037745,0.072300,0.141540"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040476,0.044496,0.047799,0.053392,0.063268,0.081909,0.118737", \ - "0.041847,0.045870,0.049172,0.054765,0.064641,0.083283,0.120113", \ - "0.046973,0.050996,0.054299,0.059892,0.069768,0.088411,0.125240", \ - "0.055095,0.059142,0.062460,0.068070,0.077957,0.096601,0.133428", \ - "0.061989,0.066085,0.069445,0.075102,0.085022,0.103675,0.140502", \ - "0.066692,0.070954,0.074405,0.080113,0.090062,0.108726,0.145531", \ - "0.068609,0.073087,0.076690,0.082577,0.092532,0.111218,0.148004"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.071463,0.077194,0.082010,0.091151,0.109375,0.145860,0.218756", \ - "0.072374,0.078105,0.082921,0.092061,0.110285,0.146773,0.219666", \ - "0.076937,0.082668,0.087484,0.096625,0.114851,0.151339,0.224233", \ - "0.088083,0.093804,0.098618,0.107766,0.125988,0.162473,0.235368", \ - "0.106783,0.112499,0.117306,0.126436,0.144632,0.181104,0.254003", \ - "0.128654,0.134605,0.139482,0.148606,0.166712,0.203141,0.275995", \ - "0.152264,0.158494,0.163504,0.172610,0.190683,0.226955,0.299766"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004333,0.006090,0.007841,0.011345,0.018660,0.034120,0.065961", \ - "0.004333,0.006090,0.007841,0.011344,0.018660,0.034120,0.065960", \ - "0.004336,0.006093,0.007843,0.011347,0.018661,0.034121,0.065961", \ - "0.004465,0.006198,0.007929,0.011405,0.018689,0.034129,0.065960", \ - "0.004751,0.006440,0.008134,0.011553,0.018772,0.034159,0.065968", \ - "0.005282,0.006897,0.008526,0.011839,0.018929,0.034208,0.065973", \ - "0.005966,0.007558,0.009126,0.012311,0.019211,0.034315,0.065993"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005903,0.008907,0.012426,0.020534,0.037682,0.072272,0.141543", \ - "0.005902,0.008908,0.012426,0.020536,0.037682,0.072280,0.141540", \ - "0.005902,0.008905,0.012424,0.020534,0.037689,0.072271,0.141541", \ - "0.005881,0.008887,0.012415,0.020533,0.037683,0.072275,0.141535", \ - "0.005908,0.008902,0.012420,0.020534,0.037683,0.072287,0.141532", \ - "0.006341,0.009320,0.012672,0.020614,0.037700,0.072291,0.141544", \ - "0.006849,0.009881,0.013059,0.020758,0.037745,0.072291,0.141544"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038777,0.042761,0.046041,0.051608,0.061465,0.080101,0.116931", \ - "0.040175,0.044158,0.047437,0.053005,0.062862,0.081498,0.118329", \ - "0.045301,0.049284,0.052565,0.058133,0.067990,0.086625,0.123455", \ - "0.052988,0.056998,0.060293,0.065880,0.075748,0.094386,0.131217", \ - "0.059159,0.063209,0.066534,0.072139,0.082037,0.100681,0.137511", \ - "0.063111,0.067291,0.070693,0.076344,0.086266,0.104921,0.141732", \ - "0.064210,0.068599,0.072141,0.077960,0.087867,0.106526,0.143320"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.066744,0.072392,0.077188,0.086338,0.104584,0.141083,0.213977", \ - "0.067475,0.073122,0.077918,0.087068,0.105313,0.141808,0.214707", \ - "0.071907,0.077555,0.082350,0.091500,0.109744,0.146240,0.219137", \ - "0.083107,0.088750,0.093545,0.102695,0.120938,0.157434,0.230332", \ - "0.101007,0.106715,0.111517,0.120643,0.138854,0.175328,0.248222", \ - "0.121308,0.127259,0.132137,0.141270,0.159384,0.195819,0.268681", \ - "0.143531,0.149768,0.154785,0.163903,0.181916,0.218317,0.291154"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004193,0.005972,0.007741,0.011271,0.018617,0.034104,0.065957", \ - "0.004194,0.005972,0.007740,0.011271,0.018617,0.034105,0.065958", \ - "0.004196,0.005974,0.007742,0.011272,0.018618,0.034105,0.065956", \ - "0.004312,0.006072,0.007822,0.011326,0.018644,0.034111,0.065957", \ - "0.004540,0.006259,0.007979,0.011439,0.018707,0.034135,0.065963", \ - "0.005009,0.006657,0.008314,0.011681,0.018836,0.034173,0.065968", \ - "0.005668,0.007274,0.008867,0.012106,0.019085,0.034262,0.065983"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005749,0.008761,0.012341,0.020505,0.037676,0.072266,0.141531", \ - "0.005749,0.008761,0.012341,0.020504,0.037680,0.072263,0.141529", \ - "0.005748,0.008760,0.012340,0.020504,0.037674,0.072279,0.141535", \ - "0.005738,0.008752,0.012335,0.020504,0.037673,0.072271,0.141528", \ - "0.005895,0.008875,0.012401,0.020521,0.037675,0.072272,0.141526", \ - "0.006338,0.009319,0.012671,0.020616,0.037701,0.072276,0.141533", \ - "0.006850,0.009889,0.013067,0.020767,0.037738,0.072301,0.141538"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038776,0.042759,0.046039,0.051607,0.061464,0.080099,0.116931", \ - "0.040177,0.044159,0.047439,0.053006,0.062863,0.081500,0.118329", \ - "0.045338,0.049320,0.052600,0.058168,0.068025,0.086661,0.123490", \ - "0.053053,0.057059,0.060354,0.065939,0.075808,0.094445,0.131276", \ - "0.059120,0.063171,0.066497,0.072095,0.081997,0.100642,0.137471", \ - "0.062706,0.066888,0.070291,0.075975,0.085866,0.104521,0.141332", \ - "0.063122,0.067513,0.071058,0.076881,0.086757,0.105445,0.142235"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.074469,0.080390,0.085274,0.094419,0.112613,0.149083,0.221971", \ - "0.075031,0.080951,0.085835,0.094979,0.113175,0.149643,0.222534", \ - "0.079166,0.085085,0.089970,0.099114,0.117312,0.153783,0.226670", \ - "0.090147,0.096059,0.100942,0.110092,0.128287,0.164754,0.237644", \ - "0.108763,0.114665,0.119540,0.128676,0.146832,0.183291,0.256180", \ - "0.131009,0.137133,0.142096,0.151247,0.169308,0.205715,0.278591", \ - "0.155369,0.161763,0.166882,0.176020,0.194062,0.230407,0.303231"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004193,0.005972,0.007740,0.011271,0.018617,0.034105,0.065957", \ - "0.004193,0.005972,0.007740,0.011271,0.018617,0.034104,0.065957", \ - "0.004197,0.005974,0.007742,0.011273,0.018618,0.034104,0.065958", \ - "0.004311,0.006071,0.007822,0.011326,0.018644,0.034111,0.065958", \ - "0.004541,0.006259,0.007979,0.011440,0.018707,0.034135,0.065961", \ - "0.005013,0.006660,0.008317,0.011682,0.018837,0.034173,0.065968", \ - "0.005679,0.007285,0.008878,0.012114,0.019090,0.034264,0.065981"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006212,0.009236,0.012638,0.020619,0.037706,0.072290,0.141548", \ - "0.006211,0.009236,0.012637,0.020619,0.037712,0.072288,0.141549", \ - "0.006210,0.009233,0.012636,0.020615,0.037714,0.072290,0.141545", \ - "0.006190,0.009216,0.012626,0.020611,0.037705,0.072286,0.141553", \ - "0.006203,0.009221,0.012627,0.020613,0.037707,0.072285,0.141550", \ - "0.006623,0.009651,0.012908,0.020709,0.037730,0.072294,0.141548", \ - "0.007130,0.010233,0.013338,0.020881,0.037773,0.072310,0.141560"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040484,0.044505,0.047807,0.053400,0.063276,0.081918,0.118746", \ - "0.041883,0.045905,0.049208,0.054801,0.064677,0.083319,0.120149", \ - "0.047046,0.051068,0.054370,0.059963,0.069839,0.088482,0.125311", \ - "0.055153,0.059201,0.062518,0.068128,0.078015,0.096659,0.133486", \ - "0.061915,0.066027,0.069387,0.075027,0.084951,0.103604,0.140427", \ - "0.066252,0.070515,0.073968,0.079704,0.089637,0.108301,0.145106", \ - "0.067504,0.071989,0.075596,0.081488,0.091423,0.110124,0.146908"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.083344,0.089335,0.094247,0.103387,0.121563,0.158016,0.230900", \ - "0.084031,0.090021,0.094935,0.104076,0.122248,0.158705,0.231586", \ - "0.088069,0.094059,0.098970,0.108110,0.126289,0.162743,0.235625", \ - "0.098629,0.104616,0.109526,0.118669,0.136845,0.173299,0.246183", \ - "0.117144,0.123114,0.128016,0.137142,0.155288,0.191741,0.264630", \ - "0.140677,0.146816,0.151787,0.160923,0.178979,0.215383,0.288233", \ - "0.166283,0.172683,0.177804,0.186964,0.204942,0.241273,0.314089"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004333,0.006090,0.007841,0.011344,0.018660,0.034120,0.065959", \ - "0.004333,0.006090,0.007840,0.011345,0.018660,0.034120,0.065960", \ - "0.004335,0.006093,0.007843,0.011346,0.018660,0.034121,0.065961", \ - "0.004465,0.006197,0.007928,0.011404,0.018690,0.034128,0.065961", \ - "0.004752,0.006441,0.008135,0.011554,0.018772,0.034159,0.065969", \ - "0.005289,0.006906,0.008532,0.011844,0.018932,0.034210,0.065973", \ - "0.005984,0.007576,0.009142,0.012325,0.019220,0.034319,0.065992"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006351,0.009377,0.012729,0.020652,0.037722,0.072300,0.141541", \ - "0.006350,0.009378,0.012729,0.020650,0.037720,0.072293,0.141540", \ - "0.006350,0.009377,0.012728,0.020649,0.037723,0.072301,0.141540", \ - "0.006341,0.009369,0.012723,0.020648,0.037716,0.072292,0.141540", \ - "0.006310,0.009336,0.012703,0.020642,0.037718,0.072293,0.141539", \ - "0.006659,0.009689,0.012934,0.020723,0.037740,0.072295,0.141555", \ - "0.007157,0.010255,0.013353,0.020887,0.037784,0.072316,0.141553"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040486,0.044507,0.047809,0.053402,0.063278,0.081919,0.118749", \ - "0.041883,0.045904,0.049207,0.054800,0.064676,0.083317,0.120148", \ - "0.047010,0.051033,0.054336,0.059929,0.069805,0.088446,0.125278", \ - "0.055093,0.059142,0.062460,0.068069,0.077956,0.096600,0.133428", \ - "0.061938,0.066050,0.069411,0.075071,0.084990,0.103643,0.140466", \ - "0.066630,0.070892,0.074344,0.080083,0.090041,0.108705,0.145510", \ - "0.068569,0.073050,0.076654,0.082542,0.092501,0.111195,0.147977"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.074000,0.079705,0.084512,0.093652,0.111882,0.148367,0.221270", \ - "0.074833,0.080536,0.085346,0.094483,0.112712,0.149204,0.222099", \ - "0.079185,0.084888,0.089696,0.098836,0.117065,0.153551,0.226453", \ - "0.090041,0.095744,0.100551,0.109693,0.127920,0.164409,0.237297", \ - "0.108351,0.114069,0.118875,0.127993,0.146186,0.182659,0.255554", \ - "0.129899,0.135853,0.140731,0.149835,0.167961,0.204392,0.277239", \ - "0.153247,0.159479,0.164490,0.173597,0.191671,0.227954,0.300756"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004333,0.006090,0.007841,0.011345,0.018660,0.034120,0.065960", \ - "0.004333,0.006090,0.007841,0.011345,0.018660,0.034120,0.065960", \ - "0.004336,0.006093,0.007843,0.011347,0.018660,0.034121,0.065961", \ - "0.004465,0.006198,0.007929,0.011405,0.018689,0.034128,0.065960", \ - "0.004752,0.006441,0.008135,0.011554,0.018772,0.034159,0.065969", \ - "0.005284,0.006901,0.008529,0.011841,0.018930,0.034209,0.065972", \ - "0.005973,0.007563,0.009130,0.012315,0.019214,0.034316,0.065994"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005861,0.008862,0.012397,0.020523,0.037685,0.072275,0.141526", \ - "0.005862,0.008859,0.012398,0.020527,0.037682,0.072268,0.141530", \ - "0.005861,0.008860,0.012397,0.020525,0.037685,0.072267,0.141529", \ - "0.005857,0.008858,0.012395,0.020522,0.037676,0.072266,0.141527", \ - "0.005911,0.008901,0.012419,0.020529,0.037679,0.072268,0.141530", \ - "0.006348,0.009325,0.012675,0.020615,0.037702,0.072280,0.141542", \ - "0.006853,0.009887,0.013063,0.020760,0.037736,0.072289,0.141541"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040484,0.044505,0.047807,0.053400,0.063276,0.081918,0.118746", \ - "0.041883,0.045905,0.049208,0.054801,0.064677,0.083319,0.120149", \ - "0.047046,0.051068,0.054370,0.059963,0.069839,0.088482,0.125311", \ - "0.055153,0.059201,0.062518,0.068128,0.078015,0.096659,0.133486", \ - "0.061915,0.066027,0.069387,0.075027,0.084951,0.103604,0.140427", \ - "0.066252,0.070515,0.073968,0.079704,0.089637,0.108301,0.145106", \ - "0.067504,0.071989,0.075596,0.081488,0.091423,0.110124,0.146908"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.083344,0.089335,0.094247,0.103387,0.121563,0.158016,0.230900", \ - "0.084031,0.090021,0.094935,0.104076,0.122248,0.158705,0.231586", \ - "0.088069,0.094059,0.098970,0.108110,0.126289,0.162743,0.235625", \ - "0.098629,0.104616,0.109526,0.118669,0.136845,0.173299,0.246183", \ - "0.117144,0.123114,0.128016,0.137142,0.155288,0.191741,0.264630", \ - "0.140677,0.146816,0.151787,0.160923,0.178979,0.215383,0.288233", \ - "0.166283,0.172683,0.177804,0.186964,0.204942,0.241273,0.314089"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004333,0.006090,0.007841,0.011344,0.018660,0.034120,0.065959", \ - "0.004333,0.006090,0.007840,0.011345,0.018660,0.034120,0.065960", \ - "0.004335,0.006093,0.007843,0.011346,0.018660,0.034121,0.065961", \ - "0.004465,0.006197,0.007928,0.011404,0.018690,0.034128,0.065961", \ - "0.004752,0.006441,0.008135,0.011554,0.018772,0.034159,0.065969", \ - "0.005289,0.006906,0.008532,0.011844,0.018932,0.034210,0.065973", \ - "0.005984,0.007576,0.009142,0.012325,0.019220,0.034319,0.065992"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006351,0.009377,0.012729,0.020652,0.037722,0.072300,0.141541", \ - "0.006350,0.009378,0.012729,0.020650,0.037720,0.072293,0.141540", \ - "0.006350,0.009377,0.012728,0.020649,0.037723,0.072301,0.141540", \ - "0.006341,0.009369,0.012723,0.020648,0.037716,0.072292,0.141540", \ - "0.006310,0.009336,0.012703,0.020642,0.037718,0.072293,0.141539", \ - "0.006659,0.009689,0.012934,0.020723,0.037740,0.072295,0.141555", \ - "0.007157,0.010255,0.013353,0.020887,0.037784,0.072316,0.141553"); - } - } - - timing () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042156,0.046215,0.049542,0.055163,0.065060,0.083709,0.120535", \ - "0.043558,0.047617,0.050944,0.056565,0.066461,0.085111,0.121939", \ - "0.048719,0.052779,0.056108,0.061729,0.071625,0.090275,0.127105", \ - "0.057174,0.061257,0.064600,0.070237,0.080145,0.098799,0.135625", \ - "0.064566,0.068730,0.072125,0.077820,0.087769,0.106429,0.143252", \ - "0.069630,0.073961,0.077459,0.083241,0.093215,0.111894,0.148692", \ - "0.071700,0.076254,0.079913,0.085868,0.095861,0.114570,0.151350"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.092184,0.098246,0.103186,0.112322,0.130480,0.166921,0.239799", \ - "0.092969,0.099030,0.103970,0.113107,0.131268,0.167702,0.240582", \ - "0.096961,0.103022,0.107962,0.117100,0.135257,0.171694,0.244574", \ - "0.107216,0.113276,0.118216,0.127354,0.145512,0.181945,0.254823", \ - "0.125351,0.131400,0.136334,0.145487,0.163627,0.200064,0.272940", \ - "0.149998,0.156161,0.161142,0.170266,0.188330,0.224746,0.297595", \ - "0.176825,0.183236,0.188364,0.197492,0.215435,0.251783,0.324575"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004474,0.006213,0.007947,0.011424,0.018707,0.034139,0.065966", \ - "0.004474,0.006213,0.007947,0.011424,0.018707,0.034140,0.065965", \ - "0.004478,0.006215,0.007950,0.011426,0.018707,0.034140,0.065963", \ - "0.004609,0.006323,0.008038,0.011487,0.018738,0.034149,0.065964", \ - "0.004950,0.006614,0.008286,0.011667,0.018839,0.034187,0.065973", \ - "0.005530,0.007127,0.008729,0.011995,0.019023,0.034248,0.065982", \ - "0.006237,0.007821,0.009372,0.012510,0.019337,0.034373,0.066007"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006488,0.009522,0.012825,0.020688,0.037728,0.072298,0.141552", \ - "0.006486,0.009520,0.012825,0.020687,0.037728,0.072296,0.141552", \ - "0.006486,0.009522,0.012825,0.020687,0.037730,0.072301,0.141555", \ - "0.006483,0.009518,0.012823,0.020685,0.037728,0.072301,0.141554", \ - "0.006454,0.009489,0.012805,0.020681,0.037728,0.072299,0.141557", \ - "0.006708,0.009742,0.012973,0.020738,0.037738,0.072310,0.141555", \ - "0.007198,0.010293,0.013381,0.020901,0.037782,0.072329,0.141558"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040085,0.044068,0.047347,0.052915,0.062772,0.081407,0.118237", \ - "0.041512,0.045495,0.048775,0.054343,0.064200,0.082834,0.119666", \ - "0.045458,0.049441,0.052721,0.058289,0.068146,0.086781,0.123611", \ - "0.051460,0.055458,0.058750,0.064329,0.074194,0.092829,0.129658", \ - "0.057204,0.061233,0.064547,0.070155,0.080037,0.098680,0.135512", \ - "0.061377,0.065477,0.068835,0.074481,0.084403,0.103056,0.139876", \ - "0.063167,0.067394,0.070831,0.076556,0.086530,0.105194,0.142006"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.061541,0.066976,0.071726,0.080894,0.099173,0.135690,0.208587", \ - "0.062613,0.068049,0.072800,0.081966,0.100247,0.136760,0.209663", \ - "0.067839,0.073274,0.078025,0.087192,0.105473,0.141989,0.214892", \ - "0.079614,0.085048,0.089798,0.098965,0.117243,0.153760,0.226656", \ - "0.097594,0.103104,0.107856,0.116994,0.135231,0.171727,0.244628", \ - "0.117632,0.123381,0.128184,0.137275,0.155434,0.191887,0.264757", \ - "0.139333,0.145360,0.150262,0.159378,0.177439,0.213819,0.286663"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004194,0.005972,0.007740,0.011271,0.018617,0.034104,0.065956", \ - "0.004193,0.005971,0.007740,0.011271,0.018617,0.034104,0.065956", \ - "0.004195,0.005974,0.007742,0.011272,0.018617,0.034105,0.065956", \ - "0.004269,0.006036,0.007793,0.011307,0.018635,0.034109,0.065956", \ - "0.004419,0.006160,0.007899,0.011385,0.018679,0.034125,0.065960", \ - "0.004699,0.006399,0.008102,0.011533,0.018763,0.034154,0.065963", \ - "0.005135,0.006785,0.008438,0.011785,0.018909,0.034210,0.065977"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005375,0.008430,0.012156,0.020439,0.037646,0.072253,0.141522", \ - "0.005375,0.008429,0.012155,0.020439,0.037647,0.072261,0.141520", \ - "0.005374,0.008429,0.012154,0.020439,0.037648,0.072246,0.141518", \ - "0.005369,0.008424,0.012153,0.020440,0.037649,0.072245,0.141514", \ - "0.005559,0.008563,0.012220,0.020459,0.037650,0.072242,0.141511", \ - "0.006008,0.008960,0.012442,0.020532,0.037672,0.072259,0.141515", \ - "0.006496,0.009469,0.012761,0.020641,0.037703,0.072267,0.141534"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040083,0.044066,0.047346,0.052914,0.062770,0.081405,0.118235", \ - "0.041516,0.045499,0.048779,0.054347,0.064204,0.082838,0.119670", \ - "0.045507,0.049489,0.052769,0.058337,0.068194,0.086830,0.123658", \ - "0.051565,0.055563,0.058854,0.064435,0.074299,0.092934,0.129763", \ - "0.057284,0.061312,0.064624,0.070229,0.080115,0.098758,0.135590", \ - "0.061213,0.065312,0.068669,0.074327,0.084239,0.102893,0.139712", \ - "0.062455,0.066681,0.070118,0.075847,0.085818,0.104486,0.141297"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.070356,0.076087,0.080904,0.090043,0.108266,0.144752,0.217651", \ - "0.071285,0.077014,0.081830,0.090970,0.109194,0.145679,0.218577", \ - "0.076258,0.081988,0.086804,0.095944,0.114169,0.150658,0.223550", \ - "0.087796,0.093515,0.098330,0.107477,0.125699,0.162185,0.235080", \ - "0.106753,0.112470,0.117278,0.126396,0.144611,0.181089,0.253984", \ - "0.129121,0.135062,0.139935,0.149049,0.167084,0.203516,0.276392", \ - "0.153356,0.159567,0.164567,0.173676,0.191727,0.228014,0.300829"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004194,0.005971,0.007740,0.011271,0.018617,0.034105,0.065958", \ - "0.004193,0.005972,0.007741,0.011271,0.018617,0.034104,0.065956", \ - "0.004195,0.005973,0.007741,0.011272,0.018617,0.034105,0.065956", \ - "0.004268,0.006036,0.007793,0.011307,0.018635,0.034109,0.065957", \ - "0.004417,0.006158,0.007898,0.011384,0.018679,0.034125,0.065959", \ - "0.004695,0.006396,0.008100,0.011532,0.018762,0.034154,0.065965", \ - "0.005134,0.006784,0.008437,0.011784,0.018907,0.034209,0.065978"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005903,0.008904,0.012425,0.020533,0.037680,0.072274,0.141541", \ - "0.005899,0.008905,0.012424,0.020535,0.037681,0.072277,0.141544", \ - "0.005900,0.008901,0.012423,0.020534,0.037682,0.072265,0.141543", \ - "0.005876,0.008884,0.012413,0.020530,0.037680,0.072275,0.141537", \ - "0.005905,0.008900,0.012420,0.020531,0.037681,0.072272,0.141526", \ - "0.006325,0.009304,0.012663,0.020613,0.037699,0.072275,0.141536", \ - "0.006814,0.009843,0.013030,0.020747,0.037732,0.072286,0.141549"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041790,0.045811,0.049114,0.054707,0.064583,0.083225,0.120056", \ - "0.043224,0.047245,0.050548,0.056141,0.066016,0.084658,0.121490", \ - "0.047219,0.051241,0.054544,0.060136,0.070012,0.088655,0.125485", \ - "0.053422,0.057463,0.060777,0.066381,0.076263,0.094906,0.131733", \ - "0.059499,0.063580,0.066920,0.072557,0.082459,0.101110,0.137935", \ - "0.063955,0.068120,0.071513,0.077205,0.087141,0.105804,0.142619", \ - "0.065812,0.070114,0.073597,0.079374,0.089375,0.108064,0.144871"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.077623,0.083412,0.088243,0.097378,0.115585,0.152061,0.224957", \ - "0.078596,0.084386,0.089217,0.098350,0.116558,0.153033,0.225931", \ - "0.083510,0.089297,0.094128,0.103262,0.121469,0.157944,0.230841", \ - "0.094731,0.100512,0.105343,0.114477,0.132686,0.169160,0.242056", \ - "0.113706,0.119475,0.124298,0.133420,0.151605,0.188078,0.260973", \ - "0.137243,0.143197,0.148075,0.157204,0.175258,0.211681,0.284557", \ - "0.162636,0.168855,0.173856,0.182961,0.201032,0.237271,0.310100"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004331,0.006089,0.007841,0.011345,0.018660,0.034120,0.065961", \ - "0.004333,0.006090,0.007841,0.011345,0.018660,0.034121,0.065960", \ - "0.004335,0.006092,0.007842,0.011345,0.018660,0.034120,0.065961", \ - "0.004413,0.006158,0.007896,0.011383,0.018679,0.034126,0.065962", \ - "0.004587,0.006306,0.008024,0.011477,0.018732,0.034146,0.065965", \ - "0.004904,0.006579,0.008258,0.011650,0.018831,0.034181,0.065969", \ - "0.005384,0.007011,0.008636,0.011937,0.018998,0.034246,0.065984"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006015,0.009014,0.012489,0.020557,0.037696,0.072276,0.141529", \ - "0.006018,0.009011,0.012489,0.020557,0.037690,0.072276,0.141528", \ - "0.006014,0.009012,0.012488,0.020558,0.037691,0.072286,0.141528", \ - "0.006002,0.009002,0.012482,0.020554,0.037692,0.072282,0.141537", \ - "0.005982,0.008981,0.012469,0.020553,0.037690,0.072281,0.141542", \ - "0.006355,0.009333,0.012680,0.020617,0.037702,0.072280,0.141532", \ - "0.006842,0.009865,0.013044,0.020753,0.037734,0.072305,0.141548"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040093,0.044077,0.047356,0.052924,0.062780,0.081415,0.118246", \ - "0.041551,0.045534,0.048814,0.054382,0.064239,0.082875,0.119704", \ - "0.045547,0.049529,0.052809,0.058377,0.068234,0.086869,0.123699", \ - "0.051575,0.055572,0.058864,0.064444,0.074308,0.092944,0.129773", \ - "0.057249,0.061279,0.064591,0.070197,0.080082,0.098724,0.135557", \ - "0.061135,0.065237,0.068594,0.074245,0.084163,0.102817,0.139637", \ - "0.062344,0.066572,0.070010,0.075740,0.085685,0.104365,0.141177"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.072926,0.078631,0.083437,0.092579,0.110808,0.147292,0.220191", \ - "0.073781,0.079484,0.084290,0.093429,0.111657,0.148144,0.221045", \ - "0.078538,0.084241,0.089048,0.098188,0.116416,0.152903,0.225805", \ - "0.089780,0.095481,0.100288,0.109427,0.127656,0.164139,0.237035", \ - "0.108340,0.114059,0.118866,0.127981,0.146199,0.182673,0.255569", \ - "0.130379,0.136325,0.141200,0.150319,0.168414,0.204843,0.277713", \ - "0.154353,0.160568,0.165570,0.174679,0.192733,0.229029,0.301838"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004194,0.005971,0.007740,0.011271,0.018617,0.034104,0.065956", \ - "0.004193,0.005972,0.007740,0.011271,0.018617,0.034105,0.065956", \ - "0.004194,0.005973,0.007741,0.011272,0.018617,0.034105,0.065956", \ - "0.004268,0.006036,0.007793,0.011307,0.018635,0.034109,0.065957", \ - "0.004418,0.006159,0.007899,0.011384,0.018679,0.034125,0.065960", \ - "0.004699,0.006399,0.008102,0.011533,0.018763,0.034154,0.065964", \ - "0.005139,0.006789,0.008440,0.011786,0.018909,0.034209,0.065979"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005862,0.008861,0.012398,0.020526,0.037684,0.072272,0.141530", \ - "0.005861,0.008860,0.012398,0.020524,0.037676,0.072264,0.141526", \ - "0.005858,0.008861,0.012397,0.020525,0.037680,0.072267,0.141526", \ - "0.005854,0.008857,0.012395,0.020524,0.037684,0.072268,0.141525", \ - "0.005911,0.008902,0.012420,0.020530,0.037683,0.072280,0.141532", \ - "0.006334,0.009311,0.012667,0.020612,0.037703,0.072285,0.141531", \ - "0.006822,0.009849,0.013035,0.020749,0.037742,0.072288,0.141549"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040092,0.044074,0.047354,0.052922,0.062779,0.081413,0.118244", \ - "0.041552,0.045536,0.048816,0.054383,0.064240,0.082876,0.119707", \ - "0.045582,0.049564,0.052844,0.058412,0.068269,0.086905,0.123734", \ - "0.051662,0.055660,0.058951,0.064530,0.074395,0.093031,0.129858", \ - "0.057330,0.061357,0.064669,0.070277,0.080163,0.098805,0.135637", \ - "0.061038,0.065137,0.068494,0.074141,0.084055,0.102708,0.139529", \ - "0.061806,0.066033,0.069469,0.075203,0.085174,0.103820,0.140631"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.081995,0.087984,0.092895,0.102034,0.120210,0.156668,0.229549", \ - "0.082705,0.088695,0.093606,0.102746,0.120924,0.157376,0.230260", \ - "0.087247,0.093237,0.098147,0.107287,0.125464,0.161917,0.234797", \ - "0.098261,0.104247,0.109157,0.118301,0.136473,0.172924,0.245810", \ - "0.117094,0.123065,0.127968,0.137100,0.155282,0.191726,0.264609", \ - "0.141073,0.147205,0.152172,0.161330,0.179361,0.215755,0.288620", \ - "0.167277,0.173663,0.178774,0.187938,0.205958,0.242242,0.315058"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004193,0.005972,0.007741,0.011270,0.018617,0.034104,0.065958", \ - "0.004194,0.005971,0.007740,0.011271,0.018617,0.034104,0.065956", \ - "0.004195,0.005973,0.007742,0.011272,0.018618,0.034104,0.065956", \ - "0.004268,0.006035,0.007793,0.011307,0.018635,0.034109,0.065958", \ - "0.004416,0.006158,0.007897,0.011384,0.018679,0.034124,0.065959", \ - "0.004695,0.006396,0.008099,0.011531,0.018762,0.034153,0.065965", \ - "0.005137,0.006786,0.008438,0.011785,0.018907,0.034208,0.065978"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006349,0.009375,0.012727,0.020650,0.037716,0.072291,0.141540", \ - "0.006349,0.009376,0.012727,0.020649,0.037717,0.072289,0.141540", \ - "0.006348,0.009374,0.012728,0.020650,0.037720,0.072307,0.141550", \ - "0.006339,0.009367,0.012722,0.020646,0.037719,0.072291,0.141538", \ - "0.006311,0.009338,0.012704,0.020642,0.037718,0.072307,0.141541", \ - "0.006645,0.009673,0.012924,0.020718,0.037742,0.072306,0.141542", \ - "0.007129,0.010221,0.013328,0.020878,0.037778,0.072310,0.141562"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041798,0.045820,0.049122,0.054715,0.064591,0.083234,0.120065", \ - "0.043261,0.047283,0.050585,0.056178,0.066054,0.084695,0.121526", \ - "0.047292,0.051315,0.054617,0.060210,0.070086,0.088729,0.125559", \ - "0.053518,0.057558,0.060872,0.066476,0.076359,0.095002,0.131829", \ - "0.059544,0.063626,0.066966,0.072601,0.082506,0.101157,0.137984", \ - "0.063782,0.067944,0.071337,0.077025,0.086964,0.105626,0.142441", \ - "0.065183,0.069487,0.072970,0.078747,0.088744,0.107421,0.144228"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.090822,0.096879,0.101819,0.110956,0.129114,0.165554,0.238430", \ - "0.091589,0.097651,0.102592,0.111729,0.129888,0.166321,0.239201", \ - "0.096079,0.102140,0.107080,0.116217,0.134375,0.170810,0.243687", \ - "0.106785,0.112844,0.117784,0.126921,0.145080,0.181517,0.254392", \ - "0.125336,0.131384,0.136319,0.145450,0.163576,0.200012,0.272897", \ - "0.150326,0.156483,0.161462,0.170577,0.188644,0.225031,0.297889", \ - "0.177765,0.184165,0.189286,0.198420,0.216401,0.252756,0.325524"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004331,0.006090,0.007841,0.011345,0.018659,0.034121,0.065961", \ - "0.004333,0.006089,0.007841,0.011345,0.018659,0.034121,0.065961", \ - "0.004335,0.006092,0.007842,0.011346,0.018660,0.034120,0.065960", \ - "0.004412,0.006157,0.007896,0.011382,0.018678,0.034125,0.065961", \ - "0.004586,0.006305,0.008024,0.011476,0.018732,0.034146,0.065964", \ - "0.004905,0.006580,0.008259,0.011650,0.018831,0.034181,0.065969", \ - "0.005391,0.007014,0.008639,0.011938,0.018999,0.034246,0.065985"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006487,0.009521,0.012825,0.020687,0.037728,0.072303,0.141559", \ - "0.006487,0.009521,0.012825,0.020686,0.037731,0.072296,0.141559", \ - "0.006488,0.009522,0.012826,0.020690,0.037731,0.072296,0.141559", \ - "0.006483,0.009520,0.012823,0.020686,0.037728,0.072314,0.141557", \ - "0.006455,0.009491,0.012805,0.020679,0.037726,0.072296,0.141557", \ - "0.006700,0.009732,0.012963,0.020736,0.037737,0.072297,0.141554", \ - "0.007175,0.010268,0.013361,0.020893,0.037781,0.072315,0.141566"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041800,0.045822,0.049124,0.054717,0.064594,0.083235,0.120067", \ - "0.043260,0.047281,0.050584,0.056177,0.066053,0.084694,0.121525", \ - "0.047258,0.051281,0.054583,0.060176,0.070053,0.088693,0.125525", \ - "0.053433,0.057473,0.060787,0.066391,0.076273,0.094916,0.131745", \ - "0.059466,0.063547,0.066888,0.072522,0.082429,0.101079,0.137906", \ - "0.063878,0.068042,0.071436,0.077128,0.087071,0.105733,0.142548", \ - "0.065717,0.070020,0.073504,0.079276,0.089283,0.107947,0.144754"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.080142,0.085904,0.090725,0.099857,0.118070,0.154547,0.227439", \ - "0.081043,0.086804,0.091625,0.100758,0.118969,0.155447,0.228341", \ - "0.085756,0.091517,0.096339,0.105471,0.123682,0.160161,0.233050", \ - "0.096725,0.102485,0.107306,0.116438,0.134649,0.171124,0.244015", \ - "0.115345,0.121110,0.125931,0.135055,0.153253,0.189710,0.262600", \ - "0.138556,0.144512,0.149390,0.158509,0.176589,0.213011,0.285880", \ - "0.163659,0.169880,0.174882,0.183992,0.202064,0.238309,0.311131"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004331,0.006090,0.007841,0.011345,0.018660,0.034121,0.065961", \ - "0.004333,0.006089,0.007841,0.011344,0.018659,0.034121,0.065960", \ - "0.004335,0.006092,0.007842,0.011346,0.018660,0.034120,0.065961", \ - "0.004413,0.006157,0.007896,0.011383,0.018678,0.034126,0.065961", \ - "0.004588,0.006307,0.008025,0.011478,0.018733,0.034146,0.065964", \ - "0.004906,0.006581,0.008260,0.011652,0.018832,0.034181,0.065969", \ - "0.005388,0.007015,0.008640,0.011940,0.019000,0.034247,0.065983"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005974,0.008966,0.012459,0.020545,0.037691,0.072284,0.141530", \ - "0.005972,0.008966,0.012458,0.020547,0.037686,0.072277,0.141542", \ - "0.005972,0.008965,0.012458,0.020545,0.037689,0.072277,0.141529", \ - "0.005970,0.008965,0.012457,0.020548,0.037690,0.072272,0.141528", \ - "0.005983,0.008974,0.012463,0.020546,0.037692,0.072277,0.141540", \ - "0.006360,0.009338,0.012681,0.020620,0.037706,0.072280,0.141530", \ - "0.006847,0.009869,0.013047,0.020752,0.037736,0.072296,0.141552"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041798,0.045820,0.049122,0.054715,0.064591,0.083234,0.120065", \ - "0.043261,0.047283,0.050585,0.056178,0.066054,0.084695,0.121526", \ - "0.047292,0.051315,0.054617,0.060210,0.070086,0.088729,0.125559", \ - "0.053518,0.057558,0.060872,0.066476,0.076359,0.095002,0.131829", \ - "0.059544,0.063626,0.066966,0.072601,0.082506,0.101157,0.137984", \ - "0.063782,0.067944,0.071337,0.077025,0.086964,0.105626,0.142441", \ - "0.065183,0.069487,0.072970,0.078747,0.088744,0.107421,0.144228"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.090822,0.096879,0.101819,0.110956,0.129114,0.165554,0.238430", \ - "0.091589,0.097651,0.102592,0.111729,0.129888,0.166321,0.239201", \ - "0.096079,0.102140,0.107080,0.116217,0.134375,0.170810,0.243687", \ - "0.106785,0.112844,0.117784,0.126921,0.145080,0.181517,0.254392", \ - "0.125336,0.131384,0.136319,0.145450,0.163576,0.200012,0.272897", \ - "0.150326,0.156483,0.161462,0.170577,0.188644,0.225031,0.297889", \ - "0.177765,0.184165,0.189286,0.198420,0.216401,0.252756,0.325524"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004331,0.006090,0.007841,0.011345,0.018659,0.034121,0.065961", \ - "0.004333,0.006089,0.007841,0.011345,0.018659,0.034121,0.065961", \ - "0.004335,0.006092,0.007842,0.011346,0.018660,0.034120,0.065960", \ - "0.004412,0.006157,0.007896,0.011382,0.018678,0.034125,0.065961", \ - "0.004586,0.006305,0.008024,0.011476,0.018732,0.034146,0.065964", \ - "0.004905,0.006580,0.008259,0.011650,0.018831,0.034181,0.065969", \ - "0.005391,0.007014,0.008639,0.011938,0.018999,0.034246,0.065985"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006487,0.009521,0.012825,0.020687,0.037728,0.072303,0.141559", \ - "0.006487,0.009521,0.012825,0.020686,0.037731,0.072296,0.141559", \ - "0.006488,0.009522,0.012826,0.020690,0.037731,0.072296,0.141559", \ - "0.006483,0.009520,0.012823,0.020686,0.037728,0.072314,0.141557", \ - "0.006455,0.009491,0.012805,0.020679,0.037726,0.072296,0.141557", \ - "0.006700,0.009732,0.012963,0.020736,0.037737,0.072297,0.141554", \ - "0.007175,0.010268,0.013361,0.020893,0.037781,0.072315,0.141566"); - } - } - - timing () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043472,0.047530,0.050857,0.056478,0.066374,0.085025,0.121854", \ - "0.044935,0.048993,0.052321,0.057942,0.067838,0.086488,0.123315", \ - "0.048969,0.053029,0.056357,0.061978,0.071875,0.090524,0.127353", \ - "0.055329,0.059405,0.062743,0.068374,0.078278,0.096929,0.133753", \ - "0.061691,0.065816,0.069186,0.074851,0.084781,0.103441,0.140263", \ - "0.066430,0.070646,0.074074,0.079803,0.089774,0.108446,0.145259", \ - "0.068455,0.072820,0.076346,0.082162,0.092189,0.110880,0.147683"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.099610,0.105743,0.110715,0.119852,0.137991,0.174413,0.247286", \ - "0.100434,0.106566,0.111537,0.120674,0.138814,0.175231,0.248104", \ - "0.104883,0.111015,0.115988,0.125124,0.143265,0.179687,0.252558", \ - "0.115377,0.121509,0.126481,0.135618,0.153759,0.190176,0.263050", \ - "0.133532,0.139656,0.144626,0.153777,0.171928,0.208344,0.281215", \ - "0.159254,0.165441,0.170434,0.179543,0.197622,0.234010,0.306850", \ - "0.187857,0.194279,0.199412,0.208565,0.226526,0.262887,0.335669"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004474,0.006213,0.007947,0.011424,0.018706,0.034140,0.065961", \ - "0.004475,0.006213,0.007947,0.011424,0.018707,0.034140,0.065965", \ - "0.004476,0.006214,0.007949,0.011425,0.018707,0.034140,0.065961", \ - "0.004557,0.006281,0.008003,0.011463,0.018726,0.034146,0.065963", \ - "0.004752,0.006451,0.008151,0.011573,0.018790,0.034170,0.065971", \ - "0.005098,0.006753,0.008412,0.011766,0.018901,0.034211,0.065976", \ - "0.005609,0.007218,0.008823,0.012082,0.019087,0.034285,0.065995"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006628,0.009671,0.012927,0.020726,0.037741,0.072317,0.141561", \ - "0.006627,0.009672,0.012927,0.020725,0.037739,0.072316,0.141562", \ - "0.006626,0.009672,0.012927,0.020726,0.037744,0.072303,0.141562", \ - "0.006626,0.009670,0.012927,0.020728,0.037744,0.072319,0.141563", \ - "0.006608,0.009651,0.012914,0.020721,0.037739,0.072318,0.141552", \ - "0.006759,0.009798,0.013010,0.020752,0.037750,0.072300,0.141551", \ - "0.007231,0.010324,0.013404,0.020908,0.037784,0.072314,0.141564"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045977,0.049995,0.053299,0.058894,0.068772,0.087415,0.124245", \ - "0.047322,0.051339,0.054643,0.060239,0.070116,0.088759,0.125592", \ - "0.052288,0.056306,0.059611,0.065205,0.075083,0.093727,0.130556", \ - "0.061587,0.065609,0.068915,0.074515,0.084396,0.103040,0.139872", \ - "0.070512,0.074568,0.077899,0.083526,0.093413,0.112067,0.148898", \ - "0.077526,0.081670,0.085052,0.090687,0.100566,0.119224,0.156042", \ - "0.082136,0.086430,0.089910,0.095657,0.105468,0.124130,0.160935"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.073388,0.078875,0.083638,0.092803,0.111079,0.147595,0.220501", \ - "0.074624,0.080112,0.084874,0.094039,0.112316,0.148830,0.221735", \ - "0.079759,0.085246,0.090009,0.099174,0.117451,0.153965,0.226869", \ - "0.089845,0.095333,0.100095,0.109258,0.127533,0.164046,0.236952", \ - "0.104871,0.110430,0.115198,0.124330,0.142566,0.179066,0.251963", \ - "0.122025,0.127807,0.132629,0.141735,0.159901,0.196373,0.269263", \ - "0.141555,0.147585,0.152501,0.161632,0.179763,0.216185,0.289054"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004327,0.006088,0.007842,0.011347,0.018663,0.034123,0.065961", \ - "0.004326,0.006088,0.007841,0.011347,0.018662,0.034123,0.065964", \ - "0.004327,0.006088,0.007841,0.011347,0.018662,0.034123,0.065965", \ - "0.004366,0.006120,0.007867,0.011364,0.018671,0.034125,0.065962", \ - "0.004547,0.006271,0.007994,0.011455,0.018720,0.034145,0.065966", \ - "0.004886,0.006552,0.008229,0.011622,0.018809,0.034168,0.065971", \ - "0.005395,0.007009,0.008627,0.011917,0.018975,0.034224,0.065978"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005457,0.008505,0.012197,0.020456,0.037658,0.072257,0.141516", \ - "0.005458,0.008505,0.012197,0.020457,0.037660,0.072269,0.141519", \ - "0.005458,0.008504,0.012196,0.020456,0.037659,0.072250,0.141528", \ - "0.005458,0.008507,0.012198,0.020457,0.037663,0.072266,0.141526", \ - "0.005628,0.008633,0.012261,0.020474,0.037661,0.072254,0.141529", \ - "0.006026,0.009004,0.012479,0.020550,0.037685,0.072270,0.141523", \ - "0.006463,0.009470,0.012778,0.020661,0.037727,0.072287,0.141532"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045986,0.050003,0.053307,0.058903,0.068781,0.087424,0.124254", \ - "0.047376,0.051394,0.054698,0.060293,0.070171,0.088814,0.125646", \ - "0.052406,0.056423,0.059728,0.065323,0.075201,0.093845,0.130677", \ - "0.061709,0.065731,0.069038,0.074637,0.084518,0.103162,0.139994", \ - "0.070508,0.074565,0.077897,0.083533,0.093415,0.112069,0.148899", \ - "0.077171,0.081315,0.084698,0.090333,0.100193,0.118852,0.155669", \ - "0.081064,0.085364,0.088848,0.094599,0.104337,0.123066,0.159868"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.087403,0.093178,0.098012,0.107157,0.125381,0.161869,0.234767", \ - "0.088390,0.094166,0.099000,0.108146,0.126370,0.162854,0.235755", \ - "0.092885,0.098662,0.103495,0.112641,0.130864,0.167354,0.240250", \ - "0.102342,0.108117,0.112951,0.122096,0.140319,0.176806,0.249702", \ - "0.117402,0.123207,0.128046,0.137155,0.155364,0.191836,0.264730", \ - "0.135895,0.141907,0.146822,0.155953,0.174057,0.210503,0.283375", \ - "0.157292,0.163542,0.168579,0.177698,0.195840,0.232222,0.305069"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004327,0.006088,0.007841,0.011347,0.018663,0.034123,0.065962", \ - "0.004325,0.006088,0.007841,0.011347,0.018663,0.034123,0.065964", \ - "0.004327,0.006088,0.007841,0.011347,0.018663,0.034123,0.065963", \ - "0.004366,0.006119,0.007866,0.011364,0.018671,0.034124,0.065962", \ - "0.004547,0.006271,0.007995,0.011455,0.018720,0.034145,0.065966", \ - "0.004890,0.006557,0.008233,0.011625,0.018810,0.034169,0.065969", \ - "0.005413,0.007027,0.008642,0.011929,0.018982,0.034226,0.065976"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005963,0.008977,0.012473,0.020557,0.037693,0.072296,0.141545", \ - "0.005963,0.008977,0.012473,0.020556,0.037695,0.072291,0.141542", \ - "0.005964,0.008978,0.012473,0.020557,0.037694,0.072278,0.141541", \ - "0.005965,0.008978,0.012475,0.020558,0.037692,0.072289,0.141546", \ - "0.006028,0.009036,0.012507,0.020566,0.037695,0.072280,0.141536", \ - "0.006414,0.009431,0.012758,0.020658,0.037722,0.072291,0.141550", \ - "0.006846,0.009921,0.013110,0.020798,0.037764,0.072314,0.141555"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047737,0.051794,0.055120,0.060740,0.070636,0.089286,0.126116", \ - "0.049127,0.053185,0.056510,0.062130,0.072026,0.090677,0.127507", \ - "0.054153,0.058212,0.061538,0.067158,0.077055,0.095704,0.132531", \ - "0.063612,0.067674,0.071004,0.076627,0.086527,0.105177,0.142008", \ - "0.073018,0.077131,0.080493,0.086164,0.096054,0.114714,0.151536", \ - "0.080338,0.084558,0.087984,0.093666,0.103557,0.122223,0.159033", \ - "0.084923,0.089315,0.092856,0.098672,0.108458,0.127200,0.163992"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.095016,0.100850,0.105699,0.114839,0.133045,0.169518,0.242419", \ - "0.096093,0.101925,0.106775,0.115915,0.134122,0.170597,0.243493", \ - "0.100623,0.106456,0.111307,0.120446,0.138654,0.175128,0.248024", \ - "0.110012,0.115846,0.120696,0.129835,0.148044,0.184516,0.257415", \ - "0.125283,0.131129,0.135981,0.145105,0.163285,0.199744,0.272634", \ - "0.144945,0.150957,0.155875,0.165003,0.183104,0.219536,0.292419", \ - "0.167433,0.173684,0.178718,0.187835,0.205991,0.242343,0.315183"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004466,0.006207,0.007945,0.011423,0.018707,0.034141,0.065965", \ - "0.004467,0.006208,0.007944,0.011423,0.018707,0.034141,0.065965", \ - "0.004467,0.006208,0.007944,0.011423,0.018706,0.034140,0.065968", \ - "0.004506,0.006241,0.007971,0.011441,0.018715,0.034143,0.065965", \ - "0.004733,0.006432,0.008131,0.011557,0.018779,0.034168,0.065970", \ - "0.005137,0.006776,0.008423,0.011767,0.018894,0.034201,0.065977", \ - "0.005704,0.007298,0.008887,0.012121,0.019098,0.034275,0.065985"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006076,0.009087,0.012541,0.020579,0.037699,0.072287,0.141553", \ - "0.006074,0.009086,0.012539,0.020583,0.037698,0.072286,0.141552", \ - "0.006074,0.009088,0.012540,0.020579,0.037701,0.072287,0.141552", \ - "0.006075,0.009086,0.012539,0.020580,0.037701,0.072287,0.141550", \ - "0.006102,0.009110,0.012555,0.020587,0.037702,0.072289,0.141552", \ - "0.006439,0.009455,0.012773,0.020662,0.037721,0.072293,0.141553", \ - "0.006856,0.009926,0.013111,0.020797,0.037763,0.072309,0.141564"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.044065,0.048083,0.051389,0.056986,0.066864,0.085508,0.122339", \ - "0.045378,0.049398,0.052704,0.058300,0.068179,0.086824,0.123652", \ - "0.050356,0.054375,0.057680,0.063276,0.073155,0.091799,0.128628", \ - "0.059395,0.063418,0.066726,0.072329,0.082213,0.100858,0.137687", \ - "0.067652,0.071713,0.075048,0.080686,0.090594,0.109247,0.146079", \ - "0.073912,0.078067,0.081457,0.087104,0.096988,0.115646,0.152461", \ - "0.077604,0.081924,0.085420,0.091186,0.100967,0.119675,0.156474"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.081688,0.087336,0.092131,0.101280,0.119524,0.156026,0.228919", \ - "0.082848,0.088496,0.093292,0.102439,0.120686,0.157185,0.230078", \ - "0.087768,0.093416,0.098211,0.107360,0.125606,0.162100,0.235003", \ - "0.096994,0.102643,0.107439,0.116588,0.134833,0.171331,0.244224", \ - "0.110607,0.116305,0.121107,0.130233,0.148441,0.184919,0.257806", \ - "0.126733,0.132621,0.137483,0.146613,0.164752,0.201205,0.274083", \ - "0.145860,0.151955,0.156905,0.165992,0.184168,0.220578,0.293433"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004330,0.006092,0.007845,0.011351,0.018665,0.034124,0.065962", \ - "0.004329,0.006091,0.007845,0.011350,0.018665,0.034125,0.065962", \ - "0.004327,0.006089,0.007843,0.011348,0.018664,0.034124,0.065963", \ - "0.004376,0.006128,0.007873,0.011369,0.018674,0.034127,0.065964", \ - "0.004566,0.006285,0.008006,0.011464,0.018724,0.034146,0.065965", \ - "0.004930,0.006591,0.008261,0.011645,0.018821,0.034173,0.065970", \ - "0.005472,0.007084,0.008692,0.011968,0.019004,0.034234,0.065980"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005749,0.008762,0.012341,0.020505,0.037672,0.072259,0.141537", \ - "0.005751,0.008761,0.012341,0.020506,0.037679,0.072269,0.141533", \ - "0.005750,0.008761,0.012341,0.020505,0.037676,0.072279,0.141526", \ - "0.005752,0.008763,0.012341,0.020505,0.037674,0.072264,0.141536", \ - "0.005865,0.008857,0.012391,0.020523,0.037676,0.072264,0.141536", \ - "0.006199,0.009193,0.012598,0.020592,0.037706,0.072290,0.141530", \ - "0.006569,0.009594,0.012866,0.020694,0.037726,0.072287,0.141538"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.044072,0.048092,0.051397,0.056994,0.066873,0.085517,0.122348", \ - "0.045434,0.049453,0.052759,0.058356,0.068234,0.086879,0.123707", \ - "0.050471,0.054489,0.057794,0.063391,0.073270,0.091913,0.128743", \ - "0.059516,0.063537,0.066845,0.072449,0.082331,0.100976,0.137808", \ - "0.067675,0.071735,0.075070,0.080697,0.090603,0.109255,0.146085", \ - "0.073574,0.077731,0.081122,0.086768,0.096646,0.115304,0.152118", \ - "0.076563,0.080889,0.084390,0.090159,0.099953,0.118645,0.155445"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.095606,0.101526,0.106411,0.115555,0.133749,0.170219,0.243102", \ - "0.096518,0.102438,0.107323,0.116466,0.134660,0.171129,0.244013", \ - "0.100842,0.106762,0.111647,0.120791,0.138985,0.175451,0.248341", \ - "0.109652,0.115572,0.120457,0.129602,0.147795,0.184259,0.257151", \ - "0.123288,0.129231,0.134122,0.143244,0.161428,0.197878,0.270762", \ - "0.140545,0.146660,0.151626,0.160771,0.178851,0.215257,0.288123", \ - "0.161290,0.167599,0.172673,0.181790,0.199939,0.236306,0.309157"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004331,0.006092,0.007845,0.011351,0.018665,0.034124,0.065962", \ - "0.004329,0.006092,0.007845,0.011351,0.018665,0.034124,0.065962", \ - "0.004328,0.006089,0.007843,0.011349,0.018664,0.034124,0.065963", \ - "0.004375,0.006127,0.007872,0.011368,0.018674,0.034126,0.065964", \ - "0.004564,0.006285,0.008006,0.011464,0.018724,0.034147,0.065969", \ - "0.004935,0.006596,0.008266,0.011648,0.018822,0.034173,0.065972", \ - "0.005491,0.007101,0.008707,0.011979,0.019011,0.034236,0.065978"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006213,0.009238,0.012639,0.020616,0.037707,0.072284,0.141546", \ - "0.006215,0.009238,0.012639,0.020619,0.037707,0.072288,0.141540", \ - "0.006213,0.009239,0.012639,0.020616,0.037708,0.072304,0.141548", \ - "0.006215,0.009239,0.012640,0.020620,0.037710,0.072293,0.141548", \ - "0.006264,0.009284,0.012667,0.020628,0.037717,0.072296,0.141542", \ - "0.006584,0.009630,0.012898,0.020712,0.037743,0.072299,0.141549", \ - "0.006943,0.010044,0.013206,0.020842,0.037772,0.072314,0.141550"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045843,0.049901,0.053228,0.058849,0.068747,0.087397,0.124224", \ - "0.047204,0.051263,0.054590,0.060211,0.070108,0.088759,0.125587", \ - "0.052229,0.056287,0.059614,0.065235,0.075132,0.093782,0.130613", \ - "0.061472,0.065537,0.068867,0.074492,0.084393,0.103045,0.139874", \ - "0.070273,0.074391,0.077756,0.083430,0.093334,0.111993,0.148818", \ - "0.076878,0.081111,0.084546,0.090240,0.100137,0.118802,0.155612", \ - "0.080606,0.085023,0.088583,0.094415,0.104235,0.122930,0.159721"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.104421,0.110411,0.115322,0.124461,0.142639,0.179092,0.251974", \ - "0.105436,0.111427,0.116338,0.125481,0.143655,0.180103,0.252993", \ - "0.109803,0.115794,0.120704,0.129844,0.148018,0.184468,0.257357", \ - "0.118532,0.124522,0.129433,0.138572,0.156748,0.193198,0.266084", \ - "0.132299,0.138296,0.143209,0.152332,0.170492,0.206935,0.279814", \ - "0.150521,0.156663,0.161641,0.170790,0.188875,0.225282,0.298144", \ - "0.172159,0.178482,0.183565,0.192707,0.210866,0.247201,0.320048"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004468,0.006211,0.007947,0.011425,0.018709,0.034141,0.065966", \ - "0.004470,0.006210,0.007947,0.011426,0.018709,0.034142,0.065966", \ - "0.004466,0.006208,0.007944,0.011424,0.018708,0.034141,0.065967", \ - "0.004516,0.006248,0.007976,0.011446,0.018718,0.034144,0.065966", \ - "0.004757,0.006451,0.008147,0.011568,0.018785,0.034169,0.065970", \ - "0.005191,0.006821,0.008462,0.011794,0.018908,0.034205,0.065976", \ - "0.005784,0.007377,0.008958,0.012175,0.019130,0.034286,0.065987"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006350,0.009376,0.012728,0.020650,0.037716,0.072290,0.141542", \ - "0.006348,0.009378,0.012728,0.020649,0.037725,0.072291,0.141555", \ - "0.006348,0.009377,0.012727,0.020649,0.037717,0.072309,0.141555", \ - "0.006350,0.009376,0.012728,0.020652,0.037721,0.072296,0.141541", \ - "0.006368,0.009395,0.012740,0.020655,0.037721,0.072293,0.141540", \ - "0.006645,0.009690,0.012940,0.020728,0.037740,0.072299,0.141555", \ - "0.006988,0.010087,0.013237,0.020851,0.037782,0.072319,0.141557"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045832,0.049892,0.053220,0.058841,0.068738,0.087388,0.124216", \ - "0.047149,0.051208,0.054535,0.060156,0.070053,0.088703,0.125531", \ - "0.052114,0.056173,0.059500,0.065121,0.075018,0.093668,0.130498", \ - "0.061358,0.065423,0.068754,0.074380,0.084280,0.102932,0.139761", \ - "0.070267,0.074386,0.077751,0.083419,0.093319,0.111979,0.148802", \ - "0.077204,0.081436,0.084870,0.090563,0.100474,0.119140,0.155949", \ - "0.081614,0.086026,0.089581,0.095410,0.105262,0.123962,0.160753"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.088915,0.094620,0.099427,0.108567,0.126797,0.163282,0.236185", \ - "0.090161,0.095864,0.100671,0.109811,0.128039,0.164526,0.237427", \ - "0.095111,0.100816,0.105623,0.114763,0.132993,0.169479,0.242377", \ - "0.104281,0.109986,0.114793,0.123933,0.142160,0.178648,0.251549", \ - "0.118229,0.123956,0.128766,0.137886,0.156100,0.192576,0.265466", \ - "0.135325,0.141229,0.146094,0.155228,0.173353,0.209791,0.282671", \ - "0.155303,0.161404,0.166355,0.175456,0.193641,0.230008,0.302868"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004468,0.006211,0.007947,0.011425,0.018709,0.034141,0.065965", \ - "0.004468,0.006210,0.007947,0.011425,0.018709,0.034141,0.065967", \ - "0.004467,0.006208,0.007944,0.011424,0.018708,0.034141,0.065967", \ - "0.004517,0.006249,0.007978,0.011446,0.018719,0.034144,0.065967", \ - "0.004757,0.006451,0.008148,0.011568,0.018785,0.034169,0.065971", \ - "0.005183,0.006815,0.008457,0.011791,0.018906,0.034205,0.065978", \ - "0.005767,0.007360,0.008941,0.012161,0.019122,0.034282,0.065989"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005861,0.008862,0.012399,0.020527,0.037682,0.072274,0.141525", \ - "0.005859,0.008862,0.012397,0.020527,0.037678,0.072271,0.141533", \ - "0.005862,0.008861,0.012399,0.020525,0.037684,0.072266,0.141538", \ - "0.005862,0.008862,0.012399,0.020524,0.037677,0.072279,0.141527", \ - "0.005916,0.008907,0.012423,0.020535,0.037683,0.072268,0.141528", \ - "0.006237,0.009228,0.012617,0.020599,0.037701,0.072282,0.141527", \ - "0.006591,0.009613,0.012878,0.020699,0.037727,0.072290,0.141546"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045843,0.049901,0.053228,0.058849,0.068747,0.087397,0.124224", \ - "0.047204,0.051263,0.054590,0.060211,0.070108,0.088759,0.125587", \ - "0.052229,0.056287,0.059614,0.065235,0.075132,0.093782,0.130613", \ - "0.061472,0.065537,0.068867,0.074492,0.084393,0.103045,0.139874", \ - "0.070273,0.074391,0.077756,0.083430,0.093334,0.111993,0.148818", \ - "0.076878,0.081111,0.084546,0.090240,0.100137,0.118802,0.155612", \ - "0.080606,0.085023,0.088583,0.094415,0.104235,0.122930,0.159721"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.104421,0.110411,0.115322,0.124461,0.142639,0.179092,0.251974", \ - "0.105436,0.111427,0.116338,0.125481,0.143655,0.180103,0.252993", \ - "0.109803,0.115794,0.120704,0.129844,0.148018,0.184468,0.257357", \ - "0.118532,0.124522,0.129433,0.138572,0.156748,0.193198,0.266084", \ - "0.132299,0.138296,0.143209,0.152332,0.170492,0.206935,0.279814", \ - "0.150521,0.156663,0.161641,0.170790,0.188875,0.225282,0.298144", \ - "0.172159,0.178482,0.183565,0.192707,0.210866,0.247201,0.320048"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004468,0.006211,0.007947,0.011425,0.018709,0.034141,0.065966", \ - "0.004470,0.006210,0.007947,0.011426,0.018709,0.034142,0.065966", \ - "0.004466,0.006208,0.007944,0.011424,0.018708,0.034141,0.065967", \ - "0.004516,0.006248,0.007976,0.011446,0.018718,0.034144,0.065966", \ - "0.004757,0.006451,0.008147,0.011568,0.018785,0.034169,0.065970", \ - "0.005191,0.006821,0.008462,0.011794,0.018908,0.034205,0.065976", \ - "0.005784,0.007377,0.008958,0.012175,0.019130,0.034286,0.065987"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006350,0.009376,0.012728,0.020650,0.037716,0.072290,0.141542", \ - "0.006348,0.009378,0.012728,0.020649,0.037725,0.072291,0.141555", \ - "0.006348,0.009377,0.012727,0.020649,0.037717,0.072309,0.141555", \ - "0.006350,0.009376,0.012728,0.020652,0.037721,0.072296,0.141541", \ - "0.006368,0.009395,0.012740,0.020655,0.037721,0.072293,0.141540", \ - "0.006645,0.009690,0.012940,0.020728,0.037740,0.072299,0.141555", \ - "0.006988,0.010087,0.013237,0.020851,0.037782,0.072319,0.141557"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047578,0.051674,0.055025,0.060674,0.070592,0.089250,0.126078", \ - "0.048940,0.053035,0.056387,0.062035,0.071953,0.090611,0.127437", \ - "0.053958,0.058055,0.061407,0.067055,0.076972,0.095630,0.132456", \ - "0.063375,0.067477,0.070832,0.076485,0.086406,0.105065,0.141894", \ - "0.072782,0.076948,0.080344,0.086043,0.095989,0.114656,0.151477", \ - "0.080029,0.084327,0.087804,0.093543,0.103475,0.122152,0.158955", \ - "0.084469,0.088957,0.092568,0.098461,0.108327,0.127041,0.163828"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.113265,0.119326,0.124266,0.133404,0.151557,0.187994,0.260877", \ - "0.114361,0.120421,0.125361,0.134500,0.152653,0.189093,0.261975", \ - "0.118777,0.124837,0.129777,0.138911,0.157069,0.193503,0.266387", \ - "0.127442,0.133504,0.138444,0.147580,0.165741,0.202175,0.275054", \ - "0.141227,0.147291,0.152232,0.161353,0.179500,0.215905,0.288781", \ - "0.160227,0.166403,0.171396,0.180549,0.198637,0.235011,0.307852", \ - "0.182715,0.189065,0.194163,0.203288,0.221497,0.257846,0.330680"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004611,0.006334,0.008054,0.011505,0.018756,0.034161,0.065970", \ - "0.004610,0.006334,0.008053,0.011505,0.018756,0.034161,0.065973", \ - "0.004606,0.006332,0.008052,0.011504,0.018755,0.034161,0.065972", \ - "0.004655,0.006372,0.008084,0.011527,0.018766,0.034164,0.065971", \ - "0.004937,0.006610,0.008285,0.011672,0.018846,0.034194,0.065976", \ - "0.005415,0.007027,0.008643,0.011935,0.018992,0.034240,0.065985", \ - "0.006033,0.007616,0.009178,0.012353,0.019241,0.034335,0.066000"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006487,0.009521,0.012826,0.020686,0.037727,0.072295,0.141544", \ - "0.006487,0.009521,0.012824,0.020687,0.037729,0.072301,0.141550", \ - "0.006487,0.009521,0.012824,0.020686,0.037728,0.072304,0.141550", \ - "0.006487,0.009522,0.012825,0.020685,0.037727,0.072297,0.141553", \ - "0.006494,0.009529,0.012830,0.020687,0.037729,0.072298,0.141552", \ - "0.006715,0.009767,0.012993,0.020746,0.037745,0.072310,0.141546", \ - "0.007053,0.010154,0.013285,0.020869,0.037787,0.072326,0.141568"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047273,0.051291,0.054594,0.060190,0.070067,0.088710,0.125542", \ - "0.048688,0.052706,0.056011,0.061606,0.071483,0.090126,0.126958", \ - "0.052678,0.056695,0.060000,0.065595,0.075472,0.094115,0.130947", \ - "0.059555,0.063577,0.066886,0.072485,0.082365,0.101009,0.137840", \ - "0.067133,0.071177,0.074502,0.080123,0.090019,0.108668,0.145498", \ - "0.073618,0.077710,0.081064,0.086714,0.096626,0.115282,0.152106", \ - "0.078043,0.082220,0.085627,0.091294,0.101220,0.119869,0.156685"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.078392,0.083921,0.088688,0.097844,0.116107,0.152616,0.225518", \ - "0.079753,0.085281,0.090048,0.099204,0.117469,0.153977,0.226878", \ - "0.085345,0.090874,0.095641,0.104797,0.123062,0.159569,0.232472", \ - "0.095643,0.101172,0.105940,0.115095,0.133357,0.169869,0.242769", \ - "0.111242,0.116811,0.121580,0.130708,0.148945,0.185440,0.258341", \ - "0.129678,0.135455,0.140274,0.149394,0.167520,0.203982,0.276868", \ - "0.150680,0.156690,0.161595,0.170714,0.188866,0.225272,0.298138"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004327,0.006089,0.007841,0.011347,0.018663,0.034123,0.065964", \ - "0.004327,0.006088,0.007841,0.011347,0.018663,0.034123,0.065962", \ - "0.004327,0.006088,0.007841,0.011347,0.018662,0.034123,0.065963", \ - "0.004358,0.006113,0.007862,0.011361,0.018669,0.034125,0.065965", \ - "0.004468,0.006208,0.007943,0.011420,0.018702,0.034136,0.065966", \ - "0.004663,0.006372,0.008083,0.011522,0.018760,0.034156,0.065966", \ - "0.004974,0.006642,0.008315,0.011694,0.018857,0.034191,0.065975"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005544,0.008575,0.012235,0.020467,0.037659,0.072258,0.141524", \ - "0.005547,0.008574,0.012235,0.020470,0.037664,0.072262,0.141520", \ - "0.005545,0.008575,0.012235,0.020467,0.037667,0.072254,0.141529", \ - "0.005546,0.008575,0.012236,0.020467,0.037662,0.072252,0.141531", \ - "0.005644,0.008649,0.012272,0.020483,0.037664,0.072259,0.141531", \ - "0.006026,0.009004,0.012476,0.020550,0.037684,0.072277,0.141539", \ - "0.006438,0.009436,0.012753,0.020651,0.037715,0.072288,0.141540"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047281,0.051300,0.054604,0.060199,0.070077,0.088719,0.125552", \ - "0.048743,0.052761,0.056065,0.061660,0.071538,0.090181,0.127013", \ - "0.052796,0.056814,0.060119,0.065714,0.075591,0.094234,0.131067", \ - "0.059698,0.063719,0.067028,0.072627,0.082507,0.101151,0.137982", \ - "0.067248,0.071293,0.074617,0.080241,0.090136,0.108784,0.145615", \ - "0.073539,0.077629,0.080983,0.086636,0.096549,0.115205,0.152029", \ - "0.077505,0.081685,0.085094,0.090753,0.100684,0.119351,0.156168"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.093753,0.099585,0.104435,0.113574,0.131781,0.168257,0.241157", \ - "0.094901,0.100732,0.105581,0.114719,0.132927,0.169402,0.242297", \ - "0.099982,0.105814,0.110664,0.119801,0.138008,0.174483,0.247380", \ - "0.109701,0.115533,0.120383,0.129522,0.147728,0.184203,0.257104", \ - "0.125072,0.130917,0.135769,0.144902,0.163070,0.199533,0.272418", \ - "0.144826,0.150846,0.155761,0.164894,0.182986,0.219415,0.292297", \ - "0.167741,0.173984,0.179014,0.188137,0.206301,0.242668,0.315502"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004327,0.006088,0.007841,0.011347,0.018663,0.034123,0.065963", \ - "0.004327,0.006088,0.007841,0.011347,0.018663,0.034123,0.065962", \ - "0.004325,0.006088,0.007841,0.011347,0.018663,0.034123,0.065962", \ - "0.004358,0.006112,0.007861,0.011361,0.018669,0.034125,0.065962", \ - "0.004468,0.006207,0.007943,0.011420,0.018702,0.034137,0.065966", \ - "0.004663,0.006373,0.008083,0.011522,0.018759,0.034156,0.065966", \ - "0.004979,0.006647,0.008318,0.011696,0.018858,0.034191,0.065975"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006073,0.009085,0.012539,0.020579,0.037698,0.072284,0.141538", \ - "0.006074,0.009084,0.012538,0.020578,0.037697,0.072285,0.141541", \ - "0.006075,0.009086,0.012539,0.020579,0.037698,0.072286,0.141552", \ - "0.006073,0.009084,0.012538,0.020580,0.037697,0.072284,0.141547", \ - "0.006103,0.009110,0.012553,0.020585,0.037699,0.072294,0.141555", \ - "0.006435,0.009449,0.012768,0.020660,0.037724,0.072296,0.141555", \ - "0.006843,0.009911,0.013097,0.020795,0.037759,0.072320,0.141559"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.049031,0.053090,0.056416,0.062036,0.071932,0.090581,0.127409", \ - "0.050493,0.054551,0.057878,0.063498,0.073394,0.092043,0.128871", \ - "0.054549,0.058607,0.061933,0.067553,0.077449,0.096099,0.132926", \ - "0.061508,0.065572,0.068902,0.074526,0.084425,0.103076,0.139905", \ - "0.069316,0.073412,0.076762,0.082410,0.092326,0.110981,0.147808", \ - "0.076026,0.080178,0.083565,0.089253,0.099188,0.117852,0.154669", \ - "0.080515,0.084765,0.088214,0.093922,0.103865,0.122558,0.159368"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.101342,0.107229,0.112098,0.121231,0.139425,0.175889,0.248779", \ - "0.102512,0.108404,0.113272,0.122406,0.140599,0.177064,0.249956", \ - "0.107619,0.113510,0.118378,0.127512,0.145705,0.182166,0.255064", \ - "0.117313,0.123205,0.128073,0.137207,0.155399,0.191867,0.264757", \ - "0.132788,0.138684,0.143552,0.152669,0.170846,0.207306,0.280198", \ - "0.153523,0.159559,0.164480,0.173596,0.191710,0.228115,0.300984", \ - "0.177422,0.183675,0.188709,0.197826,0.215992,0.252411,0.325258"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004467,0.006208,0.007944,0.011423,0.018707,0.034140,0.065968", \ - "0.004466,0.006208,0.007945,0.011423,0.018707,0.034141,0.065964", \ - "0.004466,0.006208,0.007945,0.011423,0.018707,0.034140,0.065968", \ - "0.004497,0.006232,0.007964,0.011437,0.018714,0.034143,0.065967", \ - "0.004629,0.006346,0.008062,0.011509,0.018754,0.034158,0.065969", \ - "0.004853,0.006541,0.008227,0.011631,0.018824,0.034181,0.065971", \ - "0.005207,0.006852,0.008498,0.011833,0.018940,0.034226,0.065982"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006188,0.009196,0.012609,0.020607,0.037710,0.072294,0.141542", \ - "0.006188,0.009197,0.012609,0.020604,0.037708,0.072289,0.141539", \ - "0.006186,0.009198,0.012610,0.020605,0.037707,0.072306,0.141538", \ - "0.006188,0.009197,0.012609,0.020607,0.037712,0.072289,0.141540", \ - "0.006199,0.009208,0.012613,0.020607,0.037706,0.072301,0.141543", \ - "0.006473,0.009487,0.012793,0.020670,0.037730,0.072306,0.141555", \ - "0.006872,0.009938,0.013116,0.020799,0.037761,0.072309,0.141562"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045360,0.049378,0.052684,0.058280,0.068159,0.086803,0.123631", \ - "0.046739,0.050759,0.054064,0.059661,0.069540,0.088184,0.125015", \ - "0.050692,0.054710,0.058016,0.063613,0.073492,0.092135,0.128966", \ - "0.057409,0.061434,0.064742,0.070345,0.080228,0.098872,0.135701", \ - "0.064554,0.068601,0.071926,0.077544,0.087445,0.106094,0.142923", \ - "0.070365,0.074463,0.077821,0.083470,0.093392,0.112047,0.148872", \ - "0.073917,0.078109,0.081525,0.087202,0.097134,0.115796,0.152610"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.087776,0.093479,0.098287,0.107427,0.125655,0.162147,0.235041", \ - "0.089086,0.094789,0.099597,0.108736,0.126965,0.163451,0.236352", \ - "0.094547,0.100251,0.105058,0.114198,0.132427,0.168913,0.241814", \ - "0.104011,0.109716,0.114523,0.123663,0.141891,0.178377,0.251279", \ - "0.118024,0.123755,0.128565,0.137684,0.155885,0.192359,0.265252", \ - "0.135254,0.141155,0.146020,0.155128,0.173261,0.209712,0.282565", \ - "0.155670,0.161762,0.166709,0.175783,0.193948,0.230391,0.303253"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004330,0.006092,0.007845,0.011350,0.018665,0.034124,0.065962", \ - "0.004331,0.006092,0.007845,0.011350,0.018665,0.034124,0.065963", \ - "0.004328,0.006090,0.007845,0.011350,0.018665,0.034124,0.065963", \ - "0.004365,0.006119,0.007867,0.011365,0.018673,0.034127,0.065965", \ - "0.004480,0.006216,0.007951,0.011426,0.018706,0.034139,0.065965", \ - "0.004689,0.006394,0.008101,0.011535,0.018767,0.034158,0.065970", \ - "0.005026,0.006687,0.008353,0.011722,0.018873,0.034197,0.065975"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005861,0.008862,0.012398,0.020525,0.037677,0.072277,0.141529", \ - "0.005860,0.008861,0.012397,0.020525,0.037679,0.072274,0.141524", \ - "0.005859,0.008861,0.012397,0.020528,0.037682,0.072267,0.141533", \ - "0.005862,0.008863,0.012399,0.020527,0.037680,0.072268,0.141523", \ - "0.005921,0.008910,0.012425,0.020532,0.037685,0.072266,0.141528", \ - "0.006233,0.009223,0.012616,0.020598,0.037699,0.072292,0.141540", \ - "0.006577,0.009595,0.012866,0.020694,0.037725,0.072289,0.141545"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.045367,0.049387,0.052692,0.058289,0.068168,0.086812,0.123641", \ - "0.046795,0.050815,0.054120,0.059717,0.069595,0.088239,0.125070", \ - "0.050810,0.054829,0.058135,0.063732,0.073611,0.092254,0.129085", \ - "0.057551,0.061574,0.064882,0.070485,0.080367,0.099011,0.135840", \ - "0.064660,0.068707,0.072033,0.077656,0.087555,0.106205,0.143035", \ - "0.070292,0.074390,0.077748,0.083401,0.093318,0.111974,0.148799", \ - "0.073402,0.077596,0.081012,0.086701,0.096636,0.115296,0.152110"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.103020,0.109010,0.113921,0.123061,0.141237,0.177688,0.250572", \ - "0.104116,0.110105,0.115016,0.124158,0.142331,0.178786,0.251667", \ - "0.109106,0.115096,0.120007,0.129147,0.147322,0.183774,0.256657", \ - "0.118207,0.124198,0.129108,0.138247,0.156424,0.192873,0.265760", \ - "0.132090,0.138088,0.143001,0.152112,0.170284,0.206718,0.279591", \ - "0.150387,0.156526,0.161502,0.170645,0.188751,0.225156,0.298014", \ - "0.172472,0.178789,0.183868,0.192984,0.211139,0.247486,0.320326"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004331,0.006092,0.007845,0.011350,0.018665,0.034125,0.065964", \ - "0.004330,0.006092,0.007844,0.011350,0.018665,0.034125,0.065963", \ - "0.004328,0.006091,0.007844,0.011350,0.018665,0.034125,0.065963", \ - "0.004364,0.006119,0.007866,0.011365,0.018673,0.034126,0.065966", \ - "0.004477,0.006216,0.007950,0.011426,0.018706,0.034138,0.065966", \ - "0.004689,0.006394,0.008101,0.011536,0.018767,0.034158,0.065970", \ - "0.005029,0.006691,0.008355,0.011724,0.018874,0.034197,0.065974"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006350,0.009377,0.012728,0.020650,0.037725,0.072303,0.141541", \ - "0.006348,0.009377,0.012728,0.020650,0.037719,0.072289,0.141539", \ - "0.006350,0.009377,0.012728,0.020652,0.037719,0.072308,0.141541", \ - "0.006350,0.009377,0.012727,0.020649,0.037721,0.072298,0.141540", \ - "0.006370,0.009394,0.012741,0.020653,0.037720,0.072290,0.141543", \ - "0.006640,0.009685,0.012936,0.020726,0.037737,0.072296,0.141555", \ - "0.006978,0.010073,0.013225,0.020846,0.037772,0.072314,0.141560"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047136,0.051196,0.054523,0.060144,0.070041,0.088692,0.125520", \ - "0.048565,0.052624,0.055951,0.061572,0.071470,0.090120,0.126951", \ - "0.052583,0.056642,0.059969,0.065589,0.075487,0.094137,0.130967", \ - "0.059396,0.063459,0.066790,0.072416,0.082316,0.100968,0.137795", \ - "0.066788,0.070888,0.074240,0.079889,0.089806,0.108463,0.145290", \ - "0.072877,0.077038,0.080430,0.086116,0.096056,0.114720,0.151539", \ - "0.076547,0.080813,0.084271,0.090005,0.099970,0.118637,0.155449"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.111796,0.117857,0.122796,0.131931,0.150092,0.186524,0.259405", \ - "0.112932,0.118991,0.123931,0.133069,0.151226,0.187656,0.260538", \ - "0.117944,0.124005,0.128944,0.138081,0.156244,0.192675,0.265557", \ - "0.127035,0.133096,0.138034,0.147171,0.165331,0.201767,0.274643", \ - "0.140975,0.147039,0.151979,0.161114,0.179266,0.215679,0.288554", \ - "0.160043,0.166217,0.171208,0.180373,0.198464,0.234835,0.307678", \ - "0.182920,0.189265,0.194360,0.203498,0.221689,0.258063,0.330889"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004470,0.006210,0.007947,0.011425,0.018709,0.034141,0.065968", \ - "0.004469,0.006210,0.007947,0.011425,0.018709,0.034142,0.065966", \ - "0.004469,0.006209,0.007946,0.011425,0.018709,0.034141,0.065966", \ - "0.004501,0.006237,0.007969,0.011441,0.018716,0.034144,0.065967", \ - "0.004639,0.006357,0.008071,0.011515,0.018758,0.034160,0.065970", \ - "0.004881,0.006564,0.008249,0.011646,0.018832,0.034184,0.065974", \ - "0.005263,0.006901,0.008540,0.011865,0.018958,0.034231,0.065983"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006486,0.009520,0.012823,0.020685,0.037726,0.072294,0.141541", \ - "0.006486,0.009519,0.012824,0.020685,0.037729,0.072296,0.141552", \ - "0.006484,0.009522,0.012824,0.020685,0.037731,0.072297,0.141546", \ - "0.006485,0.009521,0.012824,0.020686,0.037731,0.072295,0.141555", \ - "0.006492,0.009526,0.012828,0.020686,0.037729,0.072295,0.141553", \ - "0.006710,0.009761,0.012987,0.020744,0.037741,0.072300,0.141559", \ - "0.007044,0.010142,0.013276,0.020865,0.037777,0.072314,0.141568"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047128,0.051187,0.054514,0.060135,0.070032,0.088682,0.125513", \ - "0.048511,0.052569,0.055896,0.061517,0.071415,0.090065,0.126892", \ - "0.052464,0.056523,0.059850,0.065470,0.075368,0.094018,0.130846", \ - "0.059255,0.063319,0.066650,0.072276,0.082177,0.100828,0.137656", \ - "0.066676,0.070775,0.074128,0.079776,0.089698,0.108355,0.145181", \ - "0.072945,0.077106,0.080498,0.086184,0.096126,0.114790,0.151609", \ - "0.077045,0.081310,0.084768,0.090490,0.100449,0.119122,0.155932"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.094978,0.100739,0.105561,0.114696,0.132906,0.169382,0.242275", \ - "0.096314,0.102074,0.106896,0.116030,0.134240,0.170716,0.243608", \ - "0.101799,0.107560,0.112381,0.121514,0.139726,0.176205,0.249097", \ - "0.111255,0.117016,0.121837,0.130969,0.149182,0.185658,0.258549", \ - "0.125464,0.131236,0.136058,0.145170,0.163370,0.199837,0.272725", \ - "0.143560,0.149485,0.154356,0.163464,0.181601,0.218019,0.290893", \ - "0.164747,0.170857,0.175811,0.184912,0.203122,0.239501,0.312329"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004468,0.006211,0.007947,0.011425,0.018709,0.034141,0.065967", \ - "0.004467,0.006210,0.007947,0.011425,0.018709,0.034142,0.065968", \ - "0.004468,0.006209,0.007946,0.011425,0.018708,0.034141,0.065968", \ - "0.004502,0.006238,0.007969,0.011441,0.018717,0.034143,0.065966", \ - "0.004641,0.006356,0.008071,0.011515,0.018758,0.034158,0.065971", \ - "0.004882,0.006564,0.008248,0.011647,0.018832,0.034184,0.065975", \ - "0.005259,0.006897,0.008537,0.011863,0.018957,0.034231,0.065984"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005974,0.008967,0.012459,0.020544,0.037689,0.072271,0.141529", \ - "0.005972,0.008967,0.012458,0.020545,0.037685,0.072285,0.141529", \ - "0.005973,0.008967,0.012458,0.020546,0.037685,0.072272,0.141526", \ - "0.005972,0.008966,0.012459,0.020548,0.037689,0.072271,0.141527", \ - "0.005996,0.008985,0.012472,0.020548,0.037689,0.072273,0.141528", \ - "0.006283,0.009271,0.012644,0.020611,0.037705,0.072279,0.141540", \ - "0.006618,0.009636,0.012891,0.020703,0.037730,0.072291,0.141542"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047136,0.051196,0.054523,0.060144,0.070041,0.088692,0.125520", \ - "0.048565,0.052624,0.055951,0.061572,0.071470,0.090120,0.126951", \ - "0.052583,0.056642,0.059969,0.065589,0.075487,0.094137,0.130967", \ - "0.059396,0.063459,0.066790,0.072416,0.082316,0.100968,0.137795", \ - "0.066788,0.070888,0.074240,0.079889,0.089806,0.108463,0.145290", \ - "0.072877,0.077038,0.080430,0.086116,0.096056,0.114720,0.151539", \ - "0.076547,0.080813,0.084271,0.090005,0.099970,0.118637,0.155449"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.111796,0.117857,0.122796,0.131931,0.150092,0.186524,0.259405", \ - "0.112932,0.118991,0.123931,0.133069,0.151226,0.187656,0.260538", \ - "0.117944,0.124005,0.128944,0.138081,0.156244,0.192675,0.265557", \ - "0.127035,0.133096,0.138034,0.147171,0.165331,0.201767,0.274643", \ - "0.140975,0.147039,0.151979,0.161114,0.179266,0.215679,0.288554", \ - "0.160043,0.166217,0.171208,0.180373,0.198464,0.234835,0.307678", \ - "0.182920,0.189265,0.194360,0.203498,0.221689,0.258063,0.330889"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004470,0.006210,0.007947,0.011425,0.018709,0.034141,0.065968", \ - "0.004469,0.006210,0.007947,0.011425,0.018709,0.034142,0.065966", \ - "0.004469,0.006209,0.007946,0.011425,0.018709,0.034141,0.065966", \ - "0.004501,0.006237,0.007969,0.011441,0.018716,0.034144,0.065967", \ - "0.004639,0.006357,0.008071,0.011515,0.018758,0.034160,0.065970", \ - "0.004881,0.006564,0.008249,0.011646,0.018832,0.034184,0.065974", \ - "0.005263,0.006901,0.008540,0.011865,0.018958,0.034231,0.065983"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006486,0.009520,0.012823,0.020685,0.037726,0.072294,0.141541", \ - "0.006486,0.009519,0.012824,0.020685,0.037729,0.072296,0.141552", \ - "0.006484,0.009522,0.012824,0.020685,0.037731,0.072297,0.141546", \ - "0.006485,0.009521,0.012824,0.020686,0.037731,0.072295,0.141555", \ - "0.006492,0.009526,0.012828,0.020686,0.037729,0.072295,0.141553", \ - "0.006710,0.009761,0.012987,0.020744,0.037741,0.072300,0.141559", \ - "0.007044,0.010142,0.013276,0.020865,0.037777,0.072314,0.141568"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.048872,0.052968,0.056320,0.061968,0.071886,0.090543,0.127369", \ - "0.050301,0.054397,0.057748,0.063397,0.073315,0.091973,0.128798", \ - "0.054318,0.058415,0.061766,0.067415,0.077332,0.095991,0.132816", \ - "0.061198,0.065298,0.068653,0.074308,0.084228,0.102888,0.139715", \ - "0.068866,0.073006,0.076387,0.082062,0.092005,0.110670,0.147492", \ - "0.075382,0.079590,0.083015,0.088738,0.098707,0.117381,0.154197", \ - "0.079585,0.083908,0.087407,0.093175,0.103166,0.121872,0.158679"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.120612,0.126744,0.131716,0.140853,0.158988,0.195409,0.268280", \ - "0.121770,0.127902,0.132874,0.142003,0.160147,0.196562,0.269434", \ - "0.126813,0.132938,0.137917,0.147055,0.165188,0.201615,0.274479", \ - "0.135865,0.141999,0.146971,0.156107,0.174246,0.210668,0.283538", \ - "0.149819,0.155953,0.160926,0.170036,0.188179,0.224593,0.297461", \ - "0.169508,0.175721,0.180729,0.189849,0.207996,0.244346,0.317193", \ - "0.193130,0.199511,0.204625,0.213767,0.232026,0.268366,0.341196"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004610,0.006334,0.008054,0.011506,0.018756,0.034162,0.065972", \ - "0.004609,0.006333,0.008053,0.011506,0.018756,0.034161,0.065972", \ - "0.004609,0.006334,0.008053,0.011505,0.018756,0.034162,0.065972", \ - "0.004642,0.006361,0.008076,0.011521,0.018764,0.034164,0.065971", \ - "0.004797,0.006495,0.008191,0.011606,0.018813,0.034182,0.065973", \ - "0.005066,0.006729,0.008393,0.011756,0.018898,0.034213,0.065978", \ - "0.005472,0.007096,0.008714,0.012000,0.019039,0.034268,0.065992"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006627,0.009671,0.012927,0.020729,0.037746,0.072313,0.141558", \ - "0.006627,0.009672,0.012929,0.020728,0.037739,0.072319,0.141547", \ - "0.006627,0.009673,0.012928,0.020726,0.037738,0.072298,0.141558", \ - "0.006628,0.009671,0.012927,0.020726,0.037739,0.072318,0.141554", \ - "0.006630,0.009673,0.012929,0.020726,0.037738,0.072304,0.141561", \ - "0.006800,0.009843,0.013044,0.020766,0.037753,0.072304,0.141559", \ - "0.007121,0.010225,0.013336,0.020891,0.037784,0.072316,0.141570"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.050671,0.054739,0.058078,0.063714,0.073623,0.092279,0.129109", \ - "0.051927,0.055995,0.059334,0.064970,0.074878,0.093534,0.130363", \ - "0.056840,0.060906,0.064244,0.069880,0.079788,0.098444,0.135275", \ - "0.066871,0.070935,0.074269,0.079898,0.089802,0.108456,0.145287", \ - "0.077870,0.081952,0.085302,0.090925,0.100844,0.119507,0.156338", \ - "0.087074,0.091227,0.094617,0.100262,0.110062,0.128727,0.165545", \ - "0.094000,0.098285,0.101759,0.107499,0.117121,0.135776,0.172584"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.083317,0.088841,0.093611,0.102773,0.121044,0.157556,0.230463", \ - "0.084797,0.090320,0.095090,0.104253,0.122523,0.159037,0.231944", \ - "0.090339,0.095863,0.100634,0.109795,0.128065,0.164580,0.237481", \ - "0.100288,0.105813,0.110584,0.119746,0.138014,0.174529,0.247436", \ - "0.113990,0.119549,0.124321,0.133466,0.151709,0.188218,0.261121", \ - "0.128522,0.134268,0.139085,0.148196,0.166360,0.202835,0.275728", \ - "0.143904,0.149869,0.154764,0.163878,0.181951,0.218372,0.291255"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004504,0.006246,0.007982,0.011457,0.018731,0.034154,0.065972", \ - "0.004503,0.006246,0.007982,0.011456,0.018731,0.034153,0.065975", \ - "0.004497,0.006242,0.007979,0.011454,0.018729,0.034154,0.065973", \ - "0.004482,0.006228,0.007967,0.011445,0.018723,0.034150,0.065973", \ - "0.004624,0.006345,0.008063,0.011512,0.018760,0.034163,0.065972", \ - "0.004912,0.006584,0.008260,0.011650,0.018831,0.034183,0.065978", \ - "0.005367,0.006984,0.008605,0.011904,0.018972,0.034228,0.065982"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005519,0.008560,0.012230,0.020466,0.037661,0.072261,0.141527", \ - "0.005523,0.008560,0.012229,0.020466,0.037665,0.072267,0.141526", \ - "0.005519,0.008561,0.012229,0.020470,0.037662,0.072279,0.141529", \ - "0.005522,0.008561,0.012229,0.020466,0.037660,0.072256,0.141534", \ - "0.005604,0.008623,0.012260,0.020476,0.037664,0.072262,0.141536", \ - "0.005941,0.008938,0.012441,0.020539,0.037689,0.072279,0.141534", \ - "0.006328,0.009340,0.012696,0.020634,0.037723,0.072296,0.141543"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.049418,0.053480,0.056815,0.062447,0.072353,0.091007,0.127837", \ - "0.050649,0.054712,0.058048,0.063679,0.073584,0.092239,0.129068", \ - "0.055572,0.059632,0.062967,0.068597,0.078502,0.097156,0.133986", \ - "0.065518,0.069577,0.072907,0.078532,0.088433,0.107087,0.143916", \ - "0.076014,0.080095,0.083443,0.089102,0.098993,0.117654,0.154487", \ - "0.084615,0.088769,0.092162,0.097807,0.107615,0.126280,0.163097", \ - "0.090754,0.095046,0.098525,0.104274,0.113939,0.132589,0.169397"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.095821,0.101596,0.106430,0.115576,0.133801,0.170290,0.243184", \ - "0.097193,0.102968,0.107802,0.116946,0.135169,0.171655,0.244553", \ - "0.102363,0.108138,0.112972,0.122118,0.140341,0.176827,0.249727", \ - "0.111176,0.116953,0.121786,0.130932,0.149156,0.185643,0.258538", \ - "0.123123,0.128915,0.133752,0.142889,0.161098,0.197581,0.270479", \ - "0.136341,0.142287,0.147177,0.156287,0.174398,0.210857,0.283734", \ - "0.150840,0.156970,0.161945,0.171086,0.189077,0.225474,0.298348"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004483,0.006228,0.007966,0.011444,0.018724,0.034151,0.065973", \ - "0.004480,0.006227,0.007965,0.011444,0.018724,0.034150,0.065971", \ - "0.004474,0.006221,0.007961,0.011440,0.018722,0.034149,0.065971", \ - "0.004466,0.006212,0.007951,0.011433,0.018716,0.034148,0.065970", \ - "0.004618,0.006338,0.008056,0.011506,0.018755,0.034161,0.065973", \ - "0.004922,0.006589,0.008266,0.011654,0.018832,0.034182,0.065976", \ - "0.005396,0.007013,0.008631,0.011923,0.018982,0.034231,0.065981"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005964,0.008977,0.012474,0.020558,0.037699,0.072288,0.141551", \ - "0.005961,0.008978,0.012474,0.020557,0.037692,0.072282,0.141549", \ - "0.005963,0.008977,0.012473,0.020557,0.037690,0.072282,0.141545", \ - "0.005964,0.008978,0.012474,0.020556,0.037695,0.072291,0.141551", \ - "0.006000,0.009008,0.012491,0.020561,0.037692,0.072278,0.141544", \ - "0.006277,0.009297,0.012674,0.020627,0.037713,0.072285,0.141546", \ - "0.006605,0.009661,0.012923,0.020728,0.037743,0.072320,0.141560"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051267,0.055372,0.058728,0.064383,0.074306,0.092967,0.129797", \ - "0.052499,0.056604,0.059960,0.065614,0.075537,0.094199,0.131028", \ - "0.057414,0.061516,0.064871,0.070525,0.080448,0.099109,0.135935", \ - "0.067430,0.071528,0.074880,0.080529,0.090449,0.109109,0.145939", \ - "0.078497,0.082632,0.086008,0.091696,0.101614,0.120279,0.157103", \ - "0.087729,0.091955,0.095388,0.101076,0.110918,0.129587,0.166400", \ - "0.094521,0.098903,0.102436,0.108242,0.117962,0.136596,0.173395"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.103403,0.109235,0.114084,0.123226,0.141430,0.177906,0.250807", \ - "0.104866,0.110698,0.115548,0.124686,0.142891,0.179366,0.252265", \ - "0.110073,0.115905,0.120755,0.129894,0.148101,0.184577,0.257471", \ - "0.118832,0.124664,0.129514,0.138652,0.156856,0.193332,0.266232", \ - "0.130864,0.136703,0.141553,0.150691,0.168883,0.205356,0.278246", \ - "0.144897,0.150869,0.155768,0.164871,0.182973,0.219418,0.292298", \ - "0.160169,0.166316,0.171297,0.180440,0.198472,0.234859,0.307729"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004622,0.006349,0.008069,0.011521,0.018768,0.034169,0.065977", \ - "0.004620,0.006348,0.008069,0.011520,0.018768,0.034168,0.065978", \ - "0.004615,0.006342,0.008063,0.011517,0.018766,0.034168,0.065978", \ - "0.004606,0.006334,0.008056,0.011510,0.018761,0.034165,0.065975", \ - "0.004793,0.006490,0.008187,0.011602,0.018811,0.034182,0.065977", \ - "0.005153,0.006795,0.008444,0.011786,0.018909,0.034211,0.065981", \ - "0.005671,0.007268,0.008859,0.012100,0.019089,0.034275,0.065991"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006074,0.009084,0.012538,0.020580,0.037698,0.072284,0.141537", \ - "0.006075,0.009084,0.012538,0.020582,0.037697,0.072287,0.141544", \ - "0.006075,0.009085,0.012538,0.020583,0.037697,0.072284,0.141546", \ - "0.006072,0.009086,0.012540,0.020579,0.037698,0.072284,0.141536", \ - "0.006089,0.009098,0.012546,0.020584,0.037701,0.072285,0.141549", \ - "0.006337,0.009351,0.012707,0.020640,0.037715,0.072290,0.141552", \ - "0.006647,0.009703,0.012951,0.020739,0.037748,0.072310,0.141560"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047302,0.051390,0.054744,0.060396,0.070317,0.088978,0.125809", \ - "0.048582,0.052668,0.056020,0.061673,0.071593,0.090255,0.127084", \ - "0.053665,0.057748,0.061098,0.066747,0.076664,0.095324,0.132155", \ - "0.063738,0.067807,0.071148,0.076789,0.086701,0.105360,0.142196", \ - "0.074015,0.078113,0.081472,0.087143,0.097042,0.115708,0.152537", \ - "0.082425,0.086603,0.090009,0.095671,0.105496,0.124163,0.160981", \ - "0.088388,0.092715,0.096215,0.101991,0.111671,0.130357,0.167159"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.091965,0.097642,0.102446,0.111594,0.129834,0.166332,0.239226", \ - "0.093365,0.099041,0.103845,0.112994,0.131231,0.167728,0.240627", \ - "0.098749,0.104426,0.109230,0.118378,0.136618,0.173115,0.246014", \ - "0.108468,0.114145,0.118949,0.128096,0.146334,0.182829,0.255730", \ - "0.121514,0.127213,0.132020,0.141155,0.159375,0.195860,0.268760", \ - "0.135488,0.141348,0.146203,0.155312,0.173448,0.209911,0.282795", \ - "0.150374,0.156424,0.161357,0.170486,0.188533,0.224946,0.297801"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004558,0.006299,0.008030,0.011497,0.018759,0.034167,0.065980", \ - "0.004553,0.006296,0.008029,0.011496,0.018757,0.034167,0.065981", \ - "0.004534,0.006279,0.008014,0.011484,0.018751,0.034164,0.065980", \ - "0.004515,0.006258,0.007994,0.011467,0.018739,0.034159,0.065975", \ - "0.004672,0.006388,0.008100,0.011541,0.018777,0.034172,0.065978", \ - "0.004998,0.006659,0.008325,0.011699,0.018858,0.034193,0.065981", \ - "0.005498,0.007107,0.008714,0.011987,0.019019,0.034245,0.065986"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005797,0.008809,0.012369,0.020514,0.037675,0.072268,0.141539", \ - "0.005797,0.008809,0.012369,0.020514,0.037675,0.072275,0.141533", \ - "0.005797,0.008809,0.012370,0.020515,0.037678,0.072270,0.141526", \ - "0.005799,0.008809,0.012370,0.020518,0.037674,0.072275,0.141530", \ - "0.005845,0.008851,0.012392,0.020524,0.037680,0.072267,0.141534", \ - "0.006134,0.009135,0.012565,0.020582,0.037695,0.072285,0.141529", \ - "0.006474,0.009499,0.012806,0.020676,0.037727,0.072304,0.141554"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.046419,0.050497,0.053842,0.059486,0.069401,0.088060,0.124891", \ - "0.047678,0.051755,0.055100,0.060744,0.070659,0.089317,0.126149", \ - "0.052757,0.056831,0.060172,0.065813,0.075724,0.094383,0.131215", \ - "0.062656,0.066718,0.070053,0.075687,0.085594,0.104251,0.141084", \ - "0.072467,0.076559,0.079914,0.085564,0.095491,0.114154,0.150988", \ - "0.080268,0.084445,0.087849,0.093511,0.103348,0.122012,0.158829", \ - "0.085461,0.089794,0.093299,0.099080,0.108788,0.127453,0.164254"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.103926,0.109846,0.114731,0.123875,0.142073,0.178535,0.251429", \ - "0.105215,0.111134,0.116019,0.125163,0.143358,0.179825,0.252717", \ - "0.110230,0.116150,0.121035,0.130178,0.148373,0.184840,0.257730", \ - "0.118878,0.124798,0.129683,0.138827,0.157023,0.193485,0.266381", \ - "0.130328,0.136257,0.141144,0.150278,0.168462,0.204923,0.277810", \ - "0.143049,0.149111,0.154053,0.163166,0.181256,0.217682,0.290563", \ - "0.157042,0.163264,0.168290,0.177443,0.195419,0.231799,0.304663"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004522,0.006267,0.008003,0.011475,0.018745,0.034161,0.065978", \ - "0.004518,0.006263,0.007999,0.011473,0.018744,0.034160,0.065977", \ - "0.004500,0.006247,0.007985,0.011462,0.018738,0.034159,0.065976", \ - "0.004491,0.006235,0.007973,0.011451,0.018728,0.034154,0.065974", \ - "0.004658,0.006373,0.008087,0.011530,0.018769,0.034167,0.065974", \ - "0.004998,0.006658,0.008323,0.011696,0.018856,0.034190,0.065979", \ - "0.005519,0.007126,0.008730,0.011999,0.019026,0.034246,0.065986"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006214,0.009239,0.012639,0.020619,0.037716,0.072302,0.141542", \ - "0.006213,0.009239,0.012639,0.020618,0.037711,0.072289,0.141550", \ - "0.006215,0.009237,0.012638,0.020620,0.037712,0.072301,0.141554", \ - "0.006213,0.009239,0.012639,0.020617,0.037713,0.072295,0.141539", \ - "0.006235,0.009259,0.012652,0.020620,0.037707,0.072289,0.141544", \ - "0.006478,0.009515,0.012822,0.020684,0.037730,0.072293,0.141551", \ - "0.006768,0.009850,0.013063,0.020784,0.037763,0.072317,0.141557"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.048315,0.052434,0.055800,0.061466,0.071398,0.090064,0.126892", \ - "0.049572,0.053689,0.057055,0.062720,0.072652,0.091318,0.128147", \ - "0.054632,0.058744,0.062107,0.067769,0.077699,0.096363,0.133192", \ - "0.064655,0.068758,0.072115,0.077771,0.087695,0.106358,0.143186", \ - "0.075086,0.079232,0.082616,0.088300,0.098245,0.116913,0.153740", \ - "0.083556,0.087805,0.091252,0.096957,0.106824,0.125496,0.162306", \ - "0.089452,0.093871,0.097430,0.103269,0.112980,0.131700,0.168493"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.112743,0.118730,0.123641,0.132781,0.150956,0.187408,0.260292", \ - "0.114132,0.120122,0.125033,0.134177,0.152349,0.188802,0.261686", \ - "0.119188,0.125178,0.130089,0.139229,0.157404,0.193855,0.266740", \ - "0.127771,0.133761,0.138672,0.147811,0.165990,0.202440,0.275324", \ - "0.139237,0.145229,0.150150,0.159278,0.177444,0.213897,0.286768", \ - "0.152675,0.158777,0.163735,0.172844,0.190921,0.227340,0.300219", \ - "0.167325,0.173578,0.178620,0.187785,0.205784,0.242165,0.315007"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004662,0.006387,0.008104,0.011550,0.018789,0.034179,0.065982", \ - "0.004657,0.006384,0.008102,0.011549,0.018787,0.034178,0.065979", \ - "0.004639,0.006367,0.008088,0.011538,0.018780,0.034176,0.065977", \ - "0.004630,0.006357,0.008077,0.011527,0.018773,0.034171,0.065979", \ - "0.004840,0.006531,0.008221,0.011629,0.018826,0.034189,0.065981", \ - "0.005238,0.006868,0.008507,0.011833,0.018935,0.034221,0.065986", \ - "0.005793,0.007385,0.008963,0.012182,0.019136,0.034292,0.065996"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006348,0.009376,0.012729,0.020650,0.037723,0.072302,0.141540", \ - "0.006349,0.009378,0.012728,0.020649,0.037717,0.072292,0.141539", \ - "0.006350,0.009376,0.012729,0.020649,0.037716,0.072299,0.141541", \ - "0.006349,0.009376,0.012729,0.020650,0.037721,0.072298,0.141540", \ - "0.006358,0.009384,0.012734,0.020651,0.037721,0.072300,0.141548", \ - "0.006561,0.009604,0.012879,0.020708,0.037731,0.072308,0.141547", \ - "0.006839,0.009924,0.013117,0.020805,0.037763,0.072310,0.141551"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.049217,0.053346,0.056720,0.062393,0.072331,0.090998,0.127828", \ - "0.050493,0.054621,0.057994,0.063667,0.073605,0.092274,0.129100", \ - "0.055549,0.059671,0.063042,0.068712,0.078647,0.097313,0.134141", \ - "0.065711,0.069823,0.073185,0.078847,0.088776,0.107441,0.144269", \ - "0.076616,0.080766,0.084153,0.089825,0.099757,0.118427,0.155250", \ - "0.085682,0.089930,0.093377,0.099081,0.108931,0.127604,0.164415", \ - "0.092323,0.096736,0.100289,0.106121,0.115825,0.134518,0.171313"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.099221,0.104953,0.109769,0.118909,0.137134,0.173619,0.246515", \ - "0.100705,0.106438,0.111253,0.120393,0.138617,0.175104,0.248001", \ - "0.106122,0.111855,0.116671,0.125810,0.144033,0.180517,0.253414", \ - "0.115779,0.121511,0.126327,0.135467,0.153688,0.190176,0.263072", \ - "0.128950,0.134692,0.139509,0.148642,0.166845,0.203326,0.276222", \ - "0.143773,0.149656,0.154517,0.163621,0.181738,0.218194,0.291081", \ - "0.159468,0.165533,0.170471,0.179604,0.197648,0.234057,0.306910"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004696,0.006418,0.008132,0.011572,0.018802,0.034184,0.065980", \ - "0.004693,0.006416,0.008130,0.011571,0.018801,0.034185,0.065983", \ - "0.004676,0.006400,0.008116,0.011560,0.018794,0.034182,0.065980", \ - "0.004654,0.006380,0.008098,0.011543,0.018783,0.034176,0.065979", \ - "0.004853,0.006542,0.008233,0.011639,0.018833,0.034192,0.065982", \ - "0.005232,0.006864,0.008505,0.011833,0.018936,0.034223,0.065985", \ - "0.005769,0.007360,0.008941,0.012165,0.019127,0.034290,0.065995"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005907,0.008907,0.012426,0.020537,0.037687,0.072268,0.141531", \ - "0.005907,0.008908,0.012427,0.020537,0.037684,0.072273,0.141543", \ - "0.005907,0.008908,0.012427,0.020537,0.037682,0.072280,0.141536", \ - "0.005905,0.008909,0.012427,0.020535,0.037680,0.072277,0.141544", \ - "0.005930,0.008929,0.012439,0.020541,0.037682,0.072268,0.141537", \ - "0.006190,0.009184,0.012593,0.020593,0.037699,0.072291,0.141545", \ - "0.006511,0.009534,0.012827,0.020683,0.037724,0.072310,0.141553"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.048315,0.052434,0.055800,0.061466,0.071398,0.090064,0.126892", \ - "0.049572,0.053689,0.057055,0.062720,0.072652,0.091318,0.128147", \ - "0.054632,0.058744,0.062107,0.067769,0.077699,0.096363,0.133192", \ - "0.064655,0.068758,0.072115,0.077771,0.087695,0.106358,0.143186", \ - "0.075086,0.079232,0.082616,0.088300,0.098245,0.116913,0.153740", \ - "0.083556,0.087805,0.091252,0.096957,0.106824,0.125496,0.162306", \ - "0.089452,0.093871,0.097430,0.103269,0.112980,0.131700,0.168493"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.112743,0.118730,0.123641,0.132781,0.150956,0.187408,0.260292", \ - "0.114132,0.120122,0.125033,0.134177,0.152349,0.188802,0.261686", \ - "0.119188,0.125178,0.130089,0.139229,0.157404,0.193855,0.266740", \ - "0.127771,0.133761,0.138672,0.147811,0.165990,0.202440,0.275324", \ - "0.139237,0.145229,0.150150,0.159278,0.177444,0.213897,0.286768", \ - "0.152675,0.158777,0.163735,0.172844,0.190921,0.227340,0.300219", \ - "0.167325,0.173578,0.178620,0.187785,0.205784,0.242165,0.315007"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004662,0.006387,0.008104,0.011550,0.018789,0.034179,0.065982", \ - "0.004657,0.006384,0.008102,0.011549,0.018787,0.034178,0.065979", \ - "0.004639,0.006367,0.008088,0.011538,0.018780,0.034176,0.065977", \ - "0.004630,0.006357,0.008077,0.011527,0.018773,0.034171,0.065979", \ - "0.004840,0.006531,0.008221,0.011629,0.018826,0.034189,0.065981", \ - "0.005238,0.006868,0.008507,0.011833,0.018935,0.034221,0.065986", \ - "0.005793,0.007385,0.008963,0.012182,0.019136,0.034292,0.065996"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006348,0.009376,0.012729,0.020650,0.037723,0.072302,0.141540", \ - "0.006349,0.009378,0.012728,0.020649,0.037717,0.072292,0.141539", \ - "0.006350,0.009376,0.012729,0.020649,0.037716,0.072299,0.141541", \ - "0.006349,0.009376,0.012729,0.020650,0.037721,0.072298,0.141540", \ - "0.006358,0.009384,0.012734,0.020651,0.037721,0.072300,0.141548", \ - "0.006561,0.009604,0.012879,0.020708,0.037731,0.072308,0.141547", \ - "0.006839,0.009924,0.013117,0.020805,0.037763,0.072310,0.141551"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.050173,0.054327,0.057718,0.063411,0.073362,0.092034,0.128860", \ - "0.051428,0.055580,0.058970,0.064662,0.074613,0.093285,0.130114", \ - "0.056460,0.060608,0.063996,0.069685,0.079634,0.098305,0.135134", \ - "0.066566,0.070710,0.074091,0.079774,0.089718,0.108387,0.145215", \ - "0.077583,0.081775,0.085189,0.090901,0.100868,0.119544,0.156363", \ - "0.086690,0.090998,0.094484,0.100233,0.110117,0.128799,0.165605", \ - "0.093258,0.097745,0.101351,0.107248,0.117045,0.135739,0.172529"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.121545,0.127604,0.132544,0.141681,0.159837,0.196279,0.269156", \ - "0.123018,0.129078,0.134017,0.143154,0.161315,0.197747,0.270626", \ - "0.128122,0.134182,0.139122,0.148258,0.166420,0.202854,0.275731", \ - "0.136649,0.142708,0.147648,0.156784,0.174936,0.211374,0.284251", \ - "0.148078,0.154139,0.159079,0.168212,0.186363,0.222795,0.295681", \ - "0.162082,0.168227,0.173204,0.182309,0.200376,0.236785,0.309658", \ - "0.177416,0.183708,0.188770,0.197943,0.215955,0.252307,0.325135"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004803,0.006510,0.008212,0.011631,0.018836,0.034198,0.065983", \ - "0.004799,0.006507,0.008210,0.011629,0.018834,0.034197,0.065985", \ - "0.004782,0.006491,0.008196,0.011619,0.018829,0.034195,0.065984", \ - "0.004774,0.006482,0.008185,0.011609,0.018821,0.034190,0.065984", \ - "0.005013,0.006683,0.008354,0.011729,0.018885,0.034213,0.065984", \ - "0.005450,0.007062,0.008679,0.011966,0.019015,0.034254,0.065993", \ - "0.006028,0.007607,0.009171,0.012348,0.019239,0.034338,0.066005"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006486,0.009521,0.012824,0.020685,0.037726,0.072296,0.141550", \ - "0.006486,0.009521,0.012824,0.020685,0.037730,0.072300,0.141551", \ - "0.006485,0.009519,0.012824,0.020685,0.037729,0.072310,0.141545", \ - "0.006487,0.009519,0.012824,0.020685,0.037726,0.072294,0.141557", \ - "0.006490,0.009522,0.012826,0.020686,0.037726,0.072295,0.141552", \ - "0.006650,0.009696,0.012943,0.020731,0.037737,0.072317,0.141542", \ - "0.006925,0.010012,0.013180,0.020829,0.037769,0.072313,0.141557"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051960,0.056027,0.059365,0.065003,0.074911,0.093567,0.130399", \ - "0.053280,0.057349,0.060688,0.066325,0.076234,0.094889,0.131722", \ - "0.057245,0.061313,0.064652,0.070288,0.080197,0.098852,0.135683", \ - "0.064568,0.068635,0.071973,0.077608,0.087516,0.106171,0.142999", \ - "0.073389,0.077469,0.080819,0.086464,0.096383,0.115043,0.151870", \ - "0.081548,0.085662,0.089034,0.094707,0.104636,0.123301,0.160125", \ - "0.088055,0.092241,0.095656,0.101327,0.111170,0.129844,0.166661"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.088393,0.093959,0.098734,0.107888,0.126145,0.162653,0.235558", \ - "0.089997,0.095563,0.100339,0.109493,0.127751,0.164259,0.237165", \ - "0.096000,0.101567,0.106343,0.115497,0.133753,0.170262,0.243166", \ - "0.106175,0.111743,0.116518,0.125671,0.143929,0.180437,0.253340", \ - "0.120203,0.125788,0.130566,0.139699,0.157946,0.194447,0.267347", \ - "0.135692,0.141448,0.146265,0.155380,0.173542,0.210021,0.282906", \ - "0.152126,0.158088,0.162979,0.172098,0.190173,0.226564,0.299440"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004501,0.006246,0.007982,0.011457,0.018731,0.034154,0.065973", \ - "0.004503,0.006245,0.007982,0.011457,0.018731,0.034153,0.065971", \ - "0.004501,0.006244,0.007980,0.011455,0.018731,0.034153,0.065973", \ - "0.004500,0.006243,0.007979,0.011454,0.018729,0.034153,0.065975", \ - "0.004583,0.006314,0.008039,0.011497,0.018752,0.034161,0.065976", \ - "0.004739,0.006445,0.008150,0.011578,0.018797,0.034175,0.065975", \ - "0.004998,0.006668,0.008342,0.011719,0.018877,0.034204,0.065981"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005606,0.008633,0.012269,0.020479,0.037667,0.072261,0.141529", \ - "0.005606,0.008632,0.012269,0.020481,0.037669,0.072273,0.141530", \ - "0.005610,0.008634,0.012269,0.020479,0.037665,0.072273,0.141540", \ - "0.005609,0.008634,0.012269,0.020482,0.037669,0.072258,0.141540", \ - "0.005651,0.008668,0.012286,0.020484,0.037674,0.072264,0.141540", \ - "0.005968,0.008958,0.012453,0.020542,0.037689,0.072285,0.141541", \ - "0.006332,0.009337,0.012693,0.020634,0.037715,0.072290,0.141542"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.050710,0.054772,0.058107,0.063739,0.073644,0.092299,0.129129", \ - "0.052004,0.056066,0.059401,0.065032,0.074938,0.093592,0.130423", \ - "0.055952,0.060014,0.063348,0.068980,0.078884,0.097539,0.134370", \ - "0.063224,0.067285,0.070619,0.076250,0.086154,0.104808,0.141640", \ - "0.071789,0.075864,0.079210,0.084854,0.094773,0.113430,0.150260", \ - "0.079481,0.083595,0.086966,0.092642,0.102567,0.121232,0.158056", \ - "0.085319,0.089506,0.092922,0.098593,0.108456,0.127127,0.163945"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.102199,0.108033,0.112883,0.122022,0.140229,0.176704,0.249604", \ - "0.103734,0.109567,0.114417,0.123554,0.141761,0.178237,0.251138", \ - "0.109486,0.115318,0.120168,0.129305,0.147512,0.183987,0.256886", \ - "0.118579,0.124411,0.129261,0.138401,0.156609,0.193084,0.265981", \ - "0.130731,0.136570,0.141421,0.150551,0.168741,0.205211,0.278108", \ - "0.144767,0.150738,0.155636,0.164740,0.182824,0.219270,0.292148", \ - "0.160165,0.166309,0.171289,0.180423,0.198397,0.234811,0.307679"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004481,0.006227,0.007966,0.011445,0.018724,0.034151,0.065971", \ - "0.004479,0.006227,0.007965,0.011444,0.018724,0.034150,0.065972", \ - "0.004476,0.006224,0.007963,0.011442,0.018723,0.034150,0.065971", \ - "0.004479,0.006225,0.007963,0.011442,0.018721,0.034149,0.065974", \ - "0.004567,0.006299,0.008025,0.011487,0.018746,0.034158,0.065975", \ - "0.004734,0.006439,0.008144,0.011572,0.018794,0.034173,0.065974", \ - "0.005005,0.006676,0.008347,0.011722,0.018878,0.034203,0.065982"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006076,0.009085,0.012540,0.020580,0.037697,0.072285,0.141543", \ - "0.006076,0.009086,0.012540,0.020579,0.037699,0.072286,0.141539", \ - "0.006075,0.009087,0.012541,0.020583,0.037698,0.072286,0.141547", \ - "0.006076,0.009086,0.012540,0.020581,0.037699,0.072286,0.141537", \ - "0.006090,0.009100,0.012549,0.020582,0.037701,0.072289,0.141543", \ - "0.006335,0.009349,0.012704,0.020639,0.037722,0.072303,0.141541", \ - "0.006641,0.009694,0.012948,0.020735,0.037752,0.072319,0.141555"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.052560,0.056663,0.060020,0.065674,0.075598,0.094259,0.131088", \ - "0.053853,0.057956,0.061313,0.066968,0.076891,0.095553,0.132381", \ - "0.057800,0.061903,0.065259,0.070913,0.080836,0.099497,0.136327", \ - "0.065099,0.069201,0.072555,0.078210,0.088132,0.106793,0.143620", \ - "0.073885,0.078010,0.081382,0.087051,0.096983,0.115647,0.152472", \ - "0.081956,0.086130,0.089531,0.095238,0.105183,0.123854,0.160676", \ - "0.088282,0.092539,0.095993,0.101706,0.111597,0.130277,0.167090"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.109762,0.115654,0.120522,0.129653,0.147844,0.184307,0.257204", \ - "0.111322,0.117213,0.122081,0.131213,0.149403,0.185867,0.258759", \ - "0.117097,0.122987,0.127856,0.136989,0.155181,0.191645,0.264542", \ - "0.126183,0.132074,0.136942,0.146076,0.164269,0.200731,0.273621", \ - "0.138384,0.144276,0.149145,0.158275,0.176459,0.212917,0.285811", \ - "0.153120,0.159120,0.164028,0.173126,0.191244,0.227688,0.300560", \ - "0.169239,0.175407,0.180397,0.189553,0.207588,0.243951,0.316799"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004621,0.006348,0.008069,0.011521,0.018768,0.034168,0.065977", \ - "0.004620,0.006348,0.008068,0.011520,0.018767,0.034169,0.065977", \ - "0.004618,0.006345,0.008067,0.011519,0.018767,0.034168,0.065976", \ - "0.004618,0.006345,0.008067,0.011518,0.018766,0.034167,0.065977", \ - "0.004723,0.006435,0.008143,0.011573,0.018796,0.034178,0.065976", \ - "0.004914,0.006600,0.008283,0.011677,0.018855,0.034197,0.065979", \ - "0.005223,0.006870,0.008517,0.011852,0.018955,0.034235,0.065986"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006186,0.009198,0.012609,0.020604,0.037707,0.072301,0.141551", \ - "0.006186,0.009198,0.012609,0.020605,0.037709,0.072297,0.141554", \ - "0.006187,0.009197,0.012608,0.020605,0.037706,0.072301,0.141539", \ - "0.006187,0.009197,0.012609,0.020605,0.037708,0.072294,0.141541", \ - "0.006191,0.009203,0.012613,0.020609,0.037712,0.072292,0.141548", \ - "0.006400,0.009412,0.012747,0.020654,0.037719,0.072293,0.141551", \ - "0.006700,0.009752,0.012987,0.020753,0.037749,0.072310,0.141558"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.048602,0.052689,0.056041,0.061693,0.071614,0.090276,0.127109", \ - "0.049932,0.054019,0.057371,0.063024,0.072944,0.091605,0.128435", \ - "0.053943,0.058027,0.061378,0.067029,0.076948,0.095610,0.132441", \ - "0.061264,0.065345,0.068692,0.074339,0.084255,0.102915,0.139746", \ - "0.069691,0.073783,0.077142,0.082799,0.092726,0.111389,0.148219", \ - "0.077145,0.081277,0.084660,0.090346,0.100294,0.118963,0.155788", \ - "0.082714,0.086928,0.090359,0.096055,0.105921,0.124608,0.161425"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.098113,0.103847,0.108663,0.117803,0.136027,0.172510,0.245408", \ - "0.099664,0.105397,0.110213,0.119353,0.137580,0.174062,0.246961", \ - "0.105590,0.111324,0.116140,0.125279,0.143504,0.179988,0.252886", \ - "0.115567,0.121299,0.126116,0.135258,0.153480,0.189966,0.262863", \ - "0.128852,0.134595,0.139414,0.148534,0.166754,0.203235,0.276128", \ - "0.143667,0.149549,0.154410,0.163510,0.181642,0.218100,0.290985", \ - "0.159456,0.165517,0.170453,0.179597,0.197645,0.234008,0.306876"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004555,0.006297,0.008030,0.011496,0.018758,0.034168,0.065976", \ - "0.004553,0.006295,0.008029,0.011495,0.018758,0.034166,0.065980", \ - "0.004543,0.006287,0.008021,0.011490,0.018755,0.034166,0.065977", \ - "0.004537,0.006279,0.008012,0.011481,0.018748,0.034163,0.065978", \ - "0.004623,0.006351,0.008073,0.011525,0.018770,0.034170,0.065978", \ - "0.004795,0.006496,0.008196,0.011613,0.018819,0.034185,0.065978", \ - "0.005087,0.006749,0.008412,0.011772,0.018908,0.034216,0.065987"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005909,0.008910,0.012428,0.020536,0.037689,0.072273,0.141533", \ - "0.005909,0.008910,0.012428,0.020537,0.037685,0.072275,0.141531", \ - "0.005906,0.008911,0.012427,0.020535,0.037686,0.072276,0.141543", \ - "0.005909,0.008909,0.012428,0.020538,0.037685,0.072267,0.141542", \ - "0.005932,0.008930,0.012439,0.020541,0.037687,0.072270,0.141542", \ - "0.006188,0.009183,0.012593,0.020592,0.037704,0.072289,0.141543", \ - "0.006504,0.009527,0.012823,0.020682,0.037724,0.072306,0.141545"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047722,0.051800,0.055146,0.060789,0.070704,0.089363,0.126193", \ - "0.049031,0.053108,0.056452,0.062096,0.072010,0.090669,0.127502", \ - "0.053022,0.057097,0.060441,0.066083,0.075996,0.094655,0.131488", \ - "0.060258,0.064326,0.067667,0.073307,0.083218,0.101875,0.138706", \ - "0.068411,0.072496,0.075848,0.081502,0.091425,0.110086,0.146916", \ - "0.075419,0.079548,0.082928,0.088612,0.098543,0.117208,0.154033", \ - "0.080339,0.084551,0.087981,0.093678,0.103550,0.122225,0.159041"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.111371,0.117362,0.122273,0.131413,0.149591,0.186045,0.258925", \ - "0.112848,0.118839,0.123750,0.132890,0.151068,0.187516,0.260405", \ - "0.118523,0.124514,0.129425,0.138564,0.156743,0.193194,0.266077", \ - "0.127488,0.133479,0.138390,0.147530,0.165707,0.202155,0.275042", \ - "0.139090,0.145084,0.149996,0.159129,0.177291,0.213738,0.286616", \ - "0.152530,0.158629,0.163587,0.172696,0.190761,0.227185,0.300057", \ - "0.167314,0.173565,0.178605,0.187771,0.205728,0.242129,0.314989"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004522,0.006265,0.008001,0.011474,0.018745,0.034161,0.065978", \ - "0.004517,0.006263,0.008000,0.011473,0.018743,0.034161,0.065974", \ - "0.004509,0.006255,0.007993,0.011467,0.018740,0.034160,0.065974", \ - "0.004505,0.006251,0.007987,0.011462,0.018736,0.034157,0.065977", \ - "0.004598,0.006329,0.008052,0.011509,0.018760,0.034165,0.065975", \ - "0.004782,0.006483,0.008182,0.011602,0.018812,0.034180,0.065978", \ - "0.005087,0.006749,0.008410,0.011770,0.018906,0.034215,0.065984"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006352,0.009377,0.012730,0.020651,0.037725,0.072300,0.141541", \ - "0.006352,0.009377,0.012730,0.020652,0.037720,0.072297,0.141540", \ - "0.006350,0.009378,0.012730,0.020650,0.037726,0.072303,0.141540", \ - "0.006352,0.009377,0.012730,0.020651,0.037720,0.072300,0.141540", \ - "0.006360,0.009385,0.012734,0.020652,0.037723,0.072293,0.141549", \ - "0.006560,0.009599,0.012876,0.020705,0.037735,0.072310,0.141548", \ - "0.006834,0.009916,0.013112,0.020802,0.037765,0.072312,0.141561"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.049618,0.053735,0.057101,0.062767,0.072699,0.091364,0.128192", \ - "0.050924,0.055041,0.058407,0.064072,0.074004,0.092669,0.129498", \ - "0.054908,0.059024,0.062388,0.068052,0.077982,0.096647,0.133475", \ - "0.062179,0.066291,0.069653,0.075315,0.085243,0.103907,0.140736", \ - "0.070588,0.074723,0.078102,0.083782,0.093721,0.112387,0.149214", \ - "0.078022,0.082211,0.085622,0.091337,0.101286,0.119958,0.156779", \ - "0.083473,0.087756,0.091225,0.096963,0.106878,0.125559,0.162371"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.120153,0.126214,0.131154,0.140291,0.158454,0.194884,0.267767", \ - "0.121660,0.127721,0.132662,0.141798,0.159960,0.196393,0.269270", \ - "0.127365,0.133426,0.138366,0.147502,0.165660,0.202097,0.274978", \ - "0.136307,0.142368,0.147308,0.156446,0.174604,0.211043,0.283917", \ - "0.147903,0.153966,0.158907,0.168038,0.186183,0.222616,0.295502", \ - "0.161944,0.168086,0.173063,0.182164,0.200213,0.236623,0.309495", \ - "0.177382,0.183673,0.188733,0.197900,0.215866,0.252237,0.325094"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004659,0.006385,0.008103,0.011550,0.018788,0.034178,0.065981", \ - "0.004658,0.006384,0.008102,0.011549,0.018787,0.034178,0.065980", \ - "0.004648,0.006375,0.008095,0.011543,0.018784,0.034177,0.065981", \ - "0.004645,0.006372,0.008091,0.011538,0.018779,0.034175,0.065981", \ - "0.004758,0.006466,0.008171,0.011596,0.018810,0.034185,0.065981", \ - "0.004968,0.006648,0.008324,0.011709,0.018874,0.034206,0.065984", \ - "0.005309,0.006948,0.008585,0.011904,0.018985,0.034246,0.065990"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006487,0.009522,0.012825,0.020687,0.037732,0.072313,0.141559", \ - "0.006488,0.009522,0.012825,0.020686,0.037728,0.072296,0.141558", \ - "0.006488,0.009522,0.012826,0.020688,0.037728,0.072299,0.141550", \ - "0.006489,0.009522,0.012825,0.020686,0.037727,0.072296,0.141558", \ - "0.006492,0.009524,0.012827,0.020686,0.037727,0.072295,0.141551", \ - "0.006647,0.009694,0.012942,0.020730,0.037745,0.072307,0.141544", \ - "0.006919,0.010007,0.013175,0.020828,0.037769,0.072314,0.141563"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.050516,0.054644,0.058017,0.063690,0.073628,0.092296,0.129124", \ - "0.051845,0.055973,0.059345,0.065018,0.074956,0.093624,0.130452", \ - "0.055848,0.059973,0.063345,0.069016,0.078953,0.097620,0.134449", \ - "0.063199,0.067320,0.070688,0.076357,0.086291,0.104957,0.141785", \ - "0.071866,0.076010,0.079394,0.085075,0.095020,0.113689,0.150516", \ - "0.079726,0.083919,0.087334,0.093057,0.103018,0.121693,0.158514", \ - "0.085818,0.090100,0.093570,0.099306,0.109213,0.127898,0.164709"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.105344,0.111134,0.115965,0.125098,0.143305,0.179779,0.252673", \ - "0.106919,0.112709,0.117540,0.126673,0.144881,0.181354,0.254247", \ - "0.112870,0.118660,0.123491,0.132625,0.150832,0.187306,0.260202", \ - "0.122831,0.128621,0.133452,0.142584,0.160793,0.197268,0.270163", \ - "0.136178,0.141972,0.146804,0.155919,0.174120,0.210594,0.283485", \ - "0.151729,0.157640,0.162509,0.171603,0.189732,0.226173,0.299060", \ - "0.168296,0.174380,0.179324,0.188461,0.206518,0.242884,0.315757"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004695,0.006417,0.008132,0.011572,0.018801,0.034185,0.065982", \ - "0.004693,0.006416,0.008130,0.011571,0.018800,0.034185,0.065983", \ - "0.004683,0.006407,0.008123,0.011565,0.018798,0.034183,0.065982", \ - "0.004674,0.006399,0.008115,0.011558,0.018792,0.034181,0.065983", \ - "0.004779,0.006487,0.008190,0.011611,0.018821,0.034190,0.065983", \ - "0.004980,0.006659,0.008336,0.011718,0.018880,0.034210,0.065983", \ - "0.005305,0.006944,0.008583,0.011903,0.018986,0.034249,0.065990"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006019,0.009014,0.012489,0.020559,0.037693,0.072278,0.141534", \ - "0.006019,0.009014,0.012489,0.020558,0.037693,0.072281,0.141531", \ - "0.006019,0.009015,0.012490,0.020558,0.037694,0.072278,0.141537", \ - "0.006016,0.009016,0.012489,0.020557,0.037690,0.072278,0.141539", \ - "0.006030,0.009024,0.012495,0.020560,0.037691,0.072273,0.141540", \ - "0.006250,0.009242,0.012628,0.020606,0.037705,0.072288,0.141534", \ - "0.006559,0.009580,0.012857,0.020694,0.037729,0.072302,0.141551"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.049618,0.053735,0.057101,0.062767,0.072699,0.091364,0.128192", \ - "0.050924,0.055041,0.058407,0.064072,0.074004,0.092669,0.129498", \ - "0.054908,0.059024,0.062388,0.068052,0.077982,0.096647,0.133475", \ - "0.062179,0.066291,0.069653,0.075315,0.085243,0.103907,0.140736", \ - "0.070588,0.074723,0.078102,0.083782,0.093721,0.112387,0.149214", \ - "0.078022,0.082211,0.085622,0.091337,0.101286,0.119958,0.156779", \ - "0.083473,0.087756,0.091225,0.096963,0.106878,0.125559,0.162371"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.120153,0.126214,0.131154,0.140291,0.158454,0.194884,0.267767", \ - "0.121660,0.127721,0.132662,0.141798,0.159960,0.196393,0.269270", \ - "0.127365,0.133426,0.138366,0.147502,0.165660,0.202097,0.274978", \ - "0.136307,0.142368,0.147308,0.156446,0.174604,0.211043,0.283917", \ - "0.147903,0.153966,0.158907,0.168038,0.186183,0.222616,0.295502", \ - "0.161944,0.168086,0.173063,0.182164,0.200213,0.236623,0.309495", \ - "0.177382,0.183673,0.188733,0.197900,0.215866,0.252237,0.325094"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004659,0.006385,0.008103,0.011550,0.018788,0.034178,0.065981", \ - "0.004658,0.006384,0.008102,0.011549,0.018787,0.034178,0.065980", \ - "0.004648,0.006375,0.008095,0.011543,0.018784,0.034177,0.065981", \ - "0.004645,0.006372,0.008091,0.011538,0.018779,0.034175,0.065981", \ - "0.004758,0.006466,0.008171,0.011596,0.018810,0.034185,0.065981", \ - "0.004968,0.006648,0.008324,0.011709,0.018874,0.034206,0.065984", \ - "0.005309,0.006948,0.008585,0.011904,0.018985,0.034246,0.065990"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006487,0.009522,0.012825,0.020687,0.037732,0.072313,0.141559", \ - "0.006488,0.009522,0.012825,0.020686,0.037728,0.072296,0.141558", \ - "0.006488,0.009522,0.012826,0.020688,0.037728,0.072299,0.141550", \ - "0.006489,0.009522,0.012825,0.020686,0.037727,0.072296,0.141558", \ - "0.006492,0.009524,0.012827,0.020686,0.037727,0.072295,0.141551", \ - "0.006647,0.009694,0.012942,0.020730,0.037745,0.072307,0.141544", \ - "0.006919,0.010007,0.013175,0.020828,0.037769,0.072314,0.141563"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051475,0.055628,0.059018,0.064711,0.074662,0.093334,0.130161", \ - "0.052780,0.056932,0.060322,0.066014,0.075966,0.094639,0.131468", \ - "0.056758,0.060908,0.064296,0.069987,0.079938,0.098609,0.135440", \ - "0.064059,0.068206,0.071592,0.077282,0.087230,0.105900,0.142729", \ - "0.072707,0.076884,0.080290,0.085995,0.095957,0.114632,0.151456", \ - "0.080538,0.084774,0.088217,0.093968,0.103950,0.122632,0.159449", \ - "0.086497,0.090835,0.094342,0.100122,0.110066,0.128760,0.165568"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.128933,0.135066,0.140038,0.149175,0.167311,0.203730,0.276603", \ - "0.130466,0.136598,0.141571,0.150699,0.168847,0.205265,0.278132", \ - "0.136199,0.142331,0.147303,0.156440,0.174576,0.210994,0.283862", \ - "0.145129,0.151262,0.156234,0.165372,0.183512,0.219938,0.292809", \ - "0.156727,0.162860,0.167832,0.176964,0.195102,0.231520,0.304395", \ - "0.171195,0.177384,0.182381,0.191482,0.209560,0.245964,0.318817", \ - "0.187245,0.193578,0.198663,0.207832,0.225879,0.262206,0.335046"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004802,0.006509,0.008211,0.011630,0.018836,0.034198,0.065985", \ - "0.004798,0.006507,0.008209,0.011629,0.018835,0.034198,0.065984", \ - "0.004790,0.006499,0.008203,0.011624,0.018832,0.034197,0.065985", \ - "0.004787,0.006495,0.008199,0.011619,0.018828,0.034195,0.065983", \ - "0.004912,0.006603,0.008290,0.011686,0.018864,0.034208,0.065986", \ - "0.005144,0.006806,0.008464,0.011815,0.018937,0.034233,0.065989", \ - "0.005507,0.007131,0.008749,0.012032,0.019063,0.034281,0.066002"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006627,0.009672,0.012928,0.020725,0.037740,0.072300,0.141554", \ - "0.006627,0.009672,0.012928,0.020725,0.037745,0.072308,0.141563", \ - "0.006627,0.009672,0.012928,0.020727,0.037745,0.072303,0.141561", \ - "0.006627,0.009673,0.012928,0.020725,0.037747,0.072309,0.141562", \ - "0.006628,0.009673,0.012929,0.020727,0.037745,0.072307,0.141550", \ - "0.006751,0.009794,0.013012,0.020757,0.037745,0.072301,0.141554", \ - "0.007013,0.010110,0.013250,0.020857,0.037778,0.072320,0.141558"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.571845,10.410160,10.969280,11.438250,11.742240,11.872630,11.930540", \ - "9.585754,10.390950,10.944240,11.434360,11.731850,11.866210,11.928360", \ - "9.601786,10.417320,10.957400,11.445810,11.743320,11.878180,11.945540", \ - "9.868778,10.674420,11.207570,11.684470,11.986830,12.128230,12.196960", \ - "10.487650,11.291010,11.786160,12.263900,12.555500,12.705910,12.780520", \ - "11.601620,12.287870,12.783290,13.335910,13.646260,13.756670,13.813760", \ - "12.871690,13.555610,14.113450,14.708740,15.162420,15.360210,15.383240"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.394400,14.191510,14.624320,15.081180,15.419060,15.400530,15.501810", \ - "13.364240,14.123130,14.556740,15.106230,15.335130,15.299420,15.321040", \ - "13.316540,14.044380,14.427160,14.797390,15.318340,15.416650,15.109960", \ - "13.432190,14.316390,14.752320,15.035280,15.506650,15.638330,15.799270", \ - "14.283030,15.051320,15.376540,15.811300,16.373130,16.517980,16.132850", \ - "15.165810,15.966160,16.382990,17.014970,17.497710,17.746480,17.664260", \ - "16.581960,17.465180,17.868250,18.411760,18.980190,19.179810,19.001530"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.605622,10.399370,10.965210,11.443120,11.737260,11.874600,11.940430", \ - "9.594403,10.418560,10.969780,11.454600,11.734170,11.869500,11.934680", \ - "9.609409,10.424880,10.980340,11.465480,11.759290,11.894200,11.963230", \ - "9.865206,10.698420,11.230740,11.704750,12.007520,12.154740,12.211410", \ - "10.542360,11.282160,11.781370,12.259510,12.563720,12.697820,12.772480", \ - "11.521560,12.155090,12.703290,13.238590,13.576760,13.687360,13.745020", \ - "12.738920,13.370020,13.923240,14.531260,14.979610,15.184340,15.212360"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.216480,14.087320,14.443780,14.943650,15.453420,15.709940,15.638130", \ - "13.171490,14.063610,14.390760,14.982530,15.516710,15.401020,14.956670", \ - "13.165970,14.004330,14.406400,15.027400,15.289260,15.461060,15.238760", \ - "13.360550,14.159980,14.506450,15.177160,15.506950,15.388690,15.973310", \ - "14.107760,14.886950,15.286020,15.677300,16.389890,16.444520,16.753300", \ - "15.088540,15.900370,16.382490,16.970190,17.518310,17.379760,17.717910", \ - "16.413650,17.281070,17.774230,18.219030,18.880520,19.305300,19.518740"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.596747,10.390270,10.949980,11.457440,11.755430,11.887500,11.958950", \ - "9.608281,10.418480,10.947060,11.437250,11.746250,11.884830,11.953420", \ - "9.636899,10.408730,10.945750,11.477200,11.772040,11.915830,11.972670", \ - "9.956766,10.691840,11.232850,11.745080,12.042810,12.188410,12.259840", \ - "10.594620,11.301840,11.810660,12.328390,12.621690,12.773760,12.851180", \ - "11.600430,12.297750,12.819140,13.363820,13.707600,13.809680,13.865780", \ - "12.998170,13.535650,14.107490,14.720480,15.178340,15.372850,15.401240"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.150910,14.999070,15.492710,15.937150,16.662180,17.020790,16.834630", \ - "14.171910,15.002260,15.337170,15.997280,16.587860,16.841340,16.683390", \ - "13.994870,14.898120,15.262820,15.791920,16.274490,16.600280,16.578320", \ - "14.158560,15.010520,15.390090,16.104180,16.382420,16.682690,16.452380", \ - "14.896000,15.695810,16.011830,16.644350,16.911490,17.162800,17.056470", \ - "15.865080,16.760930,17.213450,17.779470,18.378220,18.472670,18.006400", \ - "17.258720,18.078210,18.501400,19.145590,19.846920,20.151620,19.644040"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.598885,10.431500,10.947530,11.446390,11.755100,11.874000,11.940590", \ - "9.594515,10.428950,10.949630,11.447060,11.759500,11.881360,11.938230", \ - "9.619265,10.427520,10.984600,11.475790,11.770590,11.910020,11.975150", \ - "9.911023,10.691730,11.217580,11.702150,12.006320,12.155950,12.214800", \ - "10.513920,11.234290,11.784750,12.250520,12.551870,12.690030,12.764100", \ - "11.526940,12.160820,12.706360,13.237930,13.575170,13.684190,13.743840", \ - "12.678730,13.381230,13.946820,14.540710,14.996440,15.189450,15.216040"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.543060,14.305090,14.695310,15.284970,15.553570,15.626010,15.735010", \ - "13.471240,14.259210,14.579740,15.137580,15.551460,15.971840,15.621150", \ - "13.362490,14.125190,14.514620,14.932740,15.493890,15.499650,15.837290", \ - "13.579230,14.337260,14.692860,15.323510,15.688030,15.822500,15.598260", \ - "14.277980,15.052390,15.365680,16.006560,16.471730,16.594470,16.339300", \ - "15.207310,16.018860,16.483050,17.087470,17.529740,17.586950,17.314740", \ - "16.445680,17.400450,17.872230,18.376790,19.045520,19.266270,19.473780"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.587380,10.421530,10.961660,11.464900,11.755500,11.879200,11.940630", \ - "9.596504,10.424160,10.982630,11.467640,11.750540,11.885940,11.947320", \ - "9.618426,10.443120,10.996770,11.501820,11.786330,11.916220,11.983190", \ - "9.938399,10.713310,11.238560,11.718080,12.025740,12.172140,12.233630", \ - "10.525310,11.240790,11.772430,12.265620,12.556160,12.689870,12.764880", \ - "11.463080,12.120650,12.650220,13.200650,13.521780,13.629310,13.678260", \ - "12.560570,13.241260,13.764800,14.396980,14.845480,15.051030,15.077430"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.545370,14.346010,14.823220,15.175600,16.042010,16.170580,15.799920", \ - "13.520410,14.307400,14.723770,15.266360,15.869750,16.335630,15.641820", \ - "13.392940,14.205430,14.670900,15.177970,15.829510,16.244190,15.452090", \ - "13.514680,14.397660,14.746060,15.196870,15.804160,15.541300,16.518780", \ - "14.200250,15.032190,15.365660,15.844030,16.319670,16.595530,17.126130", \ - "15.143380,16.091180,16.489960,16.847130,17.474350,17.264050,17.556520", \ - "16.400310,17.402350,17.821570,18.248830,19.058030,19.478900,18.926340"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.613661,10.411910,10.953560,11.448480,11.755460,11.890310,11.960860", \ - "9.620551,10.423400,10.967170,11.468240,11.773690,11.897180,11.967780", \ - "9.642458,10.413350,10.995950,11.497940,11.801540,11.941570,11.997870", \ - "9.966142,10.678610,11.229510,11.742540,12.056990,12.201630,12.276260", \ - "10.614180,11.303040,11.799790,12.319320,12.616730,12.764410,12.844860", \ - "11.518920,12.248090,12.742450,13.287880,13.643830,13.759920,13.815980", \ - "12.885500,13.433120,13.974900,14.590280,15.049390,15.252440,15.275610"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.476840,15.356130,15.773590,16.195150,16.914240,17.049090,17.436120", \ - "14.413010,15.263260,15.706650,16.206380,16.861250,17.008080,17.378990", \ - "14.364420,15.203870,15.642500,16.149070,16.533950,17.017940,17.302610", \ - "14.449820,15.294540,15.668660,16.284590,16.947490,16.655480,16.631290", \ - "14.999550,15.841740,16.289100,16.746450,17.401310,17.523440,17.821870", \ - "16.057050,16.952510,17.322070,17.863030,18.307180,18.808690,18.762960", \ - "17.226270,18.227540,18.690320,19.199890,19.942150,19.920930,19.892150"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.607960,10.392570,10.950300,11.459300,11.750270,11.893430,11.960650", \ - "9.621585,10.418000,10.943280,11.458100,11.772520,11.899060,11.964730", \ - "9.658332,10.397740,10.972180,11.489170,11.796840,11.928950,11.990450", \ - "9.952549,10.686320,11.232660,11.723530,12.047610,12.186230,12.259560", \ - "10.625240,11.294890,11.803960,12.320690,12.619550,12.767140,12.848200", \ - "11.585880,12.303230,12.832450,13.342840,13.703540,13.804260,13.869810", \ - "12.958770,13.523420,14.103820,14.740270,15.191010,15.379150,15.402550"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.370760,15.231640,15.525320,16.043790,16.371260,16.447430,16.452930", \ - "14.254610,15.183960,15.478370,16.125580,16.637490,16.841920,16.162370", \ - "14.275650,15.076830,15.408620,15.984370,16.327930,16.914320,16.350140", \ - "14.384720,15.227840,15.577080,16.078080,16.587340,16.639760,17.177600", \ - "15.016850,15.873310,16.287550,16.736000,17.296950,17.145420,17.415720", \ - "15.889650,16.854320,17.349410,17.934040,18.492070,18.411530,18.597820", \ - "17.365380,18.271510,18.599510,19.139770,19.838440,20.121940,20.302340"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.613661,10.411910,10.953560,11.448480,11.755460,11.890310,11.960860", \ - "9.620551,10.423400,10.967170,11.468240,11.773690,11.897180,11.967780", \ - "9.642458,10.413350,10.995950,11.497940,11.801540,11.941570,11.997870", \ - "9.966142,10.678610,11.229510,11.742540,12.056990,12.201630,12.276260", \ - "10.614180,11.303040,11.799790,12.319320,12.616730,12.764410,12.844860", \ - "11.518920,12.248090,12.742450,13.287880,13.643830,13.759920,13.815980", \ - "12.885500,13.433120,13.974900,14.590280,15.049390,15.252440,15.275610"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.476840,15.356130,15.773590,16.195150,16.914240,17.049090,17.436120", \ - "14.413010,15.263260,15.706650,16.206380,16.861250,17.008080,17.378990", \ - "14.364420,15.203870,15.642500,16.149070,16.533950,17.017940,17.302610", \ - "14.449820,15.294540,15.668660,16.284590,16.947490,16.655480,16.631290", \ - "14.999550,15.841740,16.289100,16.746450,17.401310,17.523440,17.821870", \ - "16.057050,16.952510,17.322070,17.863030,18.307180,18.808690,18.762960", \ - "17.226270,18.227540,18.690320,19.199890,19.942150,19.920930,19.892150"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.636112,10.415630,10.933980,11.463590,11.773030,11.917950,11.989150", \ - "9.595979,10.370900,10.943620,11.469040,11.778470,11.928270,11.986370", \ - "9.684295,10.407830,10.963100,11.500860,11.821750,11.960080,12.026740", \ - "9.938091,10.705410,11.267240,11.773910,12.107570,12.255760,12.327740", \ - "10.713040,11.337340,11.863100,12.385470,12.711480,12.864040,12.940060", \ - "11.702710,12.328660,12.860640,13.431480,13.780890,13.898490,13.967530", \ - "13.050260,13.643020,14.162390,14.777970,15.267050,15.470590,15.491840"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.427370,16.294180,16.749850,17.381180,18.073300,18.160870,18.050470", \ - "15.309640,16.293880,16.698450,17.183860,17.971870,18.166620,17.939660", \ - "15.301430,16.193470,16.632650,17.113450,17.479300,18.080960,17.987650", \ - "15.303450,16.330240,16.728740,17.278680,17.982140,18.407860,18.077590", \ - "15.835870,16.728510,17.036240,17.762900,18.374760,18.734460,18.615920", \ - "16.786850,17.779880,18.214670,18.618560,19.431010,19.696260,19.706030", \ - "18.134940,19.168060,19.477670,20.091570,20.604410,21.105460,20.963940"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.573783,10.414480,10.956550,11.444070,11.755880,11.875720,11.943780", \ - "9.581389,10.392250,10.958620,11.438420,11.735460,11.872110,11.935310", \ - "9.584463,10.389020,10.907100,11.402140,11.706720,11.836900,11.898690", \ - "9.647509,10.427690,10.990070,11.463590,11.768170,11.908640,11.970510", \ - "10.079970,10.810650,11.346920,11.844110,12.112270,12.249150,12.326860", \ - "10.761910,11.484720,12.066070,12.595110,12.900460,13.006730,13.052180", \ - "11.661450,12.450960,13.012770,13.615710,14.037920,14.247210,14.259870"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.141010,14.934230,15.312640,15.783720,16.352850,16.395720,16.390170", \ - "14.081110,14.878370,15.358750,15.731260,16.057070,16.132520,16.197390", \ - "14.063360,14.871490,15.343940,15.846170,16.018380,16.120090,15.961130", \ - "14.302360,15.042520,15.422300,16.005500,16.488920,16.548120,15.756870", \ - "15.041800,15.874650,16.314460,16.716130,16.982310,16.540020,17.129500", \ - "15.935170,16.808780,17.302510,17.946790,18.134080,18.266520,18.194530", \ - "17.356470,18.187960,18.644920,19.208530,19.782290,19.745530,19.990930"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.598510,10.401860,10.974670,11.448590,11.742010,11.878860,11.943610", \ - "9.589597,10.414830,10.948460,11.439510,11.750060,11.873940,11.937460", \ - "9.587245,10.382540,10.932570,11.427820,11.721740,11.853120,11.908060", \ - "9.641972,10.494710,11.003740,11.491800,11.791310,11.931850,11.992480", \ - "10.104360,10.814080,11.372470,11.855100,12.127650,12.261280,12.335970", \ - "10.761920,11.452040,12.020540,12.551900,12.859900,12.967380,13.019520", \ - "11.533160,12.318820,12.871710,13.474500,13.902310,14.113590,14.139840"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.030750,14.918910,15.264430,15.983240,16.541250,16.959870,17.020720", \ - "14.062640,14.819330,15.254740,15.815980,16.370060,16.546640,16.865960", \ - "13.922630,14.868760,15.182270,15.837670,16.391220,16.567030,16.691380", \ - "14.076520,14.933910,15.429730,16.073370,16.596810,16.441490,16.502240", \ - "14.792110,15.656690,16.013070,16.714500,17.165840,16.801140,17.344960", \ - "15.896480,16.717130,17.196240,17.868040,18.161730,17.964210,18.871430", \ - "17.153490,18.196350,18.608780,19.100990,19.693660,20.186580,20.351690"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.614204,10.396450,10.953560,11.459390,11.759770,11.891770,11.962070", \ - "9.609511,10.399060,10.949330,11.453750,11.763250,11.887140,11.955510", \ - "9.538457,10.330980,10.922710,11.414730,11.727950,11.861050,11.929970", \ - "9.696624,10.485210,11.012130,11.518850,11.816710,11.953700,12.027790", \ - "10.112970,10.817400,11.385600,11.863590,12.173610,12.313890,12.382060", \ - "10.750210,11.497530,12.065040,12.589230,12.920670,13.044020,13.089160", \ - "11.726220,12.416730,12.969470,13.583540,14.015810,14.215560,14.244400"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.966940,15.829700,16.234380,16.941800,17.423620,17.948120,17.706800", \ - "14.854420,15.808550,16.143080,16.852290,17.451320,17.684270,17.608530", \ - "14.916950,15.754990,16.182440,16.709630,17.494890,17.103790,17.689400", \ - "15.064000,15.896540,16.328390,16.865170,17.642770,18.021470,17.707280", \ - "15.550150,16.482890,16.845870,17.542480,18.139480,17.806900,18.038610", \ - "16.734380,17.542310,18.052100,18.642610,19.260540,19.620200,19.489840", \ - "18.103710,19.007470,19.454380,20.050320,20.550800,21.171240,20.431700"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.591515,10.402420,10.971440,11.455260,11.759590,11.878430,11.946620", \ - "9.593712,10.416940,10.961050,11.449520,11.747130,11.885660,11.952310", \ - "9.586690,10.380490,10.945370,11.427010,11.730780,11.862170,11.919380", \ - "9.636148,10.486490,11.015570,11.510790,11.804800,11.935180,11.992000", \ - "10.083370,10.807800,11.358100,11.847420,12.127720,12.256660,12.333080", \ - "10.684150,11.482850,12.030410,12.550210,12.855410,12.960250,13.017430", \ - "11.543180,12.278710,12.885220,13.491910,13.908550,14.112060,14.138940"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.231720,15.055670,15.431950,15.893550,16.596620,16.935430,16.663930", \ - "14.161190,15.041480,15.361970,15.881530,16.507050,16.836190,16.332690", \ - "14.175250,15.009300,15.447910,15.966480,16.440880,16.731900,16.095640", \ - "14.311630,15.152170,15.619630,16.113670,16.736260,16.969030,17.105850", \ - "14.977820,15.875270,16.285990,16.795040,17.262080,17.427500,17.163700", \ - "16.031320,16.936410,17.324940,17.937530,18.462390,18.590060,18.497530", \ - "17.258990,18.312730,18.718890,19.309380,19.985530,20.280330,20.052360"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.613033,10.420910,10.955640,11.457760,11.758390,11.878340,11.946490", \ - "9.621671,10.412040,10.965630,11.452260,11.748780,11.882590,11.949080", \ - "9.588700,10.409410,10.948640,11.439990,11.738990,11.871580,11.935760", \ - "9.715998,10.515370,11.025850,11.524160,11.815820,11.958910,12.023860", \ - "10.100710,10.808250,11.384120,11.857800,12.134690,12.269090,12.343290", \ - "10.653020,11.419950,11.990700,12.517960,12.828590,12.938440,12.989220", \ - "11.548450,12.238530,12.797780,13.366940,13.799520,14.008700,14.030030"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.401040,15.240610,15.687270,16.196250,16.878240,17.149640,17.338640", \ - "14.369080,15.156330,15.589120,16.117240,16.605300,16.893960,17.279740", \ - "14.305030,15.120440,15.556360,16.129380,16.742800,17.006330,16.771110", \ - "14.326060,15.264620,15.730570,16.205000,16.741720,17.332130,17.323130", \ - "14.992800,15.829800,16.254320,16.800710,17.408790,17.667960,18.003010", \ - "15.959650,16.959970,17.354250,17.813940,18.301710,18.801260,18.662470", \ - "17.252180,18.259660,18.729150,19.276960,20.024140,20.114890,19.382170"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.552161,10.367650,10.946660,11.463570,11.767940,11.894600,11.965050", \ - "9.622865,10.419410,10.965860,11.467190,11.775620,11.899110,11.960690", \ - "9.606361,10.409000,10.931080,11.452290,11.743780,11.883560,11.952510", \ - "9.741029,10.487320,11.027450,11.539020,11.838840,11.977440,12.048470", \ - "10.123930,10.807330,11.377830,11.872700,12.169670,12.319890,12.388240", \ - "10.767500,11.446960,12.026930,12.558320,12.892650,13.003970,13.056400", \ - "11.613160,12.331450,12.852000,13.468570,13.920020,14.111950,14.146360"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.349620,16.224630,16.673510,17.202640,17.886380,18.061560,18.304010", \ - "15.307610,16.142770,16.585520,17.121100,17.830200,18.284580,18.196570", \ - "15.279860,16.119120,16.555200,17.146300,17.491020,18.133500,18.149560", \ - "15.410440,16.298800,16.686540,17.244380,17.905550,18.407350,18.154520", \ - "15.841990,16.750860,17.129480,17.658010,18.333350,18.650980,18.624400", \ - "16.782980,17.816200,18.215480,18.633220,19.259950,19.853840,19.639150", \ - "18.168930,19.129000,19.500090,20.122850,20.920760,21.046080,21.548800"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.612389,10.421480,10.943470,11.463440,11.758590,11.898370,11.963460", \ - "9.613839,10.398900,10.962230,11.454690,11.771660,11.902040,11.966510", \ - "9.594754,10.402460,10.905730,11.443240,11.737480,11.875680,11.941200", \ - "9.691947,10.480910,11.008820,11.501960,11.829090,11.959790,12.023460", \ - "10.111130,10.861120,11.354150,11.867520,12.166770,12.308550,12.374780", \ - "10.797010,11.505650,12.042310,12.597320,12.919240,13.029900,13.084710", \ - "11.776960,12.432620,12.996670,13.597260,14.027110,14.220960,14.245390"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.097330,16.048320,16.353020,16.895350,17.458430,17.409140,18.069970", \ - "15.046030,15.903500,16.393200,16.961810,17.482150,17.032510,17.928810", \ - "15.076410,15.896200,16.286740,16.747800,17.388370,17.756740,17.827070", \ - "15.288160,16.093920,16.514830,17.112910,17.602950,17.061630,17.789200", \ - "15.858930,16.683360,17.083780,17.560610,17.987660,18.563010,18.346350", \ - "16.884300,17.788790,18.169130,18.739880,19.340840,19.481560,19.686620", \ - "18.222850,19.121170,19.569480,20.152720,20.652600,20.903410,21.148660"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.552161,10.367650,10.946660,11.463570,11.767940,11.894600,11.965050", \ - "9.622865,10.419410,10.965860,11.467190,11.775620,11.899110,11.960690", \ - "9.606361,10.409000,10.931080,11.452290,11.743780,11.883560,11.952510", \ - "9.741029,10.487320,11.027450,11.539020,11.838840,11.977440,12.048470", \ - "10.123930,10.807330,11.377830,11.872700,12.169670,12.319890,12.388240", \ - "10.767500,11.446960,12.026930,12.558320,12.892650,13.003970,13.056400", \ - "11.613160,12.331450,12.852000,13.468570,13.920020,14.111950,14.146360"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.349620,16.224630,16.673510,17.202640,17.886380,18.061560,18.304010", \ - "15.307610,16.142770,16.585520,17.121100,17.830200,18.284580,18.196570", \ - "15.279860,16.119120,16.555200,17.146300,17.491020,18.133500,18.149560", \ - "15.410440,16.298800,16.686540,17.244380,17.905550,18.407350,18.154520", \ - "15.841990,16.750860,17.129480,17.658010,18.333350,18.650980,18.624400", \ - "16.782980,17.816200,18.215480,18.633220,19.259950,19.853840,19.639150", \ - "18.168930,19.129000,19.500090,20.122850,20.920760,21.046080,21.548800"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.629388,10.369070,10.939500,11.463890,11.780050,11.920720,11.993230", \ - "9.646812,10.372020,10.944690,11.457680,11.785300,11.928580,11.999310", \ - "9.632819,10.360440,10.927030,11.459920,11.764960,11.912440,11.980370", \ - "9.730222,10.514850,11.027750,11.558510,11.881620,12.017820,12.089800", \ - "10.178220,10.857600,11.387720,11.903090,12.222360,12.373570,12.444940", \ - "10.799950,11.549000,12.068120,12.626780,12.972110,13.094120,13.140030", \ - "11.867820,12.424850,12.988430,13.601460,14.040010,14.251130,14.275500"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.121530,17.167880,17.656820,18.169920,18.877710,19.263180,18.760330", \ - "16.169820,17.151170,17.558270,18.197200,18.660780,19.285560,19.578590", \ - "16.227530,17.062810,17.569710,18.155230,18.828030,18.585610,19.540840", \ - "16.273600,17.285680,17.689970,18.280790,18.893360,19.175880,19.595540", \ - "16.731930,17.569640,18.080030,18.685220,19.074950,19.709950,20.097010", \ - "17.684330,18.662170,19.063350,19.542170,19.969830,20.863900,20.875440", \ - "19.016190,20.065380,20.398740,21.053750,21.537920,21.517570,22.467620"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.697230,11.531300,12.060900,12.581980,12.918550,13.090280,13.198600", \ - "10.688910,11.485770,12.060580,12.587540,12.921410,13.079340,13.184020", \ - "10.661870,11.484780,12.036760,12.552090,12.886830,13.053110,13.160910", \ - "10.888650,11.648160,12.192710,12.730290,13.067430,13.243870,13.343920", \ - "11.355860,12.165290,12.675720,13.186630,13.546200,13.734220,13.847870", \ - "12.344650,13.060660,13.594450,14.112840,14.440980,14.581560,14.701230", \ - "13.555750,14.240560,14.816940,15.383370,15.766360,15.927700,15.982780"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.961750,15.738670,16.209770,16.624300,17.353360,17.448320,17.343580", \ - "14.917400,15.744960,16.175280,16.689590,17.015530,17.545900,16.747090", \ - "14.810250,15.639660,16.111960,16.622910,16.917470,16.561040,17.011630", \ - "14.752030,15.609690,15.932170,16.552470,17.077070,16.978680,16.354790", \ - "15.023250,15.858960,16.239390,16.839380,17.176670,17.363050,17.583660", \ - "15.310460,16.280560,16.734430,17.437550,17.981720,18.088810,17.842230", \ - "16.077570,17.066950,17.510130,18.121370,18.755870,18.730120,18.715820"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.702760,11.515200,12.070660,12.600590,12.923270,13.093000,13.199850", \ - "10.705060,11.516800,12.076040,12.591020,12.927700,13.101200,13.201470", \ - "10.703240,11.519960,12.059540,12.594140,12.916800,13.090820,13.195530", \ - "10.899460,11.652560,12.225960,12.754220,13.096160,13.274810,13.378230", \ - "11.431260,12.120840,12.681610,13.192600,13.549640,13.739840,13.845840", \ - "12.238810,12.995400,13.543490,14.062820,14.391850,14.534990,14.649000", \ - "13.424090,14.110430,14.665160,15.234900,15.639310,15.801990,15.851380"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.913800,15.735200,16.237830,16.834570,17.471990,17.824570,17.471950", \ - "14.815760,15.760080,16.098690,16.632420,17.477690,17.456750,17.302880", \ - "14.729000,15.558020,15.993950,16.635920,17.273910,17.511900,17.175330", \ - "14.666190,15.596480,15.922780,16.574420,17.224940,17.470280,17.332250", \ - "14.924700,15.739450,16.175650,16.681900,17.479390,17.776580,17.142100", \ - "15.409260,16.271820,16.776310,17.366050,18.063120,18.288650,18.140420", \ - "16.091260,17.157210,17.529200,18.142290,19.000980,19.665770,18.751480"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.713020,11.498930,12.063150,12.601160,12.941160,13.128430,13.226790", \ - "10.657720,11.479940,12.079090,12.598240,12.957050,13.134060,13.227370", \ - "10.731800,11.520760,12.057240,12.603890,12.943340,13.122310,13.227640", \ - "10.928300,11.701400,12.247650,12.783950,13.129430,13.324910,13.418470", \ - "11.468600,12.215430,12.744100,13.245340,13.613270,13.810930,13.927250", \ - "12.362170,13.117520,13.605680,14.153820,14.508770,14.652340,14.778420", \ - "13.639870,14.243520,14.829930,15.395310,15.814650,15.987780,16.039140"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.788870,16.720840,17.124000,17.705810,18.457670,18.903720,19.123500", \ - "15.796130,16.585270,17.049370,17.732110,18.447560,18.790740,18.942590", \ - "15.646730,16.496650,16.933210,17.492340,18.331770,18.703110,18.809920", \ - "15.549920,16.414150,16.887710,17.455360,18.277920,18.777820,18.091890", \ - "15.774510,16.598640,17.075780,17.576100,18.168610,18.801070,18.701100", \ - "16.259960,17.227980,17.672620,18.192650,19.038190,19.332620,19.343950", \ - "16.929230,18.030700,18.453970,19.184270,20.070230,20.394590,19.847530"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.559400,11.401940,11.951250,12.473950,12.818380,12.988510,13.099070", \ - "10.551530,11.363280,11.935780,12.457770,12.787940,12.967050,13.078580", \ - "10.538620,11.326650,11.891620,12.421470,12.764620,12.930070,13.038610", \ - "10.677800,11.544430,12.079750,12.601280,12.941350,13.134930,13.234710", \ - "11.292470,12.013950,12.572580,13.067720,13.415440,13.607280,13.724530", \ - "12.245040,12.905220,13.439570,13.979880,14.331240,14.454700,14.579930", \ - "13.413690,14.091540,14.655510,15.224540,15.628320,15.806360,15.855880"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.780990,15.651890,16.033950,16.491070,16.943670,17.098870,17.073270", \ - "14.816320,15.589000,15.886710,16.483110,16.789190,17.052130,17.054170", \ - "14.693500,15.469910,15.846380,16.444590,16.692560,16.701410,16.617820", \ - "14.650220,15.376900,15.802670,16.252480,16.755920,17.015250,16.842460", \ - "14.865730,15.642950,16.028280,16.437990,17.119620,17.029080,17.072370", \ - "15.202090,16.160660,16.527640,17.075650,17.443720,17.361010,17.390530", \ - "16.017450,16.854610,17.368260,17.769490,18.538940,18.920400,18.334220"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.576290,11.393950,11.954300,12.489050,12.816330,12.991380,13.099690", \ - "10.567370,11.387410,11.949490,12.477580,12.807300,12.984760,13.095660", \ - "10.555270,11.385600,11.931590,12.455600,12.804580,12.967860,13.078890", \ - "10.714670,11.577230,12.094150,12.630400,12.973930,13.156230,13.271430", \ - "11.230520,12.020830,12.582170,13.081470,13.420970,13.618060,13.730690", \ - "12.202820,12.893800,13.396920,13.936290,14.272570,14.417390,14.534630", \ - "13.287930,13.980550,14.523910,15.114030,15.503180,15.679980,15.726440"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.863140,15.713950,16.151890,16.551800,17.147610,17.345020,17.684990", \ - "14.698420,15.684730,16.103480,16.613050,17.231630,17.274590,17.696500", \ - "14.718090,15.603740,16.005730,16.422860,17.018260,17.272700,17.455660", \ - "14.673390,15.507980,15.898710,16.313140,17.137980,17.120760,16.436990", \ - "14.838580,15.684850,16.120140,16.707080,17.295320,17.089890,17.498450", \ - "15.258530,16.192750,16.672000,17.110610,17.632230,18.146010,17.886170", \ - "15.996070,16.971150,17.336210,18.027500,18.767770,19.157250,18.882620"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.589950,11.357700,11.945520,12.480990,12.834240,13.021330,13.131980", \ - "10.606850,11.350410,11.945260,12.485450,12.829260,13.020010,13.119200", \ - "10.588100,11.361650,11.922430,12.473700,12.809760,12.997960,13.104100", \ - "10.812460,11.565220,12.122090,12.647610,13.009080,13.197030,13.312430", \ - "11.308260,12.103840,12.619600,13.136850,13.497630,13.704320,13.813190", \ - "12.250010,12.990570,13.495580,14.053000,14.399080,14.551060,14.668710", \ - "13.512060,14.144520,14.684970,15.282620,15.706520,15.888230,15.939830"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.817850,16.683800,17.102160,17.597420,18.237520,18.435300,18.778910", \ - "15.778560,16.633550,17.047920,17.521030,18.101510,18.742240,18.886330", \ - "15.694180,16.544310,16.852540,17.468670,17.976190,18.460030,18.796880", \ - "15.607840,16.436570,16.910260,17.481300,18.123900,18.499780,18.584290", \ - "15.750660,16.570650,17.007570,17.580450,18.222690,17.973810,17.885660", \ - "16.267560,17.158340,17.611600,18.031930,18.778990,18.940220,18.870970", \ - "16.797360,17.877630,18.279810,18.872110,19.643100,19.838570,19.118870"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.606800,11.352070,11.939850,12.490070,12.831370,13.016520,13.122740", \ - "10.536420,11.330500,11.934190,12.471120,12.810170,12.997480,13.101320", \ - "10.550000,11.294270,11.881620,12.419040,12.772450,12.960750,13.066640", \ - "10.781170,11.549880,12.081720,12.638900,12.975630,13.173770,13.276160", \ - "11.304060,12.074690,12.602770,13.129270,13.490980,13.696360,13.804840", \ - "12.292220,13.032090,13.544740,14.085970,14.447250,14.595730,14.719580", \ - "13.650060,14.257620,14.811590,15.399570,15.825100,16.006690,16.055130"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.687050,16.501290,16.920710,17.479900,17.717480,18.358450,17.705840", \ - "15.615990,16.459580,16.903740,17.459990,17.961000,18.296020,17.824090", \ - "15.513790,16.377770,16.763690,17.212920,17.922970,18.099290,18.344890", \ - "15.493590,16.348830,16.719390,17.139890,17.814540,17.600280,17.627850", \ - "15.660240,16.515130,16.848460,17.299850,18.060270,17.984070,18.094880", \ - "16.125660,17.050320,17.480030,18.000120,18.577860,18.865530,18.845730", \ - "16.782870,17.724120,18.185710,18.847800,19.437960,19.699400,19.397410"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.589950,11.357700,11.945520,12.480990,12.834240,13.021330,13.131980", \ - "10.606850,11.350410,11.945260,12.485450,12.829260,13.020010,13.119200", \ - "10.588100,11.361650,11.922430,12.473700,12.809760,12.997960,13.104100", \ - "10.812460,11.565220,12.122090,12.647610,13.009080,13.197030,13.312430", \ - "11.308260,12.103840,12.619600,13.136850,13.497630,13.704320,13.813190", \ - "12.250010,12.990570,13.495580,14.053000,14.399080,14.551060,14.668710", \ - "13.512060,14.144520,14.684970,15.282620,15.706520,15.888230,15.939830"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.817850,16.683800,17.102160,17.597420,18.237520,18.435300,18.778910", \ - "15.778560,16.633550,17.047920,17.521030,18.101510,18.742240,18.886330", \ - "15.694180,16.544310,16.852540,17.468670,17.976190,18.460030,18.796880", \ - "15.607840,16.436570,16.910260,17.481300,18.123900,18.499780,18.584290", \ - "15.750660,16.570650,17.007570,17.580450,18.222690,17.973810,17.885660", \ - "16.267560,17.158340,17.611600,18.031930,18.778990,18.940220,18.870970", \ - "16.797360,17.877630,18.279810,18.872110,19.643100,19.838570,19.118870"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.629680,11.349150,11.941540,12.500590,12.869250,13.059140,13.165950", \ - "10.630410,11.397290,11.928640,12.493830,12.858450,13.051950,13.163180", \ - "10.603560,11.380460,11.917740,12.476030,12.847650,13.039050,13.144840", \ - "10.844470,11.607670,12.144810,12.688110,13.063910,13.254000,13.362260", \ - "11.472230,12.121430,12.684760,13.191050,13.596770,13.799360,13.909050", \ - "12.398240,13.046500,13.615080,14.154630,14.544620,14.697410,14.825170", \ - "13.838830,14.361250,14.869920,15.458620,15.914880,16.100850,16.155060"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.727380,17.663120,17.988560,18.630290,19.315840,18.885060,19.555680", \ - "16.648980,17.613540,18.078540,18.659700,19.349290,19.735490,19.062760", \ - "16.555660,17.515860,17.980220,18.466670,19.199750,19.710630,19.142290", \ - "16.535180,17.447160,17.876560,18.320810,19.144020,18.862220,19.160140", \ - "16.571330,17.538030,17.863320,18.506890,19.199400,19.723350,19.865430", \ - "17.109350,18.053750,18.485220,18.978760,19.794270,20.000110,20.299480", \ - "17.804190,18.784020,19.189130,19.805130,20.630780,20.706500,21.274110"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.699220,11.533780,12.068800,12.599840,12.920250,13.098710,13.195330", \ - "10.691250,11.515340,12.061040,12.578490,12.913330,13.086740,13.189010", \ - "10.647140,11.427540,12.023470,12.530150,12.871600,13.050490,13.145360", \ - "10.718470,11.492980,12.050160,12.577510,12.917570,13.082120,13.189340", \ - "11.012600,11.756370,12.306200,12.808220,13.143420,13.330550,13.442020", \ - "11.620290,12.338420,12.906580,13.419880,13.759020,13.877830,13.996020", \ - "12.519280,13.200690,13.763040,14.317850,14.682030,14.859380,14.905610"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.682300,16.477540,17.008790,17.616010,18.094710,18.419690,17.748350", \ - "15.658370,16.456790,16.988710,17.566520,17.746680,18.353330,17.493280", \ - "15.605310,16.391690,16.939150,17.391460,17.708440,18.308440,18.471800", \ - "15.582300,16.391130,16.814880,17.456280,17.902650,18.100910,18.430860", \ - "15.838470,16.651120,17.150800,17.712750,18.276940,18.274990,18.134500", \ - "16.144680,17.141540,17.678500,18.287480,18.870960,18.728490,19.158560", \ - "16.989300,17.942470,18.399170,18.986580,19.944550,20.144400,19.723540"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.703660,11.535020,12.072960,12.603830,12.927010,13.098330,13.200980", \ - "10.709020,11.490730,12.087750,12.588280,12.933180,13.103830,13.206330", \ - "10.684030,11.483360,12.058360,12.582450,12.916970,13.085530,13.183740", \ - "10.735410,11.497660,12.097760,12.620790,12.942880,13.129060,13.223730", \ - "11.037180,11.763100,12.338220,12.829230,13.173370,13.359230,13.464150", \ - "11.544270,12.320060,12.883040,13.414200,13.740780,13.862770,13.980830", \ - "12.371410,13.099400,13.669220,14.217010,14.600620,14.772570,14.823140"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.675760,16.528430,16.952750,17.502230,18.372600,18.688540,18.168650", \ - "15.633800,16.496580,16.995450,17.490770,18.322720,18.781810,17.806250", \ - "15.586750,16.521040,16.956000,17.451320,18.256650,18.635590,18.923830", \ - "15.589010,16.395150,16.913310,17.398100,18.234200,18.617000,17.684520", \ - "15.711690,16.588690,17.106650,17.603970,18.320180,18.527090,18.731500", \ - "16.284380,17.229140,17.670820,18.284580,18.940740,19.418040,19.372020", \ - "16.915030,17.976340,18.455470,19.204580,19.738710,20.070560,20.721900"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.736140,11.465910,12.060430,12.606400,12.946490,13.124670,13.230130", \ - "10.741100,11.481200,12.073080,12.608240,12.953790,13.134830,13.234550", \ - "10.665530,11.457900,12.057870,12.594450,12.925370,13.107940,13.215560", \ - "10.767840,11.514700,12.085650,12.618890,12.974580,13.158540,13.258440", \ - "11.046180,11.762100,12.343460,12.867290,13.211230,13.398930,13.512510", \ - "11.608040,12.334310,12.924640,13.446880,13.798110,13.936600,14.048580", \ - "12.466980,13.174170,13.742350,14.312160,14.716410,14.897360,14.932880"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.612090,17.517860,17.929400,18.442300,19.368020,19.479170,19.683080", \ - "16.526980,17.479290,17.870420,18.608620,19.199570,19.264810,19.845920", \ - "16.482350,17.333110,17.809690,18.362970,19.178810,19.348370,19.614160", \ - "16.434010,17.372430,17.788060,18.528280,19.279360,19.400560,19.480470", \ - "16.572980,17.513670,17.862050,18.498010,19.366330,19.460810,20.013260", \ - "17.128320,18.064760,18.532740,19.130090,19.893600,20.110530,19.326030", \ - "17.766870,18.899230,19.228290,19.935050,20.705110,20.518860,20.707980"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.557460,11.391510,11.951670,12.467310,12.826050,12.988490,13.099930", \ - "10.553720,11.374660,11.921630,12.451430,12.799230,12.974200,13.080250", \ - "10.479080,11.328280,11.885210,12.416420,12.750070,12.920590,13.029060", \ - "10.567760,11.368970,11.907650,12.443290,12.780090,12.963170,13.074920", \ - "10.883540,11.620530,12.177160,12.682600,13.035950,13.221020,13.321150", \ - "11.467480,12.193900,12.751610,13.307220,13.629730,13.764970,13.881130", \ - "12.375110,13.049540,13.620840,14.177400,14.551590,14.729440,14.786490"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.591490,16.436410,16.782860,17.226850,17.933490,18.045990,17.668470", \ - "15.480360,16.339790,16.814130,17.192800,17.588810,17.702140,17.552380", \ - "15.429880,16.276510,16.751360,17.292860,17.512590,18.159180,17.391180", \ - "15.471690,16.295170,16.678560,17.247340,17.828720,17.990170,17.667920", \ - "15.630540,16.555060,16.877020,17.303270,17.558980,18.005520,17.771580", \ - "16.078810,16.943980,17.450470,17.966930,18.563450,18.428320,18.666680", \ - "16.813420,17.765540,18.172260,18.656910,19.523280,19.702890,19.724940"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.576990,11.395520,11.941370,12.468020,12.821270,12.991760,13.100060", \ - "10.524330,11.405120,11.957380,12.469280,12.820500,12.992390,13.095750", \ - "10.540850,11.363430,11.916820,12.455440,12.787010,12.961340,13.065370", \ - "10.616030,11.406020,11.942760,12.484830,12.822050,13.001760,13.112390", \ - "10.918020,11.618600,12.190360,12.706270,13.053860,13.240660,13.350670", \ - "11.436310,12.175190,12.764970,13.287010,13.621850,13.754200,13.867590", \ - "12.222350,12.971940,13.523690,14.095630,14.473240,14.661530,14.709260"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.552880,16.585480,17.000730,17.505750,17.947950,18.292410,18.675430", \ - "15.670050,16.481530,16.874010,17.465590,18.075290,17.832480,18.635360", \ - "15.474160,16.499830,16.883600,17.456670,18.065930,18.374610,18.566450", \ - "15.599330,16.463360,16.891710,17.378340,18.113440,18.534270,18.555090", \ - "15.733390,16.540290,16.995890,17.542610,18.150580,18.329980,17.932550", \ - "16.086400,17.165460,17.603750,18.096170,18.764920,19.070640,18.897520", \ - "16.806200,17.844470,18.343400,18.896050,19.674620,19.877110,19.092740"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.616250,11.356090,11.943760,12.492840,12.839260,13.026800,13.121480", \ - "10.612460,11.352060,11.940440,12.488960,12.830340,13.025630,13.122830", \ - "10.580250,11.323330,11.917580,12.463400,12.800140,12.989100,13.091730", \ - "10.596790,11.405060,11.957390,12.506880,12.851720,13.040610,13.142080", \ - "10.943350,11.701720,12.208660,12.742620,13.087120,13.282930,13.399730", \ - "11.526450,12.236570,12.809440,13.332720,13.684940,13.827820,13.946320", \ - "12.340790,13.084640,13.607070,14.206480,14.592400,14.774620,14.829600"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.627140,17.563980,17.955500,18.427450,19.229860,19.723180,19.682480", \ - "16.556500,17.504490,17.920550,18.394310,19.161640,19.672410,19.456910", \ - "16.480020,17.462440,17.892610,18.384310,18.836580,19.345500,18.985730", \ - "16.530250,17.399670,17.879860,18.444730,18.876160,19.610380,19.241600", \ - "16.632810,17.511330,17.951010,18.452720,18.774890,19.714380,19.344270", \ - "17.021120,18.094000,18.508610,18.909740,19.684650,19.936620,19.988970", \ - "17.758030,18.786310,19.198360,19.896900,20.692120,20.599140,21.233370"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.597950,11.351770,11.941150,12.493450,12.835770,13.020030,13.125920", \ - "10.571520,11.334640,11.921210,12.476580,12.812850,13.008030,13.110790", \ - "10.538820,11.287270,11.882570,12.417050,12.768640,12.952460,13.060240", \ - "10.584580,11.345340,11.921730,12.455420,12.808200,12.994530,13.105430", \ - "10.880220,11.647210,12.200960,12.710680,13.065220,13.260610,13.381170", \ - "11.544310,12.255650,12.824580,13.353180,13.698320,13.840540,13.966170", \ - "12.418420,13.107680,13.697050,14.280480,14.664790,14.853130,14.909890"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.428390,17.330120,17.664370,18.190510,18.765620,19.177450,19.108710", \ - "16.418390,17.277300,17.648650,18.101630,18.877610,18.788160,19.187990", \ - "16.349200,17.246420,17.581120,18.281800,18.485440,18.602220,18.986270", \ - "16.319480,17.195500,17.609360,18.244830,18.661720,18.964600,19.036350", \ - "16.539260,17.418320,17.847320,18.244490,18.885630,18.933230,19.051690", \ - "16.996340,17.948990,18.333660,18.987040,19.536130,19.630090,19.203710", \ - "17.571070,18.611830,18.996010,19.567650,20.293900,20.839160,20.489520"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.616250,11.356090,11.943760,12.492840,12.839260,13.026800,13.121480", \ - "10.612460,11.352060,11.940440,12.488960,12.830340,13.025630,13.122830", \ - "10.580250,11.323330,11.917580,12.463400,12.800140,12.989100,13.091730", \ - "10.596790,11.405060,11.957390,12.506880,12.851720,13.040610,13.142080", \ - "10.943350,11.701720,12.208660,12.742620,13.087120,13.282930,13.399730", \ - "11.526450,12.236570,12.809440,13.332720,13.684940,13.827820,13.946320", \ - "12.340790,13.084640,13.607070,14.206480,14.592400,14.774620,14.829600"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.627140,17.563980,17.955500,18.427450,19.229860,19.723180,19.682480", \ - "16.556500,17.504490,17.920550,18.394310,19.161640,19.672410,19.456910", \ - "16.480020,17.462440,17.892610,18.384310,18.836580,19.345500,18.985730", \ - "16.530250,17.399670,17.879860,18.444730,18.876160,19.610380,19.241600", \ - "16.632810,17.511330,17.951010,18.452720,18.774890,19.714380,19.344270", \ - "17.021120,18.094000,18.508610,18.909740,19.684650,19.936620,19.988970", \ - "17.758030,18.786310,19.198360,19.896900,20.692120,20.599140,21.233370"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.640420,11.406970,11.938420,12.501910,12.875380,13.063390,13.170080", \ - "10.647360,11.350030,11.929670,12.496160,12.865260,13.056700,13.165390", \ - "10.610800,11.368680,11.920940,12.466200,12.844550,13.029490,13.138750", \ - "10.584960,11.372900,11.956210,12.521570,12.897450,13.085600,13.187750", \ - "11.010530,11.687760,12.248890,12.783460,13.143560,13.345010,13.463180", \ - "11.579620,12.292830,12.839610,13.417490,13.768790,13.924610,14.043440", \ - "12.504730,13.179020,13.720470,14.299600,14.722790,14.915370,14.970530"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.448140,18.553730,18.960480,19.587700,20.286370,20.658180,20.744560", \ - "17.498060,18.521000,18.810590,19.348450,19.986010,20.431640,20.818830", \ - "17.372770,18.386370,18.884810,19.303520,19.977700,20.347430,20.338740", \ - "17.330660,18.443140,18.870940,19.350930,20.052880,20.426080,20.622710", \ - "17.557390,18.475560,18.941880,19.400150,20.035090,20.409860,20.612000", \ - "18.071570,19.020710,19.374320,19.950670,20.655980,20.869010,21.136540", \ - "18.649670,19.677620,20.054150,20.768360,21.591580,21.900910,22.144250"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.462660,12.315190,12.923150,13.502710,13.892150,14.135680,14.291450", \ - "11.502560,12.276020,12.886310,13.467200,13.855140,14.090390,14.255180", \ - "11.451440,12.205880,12.831740,13.403960,13.811570,14.048120,14.196920", \ - "11.594420,12.377550,12.990890,13.561030,13.943740,14.185100,14.339000", \ - "12.074770,12.912360,13.426820,13.996520,14.416410,14.644440,14.812660", \ - "13.010560,13.734920,14.329240,14.866800,15.255480,15.456150,15.620180", \ - "14.297150,14.969340,15.531990,16.121860,16.520390,16.735570,16.840060"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.337740,17.219640,17.552920,18.235880,18.711180,19.158410,19.127120", \ - "16.228650,17.164030,17.639820,18.057860,18.925260,18.743710,18.271080", \ - "16.181410,17.059420,17.506790,18.165230,18.719100,18.874270,19.207470", \ - "16.129510,16.946910,17.425990,18.045380,18.675880,18.854530,18.464910", \ - "16.244910,17.064950,17.453300,18.157060,18.571020,18.796400,19.118690", \ - "16.252470,17.270890,17.698380,18.546930,19.181240,19.365240,18.662720", \ - "16.514300,17.662980,18.026370,18.923260,19.466480,20.185080,20.207020"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.446570,12.211210,12.830840,13.413790,13.798320,14.037780,14.203750", \ - "11.375210,12.163010,12.777580,13.346130,13.746590,13.985840,14.154350", \ - "11.351630,12.108770,12.723150,13.291620,13.685680,13.924150,14.091120", \ - "11.516890,12.289420,12.889470,13.442360,13.841160,14.084490,14.235950", \ - "12.046270,12.784300,13.335980,13.897470,14.288640,14.529960,14.688000", \ - "12.877400,13.660600,14.189890,14.742650,15.123540,15.314420,15.487730", \ - "14.183200,14.826430,15.397110,15.977490,16.379670,16.594130,16.692130"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.137720,17.006310,17.344950,17.971820,18.644160,19.175610,19.107550", \ - "16.084990,16.892840,17.333460,17.906160,18.545980,18.994550,18.838840", \ - "15.976650,16.793090,17.295680,17.799610,18.611340,18.170870,18.530270", \ - "15.881020,16.704790,17.145540,17.671980,18.544250,18.801500,18.849790", \ - "15.925510,16.842440,17.324490,17.783840,18.423820,18.329200,18.244820", \ - "16.069110,17.075130,17.552310,18.117780,18.762650,18.482430,18.816310", \ - "16.362040,17.473470,17.973520,18.656530,19.477210,19.568330,19.879820"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.472840,12.281380,12.829530,13.436610,13.839200,14.077990,14.238990", \ - "11.451300,12.232440,12.798180,13.388570,13.788570,14.028600,14.190600", \ - "11.383350,12.109210,12.749330,13.313210,13.721210,13.968830,14.131310", \ - "11.549610,12.329450,12.885460,13.474020,13.877700,14.124520,14.285040", \ - "12.137820,12.836800,13.384650,13.964860,14.367910,14.607900,14.778350", \ - "13.020020,13.742700,14.309820,14.859980,15.240050,15.452130,15.626360", \ - "14.396020,15.011870,15.536980,16.133260,16.567930,16.789350,16.896420"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.005270,17.857850,18.344060,18.909550,19.689370,20.060700,19.526740", \ - "16.957960,17.828020,18.338930,19.000810,19.685830,20.052790,19.473040", \ - "16.857460,17.766680,18.100100,18.893530,19.578660,19.871500,20.169850", \ - "16.790210,17.664310,18.105650,18.706260,19.461340,19.820170,19.319660", \ - "16.797080,17.766040,18.124360,18.866750,19.552660,19.711130,19.622980", \ - "17.109910,18.054480,18.485080,18.965840,19.886590,20.328300,20.191390", \ - "17.376020,18.329980,18.886720,19.499940,20.435760,20.477540,20.720120"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.241440,12.070010,12.657240,13.249840,13.687960,13.957970,14.141650", \ - "11.191540,11.999670,12.618880,13.232340,13.649200,13.916680,14.101920", \ - "11.108250,11.952110,12.563020,13.163490,13.584500,13.852680,14.034680", \ - "11.382400,12.145770,12.763320,13.341650,13.759190,14.026610,14.209520", \ - "11.921670,12.651200,13.244320,13.796370,14.226970,14.485270,14.667790", \ - "12.890440,13.590480,14.116620,14.686800,15.073140,15.293270,15.485140", \ - "14.058490,14.773460,15.327570,15.927280,16.361160,16.593270,16.709250"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.219660,17.040300,17.410680,18.103640,18.408100,18.984190,18.638280", \ - "16.207890,16.987370,17.402770,18.046620,18.647000,18.818040,18.722480", \ - "16.110130,16.881510,17.304740,17.953650,18.484420,18.092060,18.377720", \ - "16.001440,16.839820,17.222360,17.820700,18.403760,18.524800,18.365970", \ - "16.148770,16.918510,17.346780,17.778050,18.575120,18.746450,18.382250", \ - "16.245050,17.193430,17.584280,18.164570,18.808760,18.911430,18.968980", \ - "16.612890,17.544400,18.019560,18.749190,19.185910,19.829310,19.427910"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.171760,11.964440,12.586380,13.177640,13.623670,13.885740,14.058710", \ - "11.128430,11.959360,12.547600,13.137840,13.559240,13.825290,14.004040", \ - "11.065920,11.854360,12.490420,13.074330,13.494230,13.761180,13.935690", \ - "11.299690,12.065880,12.683740,13.270310,13.670390,13.930780,14.106620", \ - "11.775590,12.556370,13.142940,13.695520,14.131340,14.380630,14.558110", \ - "12.791740,13.487910,14.027970,14.575140,14.965630,15.178810,15.368810", \ - "13.958350,14.654270,15.193990,15.793540,16.233080,16.461770,16.576120"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.078110,16.948710,17.231870,17.833800,18.336490,18.551430,18.769280", \ - "15.980350,16.828920,17.305150,17.791200,18.386870,18.354720,18.716350", \ - "15.906090,16.738070,17.196400,17.730310,18.324790,18.582260,18.820960", \ - "15.847040,16.701290,17.145690,17.573470,17.912410,18.710700,18.282310", \ - "15.911890,16.709310,17.142180,17.706650,18.316720,18.741200,18.479610", \ - "16.162910,17.009640,17.466620,18.021520,18.338500,19.046840,18.276340", \ - "16.466870,17.394970,17.775270,18.374030,19.089740,19.504590,18.991390"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.222370,12.038200,12.615820,13.201320,13.647610,13.922910,14.102620", \ - "11.172190,11.988240,12.538970,13.167130,13.604810,13.868860,14.052340", \ - "11.111400,11.932280,12.483170,13.101870,13.536030,13.799510,13.980780", \ - "11.269930,12.131510,12.708820,13.290840,13.715340,13.981720,14.163230", \ - "11.962080,12.616930,13.219280,13.776730,14.206490,14.470200,14.658980", \ - "12.904530,13.580450,14.117510,14.698950,15.109610,15.322020,15.514750", \ - "14.243620,14.803150,15.392230,15.998330,16.441400,16.673140,16.781330"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.001490,17.917070,18.281280,18.829790,19.418040,19.597320,19.983970", \ - "16.976100,17.852530,18.266530,18.772130,19.393310,19.571070,19.912920", \ - "16.898450,17.767930,18.143480,18.580910,19.211420,19.534700,19.838810", \ - "16.814740,17.704730,18.070870,18.586180,19.233190,19.588760,19.774580", \ - "16.805950,17.660350,18.133930,18.690140,19.384290,19.704830,19.801840", \ - "17.060800,18.052710,18.414890,19.022830,19.689240,20.017530,19.162820", \ - "17.291710,18.379270,18.730590,19.441790,19.956980,20.111700,20.710380"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.272830,12.045700,12.696560,13.299110,13.724160,13.999730,14.188240", \ - "11.250820,12.071770,12.651340,13.250260,13.686100,13.965470,14.143950", \ - "11.208990,12.000300,12.585850,13.184960,13.627380,13.895660,14.079070", \ - "11.433360,12.155440,12.784760,13.379090,13.816000,14.074250,14.255080", \ - "11.960530,12.708790,13.310260,13.861790,14.305040,14.573330,14.757820", \ - "12.958760,13.672200,14.241770,14.803010,15.211960,15.428450,15.621010", \ - "14.376600,14.933950,15.517560,16.093150,16.557630,16.790850,16.916810"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.055760,18.009390,18.316360,19.063610,19.643140,19.627140,19.116070", \ - "17.050550,17.925050,18.273530,18.978590,19.245960,19.500920,20.084960", \ - "16.952580,17.785610,18.171610,18.816510,19.468960,19.319940,19.716620", \ - "16.965970,17.758450,18.195740,18.705470,19.371830,19.431080,19.804750", \ - "16.957240,17.821630,18.183050,18.890670,19.457010,19.655500,19.975630", \ - "17.032810,18.114470,18.464350,19.105250,19.736490,19.968850,20.332690", \ - "17.464190,18.427600,18.946890,19.529080,20.359310,20.669210,20.618250"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.222370,12.038200,12.615820,13.201320,13.647610,13.922910,14.102620", \ - "11.172190,11.988240,12.538970,13.167130,13.604810,13.868860,14.052340", \ - "11.111400,11.932280,12.483170,13.101870,13.536030,13.799510,13.980780", \ - "11.269930,12.131510,12.708820,13.290840,13.715340,13.981720,14.163230", \ - "11.962080,12.616930,13.219280,13.776730,14.206490,14.470200,14.658980", \ - "12.904530,13.580450,14.117510,14.698950,15.109610,15.322020,15.514750", \ - "14.243620,14.803150,15.392230,15.998330,16.441400,16.673140,16.781330"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.001490,17.917070,18.281280,18.829790,19.418040,19.597320,19.983970", \ - "16.976100,17.852530,18.266530,18.772130,19.393310,19.571070,19.912920", \ - "16.898450,17.767930,18.143480,18.580910,19.211420,19.534700,19.838810", \ - "16.814740,17.704730,18.070870,18.586180,19.233190,19.588760,19.774580", \ - "16.805950,17.660350,18.133930,18.690140,19.384290,19.704830,19.801840", \ - "17.060800,18.052710,18.414890,19.022830,19.689240,20.017530,19.162820", \ - "17.291710,18.379270,18.730590,19.441790,19.956980,20.111700,20.710380"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.295390,12.028490,12.623770,13.249840,13.693780,13.967470,14.148800", \ - "11.160180,12.021490,12.591170,13.208140,13.658030,13.916530,14.102520", \ - "11.104310,11.926600,12.508200,13.129960,13.584250,13.855750,14.028160", \ - "11.389820,12.132440,12.738390,13.326590,13.780300,14.034190,14.209420", \ - "11.972430,12.742040,13.279010,13.879970,14.307400,14.581380,14.763400", \ - "13.032580,13.683170,14.243950,14.848220,15.253280,15.479700,15.673770", \ - "14.481060,14.999750,15.578210,16.191160,16.651510,16.893460,17.009180"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.981890,18.896240,19.272920,19.764920,20.457610,20.737080,20.749360", \ - "17.843830,18.805420,19.237840,19.789150,20.265230,20.695690,20.465660", \ - "17.830690,18.724730,19.143850,19.625250,19.998720,20.393500,20.181110", \ - "17.741500,18.667230,19.072920,19.546930,20.340510,20.418340,20.621820", \ - "17.742340,18.662830,19.074660,19.555330,20.206550,20.684770,20.121580", \ - "17.959130,19.001640,19.396790,19.971330,20.660990,20.907840,20.722150", \ - "18.303380,19.289650,19.833410,20.327070,21.251630,21.529270,20.668830"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.526700,12.316900,12.902500,13.505490,13.891530,14.137470,14.289470", \ - "11.519630,12.281600,12.884830,13.450330,13.855760,14.094700,14.255270", \ - "11.458040,12.239900,12.833020,13.410990,13.802900,14.040600,14.198800", \ - "11.506720,12.256440,12.862330,13.444520,13.828860,14.069970,14.231020", \ - "11.684680,12.521180,13.080110,13.649700,14.043710,14.287930,14.450520", \ - "12.313210,13.065860,13.650190,14.206650,14.585830,14.778250,14.948150", \ - "13.202580,13.897180,14.478200,15.060240,15.461150,15.680710,15.776510"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.063450,17.911400,18.321910,19.063660,19.640880,19.924970,19.746320", \ - "17.029740,17.851050,18.316080,18.946460,19.411640,20.046000,19.841310", \ - "16.953720,17.787240,18.267230,18.982040,19.553800,19.739090,19.953090", \ - "16.936740,17.741590,18.249430,18.761670,19.342900,19.782970,19.935070", \ - "17.034030,17.826490,18.423970,19.044380,19.378500,20.026350,19.910730", \ - "17.074720,18.107640,18.581790,19.240990,19.781540,20.057640,20.106970", \ - "17.517800,18.431050,19.035070,19.684050,20.572450,20.815690,20.359410"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.427830,12.211700,12.824820,13.412020,13.801060,14.039150,14.202530", \ - "11.369380,12.165050,12.792500,13.363040,13.748580,13.987460,14.151910", \ - "11.319450,12.107340,12.722110,13.303160,13.690610,13.929580,14.093510", \ - "11.388860,12.142920,12.755710,13.335040,13.726060,13.960630,14.128580", \ - "11.583580,12.398080,12.988820,13.540720,13.932830,14.178830,14.345810", \ - "12.222590,12.972660,13.528340,14.084400,14.463540,14.659560,14.825560", \ - "13.015500,13.775660,14.342670,14.936560,15.331190,15.555390,15.653600"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.845450,17.764470,18.172370,18.773090,19.557870,19.878230,19.635330", \ - "16.848630,17.734790,18.232260,18.704090,19.541210,19.885280,19.374450", \ - "16.824340,17.669770,18.173110,18.777880,19.474050,19.819090,19.298720", \ - "16.770830,17.669790,18.119720,18.611050,19.479380,19.800000,19.906320", \ - "16.774100,17.666130,18.126630,18.843040,19.271590,19.642370,19.646330", \ - "17.053520,18.048800,18.503020,19.005140,19.469880,19.647740,20.289100", \ - "17.370200,18.393710,18.858270,19.416110,20.426030,20.358270,20.589180"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.475220,12.264730,12.830710,13.411000,13.838430,14.072880,14.238620", \ - "11.434480,12.177070,12.803880,13.377080,13.790160,14.033430,14.192640", \ - "11.370450,12.170150,12.729250,13.304990,13.724860,13.971470,14.133280", \ - "11.346070,12.218080,12.761200,13.350830,13.771060,14.003820,14.168210", \ - "11.733260,12.442140,12.997040,13.579040,13.989360,14.229290,14.396050", \ - "12.250660,12.989090,13.590220,14.153000,14.537430,14.744440,14.908760", \ - "13.142320,13.869900,14.452900,15.039300,15.448770,15.676150,15.773740"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.774110,18.736520,19.103280,19.666010,20.578900,20.648620,20.821890", \ - "17.741410,18.595590,19.069110,19.834900,20.537330,20.235040,21.007840", \ - "17.651780,18.609810,19.119680,19.773950,20.364400,20.646840,20.846750", \ - "17.630280,18.595010,18.986650,19.737270,20.376010,20.071160,20.950580", \ - "17.672440,18.656400,19.031180,19.755250,20.416310,20.658530,20.202990", \ - "17.934490,18.905750,19.396830,19.953950,20.706730,20.945330,20.574120", \ - "18.232570,19.292830,19.729800,20.548390,21.448460,21.614210,21.805580"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.231630,12.039730,12.656940,13.254030,13.689620,13.963680,14.140150", \ - "11.196600,12.001330,12.618720,13.211790,13.650670,13.921970,14.107190", \ - "11.151080,11.943570,12.562970,13.164120,13.589360,13.867580,14.043420", \ - "11.221380,12.011650,12.590480,13.197230,13.634360,13.903190,14.076080", \ - "11.452570,12.298030,12.840570,13.415390,13.849560,14.113220,14.302580", \ - "12.043190,12.859380,13.427580,14.013440,14.397340,14.614000,14.796430", \ - "12.913070,13.701120,14.264270,14.862940,15.280550,15.520190,15.636420"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.961440,17.822810,18.199890,18.816420,19.541460,19.818140,19.215530", \ - "16.888490,17.787180,18.176200,18.891140,19.225970,19.471330,19.063010", \ - "16.959550,17.765450,18.189020,18.736970,19.346620,19.668230,19.798960", \ - "16.808900,17.732110,18.097030,18.752170,19.305360,19.391150,19.834970", \ - "16.930560,17.802120,18.150950,18.881390,19.473430,19.465210,19.212200", \ - "17.202110,18.126420,18.449250,19.039930,19.805610,20.130060,19.385960", \ - "17.529670,18.402310,18.817660,19.581070,20.085920,20.777330,20.616640"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.178770,11.968300,12.586270,13.176920,13.608470,13.873760,14.058060", \ - "11.110250,11.917000,12.545700,13.148860,13.561000,13.825950,14.007790", \ - "11.072720,11.876880,12.486830,13.079500,13.498300,13.768140,13.943150", \ - "11.107600,11.910180,12.527800,13.121870,13.536430,13.801960,13.980880", \ - "11.372090,12.170390,12.792840,13.333640,13.760340,14.022780,14.210560", \ - "12.017830,12.776160,13.344350,13.915280,14.305590,14.509330,14.699340", \ - "12.907280,13.606160,14.132430,14.736320,15.171350,15.416150,15.523210"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.905930,17.709310,18.173760,18.685470,19.225320,19.753390,19.851650", \ - "16.867040,17.729640,18.149540,18.603060,19.275520,19.467490,19.816410", \ - "16.816160,17.680760,18.099130,18.604070,19.228080,19.790110,19.769230", \ - "16.789170,17.651080,18.055770,18.558880,19.076500,19.255230,19.737840", \ - "16.828930,17.721540,18.126570,18.617660,18.999850,19.025020,19.827980", \ - "17.111500,17.996210,18.436220,18.838470,19.372560,20.064410,19.317170", \ - "17.409770,18.396500,18.732520,19.470480,20.246320,19.831710,20.317730"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.149980,11.985200,12.587630,13.211170,13.645700,13.918580,14.101840", \ - "11.193590,11.978380,12.574730,13.163560,13.595610,13.870330,14.053860", \ - "11.109490,11.918070,12.504970,13.102950,13.531660,13.804820,13.987420", \ - "11.175230,11.988910,12.546060,13.159800,13.583110,13.851070,14.027270", \ - "11.437090,12.246570,12.798960,13.372370,13.822070,14.086980,14.270290", \ - "12.066570,12.806650,13.405800,13.979840,14.385650,14.598410,14.791140", \ - "12.964680,13.663130,14.261590,14.857360,15.309350,15.539900,15.656990"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.842420,18.751160,19.154610,19.752000,20.146350,20.419350,21.000400", \ - "17.823890,18.679350,19.143170,19.667020,20.324630,20.616760,20.713370", \ - "17.760090,18.625790,19.070800,19.547180,20.387500,20.513170,20.319810", \ - "17.740710,18.597460,19.051600,19.568460,20.314450,20.592990,20.631290", \ - "17.763280,18.644690,19.056750,19.570880,20.154510,20.647450,19.841880", \ - "17.996510,18.894490,19.276980,19.883780,20.620590,20.766340,20.853770", \ - "18.268530,19.336520,19.687580,20.378090,20.907590,21.382890,21.250940"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.266300,12.111900,12.688120,13.287720,13.739700,14.012390,14.184980", \ - "11.223090,12.007400,12.655490,13.249270,13.694090,13.968970,14.147880", \ - "11.211320,12.009080,12.597690,13.190870,13.626040,13.900410,14.084470", \ - "11.260960,12.063720,12.627310,13.236390,13.665950,13.943820,14.122250", \ - "11.599010,12.307880,12.894310,13.465950,13.901830,14.177030,14.360570", \ - "12.196770,12.897840,13.500430,14.082930,14.477490,14.704650,14.891140", \ - "13.131090,13.761450,14.369180,14.965830,15.410240,15.654340,15.763880"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.839500,18.764630,19.244730,19.639920,20.518680,20.748620,20.970300", \ - "17.803570,18.728520,19.210560,19.820370,20.115430,20.489090,20.714970", \ - "17.787780,18.663090,19.133940,19.618300,20.205490,20.697060,20.204110", \ - "17.783400,18.670800,19.050450,19.692770,20.317490,20.322090,20.244570", \ - "17.779810,18.697030,19.169120,19.797240,20.393940,20.447530,20.361620", \ - "18.047760,19.007580,19.422550,20.101220,20.724370,20.961700,20.514440", \ - "18.364180,19.314320,19.833870,20.577750,21.287670,21.372770,21.891780"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.149980,11.985200,12.587630,13.211170,13.645700,13.918580,14.101840", \ - "11.193590,11.978380,12.574730,13.163560,13.595610,13.870330,14.053860", \ - "11.109490,11.918070,12.504970,13.102950,13.531660,13.804820,13.987420", \ - "11.175230,11.988910,12.546060,13.159800,13.583110,13.851070,14.027270", \ - "11.437090,12.246570,12.798960,13.372370,13.822070,14.086980,14.270290", \ - "12.066570,12.806650,13.405800,13.979840,14.385650,14.598410,14.791140", \ - "12.964680,13.663130,14.261590,14.857360,15.309350,15.539900,15.656990"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.842420,18.751160,19.154610,19.752000,20.146350,20.419350,21.000400", \ - "17.823890,18.679350,19.143170,19.667020,20.324630,20.616760,20.713370", \ - "17.760090,18.625790,19.070800,19.547180,20.387500,20.513170,20.319810", \ - "17.740710,18.597460,19.051600,19.568460,20.314450,20.592990,20.631290", \ - "17.763280,18.644690,19.056750,19.570880,20.154510,20.647450,19.841880", \ - "17.996510,18.894490,19.276980,19.883780,20.620590,20.766340,20.853770", \ - "18.268530,19.336520,19.687580,20.378090,20.907590,21.382890,21.250940"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.255800,12.025100,12.620610,13.235970,13.689420,13.966330,14.147450", \ - "11.200750,11.981110,12.596030,13.191530,13.655570,13.922750,14.096890", \ - "11.109050,11.893310,12.537270,13.140960,13.587330,13.855480,14.036880", \ - "11.170910,12.022180,12.576380,13.187460,13.633750,13.894370,14.079500", \ - "11.598280,12.285600,12.838000,13.421130,13.879340,14.159740,14.341900", \ - "12.242780,12.883150,13.456220,14.061070,14.479030,14.706660,14.892250", \ - "13.159570,13.810000,14.376400,14.993020,15.431550,15.682330,15.805830"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("18.814080,19.753690,20.160100,20.632730,21.338610,21.625370,21.309340", \ - "18.789650,19.722310,20.134470,20.546120,21.007430,21.940410,22.103410", \ - "18.650110,19.679170,20.086260,20.666670,21.369600,21.750790,21.707430", \ - "18.703040,19.550520,20.054260,20.532840,21.092560,21.850720,22.016710", \ - "18.714160,19.644580,20.075620,20.463020,21.120670,21.830290,21.222410", \ - "18.990070,19.876220,20.263470,20.763980,21.378540,22.000710,22.029190", \ - "19.207880,20.267720,20.635480,21.352440,22.018560,21.996710,21.722670"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X1 - Cell Description : Combinational cell (BUF_X1) with drive strength X1 - *******************************************************************************************/ - - cell (BUF_X1) { - - drive_strength : 1; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 21.438247; - - leakage_power () { - when : "!A"; - value : 23.592690; - } - leakage_power () { - when : "A"; - value : 19.283803; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.974659; - fall_capacitance : 0.875250; - rise_capacitance : 0.974659; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.653700; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0201865,0.0235911,0.0269641,0.0326475,0.0426135,0.0612850,0.0980519", \ - "0.0216894,0.0250889,0.0284611,0.0341451,0.0441133,0.0627860,0.0995534", \ - "0.0282371,0.0316005,0.0349620,0.0406488,0.0506262,0.0693068,0.106085", \ - "0.0386967,0.0423879,0.0459970,0.0519401,0.0620489,0.0807319,0.117469", \ - "0.0497158,0.0538601,0.0579014,0.0644031,0.0750488,0.0940280,0.130742", \ - "0.0617868,0.0663516,0.0708446,0.0780153,0.0893727,0.108751,0.145588", \ - "0.0752644,0.0802460,0.0851919,0.0931189,0.105447,0.125609,0.162684"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0150655,0.0192785,0.0240774,0.0333696,0.0517046,0.0881789,0.160987", \ - "0.0165564,0.0207573,0.0255464,0.0348375,0.0531776,0.0896605,0.162472", \ - "0.0214908,0.0256639,0.0303825,0.0396011,0.0579260,0.0944300,0.167270", \ - "0.0260031,0.0305149,0.0352680,0.0444044,0.0626416,0.0990750,0.171904", \ - "0.0286695,0.0338681,0.0389159,0.0480290,0.0660890,0.102453,0.175196", \ - "0.0292776,0.0351629,0.0408826,0.0503417,0.0683247,0.104510,0.177181", \ - "0.0276264,0.0340820,0.0405673,0.0509039,0.0690618,0.105239,0.177778"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.00428038,0.00587611,0.00772130,0.0113117,0.0186397,0.0340136,0.0657428", \ - "0.00428149,0.00587928,0.00772328,0.0113125,0.0186395,0.0340125,0.0657441", \ - "0.00433231,0.00593056,0.00776605,0.0113399,0.0186507,0.0340160,0.0657438", \ - "0.00570636,0.00712701,0.00877721,0.0120475,0.0189713,0.0340896,0.0657497", \ - "0.00743478,0.00888423,0.0104969,0.0135974,0.0201189,0.0346664,0.0658338", \ - "0.00930028,0.0108106,0.0124793,0.0155209,0.0216383,0.0354778,0.0662702", \ - "0.0114177,0.0129928,0.0147480,0.0178786,0.0237774,0.0368541,0.0667999"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.00431629,0.00745385,0.0115682,0.0200985,0.0373705,0.0719884,0.141199", \ - "0.00431547,0.00745699,0.0115710,0.0200973,0.0373767,0.0719737,0.141202", \ - "0.00462733,0.00759784,0.0116220,0.0201068,0.0373719,0.0719887,0.141209", \ - "0.00578092,0.00834323,0.0120283,0.0202847,0.0374271,0.0719916,0.141212", \ - "0.00727015,0.00982049,0.0129680,0.0206046,0.0375723,0.0720789,0.141201", \ - "0.00896521,0.0118355,0.0147991,0.0215467,0.0378810,0.0722184,0.141291", \ - "0.0109632,0.0140938,0.0173169,0.0234177,0.0386893,0.0726298,0.141465"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("2.564894,2.799299,2.957067,3.094368,3.177359,3.208676,3.224208", \ - "2.523975,2.758870,2.906896,3.051543,3.132619,3.167669,3.184325", \ - "2.557562,2.758257,2.912887,3.059657,3.143704,3.185301,3.205477", \ - "2.738030,2.918120,3.085918,3.232685,3.321122,3.359244,3.379054", \ - "3.094740,3.193507,3.306621,3.503529,3.664130,3.708538,3.728807", \ - "3.701766,3.741706,3.808100,3.980866,4.138829,4.252584,4.291949", \ - "4.579731,4.551722,4.586452,4.707734,4.887175,5.000311,5.114520"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("1.715355,1.803297,1.852956,1.902489,1.927891,1.807024,1.790761", \ - "1.716261,1.766998,1.819000,1.882163,1.876785,1.908889,1.923115", \ - "1.742854,1.785255,1.792170,1.783818,1.879641,1.813047,1.663681", \ - "1.950981,1.972777,1.947016,1.928201,1.916752,1.918670,1.887537", \ - "2.299397,2.399779,2.396534,2.358520,2.314282,2.138670,2.233501", \ - "2.887732,3.014187,3.058108,3.050280,2.983688,2.729248,2.939056", \ - "3.627490,3.770017,3.871934,3.939645,3.943141,3.841790,3.726703"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X2 - Cell Description : Combinational cell (BUF_X2) with drive strength X2 - *******************************************************************************************/ - - cell (BUF_X2) { - - drive_strength : 2; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 43.060820; - - leakage_power () { - when : "!A"; - value : 47.310340; - } - leakage_power () { - when : "A"; - value : 38.811300; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.779209; - fall_capacitance : 1.589398; - rise_capacitance : 1.779209; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0187474,0.0224488,0.0256927,0.0312191,0.0410395,0.0596244,0.0963609", \ - "0.0202558,0.0239491,0.0271923,0.0327201,0.0425427,0.0611304,0.0978642", \ - "0.0268202,0.0304699,0.0337029,0.0392358,0.0490686,0.0676625,0.104400", \ - "0.0367892,0.0408396,0.0443331,0.0501342,0.0601166,0.0787031,0.115398", \ - "0.0473379,0.0518830,0.0557915,0.0621109,0.0725587,0.0914062,0.128091", \ - "0.0590100,0.0640195,0.0683737,0.0753411,0.0864593,0.105649,0.142420", \ - "0.0721174,0.0775884,0.0823950,0.0901152,0.102186,0.122117,0.159110"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0141067,0.0188104,0.0235929,0.0328753,0.0511938,0.0876271,0.160363", \ - "0.0155915,0.0202788,0.0250515,0.0343340,0.0526583,0.0891031,0.161849", \ - "0.0203322,0.0249906,0.0296911,0.0389029,0.0572153,0.0936897,0.166459", \ - "0.0244549,0.0294613,0.0341694,0.0432956,0.0615315,0.0979372,0.170697", \ - "0.0267541,0.0325198,0.0374720,0.0465454,0.0646024,0.100949,0.173625", \ - "0.0270281,0.0335531,0.0391531,0.0485155,0.0664983,0.102675,0.175301", \ - "0.0250957,0.0322443,0.0386044,0.0487990,0.0669169,0.103117,0.175611"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00396075,0.00571639,0.00754159,0.0111375,0.0185249,0.0340006,0.0657762", \ - "0.00396094,0.00571940,0.00754298,0.0111384,0.0185253,0.0340002,0.0657751", \ - "0.00403948,0.00578816,0.00759659,0.0111706,0.0185377,0.0340033,0.0657766", \ - "0.00549775,0.00704315,0.00865990,0.0119292,0.0188879,0.0340729,0.0657796", \ - "0.00720097,0.00876548,0.0103353,0.0133946,0.0199487,0.0346343,0.0658755", \ - "0.00906665,0.0106876,0.0123072,0.0152818,0.0213976,0.0353691,0.0663016", \ - "0.0111963,0.0128889,0.0145927,0.0176435,0.0235067,0.0367072,0.0668173"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00401152,0.00755523,0.0117044,0.0202535,0.0375284,0.0721114,0.141300", \ - "0.00400944,0.00755645,0.0117061,0.0202515,0.0375292,0.0721042,0.141294", \ - "0.00436451,0.00771030,0.0117608,0.0202592,0.0375226,0.0721241,0.141301", \ - "0.00552182,0.00838708,0.0121269,0.0204390,0.0375889,0.0721153,0.141295", \ - "0.00700038,0.00982222,0.0130075,0.0207340,0.0377219,0.0722205,0.141303", \ - "0.00870547,0.0118617,0.0147974,0.0216370,0.0380653,0.0723666,0.141396", \ - "0.0107362,0.0141605,0.0173191,0.0234496,0.0388660,0.0728198,0.141575"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("4.989958,5.409677,5.696893,5.942332,6.076158,6.134255,6.154258", \ - "4.896762,5.323680,5.608939,5.858114,5.992373,6.049981,6.078576", \ - "4.947056,5.385680,5.634222,5.880699,6.026103,6.099005,6.131450", \ - "5.328271,5.690029,5.986062,6.239579,6.389131,6.457090,6.492921", \ - "6.074062,6.227885,6.464953,6.791431,7.083862,7.181143,7.217168", \ - "7.377700,7.377271,7.483094,7.792819,8.069403,8.297954,8.386824", \ - "9.096639,9.043154,9.075276,9.299188,9.615724,9.835075,10.067120"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("3.418789,3.589980,3.661563,3.794015,3.788002,3.758481,3.633766", \ - "3.374842,3.533519,3.610799,3.710424,3.799736,3.707041,3.411756", \ - "3.418481,3.538888,3.551418,3.664985,3.701775,3.627171,3.358611", \ - "3.859970,3.931811,3.906393,3.920859,3.825597,3.819884,3.775321", \ - "4.661946,4.842539,4.794501,4.673361,4.557972,4.618576,4.502583", \ - "5.746962,6.110439,6.158802,6.163572,5.962920,5.968239,5.757925", \ - "7.236120,7.621414,7.782703,7.874281,7.935738,7.849258,7.140852"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X4 - Cell Description : Combinational cell (BUF_X4) with drive strength X4 - *******************************************************************************************/ - - cell (BUF_X4) { - - drive_strength : 4; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 86.121805; - - leakage_power () { - when : "!A"; - value : 94.620680; - } - leakage_power () { - when : "A"; - value : 77.622930; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.401892; - fall_capacitance : 3.003701; - rise_capacitance : 3.401892; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0177123,0.0215843,0.0247709,0.0302248,0.0399834,0.0585584,0.0953352", \ - "0.0192381,0.0231013,0.0262873,0.0317426,0.0415036,0.0600800,0.0968578", \ - "0.0258174,0.0296289,0.0328068,0.0382686,0.0480393,0.0666218,0.103403", \ - "0.0354361,0.0396896,0.0431353,0.0488689,0.0588015,0.0773681,0.114099", \ - "0.0456879,0.0504527,0.0543021,0.0605288,0.0708721,0.0896736,0.126395", \ - "0.0571213,0.0623713,0.0666605,0.0735260,0.0845100,0.103619,0.140410", \ - "0.0700128,0.0757492,0.0804932,0.0881096,0.100040,0.119860,0.156873"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0133259,0.0183294,0.0231278,0.0324288,0.0507701,0.0872519,0.160074", \ - "0.0148096,0.0197922,0.0245791,0.0338809,0.0522319,0.0887233,0.161554", \ - "0.0193796,0.0243348,0.0290476,0.0382822,0.0566239,0.0931423,0.166005", \ - "0.0231881,0.0285044,0.0332105,0.0423565,0.0606314,0.0970876,0.169941", \ - "0.0252009,0.0313125,0.0362395,0.0453235,0.0634183,0.0998238,0.172601", \ - "0.0252112,0.0321063,0.0376691,0.0470123,0.0650303,0.101269,0.173998", \ - "0.0230395,0.0305721,0.0368930,0.0470451,0.0651822,0.101456,0.174057"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00372066,0.00555589,0.00737796,0.0109908,0.0184336,0.0339969,0.0658470", \ - "0.00372141,0.00555911,0.00738010,0.0109918,0.0184334,0.0339970,0.0658452", \ - "0.00383059,0.00564352,0.00744274,0.0110284,0.0184481,0.0339994,0.0658447", \ - "0.00533949,0.00693340,0.00853497,0.0118147,0.0188170,0.0340680,0.0658481", \ - "0.00703503,0.00863841,0.0101786,0.0132177,0.0198115,0.0346181,0.0659552", \ - "0.00890926,0.0105684,0.0121457,0.0150810,0.0212124,0.0353055,0.0663738", \ - "0.0110668,0.0127899,0.0144542,0.0174555,0.0233017,0.0366192,0.0668849"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00372388,0.00749030,0.0116602,0.0202328,0.0375261,0.0721556,0.141416", \ - "0.00372562,0.00749243,0.0116631,0.0202331,0.0375318,0.0721522,0.141413", \ - "0.00410698,0.00765819,0.0117212,0.0202394,0.0375246,0.0721523,0.141414", \ - "0.00527127,0.00829596,0.0120696,0.0204176,0.0375925,0.0721521,0.141421", \ - "0.00673628,0.00971214,0.0129214,0.0207055,0.0377353,0.0722606,0.141431", \ - "0.00846061,0.0117612,0.0146901,0.0215877,0.0380866,0.0724219,0.141523", \ - "0.0105334,0.0140797,0.0172181,0.0233730,0.0388930,0.0728947,0.141704"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("9.484298,10.365730,10.897340,11.319590,11.557810,11.652290,11.705730", \ - "9.280335,10.225130,10.723640,11.145490,11.402880,11.500210,11.558640", \ - "9.506361,10.314480,10.793950,11.220740,11.494190,11.625810,11.688640", \ - "10.171230,10.900380,11.482370,11.955760,12.219910,12.362260,12.437730", \ - "11.756880,12.073960,12.432630,13.058820,13.624080,13.837160,13.904800", \ - "14.424750,14.377890,14.607770,15.105670,15.622980,16.081770,16.278400", \ - "17.998940,17.733740,17.776050,18.153130,18.769210,19.195070,19.674930"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.395623,6.872192,6.959770,7.225263,7.272237,7.079454,7.453050", \ - "6.307507,6.709347,6.813185,7.120116,7.041335,7.370511,6.967154", \ - "6.431981,6.702703,6.769538,6.883670,7.176099,7.093668,6.828268", \ - "7.385360,7.554653,7.420594,7.497256,7.486761,7.577713,7.313214", \ - "8.870772,9.410002,9.367359,9.253044,9.034167,9.006363,8.977706", \ - "11.233390,11.845080,11.954760,12.061770,11.582610,11.491270,11.227750", \ - "14.297030,14.950820,15.342580,15.507540,15.392110,15.513110,14.473790"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X8 - Cell Description : Combinational cell (BUF_X8) with drive strength X8 - *******************************************************************************************/ - - cell (BUF_X8) { - - drive_strength : 8; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 172.244545; - - leakage_power () { - when : "!A"; - value : 189.241800; - } - leakage_power () { - when : "A"; - value : 155.247290; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.585178; - fall_capacitance : 5.810134; - rise_capacitance : 6.585178; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 484.009000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("0.0180211,0.0220423,0.0252589,0.0307610,0.0405776,0.0591959,0.0960046", \ - "0.0195721,0.0235877,0.0268044,0.0323084,0.0421273,0.0607471,0.0975582", \ - "0.0261487,0.0301108,0.0333207,0.0388323,0.0486613,0.0672889,0.104103", \ - "0.0357599,0.0401541,0.0436189,0.0493905,0.0593842,0.0780010,0.114770", \ - "0.0460357,0.0509429,0.0548018,0.0610453,0.0714378,0.0903030,0.127066", \ - "0.0575064,0.0629062,0.0672050,0.0740747,0.0850886,0.104254,0.141102", \ - "0.0704393,0.0763353,0.0810843,0.0887063,0.100648,0.120520,0.157590"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("0.0136865,0.0189653,0.0238222,0.0331709,0.0515593,0.0881085,0.161066", \ - "0.0151608,0.0204184,0.0252653,0.0346164,0.0530139,0.0895724,0.162535", \ - "0.0196948,0.0249219,0.0297039,0.0389947,0.0573848,0.0939742,0.166969", \ - "0.0235171,0.0290632,0.0338466,0.0430688,0.0614000,0.0979346,0.170920", \ - "0.0255693,0.0318809,0.0368686,0.0460377,0.0642123,0.100704,0.173621", \ - "0.0256231,0.0327241,0.0383055,0.0477263,0.0658352,0.102174,0.175045", \ - "0.0234968,0.0312397,0.0375648,0.0477575,0.0659976,0.102373,0.175124"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("0.00374890,0.00565418,0.00749381,0.0111273,0.0185738,0.0341238,0.0659775", \ - "0.00375109,0.00565734,0.00749604,0.0111282,0.0185738,0.0341234,0.0659774", \ - "0.00385655,0.00573852,0.00755732,0.0111641,0.0185881,0.0341267,0.0659755", \ - "0.00534161,0.00699157,0.00861689,0.0119299,0.0189537,0.0341980,0.0659806", \ - "0.00703435,0.00867887,0.0102271,0.0133019,0.0199399,0.0347512,0.0660895", \ - "0.00891352,0.0106081,0.0121859,0.0151426,0.0213214,0.0354417,0.0665106", \ - "0.0110863,0.0128421,0.0145020,0.0175027,0.0233923,0.0367549,0.0670277"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("0.00378464,0.00767442,0.0118333,0.0203888,0.0377024,0.0723879,0.141772", \ - "0.00378394,0.00767669,0.0118362,0.0203927,0.0377051,0.0723941,0.141779", \ - "0.00413065,0.00784067,0.0119003,0.0204001,0.0377091,0.0723971,0.141782", \ - "0.00522540,0.00844101,0.0122523,0.0205886,0.0377726,0.0723971,0.141773", \ - "0.00668091,0.00978709,0.0130727,0.0208880,0.0379094,0.0725002,0.141779", \ - "0.00840951,0.0118071,0.0147887,0.0217684,0.0382729,0.0726550,0.141881", \ - "0.0105017,0.0141254,0.0172790,0.0235141,0.0390893,0.0731247,0.142062"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("18.662090,20.543880,21.525640,22.387950,22.857040,23.082110,23.176260", \ - "18.399950,20.279030,21.256100,22.092930,22.620890,22.848940,22.947990", \ - "18.880720,20.475140,21.430760,22.288150,22.838950,23.117010,23.249320", \ - "20.332950,21.713300,22.893450,23.802990,24.339670,24.611410,24.750320", \ - "23.666740,24.051920,24.915910,26.049970,27.184320,27.575280,27.704790", \ - "28.890060,28.709770,29.125840,30.140990,31.141200,32.063810,32.465160", \ - "35.941320,35.544100,35.526750,36.279840,37.451400,38.303490,39.244290"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); - values ("12.515700,13.527220,13.879870,14.474500,14.039600,14.785730,14.697000", \ - "12.458230,13.275890,13.763620,13.987680,14.505790,14.070020,14.841550", \ - "12.760080,13.362200,13.518360,13.507380,13.962700,14.053430,14.791100", \ - "14.722770,15.029860,14.988990,15.119400,15.352530,14.497940,13.844850", \ - "17.750050,18.653370,18.559340,18.499240,18.314790,17.698110,17.164240", \ - "22.460830,23.857770,24.122920,23.798980,23.332780,22.449670,22.333850", \ - "29.010710,29.913960,30.662060,31.263010,31.667970,30.360730,30.763390"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X16 - Cell Description : Combinational cell (BUF_X16) with drive strength X16 - *******************************************************************************************/ - - cell (BUF_X16) { - - drive_strength : 16; - - area : 6.650000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 344.488100; - - leakage_power () { - when : "!A"; - value : 378.483600; - } - leakage_power () { - when : "A"; - value : 310.492600; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 12.410827; - fall_capacitance : 10.996910; - rise_capacitance : 12.410827; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 965.576000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("0.0185573,0.0226692,0.0258886,0.0313802,0.0411735,0.0597683,0.0965583", \ - "0.0200113,0.0241147,0.0273331,0.0328260,0.0426214,0.0612182,0.0980087", \ - "0.0265465,0.0305955,0.0338070,0.0393068,0.0491127,0.0677150,0.104509", \ - "0.0362416,0.0407527,0.0442256,0.0499931,0.0599554,0.0785475,0.115292", \ - "0.0466039,0.0516519,0.0555336,0.0617938,0.0721751,0.0910062,0.127744", \ - "0.0581497,0.0637145,0.0680409,0.0749447,0.0859722,0.105111,0.141923", \ - "0.0711546,0.0772251,0.0820132,0.0896767,0.101653,0.121509,0.158534"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("0.0141412,0.0194559,0.0243124,0.0337056,0.0522159,0.0890183,0.162494", \ - "0.0155399,0.0208367,0.0256832,0.0350767,0.0535942,0.0904102,0.163885", \ - "0.0201657,0.0254369,0.0302124,0.0395408,0.0580502,0.0948933,0.168398", \ - "0.0241167,0.0297880,0.0345608,0.0438089,0.0622515,0.0990378,0.172537", \ - "0.0262473,0.0327539,0.0377511,0.0469436,0.0652156,0.101950,0.175381", \ - "0.0263713,0.0336821,0.0393105,0.0487640,0.0669652,0.103527,0.176904", \ - "0.0243015,0.0322606,0.0386580,0.0489047,0.0672207,0.103803,0.177046"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("0.00367423,0.00559475,0.00742199,0.0110369,0.0184730,0.0340285,0.0658923", \ - "0.00367465,0.00559791,0.00742394,0.0110375,0.0184730,0.0340285,0.0658916", \ - "0.00378284,0.00567967,0.00748532,0.0110734,0.0184870,0.0340304,0.0658940", \ - "0.00528573,0.00694911,0.00855855,0.0118438,0.0188473,0.0340992,0.0658988", \ - "0.00698345,0.00865670,0.0102033,0.0132525,0.0198507,0.0346483,0.0659993", \ - "0.00885827,0.0105878,0.0121734,0.0151198,0.0212555,0.0353420,0.0664193", \ - "0.0110192,0.0128143,0.0144866,0.0174942,0.0233520,0.0366533,0.0669257"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("0.00361052,0.00754148,0.0117401,0.0203785,0.0378271,0.0727744,0.142673", \ - "0.00361108,0.00754378,0.0117401,0.0203771,0.0378274,0.0727732,0.142676", \ - "0.00398238,0.00769995,0.0117974,0.0203842,0.0378219,0.0727806,0.142663", \ - "0.00514131,0.00833664,0.0121479,0.0205618,0.0378865,0.0727678,0.142672", \ - "0.00658217,0.00974221,0.0129927,0.0208447,0.0380301,0.0728706,0.142672", \ - "0.00829094,0.0117765,0.0147472,0.0217173,0.0383535,0.0730214,0.142777", \ - "0.0103577,0.0140754,0.0172492,0.0234747,0.0391454,0.0734615,0.142935"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("37.456630,41.302170,43.300640,45.054340,46.031120,46.477060,46.641150", \ - "36.796250,40.684230,42.811760,44.502150,45.528360,45.967110,46.150030", \ - "37.709970,41.157240,43.062050,44.888180,45.929490,46.473030,46.734940", \ - "40.769820,43.619540,45.994370,47.892190,48.961630,49.467360,49.738680", \ - "47.390050,48.341520,49.935490,52.419960,54.631340,55.428630,55.672490", \ - "57.962410,57.644520,58.462550,60.542070,62.657770,64.426670,65.164990", \ - "71.848400,71.131060,71.249660,72.788210,75.175770,76.864040,78.780410"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); - values ("24.788080,26.497790,27.597070,28.048400,28.749750,27.232460,27.230500", \ - "24.424370,26.277590,27.068090,27.864930,28.593560,26.815760,27.211160", \ - "25.002120,26.126160,26.773470,27.362190,26.240570,27.102780,26.316280", \ - "28.862200,29.456940,29.404700,28.880770,28.547120,29.313130,29.051110", \ - "35.182190,37.032360,36.778100,35.743040,35.356120,36.245180,35.459490", \ - "44.462720,47.265550,47.777310,47.001110,46.947520,45.027960,44.102360", \ - "57.218930,59.402530,60.792140,61.919440,60.646240,60.625820,59.882450"); - } - } - } - - } - - - /****************************************************************************************** - Module : BUF_X32 - Cell Description : Combinational cell (BUF_X32) with drive strength X32 - *******************************************************************************************/ - - cell (BUF_X32) { - - drive_strength : 32; - - area : 13.034000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 688.976200; - - leakage_power () { - when : "!A"; - value : 756.962800; - } - leakage_power () { - when : "A"; - value : 620.989600; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 26.703923; - fall_capacitance : 23.572528; - rise_capacitance : 26.703923; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 1904.300000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("0.0181303,0.0223233,0.0255825,0.0311385,0.0410174,0.0597048,0.0966093", \ - "0.0196851,0.0238720,0.0271312,0.0326896,0.0425716,0.0612607,0.0981658", \ - "0.0262546,0.0303830,0.0336364,0.0392031,0.0490964,0.0677921,0.104701", \ - "0.0358924,0.0404542,0.0439544,0.0497786,0.0598352,0.0785280,0.115391", \ - "0.0462112,0.0512925,0.0551747,0.0614623,0.0719193,0.0908591,0.127724", \ - "0.0577339,0.0633134,0.0676256,0.0745246,0.0855896,0.104827,0.141775", \ - "0.0707288,0.0768025,0.0815612,0.0891938,0.101164,0.121084,0.158234"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("0.0138626,0.0194829,0.0245084,0.0341500,0.0530928,0.0907513,0.165915", \ - "0.0153373,0.0209368,0.0259536,0.0355969,0.0545506,0.0922174,0.167390", \ - "0.0198712,0.0254331,0.0303868,0.0399783,0.0589349,0.0966284,0.171833", \ - "0.0237141,0.0295762,0.0345312,0.0440625,0.0629641,0.100613,0.175811", \ - "0.0257932,0.0324131,0.0375460,0.0470178,0.0657599,0.103367,0.178494", \ - "0.0258785,0.0332799,0.0389794,0.0486613,0.0673226,0.104757,0.179841", \ - "0.0237747,0.0318195,0.0382385,0.0486209,0.0673822,0.104817,0.179760"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("0.00375566,0.00571264,0.00756832,0.0112266,0.0187095,0.0343303,0.0663631", \ - "0.00375654,0.00571539,0.00757004,0.0112274,0.0187099,0.0343312,0.0663622", \ - "0.00385648,0.00579287,0.00762860,0.0112611,0.0187239,0.0343341,0.0663638", \ - "0.00534901,0.00703394,0.00867567,0.0120179,0.0190863,0.0344071,0.0663680", \ - "0.00705671,0.00872345,0.0102812,0.0133805,0.0200713,0.0349646,0.0664732", \ - "0.00895707,0.0106628,0.0122401,0.0152088,0.0214361,0.0356505,0.0668987", \ - "0.0111469,0.0129104,0.0145579,0.0175579,0.0234800,0.0369350,0.0674006"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("0.00380957,0.00790309,0.0121909,0.0210072,0.0388624,0.0746330,0.146212", \ - "0.00380997,0.00790620,0.0121935,0.0210085,0.0388576,0.0746419,0.146219", \ - "0.00413813,0.00806300,0.0122552,0.0210182,0.0388637,0.0746474,0.146216", \ - "0.00520665,0.00863244,0.0125951,0.0212052,0.0389266,0.0746400,0.146213", \ - "0.00664568,0.00991609,0.0133604,0.0214796,0.0390604,0.0747385,0.146225", \ - "0.00836860,0.0118962,0.0149879,0.0222856,0.0393755,0.0748887,0.146314", \ - "0.0104505,0.0141866,0.0174078,0.0239166,0.0401289,0.0752948,0.146473"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("73.838260,81.713390,85.533930,88.921840,90.869110,91.731970,92.040070", \ - "73.624240,80.655540,84.614310,88.073550,89.970340,90.820710,91.175960", \ - "75.092300,81.626390,85.306300,88.890560,91.007790,91.996230,92.459780", \ - "81.322920,86.773340,91.141200,94.910980,96.911430,97.999440,98.463530", \ - "93.848280,95.870470,99.284890,103.889200,108.306800,109.737300,110.106100", \ - "114.536300,114.433300,116.213800,120.139200,124.046800,127.592500,128.881700", \ - "142.927100,141.201100,141.413500,144.423600,148.930700,152.100100,155.715800"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); - values ("49.067580,53.209460,55.002870,56.213980,54.679130,54.674800,54.815390", \ - "48.440450,52.256520,53.137340,55.307630,56.676080,51.974720,52.310210", \ - "49.725410,52.431050,53.218900,54.566110,55.529330,54.342390,53.778720", \ - "57.150380,58.718620,58.732240,57.797710,59.424100,56.761350,59.530360", \ - "70.091080,72.961000,72.795730,70.667980,69.171600,71.494300,71.760230", \ - "88.085040,93.421360,94.357930,93.747940,91.243230,88.656400,87.621590", \ - "113.368800,117.400100,120.259000,122.017500,121.660900,119.562900,117.828700"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKBUF_X1 - Cell Description : Combinational cell (CLKBUF_X1) with drive strength X1 - *******************************************************************************************/ - - cell (CLKBUF_X1) { - - drive_strength : 1; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 11.214093; - - leakage_power () { - when : "!A"; - value : 12.763410; - } - leakage_power () { - when : "A"; - value : 9.664776; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.779830; - fall_capacitance : 0.699202; - rise_capacitance : 0.779830; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.730000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0208888,0.0263443,0.0320429,0.0424637,0.0625163,0.102291,0.181734", \ - "0.0225442,0.0279939,0.0336916,0.0441151,0.0641669,0.103945,0.183393", \ - "0.0289030,0.0342866,0.0399672,0.0503976,0.0704734,0.110275,0.189736", \ - "0.0380900,0.0438486,0.0497202,0.0602443,0.0802857,0.120052,0.199506", \ - "0.0467874,0.0531305,0.0594213,0.0702761,0.0904760,0.130218,0.209592", \ - "0.0551240,0.0620880,0.0689442,0.0803561,0.100747,0.140494,0.219850", \ - "0.0632098,0.0707912,0.0783052,0.0905666,0.111524,0.151329,0.230699"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0214097,0.0264722,0.0316710,0.0411610,0.0595011,0.0959699,0.168844", \ - "0.0227400,0.0278002,0.0329979,0.0424896,0.0608341,0.0972998,0.170179", \ - "0.0281864,0.0332040,0.0383743,0.0478518,0.0661958,0.102670,0.175557", \ - "0.0359852,0.0414973,0.0468471,0.0563730,0.0746336,0.111053,0.183901", \ - "0.0429160,0.0491941,0.0550575,0.0648258,0.0830716,0.119406,0.192162", \ - "0.0492331,0.0562538,0.0628652,0.0732840,0.0916305,0.127828,0.200519", \ - "0.0549900,0.0626927,0.0701065,0.0816108,0.100499,0.136700,0.209288"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00477875,0.00795594,0.0118840,0.0200311,0.0369831,0.0714419,0.140498", \ - "0.00478066,0.00795798,0.0118862,0.0200308,0.0369832,0.0714430,0.140498", \ - "0.00485187,0.00801795,0.0119228,0.0200456,0.0369850,0.0714366,0.140494", \ - "0.00608770,0.00899864,0.0126186,0.0203496,0.0370310,0.0714445,0.140500", \ - "0.00774780,0.0105940,0.0139653,0.0212557,0.0374938,0.0715143,0.140490", \ - "0.00963515,0.0125623,0.0158360,0.0225901,0.0380755,0.0718778,0.140564", \ - "0.0117986,0.0148722,0.0182163,0.0246563,0.0392290,0.0722605,0.140847"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00540643,0.00840922,0.0122335,0.0203527,0.0373894,0.0720220,0.141327", \ - "0.00540495,0.00840897,0.0122361,0.0203506,0.0373891,0.0720175,0.141323", \ - "0.00546636,0.00845878,0.0122694,0.0203648,0.0373993,0.0720119,0.141317", \ - "0.00682872,0.00950813,0.0129453,0.0206467,0.0374365,0.0719963,0.141322", \ - "0.00849712,0.0112927,0.0143652,0.0213795,0.0377130,0.0720671,0.141329", \ - "0.0104088,0.0134541,0.0165326,0.0227911,0.0381733,0.0722556,0.141389", \ - "0.0126415,0.0158945,0.0192619,0.0251804,0.0393170,0.0726244,0.141554"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("2.225774,2.420305,2.495206,2.548558,2.583315,2.598240,2.606537", \ - "2.220050,2.399410,2.474639,2.532884,2.560473,2.578509,2.588346", \ - "2.224484,2.381124,2.460258,2.516715,2.559018,2.581933,2.592381", \ - "2.283393,2.479645,2.561836,2.608706,2.648529,2.673358,2.691497", \ - "2.432089,2.597444,2.714386,2.827908,2.864847,2.895940,2.911789", \ - "2.812893,2.920127,3.026510,3.120197,3.218270,3.273576,3.290394", \ - "3.393389,3.438796,3.532594,3.632294,3.713877,3.827932,3.850857"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("1.367446,1.515054,1.560361,1.570883,1.506632,1.519196,1.590123", \ - "1.357194,1.469724,1.535313,1.548093,1.474316,1.589212,1.511694", \ - "1.367745,1.470786,1.498401,1.535216,1.485631,1.527094,1.423979", \ - "1.482293,1.543724,1.591586,1.598769,1.606970,1.639918,1.611293", \ - "1.721746,1.841987,1.864151,1.805958,1.708387,1.626567,1.824876", \ - "2.143838,2.239617,2.296332,2.266007,2.227586,2.176607,1.954106", \ - "2.723968,2.765930,2.847448,2.884926,2.855420,2.788149,2.547530"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKBUF_X2 - Cell Description : Combinational cell (CLKBUF_X2) with drive strength X2 - *******************************************************************************************/ - - cell (CLKBUF_X2) { - - drive_strength : 2; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 22.917620; - - leakage_power () { - when : "!A"; - value : 25.899060; - } - leakage_power () { - when : "A"; - value : 19.936180; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.405914; - fall_capacitance : 1.238170; - rise_capacitance : 1.405914; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.460000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.0192545,0.0252445,0.0308236,0.0411510,0.0611697,0.100948,0.180397", \ - "0.0209009,0.0268811,0.0324600,0.0427908,0.0628161,0.102598,0.182047", \ - "0.0272358,0.0331377,0.0387009,0.0490403,0.0690857,0.108888,0.188354", \ - "0.0359104,0.0422554,0.0480016,0.0584435,0.0784462,0.118215,0.197669", \ - "0.0442018,0.0511820,0.0572964,0.0679861,0.0881216,0.127876,0.207250", \ - "0.0523142,0.0599808,0.0666365,0.0778165,0.0980883,0.137841,0.217221", \ - "0.0603395,0.0686940,0.0759977,0.0879892,0.108771,0.148586,0.227981"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.0188868,0.0243748,0.0294319,0.0388284,0.0571486,0.0936212,0.166502", \ - "0.0202132,0.0256957,0.0307526,0.0401515,0.0584746,0.0949477,0.167831", \ - "0.0256022,0.0310372,0.0360665,0.0454478,0.0637690,0.100252,0.173145", \ - "0.0324733,0.0385104,0.0437005,0.0531192,0.0713630,0.107783,0.180649", \ - "0.0384700,0.0453598,0.0510039,0.0605774,0.0787761,0.115135,0.187914", \ - "0.0438103,0.0515231,0.0579227,0.0680885,0.0863426,0.122563,0.195297", \ - "0.0484571,0.0569566,0.0641756,0.0753990,0.0941256,0.130384,0.203013"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.00431231,0.00786546,0.0118311,0.0200595,0.0370955,0.0715776,0.140632", \ - "0.00431351,0.00786815,0.0118326,0.0200603,0.0370965,0.0715780,0.140633", \ - "0.00441702,0.00793823,0.0118738,0.0200747,0.0370974,0.0715785,0.140637", \ - "0.00570427,0.00891852,0.0125723,0.0203883,0.0371383,0.0715797,0.140636", \ - "0.00732543,0.0104472,0.0138026,0.0211851,0.0375885,0.0716698,0.140634", \ - "0.00918493,0.0123850,0.0156037,0.0224137,0.0380976,0.0720317,0.140720", \ - "0.0113483,0.0146945,0.0179590,0.0243902,0.0391992,0.0724090,0.141009"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.00480743,0.00818762,0.0120926,0.0203500,0.0374924,0.0721228,0.141463", \ - "0.00480852,0.00818954,0.0120955,0.0203496,0.0374983,0.0721210,0.141459", \ - "0.00495187,0.00826844,0.0121394,0.0203638,0.0374939,0.0721298,0.141470", \ - "0.00637766,0.00931304,0.0127893,0.0206380,0.0375390,0.0721330,0.141461", \ - "0.00801240,0.0110490,0.0140955,0.0212494,0.0377876,0.0722124,0.141459", \ - "0.00993004,0.0132386,0.0162262,0.0225616,0.0382148,0.0724041,0.141551", \ - "0.0121987,0.0157197,0.0189788,0.0248751,0.0393153,0.0728155,0.141738"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("4.263193,4.641830,4.785048,4.871822,4.932639,4.957263,4.969713", \ - "4.238265,4.602159,4.735349,4.828824,4.891990,4.920331,4.937805", \ - "4.259521,4.587379,4.714492,4.807294,4.883995,4.930945,4.953060", \ - "4.352857,4.797082,4.924357,5.013051,5.086902,5.138751,5.175827", \ - "4.770395,5.071497,5.265006,5.466269,5.572812,5.621195,5.658417", \ - "5.596194,5.781095,5.944729,6.116412,6.325353,6.438945,6.477001", \ - "6.769689,6.842680,7.019767,7.219593,7.380223,7.625878,7.674594"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("2.725579,3.003375,3.072690,3.086539,2.990785,3.125615,2.945321", \ - "2.651108,2.916719,3.012004,3.013545,2.984919,3.078832,2.842836", \ - "2.657660,2.886712,2.950866,3.008685,3.069024,3.063462,3.065457", \ - "2.919467,3.092227,3.103813,3.149520,3.056104,3.156343,2.974151", \ - "3.423386,3.675608,3.722375,3.588540,3.458913,3.672114,3.519893", \ - "4.304832,4.560406,4.662301,4.563138,4.547471,4.186357,4.061541", \ - "5.468503,5.629416,5.838120,5.838491,5.847284,5.563261,5.405260"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKBUF_X3 - Cell Description : Combinational cell (CLKBUF_X3) with drive strength X3 - *******************************************************************************************/ - - cell (CLKBUF_X3) { - - drive_strength : 3; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 30.558215; - - leakage_power () { - when : "!A"; - value : 36.521540; - } - leakage_power () { - when : "A"; - value : 24.594889; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.421162; - fall_capacitance : 1.248788; - rise_capacitance : 1.421162; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 181.885000; - function : "A"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("0.0224011,0.0291386,0.0349984,0.0455681,0.0656765,0.105418,0.184760", \ - "0.0240614,0.0307916,0.0366506,0.0472217,0.0673315,0.107078,0.186420", \ - "0.0304630,0.0371207,0.0429568,0.0535301,0.0736567,0.113417,0.192777", \ - "0.0406947,0.0476272,0.0535775,0.0641647,0.0842445,0.123970,0.203318", \ - "0.0506497,0.0582111,0.0645614,0.0755022,0.0957209,0.135349,0.214595", \ - "0.0603243,0.0685401,0.0754160,0.0868854,0.107286,0.146928,0.226089", \ - "0.0699034,0.0787495,0.0862245,0.0984794,0.119411,0.159064,0.238209"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("0.0217369,0.0280732,0.0334469,0.0431015,0.0615215,0.0980047,0.170874", \ - "0.0230922,0.0294246,0.0347980,0.0444543,0.0628748,0.0993586,0.172236", \ - "0.0285521,0.0348312,0.0401789,0.0498201,0.0682395,0.104734,0.177615", \ - "0.0367518,0.0435448,0.0490423,0.0587102,0.0770402,0.113461,0.186306", \ - "0.0440241,0.0516587,0.0576900,0.0676235,0.0859275,0.122231,0.194967", \ - "0.0506350,0.0590440,0.0658016,0.0764068,0.0948309,0.130964,0.203605", \ - "0.0566240,0.0657362,0.0732360,0.0849366,0.103937,0.140017,0.212473"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("0.00484107,0.00853678,0.0124145,0.0204419,0.0372368,0.0715806,0.140520", \ - "0.00483888,0.00853955,0.0124150,0.0204427,0.0372370,0.0715805,0.140521", \ - "0.00487047,0.00857593,0.0124419,0.0204535,0.0372378,0.0715838,0.140523", \ - "0.00613150,0.00948143,0.0130484,0.0207018,0.0372849,0.0715850,0.140524", \ - "0.00803341,0.0112572,0.0145866,0.0217622,0.0377642,0.0716378,0.140520", \ - "0.0101734,0.0134193,0.0166146,0.0232512,0.0384888,0.0720355,0.140574", \ - "0.0126066,0.0159304,0.0191470,0.0254331,0.0397386,0.0724803,0.140873"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("0.00539636,0.00896197,0.0127706,0.0207959,0.0377004,0.0722532,0.141563", \ - "0.00539524,0.00896244,0.0127725,0.0207948,0.0377006,0.0722480,0.141566", \ - "0.00542915,0.00900036,0.0128006,0.0208050,0.0377043,0.0722548,0.141576", \ - "0.00687631,0.0100658,0.0134974,0.0211031,0.0377587,0.0722553,0.141561", \ - "0.00876164,0.0120293,0.0151035,0.0219947,0.0380988,0.0723295,0.141563", \ - "0.0109506,0.0143644,0.0174593,0.0236051,0.0386675,0.0725480,0.141647", \ - "0.0135195,0.0170022,0.0203642,0.0262197,0.0399661,0.0729337,0.141818"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("5.207315,5.765538,5.993615,6.161453,6.266612,6.316735,6.341489", \ - "5.192567,5.730648,5.964295,6.122713,6.231352,6.287948,6.308339", \ - "5.193456,5.697669,5.912860,6.087449,6.204979,6.276922,6.315298", \ - "5.536796,5.942654,6.112535,6.249168,6.356496,6.448152,6.498574", \ - "6.048745,6.274571,6.483444,6.721229,6.805413,6.881108,6.930688", \ - "7.092555,7.042316,7.178613,7.353492,7.552371,7.641430,7.693271", \ - "8.549989,8.253333,8.320200,8.451703,8.571595,8.798389,8.823172"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); - values ("3.758376,4.248021,4.391685,4.448150,4.532943,4.286920,4.037136", \ - "3.652374,4.204748,4.354168,4.400039,4.376068,4.075382,4.469846", \ - "3.724071,4.177970,4.335866,4.373855,4.189527,3.882265,4.590224", \ - "3.988597,4.416429,4.552894,4.508387,4.451598,4.246432,4.009664", \ - "4.759489,5.018909,5.107546,5.068409,4.959471,4.611860,4.383338", \ - "5.870646,5.928869,6.061412,6.053504,5.874039,5.601907,5.380460", \ - "7.351402,7.142648,7.284309,7.204035,7.038272,6.836993,6.820623"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATETST_X1 - Cell Description : Pos.edge clock gating cell with pre scan, drive strength X1 - *******************************************************************************************/ - - cell (CLKGATETST_X1) { - - drive_strength : 1; - - statetable ("CK E SE","IQ") { - table : "L L L : - : L ,\ - L L H : - : H ,\ - L H L : - : H ,\ - L H H : - : H ,\ - H - - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge_precontrol; - - area : 3.990000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 59.137918; - - leakage_power () { - when : "!CK & !E & !SE & !GCK"; - value : 64.709150; - } - leakage_power () { - when : "!CK & !E & SE & !GCK"; - value : 58.826515; - } - leakage_power () { - when : "!CK & E & !SE & !GCK"; - value : 57.671097; - } - leakage_power () { - when : "!CK & E & SE & !GCK"; - value : 64.217098; - } - leakage_power () { - when : "CK & !E & !SE & !GCK"; - value : 57.527338; - } - leakage_power () { - when : "CK & !E & !SE & GCK"; - value : 73.321270; - } - leakage_power () { - when : "CK & !E & SE & !GCK"; - value : 49.674383; - } - leakage_power () { - when : "CK & !E & SE & GCK"; - value : 58.629615; - } - leakage_power () { - when : "CK & E & !SE & !GCK"; - value : 48.518965; - } - leakage_power () { - when : "CK & E & !SE & GCK"; - value : 57.474307; - } - leakage_power () { - when : "CK & E & SE & !GCK"; - value : 55.064966; - } - leakage_power () { - when : "CK & E & SE & GCK"; - value : 64.020308; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 1.812200; - fall_capacitance : 1.667586; - rise_capacitance : 1.812200; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.075346,0.087068,0.198733"); - } - } - - internal_power () { - - when : "!E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.718337,3.693424,3.724606,3.929036,4.321230,4.946833,5.850816"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.992416,1.966880,1.959182,2.105924,2.482160,3.133199,4.078515"); - } - - } - - internal_power () { - - when : "!E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.171094,7.133893,7.166508,7.372133,7.765121,8.399775,9.351278"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.176895,7.139005,7.172107,7.376939,7.770401,8.402957,9.354497"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.172725,7.134952,7.167853,7.373970,7.766380,8.400669,9.353178"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.877980; - fall_capacitance : 0.841652; - rise_capacitance : 0.877980; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.030423,-0.027887,-0.044019", \ - "-0.044450,-0.035545,-0.059384", \ - "0.108051,0.117472,0.086829"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.007570,-0.002354,-0.007132", \ - "-0.004171,-0.001204,-0.011493", \ - "0.078315,0.080724,0.064301"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.061259,0.059716,0.076683", \ - "0.078404,0.076829,0.093753", \ - "0.120729,0.118322,0.134750"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.078047,0.068924,0.099828", \ - "0.089735,0.080832,0.111324", \ - "0.090992,0.081572,0.112222"); - } - } - - internal_power () { - - when : "!CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.065450,6.038366,6.008755,6.020329,6.148014,6.450424,6.939651"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.779672,4.751476,4.732060,4.798330,5.016362,5.418135,6.017515"); - } - - } - - internal_power () { - - when : "!CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481067,0.488654,0.490802,0.490076,0.489482,0.488995,0.489591"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.315976,-0.365303,-0.406941,-0.422986,-0.432077,-0.437698,-0.441847"); - } - - } - - internal_power () { - - when : "CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.508936,2.482091,2.453582,2.469265,2.591677,2.879092,3.346862"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.062763,1.035168,1.026711,1.103792,1.328905,1.730156,2.318955"); - } - - } - - internal_power () { - - when : "CK & !SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.423920,2.396350,2.368204,2.384594,2.511626,2.802726,3.272813"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.030663,1.001733,0.992154,1.064193,1.283798,1.681386,2.267754"); - } - - } - - internal_power () { - - when : "CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481120,0.488494,0.490432,0.489783,0.489221,0.488596,0.489247"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.316929,-0.366328,-0.407898,-0.414550,-0.417718,-0.422146,-0.427972"); - } - - } - - internal_power () { - - when : "CK & SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480725,0.488490,0.490431,0.489783,0.489101,0.488598,0.489356"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.316975,-0.366257,-0.407732,-0.423973,-0.433040,-0.438458,-0.442536"); - } - - } - } - - pin (SE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_test_pin : true; - capacitance : 0.776756; - fall_capacitance : 0.718252; - rise_capacitance : 0.776756; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.027366,-0.025123,-0.040890", \ - "-0.041387,-0.032158,-0.058463", \ - "0.115485,0.124946,0.094444"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.006695,-0.001781,-0.005927", \ - "-0.000491,0.002496,-0.008353", \ - "0.073668,0.076053,0.060494"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.056985,0.055726,0.072616", \ - "0.076567,0.074981,0.091870", \ - "0.125376,0.122993,0.138558"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.076521,0.067389,0.097952", \ - "0.086673,0.077445,0.108187", \ - "0.083558,0.074098,0.104607"); - } - } - - internal_power () { - - when : "!CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.676544,5.636587,5.648259,5.779055,6.049512,6.480495,7.101908"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.488269,4.482601,4.467919,4.548143,4.804382,5.259304,5.935595"); - } - - } - - internal_power () { - - when : "!CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.126812,0.130579,0.131029,0.130908,0.130721,0.130903,0.130580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.126630,-0.127074,-0.126617,-0.126572,-0.126543,-0.126583,-0.126665"); - } - - } - - internal_power () { - - when : "CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.119071,2.080159,2.094890,2.227747,2.488230,2.894833,3.482296"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.764818,0.762260,0.763347,0.854939,1.116280,1.572634,2.232276"); - } - - } - - internal_power () { - - when : "CK & !E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.033668,1.994577,2.009439,2.145399,2.410270,2.820494,3.410685"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.735296,0.733059,0.727656,0.814322,1.072251,1.529069,2.189768"); - } - - } - - internal_power () { - - when : "CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.125341,0.129994,0.130485,0.130494,0.130360,0.130478,0.130245"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.127218,-0.127702,-0.127405,-0.127466,-0.127509,-0.127570,-0.127633"); - } - - } - - internal_power () { - - when : "CK & E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.122872,0.127528,0.128020,0.128032,0.128074,0.128018,0.128032"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.126386,-0.126855,-0.126550,-0.126599,-0.126807,-0.126663,-0.126693"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.730000; - state_function : "(IQ & CK)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "!E & SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.022379,0.028063,0.033916,0.044467,0.064564,0.104351,0.183810", \ - "0.023895,0.029569,0.035422,0.045975,0.066077,0.105859,0.185329", \ - "0.030253,0.035861,0.041692,0.052250,0.072369,0.112177,0.191658", \ - "0.040479,0.046429,0.052445,0.063077,0.083172,0.122955,0.202436", \ - "0.051181,0.057703,0.064140,0.075160,0.095470,0.135208,0.214617", \ - "0.062645,0.069783,0.076777,0.088365,0.108928,0.148752,0.228128", \ - "0.075070,0.082828,0.090468,0.102913,0.124110,0.164086,0.243556"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.018852,0.023903,0.029130,0.038663,0.057031,0.093495,0.166376", \ - "0.020079,0.025129,0.030353,0.039893,0.058254,0.094729,0.167620", \ - "0.024943,0.029957,0.035157,0.044686,0.063045,0.099524,0.172414", \ - "0.030414,0.035843,0.041179,0.050802,0.069184,0.105629,0.178488", \ - "0.034381,0.040577,0.046297,0.056008,0.074354,0.110868,0.183686", \ - "0.036732,0.043726,0.050218,0.060457,0.078875,0.115261,0.188156", \ - "0.037279,0.045021,0.052380,0.063701,0.082549,0.119044,0.191895"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.004824,0.008054,0.011975,0.020036,0.036889,0.071345,0.140430", \ - "0.004822,0.008057,0.011976,0.020040,0.036896,0.071338,0.140439", \ - "0.004866,0.008101,0.012007,0.020050,0.036899,0.071334,0.140429", \ - "0.005983,0.008992,0.012634,0.020319,0.036945,0.071342,0.140440", \ - "0.007522,0.010503,0.013953,0.021264,0.037395,0.071388,0.140429", \ - "0.009271,0.012344,0.015716,0.022569,0.038046,0.071764,0.140476", \ - "0.011312,0.014525,0.017977,0.024554,0.039257,0.072240,0.140780"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.004895,0.008040,0.011978,0.020148,0.037182,0.071815,0.141223", \ - "0.004895,0.008044,0.011970,0.020142,0.037210,0.071824,0.141203", \ - "0.005060,0.008141,0.012030,0.020174,0.037183,0.071844,0.141217", \ - "0.006264,0.008997,0.012607,0.020524,0.037289,0.071859,0.141236", \ - "0.007838,0.010560,0.013684,0.020995,0.037590,0.071988,0.141239", \ - "0.009671,0.012685,0.015694,0.022150,0.037967,0.072229,0.141355", \ - "0.011813,0.015084,0.018379,0.024326,0.038998,0.072668,0.141633"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & !SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.022379,0.028063,0.033917,0.044469,0.064564,0.104350,0.183810", \ - "0.023895,0.029569,0.035422,0.045975,0.066077,0.105859,0.185329", \ - "0.030255,0.035862,0.041692,0.052250,0.072369,0.112177,0.191660", \ - "0.040479,0.046429,0.052445,0.063077,0.083178,0.122952,0.202431", \ - "0.051181,0.057703,0.064140,0.075160,0.095470,0.135208,0.214617", \ - "0.062645,0.069783,0.076777,0.088365,0.108928,0.148752,0.228128", \ - "0.075070,0.082828,0.090468,0.102913,0.124110,0.164087,0.243556"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.018852,0.023903,0.029130,0.038663,0.057032,0.093495,0.166376", \ - "0.020079,0.025129,0.030353,0.039893,0.058261,0.094729,0.167620", \ - "0.024943,0.029957,0.035157,0.044686,0.063045,0.099524,0.172414", \ - "0.030414,0.035843,0.041179,0.050802,0.069184,0.105629,0.178488", \ - "0.034381,0.040577,0.046297,0.056008,0.074354,0.110868,0.183686", \ - "0.036732,0.043726,0.050218,0.060457,0.078875,0.115261,0.188156", \ - "0.037279,0.045021,0.052380,0.063701,0.082549,0.119044,0.191895"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.004824,0.008054,0.011975,0.020040,0.036899,0.071342,0.140430", \ - "0.004822,0.008056,0.011977,0.020040,0.036896,0.071339,0.140439", \ - "0.004866,0.008099,0.012007,0.020050,0.036899,0.071334,0.140429", \ - "0.005983,0.008992,0.012634,0.020319,0.036940,0.071337,0.140433", \ - "0.007522,0.010503,0.013953,0.021264,0.037395,0.071388,0.140429", \ - "0.009271,0.012344,0.015716,0.022569,0.038046,0.071764,0.140476", \ - "0.011312,0.014525,0.017977,0.024554,0.039257,0.072240,0.140780"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.004895,0.008040,0.011978,0.020149,0.037185,0.071815,0.141223", \ - "0.004895,0.008044,0.011970,0.020143,0.037182,0.071824,0.141202", \ - "0.005059,0.008141,0.012030,0.020174,0.037183,0.071844,0.141217", \ - "0.006264,0.008997,0.012607,0.020524,0.037289,0.071859,0.141236", \ - "0.007838,0.010560,0.013684,0.020995,0.037590,0.071988,0.141239", \ - "0.009671,0.012685,0.015694,0.022150,0.037967,0.072229,0.141355", \ - "0.011813,0.015084,0.018379,0.024326,0.038998,0.072668,0.141633"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.022379,0.028063,0.033916,0.044468,0.064564,0.104348,0.183815", \ - "0.023895,0.029570,0.035422,0.045975,0.066077,0.105860,0.185323", \ - "0.030253,0.035861,0.041692,0.052250,0.072369,0.112177,0.191660", \ - "0.040479,0.046429,0.052445,0.063077,0.083178,0.122954,0.202432", \ - "0.051179,0.057703,0.064140,0.075160,0.095470,0.135208,0.214617", \ - "0.062645,0.069783,0.076776,0.088365,0.108928,0.148752,0.228128", \ - "0.075076,0.082828,0.090468,0.102913,0.124110,0.164087,0.243556"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.018852,0.023903,0.029130,0.038663,0.057027,0.093494,0.166375", \ - "0.020080,0.025129,0.030353,0.039893,0.058262,0.094729,0.167618", \ - "0.024943,0.029957,0.035157,0.044684,0.063045,0.099528,0.172418", \ - "0.030415,0.035843,0.041179,0.050802,0.069184,0.105629,0.178489", \ - "0.034381,0.040577,0.046297,0.056009,0.074358,0.110870,0.183686", \ - "0.036732,0.043726,0.050217,0.060457,0.078875,0.115261,0.188156", \ - "0.037279,0.045021,0.052380,0.063701,0.082549,0.119044,0.191895"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.004825,0.008054,0.011975,0.020036,0.036889,0.071336,0.140435", \ - "0.004822,0.008056,0.011975,0.020040,0.036896,0.071338,0.140433", \ - "0.004866,0.008101,0.012007,0.020050,0.036899,0.071334,0.140429", \ - "0.005983,0.008992,0.012634,0.020319,0.036940,0.071339,0.140423", \ - "0.007523,0.010503,0.013953,0.021264,0.037395,0.071388,0.140429", \ - "0.009271,0.012344,0.015715,0.022569,0.038046,0.071764,0.140476", \ - "0.011311,0.014525,0.017977,0.024554,0.039257,0.072240,0.140780"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.004895,0.008040,0.011979,0.020149,0.037193,0.071815,0.141222", \ - "0.004893,0.008045,0.011970,0.020145,0.037192,0.071816,0.141192", \ - "0.005060,0.008141,0.012030,0.020175,0.037183,0.071812,0.141222", \ - "0.006264,0.008998,0.012607,0.020523,0.037289,0.071859,0.141237", \ - "0.007838,0.010560,0.013684,0.020993,0.037584,0.071983,0.141239", \ - "0.009669,0.012685,0.015688,0.022150,0.037967,0.072229,0.141355", \ - "0.011813,0.015084,0.018379,0.024326,0.038998,0.072672,0.141633"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E & !SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.022513,0.028326,0.034242,0.044728,0.064726,0.104450,0.183886", \ - "0.024030,0.029833,0.035749,0.046238,0.066240,0.105964,0.185402", \ - "0.030390,0.036123,0.042021,0.052519,0.072542,0.112290,0.191748", \ - "0.040710,0.046782,0.052836,0.063319,0.083278,0.122998,0.202452", \ - "0.051568,0.058226,0.064659,0.075308,0.095257,0.134907,0.214316", \ - "0.063242,0.070514,0.077427,0.088331,0.108200,0.147801,0.227153", \ - "0.075898,0.083771,0.091220,0.102493,0.122285,0.161705,0.241045"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.004937,0.008229,0.012013,0.019856,0.036635,0.071068,0.140213", \ - "0.004935,0.008231,0.012015,0.019855,0.036638,0.071070,0.140219", \ - "0.004982,0.008278,0.012055,0.019877,0.036646,0.071080,0.140204", \ - "0.006133,0.009173,0.012596,0.020017,0.036655,0.071084,0.140208", \ - "0.007759,0.010701,0.013749,0.020565,0.036839,0.071084,0.140200", \ - "0.009621,0.012524,0.015247,0.021273,0.037021,0.071221,0.140165", \ - "0.011756,0.014615,0.017059,0.022220,0.037207,0.071270,0.140285"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("5.627751,7.172125,8.248878,8.785428,8.868257,8.910516,8.940643", \ - "5.631245,7.025621,8.203424,8.709526,8.788955,8.830954,8.862245", \ - "5.582222,7.052260,8.162245,8.712471,8.806080,8.850754,8.884655", \ - "5.840841,7.425610,8.516707,9.047774,9.146577,9.199484,9.237271", \ - "6.424612,7.900381,9.121332,9.777904,9.885814,9.933850,9.974101", \ - "7.391532,8.780913,10.066770,10.769950,11.040630,11.117690,11.150980", \ - "8.895242,10.212630,11.448060,12.250070,12.501820,12.751310,12.778940"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("5.061969,5.631296,5.840702,5.942308,5.984666,6.003356,6.008576", \ - "4.958652,5.535036,5.761631,5.870620,5.910363,5.925834,5.934389", \ - "4.962384,5.549003,5.763963,5.875470,5.924081,5.948589,5.957751", \ - "5.182980,5.871519,6.099307,6.204463,6.254764,6.281597,6.298340", \ - "5.687641,6.299706,6.641769,6.907632,6.950766,6.977326,6.998444", \ - "6.620654,7.241960,7.603996,7.856103,8.065892,8.118713,8.135568", \ - "8.037247,8.614014,8.995557,9.353382,9.564087,9.779984,9.791618"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("3.255204,3.808803,4.064772,4.118319,4.123991,3.987695,3.889349", \ - "3.161888,3.730158,3.959193,3.933342,3.973474,3.988983,3.893318", \ - "3.208389,3.789323,3.961188,3.979820,3.986768,3.940980,3.844703", \ - "3.672924,4.081009,4.240223,4.236124,4.234975,3.978615,4.227383", \ - "4.485067,4.888036,4.952527,4.959479,4.814491,4.936026,4.850540", \ - "5.571760,6.058333,6.227869,6.229600,6.060960,5.800064,6.049476", \ - "7.090074,7.461604,7.745137,7.898594,7.899333,7.784863,7.504451"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("5.061897,5.631073,5.840848,5.943758,5.987597,6.002975,6.008951", \ - "4.958606,5.538731,5.761631,5.870595,5.910359,5.925862,5.934862", \ - "4.948406,5.550444,5.763844,5.875466,5.924087,5.948574,5.957657", \ - "5.182997,5.871535,6.099309,6.204455,6.251483,6.283526,6.299110", \ - "5.687656,6.299819,6.641856,6.907632,6.950758,6.977315,6.998433", \ - "6.620674,7.241977,7.603954,7.856046,8.058089,8.118699,8.135557", \ - "8.037243,8.614116,8.995675,9.353380,9.564069,9.776471,9.791721"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("3.255228,3.808818,4.064697,4.118323,4.124088,3.988236,3.889360", \ - "3.161910,3.730146,3.959199,3.933348,4.061398,3.988794,3.893280", \ - "3.202827,3.789336,3.961236,3.980056,3.986772,3.940982,3.844708", \ - "3.672935,4.081078,4.240222,4.236013,4.234977,3.978625,4.227383", \ - "4.485086,4.888052,4.952532,4.959526,4.814484,4.936017,4.850542", \ - "5.571791,6.058345,6.227755,6.229609,6.060957,5.800070,6.049472", \ - "7.090117,7.461618,7.745157,7.898593,7.898706,7.784866,7.504451"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("5.061905,5.631170,5.841099,5.948979,5.985037,6.000270,6.008436", \ - "4.958492,5.538994,5.761789,5.865698,5.910349,5.925623,5.935825", \ - "4.962289,5.549515,5.764120,5.877541,5.924273,5.948579,5.957484", \ - "5.182884,5.871867,6.099483,6.204384,6.251487,6.279859,6.298361", \ - "5.635711,6.299912,6.647057,6.907672,6.950745,6.977312,6.998432", \ - "6.620656,7.242276,7.594417,7.856971,8.065942,8.118698,8.135559", \ - "8.038402,8.614375,8.995231,9.353426,9.563971,9.776727,9.791713"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("3.255545,3.808508,4.064677,4.118218,4.123918,3.988250,3.889351", \ - "3.195771,3.735414,3.959048,3.945642,4.034653,3.989341,4.031921", \ - "3.208607,3.787904,3.962496,3.979928,3.986570,3.941547,3.845467", \ - "3.672813,4.080746,4.239892,4.234483,4.234959,3.978638,4.227635", \ - "4.484754,4.887808,4.952287,4.973309,4.745293,4.935844,4.850520", \ - "5.652030,6.058154,6.227909,6.229388,6.060955,5.800066,6.049472", \ - "7.091489,7.461436,7.745084,7.898566,7.899329,7.784889,7.504498"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATETST_X2 - Cell Description : Pos.edge clock gating cell with pre scan, drive strength X2 - *******************************************************************************************/ - - cell (CLKGATETST_X2) { - - drive_strength : 2; - - statetable ("CK E SE","IQ") { - table : "L L L : - : L ,\ - L L H : - : H ,\ - L H L : - : H ,\ - L H H : - : H ,\ - H - - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge_precontrol; - - area : 4.256000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 78.027946; - - leakage_power () { - when : "!CK & !E & !SE & !GCK"; - value : 77.091630; - } - leakage_power () { - when : "!CK & !E & SE & !GCK"; - value : 81.994275; - } - leakage_power () { - when : "!CK & E & !SE & !GCK"; - value : 80.838857; - } - leakage_power () { - when : "!CK & E & SE & !GCK"; - value : 87.384858; - } - leakage_power () { - when : "CK & !E & !SE & !GCK"; - value : 70.216014; - } - leakage_power () { - when : "CK & !E & !SE & GCK"; - value : 96.829920; - } - leakage_power () { - when : "CK & !E & SE & !GCK"; - value : 62.363499; - } - leakage_power () { - when : "CK & !E & SE & GCK"; - value : 82.139585; - } - leakage_power () { - when : "CK & E & !SE & !GCK"; - value : 61.208081; - } - leakage_power () { - when : "CK & E & !SE & GCK"; - value : 80.984277; - } - leakage_power () { - when : "CK & E & SE & !GCK"; - value : 67.754082; - } - leakage_power () { - when : "CK & E & SE & GCK"; - value : 87.530278; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 2.818591; - fall_capacitance : 2.626201; - rise_capacitance : 2.818591; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.082366,0.093213,0.198733"); - } - } - - internal_power () { - - when : "!E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.659176,4.631749,4.659318,4.862102,5.254853,5.877421,6.779372"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.191231,1.169013,1.165615,1.308200,1.673950,2.316866,3.253749"); - } - - } - - internal_power () { - - when : "!E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.130558,8.091792,8.119210,8.325730,8.719816,9.352942,10.328350"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.136578,8.098074,8.125253,8.330787,8.724118,9.356590,10.331400"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.131627,8.093784,8.120838,8.327225,8.720894,9.353667,10.329290"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.872203; - fall_capacitance : 0.835959; - rise_capacitance : 0.872203; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.029117,-0.026283,-0.041088", \ - "-0.044103,-0.039856,-0.056485", \ - "0.104024,0.113735,0.083021"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.007273,-0.001445,-0.004956", \ - "-0.004181,-0.000600,-0.009624", \ - "0.079863,0.082904,0.067792"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.060343,0.058182,0.074493", \ - "0.077179,0.074981,0.091242", \ - "0.119181,0.116141,0.131260"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.083847,0.074141,0.105458", \ - "0.094942,0.085143,0.116345", \ - "0.095019,0.085310,0.116030"); - } - } - - internal_power () { - - when : "!CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.216299,6.187412,6.158802,6.171586,6.304019,6.611217,7.107984"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.670217,4.640731,4.619175,4.689904,4.913757,5.320378,5.922086"); - } - - } - - internal_power () { - - when : "!CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481393,0.488720,0.490873,0.490287,0.490058,0.489634,0.489823"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.315688,-0.365082,-0.406699,-0.422727,-0.431939,-0.437577,-0.441718"); - } - - } - - internal_power () { - - when : "CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.412533,2.384541,2.357548,2.372677,2.500252,2.795098,3.273530"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.962556,0.934500,0.926489,1.007633,1.238951,1.648320,2.242323"); - } - - } - - internal_power () { - - when : "CK & !SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.324830,2.296605,2.269807,2.287772,2.419814,2.718008,3.199874"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.932144,0.901676,0.890356,0.967019,1.193158,1.599351,2.190642"); - } - - } - - internal_power () { - - when : "CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480865,0.488530,0.490415,0.489895,0.489589,0.489228,0.489440"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.316892,-0.366198,-0.407629,-0.423921,-0.433069,-0.438435,-0.442789"); - } - - } - - internal_power () { - - when : "CK & SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.479584,0.488535,0.490441,0.489901,0.489439,0.489243,0.489611"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.316777,-0.366094,-0.407480,-0.423790,-0.432948,-0.438293,-0.442343"); - } - - } - } - - pin (SE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_test_pin : true; - capacitance : 0.810873; - fall_capacitance : 0.749748; - rise_capacitance : 0.810873; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.026060,-0.022904,-0.037959", \ - "-0.042587,-0.036776,-0.055568", \ - "0.111458,0.121209,0.090636"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.006705,-0.000566,-0.003755", \ - "-0.000810,0.002483,-0.006800", \ - "0.075527,0.078544,0.063667"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.056069,0.053885,0.070114", \ - "0.075342,0.073442,0.089360", \ - "0.123517,0.120502,0.135385"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.082321,0.072300,0.103582", \ - "0.091573,0.082064,0.113207", \ - "0.087585,0.077835,0.108415"); - } - } - - internal_power () { - - when : "!CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.825351,5.784517,5.799974,5.934300,6.207018,6.640640,7.267432"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.371749,4.365729,4.352711,4.439277,4.703676,5.171876,5.852450"); - } - - } - - internal_power () { - - when : "!CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.126907,0.130623,0.131085,0.130993,0.130750,0.131050,0.130588"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.126470,-0.126839,-0.126370,-0.126342,-0.126318,-0.126368,-0.126441"); - } - - } - - internal_power () { - - when : "CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.021965,1.981677,2.000522,2.136643,2.399206,2.812859,3.410167"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.663547,0.659534,0.661869,0.760853,1.032437,1.498178,2.167960"); - } - - } - - internal_power () { - - when : "CK & !E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.933633,1.893761,1.912940,2.052891,2.320854,2.737884,3.337875"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.637232,0.631246,0.627939,0.720231,0.990220,1.454922,2.122974"); - } - - } - - internal_power () { - - when : "CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.125402,0.129964,0.130490,0.130501,0.130339,0.130525,0.130219"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.127051,-0.127519,-0.127251,-0.127318,-0.127364,-0.127418,-0.127502"); - } - - } - - internal_power () { - - when : "CK & E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.123755,0.127523,0.128060,0.128067,0.128156,0.128100,0.128127"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.126221,-0.126674,-0.126396,-0.126453,-0.126741,-0.126507,-0.126561"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.460000; - state_function : "(IQ & CK)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "!E & SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.020923,0.027227,0.032981,0.043449,0.063519,0.103303,0.182785", \ - "0.022441,0.028733,0.034487,0.044963,0.065034,0.104828,0.184313", \ - "0.028806,0.035014,0.040749,0.051235,0.071329,0.111137,0.190635", \ - "0.038620,0.045251,0.051176,0.061747,0.081817,0.121595,0.201088", \ - "0.048887,0.056154,0.062466,0.073377,0.093637,0.133391,0.212805", \ - "0.059946,0.067893,0.074755,0.086207,0.106684,0.146508,0.225901", \ - "0.071960,0.080602,0.088108,0.100405,0.121496,0.161469,0.240955"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.017729,0.023398,0.028577,0.038081,0.056454,0.092943,0.165830", \ - "0.018955,0.024618,0.029796,0.039302,0.057664,0.094164,0.167051", \ - "0.023762,0.029394,0.034553,0.044051,0.062419,0.098910,0.171824", \ - "0.028900,0.035000,0.040283,0.049866,0.068269,0.104715,0.177601", \ - "0.032591,0.039545,0.045177,0.054826,0.073168,0.109712,0.182556", \ - "0.034708,0.042559,0.048939,0.059083,0.077482,0.113905,0.186824", \ - "0.035075,0.043760,0.051007,0.062196,0.081001,0.117532,0.190435"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.004377,0.007992,0.011943,0.020070,0.036993,0.071459,0.140580", \ - "0.004373,0.007995,0.011944,0.020069,0.036993,0.071464,0.140592", \ - "0.004432,0.008047,0.011977,0.020083,0.036996,0.071464,0.140573", \ - "0.005604,0.008964,0.012630,0.020362,0.037034,0.071459,0.140598", \ - "0.007121,0.010426,0.013885,0.021253,0.037487,0.071525,0.140573", \ - "0.008860,0.012259,0.015621,0.022511,0.038092,0.071914,0.140632", \ - "0.010899,0.014464,0.017888,0.024486,0.039288,0.072386,0.140935"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.004537,0.008085,0.012027,0.020242,0.037340,0.071988,0.141408", \ - "0.004535,0.008085,0.012035,0.020241,0.037375,0.072018,0.141407", \ - "0.004761,0.008193,0.012102,0.020266,0.037369,0.072001,0.141427", \ - "0.005971,0.009017,0.012658,0.020638,0.037462,0.072015,0.141375", \ - "0.007525,0.010561,0.013689,0.021050,0.037742,0.072184,0.141426", \ - "0.009364,0.012695,0.015668,0.022183,0.038100,0.072410,0.141553", \ - "0.011530,0.015131,0.018352,0.024295,0.039126,0.072873,0.141865"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & !SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.020923,0.027227,0.032981,0.043449,0.063520,0.103303,0.182785", \ - "0.022441,0.028733,0.034487,0.044963,0.065034,0.104828,0.184313", \ - "0.028806,0.035014,0.040752,0.051235,0.071329,0.111137,0.190634", \ - "0.038620,0.045251,0.051176,0.061748,0.081816,0.121598,0.201087", \ - "0.048887,0.056154,0.062466,0.073381,0.093635,0.133391,0.212804", \ - "0.059946,0.067893,0.074755,0.086207,0.106684,0.146508,0.225901", \ - "0.071960,0.080602,0.088108,0.100405,0.121496,0.161469,0.240955"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.017729,0.023398,0.028577,0.038081,0.056454,0.092943,0.165830", \ - "0.018955,0.024618,0.029796,0.039302,0.057664,0.094164,0.167051", \ - "0.023762,0.029394,0.034553,0.044051,0.062423,0.098910,0.171824", \ - "0.028900,0.035000,0.040283,0.049866,0.068269,0.104715,0.177601", \ - "0.032591,0.039545,0.045177,0.054826,0.073168,0.109712,0.182548", \ - "0.034708,0.042559,0.048939,0.059083,0.077482,0.113905,0.186824", \ - "0.035075,0.043760,0.051007,0.062196,0.081001,0.117532,0.190435"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.004377,0.007992,0.011943,0.020070,0.036993,0.071459,0.140581", \ - "0.004374,0.007995,0.011944,0.020069,0.036993,0.071464,0.140593", \ - "0.004432,0.008047,0.011977,0.020083,0.036996,0.071464,0.140571", \ - "0.005604,0.008964,0.012628,0.020364,0.037040,0.071470,0.140594", \ - "0.007121,0.010426,0.013885,0.021248,0.037489,0.071525,0.140569", \ - "0.008860,0.012259,0.015621,0.022511,0.038092,0.071914,0.140632", \ - "0.010899,0.014464,0.017888,0.024486,0.039288,0.072386,0.140936"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.004537,0.008085,0.012028,0.020242,0.037340,0.071988,0.141408", \ - "0.004535,0.008085,0.012035,0.020241,0.037375,0.072018,0.141407", \ - "0.004761,0.008193,0.012102,0.020266,0.037349,0.072001,0.141427", \ - "0.005971,0.009017,0.012658,0.020638,0.037462,0.072015,0.141375", \ - "0.007525,0.010561,0.013689,0.021050,0.037742,0.072184,0.141382", \ - "0.009364,0.012695,0.015668,0.022183,0.038100,0.072410,0.141553", \ - "0.011530,0.015131,0.018352,0.024295,0.039126,0.072873,0.141865"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.020923,0.027227,0.032979,0.043449,0.063521,0.103302,0.182785", \ - "0.022442,0.028733,0.034487,0.044963,0.065035,0.104828,0.184313", \ - "0.028806,0.035014,0.040752,0.051235,0.071329,0.111137,0.190634", \ - "0.038620,0.045251,0.051176,0.061748,0.081815,0.121589,0.201088", \ - "0.048887,0.056154,0.062466,0.073381,0.093635,0.133391,0.212805", \ - "0.059946,0.067893,0.074754,0.086207,0.106684,0.146508,0.225901", \ - "0.071960,0.080602,0.088108,0.100405,0.121496,0.161469,0.240955"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.017729,0.023397,0.028579,0.038082,0.056453,0.092943,0.165833", \ - "0.018953,0.024618,0.029797,0.039302,0.057665,0.094163,0.167051", \ - "0.023762,0.029393,0.034552,0.044051,0.062421,0.098908,0.171824", \ - "0.028900,0.035000,0.040283,0.049866,0.068269,0.104718,0.177602", \ - "0.032590,0.039545,0.045177,0.054826,0.073169,0.109712,0.182556", \ - "0.034708,0.042559,0.048939,0.059082,0.077482,0.113904,0.186824", \ - "0.035075,0.043760,0.051007,0.062196,0.081001,0.117532,0.190435"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.004377,0.007992,0.011944,0.020070,0.036994,0.071458,0.140580", \ - "0.004373,0.007995,0.011945,0.020069,0.036995,0.071464,0.140591", \ - "0.004432,0.008047,0.011978,0.020083,0.036996,0.071463,0.140571", \ - "0.005604,0.008964,0.012630,0.020364,0.037040,0.071462,0.140594", \ - "0.007121,0.010426,0.013885,0.021248,0.037489,0.071525,0.140573", \ - "0.008860,0.012259,0.015621,0.022511,0.038092,0.071914,0.140632", \ - "0.010899,0.014464,0.017889,0.024486,0.039288,0.072386,0.140935"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.004537,0.008085,0.012031,0.020240,0.037348,0.071987,0.141414", \ - "0.004534,0.008086,0.012039,0.020257,0.037371,0.071989,0.141407", \ - "0.004761,0.008193,0.012098,0.020266,0.037361,0.072000,0.141426", \ - "0.005971,0.009017,0.012658,0.020638,0.037461,0.072013,0.141375", \ - "0.007525,0.010560,0.013689,0.021050,0.037733,0.072174,0.141426", \ - "0.009364,0.012694,0.015668,0.022182,0.038100,0.072411,0.141553", \ - "0.011531,0.015129,0.018352,0.024295,0.039127,0.072873,0.141865"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E & !SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.021001,0.027413,0.033275,0.043842,0.063915,0.103630,0.183070", \ - "0.022518,0.028918,0.034783,0.045354,0.065429,0.105148,0.184590", \ - "0.028886,0.035198,0.041042,0.051621,0.071722,0.111464,0.190914", \ - "0.038763,0.045495,0.051529,0.062161,0.082211,0.121924,0.201371", \ - "0.049125,0.056522,0.062965,0.073887,0.093964,0.133599,0.212987", \ - "0.060321,0.068428,0.075438,0.086820,0.106887,0.146466,0.225793", \ - "0.072497,0.081319,0.088983,0.101064,0.121181,0.160566,0.239856"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.004442,0.008160,0.012129,0.020132,0.036849,0.071212,0.140328", \ - "0.004444,0.008163,0.012130,0.020134,0.036847,0.071217,0.140354", \ - "0.004497,0.008216,0.012167,0.020153,0.036853,0.071215,0.140360", \ - "0.005705,0.009155,0.012816,0.020386,0.036885,0.071218,0.140328", \ - "0.007282,0.010687,0.014114,0.021117,0.037130,0.071239,0.140354", \ - "0.009127,0.012617,0.015883,0.022150,0.037421,0.071390,0.140318", \ - "0.011283,0.014914,0.018127,0.023633,0.037776,0.071447,0.140424"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("7.828683,9.714102,11.156680,11.930790,12.065500,12.124290,12.166680", \ - "7.708541,9.590998,11.037940,11.808420,11.948460,12.009200,12.052000", \ - "7.600742,9.569871,10.986030,11.794330,11.943620,12.022880,12.069890", \ - "7.974667,9.998429,11.398900,12.267620,12.428980,12.516500,12.571980", \ - "8.689587,10.593330,12.126280,13.306620,13.496650,13.577890,13.654020", \ - "10.265360,11.941190,13.528470,14.763460,15.201770,15.329150,15.383380", \ - "12.497260,14.010880,15.531570,16.922530,17.338200,17.709990,17.750550"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("7.343305,8.349038,8.644423,8.794134,8.867909,8.892613,8.908905", \ - "7.172730,8.228396,8.524314,8.682199,8.749258,8.783818,8.789943", \ - "7.202622,8.190831,8.509405,8.666487,8.754388,8.793870,8.816721", \ - "7.438836,8.626404,8.975939,9.148481,9.226525,9.280672,9.306600", \ - "8.165883,9.226972,9.759040,10.161790,10.253240,10.293910,10.332650", \ - "9.624279,10.609980,11.140420,11.546330,11.869080,11.979960,12.012910", \ - "11.756080,12.646230,13.196380,13.729280,14.082470,14.426850,14.448330"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("4.249079,5.095417,5.284664,5.323320,5.029097,5.092627,5.355211", \ - "4.244371,4.983809,5.233491,5.309220,5.307751,4.955968,5.383268", \ - "4.308427,4.913728,5.188483,5.105926,4.973682,4.877672,5.317061", \ - "4.829144,5.404634,5.444885,5.508217,5.591879,5.543879,5.310031", \ - "5.865743,6.548150,6.620926,6.430914,6.418821,6.430902,6.213997", \ - "7.506217,8.263262,8.478466,8.482748,8.397598,8.236321,7.992232", \ - "9.719999,10.342370,10.616160,10.753460,10.827030,10.616700,10.683320"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("7.343458,8.348886,8.644080,8.798093,8.867787,8.892597,8.908900", \ - "7.198550,8.226934,8.524344,8.682245,8.749245,8.783803,8.789926", \ - "7.210827,8.190650,8.508989,8.666518,8.754337,8.793835,8.816673", \ - "7.438782,8.626373,8.987517,9.141373,9.226457,9.280842,9.304253", \ - "8.165824,9.226944,9.759243,10.161430,10.252860,10.293920,10.334790", \ - "9.624211,10.610120,11.140410,11.546390,11.869070,11.979950,12.012900", \ - "11.756220,12.646220,13.196090,13.730760,14.082470,14.426850,14.448220"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("4.246631,5.095474,5.272088,5.323137,5.029106,5.093199,5.355205", \ - "4.244041,4.983868,5.233504,5.309224,5.306735,4.955980,5.383271", \ - "4.308558,4.913788,5.188501,5.105856,4.833715,4.877685,5.317058", \ - "4.829246,5.404680,5.444902,5.508233,5.591892,5.542922,5.310040", \ - "5.865867,6.548192,6.621059,6.430919,6.418813,6.430900,6.213906", \ - "7.506324,8.263296,8.478456,8.482756,8.397832,8.236482,7.992234", \ - "9.720065,10.342510,10.616170,10.754430,10.827030,10.616610,10.683330"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("7.338943,8.349187,8.649860,8.806339,8.866389,8.892396,8.909018", \ - "7.171742,8.229739,8.525121,8.682534,8.748587,8.783785,8.789902", \ - "7.211260,8.191164,8.509119,8.666419,8.754019,8.792596,8.815980", \ - "7.438731,8.626775,8.976106,9.142542,9.226452,9.280251,9.304142", \ - "8.165841,9.227249,9.761336,10.161490,10.252590,10.293600,10.332680", \ - "9.624118,10.610640,11.139710,11.546430,11.869070,11.979940,12.012960", \ - "11.756280,12.646410,13.157700,13.729680,14.082030,14.426820,14.448310"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("4.252757,5.095184,5.320867,5.323232,5.029407,5.093798,5.354834", \ - "4.224934,4.983621,5.233159,5.308916,5.265450,5.007926,5.383227", \ - "4.309051,4.913424,5.188745,5.103954,4.920800,4.877988,5.317100", \ - "4.826605,5.403591,5.444821,5.509735,5.594368,5.680678,5.310194", \ - "5.867034,6.547857,6.626283,6.430949,6.420168,6.632218,6.214220", \ - "7.502137,8.263072,8.478366,8.483061,8.397836,8.236779,7.991654", \ - "9.719872,10.291620,10.616190,10.748220,10.827030,10.616620,10.683310"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATETST_X4 - Cell Description : Pos.edge clock gating cell with pre scan, drive strength X4 - *******************************************************************************************/ - - cell (CLKGATETST_X4) { - - drive_strength : 4; - - statetable ("CK E SE","IQ") { - table : "L L L : - : L ,\ - L L H : - : H ,\ - L H L : - : H ,\ - L H H : - : H ,\ - H - - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge_precontrol; - - area : 5.320000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 126.648876; - - leakage_power () { - when : "!CK & !E & !SE & !GCK"; - value : 106.929790; - } - leakage_power () { - when : "!CK & !E & SE & !GCK"; - value : 96.038745; - } - leakage_power () { - when : "!CK & E & !SE & !GCK"; - value : 94.883327; - } - leakage_power () { - when : "!CK & E & SE & !GCK"; - value : 101.429218; - } - leakage_power () { - when : "CK & !E & !SE & !GCK"; - value : 142.109880; - } - leakage_power () { - when : "CK & !E & !SE & GCK"; - value : 152.800340; - } - leakage_power () { - when : "CK & !E & SE & !GCK"; - value : 134.260995; - } - leakage_power () { - when : "CK & !E & SE & GCK"; - value : 138.113965; - } - leakage_power () { - when : "CK & E & !SE & !GCK"; - value : 133.105577; - } - leakage_power () { - when : "CK & E & !SE & GCK"; - value : 136.958547; - } - leakage_power () { - when : "CK & E & SE & !GCK"; - value : 139.651578; - } - leakage_power () { - when : "CK & E & SE & GCK"; - value : 143.504548; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 4.438941; - fall_capacitance : 4.001592; - rise_capacitance : 4.438941; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.128456,0.127008,0.198733"); - } - } - - internal_power () { - - when : "!E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.720359,5.589640,5.574588,5.759600,6.139709,6.752307,7.645572"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.121648,0.094422,0.087912,0.229442,0.590999,1.231910,2.162938"); - } - - } - - internal_power () { - - when : "!E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.894700,11.750840,11.737640,11.925150,12.313610,12.951460,13.917820"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.897830,11.757250,11.737720,11.931530,12.319990,12.958180,13.921950"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.895790,11.751750,11.732320,11.933330,12.315120,12.952080,13.920040"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.930490; - fall_capacitance : 0.897855; - rise_capacitance : 0.930490; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.032521,-0.026927,-0.040278", \ - "-0.048409,-0.042566,-0.056199", \ - "0.051056,0.072004,0.038601"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.007765,-0.002553,-0.006655", \ - "-0.006239,-0.002670,-0.012631", \ - "0.067473,0.069513,0.051927"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.070416,0.068617,0.087317", \ - "0.087898,0.085759,0.104735", \ - "0.131571,0.129533,0.147125"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.133602,0.112199,0.145494", \ - "0.145779,0.124556,0.157764", \ - "0.147989,0.127042,0.160451"); - } - } - - internal_power () { - - when : "!CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.967508,8.939836,8.908308,8.919133,9.043686,9.339192,9.819173"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.931675,6.899245,6.885254,6.948663,7.153382,7.545359,8.134010"); - } - - } - - internal_power () { - - when : "!CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.482161,0.488624,0.491064,0.490300,0.489731,0.489308,0.489811"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.314532,-0.363900,-0.405578,-0.421570,-0.430842,-0.436472,-0.440652"); - } - - } - - internal_power () { - - when : "CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.779147,2.751173,2.723208,2.734677,2.853395,3.129794,3.585141"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.062985,1.035726,1.026817,1.100068,1.317358,1.709735,2.290867"); - } - - } - - internal_power () { - - when : "CK & !SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.693743,2.668158,2.638751,2.653179,2.774842,3.055275,3.512889"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.031511,1.004207,0.993781,1.059278,1.275128,1.661335,2.239676"); - } - - } - - internal_power () { - - when : "CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481687,0.488402,0.490610,0.489788,0.489365,0.488899,0.489447"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.316489,-0.365803,-0.407276,-0.423600,-0.432885,-0.438017,-0.442647"); - } - - } - - internal_power () { - - when : "CK & SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481709,0.488445,0.490619,0.489951,0.489380,0.488914,0.489740"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.316493,-0.365804,-0.407134,-0.423431,-0.432765,-0.437881,-0.441931"); - } - - } - } - - pin (SE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_test_pin : true; - capacitance : 0.814723; - fall_capacitance : 0.754448; - rise_capacitance : 0.814723; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.029471,-0.023549,-0.037136", \ - "-0.046912,-0.041053,-0.054974", \ - "0.058490,0.078855,0.046216"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.007508,-0.001678,-0.005458", \ - "-0.002559,0.000723,-0.009496", \ - "0.062207,0.064530,0.047485"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.066448,0.064627,0.083251", \ - "0.086060,0.084219,0.102539", \ - "0.136837,0.134516,0.151567"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.131771,0.110357,0.144243", \ - "0.142716,0.121477,0.155254", \ - "0.140554,0.120190,0.152836"); - } - } - - internal_power () { - - when : "!CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.579398,8.538701,8.546070,8.671239,8.940280,9.362848,9.977579"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.634083,6.632047,6.617065,6.690381,6.931804,7.377448,8.043692"); - } - - } - - internal_power () { - - when : "!CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.127106,0.130733,0.131233,0.131146,0.130846,0.131226,0.130686"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.125258,-0.125671,-0.125155,-0.125118,-0.125120,-0.125165,-0.125279"); - } - - } - - internal_power () { - - when : "CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.389999,2.351548,2.359935,2.488829,2.744140,3.143448,3.719019"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.766217,0.765166,0.765343,0.849861,1.097735,1.542751,2.193697"); - } - - } - - internal_power () { - - when : "CK & !E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.305969,2.266990,2.276812,2.408530,2.667373,3.071184,3.648351"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.736443,0.735326,0.733907,0.811122,1.056928,1.499767,2.150836"); - } - - } - - internal_power () { - - when : "CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.125765,0.130158,0.130658,0.130643,0.130363,0.130785,0.130233"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.126901,-0.127372,-0.126904,-0.126931,-0.126992,-0.127040,-0.127131"); - } - - } - - internal_power () { - - when : "CK & E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.123312,0.127699,0.128212,0.128188,0.128384,0.128338,0.128401"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.125998,-0.126459,-0.125980,-0.126005,-0.126526,-0.126069,-0.126127"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.920000; - state_function : "(IQ & CK)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "!E & SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.022729,0.029599,0.035448,0.046025,0.066194,0.106064,0.185619", \ - "0.024287,0.031151,0.036999,0.047574,0.067758,0.107623,0.187192", \ - "0.030770,0.037563,0.043392,0.053970,0.074165,0.114057,0.193629", \ - "0.041623,0.048741,0.054683,0.065284,0.085444,0.125321,0.204899", \ - "0.053182,0.060983,0.067296,0.078212,0.098524,0.138346,0.217872", \ - "0.065775,0.074260,0.081031,0.092360,0.112814,0.152707,0.232198", \ - "0.079789,0.088909,0.096189,0.108108,0.128898,0.168783,0.248358"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.020158,0.026285,0.031502,0.041047,0.059477,0.096066,0.169149", \ - "0.021370,0.027497,0.032714,0.042261,0.060687,0.097282,0.170360", \ - "0.024552,0.030665,0.035877,0.045423,0.063846,0.100444,0.173545", \ - "0.028468,0.034839,0.040159,0.049797,0.068263,0.104841,0.177933", \ - "0.031858,0.038781,0.044315,0.054070,0.072558,0.109189,0.182243", \ - "0.033706,0.041440,0.047452,0.057561,0.076212,0.112856,0.185939", \ - "0.033651,0.042208,0.048911,0.059684,0.078757,0.115695,0.188856"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.004447,0.008257,0.012164,0.020253,0.037141,0.071587,0.140724", \ - "0.004448,0.008263,0.012165,0.020253,0.037141,0.071596,0.140741", \ - "0.004470,0.008289,0.012186,0.020259,0.037141,0.071586,0.140717", \ - "0.005499,0.009028,0.012665,0.020452,0.037179,0.071598,0.140727", \ - "0.007012,0.010448,0.013865,0.021268,0.037545,0.071654,0.140759", \ - "0.008653,0.012105,0.015365,0.022304,0.038071,0.071967,0.140790", \ - "0.010478,0.013975,0.017189,0.023759,0.038845,0.072307,0.141061"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.004586,0.008242,0.012160,0.020378,0.037475,0.072234,0.141750", \ - "0.004586,0.008251,0.012161,0.020365,0.037500,0.072216,0.141775", \ - "0.004677,0.008293,0.012196,0.020379,0.037500,0.072209,0.141788", \ - "0.005162,0.008738,0.012545,0.020610,0.037568,0.072230,0.141792", \ - "0.006169,0.009635,0.013203,0.020951,0.037733,0.072301,0.141789", \ - "0.007529,0.011146,0.014499,0.021811,0.038150,0.072499,0.141811", \ - "0.009114,0.013022,0.016380,0.023239,0.039084,0.073062,0.142066"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & !SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.022728,0.029599,0.035448,0.046024,0.066194,0.106065,0.185619", \ - "0.024287,0.031151,0.036999,0.047575,0.067758,0.107623,0.187191", \ - "0.030770,0.037563,0.043392,0.053971,0.074165,0.114057,0.193629", \ - "0.041623,0.048741,0.054683,0.065284,0.085444,0.125321,0.204899", \ - "0.053182,0.060983,0.067296,0.078212,0.098524,0.138346,0.217872", \ - "0.065775,0.074260,0.081031,0.092360,0.112814,0.152713,0.232198", \ - "0.079789,0.088911,0.096189,0.108108,0.128898,0.168783,0.248358"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.020158,0.026285,0.031502,0.041047,0.059477,0.096061,0.169147", \ - "0.021370,0.027497,0.032714,0.042261,0.060687,0.097282,0.170360", \ - "0.024552,0.030665,0.035877,0.045423,0.063851,0.100444,0.173545", \ - "0.028468,0.034839,0.040159,0.049797,0.068263,0.104841,0.177933", \ - "0.031858,0.038781,0.044315,0.054070,0.072558,0.109189,0.182243", \ - "0.033706,0.041440,0.047452,0.057561,0.076212,0.112856,0.185939", \ - "0.033651,0.042208,0.048911,0.059684,0.078757,0.115695,0.188856"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.004447,0.008257,0.012164,0.020253,0.037141,0.071593,0.140724", \ - "0.004448,0.008262,0.012165,0.020253,0.037141,0.071596,0.140741", \ - "0.004470,0.008289,0.012186,0.020260,0.037141,0.071585,0.140717", \ - "0.005499,0.009028,0.012665,0.020452,0.037179,0.071598,0.140727", \ - "0.007012,0.010448,0.013865,0.021268,0.037545,0.071654,0.140759", \ - "0.008653,0.012105,0.015365,0.022304,0.038071,0.071973,0.140790", \ - "0.010478,0.013973,0.017189,0.023759,0.038845,0.072307,0.141061"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.004586,0.008242,0.012160,0.020378,0.037475,0.072235,0.141752", \ - "0.004586,0.008251,0.012161,0.020365,0.037500,0.072216,0.141775", \ - "0.004677,0.008295,0.012196,0.020379,0.037478,0.072209,0.141787", \ - "0.005162,0.008738,0.012545,0.020610,0.037568,0.072230,0.141792", \ - "0.006169,0.009635,0.013203,0.020951,0.037733,0.072301,0.141789", \ - "0.007529,0.011146,0.014499,0.021811,0.038150,0.072499,0.141811", \ - "0.009114,0.013022,0.016380,0.023239,0.039084,0.073062,0.142066"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.022728,0.029600,0.035448,0.046025,0.066190,0.106064,0.185627", \ - "0.024288,0.031150,0.036998,0.047574,0.067755,0.107625,0.187189", \ - "0.030770,0.037564,0.043391,0.053970,0.074164,0.114059,0.193626", \ - "0.041623,0.048741,0.054683,0.065285,0.085443,0.125321,0.204899", \ - "0.053182,0.060983,0.067297,0.078212,0.098524,0.138346,0.217873", \ - "0.065775,0.074260,0.081031,0.092357,0.112813,0.152713,0.232198", \ - "0.079790,0.088911,0.096190,0.108107,0.128898,0.168783,0.248357"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.020158,0.026284,0.031503,0.041048,0.059471,0.096063,0.169145", \ - "0.021368,0.027497,0.032713,0.042262,0.060683,0.097281,0.170359", \ - "0.024552,0.030665,0.035874,0.045421,0.063844,0.100448,0.173536", \ - "0.028468,0.034839,0.040159,0.049797,0.068263,0.104841,0.177932", \ - "0.031858,0.038781,0.044315,0.054070,0.072559,0.109188,0.182242", \ - "0.033706,0.041440,0.047452,0.057561,0.076212,0.112856,0.185939", \ - "0.033651,0.042208,0.048910,0.059684,0.078757,0.115695,0.188856"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.004446,0.008257,0.012164,0.020251,0.037136,0.071589,0.140738", \ - "0.004448,0.008261,0.012165,0.020254,0.037144,0.071597,0.140724", \ - "0.004470,0.008291,0.012188,0.020262,0.037143,0.071588,0.140730", \ - "0.005499,0.009028,0.012664,0.020451,0.037179,0.071598,0.140727", \ - "0.007012,0.010448,0.013865,0.021271,0.037552,0.071652,0.140760", \ - "0.008653,0.012105,0.015366,0.022304,0.038066,0.071987,0.140790", \ - "0.010478,0.013972,0.017189,0.023763,0.038845,0.072307,0.141057"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.004586,0.008246,0.012160,0.020381,0.037488,0.072235,0.141772", \ - "0.004586,0.008251,0.012162,0.020366,0.037483,0.072219,0.141776", \ - "0.004677,0.008294,0.012192,0.020394,0.037484,0.072214,0.141803", \ - "0.005162,0.008738,0.012542,0.020600,0.037579,0.072230,0.141793", \ - "0.006169,0.009635,0.013201,0.020949,0.037733,0.072299,0.141789", \ - "0.007529,0.011146,0.014493,0.021797,0.038151,0.072498,0.141811", \ - "0.009114,0.013022,0.016385,0.023225,0.039084,0.073062,0.142068"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E & !SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.022846,0.029755,0.035675,0.046425,0.066783,0.106635,0.186090", \ - "0.024409,0.031308,0.037227,0.047978,0.068337,0.108195,0.187649", \ - "0.030893,0.037722,0.043621,0.054372,0.074750,0.114626,0.194099", \ - "0.041854,0.048972,0.054968,0.065723,0.086040,0.125893,0.205356", \ - "0.053583,0.061327,0.067689,0.078761,0.099119,0.138867,0.218281", \ - "0.066293,0.074627,0.081412,0.092859,0.113215,0.152909,0.232251", \ - "0.080277,0.089134,0.096357,0.108261,0.128660,0.168186,0.247490"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.004481,0.008347,0.012353,0.020538,0.037264,0.071372,0.140413", \ - "0.004481,0.008347,0.012354,0.020539,0.037263,0.071371,0.140415", \ - "0.004497,0.008377,0.012374,0.020547,0.037266,0.071373,0.140419", \ - "0.005495,0.009092,0.012825,0.020697,0.037277,0.071372,0.140421", \ - "0.006976,0.010496,0.014047,0.021469,0.037497,0.071388,0.140422", \ - "0.008504,0.012063,0.015482,0.022389,0.037785,0.071507,0.140422", \ - "0.010064,0.013702,0.017074,0.023506,0.038107,0.071590,0.140495"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("13.341160,15.579910,17.454940,20.215670,20.950120,21.079500,21.136130", \ - "13.278040,15.415600,17.291090,20.026930,20.804570,20.915880,20.978310", \ - "13.228150,15.474620,17.282560,20.035960,20.830550,20.964190,21.029430", \ - "14.182680,16.299360,18.069210,20.771520,21.535240,21.682870,21.774040", \ - "15.523690,17.543200,19.548380,22.421790,23.141950,23.298600,23.372730", \ - "18.216620,19.918820,21.734820,24.634850,25.733200,25.870840,25.953030", \ - "21.986090,23.262610,25.160190,27.943870,28.934690,29.447170,29.515480"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("12.706400,13.931930,14.214540,14.331450,14.393640,14.441030,14.500640", \ - "12.574250,13.766320,14.048210,14.161170,14.211290,14.285730,14.346120", \ - "12.613590,13.771170,14.050620,14.187140,14.245170,14.344970,14.416310", \ - "13.391710,14.576910,14.825950,14.931450,15.016720,15.120850,15.217920", \ - "14.691420,15.729970,16.235290,16.620920,16.698240,16.798630,16.891010", \ - "17.248640,18.116050,18.571070,18.920080,19.381560,19.513210,19.598080", \ - "21.061360,21.692800,22.120420,22.511620,22.847040,23.372050,23.443820"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("7.771823,9.077117,9.364020,9.559762,9.735352,9.859523,9.529680", \ - "7.778990,8.890064,9.037894,9.029143,9.734141,9.938998,9.609228", \ - "7.457896,8.776119,8.962259,9.083289,9.190592,9.533236,9.818674", \ - "7.905511,9.129558,9.390843,9.575612,9.349299,10.065830,9.750474", \ - "9.267040,10.535670,10.833900,10.759810,10.849600,9.890093,10.949980", \ - "11.266370,12.652020,12.964690,13.157590,13.368270,12.550410,13.562850", \ - "13.735050,15.402620,16.165930,16.330990,16.917500,16.700110,16.328330"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("12.668340,13.930580,14.214530,14.331250,14.370990,14.450730,14.500630", \ - "12.574260,13.750610,14.048160,14.153210,14.211220,14.286710,14.346110", \ - "12.613610,13.771160,14.050610,14.187640,14.245680,14.337310,14.417450", \ - "13.391960,14.576910,14.825950,14.931450,15.016720,15.120840,15.217910", \ - "14.690900,15.729980,16.235830,16.620920,16.698230,16.798570,16.891120", \ - "17.249180,18.116100,18.571110,18.920000,19.381560,19.494400,19.598070", \ - "21.061350,21.616250,22.120520,22.512000,22.845860,23.371770,23.443820"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("7.771900,9.077151,9.364093,9.559870,9.735316,9.857005,9.527598", \ - "7.778733,8.890104,9.038009,9.029135,9.734233,9.939009,9.609227", \ - "7.458221,8.751659,8.962371,9.083369,9.467103,9.532386,9.818902", \ - "7.905572,9.129597,9.390957,9.575718,9.349371,10.066430,9.750472", \ - "9.267112,10.535960,10.834210,10.759920,10.849710,9.890110,10.949980", \ - "11.264470,12.652110,12.964810,13.157490,13.368070,12.550420,13.562800", \ - "13.735150,15.402670,16.165940,16.331120,16.917520,16.700980,16.329660"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("12.678090,13.899520,14.209510,14.341140,14.373230,14.440350,14.499930", \ - "12.574990,13.750760,14.040730,14.162210,14.202000,14.293750,14.345930", \ - "12.614830,13.771510,14.055530,14.171660,14.240100,14.334940,14.420690", \ - "13.368680,14.577190,14.831220,14.931640,15.016720,15.120830,15.217900", \ - "14.700100,15.747580,16.256990,16.607440,16.698340,16.801660,16.889140", \ - "17.234780,18.116680,18.553000,18.945990,19.381650,19.505580,19.598100", \ - "21.061240,21.690240,22.151890,22.534510,22.847060,23.371770,23.443630"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("7.773875,9.078966,9.320093,9.406170,9.579295,9.874808,9.558889", \ - "7.634182,8.891766,9.208133,9.405467,9.581564,9.955613,9.641368", \ - "7.460043,8.630753,9.125801,9.322452,9.501524,9.352397,9.841061", \ - "7.912259,9.128015,9.355074,9.463778,9.350125,10.082750,9.782223", \ - "9.268669,10.537550,10.809890,10.599110,10.681590,9.907952,10.981380", \ - "11.246020,12.649890,13.120540,13.049340,13.376830,12.567690,13.594900", \ - "13.737590,15.404550,15.981340,16.162180,16.926160,16.717670,16.362660"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATETST_X8 - Cell Description : Pos.edge clock gating cell with pre scan, drive strength X8 - *******************************************************************************************/ - - cell (CLKGATETST_X8) { - - drive_strength : 8; - - statetable ("CK E SE","IQ") { - table : "L L L : - : L ,\ - L L H : - : H ,\ - L H L : - : H ,\ - L H H : - : H ,\ - H - - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge_precontrol; - - area : 7.714000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 220.271011; - - leakage_power () { - when : "!CK & !E & !SE & !GCK"; - value : 166.485000; - } - leakage_power () { - when : "!CK & !E & SE & !GCK"; - value : 165.295394; - } - leakage_power () { - when : "!CK & E & !SE & !GCK"; - value : 164.140647; - } - leakage_power () { - when : "!CK & E & SE & !GCK"; - value : 170.686527; - } - leakage_power () { - when : "CK & !E & !SE & !GCK"; - value : 244.365000; - } - leakage_power () { - when : "CK & !E & !SE & GCK"; - value : 264.571120; - } - leakage_power () { - when : "CK & !E & SE & !GCK"; - value : 236.521505; - } - leakage_power () { - when : "CK & !E & SE & GCK"; - value : 249.891125; - } - leakage_power () { - when : "CK & E & !SE & !GCK"; - value : 235.366747; - } - leakage_power () { - when : "CK & E & !SE & GCK"; - value : 248.735267; - } - leakage_power () { - when : "CK & E & SE & !GCK"; - value : 241.912638; - } - leakage_power () { - when : "CK & E & SE & GCK"; - value : 255.281158; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 7.959177; - fall_capacitance : 7.204104; - rise_capacitance : 7.959177; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.206594,0.197672,0.271957"); - } - } - - internal_power () { - - when : "!E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.122119,7.901577,7.846830,8.013430,8.383018,8.983671,9.867695"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.946720,-1.975590,-1.983660,-1.850600,-1.500150,-0.862945,0.062349"); - } - - } - - internal_power () { - - when : "!E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("20.817430,20.572270,20.498550,20.678060,21.047540,21.680960,22.682650"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("20.834890,20.579110,20.519300,20.694400,21.068360,21.686650,22.686560"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "E & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("20.819750,20.570310,20.503830,20.679620,21.054320,21.676630,22.684200"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.901507; - fall_capacitance : 0.863898; - rise_capacitance : 0.901507; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.034465,-0.028834,-0.042756", \ - "-0.050500,-0.044887,-0.058472", \ - "-0.026383,0.002868,-0.033740"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.008220,-0.002396,-0.006737", \ - "-0.009510,-0.005955,-0.014665", \ - "0.055702,0.057990,0.037967"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.081710,0.079666,0.100767", \ - "0.098923,0.097152,0.117914", \ - "0.143342,0.141056,0.161086"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.211745,0.182176,0.219310", \ - "0.223872,0.194146,0.231189", \ - "0.225430,0.196180,0.232795"); - } - } - - internal_power () { - - when : "!CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("13.849860,13.821410,13.792120,13.804280,13.933410,14.239660,14.732250"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("12.833610,12.805140,12.788760,12.854020,13.065740,13.455630,14.046230"); - } - - } - - internal_power () { - - when : "!CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.482225,0.488549,0.491374,0.490569,0.490178,0.489796,0.489955"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.312754,-0.362042,-0.403801,-0.419624,-0.429241,-0.434896,-0.439173"); - } - - } - - internal_power () { - - when : "CK & !SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.730039,2.703745,2.674181,2.686484,2.806107,3.085122,3.542605"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.063223,1.036160,1.027525,1.101187,1.319785,1.714126,2.296452"); - } - - } - - internal_power () { - - when : "CK & !SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.645545,2.618171,2.589777,2.604425,2.726922,3.010310,3.469661"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.034333,1.007233,0.996344,1.065220,1.278640,1.667130,2.245329"); - } - - } - - internal_power () { - - when : "CK & SE & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481732,0.488514,0.490818,0.490090,0.489742,0.489297,0.489479"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.315992,-0.365209,-0.406553,-0.422806,-0.432535,-0.437291,-0.442395"); - } - - } - - internal_power () { - - when : "CK & SE & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481800,0.488543,0.490861,0.490116,0.489808,0.489364,0.490059"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.315902,-0.365091,-0.406312,-0.422641,-0.432364,-0.437053,-0.441103"); - } - - } - } - - pin (SE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_test_pin : true; - capacitance : 0.801331; - fall_capacitance : 0.741146; - rise_capacitance : 0.801331; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.030795,-0.025458,-0.038995", \ - "-0.049038,-0.043092,-0.056973", \ - "-0.019258,0.010653,-0.026760"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.007361,-0.001837,-0.005549", \ - "-0.006755,-0.003185,-0.012160", \ - "0.050746,0.052384,0.033207"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.077437,0.075676,0.096700", \ - "0.097085,0.095304,0.116031", \ - "0.148298,0.146662,0.165845"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.209914,0.180335,0.217433", \ - "0.220810,0.191067,0.228051", \ - "0.218305,0.188394,0.225815"); - } - } - - internal_power () { - - when : "!CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("13.461540,13.420910,13.430070,13.558900,13.831920,14.263440,14.879290"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("12.533860,12.533420,12.525630,12.599160,12.841450,13.287380,13.954980"); - } - - } - - internal_power () { - - when : "!CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.126783,0.130981,0.131504,0.131431,0.130971,0.131736,0.130806"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.123547,-0.123893,-0.123247,-0.123229,-0.123286,-0.123352,-0.123465"); - } - - } - - internal_power () { - - when : "CK & !E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.340384,2.302191,2.312180,2.441970,2.697334,3.098333,3.676518"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.766209,0.764895,0.765824,0.851296,1.101225,1.548516,2.201389"); - } - - } - - internal_power () { - - when : "CK & !E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.257706,2.217237,2.228786,2.360695,2.620787,3.025872,3.605039"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.738721,0.737623,0.732822,0.814654,1.060398,1.506308,2.158282"); - } - - } - - internal_power () { - - when : "CK & E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.125941,0.130275,0.130879,0.130821,0.130358,0.131170,0.130226"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.126381,-0.126768,-0.126187,-0.126216,-0.126277,-0.126326,-0.126413"); - } - - } - - internal_power () { - - when : "CK & E & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.123507,0.127832,0.128460,0.128391,0.128811,0.128777,0.128934"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.125397,-0.125761,-0.125151,-0.125179,-0.126130,-0.125246,-0.125301"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 484.619000; - state_function : "(IQ & CK)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "!E & SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.023157,0.030224,0.036087,0.046690,0.066886,0.106777,0.186336", \ - "0.024716,0.031776,0.037638,0.048243,0.068443,0.108343,0.187892", \ - "0.031198,0.038192,0.044037,0.054644,0.074861,0.114778,0.194347", \ - "0.042059,0.049380,0.055336,0.065967,0.086159,0.126061,0.205630", \ - "0.053653,0.061665,0.067990,0.078945,0.099295,0.139130,0.218656", \ - "0.066304,0.075024,0.081808,0.093179,0.113681,0.153592,0.233075", \ - "0.080391,0.089796,0.097100,0.109075,0.129919,0.169828,0.249396"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.020948,0.027367,0.032647,0.042268,0.060748,0.097427,0.170659", \ - "0.022154,0.028571,0.033851,0.043475,0.061955,0.098631,0.171860", \ - "0.025296,0.031692,0.036962,0.046579,0.065060,0.101735,0.174974", \ - "0.029111,0.035741,0.041113,0.050820,0.069353,0.106016,0.179236", \ - "0.032538,0.039643,0.045208,0.055028,0.073588,0.110304,0.183505", \ - "0.034523,0.042403,0.048392,0.058542,0.077270,0.113996,0.187233", \ - "0.034623,0.043316,0.049959,0.060723,0.079862,0.116878,0.190182"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.004514,0.008435,0.012349,0.020441,0.037328,0.071771,0.140886", \ - "0.004518,0.008434,0.012350,0.020441,0.037323,0.071761,0.140881", \ - "0.004541,0.008465,0.012372,0.020451,0.037321,0.071774,0.140874", \ - "0.005557,0.009189,0.012842,0.020642,0.037361,0.071777,0.140890", \ - "0.007071,0.010611,0.014050,0.021476,0.037741,0.071809,0.140891", \ - "0.008731,0.012290,0.015567,0.022527,0.038271,0.072137,0.140922", \ - "0.010584,0.014225,0.017438,0.024015,0.039065,0.072458,0.141195"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.004787,0.008556,0.012462,0.020668,0.037801,0.072585,0.142220", \ - "0.004785,0.008552,0.012468,0.020655,0.037767,0.072559,0.142243", \ - "0.004874,0.008594,0.012489,0.020663,0.037773,0.072530,0.142257", \ - "0.005308,0.009004,0.012815,0.020863,0.037824,0.072545,0.142252", \ - "0.006227,0.009806,0.013430,0.021213,0.038010,0.072615,0.142256", \ - "0.007559,0.011233,0.014636,0.022022,0.038420,0.072820,0.142273", \ - "0.009137,0.013066,0.016451,0.023414,0.039341,0.073352,0.142482"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & !SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.023156,0.030225,0.036087,0.046690,0.066886,0.106777,0.186336", \ - "0.024716,0.031776,0.037638,0.048243,0.068443,0.108343,0.187892", \ - "0.031198,0.038192,0.044037,0.054644,0.074861,0.114778,0.194346", \ - "0.042059,0.049380,0.055336,0.065967,0.086159,0.126061,0.205630", \ - "0.053653,0.061665,0.067990,0.078945,0.099295,0.139130,0.218656", \ - "0.066304,0.075024,0.081808,0.093179,0.113681,0.153592,0.233069", \ - "0.080391,0.089797,0.097100,0.109075,0.129919,0.169828,0.249396"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.020948,0.027367,0.032647,0.042268,0.060748,0.097427,0.170652", \ - "0.022154,0.028571,0.033851,0.043475,0.061955,0.098631,0.171860", \ - "0.025296,0.031692,0.036962,0.046579,0.065060,0.101735,0.174974", \ - "0.029111,0.035741,0.041113,0.050820,0.069353,0.106016,0.179236", \ - "0.032538,0.039643,0.045208,0.055028,0.073588,0.110304,0.183505", \ - "0.034523,0.042403,0.048392,0.058542,0.077270,0.113996,0.187233", \ - "0.034623,0.043316,0.049959,0.060723,0.079862,0.116878,0.190182"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.004514,0.008432,0.012349,0.020441,0.037328,0.071771,0.140886", \ - "0.004518,0.008434,0.012350,0.020441,0.037323,0.071760,0.140881", \ - "0.004541,0.008465,0.012372,0.020451,0.037321,0.071774,0.140874", \ - "0.005557,0.009189,0.012842,0.020642,0.037361,0.071777,0.140890", \ - "0.007071,0.010611,0.014050,0.021476,0.037741,0.071809,0.140891", \ - "0.008731,0.012290,0.015567,0.022527,0.038271,0.072137,0.140905", \ - "0.010584,0.014224,0.017438,0.024015,0.039065,0.072458,0.141195"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.004787,0.008556,0.012462,0.020669,0.037801,0.072585,0.142237", \ - "0.004785,0.008552,0.012468,0.020655,0.037767,0.072559,0.142243", \ - "0.004874,0.008594,0.012488,0.020663,0.037773,0.072530,0.142257", \ - "0.005308,0.009004,0.012815,0.020863,0.037824,0.072545,0.142252", \ - "0.006227,0.009806,0.013430,0.021213,0.038025,0.072615,0.142256", \ - "0.007559,0.011233,0.014636,0.022022,0.038420,0.072820,0.142273", \ - "0.009137,0.013066,0.016451,0.023414,0.039341,0.073352,0.142482"); - } - } - - timing () { - - related_pin : "CK"; - when : "E & SE"; - sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.023156,0.030225,0.036087,0.046689,0.066892,0.106777,0.186333", \ - "0.024715,0.031776,0.037638,0.048244,0.068442,0.108343,0.187892", \ - "0.031198,0.038192,0.044037,0.054644,0.074861,0.114778,0.194344", \ - "0.042059,0.049380,0.055335,0.065967,0.086161,0.126061,0.205630", \ - "0.053653,0.061665,0.067989,0.078945,0.099295,0.139130,0.218659", \ - "0.066304,0.075024,0.081808,0.093179,0.113682,0.153598,0.233073", \ - "0.080391,0.089796,0.097099,0.109074,0.129919,0.169829,0.249395"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.020947,0.027367,0.032648,0.042268,0.060748,0.097427,0.170656", \ - "0.022154,0.028570,0.033851,0.043471,0.061956,0.098631,0.171862", \ - "0.025296,0.031692,0.036963,0.046577,0.065061,0.101735,0.174976", \ - "0.029111,0.035741,0.041114,0.050820,0.069349,0.106016,0.179238", \ - "0.032538,0.039643,0.045208,0.055025,0.073588,0.110304,0.183505", \ - "0.034523,0.042403,0.048392,0.058541,0.077270,0.113996,0.187225", \ - "0.034623,0.043316,0.049959,0.060723,0.079862,0.116878,0.190182"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.004514,0.008434,0.012348,0.020441,0.037322,0.071779,0.140881", \ - "0.004516,0.008434,0.012351,0.020440,0.037320,0.071778,0.140878", \ - "0.004541,0.008465,0.012371,0.020451,0.037323,0.071772,0.140883", \ - "0.005560,0.009189,0.012842,0.020641,0.037359,0.071777,0.140891", \ - "0.007071,0.010611,0.014050,0.021476,0.037737,0.071810,0.140900", \ - "0.008730,0.012290,0.015568,0.022528,0.038269,0.072131,0.140920", \ - "0.010585,0.014225,0.017439,0.024013,0.039064,0.072459,0.141193"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.004784,0.008556,0.012468,0.020665,0.037802,0.072573,0.142239", \ - "0.004785,0.008552,0.012472,0.020654,0.037768,0.072559,0.142243", \ - "0.004874,0.008594,0.012488,0.020671,0.037763,0.072530,0.142262", \ - "0.005308,0.009004,0.012817,0.020871,0.037832,0.072542,0.142255", \ - "0.006227,0.009808,0.013423,0.021214,0.038024,0.072616,0.142256", \ - "0.007559,0.011233,0.014644,0.022024,0.038421,0.072820,0.142270", \ - "0.009140,0.013066,0.016453,0.023409,0.039341,0.073351,0.142482"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E & !SE"; - sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.023236,0.030318,0.036213,0.046913,0.067345,0.107456,0.186961", \ - "0.024795,0.031871,0.037767,0.048468,0.068904,0.109017,0.188524", \ - "0.031274,0.038288,0.044163,0.054869,0.075320,0.115450,0.194973", \ - "0.042222,0.049523,0.055497,0.066213,0.086628,0.126737,0.206257", \ - "0.053945,0.061891,0.068221,0.079258,0.099823,0.139836,0.219292", \ - "0.066721,0.075296,0.082049,0.093477,0.114181,0.154193,0.233565", \ - "0.080894,0.090041,0.097250,0.109199,0.130142,0.170028,0.249359"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.004534,0.008474,0.012444,0.020661,0.037654,0.071866,0.140627", \ - "0.004534,0.008477,0.012443,0.020663,0.037653,0.071866,0.140621", \ - "0.004558,0.008507,0.012464,0.020674,0.037654,0.071862,0.140625", \ - "0.005561,0.009210,0.012916,0.020845,0.037679,0.071861,0.140623", \ - "0.007071,0.010610,0.014120,0.021683,0.038021,0.071879,0.140610", \ - "0.008680,0.012214,0.015578,0.022708,0.038510,0.072087,0.140629", \ - "0.010405,0.013972,0.017289,0.024039,0.039111,0.072220,0.140733"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("23.359460,26.693590,28.411480,32.173630,37.452500,38.411620,38.515120", \ - "23.247270,26.417330,28.173120,31.911430,37.142710,38.134870,38.255950", \ - "23.479410,26.503570,28.190970,32.011220,37.252970,38.253830,38.365500", \ - "24.956430,27.918550,29.541480,33.330300,38.427590,39.532730,39.667840", \ - "27.238110,30.093880,32.087290,36.242740,41.340630,42.354160,42.505860", \ - "32.224270,34.373200,36.065160,39.996250,45.952410,46.911540,47.058670", \ - "39.241430,40.632810,42.299030,46.051180,51.632200,53.309820,53.407700"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("22.848860,24.873300,25.413280,25.572400,25.589760,25.580920,25.656770", \ - "22.462450,24.547750,25.088200,25.300420,25.309800,25.327220,25.400740", \ - "22.757800,24.608080,25.107340,25.347520,25.361630,25.433540,25.559010", \ - "23.964010,26.052960,26.533600,26.655360,26.700580,26.807200,26.944580", \ - "26.431100,28.156890,29.067470,29.662560,29.675570,29.758270,29.888990", \ - "31.266420,32.476890,33.147790,33.785450,34.404130,34.539040,34.683390", \ - "38.318670,38.845400,39.617780,40.185350,40.582030,41.423860,41.534340"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("14.108900,15.676230,16.485980,17.100150,17.450570,18.018560,16.768110", \ - "13.768130,15.714070,16.412650,16.705430,16.212340,15.515800,16.963470", \ - "13.369080,15.527780,16.068290,15.968440,16.156180,15.971580,17.391080", \ - "14.402720,15.699610,16.335690,16.008920,17.167980,16.997580,17.183150", \ - "16.347200,18.364770,18.761840,18.330200,19.104740,17.757640,19.231560", \ - "19.814540,22.138700,22.855520,22.497440,22.964510,23.114740,23.718430", \ - "24.433380,26.834970,28.121080,28.254260,29.041550,29.559270,28.147810"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & !SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("22.835460,24.898970,25.380630,25.572430,25.589800,25.582980,25.654280", \ - "22.462520,24.548120,25.083560,25.301070,25.309220,25.329470,25.400870", \ - "22.758310,24.608340,25.109720,25.347520,25.361650,25.433790,25.559030", \ - "23.963510,26.053020,26.533720,26.655390,26.700600,26.807180,26.944560", \ - "26.431140,28.157700,29.067810,29.662560,29.675570,29.758310,29.888990", \ - "31.266980,32.477160,33.147780,33.785500,34.404140,34.539020,34.691540", \ - "38.318620,38.943200,39.617960,40.186570,40.582700,41.424530,41.533340"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("14.108930,15.676310,16.472040,17.100620,17.449860,18.018680,17.728020", \ - "13.768230,15.748450,16.412950,16.706090,16.212690,15.515840,16.963960", \ - "13.369230,15.526240,16.069020,15.968390,16.156660,15.971610,17.391070", \ - "14.402740,15.699370,16.336160,16.010530,17.167970,16.998620,17.183110", \ - "16.347320,18.364470,18.762310,18.331630,18.371370,17.756420,19.231540", \ - "19.814720,22.138780,22.856580,22.496810,22.964560,23.114910,23.718410", \ - "24.433550,26.835340,28.121620,28.255200,29.041600,29.559280,28.147270"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E & SE"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("22.840460,24.916080,25.405880,25.572980,25.595800,25.583340,25.655270", \ - "22.550610,24.547910,25.108210,25.311890,25.312280,25.329250,25.408390", \ - "22.735340,24.609220,25.132750,25.348920,25.397110,25.413160,25.559300", \ - "24.056660,26.053500,26.495890,26.667170,26.716570,26.807210,26.944530", \ - "26.432130,28.127190,28.991940,29.662580,29.681770,29.755480,29.890160", \ - "31.225410,32.460340,33.188130,33.758500,34.419180,34.553090,34.681400", \ - "38.300040,38.820210,39.480710,40.172820,40.577290,41.424310,41.530890"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("14.108180,15.672480,16.383150,16.919210,17.389910,18.012880,16.723690", \ - "13.767060,15.682170,16.293040,16.094820,16.375740,15.494630,16.931230", \ - "13.368520,15.519180,15.644970,15.967900,16.860290,15.953390,17.355870", \ - "14.398320,15.696290,16.487950,16.177780,17.024390,16.753030,17.147660", \ - "16.345240,18.429790,18.918160,18.757140,18.546080,17.738330,19.194350", \ - "19.818210,22.135390,22.379240,22.676440,22.954410,23.118990,23.663440", \ - "24.432260,26.841050,27.632110,28.449130,29.031280,29.541320,28.112550"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATE_X1 - Cell Description : Pos.edge clock gating cell with drive strength X1 - *******************************************************************************************/ - - cell (CLKGATE_X1) { - - drive_strength : 1; - - statetable ("CK E","IQ") { - table : "L L : - : L ,\ - L H : - : H ,\ - H - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 48.647260; - - leakage_power () { - when : "!CK & !E & !GCK"; - value : 38.414200; - } - leakage_power () { - when : "!CK & E & !GCK"; - value : 60.270782; - } - leakage_power () { - when : "CK & !E & !GCK"; - value : 38.483698; - } - leakage_power () { - when : "CK & !E & GCK"; - value : 50.158680; - } - leakage_power () { - when : "CK & E & !GCK"; - value : 49.008377; - } - leakage_power () { - when : "CK & E & GCK"; - value : 55.547822; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 1.837892; - fall_capacitance : 1.699306; - rise_capacitance : 1.837892; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.050317,0.072321,0.198733"); - } - } - - internal_power () { - - when : "!E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.574452,3.544106,3.579704,3.794528,4.204612,4.851843,5.782880"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.307258,1.279196,1.280451,1.436161,1.830591,2.504117,3.467117"); - } - - } - - internal_power () { - - when : "E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.310713,6.270530,6.302373,6.529975,6.969328,7.663879,8.704774"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.915181; - fall_capacitance : 0.862704; - rise_capacitance : 0.915181; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.015679,-0.007410,-0.009923", \ - "-0.012907,-0.013067,-0.026375", \ - "0.132212,0.133043,0.102058"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.002230,0.003266,-0.000346", \ - "0.004311,0.012539,0.010569", \ - "0.100307,0.108752,0.110943"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.042333,0.033628,0.032268", \ - "0.059417,0.050656,0.049823", \ - "0.098736,0.090292,0.088108"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.047522,0.047746,0.079810", \ - "0.058192,0.058354,0.089987", \ - "0.066830,0.066001,0.096992"); - } - } - - internal_power () { - - when : "!CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.699979,3.683528,3.660336,3.680995,3.822185,4.129855,4.604548"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.750888,2.723549,2.695604,2.721235,2.859229,3.156764,3.626671"); - } - - } - - internal_power () { - - when : "CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.544915,0.527612,0.519013,0.515671,0.513440,0.512106,0.510491"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.409272,-0.409721,-0.411229,-0.413851,-0.417388,-0.421996,-0.427854"); - } - - } - - internal_power () { - - when : "CK & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481085,0.488258,0.490208,0.489846,0.489369,0.489262,0.489099"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.323431,-0.372417,-0.412666,-0.424550,-0.427751,-0.432231,-0.438135"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.730000; - state_function : "(CK & IQ)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "E"; - sdf_cond : "(E == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.022752,0.028403,0.034245,0.044801,0.064919,0.104698,0.184157", \ - "0.024269,0.029911,0.035753,0.046313,0.066426,0.106214,0.185678", \ - "0.030622,0.036201,0.042021,0.052586,0.072718,0.112523,0.192003", \ - "0.040921,0.046821,0.052818,0.063454,0.083568,0.123352,0.202816", \ - "0.051686,0.058154,0.064570,0.075601,0.095932,0.135677,0.215074", \ - "0.063206,0.070281,0.077242,0.088843,0.109443,0.149272,0.228638", \ - "0.075687,0.083364,0.090971,0.103414,0.124672,0.164658,0.244107"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.019115,0.024138,0.029357,0.038900,0.057296,0.093798,0.166694", \ - "0.020351,0.025368,0.030587,0.040134,0.058518,0.095025,0.167932", \ - "0.025231,0.030218,0.035415,0.044947,0.063347,0.099844,0.172761", \ - "0.030782,0.036167,0.041504,0.051138,0.069547,0.106005,0.178927", \ - "0.034808,0.040954,0.046671,0.056397,0.074777,0.111309,0.184154", \ - "0.037223,0.044172,0.050640,0.060902,0.079339,0.115756,0.188678", \ - "0.037819,0.045523,0.052866,0.064206,0.083089,0.119592,0.192483"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.004944,0.008157,0.012078,0.020163,0.037003,0.071433,0.140525", \ - "0.004940,0.008159,0.012080,0.020161,0.037004,0.071439,0.140525", \ - "0.004982,0.008203,0.012107,0.020170,0.037005,0.071450,0.140529", \ - "0.006077,0.009074,0.012717,0.020434,0.037053,0.071429,0.140511", \ - "0.007609,0.010573,0.014038,0.021397,0.037512,0.071490,0.140514", \ - "0.009356,0.012400,0.015786,0.022700,0.038177,0.071863,0.140569", \ - "0.011374,0.014566,0.018024,0.024677,0.039415,0.072341,0.140843"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.004997,0.008145,0.012085,0.020271,0.037354,0.071974,0.141363", \ - "0.004992,0.008148,0.012081,0.020270,0.037343,0.071967,0.141362", \ - "0.005154,0.008244,0.012136,0.020302,0.037338,0.071972,0.141362", \ - "0.006351,0.009094,0.012724,0.020665,0.037434,0.071966,0.141408", \ - "0.007922,0.010649,0.013801,0.021127,0.037739,0.072116,0.141392", \ - "0.009754,0.012776,0.015804,0.022291,0.038110,0.072394,0.141503", \ - "0.011904,0.015194,0.018503,0.024469,0.039135,0.072787,0.141799"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E"; - sdf_cond : "(E == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.022750,0.028399,0.034236,0.044794,0.065274,0.105188,0.184539", \ - "0.024265,0.029906,0.035744,0.046304,0.066788,0.106702,0.186059", \ - "0.030621,0.036197,0.042012,0.052576,0.073074,0.113020,0.192386", \ - "0.040917,0.046817,0.052807,0.063446,0.083946,0.123834,0.203201", \ - "0.051681,0.058148,0.064557,0.075593,0.096356,0.136086,0.215389", \ - "0.063206,0.070275,0.077225,0.088838,0.109934,0.149597,0.228826", \ - "0.075673,0.083355,0.090949,0.103425,0.125180,0.164610,0.243776"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.004940,0.008150,0.012069,0.020253,0.037523,0.071290,0.140259", \ - "0.004939,0.008153,0.012071,0.020252,0.037519,0.071294,0.140270", \ - "0.004982,0.008196,0.012101,0.020264,0.037526,0.071295,0.140263", \ - "0.006076,0.009069,0.012711,0.020539,0.037561,0.071277,0.140266", \ - "0.007609,0.010560,0.014026,0.021541,0.037953,0.071277,0.140275", \ - "0.009354,0.012381,0.015770,0.022935,0.038566,0.071422,0.140277", \ - "0.011375,0.014547,0.018008,0.025060,0.039503,0.071492,0.140363"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("5.189170,5.929378,6.577649,8.038928,8.409612,8.455713,8.478526", \ - "5.137049,5.848803,6.481294,7.949428,8.329479,8.376353,8.398133", \ - "5.164472,5.849880,6.513173,7.945689,8.348065,8.405090,8.430024", \ - "5.468476,6.227967,6.880867,8.336510,8.693980,8.753171,8.781489", \ - "5.971679,6.757301,7.588416,9.096400,9.416239,9.467320,9.503159", \ - "6.974958,7.772024,8.664423,10.141740,10.576720,10.649230,10.685250", \ - "8.422546,9.229046,10.257670,11.745510,12.096500,12.331360,12.352500"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("4.951765,5.306276,5.455559,5.522173,5.556967,5.572348,5.575768", \ - "4.861278,5.237895,5.377841,5.442465,5.473114,5.491982,5.500328", \ - "4.880259,5.252299,5.382529,5.459384,5.493854,5.518330,5.530690", \ - "5.159057,5.576546,5.734332,5.801255,5.837854,5.867110,5.884879", \ - "5.605669,6.048595,6.289369,6.521342,6.558721,6.584235,6.601517", \ - "6.576005,6.959032,7.267051,7.497338,7.699154,7.744173,7.763558", \ - "7.971228,8.351727,8.700674,9.018713,9.215256,9.435961,9.446854"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("3.106381,3.371109,3.446612,3.386980,3.451062,3.465618,3.537469", \ - "3.050722,3.273142,3.383589,3.470734,3.383987,3.361998,3.215028", \ - "3.007954,3.302673,3.361918,3.407615,3.272841,3.291251,3.510223", \ - "3.437180,3.601124,3.624330,3.666449,3.723331,3.677415,3.565184", \ - "4.181663,4.353311,4.395624,4.302725,4.304350,4.292086,4.204148", \ - "5.268342,5.505501,5.625151,5.655915,5.598934,5.502735,5.380095", \ - "6.657735,6.983331,7.210736,7.324642,7.271810,7.313764,7.177373"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATE_X2 - Cell Description : Pos.edge clock gating cell with drive strength X2 - *******************************************************************************************/ - - cell (CLKGATE_X2) { - - drive_strength : 2; - - statetable ("CK E","IQ") { - table : "L L : - : L ,\ - L H : - : H ,\ - H - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 66.637175; - - leakage_power () { - when : "!CK & !E & !GCK"; - value : 50.796680; - } - leakage_power () { - when : "!CK & E & !GCK"; - value : 83.440203; - } - leakage_power () { - when : "CK & !E & !GCK"; - value : 51.171373; - } - leakage_power () { - when : "CK & !E & GCK"; - value : 73.664800; - } - leakage_power () { - when : "CK & E & !GCK"; - value : 61.696052; - } - leakage_power () { - when : "CK & E & GCK"; - value : 79.053942; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 2.562124; - fall_capacitance : 2.382058; - rise_capacitance : 2.562124; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.063442,0.081538,0.198733"); - } - } - - internal_power () { - - when : "!E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.218361,4.188312,4.221370,4.433157,4.838096,5.478092,6.402403"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.076043,1.045343,1.043105,1.198233,1.590497,2.253896,3.215239"); - } - - } - - internal_power () { - - when : "E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.138008,8.097926,8.125847,8.345490,8.775185,9.459338,10.522790"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.893230; - fall_capacitance : 0.831726; - rise_capacitance : 0.893230; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.018026,-0.008252,-0.010100", \ - "-0.025463,-0.021997,-0.026610", \ - "0.119822,0.123389,0.092223"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.002812,0.002065,-0.001887", \ - "0.003102,0.010708,0.008702", \ - "0.095661,0.103458,0.105231"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.045996,0.037925,0.036647", \ - "0.063092,0.054967,0.054216", \ - "0.103383,0.095587,0.093819"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.059732,0.056647,0.088881", \ - "0.070748,0.067283,0.098773", \ - "0.079221,0.075655,0.106828"); - } - } - - internal_power () { - - when : "!CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.267122,4.250874,4.227397,4.244145,4.368615,4.660612,5.120892"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.901572,3.874595,3.843259,3.859710,3.991409,4.281279,4.744302"); - } - - } - - internal_power () { - - when : "CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.544655,0.528846,0.519137,0.515654,0.513727,0.511951,0.510580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.468489,-0.471387,-0.473588,-0.474743,-0.475821,-0.476437,-0.477244"); - } - - } - - internal_power () { - - when : "CK & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480545,0.488543,0.490377,0.489994,0.489382,0.489539,0.489370"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.323661,-0.372210,-0.412143,-0.427489,-0.436039,-0.441651,-0.445369"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.307000; - state_function : "(CK & IQ)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "E"; - sdf_cond : "(E == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.021921,0.028237,0.034011,0.044508,0.064575,0.104319,0.183697", \ - "0.023434,0.029742,0.035516,0.046017,0.066086,0.105833,0.185212", \ - "0.029788,0.036020,0.041773,0.052279,0.072369,0.112134,0.191529", \ - "0.039860,0.046482,0.052413,0.062995,0.083060,0.122805,0.202190", \ - "0.050385,0.057634,0.063962,0.074910,0.095184,0.134891,0.214201", \ - "0.061661,0.069595,0.076459,0.087950,0.108471,0.148253,0.227537", \ - "0.073882,0.082507,0.090003,0.102324,0.123479,0.163420,0.242779"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.018491,0.024159,0.029357,0.038889,0.057252,0.093725,0.166587", \ - "0.019721,0.025382,0.030579,0.040111,0.058483,0.094958,0.167823", \ - "0.024594,0.030219,0.035398,0.044921,0.063285,0.099768,0.172623", \ - "0.029984,0.036069,0.041381,0.051000,0.069394,0.105835,0.178686", \ - "0.033888,0.040821,0.046480,0.056174,0.074545,0.111068,0.183845", \ - "0.036194,0.044024,0.050429,0.060632,0.079060,0.115453,0.188325", \ - "0.036734,0.045401,0.052671,0.063937,0.082798,0.119300,0.192131"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.004592,0.008188,0.012116,0.020223,0.037091,0.071492,0.140524", \ - "0.004587,0.008190,0.012118,0.020220,0.037084,0.071504,0.140513", \ - "0.004638,0.008237,0.012149,0.020232,0.037088,0.071507,0.140519", \ - "0.005763,0.009120,0.012765,0.020500,0.037134,0.071507,0.140509", \ - "0.007279,0.010585,0.014042,0.021414,0.037586,0.071553,0.140503", \ - "0.009007,0.012407,0.015766,0.022689,0.038228,0.071921,0.140565", \ - "0.011022,0.014577,0.018007,0.024648,0.039441,0.072399,0.140837"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.004716,0.008262,0.012211,0.020405,0.037459,0.072062,0.141428", \ - "0.004711,0.008262,0.012206,0.020403,0.037450,0.072068,0.141432", \ - "0.004899,0.008358,0.012264,0.020434,0.037487,0.072094,0.141410", \ - "0.006105,0.009185,0.012840,0.020793,0.037580,0.072092,0.141450", \ - "0.007654,0.010717,0.013889,0.021248,0.037864,0.072264,0.141412", \ - "0.009485,0.012858,0.015868,0.022395,0.038234,0.072481,0.141593", \ - "0.011648,0.015297,0.018567,0.024563,0.039271,0.072924,0.141863"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E"; - sdf_cond : "(E == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.021921,0.028234,0.034004,0.044496,0.064787,0.104865,0.184123", \ - "0.023434,0.029738,0.035509,0.046005,0.066300,0.106380,0.185639", \ - "0.029786,0.036017,0.041768,0.052270,0.072580,0.112687,0.191958", \ - "0.039860,0.046478,0.052406,0.062983,0.083280,0.123348,0.202615", \ - "0.050381,0.057630,0.063954,0.074896,0.095443,0.135398,0.214604", \ - "0.061662,0.069595,0.076449,0.087933,0.108795,0.148742,0.227871", \ - "0.073887,0.082501,0.089993,0.102314,0.123903,0.163743,0.242802"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("0.004587,0.008186,0.012110,0.020267,0.037628,0.071569,0.140264", \ - "0.004591,0.008188,0.012112,0.020266,0.037629,0.071570,0.140268", \ - "0.004635,0.008233,0.012142,0.020276,0.037631,0.071576,0.140263", \ - "0.005761,0.009112,0.012758,0.020545,0.037676,0.071558,0.140269", \ - "0.007279,0.010578,0.014032,0.021480,0.038118,0.071559,0.140261", \ - "0.009006,0.012393,0.015752,0.022788,0.038776,0.071743,0.140274", \ - "0.011018,0.014562,0.017988,0.024820,0.039929,0.071900,0.140353"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("7.510901,8.577651,9.271832,10.835020,11.767010,11.843050,11.880030", \ - "7.412443,8.458659,9.143789,10.742590,11.657280,11.718020,11.761760", \ - "7.425705,8.437249,9.127671,10.675680,11.664030,11.742450,11.787930", \ - "7.740121,8.952854,9.677095,11.224590,12.137100,12.229530,12.282580", \ - "8.520282,9.667237,10.575740,12.362930,13.171840,13.257480,13.309930", \ - "10.051630,11.083710,12.026880,13.898980,14.861590,14.989210,15.037640", \ - "12.164930,13.136050,14.246240,16.315850,17.086840,17.456920,17.492550"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("7.325979,7.966862,8.191785,8.300481,8.361991,8.394469,8.410214", \ - "7.169225,7.852886,8.070989,8.182621,8.247402,8.275244,8.290054", \ - "7.210921,7.840302,8.042721,8.174923,8.246560,8.294232,8.318423", \ - "7.549936,8.332895,8.545311,8.659132,8.724406,8.781257,8.812446", \ - "8.214065,8.973752,9.362583,9.677439,9.761141,9.805718,9.844906", \ - "9.709792,10.328130,10.753550,11.092040,11.405710,11.490560,11.528140", \ - "11.895090,12.414630,12.871790,13.291510,13.602700,13.952880,13.979330"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); - values ("4.642455,5.144927,5.354199,5.425045,5.344905,5.249872,5.020976", \ - "4.540413,5.111600,5.250415,5.275851,5.336133,5.281344,5.057287", \ - "4.578879,5.070257,5.142532,5.266648,5.306908,5.206191,4.981156", \ - "5.041174,5.494588,5.573024,5.652651,5.670562,5.463683,5.627050", \ - "6.147803,6.645309,6.655385,6.648980,6.663133,6.688238,6.488514", \ - "7.757856,8.341040,8.426515,8.360797,8.280436,7.887379,8.230172", \ - "9.930766,10.370310,10.817820,10.925460,11.046250,10.814840,10.888630"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATE_X4 - Cell Description : Pos.edge clock gating cell with drive strength X4 - *******************************************************************************************/ - - cell (CLKGATE_X4) { - - drive_strength : 4; - - statetable ("CK E","IQ") { - table : "L L : - : L ,\ - L H : - : H ,\ - H - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 109.651830; - - leakage_power () { - when : "!CK & !E & !GCK"; - value : 84.943980; - } - leakage_power () { - when : "!CK & E & !GCK"; - value : 134.591292; - } - leakage_power () { - when : "CK & !E & !GCK"; - value : 85.924663; - } - leakage_power () { - when : "CK & !E & GCK"; - value : 125.307160; - } - leakage_power () { - when : "CK & E & !GCK"; - value : 96.448352; - } - leakage_power () { - when : "CK & E & GCK"; - value : 130.695532; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 4.253856; - fall_capacitance : 3.970974; - rise_capacitance : 4.253856; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.063137,0.083381,0.198733"); - } - } - - internal_power () { - - when : "!E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.386284,5.363267,5.398686,5.609587,6.015398,6.656357,7.580317"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.254124,0.221281,0.224122,0.377362,0.760572,1.420934,2.377853"); - } - - } - - internal_power () { - - when : "E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.111640,11.072880,11.102960,11.326000,11.772080,12.478430,13.626680"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 0.881805; - fall_capacitance : 0.828228; - rise_capacitance : 0.881805; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.021109,-0.013490,-0.018288", \ - "-0.023310,-0.020751,-0.033860", \ - "0.114866,0.117161,0.085560"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.002436,0.002132,-0.001821", \ - "0.005004,0.012304,0.010014", \ - "0.084510,0.091624,0.092540"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.053322,0.045905,0.045405", \ - "0.071054,0.063281,0.063316", \ - "0.114534,0.107421,0.106511"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.058511,0.057568,0.089819", \ - "0.070442,0.069131,0.100970", \ - "0.084177,0.081884,0.113492"); - } - } - - internal_power () { - - when : "!CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.510185,6.495909,6.473547,6.487492,6.650784,6.993095,7.523808"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.619952,5.593647,5.568720,5.596522,5.750508,6.072149,6.582332"); - } - - } - - internal_power () { - - when : "CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.544768,0.528194,0.520114,0.516363,0.513291,0.512948,0.510908"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466703,-0.470724,-0.472468,-0.473546,-0.474853,-0.475295,-0.476180"); - } - - } - - internal_power () { - - when : "CK & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481639,0.488436,0.490433,0.490162,0.489329,0.489749,0.489345"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.323718,-0.372044,-0.411306,-0.427136,-0.435663,-0.441261,-0.444987"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - state_function : "(CK & IQ)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "E"; - sdf_cond : "(E == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021096,0.027765,0.033507,0.043970,0.064013,0.103736,0.183063", \ - "0.022615,0.029276,0.035018,0.045485,0.065531,0.105250,0.184586", \ - "0.028976,0.035559,0.041278,0.051753,0.071824,0.111568,0.190913", \ - "0.038860,0.045859,0.051765,0.062320,0.082369,0.122077,0.201419", \ - "0.049174,0.056844,0.063131,0.074031,0.094273,0.133955,0.213225", \ - "0.060281,0.068667,0.075480,0.086909,0.107385,0.147142,0.226383", \ - "0.072331,0.081442,0.088890,0.101149,0.122244,0.162160,0.241483"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.017746,0.023759,0.028946,0.038472,0.056851,0.093337,0.166215", \ - "0.018978,0.024983,0.030171,0.039696,0.058067,0.094569,0.167434", \ - "0.023814,0.029786,0.034951,0.044464,0.062851,0.099344,0.172229", \ - "0.028986,0.035447,0.040741,0.050351,0.068770,0.105203,0.178069", \ - "0.032696,0.040049,0.045679,0.055360,0.073730,0.110264,0.183079", \ - "0.034822,0.043115,0.049486,0.059655,0.078082,0.114502,0.187396", \ - "0.035184,0.044362,0.051595,0.062813,0.081654,0.118181,0.191057"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004310,0.008114,0.012049,0.020169,0.037055,0.071458,0.140438", \ - "0.004314,0.008117,0.012051,0.020171,0.037058,0.071468,0.140435", \ - "0.004368,0.008165,0.012083,0.020185,0.037065,0.071458,0.140443", \ - "0.005526,0.009057,0.012713,0.020458,0.037112,0.071464,0.140433", \ - "0.007034,0.010508,0.013957,0.021349,0.037561,0.071522,0.140429", \ - "0.008765,0.012325,0.015667,0.022601,0.038173,0.071895,0.140472", \ - "0.010786,0.014507,0.017909,0.024549,0.039378,0.072378,0.140769"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004458,0.008214,0.012171,0.020404,0.037512,0.072144,0.141528", \ - "0.004456,0.008219,0.012182,0.020393,0.037467,0.072143,0.141508", \ - "0.004675,0.008319,0.012238,0.020420,0.037527,0.072145,0.141517", \ - "0.005881,0.009131,0.012801,0.020794,0.037592,0.072126,0.141515", \ - "0.007416,0.010655,0.013818,0.021220,0.037873,0.072274,0.141505", \ - "0.009250,0.012791,0.015789,0.022348,0.038267,0.072530,0.141656", \ - "0.011422,0.015243,0.018495,0.024479,0.039274,0.072984,0.141963"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E"; - sdf_cond : "(E == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.021091,0.027762,0.033501,0.043960,0.064106,0.104326,0.183513", \ - "0.022614,0.029273,0.035012,0.045474,0.065624,0.105842,0.185034", \ - "0.028978,0.035558,0.041275,0.051741,0.071911,0.112158,0.191361", \ - "0.038851,0.045855,0.051757,0.062310,0.082454,0.122665,0.201867", \ - "0.049174,0.056841,0.063122,0.074017,0.094379,0.134534,0.213668", \ - "0.060279,0.068661,0.075471,0.086889,0.107513,0.147718,0.226777", \ - "0.072338,0.081435,0.088884,0.101121,0.122421,0.162702,0.241682"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004314,0.008110,0.012041,0.020176,0.037520,0.071741,0.140182", \ - "0.004311,0.008112,0.012043,0.020177,0.037520,0.071736,0.140170", \ - "0.004365,0.008161,0.012075,0.020187,0.037517,0.071744,0.140174", \ - "0.005524,0.009054,0.012707,0.020461,0.037569,0.071741,0.140169", \ - "0.007032,0.010503,0.013945,0.021350,0.038050,0.071758,0.140163", \ - "0.008762,0.012317,0.015654,0.022610,0.038723,0.072010,0.140184", \ - "0.010783,0.014495,0.017886,0.024573,0.039996,0.072297,0.140284"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.753120,13.273500,14.069870,16.088860,18.857430,19.067980,19.138840", \ - "11.565300,13.078650,13.876060,15.875950,18.654710,18.882920,18.944940", \ - "11.486540,13.051280,13.800780,15.837440,18.662490,18.910300,18.984190", \ - "12.153080,13.841260,14.603530,16.665880,19.426450,19.675970,19.777480", \ - "13.464710,14.910800,15.947510,18.458090,21.092910,21.345520,21.448910", \ - "16.012890,17.322780,18.400200,20.953710,23.870220,24.165610,24.268330", \ - "19.662200,20.750610,21.970790,24.883420,27.559440,28.252530,28.314690"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.547010,12.741720,13.091990,13.289420,13.406770,13.469950,13.511130", \ - "11.387610,12.547380,12.904050,13.089830,13.224510,13.296630,13.315370", \ - "11.487020,12.508680,12.828710,13.060530,13.219900,13.308000,13.354660", \ - "12.028900,13.285150,13.629550,13.820750,13.979720,14.069750,14.142280", \ - "13.287260,14.362190,14.955430,15.495730,15.648350,15.739550,15.819110", \ - "15.760550,16.654460,17.262200,17.805080,18.309840,18.494460,18.562460", \ - "19.480530,20.053690,20.757830,21.433980,21.933320,22.494980,22.555960"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.466016,8.498037,8.701974,8.799155,9.040878,9.107742,8.690909", \ - "7.363635,8.367965,8.545160,8.708013,8.468642,7.831872,8.777047", \ - "7.338219,8.326995,8.543111,8.598161,8.035707,7.963851,8.656410", \ - "8.168032,8.942107,9.104315,9.237445,8.684776,8.878297,8.469334", \ - "10.011890,10.720520,11.020380,10.664430,10.674430,10.270270,9.897666", \ - "12.451720,13.579760,13.906470,13.424430,13.492150,13.220600,12.784340", \ - "16.083600,16.782620,17.561110,17.940620,18.050280,17.691450,17.226360"); - } - } - } - - } - - - /****************************************************************************************** - Module : CLKGATE_X8 - Cell Description : Pos.edge clock gating cell with drive strength X8 - *******************************************************************************************/ - - cell (CLKGATE_X8) { - - drive_strength : 8; - - statetable ("CK E","IQ") { - table : "L L : - : L ,\ - L H : - : H ,\ - H - : - : N " ; - } - clock_gating_integrated_cell : latch_posedge; - - area : 6.916000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 197.707057; - - leakage_power () { - when : "!CK & !E & !GCK"; - value : 153.665600; - } - leakage_power () { - when : "!CK & E & !GCK"; - value : 241.763489; - } - leakage_power () { - when : "CK & !E & !GCK"; - value : 155.739221; - } - leakage_power () { - when : "CK & !E & GCK"; - value : 229.145730; - } - leakage_power () { - when : "CK & E & !GCK"; - value : 169.680225; - } - leakage_power () { - when : "CK & E & GCK"; - value : 236.248078; - } - - pin (IQ) { - - direction : internal; - internal_node : IQ; - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_clock_pin : true; - capacitance : 7.654296; - fall_capacitance : 7.229167; - rise_capacitance : 7.654296; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.066189,0.088297,0.198733"); - } - } - - internal_power () { - - when : "!E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.336135,8.331054,8.359991,8.559856,8.946754,9.562062,10.455090"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.056600,-1.078650,-1.089400,-0.960035,-0.601960,0.033998,0.966099"); - } - - } - - internal_power () { - - when : "E & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("17.298080,17.262730,17.285400,17.503660,17.967750,18.698860,19.943890"); - } - rise_power(scalar) {values ("0.0"); - } - - } - } - - pin (E) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock_gate_enable_pin : true; - capacitance : 1.162619; - fall_capacitance : 1.093689; - rise_capacitance : 1.162619; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.019261,-0.012551,-0.018574", \ - "-0.019305,-0.017338,-0.032260", \ - "0.110839,0.111866,0.080800"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.001763,0.003728,0.000433", \ - "0.006895,0.014512,0.012263", \ - "0.077076,0.083527,0.083973"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.058817,0.051429,0.051347", \ - "0.076260,0.068823,0.069278", \ - "0.121968,0.115519,0.115078"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.059122,0.058795,0.091696", \ - "0.071360,0.070979,0.102852", \ - "0.088204,0.087178,0.118251"); - } - } - - internal_power () { - - when : "!CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.535830,11.516320,11.487850,11.507970,11.801830,12.408510,13.287400"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.708541,8.675344,8.648359,8.715196,8.975309,9.484343,10.273520"); - } - - } - - internal_power () { - - when : "CK & !GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.734728,0.711827,0.698760,0.693640,0.690910,0.688735,0.687507"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.628302,-0.631724,-0.633491,-0.635126,-0.637063,-0.637420,-0.638524"); - } - - } - - internal_power () { - - when : "CK & GCK"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649525,0.657368,0.659319,0.659004,0.658287,0.658643,0.657704"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.434407,-0.498520,-0.551281,-0.573473,-0.584545,-0.592286,-0.597453"); - } - - } - } - - pin (GCK) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 484.619000; - state_function : "(CK & IQ)"; - clock_gate_out_pin : true; - - timing () { - - related_pin : "CK"; - when : "E"; - sdf_cond : "(E == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.021193,0.028150,0.033931,0.044444,0.064545,0.104347,0.183838", \ - "0.022736,0.029683,0.035465,0.045984,0.066090,0.105894,0.185395", \ - "0.029107,0.035965,0.041732,0.052259,0.072383,0.112220,0.191724", \ - "0.038973,0.046263,0.052210,0.062816,0.082923,0.122727,0.202226", \ - "0.049308,0.057266,0.063586,0.074534,0.094845,0.134625,0.214049", \ - "0.060440,0.069119,0.075962,0.087429,0.107973,0.147830,0.227236", \ - "0.072530,0.081954,0.089422,0.101698,0.122854,0.162859,0.242352"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.017788,0.024108,0.029368,0.038981,0.057468,0.094120,0.167317", \ - "0.019023,0.025337,0.030597,0.040218,0.058699,0.095353,0.168558", \ - "0.023854,0.030131,0.035373,0.044981,0.063469,0.100129,0.173353", \ - "0.028995,0.035749,0.041116,0.050828,0.069354,0.105977,0.179173", \ - "0.032694,0.040339,0.046022,0.055798,0.074301,0.111015,0.184154", \ - "0.034810,0.043408,0.049810,0.060065,0.078624,0.115228,0.188457", \ - "0.035158,0.044666,0.051922,0.063186,0.082156,0.118873,0.192073"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.004251,0.008188,0.012131,0.020265,0.037173,0.071637,0.140763", \ - "0.004249,0.008188,0.012132,0.020266,0.037167,0.071640,0.140765", \ - "0.004299,0.008237,0.012164,0.020279,0.037173,0.071638,0.140762", \ - "0.005443,0.009115,0.012791,0.020552,0.037224,0.071637,0.140750", \ - "0.006943,0.010545,0.014019,0.021436,0.037685,0.071698,0.140740", \ - "0.008678,0.012350,0.015715,0.022675,0.038297,0.072068,0.140797", \ - "0.010702,0.014527,0.017944,0.024612,0.039496,0.072555,0.141089"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.004415,0.008332,0.012318,0.020570,0.037702,0.072485,0.142209", \ - "0.004411,0.008334,0.012319,0.020579,0.037710,0.072481,0.142174", \ - "0.004630,0.008437,0.012380,0.020596,0.037693,0.072475,0.142227", \ - "0.005791,0.009216,0.012941,0.020973,0.037818,0.072491,0.142169", \ - "0.007323,0.010686,0.013924,0.021400,0.038110,0.072638,0.142183", \ - "0.009163,0.012808,0.015847,0.022505,0.038480,0.072898,0.142284", \ - "0.011348,0.015254,0.018519,0.024576,0.039501,0.073341,0.142600"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : combinational_fall; - when : "!E"; - sdf_cond : "(E == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.021192,0.028147,0.033924,0.044432,0.064564,0.104968,0.184329", \ - "0.022736,0.029678,0.035459,0.045972,0.066109,0.106518,0.185875", \ - "0.029106,0.035963,0.041726,0.052247,0.072406,0.112837,0.192212", \ - "0.038973,0.046261,0.052203,0.062804,0.082938,0.123344,0.202717", \ - "0.049312,0.057263,0.063579,0.074521,0.094861,0.135239,0.214540", \ - "0.060438,0.069115,0.075952,0.087413,0.107975,0.148467,0.227688", \ - "0.072531,0.081948,0.089412,0.101681,0.122859,0.163564,0.242731"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("0.004248,0.008185,0.012127,0.020258,0.037439,0.072084,0.140480", \ - "0.004245,0.008188,0.012130,0.020259,0.037440,0.072079,0.140479", \ - "0.004297,0.008234,0.012161,0.020271,0.037437,0.072075,0.140485", \ - "0.005443,0.009111,0.012783,0.020540,0.037473,0.072090,0.140493", \ - "0.006949,0.010542,0.014011,0.021425,0.037943,0.072142,0.140481", \ - "0.008671,0.012346,0.015706,0.022661,0.038595,0.072474,0.140513", \ - "0.010704,0.014527,0.017933,0.024595,0.039869,0.072942,0.140616"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "!E"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("20.592370,23.437440,24.658240,27.434850,33.991360,34.691810,34.808490", \ - "20.440690,23.201910,24.332990,27.130230,33.667510,34.393660,34.518400", \ - "20.322360,23.120330,24.252740,27.030070,33.673550,34.413030,34.560340", \ - "21.480900,24.427410,25.605060,28.191590,34.911870,35.759300,35.954300", \ - "23.759200,26.387710,27.937710,31.193180,37.887920,38.657450,38.861300", \ - "28.435000,30.532990,31.940720,35.328010,42.613290,43.570760,43.765940", \ - "35.001410,36.721470,38.108410,41.967210,49.162800,50.821610,50.945330"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "CK"; - when : "E"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("20.479400,22.979740,23.720340,24.188230,24.421120,24.530100,24.594510", \ - "20.347160,22.668850,23.415590,23.860990,24.117000,24.231370,24.285180", \ - "20.299140,22.619040,23.295860,23.769470,24.100320,24.253430,24.355110", \ - "21.441420,23.921390,24.639100,25.107840,25.380090,25.589740,25.704140", \ - "23.773170,25.746660,26.905100,27.979030,28.304840,28.489700,28.641630", \ - "28.367290,29.839300,31.032220,32.039620,33.008910,33.322010,33.442620", \ - "35.005350,36.070590,37.076110,38.390150,39.343540,40.381120,40.462040"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); - values ("13.226780,15.257410,15.727250,15.999390,16.162170,14.783880,15.196410", \ - "12.876850,14.922210,15.561630,15.782080,13.603400,16.255810,15.413000", \ - "13.010450,14.905810,15.303480,15.297390,15.487650,15.289730,15.171130", \ - "14.556020,15.892670,16.335580,16.562150,16.746300,16.603120,14.665700", \ - "17.523140,19.315160,19.271740,19.263660,18.140200,18.977870,19.752300", \ - "22.285790,24.291250,24.804110,24.292490,23.928360,24.155360,22.144840", \ - "28.421490,30.097360,31.468680,30.883020,32.045840,30.730340,29.966610"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFRS_X1 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active low set, and drive strength X1 - *******************************************************************************************/ - - cell (DFFRS_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - preset : "!SN"; - clear : "!RN"; - clear_preset_var1 : L; - clear_preset_var2 : L; - } - - area : 6.384000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 100.161505; - - leakage_power () { - when : "!CK & !D & !RN & !SN & !Q & !QN"; - value : 94.362950; - } - leakage_power () { - when : "!CK & !D & !RN & SN & !Q & QN"; - value : 101.132240; - } - leakage_power () { - when : "!CK & !D & RN & !SN & Q & !QN"; - value : 98.172481; - } - leakage_power () { - when : "!CK & !D & RN & SN & !Q & QN"; - value : 104.373313; - } - leakage_power () { - when : "!CK & !D & RN & SN & Q & !QN"; - value : 114.065413; - } - leakage_power () { - when : "!CK & D & !RN & !SN & !Q & !QN"; - value : 91.009292; - } - leakage_power () { - when : "!CK & D & !RN & SN & !Q & QN"; - value : 97.275442; - } - leakage_power () { - when : "!CK & D & RN & !SN & Q & !QN"; - value : 92.776134; - } - leakage_power () { - when : "!CK & D & RN & SN & !Q & QN"; - value : 102.509594; - } - leakage_power () { - when : "!CK & D & RN & SN & Q & !QN"; - value : 107.065134; - } - leakage_power () { - when : "CK & !D & !RN & !SN & !Q & !QN"; - value : 86.546394; - } - leakage_power () { - when : "CK & !D & !RN & SN & !Q & QN"; - value : 104.963254; - } - leakage_power () { - when : "CK & !D & RN & !SN & Q & !QN"; - value : 79.114948; - } - leakage_power () { - when : "CK & !D & RN & SN & !Q & QN"; - value : 108.720667; - } - leakage_power () { - when : "CK & !D & RN & SN & Q & !QN"; - value : 92.885309; - } - leakage_power () { - when : "CK & D & !RN & !SN & !Q & !QN"; - value : 100.481788; - } - leakage_power () { - when : "CK & D & !RN & SN & !Q & QN"; - value : 118.905578; - } - leakage_power () { - when : "CK & D & RN & !SN & Q & !QN"; - value : 86.219848; - } - leakage_power () { - when : "CK & D & RN & SN & !Q & QN"; - value : 122.660681; - } - leakage_power () { - when : "CK & D & RN & SN & Q & !QN"; - value : 99.989648; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.148034; - fall_capacitance : 1.081549; - rise_capacitance : 1.148034; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.002921,0.012421,0.011913", \ - "0.002707,0.008886,0.005388", \ - "0.139993,0.148595,0.137370"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.004193,0.015978,0.019836", \ - "0.020266,0.031864,0.035343", \ - "0.099118,0.113075,0.120979"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.035796,0.022374,0.016844", \ - "0.053506,0.040248,0.034464", \ - "0.099884,0.085931,0.078050"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.031279,0.027534,0.043662", \ - "0.043252,0.038059,0.052011", \ - "0.059079,0.050478,0.061706"); - } - } - - internal_power () { - - when : "!CK & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.700984,3.680771,3.652667,3.664702,3.800293,4.127745,4.668159"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.966641,1.931381,1.897523,1.911210,2.036485,2.345961,2.867936"); - } - - } - - internal_power () { - - when : "!CK & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.640336,4.619842,4.590808,4.600563,4.740882,5.083954,5.650226"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.818687,3.783700,3.749939,3.770521,3.929476,4.289507,4.875490"); - } - - } - - internal_power () { - - when : "!CK & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.700941,3.680802,3.652647,3.664896,3.800356,4.127589,4.668252"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.894156,1.857580,1.823870,1.836682,1.964234,2.273438,2.794628"); - } - - } - - internal_power () { - - when : "!CK & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.653297,4.632662,4.603309,4.615072,4.752025,5.096375,5.660669"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.914531,3.879367,3.845372,3.865055,4.023341,4.381531,4.965579"); - } - - } - - internal_power () { - - when : "!CK & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.593704,4.575076,4.546336,4.556422,4.696963,5.043697,5.609427"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.875800,3.840508,3.804585,3.824104,3.978978,4.332377,4.913124"); - } - - } - - internal_power () { - - when : "CK & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.735945,0.709529,0.699785,0.693805,0.690626,0.688318,0.685864"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.628069,-0.631636,-0.634961,-0.637413,-0.639689,-0.640641,-0.642185"); - } - - } - - internal_power () { - - when : "CK & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.735216,0.708692,0.698708,0.694030,0.690071,0.688492,0.686541"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.627658,-0.631669,-0.634867,-0.637335,-0.639609,-0.640551,-0.642088"); - } - - } - - internal_power () { - - when : "CK & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650583,0.657859,0.659605,0.658597,0.658156,0.657604,0.657311"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.432497,-0.497044,-0.550043,-0.572687,-0.585609,-0.593261,-0.598882"); - } - - } - - internal_power () { - - when : "CK & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.732537,0.709313,0.699624,0.692361,0.690435,0.688117,0.686084"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.628356,-0.632966,-0.635373,-0.637693,-0.639710,-0.640719,-0.642403"); - } - - } - - internal_power () { - - when : "CK & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650211,0.658089,0.659575,0.658704,0.658276,0.657758,0.657415"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.433339,-0.496840,-0.547581,-0.572050,-0.584608,-0.591976,-0.597955"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.407088; - fall_capacitance : 1.407088; - rise_capacitance : 1.380801; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.048842,-0.062900,-0.069655", \ - "-0.026418,-0.041044,-0.048666", \ - "0.082167,0.059986,0.048370"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.139506,0.149456,0.157864", \ - "0.149192,0.159240,0.167849", \ - "0.215929,0.225550,0.232879"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.162641,0.191834,0.307155"); - } - } - - internal_power () { - - when : "!CK & !D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.674730,0.683691,0.687230,0.688622,0.689371,0.689637,0.689267"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.491396,-0.490554,-0.495121,-0.493238,-0.496547,-0.494936,-0.490274"); - } - - } - - internal_power () { - - when : "!CK & D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.682684,0.688167,0.690093,0.691801,0.692782,0.693310,0.693001"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.425668,-0.432995,-0.449198,-0.456062,-0.458838,-0.459144,-0.455860"); - } - - } - - internal_power () { - - when : "CK & !D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.694517,0.694033,0.693750,0.694113,0.694791,0.695016,0.693698"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.477447,-0.481063,-0.484298,-0.484877,-0.484276,-0.483429,-0.483686"); - } - - } - - internal_power () { - - when : "CK & D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.693751,0.693269,0.692788,0.693334,0.694031,0.694252,0.692926"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.479749,-0.483270,-0.486587,-0.487097,-0.486534,-0.485651,-0.486040"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.211875; - fall_capacitance : 2.095827; - rise_capacitance : 2.211875; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.072348,-0.084692,-0.091551", \ - "-0.070003,-0.082398,-0.089232", \ - "-0.037947,-0.055108,-0.065027"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.184380,0.196724,0.203845", \ - "0.239124,0.251513,0.258415", \ - "0.431133,0.443790,0.450703"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.128456,0.157424,0.273843"); - } - } - - internal_power () { - - when : "!CK & !D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.711319,2.648116,2.647185,2.810294,3.138996,3.681616,4.462464"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.029043,0.002571,-0.007162,0.101500,0.394426,0.918834,1.687814"); - } - - } - - internal_power () { - - when : "!CK & D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.260117,1.236931,1.226619,1.221743,1.218841,1.216676,1.213984"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.928999,-0.929874,-0.932103,-0.936556,-0.943378,-0.952979,-0.966069"); - } - - } - - internal_power () { - - when : "CK & !D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.234669,1.222642,1.217798,1.214352,1.211968,1.210126,1.208230"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.784911,-0.785463,-0.787414,-0.791279,-0.797025,-0.805079,-0.816137"); - } - - } - - internal_power () { - - when : "CK & D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.234945,1.222933,1.218083,1.214629,1.212235,1.210399,1.208500"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.755867,-0.756402,-0.758070,-0.762051,-0.767596,-0.775370,-0.786054"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.963316; - fall_capacitance : 0.866687; - rise_capacitance : 0.963316; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.053674,0.069556,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.039023,0.044977,0.198733"); - } - } - - internal_power () { - - when : "!D & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.655675,5.629763,5.650214,5.829456,6.198066,6.784777,7.645661"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.799977,4.781045,4.772661,4.904219,5.261932,5.893957,6.824397"); - } - - } - - internal_power () { - - when : "!D & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.925944,3.899817,3.920071,4.100836,4.466124,5.053097,5.904778"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.711157,3.691929,3.679862,3.803481,4.147120,4.759943,5.666132"); - } - - } - - internal_power () { - - when : "!D & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.284548,6.260636,6.283329,6.476766,6.858169,7.463504,8.328368"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("16.291150,16.271810,16.265560,16.396670,16.752060,17.382210,18.312530"); - } - - } - - internal_power () { - - when : "!D & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.924902,3.898950,3.919180,4.099637,4.464905,5.051787,5.903660"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.718044,3.696145,3.688724,3.808226,4.151068,4.763814,5.669746"); - } - - } - - internal_power () { - - when : "!D & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.461673,7.434337,7.454681,7.645730,8.028998,8.629640,9.494879"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.198756,7.172177,7.190037,7.375403,7.753645,8.353466,9.229177"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.013372,7.993001,7.961277,8.066370,8.395454,9.002497,9.925730"); - } - - } - - internal_power () { - - when : "D & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.286696,7.261317,7.278621,7.466683,7.847591,8.459398,9.347683"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.200700,11.179720,11.138350,11.239300,11.574500,12.197590,13.145580"); - } - - } - - internal_power () { - - when : "D & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.717592,3.693680,3.712515,3.891930,4.256124,4.835909,5.680015"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.876989,3.858574,3.849766,3.970310,4.304336,4.908130,5.808924"); - } - - } - - internal_power () { - - when : "D & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.410255,7.380466,7.398288,7.586509,7.968308,8.578798,9.466097"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.720253,3.696153,3.716335,3.891775,4.254891,4.836067,5.680144"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.885373,3.866769,3.857982,3.979215,4.312856,4.916287,5.817220"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.653700; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0817387,0.0860450,0.0902297,0.0970570,0.108415,0.128331,0.165509", \ - "0.0832196,0.0875191,0.0917050,0.0985381,0.109898,0.129807,0.166989", \ - "0.0882260,0.0925315,0.0967144,0.103545,0.114904,0.134814,0.171999", \ - "0.0937075,0.0980073,0.102189,0.109022,0.120381,0.140297,0.177475", \ - "0.0979171,0.102216,0.106409,0.113240,0.124592,0.144502,0.181684", \ - "0.100676,0.104977,0.109141,0.115972,0.127332,0.147241,0.184422", \ - "0.101425,0.105729,0.109907,0.116737,0.128103,0.148003,0.185183"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0745793,0.0802991,0.0861737,0.0965222,0.115561,0.152228,0.225022", \ - "0.0760663,0.0817866,0.0876606,0.0980094,0.117048,0.153715,0.226508", \ - "0.0810405,0.0867616,0.0926369,0.102987,0.122024,0.158692,0.231487", \ - "0.0863539,0.0920750,0.0979494,0.108299,0.127342,0.164007,0.236806", \ - "0.0902142,0.0959353,0.101810,0.112164,0.131192,0.167862,0.240664", \ - "0.0925447,0.0982706,0.104147,0.114497,0.133531,0.170202,0.243004", \ - "0.0929343,0.0986598,0.104537,0.114895,0.133932,0.170603,0.243406"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.00576506,0.00760231,0.00963331,0.0133941,0.0207148,0.0353635,0.0660872", \ - "0.00576160,0.00761004,0.00963303,0.0133942,0.0207172,0.0353624,0.0660843", \ - "0.00576426,0.00760313,0.00963444,0.0133910,0.0207189,0.0353611,0.0660902", \ - "0.00576385,0.00760657,0.00963412,0.0133910,0.0207178,0.0353685,0.0660822", \ - "0.00576113,0.00760322,0.00963665,0.0133942,0.0207171,0.0353697,0.0660747", \ - "0.00576659,0.00760594,0.00963566,0.0133923,0.0207190,0.0353623,0.0660813", \ - "0.00576706,0.00760621,0.00963615,0.0133926,0.0207160,0.0353652,0.0661024"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.00662484,0.0100825,0.0140573,0.0219932,0.0383415,0.0722239,0.141240", \ - "0.00662509,0.0100822,0.0140574,0.0219922,0.0383429,0.0722236,0.141244", \ - "0.00662285,0.0100848,0.0140570,0.0219945,0.0383414,0.0722223,0.141245", \ - "0.00662770,0.0100865,0.0140596,0.0219956,0.0383414,0.0722245,0.141243", \ - "0.00663154,0.0100813,0.0140567,0.0219999,0.0383463,0.0722234,0.141239", \ - "0.00663444,0.0100929,0.0140652,0.0220005,0.0383462,0.0722211,0.141250", \ - "0.00664430,0.0101030,0.0140791,0.0220083,0.0383469,0.0722270,0.141243"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.026784,0.030831,0.034768,0.041210,0.051992,0.071239,0.108129", \ - "0.028350,0.032397,0.036333,0.042776,0.053558,0.072802,0.109699", \ - "0.034566,0.038599,0.042525,0.048969,0.059763,0.079011,0.115915", \ - "0.046426,0.050612,0.054630,0.061142,0.071994,0.091257,0.128145", \ - "0.059123,0.063855,0.068396,0.075603,0.087137,0.106786,0.143668", \ - "0.072146,0.077377,0.082424,0.090399,0.102838,0.123219,0.160402", \ - "0.085836,0.091524,0.097076,0.105856,0.119366,0.140796,0.178423"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.027392,0.032931,0.038629,0.048720,0.067448,0.104003,0.176851", \ - "0.028669,0.034209,0.039905,0.049994,0.068723,0.105283,0.178129", \ - "0.033708,0.039236,0.044915,0.054978,0.073678,0.110243,0.183089", \ - "0.042125,0.047807,0.053560,0.063634,0.082257,0.118715,0.191538", \ - "0.049314,0.055526,0.061607,0.071888,0.090560,0.126968,0.199665", \ - "0.054889,0.061668,0.068349,0.079149,0.097927,0.134200,0.206840", \ - "0.058834,0.066124,0.073475,0.085196,0.104490,0.140734,0.213215"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.005141,0.006907,0.008859,0.012513,0.019670,0.034515,0.065781", \ - "0.005139,0.006907,0.008858,0.012514,0.019677,0.034524,0.065779", \ - "0.005148,0.006921,0.008873,0.012527,0.019670,0.034527,0.065779", \ - "0.006048,0.007640,0.009421,0.012878,0.019872,0.034593,0.065794", \ - "0.007929,0.009578,0.011364,0.014659,0.021194,0.035203,0.065886", \ - "0.009931,0.011679,0.013534,0.016832,0.023091,0.036478,0.066398", \ - "0.012125,0.013977,0.015935,0.019340,0.025475,0.038199,0.067158"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.006246,0.009611,0.013508,0.021387,0.037901,0.072169,0.141394", \ - "0.006248,0.009609,0.013512,0.021381,0.037895,0.072187,0.141405", \ - "0.006247,0.009611,0.013520,0.021394,0.037900,0.072184,0.141417", \ - "0.006999,0.010212,0.013977,0.021644,0.037969,0.072181,0.141386", \ - "0.008433,0.011615,0.015121,0.022400,0.038379,0.072299,0.141384", \ - "0.010128,0.013493,0.016964,0.023656,0.038892,0.072542,0.141525", \ - "0.012124,0.015636,0.019360,0.025785,0.039978,0.072868,0.141673"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.027198,0.031389,0.035490,0.042225,0.053534,0.073514,0.110674", \ - "0.028768,0.032956,0.037055,0.043792,0.055104,0.075085,0.112244", \ - "0.034988,0.039157,0.043249,0.049986,0.061309,0.081298,0.118463", \ - "0.046977,0.051292,0.055459,0.062262,0.073633,0.093634,0.130781", \ - "0.059939,0.064840,0.069584,0.077145,0.089254,0.109628,0.146685", \ - "0.073246,0.078694,0.083998,0.092422,0.105601,0.126853,0.164009", \ - "0.087273,0.093224,0.099081,0.108404,0.122849,0.145255,0.182525"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.005357,0.007220,0.009298,0.013155,0.020672,0.035379,0.065892", \ - "0.005357,0.007225,0.009302,0.013155,0.020672,0.035375,0.065884", \ - "0.005364,0.007236,0.009312,0.013165,0.020673,0.035372,0.065881", \ - "0.006263,0.007950,0.009844,0.013509,0.020850,0.035427,0.065882", \ - "0.008269,0.010041,0.011961,0.015455,0.022278,0.035975,0.065908", \ - "0.010402,0.012313,0.014347,0.017902,0.024507,0.037288,0.066165", \ - "0.012756,0.014812,0.016986,0.020727,0.027291,0.038992,0.066533"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.026784,0.030832,0.034768,0.041210,0.051993,0.071239,0.108129", \ - "0.028350,0.032397,0.036333,0.042776,0.053558,0.072802,0.109699", \ - "0.034566,0.038599,0.042525,0.048969,0.059764,0.079012,0.115915", \ - "0.046427,0.050612,0.054630,0.061141,0.071994,0.091257,0.128145", \ - "0.059127,0.063853,0.068396,0.075603,0.087138,0.106787,0.143668", \ - "0.072141,0.077368,0.082425,0.090399,0.102835,0.123217,0.160410", \ - "0.085837,0.091523,0.097072,0.105856,0.119371,0.140797,0.178434"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.027392,0.032931,0.038630,0.048720,0.067446,0.103997,0.176843", \ - "0.028670,0.034206,0.039904,0.049995,0.068722,0.105280,0.178118", \ - "0.033707,0.039234,0.044916,0.054976,0.073676,0.110241,0.183088", \ - "0.042127,0.047808,0.053562,0.063634,0.082258,0.118720,0.191544", \ - "0.049316,0.055530,0.061612,0.071889,0.090564,0.126967,0.199661", \ - "0.054890,0.061669,0.068364,0.079144,0.097926,0.134209,0.206837", \ - "0.058829,0.066124,0.073477,0.085194,0.104476,0.140732,0.213204"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.005141,0.006907,0.008859,0.012513,0.019670,0.034515,0.065780", \ - "0.005139,0.006907,0.008858,0.012514,0.019677,0.034524,0.065779", \ - "0.005148,0.006921,0.008873,0.012527,0.019671,0.034527,0.065779", \ - "0.006048,0.007640,0.009421,0.012881,0.019872,0.034593,0.065794", \ - "0.007928,0.009580,0.011364,0.014658,0.021194,0.035203,0.065886", \ - "0.009932,0.011680,0.013534,0.016830,0.023079,0.036477,0.066398", \ - "0.012125,0.013973,0.015938,0.019340,0.025476,0.038201,0.067159"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.006245,0.009610,0.013508,0.021383,0.037902,0.072179,0.141417", \ - "0.006248,0.009609,0.013509,0.021382,0.037895,0.072193,0.141423", \ - "0.006247,0.009609,0.013517,0.021389,0.037900,0.072187,0.141416", \ - "0.006998,0.010212,0.013977,0.021644,0.037968,0.072187,0.141387", \ - "0.008434,0.011616,0.015121,0.022398,0.038379,0.072299,0.141381", \ - "0.010127,0.013497,0.016961,0.023657,0.038892,0.072542,0.141522", \ - "0.012124,0.015638,0.019359,0.025792,0.039984,0.072871,0.141698"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.027200,0.031392,0.035491,0.042226,0.053536,0.073517,0.110680", \ - "0.028770,0.032958,0.037058,0.043795,0.055107,0.075087,0.112247", \ - "0.034989,0.039163,0.043255,0.049992,0.061315,0.081304,0.118470", \ - "0.046977,0.051294,0.055461,0.062268,0.073637,0.093638,0.130786", \ - "0.059945,0.064850,0.069591,0.077149,0.089257,0.109631,0.146688", \ - "0.073244,0.078694,0.083996,0.092421,0.105608,0.126849,0.164006", \ - "0.087277,0.093228,0.099087,0.108415,0.122861,0.145266,0.182536"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.005357,0.007221,0.009300,0.013156,0.020673,0.035379,0.065892", \ - "0.005359,0.007225,0.009302,0.013155,0.020673,0.035375,0.065884", \ - "0.005364,0.007235,0.009312,0.013166,0.020674,0.035372,0.065882", \ - "0.006263,0.007951,0.009844,0.013510,0.020851,0.035426,0.065878", \ - "0.008268,0.010040,0.011960,0.015454,0.022278,0.035975,0.065908", \ - "0.010402,0.012313,0.014346,0.017900,0.024507,0.037287,0.066167", \ - "0.012756,0.014812,0.016986,0.020725,0.027290,0.038990,0.066535"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.026847,0.030902,0.034847,0.041302,0.052101,0.071356,0.108251", \ - "0.028413,0.032468,0.036413,0.042864,0.053668,0.072924,0.109815", \ - "0.034627,0.038667,0.042602,0.049056,0.059869,0.079128,0.116031", \ - "0.046500,0.050692,0.054715,0.061236,0.072103,0.091382,0.128261", \ - "0.059216,0.063954,0.068499,0.075718,0.087274,0.106934,0.143804", \ - "0.072254,0.077484,0.082548,0.090518,0.102989,0.123389,0.160595", \ - "0.085971,0.091681,0.097233,0.106013,0.119533,0.140981,0.178627"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.143376,0.149003,0.154746,0.164863,0.183585,0.220122,0.292952", \ - "0.144658,0.150287,0.156028,0.166139,0.184862,0.221408,0.294233", \ - "0.147710,0.153343,0.159088,0.169198,0.187915,0.224462,0.297294", \ - "0.152723,0.158368,0.164101,0.174210,0.192928,0.229483,0.302328", \ - "0.159828,0.165462,0.171206,0.181316,0.199992,0.236528,0.309364", \ - "0.168713,0.174345,0.180056,0.190143,0.208810,0.245339,0.318171", \ - "0.180974,0.186765,0.192631,0.202770,0.221444,0.258013,0.330828"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.005151,0.006923,0.008877,0.012536,0.019698,0.034534,0.065779", \ - "0.005152,0.006922,0.008881,0.012536,0.019690,0.034533,0.065770", \ - "0.005160,0.006937,0.008891,0.012544,0.019692,0.034540,0.065784", \ - "0.006049,0.007648,0.009433,0.012899,0.019885,0.034599,0.065794", \ - "0.007937,0.009591,0.011376,0.014681,0.021225,0.035216,0.065894", \ - "0.009938,0.011693,0.013550,0.016846,0.023112,0.036493,0.066404", \ - "0.012135,0.013985,0.015949,0.019356,0.025511,0.038228,0.067152"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.006511,0.009864,0.013736,0.021536,0.037960,0.072200,0.141395", \ - "0.006510,0.009866,0.013731,0.021538,0.037953,0.072202,0.141396", \ - "0.006510,0.009867,0.013732,0.021534,0.037949,0.072175,0.141394", \ - "0.006513,0.009863,0.013734,0.021536,0.037948,0.072195,0.141393", \ - "0.006511,0.009866,0.013734,0.021539,0.037948,0.072185,0.141390", \ - "0.006514,0.009860,0.013730,0.021537,0.037946,0.072169,0.141423", \ - "0.007131,0.010409,0.014167,0.021836,0.038125,0.072243,0.141417"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.027225,0.031440,0.035545,0.042255,0.053474,0.073271,0.110386", \ - "0.028795,0.033007,0.037110,0.043823,0.055046,0.074842,0.111954", \ - "0.035015,0.039211,0.043307,0.050019,0.061251,0.081055,0.118173", \ - "0.047017,0.051354,0.055523,0.062299,0.073575,0.093392,0.130500", \ - "0.060018,0.064944,0.069677,0.077195,0.089186,0.109383,0.146473", \ - "0.073379,0.078826,0.084110,0.092476,0.105498,0.126553,0.164020", \ - "0.087459,0.093411,0.099222,0.108448,0.122686,0.144913,0.182887"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.005392,0.007256,0.009308,0.013094,0.020472,0.035202,0.065994", \ - "0.005394,0.007258,0.009310,0.013094,0.020473,0.035202,0.066003", \ - "0.005398,0.007270,0.009318,0.013105,0.020475,0.035200,0.065988", \ - "0.006309,0.007981,0.009843,0.013438,0.020650,0.035261,0.066007", \ - "0.008340,0.010076,0.011945,0.015355,0.022041,0.035861,0.066106", \ - "0.010490,0.012337,0.014302,0.017748,0.024196,0.037320,0.066654", \ - "0.012848,0.014793,0.016879,0.020497,0.026883,0.039266,0.067488"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.026847,0.030903,0.034847,0.041302,0.052101,0.071356,0.108251", \ - "0.028413,0.032468,0.036413,0.042864,0.053668,0.072924,0.109815", \ - "0.034627,0.038667,0.042602,0.049056,0.059869,0.079128,0.116031", \ - "0.046500,0.050692,0.054715,0.061236,0.072103,0.091382,0.128261", \ - "0.059216,0.063954,0.068499,0.075718,0.087271,0.106934,0.143804", \ - "0.072254,0.077484,0.082548,0.090518,0.102989,0.123396,0.160595", \ - "0.085970,0.091681,0.097233,0.106013,0.119533,0.140981,0.178627"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.143365,0.148992,0.154733,0.164850,0.183571,0.220111,0.292939", \ - "0.144644,0.150267,0.156017,0.166130,0.184848,0.221402,0.294226", \ - "0.147700,0.153330,0.159074,0.169187,0.187907,0.224442,0.297277", \ - "0.152714,0.158350,0.164090,0.174195,0.192912,0.229476,0.302317", \ - "0.159835,0.165448,0.171190,0.181314,0.199974,0.236515,0.309351", \ - "0.168698,0.174316,0.180039,0.190136,0.208794,0.245322,0.318154", \ - "0.180946,0.186753,0.192602,0.202753,0.221424,0.257965,0.330787"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.005151,0.006923,0.008877,0.012536,0.019698,0.034533,0.065782", \ - "0.005152,0.006922,0.008881,0.012536,0.019690,0.034533,0.065770", \ - "0.005160,0.006937,0.008891,0.012544,0.019692,0.034540,0.065788", \ - "0.006049,0.007648,0.009433,0.012899,0.019885,0.034599,0.065791", \ - "0.007937,0.009591,0.011376,0.014681,0.021222,0.035216,0.065894", \ - "0.009938,0.011693,0.013550,0.016846,0.023112,0.036491,0.066404", \ - "0.012131,0.013985,0.015949,0.019356,0.025511,0.038228,0.067152"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.006511,0.009864,0.013734,0.021536,0.037960,0.072199,0.141397", \ - "0.006509,0.009866,0.013731,0.021539,0.037955,0.072202,0.141395", \ - "0.006510,0.009865,0.013735,0.021538,0.037957,0.072193,0.141408", \ - "0.006513,0.009864,0.013734,0.021535,0.037960,0.072187,0.141389", \ - "0.006518,0.009866,0.013734,0.021539,0.037949,0.072185,0.141408", \ - "0.006514,0.009860,0.013730,0.021535,0.037946,0.072171,0.141423", \ - "0.007131,0.010407,0.014170,0.021836,0.038126,0.072245,0.141417"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.027225,0.031440,0.035545,0.042255,0.053474,0.073271,0.110385", \ - "0.028795,0.033007,0.037110,0.043823,0.055046,0.074842,0.111954", \ - "0.035015,0.039211,0.043307,0.050019,0.061251,0.081055,0.118173", \ - "0.047016,0.051354,0.055523,0.062299,0.073575,0.093392,0.130501", \ - "0.060018,0.064944,0.069673,0.077195,0.089186,0.109383,0.146473", \ - "0.073379,0.078826,0.084110,0.092476,0.105498,0.126553,0.164020", \ - "0.087459,0.093411,0.099222,0.108448,0.122686,0.144913,0.182887"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.005392,0.007256,0.009308,0.013094,0.020472,0.035202,0.065994", \ - "0.005394,0.007258,0.009310,0.013094,0.020473,0.035202,0.066003", \ - "0.005398,0.007270,0.009318,0.013105,0.020475,0.035200,0.065989", \ - "0.006307,0.007981,0.009843,0.013438,0.020650,0.035261,0.066010", \ - "0.008340,0.010077,0.011942,0.015355,0.022041,0.035861,0.066106", \ - "0.010490,0.012337,0.014302,0.017748,0.024196,0.037320,0.066655", \ - "0.012848,0.014793,0.016878,0.020497,0.026883,0.039266,0.067488"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.156956,0.163074,0.169175,0.179584,0.198442,0.234984,0.307742", \ - "0.158457,0.164579,0.170678,0.181086,0.199946,0.236474,0.309240", \ - "0.164370,0.170497,0.176595,0.187007,0.205869,0.242408,0.315177", \ - "0.174174,0.180300,0.186403,0.196813,0.215679,0.252214,0.324988", \ - "0.189114,0.195235,0.201328,0.211733,0.230567,0.267089,0.339848", \ - "0.210728,0.216848,0.222941,0.233339,0.252155,0.288652,0.361382", \ - "0.238030,0.244202,0.250329,0.260741,0.279611,0.316097,0.388772"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.007876,0.011213,0.014915,0.022364,0.038347,0.072302,0.141268", \ - "0.007876,0.011213,0.014917,0.022366,0.038347,0.072313,0.141271", \ - "0.007876,0.011213,0.014921,0.022363,0.038355,0.072296,0.141271", \ - "0.007873,0.011218,0.014916,0.022362,0.038351,0.072308,0.141258", \ - "0.007872,0.011216,0.014919,0.022360,0.038351,0.072317,0.141260", \ - "0.007889,0.011225,0.014932,0.022373,0.038353,0.072310,0.141275", \ - "0.008058,0.011379,0.015057,0.022465,0.038392,0.072338,0.141278"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.156970,0.163100,0.169196,0.179602,0.198465,0.234963,0.307731", \ - "0.158482,0.164604,0.170706,0.181114,0.199968,0.236487,0.309242", \ - "0.164394,0.170516,0.176613,0.187025,0.205894,0.242428,0.315179", \ - "0.174162,0.180295,0.186397,0.196808,0.215679,0.252205,0.324974", \ - "0.189081,0.195202,0.201297,0.211704,0.230536,0.267055,0.339806", \ - "0.210667,0.216785,0.222879,0.233269,0.252073,0.288565,0.361285", \ - "0.237948,0.244139,0.250272,0.260675,0.279493,0.315965,0.388608"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.007879,0.011222,0.014918,0.022368,0.038349,0.072317,0.141267", \ - "0.007879,0.011217,0.014919,0.022369,0.038349,0.072313,0.141271", \ - "0.007877,0.011219,0.014924,0.022365,0.038356,0.072300,0.141274", \ - "0.007876,0.011222,0.014920,0.022363,0.038354,0.072309,0.141258", \ - "0.007877,0.011219,0.014923,0.022363,0.038353,0.072299,0.141264", \ - "0.007892,0.011228,0.014931,0.022375,0.038353,0.072315,0.141275", \ - "0.008061,0.011382,0.015058,0.022467,0.038392,0.072337,0.141276"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.159339,0.164985,0.170773,0.180938,0.199646,0.236261,0.309067", \ - "0.160765,0.166420,0.172200,0.182351,0.201066,0.237671,0.310476", \ - "0.166995,0.172651,0.178435,0.188585,0.207294,0.243895,0.316704", \ - "0.176356,0.182030,0.187807,0.197950,0.216657,0.253251,0.326071", \ - "0.186669,0.192335,0.198109,0.208253,0.226972,0.263556,0.336359", \ - "0.198216,0.203871,0.209657,0.219786,0.238512,0.275095,0.347891", \ - "0.211352,0.217015,0.222782,0.232906,0.251652,0.288259,0.361049"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.006544,0.009910,0.013781,0.021579,0.037991,0.072180,0.141226", \ - "0.006538,0.009907,0.013777,0.021575,0.037987,0.072187,0.141223", \ - "0.006532,0.009894,0.013767,0.021572,0.037979,0.072193,0.141221", \ - "0.006530,0.009894,0.013766,0.021568,0.037985,0.072187,0.141231", \ - "0.006531,0.009893,0.013765,0.021568,0.037977,0.072187,0.141220", \ - "0.006529,0.009896,0.013765,0.021569,0.037976,0.072171,0.141228", \ - "0.006533,0.009898,0.013766,0.021560,0.037963,0.072162,0.141223"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.159443,0.165090,0.170869,0.181029,0.199731,0.236335,0.309121", \ - "0.160862,0.166518,0.172296,0.182443,0.201148,0.237741,0.310533", \ - "0.167090,0.172743,0.178531,0.188676,0.207376,0.243968,0.316760", \ - "0.176450,0.182119,0.187899,0.198037,0.216734,0.253320,0.326124", \ - "0.186752,0.192416,0.198187,0.208331,0.227050,0.263620,0.336408", \ - "0.198291,0.203943,0.209730,0.219857,0.238578,0.275149,0.347937", \ - "0.211418,0.217079,0.222847,0.232969,0.251710,0.288310,0.361094"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.006545,0.009910,0.013780,0.021579,0.037990,0.072179,0.141221", \ - "0.006539,0.009905,0.013776,0.021574,0.037988,0.072197,0.141222", \ - "0.006533,0.009895,0.013768,0.021572,0.037982,0.072195,0.141221", \ - "0.006531,0.009893,0.013766,0.021568,0.037985,0.072186,0.141232", \ - "0.006532,0.009894,0.013766,0.021567,0.037979,0.072187,0.141221", \ - "0.006530,0.009893,0.013765,0.021569,0.037981,0.072172,0.141228", \ - "0.006533,0.009896,0.013763,0.021558,0.037963,0.072170,0.141218"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("5.851047,5.901936,5.929059,5.947898,5.964005,5.972562,6.037449", \ - "5.850777,5.878643,5.902412,5.937901,5.954234,5.962686,6.016990", \ - "5.836349,5.884344,5.908022,5.942530,5.940311,5.968026,6.023816", \ - "5.898885,5.932405,5.951397,5.979843,5.996695,5.984278,6.033153", \ - "6.062086,6.119966,6.142668,6.155278,6.188165,6.140395,6.260577", \ - "6.382005,6.431005,6.452684,6.470788,6.490651,6.478680,6.538227", \ - "6.851338,6.901859,6.930755,6.938421,6.939678,7.004059,6.930845"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("5.653784,5.733387,5.802751,5.934927,6.046358,5.976049,6.034405", \ - "5.646312,5.723372,5.793109,5.933337,6.037607,5.968843,6.091407", \ - "5.654728,5.730092,5.789613,5.914472,5.995322,6.068386,6.096777", \ - "5.711219,5.784460,5.841774,5.979979,6.050926,6.089048,6.152473", \ - "5.876575,5.934161,6.008157,6.136076,6.239487,6.260335,6.317129", \ - "6.173380,6.264799,6.332832,6.462661,6.556048,6.574886,6.595282", \ - "6.653459,6.715492,6.777994,6.914877,7.012718,7.051251,7.006161"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.178708,3.416213,3.610353,3.842541,3.992768,4.049322,4.069967", \ - "3.153436,3.387395,3.582914,3.820559,3.965022,4.023122,4.040906", \ - "3.126723,3.365146,3.559948,3.779588,3.933888,3.997899,4.018460", \ - "3.306519,3.506838,3.675479,3.896037,4.042621,4.102469,4.120282", \ - "3.540843,3.682569,3.842818,4.108392,4.330303,4.385415,4.401308", \ - "4.062249,4.147307,4.229649,4.440527,4.690117,4.847622,4.868148", \ - "4.875743,4.870671,4.923489,5.070049,5.294334,5.455070,5.561304"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("2.216685,2.412991,2.552493,2.588350,2.585130,2.593387,2.566422", \ - "2.215721,2.412152,2.483363,2.596103,2.676889,2.585494,2.497453", \ - "2.162423,2.414336,2.492623,2.592753,2.586327,2.680836,2.426556", \ - "2.343227,2.547505,2.644245,2.669862,2.727124,2.471200,2.596751", \ - "2.715947,2.862254,2.964557,2.976501,2.930641,2.986796,2.835425", \ - "3.273543,3.418001,3.535323,3.529373,3.339779,3.200171,3.092291", \ - "4.059243,4.141436,4.256765,4.310345,4.219228,4.157451,3.978440"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.657450,3.796660,3.916357,4.073997,4.126857,4.137124,4.094280", \ - "3.663987,3.754339,3.890806,4.026415,4.132991,4.183265,4.173352", \ - "3.635125,3.770377,3.892554,4.025924,4.116627,4.184159,4.108533", \ - "3.758422,3.856777,3.940809,4.099009,4.198744,4.189258,4.171034", \ - "4.036705,4.110217,4.175534,4.282349,4.317870,4.378142,4.363213", \ - "4.368595,4.422697,4.481119,4.580749,4.649287,4.629454,4.535446", \ - "4.865822,4.887065,4.923359,4.987282,5.030581,4.950270,5.054192"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.200463,3.437897,3.631891,3.863578,4.022676,4.069765,4.089568", \ - "3.175663,3.409411,3.604859,3.842290,3.986524,4.044479,4.061968", \ - "3.148919,3.387258,3.582059,3.801479,3.955775,4.019691,4.040123", \ - "3.328704,3.528968,3.697537,3.917889,4.064455,4.124259,4.142020", \ - "3.555536,3.704316,3.868034,4.130238,4.351921,4.407026,4.422845", \ - "4.090906,4.172847,4.251255,4.469964,4.714454,4.867606,4.887766", \ - "4.897100,4.892012,4.944244,5.091551,5.308983,5.473094,5.580614"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("2.207869,2.404212,2.544154,2.579667,2.610520,2.502749,2.557301", \ - "2.206580,2.402542,2.474533,2.587864,2.668500,2.425542,2.486501", \ - "2.153259,2.385823,2.483554,2.583382,2.577084,2.672105,2.418267", \ - "2.333875,2.511442,2.635398,2.661091,2.716572,2.707042,2.588360", \ - "2.706916,2.877756,2.955498,2.967519,2.921871,2.978289,2.826515", \ - "3.264386,3.408964,3.525606,3.541754,3.350150,3.191506,3.167026", \ - "4.050791,4.132815,4.267933,4.301514,4.212219,4.150085,3.969522"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.754473,3.888689,4.013824,4.171600,4.224839,4.218564,4.321204", \ - "3.758909,3.849376,3.985888,4.121577,4.235472,4.278370,4.273696", \ - "3.733529,3.863199,3.987079,4.120615,4.212042,4.278801,4.201642", \ - "3.845979,3.950521,4.034532,4.203583,4.293736,4.281594,4.263602", \ - "4.130042,4.203349,4.268537,4.376127,4.412309,4.471660,4.455136", \ - "4.473695,4.515498,4.574143,4.674304,4.743262,4.722559,4.718172", \ - "4.958020,4.977784,5.014099,5.078647,5.123030,5.042850,5.094120"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.552532,3.834990,4.082860,4.421217,4.743354,5.163816,7.169848", \ - "3.531923,3.805100,4.043567,4.377383,4.699778,5.136843,7.115561", \ - "3.510516,3.786611,4.023268,4.338739,4.679628,5.111406,7.100412", \ - "3.680114,3.933477,4.153618,4.468741,4.804995,5.226714,7.254179", \ - "3.962504,4.120578,4.364972,4.713565,5.097695,5.520777,7.547113", \ - "4.501504,4.625576,4.773165,5.056484,5.462187,5.969209,7.900872", \ - "5.360896,5.395539,5.494153,5.701528,6.087589,6.552523,8.333433"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("6.081260,6.363788,6.552180,6.626847,6.731593,6.748095,6.527889", \ - "6.096407,6.357663,6.541038,6.649968,6.726405,6.688189,6.811941", \ - "6.062001,6.389327,6.527766,6.649746,6.642150,6.752804,6.556029", \ - "6.053371,6.382103,6.533564,6.638414,6.616185,6.726337,6.561216", \ - "6.069990,6.333885,6.514663,6.615730,6.656227,6.428568,6.653410", \ - "6.100750,6.361107,6.544120,6.613171,6.684931,6.546246,6.747411", \ - "6.237160,6.477980,6.638878,6.702863,6.782152,6.688363,6.600539"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.726136,3.804862,3.891598,4.022826,4.485716,6.309157,6.524065", \ - "3.726575,3.776693,3.863700,3.979376,4.470089,6.288219,6.600757", \ - "3.694737,3.785480,3.862337,3.969211,4.476966,6.290294,6.533783", \ - "3.825397,3.884298,3.946508,4.053852,4.615718,6.332390,6.597001", \ - "4.116851,4.145234,4.168492,4.244200,4.718815,6.498680,6.792950", \ - "4.457428,4.458853,4.462779,4.528753,4.987728,6.727157,6.974686", \ - "4.954920,4.930451,4.907167,4.925052,5.219838,7.053308,7.503106"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.552593,3.834303,4.082861,4.421101,4.743171,5.163464,7.168626", \ - "3.531941,3.805125,4.043579,4.377382,4.699703,5.136621,7.114850", \ - "3.510536,3.786620,4.023263,4.338602,4.679544,5.111174,7.094455", \ - "3.680086,3.933449,4.153575,4.468720,4.804872,5.226428,7.252634", \ - "3.962415,4.120478,4.364868,4.713439,5.096906,5.520396,7.546409", \ - "4.501381,4.625401,4.742975,5.056382,5.461843,5.948762,7.901352", \ - "5.343895,5.395293,5.493905,5.701093,6.087157,6.551994,8.331962"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("6.082157,6.363750,6.545667,6.660723,6.637333,6.748100,6.528525", \ - "6.097350,6.358896,6.541829,6.650575,6.576414,6.688187,6.812627", \ - "6.063131,6.376619,6.488015,6.632359,6.641812,6.753146,6.555397", \ - "6.053764,6.383160,6.519494,6.636331,6.616442,6.727278,6.562860", \ - "6.069951,6.333559,6.515653,6.615591,6.657624,6.438423,6.653259", \ - "6.101811,6.374589,6.545136,6.653436,6.690441,6.558681,6.749318", \ - "6.238877,6.485407,6.640117,6.703674,6.783065,6.705688,6.602981"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("3.726402,3.805139,3.891881,4.023120,4.482122,6.319259,6.520325", \ - "3.726840,3.776897,3.863986,3.976888,4.540590,6.287353,6.596852", \ - "3.695011,3.786407,3.862623,3.969505,4.478402,6.289401,6.604431", \ - "3.832529,3.884581,3.946776,4.053881,4.617163,6.345382,6.593070", \ - "4.117134,4.145505,4.166485,4.244479,4.720138,6.497772,6.789420", \ - "4.457554,4.459096,4.463014,4.528977,4.988868,6.726552,6.971308", \ - "4.954431,4.930638,4.907370,4.925306,5.220635,7.066373,7.500041"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("5.180706,5.298736,5.402397,5.467999,5.507387,5.492688,5.518974", \ - "5.134307,5.273661,5.355488,5.435414,5.475868,5.532054,5.411167", \ - "5.136152,5.283020,5.346786,5.459998,5.501958,5.559735,5.538926", \ - "5.360721,5.449813,5.535783,5.613253,5.613750,5.680067,5.625174", \ - "5.756900,5.851696,5.877004,5.950459,5.979555,5.982987,5.980080", \ - "6.429364,6.483131,6.483996,6.512665,6.523765,6.567096,6.570213", \ - "7.383931,7.378887,7.376998,7.366225,7.389832,7.347056,7.251658"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("4.448440,4.573346,4.669941,4.736492,4.777021,4.790663,4.792261", \ - "4.426373,4.555928,4.652774,4.724445,4.766306,4.823249,4.700091", \ - "4.414952,4.571948,4.629721,4.743581,4.786343,4.845916,4.826539", \ - "4.563025,4.654076,4.737961,4.815930,4.816883,4.876798,4.815300", \ - "4.796582,4.885025,4.907510,4.985148,5.012427,4.993310,5.018720", \ - "5.190914,5.244375,5.253391,5.274918,5.287484,5.332571,5.337608", \ - "5.748075,5.759337,5.746216,5.736368,5.763042,5.723162,5.631168"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("6.236636,6.373889,6.483451,6.529919,6.585264,6.637830,6.531023", \ - "6.193451,6.327775,6.442921,6.512849,6.552850,6.540789,6.587441", \ - "6.216273,6.346415,6.444172,6.508351,6.563744,6.549683,6.586078", \ - "6.423207,6.528090,6.603538,6.640122,6.692891,6.676149,6.638272", \ - "6.838006,6.919777,6.968510,7.008985,7.043957,7.051614,6.974009", \ - "7.488826,7.522041,7.541902,7.557193,7.581394,7.528270,7.544404", \ - "8.308594,8.326010,8.347082,8.351478,8.280098,8.229935,8.301818"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("6.235507,6.379068,6.477015,6.531992,6.589493,6.645142,6.541554", \ - "6.196314,6.357656,6.447062,6.499234,6.557112,6.541625,6.598066", \ - "6.215401,6.355633,6.445001,6.510436,6.569767,6.556709,6.608836", \ - "6.422353,6.527905,6.612453,6.642013,6.696921,6.683046,6.633086", \ - "6.837268,6.906195,6.969475,6.986990,7.025491,6.972606,6.984088", \ - "7.488293,7.522141,7.551171,7.560762,7.590530,7.613991,7.554225", \ - "8.309288,8.329751,8.347955,8.353084,8.355088,8.235935,8.339246"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0963129,0.0991867,0.102297,0.107844,0.117771,0.136322,0.172762", \ - "0.0978004,0.100678,0.103784,0.109332,0.119259,0.137809,0.174252", \ - "0.102774,0.105650,0.108762,0.114309,0.124235,0.142788,0.179231", \ - "0.108092,0.110964,0.114073,0.119621,0.129550,0.148097,0.184546", \ - "0.111948,0.114827,0.117941,0.123490,0.133406,0.151957,0.188411", \ - "0.114283,0.117161,0.120270,0.125817,0.135738,0.154294,0.190745", \ - "0.114677,0.117551,0.120659,0.126214,0.136139,0.154695,0.191146"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.110770,0.115088,0.119724,0.128572,0.146383,0.182351,0.254555", \ - "0.112254,0.116563,0.121199,0.130052,0.147861,0.183826,0.256034", \ - "0.117254,0.121575,0.126208,0.135058,0.152868,0.188833,0.261043", \ - "0.122741,0.127050,0.131684,0.140539,0.158348,0.194318,0.266524", \ - "0.126948,0.131263,0.135903,0.144754,0.162553,0.198519,0.270733", \ - "0.129707,0.134025,0.138636,0.147487,0.165296,0.201261,0.273472", \ - "0.130459,0.134773,0.139404,0.148248,0.166068,0.202020,0.274230"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00539657,0.00699629,0.00876580,0.0122002,0.0192744,0.0342967,0.0656956", \ - "0.00539614,0.00699503,0.00876547,0.0121996,0.0192732,0.0342925,0.0656895", \ - "0.00539632,0.00699337,0.00876319,0.0121993,0.0192758,0.0342967,0.0656993", \ - "0.00539559,0.00699738,0.00876303,0.0122006,0.0192758,0.0342983,0.0656864", \ - "0.00539646,0.00699759,0.00876586,0.0122006,0.0192748,0.0342993,0.0656924", \ - "0.00539704,0.00699719,0.00876643,0.0122004,0.0192803,0.0342911,0.0656902", \ - "0.00539770,0.00699911,0.00876877,0.0122036,0.0192767,0.0342928,0.0657285"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00674493,0.00981865,0.0136442,0.0215544,0.0382213,0.0724235,0.141166", \ - "0.00674934,0.00982315,0.0136379,0.0215540,0.0382212,0.0724231,0.141164", \ - "0.00674902,0.00981829,0.0136405,0.0215568,0.0382270,0.0724221,0.141163", \ - "0.00674108,0.00981647,0.0136417,0.0215543,0.0382230,0.0724235,0.141167", \ - "0.00675137,0.00981984,0.0136403,0.0215527,0.0382208,0.0724269,0.141163", \ - "0.00674668,0.00981795,0.0136414,0.0215526,0.0382255,0.0724257,0.141166", \ - "0.00674665,0.00981748,0.0136394,0.0215554,0.0382242,0.0724234,0.141166"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.055887,0.060220,0.064874,0.073756,0.091592,0.127581,0.199800", \ - "0.057454,0.061786,0.066440,0.075320,0.093161,0.129145,0.201369", \ - "0.063631,0.067959,0.072614,0.081494,0.099333,0.135320,0.207542", \ - "0.076038,0.080316,0.084931,0.093758,0.111548,0.147502,0.219712", \ - "0.092058,0.096155,0.100554,0.109109,0.126654,0.162442,0.234561", \ - "0.108766,0.112767,0.116961,0.125178,0.142420,0.178002,0.249989", \ - "0.126332,0.130312,0.134357,0.142239,0.159128,0.194459,0.266293"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006723,0.009807,0.013629,0.021555,0.038225,0.072428,0.141161", \ - "0.006727,0.009804,0.013628,0.021554,0.038231,0.072429,0.141163", \ - "0.006723,0.009805,0.013631,0.021556,0.038229,0.072424,0.141163", \ - "0.006753,0.009832,0.013651,0.021563,0.038230,0.072423,0.141162", \ - "0.006992,0.010012,0.013794,0.021654,0.038265,0.072429,0.141165", \ - "0.007359,0.010340,0.014040,0.021783,0.038325,0.072445,0.141161", \ - "0.007815,0.010765,0.014368,0.021962,0.038412,0.072467,0.141169"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.055890,0.060223,0.064875,0.073757,0.091595,0.127581,0.199805", \ - "0.057457,0.061789,0.066443,0.075323,0.093163,0.129147,0.201371", \ - "0.063631,0.067965,0.072620,0.081501,0.099338,0.135325,0.207549", \ - "0.076036,0.080318,0.084932,0.093764,0.111552,0.147506,0.219714", \ - "0.092064,0.096163,0.100560,0.109114,0.126657,0.162445,0.234564", \ - "0.108764,0.112765,0.116962,0.125175,0.142429,0.177998,0.249988", \ - "0.126335,0.130317,0.134363,0.142249,0.159140,0.194471,0.266306"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006723,0.009807,0.013629,0.021555,0.038224,0.072425,0.141161", \ - "0.006729,0.009803,0.013628,0.021554,0.038230,0.072429,0.141163", \ - "0.006726,0.009804,0.013631,0.021553,0.038224,0.072428,0.141159", \ - "0.006752,0.009833,0.013651,0.021563,0.038229,0.072423,0.141164", \ - "0.006993,0.010012,0.013793,0.021652,0.038265,0.072429,0.141165", \ - "0.007357,0.010340,0.014039,0.021783,0.038325,0.072448,0.141161", \ - "0.007815,0.010765,0.014369,0.021962,0.038412,0.072467,0.141166"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.055900,0.060219,0.064868,0.073744,0.091579,0.127565,0.199779", \ - "0.057467,0.061785,0.066435,0.075310,0.093145,0.129134,0.201348", \ - "0.063641,0.067964,0.072612,0.081485,0.099320,0.135307,0.207522", \ - "0.076050,0.080320,0.084928,0.093754,0.111540,0.147491,0.219693", \ - "0.092076,0.096161,0.100552,0.109103,0.126646,0.162433,0.234547", \ - "0.108771,0.112741,0.116931,0.125149,0.142382,0.177963,0.249958", \ - "0.126300,0.130249,0.134282,0.142157,0.159036,0.194387,0.266236"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006706,0.009793,0.013622,0.021542,0.038216,0.072419,0.141164", \ - "0.006709,0.009787,0.013618,0.021542,0.038221,0.072420,0.141166", \ - "0.006711,0.009796,0.013620,0.021544,0.038217,0.072424,0.141163", \ - "0.006733,0.009819,0.013635,0.021550,0.038219,0.072424,0.141164", \ - "0.006966,0.009991,0.013776,0.021634,0.038258,0.072429,0.141164", \ - "0.007317,0.010301,0.014011,0.021760,0.038307,0.072451,0.141166", \ - "0.007760,0.010708,0.014323,0.021921,0.038379,0.072482,0.141173"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.055900,0.060219,0.064868,0.073744,0.091579,0.127565,0.199779", \ - "0.057467,0.061787,0.066435,0.075310,0.093145,0.129134,0.201348", \ - "0.063641,0.067963,0.072612,0.081485,0.099320,0.135307,0.207522", \ - "0.076049,0.080320,0.084928,0.093754,0.111540,0.147491,0.219693", \ - "0.092076,0.096161,0.100552,0.109104,0.126646,0.162433,0.234547", \ - "0.108771,0.112741,0.116931,0.125149,0.142382,0.177963,0.249958", \ - "0.126300,0.130249,0.134282,0.142157,0.159036,0.194386,0.266236"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006706,0.009793,0.013622,0.021542,0.038216,0.072420,0.141164", \ - "0.006709,0.009787,0.013618,0.021541,0.038221,0.072419,0.141167", \ - "0.006711,0.009795,0.013620,0.021544,0.038217,0.072423,0.141163", \ - "0.006737,0.009819,0.013635,0.021550,0.038219,0.072422,0.141164", \ - "0.006966,0.009991,0.013776,0.021634,0.038258,0.072429,0.141169", \ - "0.007317,0.010301,0.014011,0.021760,0.038307,0.072450,0.141165", \ - "0.007760,0.010708,0.014323,0.021922,0.038379,0.072481,0.141178"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024680,0.028117,0.031597,0.037465,0.047641,0.066393,0.102996", \ - "0.026245,0.029683,0.033162,0.039033,0.049210,0.067965,0.104567", \ - "0.032653,0.036069,0.039544,0.045416,0.055602,0.074365,0.110972", \ - "0.044216,0.047826,0.051434,0.057422,0.067659,0.086420,0.123009", \ - "0.056544,0.060616,0.064649,0.071215,0.082010,0.101061,0.137614", \ - "0.069586,0.074069,0.078534,0.085723,0.097209,0.116716,0.153425", \ - "0.083751,0.088622,0.093495,0.101346,0.113667,0.133840,0.170721"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.025982,0.030760,0.035955,0.045537,0.063904,0.100184,0.172549", \ - "0.027254,0.032033,0.037225,0.046809,0.065174,0.101460,0.173831", \ - "0.030916,0.035679,0.040850,0.050406,0.068759,0.105051,0.177433", \ - "0.036278,0.041176,0.046416,0.056006,0.074330,0.110583,0.182976", \ - "0.041421,0.046591,0.051985,0.061653,0.079984,0.116235,0.188564", \ - "0.045257,0.050891,0.056623,0.066569,0.084978,0.121142,0.193456", \ - "0.047344,0.053469,0.059722,0.070209,0.088937,0.125249,0.197506"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005005,0.006593,0.008442,0.012008,0.019218,0.034323,0.065721", \ - "0.005002,0.006594,0.008445,0.012009,0.019219,0.034319,0.065719", \ - "0.005020,0.006614,0.008462,0.012018,0.019222,0.034320,0.065728", \ - "0.006032,0.007464,0.009139,0.012457,0.019437,0.034386,0.065729", \ - "0.007814,0.009255,0.010891,0.014049,0.020591,0.034909,0.065809", \ - "0.009685,0.011169,0.012838,0.015925,0.022120,0.035826,0.066222", \ - "0.011694,0.013225,0.014954,0.018073,0.024041,0.037056,0.066710"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006338,0.009462,0.013343,0.021388,0.038192,0.072459,0.141322", \ - "0.006337,0.009467,0.013346,0.021391,0.038193,0.072456,0.141311", \ - "0.006344,0.009472,0.013357,0.021395,0.038197,0.072468,0.141325", \ - "0.006803,0.009876,0.013675,0.021580,0.038245,0.072457,0.141319", \ - "0.007693,0.010672,0.014306,0.021981,0.038459,0.072547,0.141325", \ - "0.009010,0.012016,0.015479,0.022780,0.038824,0.072723,0.141388", \ - "0.010554,0.013750,0.017220,0.024144,0.039688,0.073156,0.141513"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024665,0.028106,0.031588,0.037461,0.047640,0.066399,0.103027", \ - "0.026229,0.029671,0.033154,0.039028,0.049208,0.067964,0.104592", \ - "0.032646,0.036067,0.039542,0.045416,0.055604,0.074367,0.111004", \ - "0.044197,0.047810,0.051421,0.057411,0.067658,0.086426,0.123049", \ - "0.056519,0.060596,0.064634,0.071203,0.082000,0.101069,0.137660", \ - "0.069556,0.074064,0.078529,0.085723,0.097200,0.116732,0.153475", \ - "0.083729,0.088624,0.093502,0.101352,0.113674,0.133865,0.170778"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005014,0.006600,0.008447,0.012011,0.019216,0.034325,0.065964", \ - "0.005010,0.006599,0.008448,0.012012,0.019218,0.034321,0.065963", \ - "0.005027,0.006620,0.008467,0.012025,0.019225,0.034324,0.065970", \ - "0.006044,0.007474,0.009147,0.012460,0.019442,0.034389,0.066008", \ - "0.007836,0.009265,0.010900,0.014054,0.020588,0.034904,0.066082", \ - "0.009709,0.011188,0.012855,0.015937,0.022106,0.035831,0.066420", \ - "0.011715,0.013247,0.014972,0.018086,0.024043,0.037053,0.066841"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024666,0.028103,0.031581,0.037451,0.047625,0.066384,0.102982", \ - "0.026234,0.029668,0.033149,0.039016,0.049193,0.067952,0.104551", \ - "0.032643,0.036061,0.039534,0.045405,0.055591,0.074352,0.110964", \ - "0.044197,0.047808,0.051419,0.057407,0.067644,0.086405,0.122991", \ - "0.056524,0.060589,0.064619,0.071188,0.081979,0.101034,0.137587", \ - "0.069542,0.074029,0.078493,0.085680,0.097158,0.116688,0.153394", \ - "0.083705,0.088564,0.093436,0.101289,0.113603,0.133792,0.170671"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.025972,0.030751,0.035943,0.045525,0.063887,0.100180,0.172541", \ - "0.027241,0.032019,0.037211,0.046793,0.065159,0.101446,0.173817", \ - "0.030884,0.035648,0.040821,0.050376,0.068731,0.105018,0.177402", \ - "0.036234,0.041129,0.046372,0.055960,0.074288,0.110543,0.182933", \ - "0.041364,0.046534,0.051927,0.061596,0.079930,0.116184,0.188506", \ - "0.045193,0.050825,0.056560,0.066502,0.084910,0.121077,0.193387", \ - "0.047252,0.053403,0.059663,0.070136,0.088862,0.125173,0.197432"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005004,0.006590,0.008444,0.012007,0.019214,0.034319,0.065724", \ - "0.005004,0.006592,0.008442,0.012011,0.019221,0.034321,0.065719", \ - "0.005019,0.006614,0.008463,0.012020,0.019224,0.034325,0.065713", \ - "0.006031,0.007464,0.009140,0.012456,0.019438,0.034390,0.065732", \ - "0.007813,0.009250,0.010893,0.014044,0.020594,0.034909,0.065809", \ - "0.009683,0.011169,0.012836,0.015926,0.022111,0.035825,0.066219", \ - "0.011691,0.013228,0.014953,0.018079,0.024034,0.037057,0.066710"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006336,0.009461,0.013349,0.021391,0.038200,0.072477,0.141308", \ - "0.006333,0.009466,0.013343,0.021393,0.038197,0.072460,0.141315", \ - "0.006348,0.009471,0.013355,0.021397,0.038195,0.072459,0.141325", \ - "0.006800,0.009872,0.013676,0.021582,0.038233,0.072461,0.141329", \ - "0.007691,0.010673,0.014305,0.021980,0.038458,0.072560,0.141326", \ - "0.009002,0.012015,0.015476,0.022775,0.038832,0.072725,0.141387", \ - "0.010553,0.013746,0.017211,0.024141,0.039684,0.073159,0.141515"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024650,0.028092,0.031573,0.037443,0.047621,0.066379,0.103007", \ - "0.026217,0.029659,0.033141,0.039010,0.049188,0.067946,0.104572", \ - "0.032630,0.036051,0.039526,0.045398,0.055587,0.074355,0.110988", \ - "0.044190,0.047800,0.051409,0.057397,0.067641,0.086400,0.123019", \ - "0.056500,0.060574,0.064613,0.071188,0.081979,0.101031,0.137621", \ - "0.069529,0.074025,0.078490,0.085686,0.097173,0.116683,0.153412", \ - "0.083703,0.088581,0.093457,0.101292,0.113631,0.133817,0.170696"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005011,0.006599,0.008446,0.012011,0.019220,0.034325,0.065964", \ - "0.005008,0.006598,0.008449,0.012013,0.019222,0.034321,0.065964", \ - "0.005026,0.006619,0.008467,0.012025,0.019224,0.034325,0.065970", \ - "0.006043,0.007474,0.009147,0.012461,0.019444,0.034391,0.066009", \ - "0.007837,0.009265,0.010901,0.014054,0.020592,0.034906,0.066083", \ - "0.009709,0.011189,0.012853,0.015938,0.022123,0.035836,0.066424", \ - "0.011715,0.013250,0.014973,0.018090,0.024043,0.037057,0.066846"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024678,0.028116,0.031596,0.037464,0.047636,0.066388,0.103003", \ - "0.026247,0.029683,0.033163,0.039033,0.049208,0.067961,0.104571", \ - "0.032654,0.036070,0.039543,0.045418,0.055600,0.074365,0.110982", \ - "0.044216,0.047822,0.051433,0.057421,0.067659,0.086419,0.123016", \ - "0.056550,0.060615,0.064645,0.071213,0.082004,0.101055,0.137611", \ - "0.069583,0.074066,0.078528,0.085718,0.097207,0.116711,0.153429", \ - "0.083738,0.088611,0.093484,0.101329,0.113656,0.133837,0.170705"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.025662,0.030432,0.035621,0.045196,0.063557,0.099850,0.172219", \ - "0.026942,0.031711,0.036901,0.046476,0.064834,0.101136,0.173512", \ - "0.030674,0.035428,0.040596,0.050146,0.068489,0.104783,0.177182", \ - "0.036144,0.041033,0.046275,0.055856,0.074174,0.110438,0.182833", \ - "0.041321,0.046491,0.051887,0.061551,0.079872,0.116113,0.188456", \ - "0.045142,0.050783,0.056523,0.066468,0.084865,0.121037,0.193347", \ - "0.047205,0.053351,0.059619,0.070098,0.088827,0.125136,0.197420"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005000,0.006591,0.008441,0.012008,0.019216,0.034320,0.065742", \ - "0.005004,0.006592,0.008442,0.012010,0.019216,0.034325,0.065729", \ - "0.005016,0.006612,0.008462,0.012018,0.019219,0.034320,0.065742", \ - "0.006030,0.007463,0.009138,0.012456,0.019436,0.034385,0.065746", \ - "0.007809,0.009251,0.010890,0.014046,0.020592,0.034909,0.065831", \ - "0.009680,0.011169,0.012837,0.015925,0.022105,0.035822,0.066229", \ - "0.011688,0.013219,0.014950,0.018072,0.024029,0.037049,0.066745"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006291,0.009429,0.013314,0.021364,0.038170,0.072479,0.141323", \ - "0.006290,0.009423,0.013316,0.021367,0.038179,0.072507,0.141326", \ - "0.006303,0.009441,0.013324,0.021373,0.038181,0.072503,0.141357", \ - "0.006779,0.009854,0.013657,0.021562,0.038224,0.072496,0.141320", \ - "0.007694,0.010666,0.014298,0.021965,0.038442,0.072564,0.141323", \ - "0.009015,0.012027,0.015482,0.022772,0.038815,0.072741,0.141389", \ - "0.010567,0.013771,0.017229,0.024147,0.039676,0.073172,0.141558"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024668,0.028108,0.031591,0.037465,0.047644,0.066398,0.102996", \ - "0.026234,0.029675,0.033158,0.039031,0.049213,0.067968,0.104568", \ - "0.032643,0.036066,0.039540,0.045414,0.055604,0.074368,0.110972", \ - "0.044201,0.047817,0.051428,0.057415,0.067665,0.086429,0.123018", \ - "0.056527,0.060603,0.064637,0.071203,0.082001,0.101065,0.137619", \ - "0.069562,0.074064,0.078525,0.085716,0.097190,0.116691,0.153412", \ - "0.083720,0.088615,0.093486,0.101331,0.113650,0.133806,0.170691"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005011,0.006599,0.008447,0.012009,0.019217,0.034311,0.065761", \ - "0.005006,0.006598,0.008446,0.012010,0.019219,0.034302,0.065762", \ - "0.005021,0.006619,0.008468,0.012024,0.019224,0.034305,0.065765", \ - "0.006043,0.007470,0.009145,0.012459,0.019441,0.034382,0.065813", \ - "0.007832,0.009260,0.010900,0.014051,0.020593,0.034902,0.065972", \ - "0.009703,0.011182,0.012847,0.015933,0.022106,0.035802,0.066489", \ - "0.011711,0.013236,0.014965,0.018083,0.024030,0.037017,0.067103"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024678,0.028116,0.031596,0.037464,0.047636,0.066388,0.103003", \ - "0.026247,0.029683,0.033163,0.039033,0.049208,0.067961,0.104571", \ - "0.032654,0.036070,0.039543,0.045418,0.055600,0.074365,0.110983", \ - "0.044216,0.047822,0.051433,0.057421,0.067659,0.086419,0.123016", \ - "0.056550,0.060615,0.064645,0.071213,0.082004,0.101055,0.137611", \ - "0.069583,0.074066,0.078528,0.085718,0.097207,0.116711,0.153429", \ - "0.083738,0.088611,0.093484,0.101329,0.113656,0.133837,0.170705"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.025662,0.030432,0.035621,0.045196,0.063557,0.099850,0.172219", \ - "0.026942,0.031711,0.036901,0.046476,0.064834,0.101136,0.173512", \ - "0.030674,0.035428,0.040596,0.050146,0.068489,0.104783,0.177182", \ - "0.036144,0.041033,0.046275,0.055856,0.074174,0.110438,0.182833", \ - "0.041321,0.046491,0.051887,0.061551,0.079872,0.116113,0.188456", \ - "0.045142,0.050783,0.056523,0.066468,0.084865,0.121037,0.193347", \ - "0.047205,0.053351,0.059619,0.070098,0.088827,0.125136,0.197420"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005000,0.006591,0.008441,0.012008,0.019216,0.034320,0.065742", \ - "0.005004,0.006592,0.008442,0.012010,0.019216,0.034325,0.065729", \ - "0.005016,0.006612,0.008462,0.012018,0.019219,0.034320,0.065740", \ - "0.006030,0.007463,0.009138,0.012456,0.019436,0.034385,0.065746", \ - "0.007809,0.009251,0.010890,0.014046,0.020592,0.034909,0.065831", \ - "0.009680,0.011169,0.012837,0.015925,0.022105,0.035822,0.066229", \ - "0.011688,0.013219,0.014950,0.018072,0.024029,0.037049,0.066745"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006291,0.009429,0.013314,0.021364,0.038170,0.072479,0.141323", \ - "0.006290,0.009423,0.013316,0.021367,0.038179,0.072507,0.141326", \ - "0.006303,0.009441,0.013324,0.021373,0.038181,0.072503,0.141357", \ - "0.006779,0.009854,0.013657,0.021562,0.038224,0.072496,0.141320", \ - "0.007694,0.010666,0.014298,0.021965,0.038442,0.072564,0.141323", \ - "0.009015,0.012027,0.015482,0.022772,0.038815,0.072741,0.141389", \ - "0.010567,0.013771,0.017229,0.024147,0.039676,0.073172,0.141558"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024668,0.028108,0.031591,0.037465,0.047644,0.066398,0.102996", \ - "0.026234,0.029675,0.033158,0.039031,0.049213,0.067968,0.104568", \ - "0.032643,0.036066,0.039540,0.045414,0.055604,0.074368,0.110972", \ - "0.044201,0.047817,0.051428,0.057415,0.067665,0.086429,0.123018", \ - "0.056527,0.060603,0.064637,0.071203,0.082001,0.101065,0.137619", \ - "0.069562,0.074064,0.078525,0.085716,0.097190,0.116691,0.153412", \ - "0.083720,0.088615,0.093486,0.101331,0.113650,0.133806,0.170691"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005011,0.006598,0.008447,0.012009,0.019217,0.034310,0.065761", \ - "0.005006,0.006598,0.008446,0.012010,0.019219,0.034302,0.065761", \ - "0.005021,0.006619,0.008468,0.012024,0.019224,0.034305,0.065764", \ - "0.006043,0.007470,0.009145,0.012459,0.019441,0.034381,0.065812", \ - "0.007832,0.009260,0.010900,0.014051,0.020594,0.034902,0.065971", \ - "0.009703,0.011182,0.012847,0.015933,0.022106,0.035802,0.066488", \ - "0.011711,0.013236,0.014965,0.018083,0.024030,0.037015,0.067101"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.653788,5.730156,5.796858,5.939952,6.036918,6.010583,6.108784", \ - "5.646305,5.733390,5.784413,5.938214,6.035862,5.994763,6.044208", \ - "5.654745,5.715173,5.791585,5.923840,6.009640,6.011579,5.971998", \ - "5.711234,5.785333,5.836657,5.980913,6.059539,6.125778,6.108549", \ - "5.876572,5.944472,6.010477,6.138330,6.217028,6.268695,6.294950", \ - "6.173410,6.262921,6.319797,6.458767,6.548197,6.602344,6.616032", \ - "6.653425,6.728519,6.783249,6.918102,7.001788,7.058457,7.080641"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.868761,5.903861,5.929358,5.944071,5.981671,6.009083,5.913254", \ - "5.856962,5.880686,5.920711,5.931064,5.971805,5.999175,6.025260", \ - "5.850931,5.886294,5.914005,5.916425,5.957800,5.913183,5.929772", \ - "5.898887,5.933266,5.954864,5.988294,5.994147,6.021431,5.938000", \ - "6.086750,6.121955,6.146662,6.163952,6.205474,6.198388,6.212507", \ - "6.400601,6.432924,6.456651,6.479237,6.488147,6.530545,6.443532", \ - "6.853552,6.877967,6.931336,6.946907,6.944659,6.898114,7.005298"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.657538,3.782774,3.924246,4.067203,4.144623,4.168503,4.169474", \ - "3.659904,3.782893,3.911251,4.034939,4.135003,4.085439,4.078449", \ - "3.635154,3.766778,3.856158,4.039299,4.134106,4.170089,4.183041", \ - "3.758278,3.858856,3.939076,4.086796,4.197782,4.237374,4.245362", \ - "4.036754,4.112627,4.177387,4.290899,4.335717,4.279471,4.406133", \ - "4.368585,4.425209,4.485740,4.589559,4.595997,4.631583,4.610590", \ - "4.861270,4.885598,4.928313,4.996341,5.048341,4.987831,4.908145"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.754471,3.879903,4.017704,4.141971,4.242680,4.309546,4.264867", \ - "3.754870,3.851363,4.006178,4.130286,4.230878,4.182338,4.171999", \ - "3.733546,3.861188,3.950688,4.129085,4.229510,4.209152,4.276101", \ - "3.845877,3.952646,4.032898,4.181307,4.293571,4.326794,4.337857", \ - "4.130088,4.205686,4.270770,4.384597,4.430146,4.377308,4.496845", \ - "4.473682,4.517979,4.578712,4.683133,4.690057,4.623185,4.701479", \ - "4.957989,4.976165,5.019015,5.087748,5.146010,5.080407,4.999217"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.726145,3.806844,3.895682,3.994846,4.498267,6.257830,6.599255", \ - "3.722943,3.784429,3.869291,3.979407,4.487609,6.223511,6.504156", \ - "3.693378,3.780400,3.829422,3.977577,4.494118,6.174021,6.608235", \ - "3.825311,3.886446,3.947973,4.040906,4.521780,6.292772,6.671171", \ - "4.116867,4.147430,4.168244,4.252721,4.736456,6.455956,6.696378", \ - "4.457413,4.427438,4.467478,4.537587,4.897218,6.692870,7.054879", \ - "4.962192,4.931210,4.889719,4.928507,5.237395,7.028525,7.405716"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.726411,3.807121,3.895952,3.995288,4.499703,6.266362,6.595509", \ - "3.723208,3.779015,3.870032,3.979706,4.489047,6.239916,6.500328", \ - "3.693654,3.780709,3.829714,3.977870,4.495551,6.157669,6.604407", \ - "3.832410,3.886732,3.948244,4.041203,4.523195,6.348978,6.667406", \ - "4.117150,4.147702,4.168569,4.253000,4.737778,6.438502,6.820009", \ - "4.457538,4.427685,4.467714,4.537816,4.898311,6.714529,7.046324", \ - "4.962370,4.931397,4.892285,4.933457,5.238179,7.024320,7.486619"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.398855,5.651248,5.852393,6.085859,6.359658,6.728068,7.253844", \ - "5.325348,5.577539,5.779646,6.012605,6.273325,6.650055,7.186809", \ - "5.344587,5.585370,5.786070,6.021018,6.282864,6.662639,7.207193", \ - "5.676726,5.913588,6.119083,6.350693,6.606444,6.984651,7.499422", \ - "6.166639,6.371158,6.587779,6.893959,7.272459,7.639520,8.171900", \ - "7.237658,7.335389,7.496452,7.771379,8.147532,8.676778,9.224267", \ - "8.691129,8.802431,8.944532,9.177498,9.532857,9.995117,10.734910"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.030509,3.293239,3.599106,3.881315,4.044898,3.926699,3.896155", \ - "2.978637,3.244955,3.525688,3.797098,3.931765,3.853805,3.797412", \ - "2.945714,3.235504,3.476234,3.720630,3.882272,3.939349,3.796075", \ - "3.156803,3.393003,3.628471,3.910557,4.055799,4.082466,3.890896", \ - "3.748857,3.994165,4.195879,4.390144,4.423223,4.395055,4.539535", \ - "4.650216,4.884746,5.180786,5.384189,5.382911,5.364145,5.443236", \ - "5.810740,6.149042,6.502720,6.845057,7.035528,6.874514,6.887474"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.176931,5.300710,5.406644,5.476923,5.525810,5.529852,5.424278", \ - "5.130638,5.274736,5.359862,5.444308,5.494013,5.424905,5.481703", \ - "5.136158,5.285155,5.351218,5.432187,5.519790,5.453603,5.444571", \ - "5.357241,5.452242,5.540154,5.585322,5.632219,5.707556,5.683610", \ - "5.753070,5.852115,5.880753,5.959421,5.994971,5.993536,5.885069", \ - "6.425590,6.485855,6.489049,6.543051,6.542201,6.459739,6.476274", \ - "7.383942,7.394571,7.382336,7.375895,7.407842,7.384336,7.327311"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.032216,4.247824,4.462149,4.705319,4.958931,5.340020,5.859511", \ - "4.009041,4.217935,4.428177,4.672548,4.929084,5.308063,5.843812", \ - "4.016424,4.214218,4.423499,4.659792,4.924942,5.306819,5.842998", \ - "4.194231,4.393321,4.594550,4.823421,5.093197,5.473291,5.992224", \ - "4.363622,4.518756,4.713959,5.026218,5.413783,5.774366,6.305542", \ - "4.921243,4.986479,5.119638,5.371877,5.734514,6.267683,6.807706", \ - "5.740042,5.787642,5.860412,6.049831,6.350861,6.792014,7.541729"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.216300,2.488757,2.794886,3.054233,3.269966,3.138740,3.119415", \ - "2.209349,2.468185,2.710519,3.008323,3.182806,3.089922,3.043404", \ - "2.162133,2.418351,2.659835,2.971199,3.140305,3.041188,3.049235", \ - "2.235129,2.496913,2.750384,2.960603,3.202472,3.239754,3.107401", \ - "2.588884,2.832644,2.968243,3.199486,3.348007,3.242103,3.386396", \ - "3.037614,3.242991,3.496956,3.693326,3.690724,3.689975,3.757793", \ - "3.637884,3.882654,4.089538,4.360995,4.562659,4.394361,4.426314"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.444739,4.576417,4.674216,4.745567,4.795476,4.801929,4.696780", \ - "4.426354,4.556313,4.648370,4.733443,4.784665,4.716879,4.775718", \ - "4.411141,4.567751,4.660561,4.715709,4.804228,4.825009,4.732296", \ - "4.559519,4.655006,4.745329,4.788140,4.835349,4.913116,4.889836", \ - "4.796628,4.883292,4.912273,4.994035,5.030580,5.030588,4.923602", \ - "5.187177,5.229377,5.250236,5.284396,5.305759,5.224887,5.243773", \ - "5.744299,5.763024,5.751474,5.745958,5.780823,5.759114,5.706620"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.110749,5.302173,5.465390,5.605379,5.682621,5.712276,5.714735", \ - "5.040138,5.224450,5.392984,5.535892,5.618857,5.641216,5.652345", \ - "5.061355,5.236943,5.401651,5.537760,5.630652,5.656491,5.667202", \ - "5.372593,5.552961,5.713884,5.850943,5.927207,5.959982,5.980837", \ - "5.842924,6.008716,6.151962,6.381644,6.580961,6.607627,6.627286", \ - "6.829555,6.924464,7.044284,7.227938,7.437809,7.643806,7.676171", \ - "8.252595,8.344038,8.425211,8.582398,8.793528,8.954183,9.173144"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.521493,2.630212,2.732185,2.735919,2.861280,2.719323,2.696846", \ - "2.443529,2.595941,2.658587,2.705114,2.750550,2.605418,2.596657", \ - "2.427054,2.563697,2.589471,2.651617,2.695378,2.557358,2.590806", \ - "2.581212,2.702682,2.777061,2.746278,2.860591,2.883121,2.675062", \ - "3.201320,3.289542,3.300349,3.292778,3.220905,3.066143,3.316236", \ - "4.070786,4.188555,4.316628,4.296506,4.159753,4.124776,4.189232", \ - "5.177109,5.409348,5.536370,5.650322,5.602260,5.567007,5.578049"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.232603,6.380722,6.480218,6.538785,6.602852,6.531388,6.605920", \ - "6.193486,6.341051,6.436304,6.505800,6.499331,6.570723,6.492955", \ - "6.212463,6.348593,6.436557,6.481280,6.512558,6.586000,6.443001", \ - "6.419434,6.530094,6.601778,6.649052,6.710648,6.713143,6.729287", \ - "6.834174,6.908618,6.962335,6.994278,7.009251,7.003247,7.048714", \ - "7.485128,7.524597,7.543603,7.563752,7.584397,7.501219,7.448984", \ - "8.307290,8.338996,8.352255,8.324918,8.299177,8.267900,8.206864"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.110724,5.302136,5.465352,5.605343,5.682577,5.712246,5.714691", \ - "5.040111,5.224413,5.392942,5.535853,5.618825,5.641184,5.652313", \ - "5.061326,5.236902,5.401608,5.537719,5.630621,5.656458,5.671168", \ - "5.372558,5.552921,5.713844,5.850907,5.927173,5.959948,5.980807", \ - "5.842893,6.008684,6.151926,6.381608,6.580929,6.607585,6.627252", \ - "6.829536,6.924432,7.044252,7.227901,7.437783,7.643769,7.676133", \ - "8.252557,8.344004,8.425172,8.582360,8.793497,8.954147,9.173107"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.521505,2.630244,2.732210,2.735955,2.861319,2.719348,2.696856", \ - "2.443546,2.595974,2.658626,2.705152,2.750573,2.605441,2.596675", \ - "2.427064,2.563731,2.589509,2.651655,2.695397,2.557351,2.590826", \ - "2.581248,2.702713,2.777085,2.746311,2.860629,2.883153,2.675047", \ - "3.201328,3.289565,3.300526,3.292812,3.220932,3.066157,3.316260", \ - "4.070789,4.188571,4.316654,4.296533,4.159776,4.124796,4.189253", \ - "5.177107,5.409399,5.536404,5.650341,5.602273,5.567023,5.578065"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.234883,6.375773,6.481164,6.540862,6.607163,6.538219,6.616440", \ - "6.196356,6.348806,6.440605,6.507952,6.512503,6.578403,6.503455", \ - "6.211519,6.348456,6.440231,6.519012,6.516495,6.593365,6.453344", \ - "6.418534,6.529985,6.605302,6.650990,6.714712,6.720044,6.708614", \ - "6.833389,6.908511,6.972568,6.996192,7.013076,7.009819,7.058830", \ - "7.484575,7.524669,7.545839,7.567162,7.591611,7.506959,7.458789", \ - "8.309271,8.329062,8.353045,8.326699,8.355281,8.274171,8.216261"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFRS_X2 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active low set, and drive strength X2 - *******************************************************************************************/ - - cell (DFFRS_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - preset : "!SN"; - clear : "!RN"; - clear_preset_var1 : L; - clear_preset_var2 : L; - } - - area : 6.916000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 142.302832; - - leakage_power () { - when : "!CK & !D & !RN & !SN & !Q & !QN"; - value : 123.379300; - } - leakage_power () { - when : "!CK & !D & !RN & SN & !Q & QN"; - value : 140.106560; - } - leakage_power () { - when : "!CK & !D & RN & !SN & Q & !QN"; - value : 137.148770; - } - leakage_power () { - when : "!CK & !D & RN & SN & !Q & QN"; - value : 158.841760; - } - leakage_power () { - when : "!CK & !D & RN & SN & Q & !QN"; - value : 158.304850; - } - leakage_power () { - when : "!CK & D & !RN & !SN & !Q & !QN"; - value : 120.022133; - } - leakage_power () { - when : "!CK & D & !RN & SN & !Q & QN"; - value : 136.249773; - } - leakage_power () { - when : "!CK & D & RN & !SN & Q & !QN"; - value : 131.752533; - } - leakage_power () { - when : "!CK & D & RN & SN & !Q & QN"; - value : 156.979273; - } - leakage_power () { - when : "!CK & D & RN & SN & Q & !QN"; - value : 151.305473; - } - leakage_power () { - when : "CK & !D & !RN & !SN & !Q & !QN"; - value : 125.629383; - } - leakage_power () { - when : "CK & !D & !RN & SN & !Q & QN"; - value : 143.938333; - } - leakage_power () { - when : "CK & !D & RN & !SN & Q & !QN"; - value : 118.090764; - } - leakage_power () { - when : "CK & !D & RN & SN & !Q & QN"; - value : 163.186133; - } - leakage_power () { - when : "CK & !D & RN & SN & Q & !QN"; - value : 137.124504; - } - leakage_power () { - when : "CK & D & !RN & !SN & !Q & !QN"; - value : 139.564898; - } - leakage_power () { - when : "CK & D & !RN & SN & !Q & QN"; - value : 157.880448; - } - leakage_power () { - when : "CK & D & RN & !SN & Q & !QN"; - value : 125.195763; - } - leakage_power () { - when : "CK & D & RN & SN & !Q & QN"; - value : 177.127148; - } - leakage_power () { - when : "CK & D & RN & SN & Q & !QN"; - value : 144.228843; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.162239; - fall_capacitance : 1.086386; - rise_capacitance : 1.162239; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.002456,0.011655,0.011111", \ - "0.002714,0.008998,0.005027", \ - "0.140296,0.148399,0.136992"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.003944,0.015663,0.019375", \ - "0.019602,0.031149,0.034854", \ - "0.098036,0.111950,0.119456"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.036869,0.023620,0.017714", \ - "0.054482,0.041290,0.035409", \ - "0.100966,0.087056,0.079573"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.030899,0.027401,0.044029", \ - "0.042951,0.038114,0.051977", \ - "0.058700,0.050603,0.062035"); - } - } - - internal_power () { - - when : "!CK & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.756639,3.735907,3.706900,3.719175,3.853129,4.179532,4.717754"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.968903,1.932017,1.900445,1.910100,2.036301,2.345318,2.864294"); - } - - } - - internal_power () { - - when : "!CK & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.694875,4.674083,4.644864,4.655416,4.795098,5.137670,5.703986"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.704126,3.669204,3.635971,3.657260,3.815750,4.175426,4.760546"); - } - - } - - internal_power () { - - when : "!CK & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.756737,3.735701,3.706976,3.719095,3.853070,4.178202,4.717592"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.892626,1.857862,1.824474,1.837465,1.963355,2.272029,2.790818"); - } - - } - - internal_power () { - - when : "!CK & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.706508,4.685406,4.656250,4.666560,4.805579,5.150291,5.714442"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.799434,3.764435,3.731065,3.751575,3.910069,4.267847,4.850873"); - } - - } - - internal_power () { - - when : "!CK & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.649306,4.628402,4.599207,4.609847,4.751005,5.096672,5.663029"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.761287,3.726405,3.693543,3.709771,3.866070,4.219139,4.798562"); - } - - } - - internal_power () { - - when : "CK & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.736589,0.709418,0.699613,0.693328,0.690223,0.688414,0.686158"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.627399,-0.632567,-0.634999,-0.637364,-0.639833,-0.640621,-0.642379"); - } - - } - - internal_power () { - - when : "CK & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.735294,0.709142,0.698515,0.693569,0.690394,0.688565,0.686809"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.628023,-0.631942,-0.634950,-0.637329,-0.639783,-0.640577,-0.642313"); - } - - } - - internal_power () { - - when : "CK & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650532,0.658212,0.659645,0.658785,0.658421,0.657681,0.657311"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.433507,-0.496787,-0.550521,-0.572369,-0.585488,-0.592926,-0.598738"); - } - - } - - internal_power () { - - when : "CK & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.732531,0.709399,0.699625,0.693284,0.690195,0.688378,0.686128"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.629615,-0.633599,-0.635752,-0.637708,-0.639937,-0.640776,-0.642552"); - } - - } - - internal_power () { - - when : "CK & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650805,0.658133,0.659769,0.658896,0.658518,0.657757,0.657426"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.433296,-0.497225,-0.549767,-0.571633,-0.583517,-0.591614,-0.597810"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.844314; - fall_capacitance : 1.760832; - rise_capacitance : 1.844314; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.046400,-0.060751,-0.067778", \ - "-0.036547,-0.050920,-0.058100", \ - "0.022736,0.004011,-0.006086"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.140117,0.150069,0.158490", \ - "0.150420,0.160166,0.168792", \ - "0.217493,0.226807,0.234481"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.163252,0.192756,0.308726"); - } - } - - internal_power () { - - when : "!CK & !D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.991214,0.960451,0.949321,0.944801,0.941602,0.939621,0.937473"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.881632,-0.883028,-0.886258,-0.888003,-0.889352,-0.888541,-0.888926"); - } - - } - - internal_power () { - - when : "!CK & D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.999233,0.965119,0.952396,0.948083,0.945033,0.943304,0.941259"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.834920,-0.842142,-0.854476,-0.862959,-0.867741,-0.868253,-0.870156"); - } - - } - - internal_power () { - - when : "CK & !D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.018631,0.977650,0.959280,0.952120,0.948241,0.945781,0.941913"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.879205,-0.882016,-0.884595,-0.886774,-0.886861,-0.887292,-0.888898"); - } - - } - - internal_power () { - - when : "CK & D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.017863,0.976884,0.958464,0.951344,0.947470,0.945024,0.941148"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.881503,-0.883958,-0.886566,-0.888812,-0.888955,-0.889308,-0.891625"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.620929; - fall_capacitance : 2.460245; - rise_capacitance : 2.620929; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.072958,-0.085306,-0.092176", \ - "-0.070617,-0.083323,-0.089861", \ - "-0.034194,-0.051335,-0.061183"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.190180,0.202555,0.209475", \ - "0.244956,0.257377,0.264075", \ - "0.437076,0.449450,0.456148"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.141886,0.171557,0.290184"); - } - } - - internal_power () { - - when : "!CK & !D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.910617,2.828909,2.826916,2.991088,3.323686,3.872285,4.657685"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.245163,-0.273644,-0.282301,-0.169736,0.130858,0.662485,1.437032"); - } - - } - - internal_power () { - - when : "!CK & D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.573786,1.536766,1.520213,1.513140,1.508770,1.505458,1.502188"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.244330,-1.247490,-1.251710,-1.253060,-1.256620,-1.255690,-1.256720"); - } - - } - - internal_power () { - - when : "CK & !D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.548194,1.520506,1.510047,1.504954,1.501421,1.498553,1.496269"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.228550,-1.229540,-1.232630,-1.238510,-1.247780,-1.257380,-1.258830"); - } - - } - - internal_power () { - - when : "CK & D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.548620,1.520768,1.510323,1.505228,1.501690,1.498776,1.496545"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.199630,-1.200450,-1.203520,-1.209390,-1.218340,-1.231080,-1.239710"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.940231; - fall_capacitance : 0.843882; - rise_capacitance : 0.940231; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.054285,0.069556,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.045738,0.048050,0.198733"); - } - } - - internal_power () { - - when : "!D & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.336726,5.312067,5.333820,5.515782,5.886380,6.475835,7.335715"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.032003,5.011890,5.006046,5.138781,5.496231,6.132575,7.058669"); - } - - } - - internal_power () { - - when : "!D & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.914047,3.890945,3.912380,4.094184,4.460730,5.048662,5.902522"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.614175,3.595024,3.584696,3.708294,4.051456,4.664823,5.571506"); - } - - } - - internal_power () { - - when : "!D & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.322668,6.301167,6.325923,6.519350,6.903072,7.508863,8.375413"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("21.480040,21.458890,21.455970,21.588420,21.944630,22.576040,23.510590"); - } - - } - - internal_power () { - - when : "!D & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.913608,3.889691,3.911722,4.093266,4.459343,5.047434,5.901071"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.621208,3.597601,3.591371,3.712913,4.054462,4.669562,5.574752"); - } - - } - - internal_power () { - - when : "!D & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.500684,7.473247,7.497283,7.688365,8.071633,8.674164,9.541325"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !RN & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.878016,6.853432,6.874048,7.059689,7.441094,8.043353,8.919178"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.316838,8.296564,8.265039,8.371642,8.698969,9.309807,10.229770"); - } - - } - - internal_power () { - - when : "D & !RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.159619,7.135224,7.155531,7.342829,7.727183,8.339246,9.227865"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.249450,11.229580,11.190170,11.289190,11.625550,12.252610,13.196600"); - } - - } - - internal_power () { - - when : "D & RN & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.707328,3.685202,3.706595,3.885260,4.251212,4.832647,5.677966"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.776625,3.759469,3.752232,3.874379,4.208771,4.813031,5.713234"); - } - - } - - internal_power () { - - when : "D & RN & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.285863,7.256895,7.276927,7.465629,7.848838,8.460836,9.349956"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.709054,3.685493,3.707018,3.886804,4.249200,4.832068,5.678056"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.785232,3.768116,3.760596,3.883099,4.217353,4.821272,5.721599"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0807430,0.0854016,0.0894429,0.0961061,0.107273,0.127039,0.164096", \ - "0.0822230,0.0868842,0.0909253,0.0975831,0.108755,0.128519,0.165577", \ - "0.0872230,0.0918825,0.0959260,0.102588,0.113756,0.133524,0.170579", \ - "0.0926853,0.0973447,0.101389,0.108048,0.119224,0.138992,0.176047", \ - "0.0968879,0.101542,0.105596,0.112256,0.123425,0.143185,0.180251", \ - "0.0996184,0.104276,0.108314,0.114980,0.126148,0.145914,0.182969", \ - "0.100366,0.105048,0.109062,0.115735,0.126914,0.146660,0.183732"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0804108,0.0868975,0.0927796,0.103133,0.122169,0.158786,0.231400", \ - "0.0819025,0.0883869,0.0942709,0.104624,0.123658,0.160271,0.232891", \ - "0.0868826,0.0933698,0.0992546,0.109608,0.128644,0.165256,0.237888", \ - "0.0921921,0.0986793,0.104566,0.114919,0.133953,0.170569,0.243190", \ - "0.0960302,0.102513,0.108398,0.118757,0.137786,0.174406,0.247028", \ - "0.0983725,0.104863,0.110750,0.121108,0.140121,0.176745,0.249377", \ - "0.0988453,0.105338,0.111228,0.121586,0.140614,0.177238,0.249870"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00580925,0.00781518,0.00980257,0.0135202,0.0208254,0.0355569,0.0662483", \ - "0.00581252,0.00781771,0.00980478,0.0135195,0.0208250,0.0355567,0.0662376", \ - "0.00581176,0.00781381,0.00980344,0.0135192,0.0208260,0.0355566,0.0662506", \ - "0.00581387,0.00781669,0.00980413,0.0135188,0.0208227,0.0355552,0.0662393", \ - "0.00580657,0.00781208,0.00980500,0.0135209,0.0208233,0.0355556,0.0662444", \ - "0.00581191,0.00781504,0.00980343,0.0135195,0.0208233,0.0355478,0.0662393", \ - "0.00581549,0.00781629,0.00980566,0.0135214,0.0208231,0.0355557,0.0662406"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00727953,0.0110332,0.0149220,0.0227614,0.0390050,0.0727040,0.141484", \ - "0.00728309,0.0110348,0.0149245,0.0227601,0.0390042,0.0727041,0.141489", \ - "0.00728100,0.0110371,0.0149253,0.0227628,0.0390063,0.0727043,0.141491", \ - "0.00728330,0.0110391,0.0149307,0.0227627,0.0390092,0.0727017,0.141485", \ - "0.00727883,0.0110433,0.0149326,0.0227688,0.0390111,0.0727056,0.141489", \ - "0.00729948,0.0110523,0.0149400,0.0227733,0.0390104,0.0727007,0.141485", \ - "0.00732091,0.0110706,0.0149524,0.0227824,0.0390158,0.0727068,0.141491"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028870,0.033463,0.037407,0.043883,0.054728,0.074026,0.110918", \ - "0.030463,0.035055,0.038998,0.045475,0.056320,0.075613,0.112509", \ - "0.036802,0.041378,0.045313,0.051790,0.062643,0.081942,0.118840", \ - "0.049208,0.053840,0.057789,0.064277,0.075141,0.094439,0.131338", \ - "0.063146,0.068368,0.072795,0.079878,0.091297,0.110848,0.147685", \ - "0.077549,0.083284,0.088202,0.096004,0.108213,0.128443,0.165504", \ - "0.092889,0.099099,0.104453,0.112960,0.126130,0.147189,0.184578"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.032277,0.038663,0.044448,0.054629,0.073415,0.109946,0.182635", \ - "0.033560,0.039947,0.045731,0.055913,0.074702,0.111229,0.183922", \ - "0.037048,0.043427,0.049203,0.059372,0.078149,0.114675,0.187376", \ - "0.042709,0.049153,0.054965,0.065137,0.083875,0.120374,0.193065", \ - "0.048899,0.055550,0.061512,0.071829,0.090637,0.127102,0.199741", \ - "0.054557,0.061562,0.067806,0.078400,0.097313,0.133733,0.206308", \ - "0.058794,0.066272,0.072963,0.084055,0.103342,0.139832,0.212329"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005677,0.007581,0.009483,0.013078,0.020186,0.034960,0.066111", \ - "0.005675,0.007581,0.009480,0.013081,0.020179,0.034966,0.066096", \ - "0.005674,0.007587,0.009492,0.013085,0.020177,0.034959,0.066095", \ - "0.006383,0.008093,0.009857,0.013328,0.020312,0.035016,0.066116", \ - "0.008446,0.010160,0.011839,0.015039,0.021500,0.035520,0.066188", \ - "0.010583,0.012378,0.014093,0.017220,0.023374,0.036760,0.066642", \ - "0.012840,0.014698,0.016482,0.019658,0.025619,0.038322,0.067351"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006925,0.010646,0.014478,0.022240,0.038589,0.072669,0.141669", \ - "0.006923,0.010645,0.014476,0.022241,0.038578,0.072678,0.141652", \ - "0.006912,0.010637,0.014471,0.022238,0.038587,0.072671,0.141651", \ - "0.007181,0.010880,0.014672,0.022350,0.038612,0.072686,0.141681", \ - "0.007812,0.011523,0.015276,0.022828,0.038872,0.072730,0.141663", \ - "0.008966,0.012661,0.016338,0.023634,0.039309,0.072916,0.141725", \ - "0.010530,0.014296,0.017979,0.025017,0.040195,0.073302,0.141810"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029206,0.033940,0.038030,0.044767,0.056074,0.076146,0.113445", \ - "0.030797,0.035530,0.039623,0.046363,0.057666,0.077739,0.115039", \ - "0.037135,0.041854,0.045939,0.052676,0.063988,0.084067,0.121368", \ - "0.049623,0.054384,0.058475,0.065217,0.076546,0.096626,0.133905", \ - "0.063771,0.069163,0.073767,0.081149,0.093050,0.113363,0.150533", \ - "0.078420,0.084366,0.089494,0.097659,0.110508,0.131624,0.168857", \ - "0.094078,0.100513,0.106113,0.115036,0.128938,0.151021,0.188320"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005871,0.007887,0.009893,0.013667,0.021118,0.035992,0.066307", \ - "0.005869,0.007886,0.009897,0.013667,0.021119,0.035996,0.066287", \ - "0.005869,0.007892,0.009902,0.013671,0.021121,0.035990,0.066299", \ - "0.006590,0.008386,0.010259,0.013907,0.021248,0.036026,0.066283", \ - "0.008767,0.010590,0.012380,0.015727,0.022483,0.036464,0.066288", \ - "0.011057,0.012976,0.014824,0.018155,0.024645,0.037805,0.066546", \ - "0.013460,0.015455,0.017373,0.020795,0.027148,0.039392,0.066874"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028870,0.033463,0.037407,0.043883,0.054729,0.074026,0.110919", \ - "0.030463,0.035056,0.038999,0.045476,0.056320,0.075614,0.112510", \ - "0.036801,0.041378,0.045313,0.051790,0.062643,0.081943,0.118841", \ - "0.049208,0.053840,0.057787,0.064277,0.075141,0.094439,0.131332", \ - "0.063153,0.068373,0.072803,0.079884,0.091298,0.110847,0.147686", \ - "0.077545,0.083290,0.088201,0.095996,0.108221,0.128446,0.165507", \ - "0.092883,0.099095,0.104453,0.112952,0.126131,0.147181,0.184575"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.032272,0.038660,0.044444,0.054627,0.073410,0.109937,0.182643", \ - "0.033557,0.039944,0.045728,0.055912,0.074700,0.111228,0.183917", \ - "0.037048,0.043427,0.049203,0.059374,0.078146,0.114672,0.187372", \ - "0.042709,0.049151,0.054963,0.065134,0.083869,0.120373,0.193062", \ - "0.048893,0.055547,0.061509,0.071825,0.090635,0.127095,0.199744", \ - "0.054551,0.061559,0.067807,0.078395,0.097311,0.133733,0.206298", \ - "0.058794,0.066258,0.072959,0.084059,0.103327,0.139831,0.212328"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005677,0.007581,0.009479,0.013076,0.020186,0.034961,0.066111", \ - "0.005676,0.007581,0.009480,0.013081,0.020179,0.034966,0.066096", \ - "0.005674,0.007589,0.009489,0.013085,0.020177,0.034959,0.066095", \ - "0.006383,0.008093,0.009855,0.013328,0.020312,0.035016,0.066111", \ - "0.008441,0.010161,0.011838,0.015039,0.021500,0.035517,0.066188", \ - "0.010586,0.012376,0.014098,0.017222,0.023381,0.036760,0.066641", \ - "0.012843,0.014698,0.016483,0.019659,0.025613,0.038319,0.067353"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006926,0.010649,0.014482,0.022240,0.038589,0.072673,0.141673", \ - "0.006926,0.010645,0.014476,0.022240,0.038577,0.072678,0.141658", \ - "0.006910,0.010636,0.014470,0.022234,0.038587,0.072669,0.141651", \ - "0.007181,0.010879,0.014672,0.022352,0.038611,0.072688,0.141698", \ - "0.007811,0.011523,0.015277,0.022827,0.038880,0.072736,0.141661", \ - "0.008960,0.012665,0.016338,0.023635,0.039308,0.072923,0.141706", \ - "0.010533,0.014299,0.017979,0.025010,0.040189,0.073306,0.141809"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029207,0.033944,0.038033,0.044771,0.056078,0.076149,0.113450", \ - "0.030799,0.035534,0.039626,0.046364,0.057672,0.077745,0.115043", \ - "0.037132,0.041853,0.045937,0.052673,0.063991,0.084068,0.121366", \ - "0.049620,0.054388,0.058473,0.065217,0.076545,0.096628,0.133903", \ - "0.063771,0.069164,0.073770,0.081153,0.093058,0.113368,0.150535", \ - "0.078414,0.084376,0.089496,0.097662,0.110520,0.131638,0.168865", \ - "0.094063,0.100504,0.106106,0.115031,0.128941,0.151023,0.188319"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005876,0.007885,0.009894,0.013667,0.021118,0.035994,0.066300", \ - "0.005871,0.007889,0.009898,0.013667,0.021119,0.035996,0.066287", \ - "0.005869,0.007892,0.009900,0.013672,0.021121,0.035987,0.066300", \ - "0.006592,0.008387,0.010260,0.013908,0.021249,0.036026,0.066294", \ - "0.008765,0.010590,0.012380,0.015727,0.022485,0.036464,0.066290", \ - "0.011056,0.012975,0.014820,0.018154,0.024643,0.037805,0.066539", \ - "0.013462,0.015456,0.017374,0.020798,0.027147,0.039391,0.066875"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029025,0.033594,0.037529,0.043999,0.054841,0.074132,0.110997", \ - "0.030618,0.035187,0.039120,0.045593,0.056437,0.075726,0.112594", \ - "0.036949,0.041505,0.045428,0.051902,0.062752,0.082048,0.118921", \ - "0.049363,0.053967,0.057902,0.064385,0.075248,0.094548,0.131412", \ - "0.063349,0.068532,0.072938,0.080006,0.091407,0.110963,0.147784", \ - "0.077756,0.083455,0.088340,0.096106,0.108329,0.128544,0.165613", \ - "0.093093,0.099266,0.104594,0.113067,0.126185,0.147253,0.184650"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.150306,0.156701,0.162474,0.172598,0.191313,0.227765,0.300407", \ - "0.151609,0.158003,0.163763,0.173904,0.192609,0.229055,0.301718", \ - "0.154686,0.161080,0.166837,0.176972,0.195679,0.232147,0.304782", \ - "0.159758,0.166159,0.171922,0.182058,0.200763,0.237218,0.309874", \ - "0.167033,0.173542,0.179287,0.189378,0.208066,0.244520,0.317157", \ - "0.175615,0.182029,0.187763,0.197896,0.216565,0.253024,0.325662", \ - "0.184805,0.191389,0.197129,0.207396,0.226086,0.262557,0.335200"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005665,0.007559,0.009461,0.013067,0.020182,0.034945,0.066094", \ - "0.005666,0.007561,0.009464,0.013069,0.020170,0.034949,0.066092", \ - "0.005662,0.007563,0.009469,0.013077,0.020170,0.034940,0.066082", \ - "0.006346,0.008048,0.009825,0.013312,0.020306,0.035000,0.066107", \ - "0.008395,0.010097,0.011783,0.015002,0.021478,0.035504,0.066197", \ - "0.010521,0.012298,0.014014,0.017165,0.023350,0.036751,0.066668", \ - "0.012758,0.014591,0.016372,0.019570,0.025571,0.038301,0.067334"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.007184,0.010830,0.014610,0.022316,0.038590,0.072609,0.141596", \ - "0.007185,0.010830,0.014611,0.022315,0.038588,0.072603,0.141652", \ - "0.007188,0.010826,0.014612,0.022312,0.038588,0.072609,0.141595", \ - "0.007188,0.010828,0.014610,0.022317,0.038591,0.072604,0.141660", \ - "0.007184,0.010828,0.014614,0.022317,0.038581,0.072619,0.141606", \ - "0.007178,0.010820,0.014606,0.022311,0.038575,0.072630,0.141599", \ - "0.007371,0.011048,0.014832,0.022504,0.038706,0.072630,0.141668"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029349,0.034038,0.038096,0.044783,0.055983,0.075801,0.112927", \ - "0.030940,0.035630,0.039690,0.046376,0.057576,0.077399,0.114520", \ - "0.037271,0.041943,0.045995,0.052680,0.063890,0.083715,0.120842", \ - "0.049764,0.054476,0.058528,0.065224,0.076448,0.096283,0.133404", \ - "0.063937,0.069260,0.073814,0.081126,0.092909,0.112992,0.150073", \ - "0.078558,0.084430,0.089495,0.097586,0.110274,0.131131,0.168498", \ - "0.094105,0.100477,0.106014,0.114894,0.128636,0.150465,0.188229"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005827,0.007816,0.009813,0.013546,0.020880,0.035633,0.066348", \ - "0.005830,0.007816,0.009813,0.013547,0.020880,0.035633,0.066337", \ - "0.005823,0.007821,0.009819,0.013550,0.020882,0.035633,0.066345", \ - "0.006503,0.008291,0.010163,0.013781,0.021007,0.035676,0.066368", \ - "0.008635,0.010440,0.012228,0.015559,0.022225,0.036175,0.066471", \ - "0.010856,0.012772,0.014617,0.017930,0.024311,0.037575,0.066947", \ - "0.013200,0.015203,0.017142,0.020554,0.026799,0.039353,0.067723"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029025,0.033594,0.037529,0.043999,0.054841,0.074132,0.110997", \ - "0.030618,0.035187,0.039120,0.045593,0.056437,0.075726,0.112594", \ - "0.036949,0.041505,0.045428,0.051902,0.062752,0.082048,0.118921", \ - "0.049363,0.053967,0.057902,0.064385,0.075248,0.094548,0.131411", \ - "0.063349,0.068532,0.072938,0.080005,0.091407,0.110963,0.147784", \ - "0.077756,0.083455,0.088340,0.096106,0.108329,0.128544,0.165613", \ - "0.093093,0.099266,0.104594,0.113067,0.126185,0.147251,0.184650"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.150298,0.156690,0.162462,0.172589,0.191303,0.227754,0.300395", \ - "0.151597,0.157990,0.163754,0.173890,0.192597,0.229039,0.301691", \ - "0.154675,0.161068,0.166827,0.176969,0.195671,0.232122,0.304770", \ - "0.159741,0.166142,0.171906,0.182046,0.200744,0.237200,0.309853", \ - "0.167019,0.173524,0.179271,0.189363,0.208052,0.244547,0.317143", \ - "0.175592,0.182016,0.187747,0.197880,0.216551,0.252997,0.325642", \ - "0.184788,0.191364,0.197112,0.207376,0.226070,0.262545,0.335186"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005665,0.007559,0.009461,0.013067,0.020181,0.034945,0.066094", \ - "0.005666,0.007561,0.009464,0.013070,0.020170,0.034949,0.066091", \ - "0.005662,0.007563,0.009469,0.013077,0.020170,0.034939,0.066082", \ - "0.006346,0.008048,0.009825,0.013312,0.020306,0.035000,0.066106", \ - "0.008395,0.010097,0.011783,0.015002,0.021478,0.035504,0.066197", \ - "0.010521,0.012298,0.014014,0.017165,0.023349,0.036751,0.066668", \ - "0.012758,0.014591,0.016372,0.019570,0.025571,0.038301,0.067333"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.007184,0.010830,0.014611,0.022316,0.038590,0.072610,0.141597", \ - "0.007185,0.010828,0.014613,0.022315,0.038588,0.072611,0.141615", \ - "0.007188,0.010826,0.014611,0.022311,0.038585,0.072620,0.141595", \ - "0.007188,0.010826,0.014612,0.022317,0.038588,0.072616,0.141621", \ - "0.007184,0.010828,0.014612,0.022317,0.038580,0.072603,0.141605", \ - "0.007178,0.010819,0.014607,0.022309,0.038575,0.072630,0.141601", \ - "0.007372,0.011048,0.014833,0.022504,0.038707,0.072630,0.141665"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029349,0.034038,0.038096,0.044783,0.055983,0.075801,0.112926", \ - "0.030940,0.035630,0.039690,0.046376,0.057576,0.077399,0.114520", \ - "0.037271,0.041943,0.045995,0.052680,0.063890,0.083715,0.120842", \ - "0.049764,0.054476,0.058528,0.065224,0.076448,0.096282,0.133404", \ - "0.063937,0.069260,0.073814,0.081126,0.092909,0.112992,0.150073", \ - "0.078557,0.084430,0.089495,0.097586,0.110274,0.131131,0.168498", \ - "0.094105,0.100477,0.106014,0.114894,0.128636,0.150465,0.188229"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005827,0.007816,0.009813,0.013546,0.020880,0.035633,0.066349", \ - "0.005830,0.007816,0.009813,0.013547,0.020880,0.035633,0.066337", \ - "0.005823,0.007821,0.009819,0.013550,0.020882,0.035633,0.066345", \ - "0.006503,0.008291,0.010163,0.013781,0.021007,0.035676,0.066368", \ - "0.008635,0.010440,0.012228,0.015559,0.022225,0.036175,0.066472", \ - "0.010856,0.012772,0.014617,0.017930,0.024311,0.037575,0.066945", \ - "0.013200,0.015203,0.017142,0.020554,0.026799,0.039353,0.067731"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.172731,0.180049,0.186488,0.197119,0.215934,0.252253,0.324689", \ - "0.174236,0.181551,0.187989,0.198622,0.217434,0.253746,0.326187", \ - "0.180180,0.187497,0.193941,0.204570,0.223386,0.259699,0.332153", \ - "0.190017,0.197339,0.203781,0.214415,0.233229,0.269552,0.342000", \ - "0.204925,0.212236,0.218677,0.229298,0.248093,0.284406,0.356837", \ - "0.226551,0.233852,0.240287,0.250903,0.269684,0.305961,0.378369", \ - "0.254601,0.261953,0.268429,0.279079,0.297884,0.334122,0.406490"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.009998,0.013614,0.017047,0.023894,0.039285,0.072881,0.141544", \ - "0.009999,0.013616,0.017046,0.023894,0.039287,0.072879,0.141549", \ - "0.010000,0.013617,0.017044,0.023893,0.039289,0.072892,0.141540", \ - "0.009997,0.013614,0.017044,0.023889,0.039286,0.072890,0.141555", \ - "0.010000,0.013614,0.017039,0.023892,0.039290,0.072885,0.141547", \ - "0.010007,0.013626,0.017051,0.023898,0.039289,0.072884,0.141545", \ - "0.010201,0.013803,0.017220,0.024006,0.039331,0.072899,0.141555"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.172771,0.180086,0.186522,0.197150,0.215960,0.252273,0.324696", \ - "0.174280,0.181590,0.188032,0.198664,0.217465,0.253772,0.326201", \ - "0.180226,0.187542,0.193982,0.204621,0.223427,0.259727,0.332171", \ - "0.190022,0.197338,0.203782,0.214421,0.233235,0.269551,0.341987", \ - "0.204901,0.212210,0.218648,0.229295,0.248070,0.284379,0.356798", \ - "0.226501,0.233817,0.240249,0.250869,0.269622,0.305898,0.378300", \ - "0.254543,0.261911,0.268397,0.279010,0.297785,0.334020,0.406366"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.010005,0.013621,0.017052,0.023899,0.039290,0.072881,0.141553", \ - "0.010005,0.013622,0.017053,0.023899,0.039289,0.072895,0.141543", \ - "0.010005,0.013623,0.017049,0.023899,0.039291,0.072896,0.141540", \ - "0.010004,0.013620,0.017059,0.023893,0.039287,0.072888,0.141555", \ - "0.010002,0.013620,0.017052,0.023893,0.039291,0.072879,0.141548", \ - "0.010011,0.013629,0.017057,0.023901,0.039290,0.072880,0.141544", \ - "0.010204,0.013809,0.017227,0.024011,0.039332,0.072897,0.141556"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.165209,0.171635,0.177440,0.187601,0.206295,0.242806,0.315418", \ - "0.166632,0.173055,0.178852,0.189001,0.207706,0.244218,0.316823", \ - "0.172836,0.179271,0.185058,0.195218,0.213901,0.250412,0.323028", \ - "0.181952,0.188379,0.194171,0.204322,0.223011,0.259523,0.332135", \ - "0.191994,0.198437,0.204222,0.214365,0.233074,0.269576,0.342185", \ - "0.203323,0.209753,0.215542,0.225671,0.244406,0.280929,0.353521", \ - "0.216280,0.222700,0.228487,0.238606,0.257360,0.293879,0.366469"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.007232,0.010882,0.014661,0.022354,0.038618,0.072607,0.141439", \ - "0.007223,0.010871,0.014652,0.022352,0.038615,0.072608,0.141437", \ - "0.007212,0.010862,0.014647,0.022344,0.038609,0.072614,0.141442", \ - "0.007213,0.010862,0.014644,0.022342,0.038605,0.072603,0.141447", \ - "0.007213,0.010859,0.014643,0.022341,0.038606,0.072604,0.141445", \ - "0.007209,0.010859,0.014643,0.022341,0.038602,0.072592,0.141433", \ - "0.007212,0.010858,0.014639,0.022329,0.038596,0.072571,0.141433"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.165315,0.171738,0.177541,0.187704,0.206388,0.242882,0.315478", \ - "0.166735,0.173156,0.178954,0.189102,0.207788,0.244284,0.316887", \ - "0.172937,0.179368,0.185156,0.195311,0.213987,0.250487,0.323086", \ - "0.182046,0.188474,0.194263,0.204404,0.223095,0.259593,0.332192", \ - "0.192087,0.198524,0.204312,0.214445,0.233150,0.269647,0.342239", \ - "0.203401,0.209829,0.215618,0.225744,0.244475,0.280987,0.353566", \ - "0.216347,0.222773,0.228554,0.238671,0.257420,0.293934,0.366513"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.007235,0.010884,0.014664,0.022355,0.038617,0.072607,0.141443", \ - "0.007223,0.010871,0.014656,0.022353,0.038614,0.072621,0.141435", \ - "0.007214,0.010866,0.014647,0.022345,0.038610,0.072616,0.141442", \ - "0.007212,0.010859,0.014645,0.022343,0.038602,0.072607,0.141448", \ - "0.007213,0.010862,0.014645,0.022343,0.038607,0.072609,0.141446", \ - "0.007211,0.010859,0.014645,0.022343,0.038605,0.072588,0.141432", \ - "0.007212,0.010859,0.014640,0.022328,0.038598,0.072574,0.141433"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.893920,7.907707,8.005418,8.035806,8.082411,8.136988,8.093054", \ - "7.858755,7.937866,7.963798,8.027995,8.074619,8.126317,7.988757", \ - "7.874771,7.953662,7.979939,8.031907,8.058742,8.037695,8.139900", \ - "7.900536,7.979696,8.057476,8.107405,8.084625,8.203792,8.097364", \ - "8.114733,8.127762,8.231199,8.240903,8.217368,8.337736,8.382026", \ - "8.378717,8.474455,8.531595,8.524424,8.501835,8.482119,8.629495", \ - "8.851445,8.916879,9.008445,9.027628,8.995202,9.114224,8.955572"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.889408,7.942736,8.014775,8.212429,8.381021,8.332548,8.436508", \ - "7.880123,7.927254,7.993803,8.224056,8.328347,8.389275,8.232927", \ - "7.875467,7.919835,8.007892,8.202115,8.374379,8.381459,8.341190", \ - "7.931796,7.996555,8.065928,8.268092,8.389291,8.491914,8.396743", \ - "8.097584,8.148379,8.227923,8.439587,8.549682,8.590248,8.603589", \ - "8.417108,8.469617,8.532803,8.737727,8.852830,8.960978,8.814942", \ - "8.905995,8.936440,8.995803,9.211193,9.351303,9.450442,9.410732"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.499457,5.805303,6.100896,6.415208,6.628942,6.697337,6.728244", \ - "5.463300,5.768740,6.052483,6.358858,6.598775,6.664936,6.695475", \ - "5.465728,5.754845,6.039201,6.338126,6.578121,6.663375,6.695597", \ - "5.804490,6.046850,6.258585,6.535764,6.732103,6.823269,6.864311", \ - "6.345258,6.489707,6.645273,6.984750,7.207394,7.276005,7.308521", \ - "7.347391,7.332120,7.349871,7.562347,7.854639,8.040127,8.046727", \ - "8.743858,8.593011,8.545422,8.624431,8.824545,8.992772,9.103071"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.394646,4.844672,5.109222,5.228763,5.422135,4.982622,5.002034", \ - "4.372635,4.849741,4.985203,5.254814,5.286130,5.511861,5.550620", \ - "4.316244,4.799788,4.924216,5.119167,5.307561,5.222365,4.944061", \ - "4.455119,4.892801,5.034685,5.171676,5.176538,5.394465,4.873546", \ - "4.844676,5.231378,5.305439,5.376483,5.509896,5.042245,5.308134", \ - "5.551998,5.883943,5.962471,6.110397,5.993638,5.808104,5.519530", \ - "6.508043,6.700270,6.913824,6.975768,6.871517,6.914605,6.781511"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.188540,6.307992,6.529827,6.730942,6.945152,6.861424,7.038640", \ - "6.147779,6.341941,6.527002,6.720461,6.874064,6.993968,6.936243", \ - "6.121647,6.301751,6.488306,6.737189,6.873597,6.725248,6.858462", \ - "6.355157,6.501598,6.635309,6.841575,6.982250,6.853618,6.819537", \ - "6.870683,6.928161,7.002345,7.089655,7.233404,7.234649,7.055459", \ - "7.529431,7.528502,7.504111,7.651388,7.704839,7.544300,7.517063", \ - "8.393315,8.309652,8.276321,8.235022,8.206824,8.187739,8.138107"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.544132,5.825296,6.108429,6.436228,6.648231,6.716696,6.747597", \ - "5.485854,5.790993,6.074435,6.380333,6.619994,6.685979,6.716292", \ - "5.469939,5.786834,6.058322,6.356961,6.599469,6.684797,6.717020", \ - "5.827238,6.068799,6.288263,6.557474,6.753386,6.844431,6.881519", \ - "6.377100,6.512234,6.697497,7.004598,7.228615,7.310533,7.329768", \ - "7.367475,7.353940,7.402739,7.602281,7.884403,8.061332,8.067877", \ - "8.746259,8.654711,8.570907,8.648765,8.845844,9.026610,9.126881"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.373484,4.890167,5.100781,5.221348,5.415325,4.973864,5.002589", \ - "4.363498,4.841074,4.976919,5.247194,5.279234,5.505020,5.543578", \ - "4.364067,4.783542,4.915670,5.111466,5.299820,5.121033,4.936178", \ - "4.445795,4.845444,5.026420,5.164440,5.168662,5.386651,5.480294", \ - "4.842628,5.228981,5.297167,5.369110,5.502754,5.030711,5.301250", \ - "5.543000,5.874643,5.953554,6.102814,5.985846,5.804379,5.509230", \ - "6.505488,6.692801,6.905316,7.114860,6.865430,6.905015,6.772764"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.284874,6.404062,6.623296,6.827047,6.976811,6.957743,7.133149", \ - "6.242057,6.435825,6.621366,6.815009,6.955659,7.087858,6.957322", \ - "6.242059,6.376786,6.596173,6.830675,6.961210,6.819092,6.835395", \ - "6.448397,6.594854,6.675295,6.939872,7.076352,7.091092,7.184538", \ - "6.962807,7.030793,7.095067,7.182548,7.327462,7.327803,7.146926", \ - "7.620554,7.584874,7.594494,7.737552,7.798212,7.636247,7.607198", \ - "8.483518,8.399050,8.366111,8.357619,8.388510,8.280027,8.228995"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.840232,6.183578,6.522969,6.935891,7.343848,7.836180,10.074880", \ - "5.799079,6.149579,6.480065,6.901483,7.309056,7.788369,10.082910", \ - "5.800782,6.125236,6.464962,6.867068,7.282204,7.775617,10.076300", \ - "6.151650,6.443046,6.710006,7.080290,7.463054,7.975525,10.312220", \ - "6.731501,6.913861,7.112912,7.546744,7.958703,8.435378,10.848380", \ - "7.764167,7.781535,7.850513,8.165949,8.621271,9.197238,11.499980", \ - "9.248788,9.152064,9.105823,9.240130,9.618259,10.142140,12.227520"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.203170,8.649249,8.869134,9.027373,8.851537,8.943251,8.951602", \ - "8.100677,8.658254,8.766227,8.916205,9.069725,8.699103,8.862699", \ - "8.138131,8.523751,8.838219,8.887934,8.876350,8.763036,9.022227", \ - "8.156987,8.634982,8.822688,9.006693,8.831687,8.719327,9.054795", \ - "8.091195,8.587029,8.866013,8.929855,8.920694,8.858004,9.225560", \ - "8.216465,8.700196,8.849896,9.039453,9.035223,8.924584,8.801270", \ - "8.367854,8.811246,8.954952,9.113431,8.931461,8.814178,8.885181"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.059420,6.198101,6.276222,6.412956,6.929205,8.870645,9.338287", \ - "6.077017,6.196347,6.231613,6.399841,6.998366,8.971459,9.203919", \ - "6.037669,6.143033,6.243856,6.416842,7.025642,8.844432,9.040113", \ - "6.297260,6.348201,6.376952,6.511249,7.153688,8.915530,9.128303", \ - "6.842275,6.763549,6.812274,6.819195,7.457631,9.264829,9.387976", \ - "7.471974,7.403515,7.327503,7.379913,7.787947,9.716210,9.885279", \ - "8.318792,8.162958,8.044109,7.977658,8.246509,10.240290,10.602920"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.840245,6.183583,6.522954,6.937238,7.343084,7.835871,10.074380", \ - "5.799095,6.149541,6.479952,6.902848,7.309012,7.788070,10.082350", \ - "5.800778,6.125222,6.464940,6.867011,7.282104,7.775326,10.076040", \ - "6.151620,6.443006,6.709948,7.081668,7.459286,7.974983,10.306530", \ - "6.731427,6.913753,7.112799,7.546591,7.958472,8.434921,10.848490", \ - "7.764019,7.781359,7.894184,8.167469,8.622025,9.194515,11.499530", \ - "9.248281,9.151714,9.105565,9.239124,9.617965,10.118320,12.228200"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.204568,8.654361,8.870467,8.870015,8.856761,8.946686,8.952973", \ - "8.102279,8.623933,8.767814,8.921475,9.074856,8.627882,8.847377", \ - "8.139492,8.525477,8.805805,8.892834,8.881192,8.987127,9.023976", \ - "8.158892,8.545828,8.824705,9.012197,8.837782,8.724193,9.046338", \ - "8.090969,8.589048,8.867870,8.935420,8.926427,8.810604,9.228061", \ - "8.214577,8.702212,8.851756,9.045197,9.061872,8.929625,8.805042", \ - "8.332221,8.814566,8.956971,9.119762,8.938424,8.820447,8.889104"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.059895,6.197673,6.276526,6.413277,6.930869,8.932324,9.334364", \ - "6.077292,6.196629,6.231912,6.400170,6.937756,8.970554,9.183051", \ - "6.037955,6.143328,6.244152,6.417168,7.029570,8.895724,9.036310", \ - "6.298039,6.348500,6.377250,6.511596,7.155331,8.881888,9.124587", \ - "6.842618,6.763838,6.812562,6.819540,7.459223,9.320327,9.384324", \ - "7.431716,7.399554,7.327737,7.380183,7.800485,9.670233,9.881918", \ - "8.318914,8.238970,8.044293,7.977839,8.247530,10.240000,10.599750"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.017039,8.108903,8.215113,8.269786,8.366682,8.325828,8.047478", \ - "7.975475,8.098181,8.153603,8.291224,8.337229,8.154835,8.191459", \ - "7.988952,8.081229,8.198564,8.224870,8.255496,8.216518,8.109447", \ - "8.304076,8.306714,8.391834,8.453440,8.389227,8.349636,8.466178", \ - "8.977355,8.884598,8.911818,8.928045,8.920531,8.720917,8.625554", \ - "9.941141,9.791055,9.752904,9.658002,9.537877,9.606864,9.532722", \ - "11.302440,11.067300,10.944930,10.840950,10.735160,10.628260,10.480150"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.346088,7.450799,7.540058,7.596164,7.694831,7.655129,7.378923", \ - "7.324224,7.443986,7.499548,7.587287,7.685602,7.503684,7.543565", \ - "7.330745,7.419618,7.537424,7.564245,7.595736,7.559484,7.453353", \ - "7.562323,7.571046,7.650870,7.702926,7.648898,7.610380,7.728811", \ - "8.065281,7.972895,8.001380,8.017217,8.011557,7.860643,7.719060", \ - "8.750897,8.602997,8.564916,8.471526,8.355758,8.426755,8.225316", \ - "9.720511,9.493073,9.402249,9.263215,9.160833,9.054651,8.910808"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.672472,8.833288,8.961661,9.047942,9.074120,8.897199,9.167804", \ - "8.617590,8.780590,8.925347,9.021016,9.047442,9.018293,8.977500", \ - "8.658800,8.811475,8.862866,8.987439,8.945340,9.061151,8.876454", \ - "8.928887,9.063212,9.137869,9.183033,9.128731,9.241926,9.282971", \ - "9.590151,9.574585,9.629782,9.630830,9.656184,9.645347,9.420873", \ - "10.561050,10.464630,10.363200,10.376110,10.254970,10.324880,10.181100", \ - "11.745370,11.602370,11.521150,11.426210,11.225730,11.320720,11.162140"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.669019,8.853478,8.959624,9.047425,9.100941,9.189828,9.176619", \ - "8.614040,8.784654,8.902371,9.020141,9.049427,9.022740,8.986114", \ - "8.655782,8.804837,8.927831,8.986743,9.088290,9.066193,8.884905", \ - "8.925534,9.011737,9.141429,9.182281,9.271490,9.246129,9.291423", \ - "9.587084,9.609318,9.605581,9.630497,9.691156,9.649398,9.429257", \ - "10.558810,10.463120,10.428770,10.376170,10.340580,10.330150,10.214220", \ - "11.743380,11.603320,11.520150,11.429510,11.368880,11.296680,11.170210"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.103941,0.107200,0.110307,0.115897,0.125925,0.144584,0.181156", \ - "0.105433,0.108692,0.111799,0.117389,0.127413,0.146073,0.182651", \ - "0.110418,0.113675,0.116782,0.122373,0.132397,0.151058,0.187642", \ - "0.115729,0.118986,0.122094,0.127683,0.137708,0.156369,0.192945", \ - "0.119568,0.122822,0.125924,0.131519,0.141539,0.160208,0.196789", \ - "0.121916,0.125169,0.128275,0.133871,0.143875,0.162541,0.199128", \ - "0.122399,0.125649,0.128752,0.134344,0.144366,0.163037,0.199627"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.111190,0.116419,0.121189,0.130060,0.147828,0.183824,0.256238", \ - "0.112674,0.117901,0.122673,0.131537,0.149310,0.185303,0.257717", \ - "0.117672,0.122901,0.127673,0.136543,0.154313,0.190308,0.262720", \ - "0.123135,0.128361,0.133136,0.142002,0.159780,0.195777,0.268188", \ - "0.127336,0.132565,0.137344,0.146209,0.163980,0.199969,0.272384", \ - "0.130067,0.135295,0.140062,0.148935,0.166704,0.202697,0.275112", \ - "0.130816,0.136068,0.140810,0.149689,0.167468,0.203440,0.275872"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00555723,0.00740394,0.00917283,0.0125877,0.0196080,0.0345496,0.0659713", \ - "0.00555507,0.00740757,0.00917202,0.0125853,0.0196110,0.0345496,0.0659845", \ - "0.00555173,0.00740800,0.00917422,0.0125858,0.0196055,0.0345539,0.0659795", \ - "0.00555550,0.00740622,0.00917108,0.0125891,0.0196074,0.0345536,0.0659726", \ - "0.00555521,0.00740706,0.00917140,0.0125907,0.0196094,0.0345522,0.0659726", \ - "0.00556025,0.00740801,0.00917517,0.0125869,0.0196155,0.0345431,0.0659728", \ - "0.00556071,0.00741125,0.00917810,0.0125908,0.0196101,0.0345501,0.0659964"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00631192,0.00989181,0.0137329,0.0215841,0.0381533,0.0723876,0.141352", \ - "0.00631382,0.00989033,0.0137385,0.0215841,0.0381511,0.0723877,0.141347", \ - "0.00631223,0.00989150,0.0137348,0.0215864,0.0381536,0.0723844,0.141352", \ - "0.00631087,0.00989070,0.0137337,0.0215871,0.0381510,0.0723842,0.141350", \ - "0.00631208,0.00989088,0.0137325,0.0215841,0.0381479,0.0723840,0.141351", \ - "0.00631173,0.00989183,0.0137352,0.0215859,0.0381491,0.0723855,0.141349", \ - "0.00631114,0.00989069,0.0137383,0.0215858,0.0381483,0.0723860,0.141345"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.059883,0.065152,0.069939,0.078818,0.096591,0.132594,0.205011", \ - "0.061473,0.066743,0.071531,0.080411,0.098180,0.134184,0.206607", \ - "0.067770,0.073039,0.077829,0.086709,0.104478,0.140479,0.212902", \ - "0.080407,0.085638,0.090398,0.099234,0.116967,0.152943,0.225349", \ - "0.097636,0.102638,0.107174,0.115711,0.133166,0.168943,0.241248", \ - "0.115933,0.120797,0.125096,0.133226,0.150292,0.185794,0.257930", \ - "0.135203,0.140011,0.144115,0.151843,0.168486,0.203691,0.275641"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006346,0.009919,0.013761,0.021603,0.038165,0.072393,0.141348", \ - "0.006347,0.009924,0.013761,0.021603,0.038166,0.072392,0.141344", \ - "0.006347,0.009924,0.013761,0.021603,0.038169,0.072393,0.141348", \ - "0.006374,0.009938,0.013770,0.021612,0.038173,0.072392,0.141350", \ - "0.006556,0.010095,0.013902,0.021688,0.038200,0.072401,0.141350", \ - "0.006920,0.010419,0.014152,0.021837,0.038268,0.072414,0.141344", \ - "0.007337,0.010816,0.014483,0.022024,0.038349,0.072436,0.141354"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.059885,0.065154,0.069943,0.078821,0.096594,0.132596,0.205015", \ - "0.061476,0.066745,0.071534,0.080412,0.098186,0.134190,0.206608", \ - "0.067769,0.073038,0.077827,0.086705,0.104479,0.140483,0.212903", \ - "0.080404,0.085641,0.090399,0.099235,0.116967,0.152943,0.225350", \ - "0.097636,0.102640,0.107177,0.115714,0.133177,0.168950,0.241253", \ - "0.115929,0.120807,0.125099,0.133229,0.150305,0.185808,0.257944", \ - "0.135190,0.140003,0.144109,0.151840,0.168491,0.203696,0.275641"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006345,0.009920,0.013761,0.021603,0.038165,0.072391,0.141347", \ - "0.006347,0.009921,0.013762,0.021601,0.038166,0.072392,0.141344", \ - "0.006352,0.009920,0.013761,0.021603,0.038168,0.072392,0.141348", \ - "0.006374,0.009937,0.013773,0.021612,0.038172,0.072397,0.141346", \ - "0.006557,0.010095,0.013902,0.021688,0.038203,0.072401,0.141350", \ - "0.006920,0.010415,0.014153,0.021840,0.038268,0.072413,0.141345", \ - "0.007337,0.010820,0.014483,0.022021,0.038349,0.072436,0.141354"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.059844,0.065094,0.069876,0.078761,0.096537,0.132537,0.204956", \ - "0.061435,0.066684,0.071467,0.080353,0.098130,0.134133,0.206549", \ - "0.067722,0.072973,0.077758,0.086641,0.104419,0.140419,0.212834", \ - "0.080352,0.085571,0.090326,0.099172,0.116910,0.152884,0.225288", \ - "0.097548,0.102527,0.107060,0.115601,0.133064,0.168849,0.241149", \ - "0.115766,0.120602,0.124888,0.133031,0.150089,0.185615,0.257757", \ - "0.134929,0.139713,0.143804,0.151565,0.168192,0.203409,0.275369"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006319,0.009898,0.013742,0.021590,0.038150,0.072385,0.141353", \ - "0.006320,0.009902,0.013744,0.021587,0.038150,0.072383,0.141348", \ - "0.006320,0.009896,0.013742,0.021589,0.038155,0.072383,0.141354", \ - "0.006339,0.009914,0.013756,0.021597,0.038153,0.072381,0.141354", \ - "0.006523,0.010068,0.013878,0.021674,0.038183,0.072390,0.141353", \ - "0.006878,0.010375,0.014119,0.021814,0.038244,0.072409,0.141354", \ - "0.007292,0.010771,0.014442,0.021991,0.038319,0.072439,0.141362"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.059844,0.065094,0.069876,0.078761,0.096537,0.132538,0.204956", \ - "0.061435,0.066684,0.071467,0.080353,0.098130,0.134133,0.206549", \ - "0.067722,0.072973,0.077758,0.086641,0.104419,0.140419,0.212834", \ - "0.080352,0.085571,0.090326,0.099172,0.116910,0.152884,0.225288", \ - "0.097548,0.102527,0.107060,0.115601,0.133064,0.168849,0.241149", \ - "0.115766,0.120602,0.124888,0.133030,0.150089,0.185615,0.257757", \ - "0.134929,0.139713,0.143804,0.151565,0.168192,0.203408,0.275369"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006319,0.009899,0.013742,0.021590,0.038150,0.072384,0.141353", \ - "0.006320,0.009902,0.013744,0.021587,0.038150,0.072382,0.141349", \ - "0.006320,0.009896,0.013742,0.021589,0.038155,0.072382,0.141354", \ - "0.006339,0.009914,0.013756,0.021597,0.038153,0.072382,0.141354", \ - "0.006523,0.010068,0.013878,0.021674,0.038182,0.072391,0.141353", \ - "0.006878,0.010374,0.014119,0.021814,0.038244,0.072409,0.141353", \ - "0.007292,0.010770,0.014442,0.021991,0.038319,0.072439,0.141362"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.025024,0.029230,0.032881,0.038956,0.049345,0.068281,0.105056", \ - "0.026602,0.030807,0.034459,0.040535,0.050924,0.069866,0.106635", \ - "0.032989,0.037173,0.040816,0.046892,0.057290,0.076233,0.113012", \ - "0.044793,0.049141,0.052882,0.059026,0.069462,0.088403,0.125152", \ - "0.057462,0.062345,0.066520,0.073260,0.084244,0.103457,0.140158", \ - "0.070749,0.076108,0.080722,0.088102,0.099807,0.119520,0.156374", \ - "0.085112,0.090889,0.095910,0.103955,0.116510,0.136919,0.173949"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.026498,0.032347,0.037765,0.047565,0.066093,0.102515,0.175122", \ - "0.027779,0.033625,0.039043,0.048844,0.067374,0.103802,0.176409", \ - "0.031469,0.037299,0.042701,0.052481,0.070995,0.107418,0.180037", \ - "0.037124,0.043093,0.048559,0.058365,0.076844,0.113244,0.185844", \ - "0.042806,0.049066,0.054688,0.064594,0.083095,0.119463,0.192005", \ - "0.047386,0.054109,0.060067,0.070253,0.088834,0.125115,0.197618", \ - "0.050373,0.057570,0.064059,0.074768,0.093677,0.130068,0.202502"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005017,0.006837,0.008704,0.012283,0.019470,0.034529,0.065965", \ - "0.005016,0.006838,0.008702,0.012283,0.019473,0.034527,0.065970", \ - "0.005020,0.006853,0.008720,0.012295,0.019480,0.034532,0.065971", \ - "0.006063,0.007678,0.009349,0.012689,0.019678,0.034592,0.065979", \ - "0.008057,0.009649,0.011269,0.014407,0.020906,0.035138,0.066057", \ - "0.010139,0.011765,0.013411,0.016469,0.022591,0.036181,0.066489", \ - "0.012363,0.014014,0.015709,0.018795,0.024691,0.037560,0.067073"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005913,0.009538,0.013426,0.021404,0.038113,0.072394,0.141463", \ - "0.005912,0.009542,0.013424,0.021401,0.038102,0.072386,0.141439", \ - "0.005908,0.009543,0.013431,0.021402,0.038105,0.072402,0.141456", \ - "0.006353,0.009935,0.013743,0.021588,0.038155,0.072405,0.141447", \ - "0.007241,0.010740,0.014420,0.022050,0.038402,0.072500,0.141443", \ - "0.008600,0.012109,0.015627,0.022887,0.038816,0.072656,0.141526", \ - "0.010268,0.013886,0.017414,0.024328,0.039705,0.073089,0.141642"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.025008,0.029222,0.032875,0.038956,0.049350,0.068293,0.105099", \ - "0.026588,0.030800,0.034453,0.040534,0.050929,0.069875,0.106678", \ - "0.032975,0.037164,0.040809,0.046889,0.057290,0.076240,0.113052", \ - "0.044778,0.049133,0.052875,0.059027,0.069466,0.088417,0.125218", \ - "0.057438,0.062328,0.066512,0.073248,0.084242,0.103468,0.140217", \ - "0.070733,0.076104,0.080725,0.088100,0.099803,0.119528,0.156427", \ - "0.085094,0.090898,0.095917,0.103954,0.116514,0.136944,0.174021"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005025,0.006846,0.008708,0.012287,0.019475,0.034535,0.066139", \ - "0.005026,0.006848,0.008711,0.012285,0.019476,0.034531,0.066141", \ - "0.005030,0.006859,0.008724,0.012299,0.019480,0.034538,0.066142", \ - "0.006075,0.007685,0.009351,0.012691,0.019678,0.034603,0.066170", \ - "0.008077,0.009661,0.011280,0.014413,0.020908,0.035138,0.066251", \ - "0.010163,0.011783,0.013421,0.016471,0.022592,0.036189,0.066641", \ - "0.012384,0.014034,0.015723,0.018807,0.024697,0.037559,0.067197"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.025007,0.029214,0.032864,0.038940,0.049328,0.068267,0.105038", \ - "0.026587,0.030793,0.034443,0.040519,0.050909,0.069851,0.106619", \ - "0.032974,0.037159,0.040802,0.046879,0.057277,0.076224,0.113002", \ - "0.044781,0.049132,0.052871,0.059014,0.069445,0.088389,0.125140", \ - "0.057443,0.062324,0.066503,0.073234,0.084224,0.103437,0.140132", \ - "0.070717,0.076068,0.080681,0.088067,0.099767,0.119483,0.156330", \ - "0.085067,0.090845,0.095867,0.103898,0.116463,0.136883,0.173911"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.026488,0.032338,0.037757,0.047557,0.066089,0.102510,0.175109", \ - "0.027769,0.033615,0.039032,0.048833,0.067366,0.103793,0.176391", \ - "0.031453,0.037282,0.042680,0.052461,0.070979,0.107396,0.180018", \ - "0.037090,0.043062,0.048526,0.058332,0.076814,0.113212,0.185812", \ - "0.042768,0.049026,0.054652,0.064558,0.083057,0.119422,0.191970", \ - "0.047339,0.054062,0.060023,0.070207,0.088786,0.125070,0.197574", \ - "0.050326,0.057523,0.064008,0.074730,0.093624,0.130019,0.202449"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005017,0.006836,0.008703,0.012281,0.019477,0.034530,0.065969", \ - "0.005016,0.006837,0.008704,0.012282,0.019474,0.034525,0.065974", \ - "0.005022,0.006852,0.008719,0.012291,0.019474,0.034528,0.065969", \ - "0.006067,0.007676,0.009349,0.012688,0.019679,0.034594,0.065974", \ - "0.008059,0.009645,0.011269,0.014407,0.020910,0.035137,0.066067", \ - "0.010139,0.011766,0.013410,0.016464,0.022597,0.036189,0.066496", \ - "0.012365,0.014013,0.015710,0.018795,0.024684,0.037561,0.067071"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005914,0.009538,0.013426,0.021403,0.038106,0.072393,0.141450", \ - "0.005911,0.009540,0.013424,0.021400,0.038103,0.072381,0.141440", \ - "0.005912,0.009542,0.013427,0.021404,0.038102,0.072410,0.141465", \ - "0.006351,0.009935,0.013744,0.021589,0.038154,0.072409,0.141451", \ - "0.007239,0.010739,0.014414,0.022049,0.038407,0.072501,0.141439", \ - "0.008598,0.012106,0.015629,0.022889,0.038822,0.072656,0.141520", \ - "0.010264,0.013885,0.017413,0.024325,0.039704,0.073088,0.141649"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024994,0.029205,0.032857,0.038933,0.049325,0.068265,0.105069", \ - "0.026574,0.030784,0.034435,0.040514,0.050904,0.069854,0.106654", \ - "0.032964,0.037152,0.040795,0.046873,0.057273,0.076222,0.113032", \ - "0.044768,0.049124,0.052862,0.059008,0.069443,0.088387,0.125181", \ - "0.057424,0.062312,0.066493,0.073237,0.084228,0.103437,0.140174", \ - "0.070713,0.076073,0.080690,0.088082,0.099781,0.119487,0.156374", \ - "0.085054,0.090842,0.095870,0.103920,0.116481,0.136905,0.173951"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005025,0.006845,0.008707,0.012289,0.019477,0.034536,0.066139", \ - "0.005027,0.006846,0.008711,0.012287,0.019477,0.034538,0.066142", \ - "0.005031,0.006860,0.008723,0.012299,0.019478,0.034535,0.066145", \ - "0.006074,0.007683,0.009351,0.012693,0.019681,0.034608,0.066170", \ - "0.008076,0.009660,0.011282,0.014419,0.020911,0.035145,0.066252", \ - "0.010162,0.011783,0.013424,0.016473,0.022596,0.036202,0.066643", \ - "0.012388,0.014037,0.015726,0.018809,0.024696,0.037569,0.067196"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.025024,0.029231,0.032881,0.038953,0.049343,0.068280,0.105049", \ - "0.026602,0.030808,0.034458,0.040532,0.050923,0.069865,0.106634", \ - "0.032990,0.037173,0.040815,0.046892,0.057289,0.076232,0.113011", \ - "0.044795,0.049144,0.052882,0.059026,0.069458,0.088405,0.125152", \ - "0.057468,0.062350,0.066522,0.073259,0.084241,0.103459,0.140154", \ - "0.070750,0.076105,0.080719,0.088105,0.099802,0.119518,0.156366", \ - "0.085107,0.090875,0.095893,0.103939,0.116503,0.136913,0.173950"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.026149,0.031986,0.037397,0.047190,0.065711,0.102139,0.174754", \ - "0.027437,0.033268,0.038680,0.048475,0.066994,0.103429,0.176049", \ - "0.031194,0.037008,0.042402,0.052175,0.070682,0.107112,0.179735", \ - "0.036963,0.042921,0.048381,0.058181,0.076654,0.113058,0.185674", \ - "0.042695,0.048950,0.054573,0.064471,0.082961,0.119330,0.191881", \ - "0.047254,0.053987,0.059950,0.070137,0.088707,0.124987,0.197483", \ - "0.050225,0.057443,0.063921,0.074652,0.093553,0.129939,0.202387"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005015,0.006838,0.008700,0.012283,0.019475,0.034529,0.065955", \ - "0.005015,0.006837,0.008703,0.012284,0.019470,0.034521,0.065966", \ - "0.005018,0.006852,0.008720,0.012292,0.019474,0.034531,0.065961", \ - "0.006060,0.007672,0.009347,0.012688,0.019677,0.034595,0.065965", \ - "0.008056,0.009640,0.011267,0.014404,0.020905,0.035136,0.066049", \ - "0.010135,0.011761,0.013405,0.016460,0.022597,0.036185,0.066491", \ - "0.012360,0.014012,0.015703,0.018794,0.024680,0.037559,0.067068"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005855,0.009493,0.013384,0.021370,0.038088,0.072445,0.141484", \ - "0.005854,0.009491,0.013385,0.021367,0.038078,0.072445,0.141491", \ - "0.005855,0.009499,0.013392,0.021371,0.038082,0.072438,0.141476", \ - "0.006314,0.009904,0.013716,0.021568,0.038128,0.072418,0.141473", \ - "0.007234,0.010731,0.014404,0.022030,0.038390,0.072499,0.141493", \ - "0.008607,0.012115,0.015632,0.022881,0.038805,0.072682,0.141531", \ - "0.010282,0.013897,0.017428,0.024327,0.039702,0.073112,0.141681"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.025013,0.029223,0.032877,0.038958,0.049352,0.068290,0.105049", \ - "0.026591,0.030802,0.034455,0.040537,0.050933,0.069877,0.106630", \ - "0.032978,0.037166,0.040812,0.046890,0.057291,0.076240,0.113001", \ - "0.044781,0.049139,0.052876,0.059029,0.069468,0.088410,0.125156", \ - "0.057443,0.062332,0.066508,0.073250,0.084237,0.103461,0.140147", \ - "0.070723,0.076091,0.080704,0.088080,0.099791,0.119493,0.156344", \ - "0.085080,0.090871,0.095893,0.103927,0.116489,0.136859,0.173903"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005023,0.006841,0.008706,0.012286,0.019475,0.034515,0.066015", \ - "0.005026,0.006844,0.008708,0.012284,0.019475,0.034512,0.066016", \ - "0.005029,0.006858,0.008723,0.012297,0.019485,0.034513,0.066018", \ - "0.006073,0.007680,0.009347,0.012689,0.019677,0.034590,0.066065", \ - "0.008068,0.009653,0.011281,0.014412,0.020902,0.035123,0.066220", \ - "0.010157,0.011778,0.013418,0.016469,0.022589,0.036161,0.066753", \ - "0.012377,0.014027,0.015717,0.018804,0.024689,0.037515,0.067439"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.025024,0.029231,0.032881,0.038953,0.049343,0.068280,0.105049", \ - "0.026602,0.030808,0.034458,0.040532,0.050923,0.069865,0.106634", \ - "0.032990,0.037173,0.040815,0.046892,0.057289,0.076232,0.113011", \ - "0.044795,0.049144,0.052882,0.059026,0.069458,0.088405,0.125152", \ - "0.057468,0.062350,0.066522,0.073259,0.084241,0.103459,0.140154", \ - "0.070750,0.076105,0.080719,0.088105,0.099802,0.119518,0.156366", \ - "0.085107,0.090875,0.095893,0.103939,0.116503,0.136913,0.173950"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.026149,0.031986,0.037397,0.047190,0.065711,0.102139,0.174754", \ - "0.027437,0.033268,0.038680,0.048475,0.066994,0.103429,0.176049", \ - "0.031194,0.037008,0.042402,0.052175,0.070682,0.107112,0.179735", \ - "0.036963,0.042921,0.048381,0.058181,0.076654,0.113058,0.185674", \ - "0.042695,0.048950,0.054573,0.064471,0.082961,0.119330,0.191881", \ - "0.047254,0.053987,0.059950,0.070137,0.088707,0.124987,0.197483", \ - "0.050225,0.057443,0.063921,0.074652,0.093553,0.129939,0.202387"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005015,0.006838,0.008700,0.012283,0.019475,0.034529,0.065955", \ - "0.005015,0.006837,0.008703,0.012284,0.019470,0.034521,0.065966", \ - "0.005018,0.006852,0.008720,0.012292,0.019474,0.034531,0.065961", \ - "0.006060,0.007672,0.009347,0.012688,0.019677,0.034595,0.065965", \ - "0.008056,0.009640,0.011267,0.014404,0.020905,0.035136,0.066049", \ - "0.010135,0.011761,0.013405,0.016460,0.022597,0.036185,0.066491", \ - "0.012360,0.014012,0.015703,0.018794,0.024680,0.037559,0.067068"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005855,0.009493,0.013384,0.021370,0.038088,0.072445,0.141484", \ - "0.005854,0.009491,0.013385,0.021367,0.038078,0.072445,0.141491", \ - "0.005855,0.009499,0.013392,0.021371,0.038082,0.072438,0.141476", \ - "0.006314,0.009904,0.013716,0.021568,0.038128,0.072418,0.141473", \ - "0.007234,0.010731,0.014404,0.022030,0.038390,0.072499,0.141493", \ - "0.008607,0.012115,0.015632,0.022881,0.038805,0.072682,0.141531", \ - "0.010282,0.013897,0.017428,0.024327,0.039702,0.073112,0.141681"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.025013,0.029223,0.032877,0.038958,0.049352,0.068290,0.105049", \ - "0.026591,0.030802,0.034455,0.040537,0.050933,0.069874,0.106630", \ - "0.032978,0.037166,0.040812,0.046890,0.057291,0.076240,0.113001", \ - "0.044781,0.049139,0.052876,0.059029,0.069468,0.088410,0.125156", \ - "0.057443,0.062332,0.066508,0.073250,0.084237,0.103461,0.140147", \ - "0.070723,0.076091,0.080704,0.088080,0.099791,0.119493,0.156344", \ - "0.085080,0.090871,0.095893,0.103927,0.116489,0.136859,0.173903"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005023,0.006841,0.008706,0.012286,0.019475,0.034520,0.066014", \ - "0.005026,0.006844,0.008708,0.012284,0.019475,0.034513,0.066016", \ - "0.005029,0.006858,0.008723,0.012297,0.019485,0.034513,0.066017", \ - "0.006073,0.007680,0.009347,0.012689,0.019677,0.034590,0.066064", \ - "0.008068,0.009653,0.011281,0.014412,0.020902,0.035123,0.066219", \ - "0.010157,0.011778,0.013418,0.016469,0.022589,0.036161,0.066752", \ - "0.012377,0.014027,0.015717,0.018804,0.024689,0.037514,0.067438"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.889408,7.942736,8.014775,8.212429,8.381021,8.332548,8.436508", \ - "7.880123,7.927254,7.993803,8.224056,8.328347,8.389275,8.232927", \ - "7.875467,7.919835,8.007892,8.202115,8.374379,8.381459,8.341190", \ - "7.931796,7.996555,8.065928,8.268092,8.389291,8.491914,8.396743", \ - "8.097584,8.148379,8.227923,8.439587,8.549682,8.590248,8.603589", \ - "8.417108,8.469617,8.532803,8.737727,8.852830,8.960978,8.814942", \ - "8.905995,8.936440,8.995803,9.211193,9.351303,9.450442,9.410732"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.893920,7.907707,8.005418,8.035806,8.082411,8.136988,8.093054", \ - "7.858755,7.937866,7.963798,8.027995,8.074619,8.126317,7.988757", \ - "7.874771,7.953662,7.979939,8.031907,8.058742,8.037695,8.139900", \ - "7.900536,7.979696,8.057476,8.107405,8.084625,8.203792,8.097364", \ - "8.114733,8.127762,8.231199,8.240903,8.217368,8.337736,8.382026", \ - "8.378717,8.474455,8.531595,8.524424,8.501835,8.482119,8.629495", \ - "8.851445,8.916879,9.008445,9.027628,8.995202,9.114224,8.955572"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.188540,6.307992,6.529827,6.730942,6.945152,6.861424,7.038640", \ - "6.147779,6.341941,6.527002,6.720461,6.874064,6.993968,6.936243", \ - "6.121647,6.301751,6.488306,6.737189,6.873597,6.725248,6.858462", \ - "6.355157,6.501598,6.635309,6.841575,6.982250,6.853618,6.819537", \ - "6.870683,6.928161,7.002345,7.089655,7.233404,7.234649,7.055459", \ - "7.529431,7.528502,7.504111,7.651388,7.704839,7.544300,7.517063", \ - "8.393315,8.309652,8.276321,8.235022,8.206824,8.187739,8.138107"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.284874,6.404062,6.623296,6.827047,6.976811,6.957743,7.133149", \ - "6.242057,6.435825,6.621366,6.815009,6.955659,7.087858,6.957322", \ - "6.242059,6.376786,6.596173,6.830675,6.961210,6.819092,6.835395", \ - "6.448397,6.594854,6.675295,6.939872,7.076352,7.091092,7.184538", \ - "6.962807,7.030793,7.095067,7.182548,7.327462,7.327803,7.146926", \ - "7.620554,7.584874,7.594494,7.737552,7.798212,7.636247,7.607198", \ - "8.483518,8.399050,8.366111,8.357619,8.388510,8.280027,8.228995"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.059420,6.198101,6.276222,6.412956,6.929205,8.870645,9.338287", \ - "6.077017,6.196347,6.231613,6.399841,6.998366,8.971459,9.203919", \ - "6.037669,6.143033,6.243856,6.416842,7.025642,8.844432,9.040113", \ - "6.297260,6.348201,6.376952,6.511249,7.153688,8.915530,9.128303", \ - "6.842275,6.763549,6.812274,6.819195,7.457631,9.264829,9.387976", \ - "7.471974,7.403515,7.327503,7.379913,7.787947,9.716210,9.885279", \ - "8.318792,8.162958,8.044109,7.977658,8.246509,10.240290,10.602920"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.059895,6.197673,6.276526,6.413277,6.930869,8.932324,9.334364", \ - "6.077292,6.196629,6.231912,6.400170,6.937756,8.970554,9.183051", \ - "6.037955,6.143328,6.244152,6.417168,7.029570,8.895724,9.036310", \ - "6.298039,6.348500,6.377250,6.511596,7.155331,8.881888,9.124587", \ - "6.842618,6.763838,6.812562,6.819540,7.459223,9.320327,9.384324", \ - "7.431716,7.399554,7.327737,7.380183,7.800485,9.670233,9.881918", \ - "8.318914,8.238970,8.044293,7.977839,8.247530,10.240000,10.599750"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.129870,7.526383,7.799915,8.146654,8.471205,8.861134,9.384345", \ - "7.044862,7.438073,7.694796,8.077567,8.390873,8.761454,9.296451", \ - "7.098466,7.442040,7.699726,8.058896,8.386455,8.785876,9.324925", \ - "7.627517,7.910634,8.158260,8.483025,8.798495,9.162662,9.710012", \ - "8.423764,8.616739,8.831589,9.198278,9.634816,9.997184,10.502330", \ - "9.991301,9.963973,10.076370,10.333740,10.766530,11.317400,11.822010", \ - "12.092190,12.002180,11.997840,12.175360,12.532910,12.990570,13.753190"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.723507,5.271807,5.629010,6.074239,6.331719,6.408277,6.312987", \ - "4.580876,5.102773,5.586485,6.058298,6.152572,6.231860,6.153890", \ - "4.601593,5.167760,5.553008,5.851457,6.103757,6.183246,6.184027", \ - "4.842198,5.416245,5.745521,6.118500,6.338830,6.089997,6.210009", \ - "5.607850,6.067419,6.436760,6.641365,6.671546,6.741014,6.376245", \ - "6.867338,7.406033,7.671579,7.855301,7.805593,7.477566,8.028336", \ - "8.558379,8.980076,9.445025,9.722951,9.931398,9.724030,9.677273"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.017039,8.108903,8.215113,8.269786,8.366682,8.325828,8.047478", \ - "7.975475,8.098181,8.153603,8.291224,8.337229,8.154835,8.191459", \ - "7.988952,8.081229,8.198564,8.224870,8.255496,8.216518,8.109447", \ - "8.304076,8.306714,8.391834,8.453440,8.389227,8.349636,8.466178", \ - "8.977355,8.884598,8.911818,8.928045,8.920531,8.720917,8.625554", \ - "9.941141,9.791055,9.752904,9.658002,9.537877,9.606864,9.532722", \ - "11.302440,11.067300,10.944930,10.840950,10.735160,10.628260,10.480150"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.891893,6.251876,6.521427,6.872345,7.220300,7.594677,8.128263", \ - "5.824584,6.204795,6.476533,6.824298,7.169216,7.538576,8.074537", \ - "5.839329,6.200783,6.458766,6.831966,7.152730,7.530455,8.088067", \ - "6.261592,6.508972,6.747327,7.056224,7.371483,7.751024,8.286738", \ - "6.749513,6.846903,7.077329,7.457433,7.871183,8.233416,8.748105", \ - "7.747566,7.690676,7.780723,8.006931,8.448402,8.998854,9.525240", \ - "9.181961,9.025522,8.986572,9.110771,9.450388,9.883300,10.638090"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.905436,4.470701,4.847643,5.243898,5.508979,5.595342,5.529603", \ - "3.812900,4.323784,4.809977,5.255254,5.355891,5.443008,5.553986", \ - "3.836249,4.395119,4.724129,5.199681,5.313161,5.403951,5.434809", \ - "4.015969,4.516135,4.832853,5.201387,5.433231,5.192208,5.352663", \ - "4.432067,4.885741,5.243427,5.452921,5.531135,5.536954,5.217491", \ - "5.236640,5.719942,5.962684,6.266526,6.041495,5.731765,6.328318", \ - "6.339097,6.629424,7.023474,7.344418,7.405095,7.221435,7.200529"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.346088,7.450799,7.540058,7.596164,7.694831,7.655129,7.378923", \ - "7.324224,7.443986,7.499548,7.587287,7.685602,7.503684,7.543565", \ - "7.330745,7.419618,7.537424,7.564245,7.595736,7.559484,7.453353", \ - "7.562323,7.571046,7.650870,7.702926,7.648898,7.610380,7.728811", \ - "8.065281,7.972895,8.001380,8.017217,8.011557,7.860643,7.719060", \ - "8.750897,8.602997,8.564916,8.471526,8.355758,8.426755,8.225316", \ - "9.720511,9.493073,9.402249,9.263215,9.160833,9.054651,8.910808"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.860485,7.204602,7.432377,7.709327,7.899018,7.961722,7.987563", \ - "6.777066,7.130801,7.367229,7.623203,7.795797,7.883348,7.898970", \ - "6.835909,7.131806,7.346447,7.621812,7.812834,7.896728,7.927304", \ - "7.348120,7.596160,7.790636,8.028436,8.172899,8.262193,8.298110", \ - "8.127947,8.257250,8.446736,8.729076,9.012207,9.077442,9.097672", \ - "9.624719,9.585911,9.642312,9.827859,10.134050,10.402420,10.422220", \ - "11.713030,11.586370,11.514950,11.629210,11.871670,12.069750,12.314450"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.080302,4.374916,4.542683,4.656832,4.754913,4.837529,4.772167", \ - "3.966257,4.294974,4.386688,4.481094,4.614945,4.658557,4.614219", \ - "3.969660,4.250014,4.408379,4.425958,4.518329,4.599194,4.632841", \ - "4.180656,4.429620,4.613415,4.662547,4.736024,4.484363,4.650738", \ - "4.927498,5.181587,5.304348,5.244258,5.054921,5.115851,4.924831", \ - "6.122909,6.386226,6.536137,6.557473,6.378554,5.819683,6.419615", \ - "7.677295,7.966660,8.227907,8.228777,8.239353,8.062016,8.018735"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.672472,8.833288,8.961661,9.047942,9.074120,8.897199,9.167804", \ - "8.617590,8.780590,8.925347,9.021016,9.047442,9.018293,8.977500", \ - "8.658800,8.811475,8.862866,8.987439,8.945340,9.061151,8.876454", \ - "8.928887,9.063212,9.137869,9.183033,9.128731,9.241926,9.282971", \ - "9.590151,9.574585,9.629782,9.630830,9.656184,9.645347,9.420873", \ - "10.561050,10.464630,10.363200,10.376110,10.254970,10.324880,10.181100", \ - "11.745370,11.602370,11.521150,11.426210,11.225730,11.320720,11.162140"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.860464,7.204563,7.432341,7.709326,7.898649,7.961693,7.987494", \ - "6.777039,7.130764,7.367174,7.623169,7.795762,7.883488,7.898929", \ - "6.835907,7.131772,7.346409,7.621777,7.812812,7.896701,7.927276", \ - "7.348092,7.596129,7.790602,8.028402,8.172863,8.262160,8.298071", \ - "8.127919,8.257222,8.446706,8.729068,9.012174,9.077409,9.097628", \ - "9.624687,9.585876,9.642277,9.827823,10.134020,10.401370,10.422180", \ - "11.713000,11.586340,11.514910,11.629180,11.871640,12.069710,12.314410"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.080257,4.374976,4.542725,4.656879,4.754960,4.837574,4.772199", \ - "3.966270,4.295035,4.386727,4.481130,4.615516,4.658601,4.614249", \ - "3.969680,4.250056,4.408420,4.426003,4.518374,4.599239,4.632875", \ - "4.180240,4.429655,4.613452,4.662591,4.736068,4.484397,4.650765", \ - "4.927513,5.181622,5.304383,5.244263,5.054957,5.115886,4.925239", \ - "6.122918,6.386295,6.536165,6.557511,6.378580,5.819703,6.419642", \ - "7.677298,7.966678,8.227930,8.228806,8.239384,8.062037,8.018754"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.669019,8.853478,8.959624,9.047425,9.100941,9.189828,9.176619", \ - "8.614040,8.784654,8.902371,9.020141,9.049427,9.022740,8.986114", \ - "8.655782,8.804837,8.927831,8.986743,9.088290,9.066193,8.884905", \ - "8.925534,9.011737,9.141429,9.182281,9.271490,9.246129,9.291423", \ - "9.587084,9.609318,9.605581,9.630497,9.691156,9.649398,9.429257", \ - "10.558810,10.463120,10.428770,10.376170,10.340580,10.330150,10.214220", \ - "11.743380,11.603320,11.520150,11.429510,11.368880,11.296680,11.170210"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFR_X1 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and drive strength X1 - *******************************************************************************************/ - - cell (DFFR_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - clear : "!RN"; - } - - area : 5.320000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 86.212780; - - leakage_power () { - when : "!CK & !D & !RN & !Q & QN"; - value : 70.503620; - } - leakage_power () { - when : "!CK & !D & RN & !Q & QN"; - value : 83.973769; - } - leakage_power () { - when : "!CK & !D & RN & Q & !QN"; - value : 89.737208; - } - leakage_power () { - when : "!CK & D & !RN & !Q & QN"; - value : 78.798621; - } - leakage_power () { - when : "!CK & D & RN & !Q & QN"; - value : 94.261959; - } - leakage_power () { - when : "!CK & D & RN & Q & !QN"; - value : 94.889058; - } - leakage_power () { - when : "CK & !D & !RN & !Q & QN"; - value : 74.504034; - } - leakage_power () { - when : "CK & !D & RN & !Q & QN"; - value : 88.490072; - } - leakage_power () { - when : "CK & !D & RN & Q & !QN"; - value : 80.707440; - } - leakage_power () { - when : "CK & D & !RN & !Q & QN"; - value : 88.446358; - } - leakage_power () { - when : "CK & D & RN & !Q & QN"; - value : 102.430086; - } - leakage_power () { - when : "CK & D & RN & Q & !QN"; - value : 87.811130; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.128277; - fall_capacitance : 1.052731; - rise_capacitance : 1.128277; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.002998,0.012260,0.010995", \ - "0.002353,0.008978,0.004969", \ - "0.140348,0.149555,0.140015"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.003333,0.014899,0.018563", \ - "0.017216,0.029476,0.033434", \ - "0.098213,0.112808,0.120649"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.037177,0.023389,0.017624", \ - "0.054782,0.040803,0.034907", \ - "0.100788,0.086198,0.078379"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.030655,0.026795,0.042314", \ - "0.042968,0.037066,0.050103", \ - "0.058648,0.049447,0.059012"); - } - } - - internal_power () { - - when : "!CK & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.426082,4.404821,4.375396,4.399264,4.578059,4.964269,5.581499"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.153078,3.117501,3.085345,3.116799,3.290618,3.668625,4.273526"); - } - - } - - internal_power () { - - when : "!CK & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.438582,4.417098,4.387915,4.412690,4.588604,4.974355,5.587242"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.250026,3.214461,3.182258,3.212031,3.384885,3.761201,4.363620"); - } - - } - - internal_power () { - - when : "!CK & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.382289,4.360932,4.332145,4.355952,4.534974,4.922183,5.541690"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.210017,3.174602,3.143022,3.172291,3.337347,3.708897,4.308315"); - } - - } - - internal_power () { - - when : "CK & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.736377,0.708612,0.698951,0.693498,0.689854,0.687217,0.685410"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.629155,-0.633178,-0.636109,-0.638046,-0.640189,-0.641067,-0.642774"); - } - - } - - internal_power () { - - when : "CK & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.733806,0.709452,0.698573,0.692063,0.690399,0.688169,0.685312"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.629625,-0.634011,-0.636570,-0.638447,-0.640309,-0.641298,-0.643026"); - } - - } - - internal_power () { - - when : "CK & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650084,0.658123,0.659562,0.659000,0.658497,0.658249,0.658059"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.433656,-0.496755,-0.551545,-0.573371,-0.584097,-0.592712,-0.597971"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.778528; - fall_capacitance : 1.744622; - rise_capacitance : 1.778528; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.054032,-0.068732,-0.078101", \ - "-0.050666,-0.065733,-0.074452", \ - "-0.013549,-0.031209,-0.041963"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.183159,0.192733,0.202594", \ - "0.237590,0.246884,0.257157", \ - "0.429882,0.439073,0.449101"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.152874,0.180774,0.308412"); - } - } - - internal_power () { - - when : "!CK & !D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.679608,0.663723,0.657357,0.654354,0.652319,0.650662,0.649344"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.611930,-0.613907,-0.616264,-0.616955,-0.617322,-0.616636,-0.617013"); - } - - } - - internal_power () { - - when : "!CK & D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.689855,0.670580,0.662264,0.659074,0.657080,0.655495,0.654317"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.502763,-0.510601,-0.522870,-0.530487,-0.534574,-0.536232,-0.537936"); - } - - } - - internal_power () { - - when : "CK & !D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.706475,0.675352,0.665875,0.660849,0.658647,0.655426,0.653839"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.608684,-0.612536,-0.614246,-0.615095,-0.616108,-0.616196,-0.616454"); - } - - } - - internal_power () { - - when : "CK & D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.704389,0.674596,0.665205,0.660060,0.657875,0.654662,0.653054"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.609162,-0.614514,-0.616716,-0.617172,-0.618236,-0.618351,-0.618661"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.976605; - fall_capacitance : 0.880697; - rise_capacitance : 0.976605; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.052759,0.068327,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.060389,0.060646,0.198733"); - } - } - - internal_power () { - - when : "!D & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.984901,3.953839,3.967500,4.147951,4.515931,5.103223,5.956715"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.162471,3.142087,3.133096,3.257155,3.595260,4.206068,5.109967"); - } - - } - - internal_power () { - - when : "!D & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.983703,3.952806,3.966906,4.147133,4.514655,5.102295,5.955511"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.168090,3.147974,3.138230,3.262621,3.599744,4.210951,5.113966"); - } - - } - - internal_power () { - - when : "!D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.421681,7.391936,7.401655,7.580775,7.951857,8.537557,9.387421"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.683016,6.651641,6.664515,6.854891,7.248203,7.869759,8.770360"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("15.556230,15.536440,15.522080,15.638030,15.975180,16.605310,17.565330"); - } - - } - - internal_power () { - - when : "D & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.812774,6.777704,6.789009,6.981288,7.373958,7.994090,8.890556"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.768211,3.739273,3.751946,3.931238,4.298980,4.879852,5.728202"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.341413,3.322871,3.317502,3.441473,3.772136,4.375458,5.267063"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0819959,0.0853045,0.0886975,0.0945528,0.104788,0.123605,0.160289", \ - "0.0834772,0.0867853,0.0901772,0.0960322,0.106267,0.125085,0.161772", \ - "0.0886043,0.0919137,0.0953050,0.101162,0.111399,0.130211,0.166905", \ - "0.0943735,0.0976819,0.101066,0.106934,0.117157,0.135983,0.172678", \ - "0.0988393,0.102153,0.105536,0.111398,0.121622,0.140446,0.177145", \ - "0.101732,0.105038,0.108432,0.114286,0.124518,0.143323,0.180009", \ - "0.102601,0.105907,0.109295,0.115152,0.125383,0.144190,0.180885"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0960203,0.100580,0.105100,0.113487,0.130756,0.166440,0.238753", \ - "0.0975093,0.102070,0.106590,0.114974,0.132242,0.167928,0.240243", \ - "0.102588,0.107144,0.111662,0.120050,0.137313,0.172997,0.245310", \ - "0.108155,0.112713,0.117228,0.125610,0.142873,0.178560,0.250874", \ - "0.112276,0.116832,0.121346,0.129729,0.146976,0.182660,0.254975", \ - "0.114929,0.119485,0.124004,0.132377,0.149612,0.185286,0.257612", \ - "0.115958,0.120504,0.125017,0.133387,0.150630,0.186287,0.258596"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00539799,0.00706043,0.00888107,0.0123654,0.0194310,0.0343687,0.0657818", \ - "0.00540182,0.00705600,0.00887672,0.0123670,0.0194305,0.0343672,0.0657760", \ - "0.00540154,0.00705581,0.00887796,0.0123636,0.0194291,0.0343665,0.0657865", \ - "0.00539827,0.00706065,0.00887930,0.0123691,0.0194320,0.0343694,0.0657836", \ - "0.00539954,0.00705910,0.00888384,0.0123654,0.0194301,0.0343680,0.0657910", \ - "0.00540371,0.00705998,0.00888400,0.0123664,0.0194366,0.0343721,0.0657734", \ - "0.00540611,0.00706421,0.00888415,0.0123688,0.0194301,0.0343670,0.0658026"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00728504,0.0103817,0.0140438,0.0216257,0.0380450,0.0722948,0.141354", \ - "0.00728507,0.0103830,0.0140423,0.0216250,0.0380480,0.0722940,0.141351", \ - "0.00728695,0.0103827,0.0140392,0.0216230,0.0380462,0.0722932,0.141355", \ - "0.00728778,0.0103830,0.0140419,0.0216275,0.0380506,0.0722930,0.141356", \ - "0.00729113,0.0103956,0.0140509,0.0216292,0.0380522,0.0722942,0.141358", \ - "0.00729859,0.0103949,0.0140518,0.0216284,0.0380507,0.0722939,0.141353", \ - "0.00730765,0.0104074,0.0140571,0.0216337,0.0380498,0.0722957,0.141357"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.025617,0.029452,0.033222,0.039471,0.050106,0.069367,0.106396", \ - "0.027194,0.031025,0.034798,0.041044,0.051685,0.070946,0.107975", \ - "0.033579,0.037392,0.041153,0.047405,0.058053,0.077322,0.114356", \ - "0.045442,0.049427,0.053299,0.059635,0.070329,0.089605,0.126610", \ - "0.058258,0.062757,0.067117,0.074102,0.085428,0.105012,0.141905", \ - "0.071728,0.076692,0.081520,0.089203,0.101347,0.121485,0.158406", \ - "0.086343,0.091722,0.096988,0.105339,0.118357,0.139211,0.176056"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005081,0.006772,0.008692,0.012356,0.019659,0.034725,0.065864", \ - "0.005082,0.006773,0.008694,0.012358,0.019658,0.034719,0.065848", \ - "0.005088,0.006789,0.008712,0.012368,0.019662,0.034720,0.065847", \ - "0.006053,0.007566,0.009302,0.012741,0.019854,0.034770,0.065854", \ - "0.007920,0.009470,0.011184,0.014462,0.021093,0.035246,0.065865", \ - "0.009873,0.011479,0.013244,0.016481,0.022817,0.036178,0.066050", \ - "0.011935,0.013582,0.015402,0.018688,0.024824,0.037265,0.066229"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.025619,0.029454,0.033226,0.039472,0.050104,0.069371,0.106400", \ - "0.027195,0.031026,0.034799,0.041046,0.051683,0.070946,0.107977", \ - "0.033575,0.037394,0.041159,0.047405,0.058054,0.077326,0.114358", \ - "0.045448,0.049427,0.053298,0.059631,0.070326,0.089600,0.126606", \ - "0.058252,0.062761,0.067112,0.074102,0.085428,0.105016,0.141906", \ - "0.071729,0.076694,0.081521,0.089204,0.101349,0.121486,0.158399", \ - "0.086333,0.091723,0.096990,0.105350,0.118369,0.139202,0.176056"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005077,0.006773,0.008693,0.012356,0.019657,0.034722,0.065860", \ - "0.005081,0.006773,0.008695,0.012357,0.019660,0.034719,0.065847", \ - "0.005088,0.006791,0.008710,0.012368,0.019667,0.034722,0.065852", \ - "0.006049,0.007569,0.009305,0.012741,0.019854,0.034774,0.065840", \ - "0.007921,0.009466,0.011187,0.014462,0.021093,0.035245,0.065865", \ - "0.009875,0.011478,0.013244,0.016482,0.022817,0.036177,0.066049", \ - "0.011934,0.013582,0.015402,0.018689,0.024828,0.037266,0.066230"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.025627,0.029424,0.033159,0.039336,0.049838,0.068866,0.105709", \ - "0.027202,0.030999,0.034732,0.040912,0.051412,0.070443,0.107280", \ - "0.033581,0.037361,0.041083,0.047269,0.057778,0.076814,0.113656", \ - "0.045456,0.049393,0.053229,0.059495,0.070052,0.089091,0.125918", \ - "0.058245,0.062693,0.066999,0.073899,0.085055,0.104420,0.141222", \ - "0.071688,0.076583,0.081349,0.088927,0.100858,0.120788,0.157798", \ - "0.086205,0.091534,0.096727,0.105011,0.117831,0.138545,0.175796"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005024,0.006696,0.008595,0.012198,0.019386,0.034411,0.065855", \ - "0.005025,0.006701,0.008594,0.012200,0.019391,0.034408,0.065852", \ - "0.005031,0.006712,0.008612,0.012208,0.019389,0.034407,0.065877", \ - "0.005969,0.007476,0.009195,0.012585,0.019585,0.034473,0.065882", \ - "0.007793,0.009323,0.011026,0.014251,0.020786,0.035014,0.065962", \ - "0.009698,0.011294,0.013036,0.016211,0.022436,0.036055,0.066383", \ - "0.011714,0.013372,0.015184,0.018418,0.024464,0.037408,0.066918"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.025627,0.029425,0.033159,0.039336,0.049838,0.068869,0.105704", \ - "0.027202,0.030999,0.034732,0.040912,0.051412,0.070443,0.107280", \ - "0.033581,0.037361,0.041083,0.047269,0.057778,0.076814,0.113656", \ - "0.045456,0.049393,0.053229,0.059495,0.070052,0.089091,0.125918", \ - "0.058245,0.062693,0.066999,0.073899,0.085055,0.104420,0.141222", \ - "0.071688,0.076583,0.081349,0.088927,0.100858,0.120788,0.157798", \ - "0.086205,0.091534,0.096727,0.105011,0.117831,0.138545,0.175796"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005024,0.006697,0.008595,0.012198,0.019386,0.034406,0.065866", \ - "0.005025,0.006701,0.008594,0.012201,0.019391,0.034408,0.065853", \ - "0.005031,0.006712,0.008612,0.012208,0.019389,0.034407,0.065878", \ - "0.005969,0.007476,0.009195,0.012585,0.019585,0.034473,0.065882", \ - "0.007793,0.009323,0.011026,0.014251,0.020786,0.035014,0.065962", \ - "0.009698,0.011294,0.013036,0.016211,0.022436,0.036055,0.066384", \ - "0.011714,0.013372,0.015184,0.018418,0.024464,0.037408,0.066918"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.449899,4.535040,4.592769,4.743330,4.803776,4.844767,4.884056", \ - "4.448591,4.525618,4.577319,4.736094,4.796092,4.836992,4.827396", \ - "4.445086,4.527875,4.573031,4.724666,4.788804,4.767709,4.727602", \ - "4.512728,4.583592,4.641380,4.783582,4.852241,4.867927,4.932738", \ - "4.670926,4.747380,4.823213,4.952541,5.051608,5.021889,5.113542", \ - "4.995637,5.080352,5.137639,5.269756,5.356758,5.397589,5.384687", \ - "5.442585,5.530692,5.592499,5.731945,5.799135,5.817632,5.827227"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.980881,5.006862,4.981286,4.998683,5.039493,5.055918,4.937424", \ - "4.972218,4.996569,4.970857,4.976732,5.018682,5.051666,4.995022", \ - "4.967168,4.985540,4.979591,5.000056,5.030450,5.025525,4.968229", \ - "5.029449,5.029007,5.051985,5.039382,5.080594,5.096587,5.097271", \ - "5.180373,5.209476,5.182034,5.202552,5.244500,5.229333,5.274221", \ - "5.520349,5.534373,5.502854,5.528094,5.525495,5.518771,5.521976", \ - "5.995798,5.992758,5.999875,6.011989,6.016541,5.910813,6.030793"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.574934,3.602036,3.656874,3.706405,3.681726,3.709261,3.653668", \ - "3.578891,3.579116,3.633872,3.664797,3.664677,3.631205,3.675896", \ - "3.564413,3.604172,3.638558,3.679383,3.672864,3.650236,3.618835", \ - "3.691320,3.681934,3.720840,3.754918,3.710702,3.758467,3.689753", \ - "3.955314,3.889998,3.907907,3.912858,3.870874,3.907581,3.890441", \ - "4.313889,4.228820,4.192913,4.192485,4.139888,4.132789,4.040078", \ - "4.745281,4.625388,4.568048,4.528839,4.515701,4.478642,4.443247"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.659056,3.686571,3.741937,3.791364,3.748764,3.794085,3.682482", \ - "3.661149,3.661687,3.716393,3.747922,3.782478,3.714279,3.758833", \ - "3.645901,3.668291,3.720581,3.761828,3.755615,3.732566,3.701142", \ - "3.772563,3.762929,3.802028,3.803200,3.792817,3.840349,3.771510", \ - "4.035672,3.970618,3.988727,3.994181,3.951501,3.988421,3.971190", \ - "4.393479,4.308484,4.273507,4.273662,4.220733,4.216861,4.120560", \ - "4.824506,4.704858,4.648054,4.630447,4.595610,4.559766,4.523884"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.652696,5.775821,5.859897,5.947112,6.042088,6.064234,6.066020", \ - "5.632033,5.728183,5.840636,5.937664,5.973297,6.075191,5.973730", \ - "5.648828,5.748126,5.839678,5.925820,5.998911,5.956971,6.091904", \ - "5.753180,5.826627,5.904438,5.976581,6.044422,6.145856,6.070735", \ - "6.032827,6.066208,6.116770,6.151539,6.234136,6.299691,6.273073", \ - "6.419014,6.435779,6.431524,6.463818,6.466388,6.551160,6.428093", \ - "7.014050,7.001876,6.983729,6.958798,7.009867,7.000860,6.884509"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.656386,5.759427,5.857945,5.949867,6.036132,6.011501,6.062914", \ - "5.629847,5.753007,5.838083,5.903284,5.974918,6.074693,5.970625", \ - "5.652117,5.751236,5.806559,5.928258,6.000765,5.956621,6.088692", \ - "5.756614,5.845965,5.907396,5.979042,6.045927,6.145234,6.067718", \ - "6.035784,6.069105,6.083093,6.166689,6.206275,6.299127,6.270009", \ - "6.416995,6.430990,6.434024,6.465945,6.467816,6.550711,6.425297", \ - "7.011618,6.978752,6.969144,6.955190,7.001323,7.000596,6.881944"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0600695,0.0650473,0.0701035,0.0784343,0.0919867,0.114486,0.153404", \ - "0.0615584,0.0665355,0.0715929,0.0799223,0.0934732,0.115974,0.154891", \ - "0.0666183,0.0715972,0.0766577,0.0849921,0.0985451,0.121050,0.159973", \ - "0.0721804,0.0771592,0.0822154,0.0905505,0.104113,0.126627,0.165554", \ - "0.0762802,0.0812587,0.0863157,0.0946564,0.108215,0.130738,0.169677", \ - "0.0789303,0.0839058,0.0889644,0.0972984,0.110849,0.133378,0.172322", \ - "0.0798968,0.0848676,0.0899299,0.0982804,0.111874,0.134416,0.173376"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0609489,0.0661658,0.0717313,0.0817702,0.100583,0.137082,0.209530", \ - "0.0624255,0.0676455,0.0732149,0.0832470,0.102066,0.138560,0.211007", \ - "0.0675556,0.0727727,0.0783407,0.0883795,0.107194,0.143685,0.216138", \ - "0.0733184,0.0785406,0.0841023,0.0941459,0.112956,0.149455,0.221910", \ - "0.0777836,0.0830103,0.0885693,0.0986131,0.117415,0.153915,0.226368", \ - "0.0806721,0.0858914,0.0914643,0.101500,0.120312,0.156804,0.229250", \ - "0.0815334,0.0867590,0.0923240,0.102382,0.121180,0.157674,0.230123"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00994325,0.0119607,0.0142300,0.0183495,0.0259992,0.0402441,0.0689944", \ - "0.00994260,0.0119642,0.0142304,0.0183502,0.0260007,0.0402449,0.0690009", \ - "0.00995718,0.0119755,0.0142455,0.0183658,0.0260110,0.0402548,0.0690148", \ - "0.00996796,0.0119876,0.0142553,0.0183764,0.0260211,0.0402613,0.0689985", \ - "0.00999103,0.0120121,0.0142853,0.0184049,0.0260513,0.0402835,0.0690093", \ - "0.0100233,0.0120516,0.0143245,0.0184387,0.0260751,0.0403054,0.0690171", \ - "0.0101489,0.0121690,0.0144317,0.0185368,0.0261508,0.0403482,0.0690517"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00730665,0.0105626,0.0144850,0.0224524,0.0389234,0.0727285,0.141342", \ - "0.00730763,0.0105628,0.0144840,0.0224517,0.0389242,0.0727271,0.141346", \ - "0.00730882,0.0105634,0.0144818,0.0224528,0.0389251,0.0727302,0.141339", \ - "0.00731055,0.0105647,0.0144855,0.0224541,0.0389251,0.0727269,0.141345", \ - "0.00730782,0.0105702,0.0144865,0.0224543,0.0389231,0.0727294,0.141341", \ - "0.00731691,0.0105768,0.0144955,0.0224617,0.0389246,0.0727307,0.141348", \ - "0.00733073,0.0105896,0.0145059,0.0224680,0.0389322,0.0727326,0.141350"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.086310,0.092183,0.098526,0.109708,0.129491,0.166373,0.238743", \ - "0.087884,0.093757,0.100099,0.111281,0.131067,0.167949,0.240314", \ - "0.094205,0.100079,0.106424,0.117607,0.137391,0.174273,0.246638", \ - "0.106570,0.112393,0.118678,0.129781,0.149484,0.186322,0.258658", \ - "0.122127,0.127777,0.133844,0.144641,0.164089,0.200757,0.272991", \ - "0.138742,0.144296,0.150194,0.160661,0.179755,0.216156,0.288249", \ - "0.156610,0.162125,0.167914,0.178053,0.196722,0.232852,0.304744"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.010744,0.014453,0.018616,0.026417,0.041964,0.074470,0.142377", \ - "0.010746,0.014449,0.018617,0.026419,0.041963,0.074470,0.142380", \ - "0.010745,0.014452,0.018616,0.026418,0.041955,0.074465,0.142388", \ - "0.010747,0.014451,0.018620,0.026420,0.041964,0.074483,0.142383", \ - "0.010768,0.014472,0.018639,0.026436,0.041971,0.074479,0.142379", \ - "0.010808,0.014507,0.018670,0.026456,0.041989,0.074478,0.142380", \ - "0.010867,0.014565,0.018733,0.026524,0.042016,0.074474,0.142382"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.086294,0.092166,0.098510,0.109687,0.129460,0.166337,0.238679", \ - "0.087868,0.093740,0.100081,0.111259,0.131034,0.167904,0.240246", \ - "0.094185,0.100065,0.106410,0.117586,0.137360,0.174233,0.246567", \ - "0.106561,0.112380,0.118659,0.129759,0.149455,0.186279,0.258588", \ - "0.122116,0.127766,0.133831,0.144627,0.164068,0.200722,0.272932", \ - "0.138737,0.144291,0.150186,0.160649,0.179740,0.216126,0.288185", \ - "0.156601,0.162120,0.167908,0.178056,0.196725,0.232811,0.304692"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.010742,0.014449,0.018611,0.026408,0.041949,0.074440,0.142334", \ - "0.010744,0.014446,0.018610,0.026410,0.041947,0.074443,0.142332", \ - "0.010743,0.014450,0.018614,0.026410,0.041940,0.074439,0.142340", \ - "0.010745,0.014451,0.018616,0.026413,0.041949,0.074455,0.142338", \ - "0.010766,0.014469,0.018632,0.026428,0.041957,0.074451,0.142333", \ - "0.010806,0.014504,0.018666,0.026449,0.041975,0.074452,0.142339", \ - "0.010862,0.014562,0.018729,0.026517,0.042002,0.074448,0.142338"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.202892,0.208622,0.214465,0.224601,0.243193,0.279564,0.351833", \ - "0.204448,0.210148,0.215990,0.226133,0.244733,0.281091,0.353357", \ - "0.210491,0.216204,0.222046,0.232198,0.250804,0.287157,0.359441", \ - "0.220417,0.226122,0.231975,0.242125,0.260729,0.297102,0.369398", \ - "0.235182,0.240874,0.246719,0.256865,0.275438,0.311799,0.384081", \ - "0.256461,0.262194,0.268030,0.278158,0.296682,0.333039,0.405295", \ - "0.285044,0.290742,0.296590,0.306698,0.325305,0.361589,0.433816"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.008994,0.012089,0.015679,0.023061,0.039023,0.072864,0.141460", \ - "0.008985,0.012089,0.015682,0.023058,0.039024,0.072859,0.141458", \ - "0.008993,0.012093,0.015679,0.023061,0.039019,0.072862,0.141450", \ - "0.008987,0.012083,0.015675,0.023060,0.039017,0.072854,0.141457", \ - "0.008991,0.012084,0.015676,0.023059,0.039013,0.072852,0.141459", \ - "0.008988,0.012092,0.015680,0.023062,0.039013,0.072841,0.141447", \ - "0.009028,0.012125,0.015707,0.023078,0.039021,0.072847,0.141466"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.202737,0.208467,0.214321,0.224451,0.243062,0.279448,0.351753", \ - "0.204297,0.209995,0.215843,0.225990,0.244601,0.280976,0.353268", \ - "0.210345,0.216058,0.221902,0.232060,0.250674,0.287044,0.359353", \ - "0.220276,0.225984,0.231838,0.241992,0.260604,0.296994,0.369314", \ - "0.235052,0.240743,0.246591,0.256740,0.275321,0.311696,0.384001", \ - "0.256338,0.262072,0.267909,0.278041,0.296574,0.332941,0.405218", \ - "0.284924,0.290635,0.296471,0.306582,0.325214,0.361492,0.433747"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.008991,0.012082,0.015674,0.023057,0.039022,0.072867,0.141461", \ - "0.008986,0.012079,0.015677,0.023056,0.039023,0.072861,0.141456", \ - "0.008986,0.012088,0.015677,0.023057,0.039016,0.072864,0.141452", \ - "0.008983,0.012079,0.015671,0.023059,0.039021,0.072855,0.141457", \ - "0.008988,0.012080,0.015675,0.023057,0.039014,0.072853,0.141459", \ - "0.008989,0.012086,0.015678,0.023060,0.039017,0.072842,0.141448", \ - "0.009029,0.012123,0.015708,0.023078,0.039022,0.072844,0.141455"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.980878,5.008809,4.985129,5.005890,4.986452,5.056170,4.997731", \ - "4.972217,4.998536,4.993447,4.984055,5.033133,5.048785,5.054382", \ - "4.966114,4.969422,4.983311,4.970119,4.983895,4.916531,5.027937", \ - "5.028424,5.031074,5.044517,5.046609,5.022915,5.098259,4.988030", \ - "5.209084,5.211292,5.185976,5.209796,5.223781,5.258786,5.277709", \ - "5.520337,5.536333,5.506697,5.529916,5.540029,5.573569,5.581307", \ - "5.994755,5.996829,6.003634,5.982333,6.021072,5.941396,5.921345"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.464774,4.535024,4.594501,4.742847,4.818451,4.873936,4.821535", \ - "4.448636,4.525537,4.594423,4.723750,4.831013,4.769172,4.886495", \ - "4.441837,4.527405,4.574863,4.719426,4.800533,4.791632,4.828435", \ - "4.508721,4.589853,4.640516,4.771937,4.857779,4.897457,4.785974", \ - "4.670870,4.747589,4.824892,4.959848,5.039409,5.051638,5.088339", \ - "4.996772,5.080929,5.139324,5.271610,5.349002,5.400411,5.364628", \ - "5.443439,5.531394,5.598128,5.732609,5.802124,5.846844,5.832390"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.572812,3.603815,3.660120,3.679744,3.686281,3.595540,3.657639", \ - "3.577023,3.580810,3.636922,3.671866,3.674433,3.661032,3.566071", \ - "3.562415,3.588174,3.641838,3.686197,3.687376,3.679725,3.678490", \ - "3.691324,3.683659,3.693619,3.728436,3.725417,3.687327,3.749290", \ - "3.953421,3.891899,3.880769,3.919747,3.900016,3.911487,3.781095", \ - "4.311959,4.230950,4.196561,4.192044,4.159742,4.063290,4.099899", \ - "4.743312,4.627636,4.572044,4.536196,4.530227,4.454494,4.333580"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.656904,3.688221,3.745134,3.764662,3.774961,3.680544,3.742637", \ - "3.659287,3.663370,3.719710,3.755032,3.754242,3.744133,3.649027", \ - "3.643907,3.686342,3.723830,3.768613,3.770101,3.762071,3.760829", \ - "3.772558,3.764775,3.774837,3.810288,3.807508,3.764344,3.830967", \ - "4.033786,3.972550,3.964578,4.001042,3.980630,3.994599,3.861988", \ - "4.391544,4.310488,4.277160,4.272747,4.239058,4.144199,4.180312", \ - "4.822518,4.707108,4.652057,4.628849,4.610131,4.534780,4.414366"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.649631,5.757581,5.854436,5.954028,5.997006,6.089108,5.956576", \ - "5.632027,5.730005,5.827555,5.911860,5.988091,6.075495,6.034120", \ - "5.645990,5.749651,5.806752,5.895652,6.013352,5.987630,5.983534", \ - "5.750134,5.828210,5.914965,5.983801,6.084893,6.030995,6.129708", \ - "6.029978,6.068070,6.120355,6.156370,6.219629,6.184669,6.261039", \ - "6.419040,6.411258,6.435375,6.471241,6.481538,6.580625,6.488189", \ - "7.014050,7.005611,6.984623,6.960713,6.941488,7.037110,6.944791"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.653365,5.760972,5.859843,5.919935,6.011529,6.041770,5.953393", \ - "5.629835,5.733437,5.830726,5.910446,5.989633,6.089526,6.031002", \ - "5.649338,5.752886,5.810044,5.898306,6.014707,5.987329,5.980475", \ - "5.753633,5.831583,5.913745,5.986205,6.060250,6.030749,6.126672", \ - "6.032983,6.071031,6.086943,6.158656,6.220985,6.184389,6.210070", \ - "6.417011,6.436498,6.441319,6.473314,6.482827,6.580127,6.485364", \ - "7.011610,6.981160,6.973248,6.962891,6.943261,7.029292,6.942407"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFR_X2 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and drive strength X2 - *******************************************************************************************/ - - cell (DFFR_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - clear : "!RN"; - } - - area : 5.852000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 125.988288; - - leakage_power () { - when : "!CK & !D & !RN & !Q & QN"; - value : 100.984510; - } - leakage_power () { - when : "!CK & !D & RN & !Q & QN"; - value : 125.252160; - } - leakage_power () { - when : "!CK & !D & RN & Q & !QN"; - value : 137.318500; - } - leakage_power () { - when : "!CK & D & !RN & !Q & QN"; - value : 109.280842; - } - leakage_power () { - when : "!CK & D & RN & !Q & QN"; - value : 135.542352; - } - leakage_power () { - when : "!CK & D & RN & Q & !QN"; - value : 142.473122; - } - leakage_power () { - when : "CK & !D & !RN & !Q & QN"; - value : 104.979534; - } - leakage_power () { - when : "CK & !D & RN & !Q & QN"; - value : 129.759564; - } - leakage_power () { - when : "CK & !D & RN & Q & !QN"; - value : 128.271924; - } - leakage_power () { - when : "CK & D & !RN & !Q & QN"; - value : 118.921759; - } - leakage_power () { - when : "CK & D & RN & !Q & QN"; - value : 143.699589; - } - leakage_power () { - when : "CK & D & RN & Q & !QN"; - value : 135.375603; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.128370; - fall_capacitance : 1.050912; - rise_capacitance : 1.128370; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.002011,0.011153,0.009744", \ - "0.003328,0.008825,0.004224", \ - "0.142010,0.150590,0.140035"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.003081,0.014449,0.017601", \ - "0.016661,0.028209,0.032114", \ - "0.096892,0.111418,0.118663"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.038219,0.024546,0.018853", \ - "0.055779,0.042019,0.036473", \ - "0.102116,0.087596,0.080374"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.029909,0.026212,0.042003", \ - "0.042007,0.036522,0.049667", \ - "0.056993,0.048419,0.058999"); - } - } - - internal_power () { - - when : "!CK & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.390422,4.368883,4.339526,4.363835,4.542167,4.933185,5.552974"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.078868,3.043193,3.011646,3.043717,3.218518,3.599173,4.206004"); - } - - } - - internal_power () { - - when : "!CK & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.405645,4.382246,4.352789,4.376564,4.553043,4.940622,5.558480"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.176268,3.140259,3.108270,3.139616,3.313592,3.690882,4.295833"); - } - - } - - internal_power () { - - when : "!CK & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.348292,4.324962,4.297201,4.319793,4.499521,4.890955,5.512301"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.133519,3.098128,3.066648,3.099547,3.269117,3.642142,4.239237"); - } - - } - - internal_power () { - - when : "CK & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.736635,0.709951,0.698905,0.693337,0.688895,0.687181,0.685328"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.629389,-0.632952,-0.635977,-0.638024,-0.640288,-0.640959,-0.642675"); - } - - } - - internal_power () { - - when : "CK & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.734739,0.709557,0.698665,0.692112,0.690455,0.688341,0.685465"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.629525,-0.633724,-0.636350,-0.638187,-0.640233,-0.640963,-0.642916"); - } - - } - - internal_power () { - - when : "CK & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650770,0.658228,0.659692,0.659095,0.658476,0.658354,0.658084"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.433699,-0.497311,-0.550833,-0.573155,-0.583737,-0.592379,-0.597589"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.475099; - fall_capacitance : 2.422923; - rise_capacitance : 2.475099; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.053421,-0.069039,-0.080916", \ - "-0.062944,-0.077460,-0.086402", \ - "-0.050459,-0.067058,-0.075918"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.166064,0.174317,0.185077", \ - "0.221015,0.229602,0.240176", \ - "0.412991,0.421463,0.431803"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.162336,0.185075,0.305269"); - } - } - - internal_power () { - - when : "!CK & !D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.267744,1.228162,1.204990,1.197409,1.192882,1.188890,1.186375"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.115340,-1.117410,-1.119600,-1.121340,-1.122850,-1.122040,-1.122460"); - } - - } - - internal_power () { - - when : "!CK & D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.278161,1.235093,1.209987,1.202237,1.197735,1.193826,1.191501"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.005680,-1.013820,-1.027060,-1.034640,-1.039240,-1.040500,-1.041450"); - } - - } - - internal_power () { - - when : "CK & !D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.293156,1.238728,1.214523,1.203377,1.199432,1.195221,1.190761"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.112280,-1.115170,-1.119090,-1.120630,-1.121020,-1.120670,-1.122810"); - } - - } - - internal_power () { - - when : "CK & D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.292124,1.237918,1.213779,1.202597,1.198660,1.194444,1.189989"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.115810,-1.117280,-1.121160,-1.122740,-1.123100,-1.122790,-1.124970"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.965663; - fall_capacitance : 0.868585; - rise_capacitance : 0.965663; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.053980,0.068327,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.089997,0.089219,0.198733"); - } - } - - internal_power () { - - when : "!D & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.958094,3.926867,3.941373,4.122955,4.491574,5.080652,5.937177"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.056989,3.036821,3.027428,3.151551,3.493097,4.105816,5.011174"); - } - - } - - internal_power () { - - when : "!D & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.956901,3.925907,3.940375,4.121840,4.490196,5.079708,5.935562"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.062346,3.041894,3.033009,3.158789,3.498224,4.109966,5.016379"); - } - - } - - internal_power () { - - when : "!D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.358088,7.322855,7.337712,7.518999,7.882376,8.470253,9.320489"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.582555,6.551378,6.564691,6.755804,7.151661,7.774349,8.680360"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("20.082740,20.045330,19.699780,19.631660,19.977070,20.754050,22.022150"); - } - - } - - internal_power () { - - when : "D & RN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.712471,6.678790,6.689543,6.883240,7.277561,7.899691,8.800010"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.756123,3.722344,3.729255,3.914152,4.276254,4.859709,5.709995"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.237196,3.218553,3.213531,3.338420,3.671128,4.274970,5.175941"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0922473,0.0950896,0.0979867,0.103371,0.113184,0.131697,0.168241", \ - "0.0937234,0.0965735,0.0994655,0.104849,0.114664,0.133180,0.169721", \ - "0.0988443,0.101691,0.104585,0.109968,0.119780,0.138294,0.174836", \ - "0.104578,0.107423,0.110326,0.115700,0.125518,0.144041,0.180563", \ - "0.109026,0.111876,0.114765,0.120154,0.129955,0.148475,0.185022", \ - "0.111895,0.114744,0.117630,0.123020,0.132823,0.151340,0.187879", \ - "0.112738,0.115587,0.118487,0.123877,0.133680,0.152187,0.188732"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.125381,0.129805,0.133271,0.140026,0.155753,0.190429,0.262120", \ - "0.126881,0.131302,0.134773,0.141524,0.157244,0.191923,0.263612", \ - "0.131988,0.136412,0.139876,0.146632,0.162353,0.197025,0.268718", \ - "0.137509,0.141931,0.145395,0.152149,0.167873,0.202549,0.274239", \ - "0.141549,0.145965,0.149429,0.156182,0.171891,0.206563,0.278266", \ - "0.144048,0.148465,0.151929,0.158678,0.174380,0.209045,0.280760", \ - "0.145012,0.149425,0.152887,0.159638,0.175329,0.209984,0.281690"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00553748,0.00732169,0.00898564,0.0122967,0.0192722,0.0342713,0.0657597", \ - "0.00553958,0.00731887,0.00898613,0.0122945,0.0192734,0.0342715,0.0657732", \ - "0.00553474,0.00731973,0.00898739,0.0122986,0.0192729,0.0342647,0.0657612", \ - "0.00553911,0.00732127,0.00898803,0.0122968,0.0192677,0.0342706,0.0657607", \ - "0.00554369,0.00732022,0.00898417,0.0122952,0.0192721,0.0342682,0.0657626", \ - "0.00554232,0.00732529,0.00898436,0.0122956,0.0192706,0.0342594,0.0657696", \ - "0.00554437,0.00732604,0.00898618,0.0123015,0.0192698,0.0342648,0.0657777"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00791201,0.0115015,0.0149850,0.0220375,0.0381843,0.0723614,0.141243", \ - "0.00791176,0.0114985,0.0149852,0.0220373,0.0381851,0.0723614,0.141250", \ - "0.00791408,0.0115032,0.0149895,0.0220358,0.0381844,0.0723602,0.141244", \ - "0.00791276,0.0115038,0.0149887,0.0220379,0.0381857,0.0723626,0.141246", \ - "0.00791344,0.0115058,0.0149880,0.0220405,0.0381869,0.0723601,0.141242", \ - "0.00792240,0.0115129,0.0149980,0.0220399,0.0381881,0.0723610,0.141246", \ - "0.00792557,0.0115175,0.0150012,0.0220473,0.0381895,0.0723609,0.141246"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022872,0.026949,0.030484,0.036389,0.046636,0.065571,0.102595", \ - "0.024438,0.028513,0.032045,0.037958,0.048203,0.067143,0.104166", \ - "0.030863,0.034913,0.038439,0.044349,0.054606,0.073551,0.110578", \ - "0.042116,0.046441,0.050137,0.056199,0.066516,0.085458,0.122456", \ - "0.053999,0.058891,0.063034,0.069697,0.080588,0.099834,0.136758", \ - "0.066685,0.072079,0.076675,0.083981,0.095581,0.115266,0.152308", \ - "0.080568,0.086424,0.091437,0.099422,0.111845,0.132144,0.169248"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004515,0.006336,0.008193,0.011800,0.019119,0.034452,0.066039", \ - "0.004518,0.006335,0.008193,0.011803,0.019116,0.034453,0.066045", \ - "0.004531,0.006365,0.008217,0.011816,0.019130,0.034453,0.066035", \ - "0.005707,0.007324,0.008993,0.012310,0.019357,0.034515,0.066038", \ - "0.007516,0.009147,0.010778,0.013928,0.020532,0.035031,0.066086", \ - "0.009417,0.011113,0.012760,0.015831,0.022061,0.035919,0.066390", \ - "0.011484,0.013211,0.014909,0.017986,0.023955,0.037037,0.066689"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022871,0.026953,0.030484,0.036396,0.046633,0.065575,0.102599", \ - "0.024439,0.028515,0.032046,0.037959,0.048203,0.067140,0.104163", \ - "0.030864,0.034914,0.038440,0.044353,0.054607,0.073552,0.110580", \ - "0.042117,0.046441,0.050137,0.056200,0.066517,0.085459,0.122457", \ - "0.054000,0.058890,0.063035,0.069693,0.080589,0.099835,0.136759", \ - "0.066681,0.072080,0.076676,0.083984,0.095585,0.115275,0.152308", \ - "0.080575,0.086430,0.091445,0.099417,0.111848,0.132155,0.169246"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004515,0.006337,0.008193,0.011798,0.019117,0.034452,0.066044", \ - "0.004518,0.006334,0.008194,0.011803,0.019117,0.034456,0.066049", \ - "0.004531,0.006360,0.008218,0.011816,0.019130,0.034453,0.066037", \ - "0.005707,0.007324,0.008993,0.012310,0.019357,0.034515,0.066038", \ - "0.007515,0.009151,0.010778,0.013928,0.020532,0.035031,0.066086", \ - "0.009420,0.011113,0.012760,0.015830,0.022060,0.035913,0.066390", \ - "0.011486,0.013210,0.014908,0.017988,0.023953,0.037042,0.066688"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022895,0.026949,0.030461,0.036336,0.046507,0.065294,0.102031", \ - "0.024464,0.028516,0.032025,0.037902,0.048075,0.066865,0.103602", \ - "0.030891,0.034914,0.038416,0.044298,0.054477,0.073272,0.110015", \ - "0.042164,0.046449,0.050118,0.056144,0.066387,0.085179,0.121896", \ - "0.054059,0.058899,0.063007,0.069605,0.080404,0.099485,0.136161", \ - "0.066732,0.072057,0.076605,0.083846,0.095322,0.114841,0.151661", \ - "0.080571,0.086352,0.091317,0.099231,0.111547,0.131718,0.168682"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004485,0.006291,0.008143,0.011716,0.018970,0.034183,0.065740", \ - "0.004487,0.006293,0.008138,0.011720,0.018969,0.034185,0.065755", \ - "0.004500,0.006314,0.008159,0.011732,0.018973,0.034177,0.065747", \ - "0.005645,0.007257,0.008921,0.012221,0.019207,0.034254,0.065768", \ - "0.007428,0.009043,0.010666,0.013797,0.020348,0.034768,0.065853", \ - "0.009280,0.010965,0.012613,0.015665,0.021844,0.035638,0.066234", \ - "0.011300,0.013023,0.014736,0.017819,0.023741,0.036832,0.066688"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022895,0.026950,0.030461,0.036336,0.046507,0.065294,0.102031", \ - "0.024464,0.028516,0.032025,0.037902,0.048075,0.066865,0.103601", \ - "0.030891,0.034914,0.038416,0.044298,0.054477,0.073272,0.110015", \ - "0.042164,0.046449,0.050118,0.056144,0.066387,0.085179,0.121896", \ - "0.054059,0.058899,0.063007,0.069605,0.080404,0.099485,0.136161", \ - "0.066732,0.072060,0.076605,0.083846,0.095322,0.114841,0.151661", \ - "0.080571,0.086352,0.091317,0.099240,0.111547,0.131718,0.168682"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004485,0.006289,0.008143,0.011716,0.018970,0.034183,0.065740", \ - "0.004487,0.006293,0.008138,0.011720,0.018969,0.034185,0.065754", \ - "0.004500,0.006314,0.008159,0.011732,0.018973,0.034177,0.065748", \ - "0.005645,0.007257,0.008921,0.012221,0.019207,0.034254,0.065768", \ - "0.007428,0.009043,0.010666,0.013797,0.020348,0.034768,0.065853", \ - "0.009280,0.010967,0.012613,0.015665,0.021844,0.035638,0.066237", \ - "0.011300,0.013023,0.014736,0.017818,0.023741,0.036832,0.066689"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.604299,6.628848,6.691186,7.003198,7.080820,7.071858,7.015316", \ - "6.608792,6.625713,6.657234,7.006693,7.062389,7.065698,6.909594", \ - "6.569051,6.625760,6.659424,6.991528,7.044653,7.168542,7.056105", \ - "6.648358,6.687275,6.752681,7.072404,7.118572,7.146542,6.992147", \ - "6.824595,6.858647,6.884491,7.237264,7.369710,7.317574,7.260171", \ - "7.133349,7.176865,7.209453,7.520790,7.659072,7.620669,7.694286", \ - "7.591091,7.638939,7.670390,8.017998,8.139646,8.088829,8.154384"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.493571,8.322112,8.082812,7.799215,7.704289,7.532075,7.623183", \ - "8.482691,8.271999,8.070625,7.839545,7.670249,7.691639,7.597129", \ - "8.505847,8.297224,8.093462,7.818877,7.722149,7.551910,7.656067", \ - "8.575829,8.373600,8.114774,7.904953,7.746029,7.624496,7.521835", \ - "8.708227,8.489948,8.288263,8.008158,7.913002,7.788253,7.762956", \ - "9.053576,8.826169,8.621142,8.347054,8.176495,8.095900,7.908728", \ - "9.560205,9.348781,9.067457,8.845127,8.662870,8.539325,8.616031"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.793217,6.596974,6.633267,6.670073,6.691208,6.586670,6.337572", \ - "6.791154,6.601531,6.586932,6.597328,6.646246,6.438984,6.491418", \ - "6.790002,6.565713,6.596239,6.595662,6.619311,6.622216,6.382332", \ - "7.060042,6.790093,6.742640,6.789847,6.674834,6.574080,6.577883", \ - "7.568126,7.211375,7.125414,7.087170,7.031343,6.784958,6.979248", \ - "8.322879,7.891378,7.722946,7.663193,7.590010,7.276310,7.464646", \ - "9.235686,8.732019,8.555927,8.426004,8.311627,8.164259,8.019154"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.887761,6.680984,6.717337,6.754474,6.776928,6.672950,6.425304", \ - "6.834370,6.683327,6.669002,6.680084,6.630129,6.603347,6.578354", \ - "6.869724,6.646683,6.681986,6.677349,6.701943,6.683894,6.466999", \ - "7.139822,6.870158,6.823113,6.806703,6.756827,6.656847,6.661633", \ - "7.647940,7.291110,7.205020,7.167309,7.112400,6.866899,7.061707", \ - "8.401745,7.970704,7.802399,7.741890,7.668656,7.356701,7.546266", \ - "9.294594,8.808823,8.633500,8.506029,8.401359,8.242890,8.101723"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.316836,8.391982,8.517240,8.612173,8.728460,8.737902,8.584061", \ - "8.258802,8.340954,8.465918,8.605396,8.578148,8.642461,8.397262", \ - "8.303257,8.378512,8.498018,8.622883,8.672493,8.689807,8.643579", \ - "8.553481,8.553863,8.641928,8.737971,8.847078,8.858948,8.614802", \ - "9.111008,9.026094,9.066007,9.125691,9.143636,8.999349,9.030695", \ - "9.879770,9.698262,9.680197,9.680390,9.675187,9.650977,9.673763", \ - "10.984530,10.766060,10.664370,10.635930,10.571920,10.386530,10.510380"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.311381,8.423150,8.525084,8.619659,8.734193,8.742209,8.583562", \ - "8.265384,8.349144,8.474011,8.612515,8.584380,8.595009,8.398730", \ - "8.272869,8.385715,8.505378,8.629735,8.678243,8.690948,8.643647", \ - "8.557899,8.561334,8.649315,8.744778,8.709038,8.862137,8.614875", \ - "9.084693,9.032849,9.072602,9.131826,9.147855,9.002539,9.030619", \ - "9.876145,9.705400,9.686751,9.686501,9.672697,9.653872,9.673569", \ - "10.987980,10.750910,10.670250,10.642930,10.577370,10.395510,10.510250"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0823276,0.0892089,0.0953779,0.105539,0.121883,0.147799,0.189710", \ - "0.0838272,0.0907041,0.0968795,0.107035,0.123378,0.149294,0.191206", \ - "0.0889294,0.0958060,0.101978,0.112140,0.128484,0.154405,0.196317", \ - "0.0944380,0.101317,0.107487,0.117652,0.134000,0.159933,0.201849", \ - "0.0984526,0.105334,0.111504,0.121676,0.138017,0.163944,0.205866", \ - "0.100936,0.107821,0.113992,0.124159,0.140506,0.166438,0.208386", \ - "0.101852,0.108742,0.114921,0.125094,0.141443,0.167387,0.209332"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0692510,0.0762661,0.0826987,0.0938675,0.113733,0.150683,0.223209", \ - "0.0707323,0.0777494,0.0841797,0.0953457,0.115215,0.152165,0.224685", \ - "0.0758480,0.0828661,0.0892981,0.100466,0.120328,0.157284,0.229798", \ - "0.0815791,0.0885961,0.0950374,0.106197,0.126067,0.163024,0.235535", \ - "0.0860337,0.0930473,0.0994773,0.110649,0.130503,0.167463,0.239982", \ - "0.0888902,0.0959136,0.102342,0.113518,0.133374,0.170333,0.242849", \ - "0.0897295,0.0967557,0.103198,0.114378,0.134235,0.171180,0.243704"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0152312,0.0177218,0.0202479,0.0248503,0.0330564,0.0469324,0.0741939", \ - "0.0152321,0.0177226,0.0202496,0.0248494,0.0330579,0.0469323,0.0741919", \ - "0.0152428,0.0177311,0.0202568,0.0248561,0.0330645,0.0469377,0.0741886", \ - "0.0152552,0.0177385,0.0202686,0.0248663,0.0330707,0.0469393,0.0742074", \ - "0.0152760,0.0177634,0.0202973,0.0248884,0.0330843,0.0469516,0.0742080", \ - "0.0152981,0.0177758,0.0203108,0.0249050,0.0331073,0.0469690,0.0742225", \ - "0.0153636,0.0178429,0.0203650,0.0249646,0.0331533,0.0469990,0.0742238"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00821207,0.0123478,0.0165155,0.0245190,0.0404004,0.0732971,0.141564", \ - "0.00821640,0.0123482,0.0165177,0.0245185,0.0404007,0.0732972,0.141565", \ - "0.00821153,0.0123463,0.0165181,0.0245187,0.0403993,0.0732961,0.141569", \ - "0.00821398,0.0123494,0.0165175,0.0245214,0.0403989,0.0732970,0.141567", \ - "0.00821939,0.0123510,0.0165190,0.0245237,0.0404028,0.0732989,0.141568", \ - "0.00822455,0.0123596,0.0165263,0.0245287,0.0404055,0.0732995,0.141570", \ - "0.00823936,0.0123682,0.0165357,0.0245345,0.0404107,0.0733009,0.141573"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.109943,0.117573,0.125013,0.138021,0.160225,0.199081,0.272303", \ - "0.111502,0.119135,0.126572,0.139587,0.161788,0.200651,0.273872", \ - "0.117835,0.125473,0.132911,0.145920,0.168118,0.206977,0.280193", \ - "0.129847,0.137372,0.144708,0.157601,0.179690,0.218482,0.291673", \ - "0.144355,0.151680,0.158777,0.171354,0.193168,0.231783,0.304863", \ - "0.159952,0.167189,0.174107,0.186347,0.207817,0.246171,0.319083", \ - "0.176961,0.184180,0.190976,0.202904,0.223946,0.261957,0.334687"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.014242,0.018339,0.022889,0.031209,0.046767,0.078141,0.144309", \ - "0.014241,0.018342,0.022888,0.031207,0.046763,0.078148,0.144312", \ - "0.014246,0.018340,0.022889,0.031206,0.046770,0.078134,0.144308", \ - "0.014249,0.018343,0.022890,0.031210,0.046765,0.078148,0.144318", \ - "0.014251,0.018348,0.022895,0.031217,0.046778,0.078150,0.144307", \ - "0.014245,0.018355,0.022911,0.031239,0.046790,0.078151,0.144314", \ - "0.014280,0.018376,0.022926,0.031245,0.046784,0.078146,0.144316"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.109925,0.117558,0.124993,0.138006,0.160191,0.199044,0.272242", \ - "0.111486,0.119118,0.126553,0.139563,0.161755,0.200606,0.273803", \ - "0.117818,0.125456,0.132892,0.145898,0.168089,0.206937,0.280129", \ - "0.129835,0.137359,0.144693,0.157583,0.179667,0.218443,0.291614", \ - "0.144344,0.151673,0.158768,0.171338,0.193151,0.231754,0.304811", \ - "0.159945,0.167184,0.174101,0.186342,0.207808,0.246150,0.319038", \ - "0.176965,0.184183,0.190978,0.202892,0.223934,0.261950,0.334645"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.014245,0.018335,0.022884,0.031200,0.046754,0.078114,0.144259", \ - "0.014240,0.018337,0.022882,0.031200,0.046749,0.078110,0.144267", \ - "0.014243,0.018335,0.022885,0.031199,0.046757,0.078107,0.144271", \ - "0.014246,0.018339,0.022886,0.031203,0.046751,0.078122,0.144272", \ - "0.014246,0.018347,0.022891,0.031210,0.046765,0.078125,0.144262", \ - "0.014242,0.018352,0.022907,0.031232,0.046778,0.078124,0.144273", \ - "0.014276,0.018373,0.022922,0.031240,0.046778,0.078124,0.144271"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.214269,0.221459,0.227972,0.239029,0.258469,0.295170,0.367439", \ - "0.215797,0.222986,0.229499,0.240557,0.259996,0.296689,0.368968", \ - "0.221842,0.229038,0.235555,0.246616,0.266055,0.302755,0.375031", \ - "0.231762,0.238957,0.245469,0.256541,0.275985,0.312686,0.384979", \ - "0.246506,0.253697,0.260200,0.271262,0.290687,0.327379,0.399660", \ - "0.267795,0.274987,0.281493,0.292538,0.311913,0.348589,0.420846", \ - "0.296298,0.303492,0.309996,0.321029,0.340454,0.377097,0.449319"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009478,0.013341,0.017237,0.024716,0.040216,0.073300,0.141656", \ - "0.009484,0.013342,0.017238,0.024713,0.040210,0.073315,0.141649", \ - "0.009480,0.013341,0.017241,0.024713,0.040219,0.073304,0.141655", \ - "0.009480,0.013345,0.017236,0.024717,0.040212,0.073297,0.141643", \ - "0.009480,0.013345,0.017240,0.024716,0.040218,0.073311,0.141645", \ - "0.009481,0.013347,0.017242,0.024719,0.040216,0.073309,0.141662", \ - "0.009517,0.013376,0.017263,0.024737,0.040219,0.073302,0.141674"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.214109,0.221301,0.227816,0.238879,0.258329,0.295051,0.367345", \ - "0.215637,0.222829,0.229345,0.240408,0.259858,0.296568,0.368871", \ - "0.221688,0.228885,0.235408,0.246470,0.265919,0.302638,0.374938", \ - "0.231616,0.238810,0.245326,0.256402,0.275857,0.312577,0.384890", \ - "0.246369,0.253559,0.260066,0.271131,0.290564,0.327275,0.399574", \ - "0.267667,0.274858,0.281367,0.292413,0.311798,0.348487,0.420762", \ - "0.296173,0.303368,0.309874,0.320902,0.340342,0.376996,0.449238"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009475,0.013341,0.017233,0.024713,0.040212,0.073296,0.141656", \ - "0.009476,0.013339,0.017233,0.024714,0.040206,0.073315,0.141657", \ - "0.009475,0.013339,0.017236,0.024711,0.040216,0.073301,0.141656", \ - "0.009475,0.013343,0.017231,0.024715,0.040206,0.073292,0.141643", \ - "0.009475,0.013336,0.017238,0.024712,0.040216,0.073311,0.141646", \ - "0.009481,0.013343,0.017238,0.024718,0.040216,0.073308,0.141662", \ - "0.009512,0.013369,0.017262,0.024734,0.040220,0.073307,0.141674"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.493080,8.319715,8.087119,7.806783,7.719099,7.558926,7.641547", \ - "8.482699,8.312024,8.074962,7.846891,7.685206,7.521337,7.627258", \ - "8.505364,8.299613,8.097698,7.826247,7.678587,7.547234,7.378573", \ - "8.575357,8.371868,8.157034,7.848646,7.760888,7.654534,7.581999", \ - "8.708223,8.492420,8.292483,8.015662,7.927842,7.785403,7.787352", \ - "9.053578,8.828581,8.625418,8.354379,8.191598,8.114267,7.969431", \ - "9.559744,9.351104,9.080839,8.777180,8.677789,8.568196,8.530274"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.602136,6.638191,6.693149,7.009375,7.083269,7.081049,7.075396", \ - "6.609126,6.631681,6.657471,7.010564,7.140856,7.081255,6.970322", \ - "6.568798,6.627113,6.661462,6.984973,7.066894,7.082920,7.115835", \ - "6.659981,6.687044,6.737337,7.059482,7.129032,7.161885,7.052776", \ - "6.824743,6.851945,6.901134,7.241179,7.353008,7.310562,7.320140", \ - "7.133360,7.169706,7.202057,7.545825,7.651699,7.650345,7.705630", \ - "7.591100,7.635340,7.669817,8.022572,8.044135,8.140699,8.213386"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.792427,6.598749,6.636730,6.675570,6.705563,6.616355,6.398108", \ - "6.790431,6.603307,6.590454,6.602884,6.561225,6.469274,6.550599", \ - "6.790007,6.567688,6.599692,6.601376,6.633875,6.548081,6.442293", \ - "7.059323,6.792022,6.746157,6.731324,6.689904,6.604556,6.637465", \ - "7.567366,7.213495,7.129112,7.092828,7.072769,6.815684,6.796336", \ - "8.322134,7.893619,7.706747,7.668780,7.603791,7.307186,7.186900", \ - "9.235688,8.734496,8.559957,8.459539,8.297083,8.193654,8.078881"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.887753,6.682775,6.720742,6.760043,6.791082,6.702253,6.485740", \ - "6.871873,6.685075,6.672546,6.685655,6.645298,6.681524,6.637510", \ - "6.869729,6.648485,6.680724,6.683078,6.716492,6.631545,6.526965", \ - "7.139101,6.872083,6.826623,6.812438,6.771876,6.687091,6.721155", \ - "7.647179,7.293122,7.208721,7.172958,7.127226,6.897593,6.879170", \ - "8.401002,7.972944,7.786374,7.747478,7.681253,7.387559,7.268648", \ - "9.293796,8.811302,8.637528,8.511621,8.417810,8.272895,8.161452"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.317044,8.393701,8.520734,8.617815,8.742710,8.767036,8.643906", \ - "8.257702,8.342730,8.469473,8.610655,8.593191,8.619261,8.458854", \ - "8.302236,8.380190,8.501402,8.628220,8.686966,8.716862,8.702338", \ - "8.553471,8.568802,8.645613,8.743471,8.718310,8.888934,8.709320", \ - "9.109969,9.028449,9.069695,9.131126,9.157305,9.029495,9.089889", \ - "9.879781,9.700502,9.684245,9.686104,9.703466,9.713231,9.579863", \ - "10.984530,10.747240,10.668630,10.641610,10.586690,10.417140,10.231690"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.310306,8.401740,8.528492,8.625247,8.605631,8.772990,8.644070", \ - "8.265172,8.350884,8.477568,8.617856,8.648728,8.623039,8.459978", \ - "8.272881,8.387357,8.508694,8.564489,8.692658,8.720126,8.702378", \ - "8.556831,8.563210,8.652936,8.750355,8.724260,8.795183,8.690802", \ - "9.084689,9.034819,9.076274,9.137223,9.162414,9.031619,9.089759", \ - "9.876145,9.707812,9.690774,9.692199,9.698081,9.681778,9.590121", \ - "10.987980,10.753410,10.675010,10.648540,10.591470,10.424480,10.231770"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFS_X1 - Cell Description : Pos.edge D-Flip-Flop with active low set, and drive strength X1 - *******************************************************************************************/ - - cell (DFFS_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - preset : "!SN"; - } - - area : 5.320000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 84.395957; - - leakage_power () { - when : "!CK & !D & !SN & Q & !QN"; - value : 74.146820; - } - leakage_power () { - when : "!CK & !D & SN & !Q & QN"; - value : 86.089278; - } - leakage_power () { - when : "!CK & !D & SN & Q & !QN"; - value : 89.733809; - } - leakage_power () { - when : "!CK & D & !SN & Q & !QN"; - value : 78.958121; - } - leakage_power () { - when : "!CK & D & SN & !Q & QN"; - value : 94.440676; - } - leakage_power () { - when : "!CK & D & SN & Q & !QN"; - value : 92.948339; - } - leakage_power () { - when : "CK & !D & !SN & Q & !QN"; - value : 65.122464; - } - leakage_power () { - when : "CK & !D & SN & !Q & QN"; - value : 90.427491; - } - leakage_power () { - when : "CK & !D & SN & Q & !QN"; - value : 78.592822; - } - leakage_power () { - when : "CK & D & !SN & Q & !QN"; - value : 72.227342; - } - leakage_power () { - when : "CK & D & SN & !Q & QN"; - value : 104.367505; - } - leakage_power () { - when : "CK & D & SN & Q & !QN"; - value : 85.696820; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.163714; - fall_capacitance : 1.094666; - rise_capacitance : 1.163714; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.002208,0.012253,0.013229", \ - "0.004786,0.011793,0.008717", \ - "0.143822,0.154449,0.146903"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.004395,0.017586,0.023046", \ - "0.017828,0.030941,0.037017", \ - "0.098524,0.114568,0.124358"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.036614,0.021305,0.013741", \ - "0.054303,0.039126,0.031152", \ - "0.100476,0.084437,0.074669"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.028504,0.023511,0.035571", \ - "0.040532,0.033537,0.043405", \ - "0.055172,0.044551,0.052121"); - } - } - - internal_power () { - - when : "!CK & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.340280,3.320402,3.290940,3.306021,3.445733,3.779839,4.332428"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.815081,1.775983,1.743756,1.757489,1.899931,2.222140,2.758755"); - } - - } - - internal_power () { - - when : "!CK & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.573275,4.551735,4.522437,4.546356,4.711279,5.088576,5.691688"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.897658,2.861903,2.827689,2.853468,3.020915,3.391583,3.990040"); - } - - } - - internal_power () { - - when : "!CK & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.515580,4.494945,4.464799,4.487575,4.656998,5.036348,5.641272"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.861220,2.826104,2.791992,2.815881,2.976733,3.343272,3.935019"); - } - - } - - internal_power () { - - when : "CK & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649867,0.657510,0.659183,0.658695,0.658585,0.658108,0.657916"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.434275,-0.498872,-0.553378,-0.573728,-0.586021,-0.593483,-0.599054"); - } - - } - - internal_power () { - - when : "CK & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.734587,0.710087,0.697901,0.692524,0.690828,0.688665,0.686518"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.628816,-0.632755,-0.635200,-0.636953,-0.638603,-0.639392,-0.640476"); - } - - } - - internal_power () { - - when : "CK & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649845,0.657593,0.659202,0.658734,0.658558,0.658152,0.657950"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.433925,-0.498429,-0.552288,-0.573280,-0.585626,-0.592671,-0.598578"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.355893; - fall_capacitance : 1.328339; - rise_capacitance : 1.355893; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.042432,-0.057989,-0.067778", \ - "-0.039616,-0.055240,-0.065018", \ - "-0.047957,-0.062341,-0.071754"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.125769,0.139327,0.146603", \ - "0.180500,0.194113,0.201182", \ - "0.372640,0.386242,0.393364"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.183397,0.214877,0.345495"); - } - } - - internal_power () { - - when : "!CK & !D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.835718,1.794378,1.823019,2.003970,2.353683,2.891329,3.641905"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.558231,0.522470,0.485463,0.538462,0.763720,1.213447,1.891456"); - } - - } - - internal_power () { - - when : "!CK & D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.708829,0.675716,0.664105,0.661253,0.659183,0.655400,0.654237"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.609364,-0.611605,-0.613334,-0.614691,-0.616570,-0.615363,-0.616443"); - } - - } - - internal_power () { - - when : "CK & !D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.683062,0.664536,0.658185,0.654416,0.652401,0.650316,0.648838"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.612574,-0.616052,-0.617712,-0.618101,-0.618226,-0.617699,-0.618481"); - } - - } - - internal_power () { - - when : "CK & D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.683061,0.664540,0.658183,0.654418,0.652394,0.650307,0.648836"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.612572,-0.616060,-0.617729,-0.618115,-0.618231,-0.617714,-0.618485"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.965214; - fall_capacitance : 0.877773; - rise_capacitance : 0.965214; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.053980,0.071399,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.053369,0.057267,0.198733"); - } - } - - internal_power () { - - when : "!D & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.875082,6.845727,6.851317,7.038276,7.421833,8.023543,8.881747"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("15.071700,15.054070,15.067110,15.178220,15.469010,16.041250,16.958770"); - } - - } - - internal_power () { - - when : "!D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.903735,4.873003,4.877103,5.050455,5.415370,5.994839,6.834796"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.438542,2.423027,2.418164,2.534798,2.846811,3.424396,4.302924"); - } - - } - - internal_power () { - - when : "!D & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.109837,8.075737,8.080778,8.263587,8.646654,9.244626,10.098570"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.685620,4.653573,4.657543,4.831487,5.198005,5.778165,6.612570"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.611032,2.595074,2.592395,2.707611,3.016086,3.590343,4.463799"); - } - - } - - internal_power () { - - when : "D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.716234,7.681276,7.683929,7.864597,8.257942,8.878371,9.764930"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.688746,4.658446,4.660297,4.831562,5.201610,5.779748,6.612004"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.619408,2.603396,2.600668,2.715705,3.024563,3.598692,4.472255"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.653700; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0788474,0.0820298,0.0853166,0.0910199,0.101069,0.119777,0.156534", \ - "0.0803317,0.0835158,0.0868052,0.0925050,0.102560,0.121265,0.158018", \ - "0.0857071,0.0888919,0.0921817,0.0978838,0.107936,0.126642,0.163402", \ - "0.0924851,0.0956703,0.0989616,0.104664,0.114722,0.133426,0.170180", \ - "0.0978933,0.101070,0.104357,0.110038,0.120094,0.138808,0.175563", \ - "0.101508,0.104695,0.107985,0.113677,0.123730,0.142429,0.179179", \ - "0.102990,0.106169,0.109452,0.115154,0.125221,0.143903,0.180659"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.0849651,0.0884698,0.0922488,0.100282,0.117660,0.153611,0.226147", \ - "0.0864538,0.0899602,0.0937381,0.101776,0.119151,0.155099,0.227637", \ - "0.0917211,0.0952255,0.0990040,0.107040,0.124415,0.160364,0.232907", \ - "0.0982734,0.101778,0.105557,0.113589,0.130964,0.166912,0.239457", \ - "0.103390,0.106897,0.110677,0.118702,0.136066,0.172009,0.244549", \ - "0.106994,0.110500,0.114277,0.122311,0.139666,0.175611,0.248148", \ - "0.108978,0.112483,0.116260,0.124271,0.141606,0.177539,0.250058"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.00518473,0.00680980,0.00858329,0.0120280,0.0191376,0.0342666,0.0659160", \ - "0.00518814,0.00680546,0.00858591,0.0120284,0.0191378,0.0342657,0.0659182", \ - "0.00518959,0.00680817,0.00858150,0.0120332,0.0191425,0.0342626,0.0659237", \ - "0.00518702,0.00681111,0.00858721,0.0120333,0.0191424,0.0342611,0.0659076", \ - "0.00518316,0.00680680,0.00858410,0.0120326,0.0191406,0.0342622,0.0659065", \ - "0.00518835,0.00681145,0.00858377,0.0120341,0.0191430,0.0342650,0.0658932", \ - "0.00519550,0.00681644,0.00858664,0.0120331,0.0191410,0.0342667,0.0659169"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.00632139,0.00905387,0.0124469,0.0203511,0.0374106,0.0719636,0.141162", \ - "0.00632104,0.00905427,0.0124460,0.0203493,0.0374103,0.0719596,0.141156", \ - "0.00632626,0.00905111,0.0124492,0.0203517,0.0374105,0.0719588,0.141155", \ - "0.00632984,0.00905377,0.0124497,0.0203502,0.0374112,0.0719639,0.141157", \ - "0.00633178,0.00906605,0.0124536,0.0203509,0.0374082,0.0719629,0.141155", \ - "0.00633515,0.00906756,0.0124562,0.0203513,0.0374097,0.0719590,0.141163", \ - "0.00635837,0.00908597,0.0124695,0.0203554,0.0374102,0.0719624,0.141155"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.212784,0.215611,0.218822,0.225101,0.239328,0.272631,0.343516", \ - "0.214313,0.217133,0.220350,0.226630,0.240846,0.274154,0.345036", \ - "0.220387,0.223213,0.226428,0.232704,0.246924,0.280214,0.351105", \ - "0.230228,0.233035,0.236263,0.242536,0.256750,0.290045,0.360941", \ - "0.244767,0.247581,0.250803,0.257101,0.271286,0.304570,0.375465", \ - "0.265794,0.268610,0.271851,0.278219,0.292421,0.325712,0.396613", \ - "0.294703,0.297491,0.300760,0.307084,0.321294,0.354523,0.425345"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.011411,0.014697,0.017728,0.023259,0.038203,0.072206,0.141265", \ - "0.011413,0.014696,0.017726,0.023259,0.038203,0.072204,0.141269", \ - "0.011411,0.014696,0.017727,0.023262,0.038203,0.072209,0.141273", \ - "0.011412,0.014696,0.017727,0.023263,0.038207,0.072202,0.141263", \ - "0.011412,0.014700,0.017724,0.023265,0.038205,0.072200,0.141273", \ - "0.011412,0.014696,0.017727,0.023260,0.038202,0.072203,0.141265", \ - "0.011448,0.014722,0.017755,0.023284,0.038209,0.072202,0.141268"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.212897,0.215707,0.218920,0.225203,0.239411,0.272701,0.343577", \ - "0.214410,0.217238,0.220449,0.226748,0.240934,0.274224,0.345119", \ - "0.220494,0.223302,0.226522,0.232801,0.247012,0.280290,0.351176", \ - "0.230380,0.233191,0.236405,0.242688,0.256895,0.290168,0.361068", \ - "0.245096,0.247891,0.251126,0.257401,0.271486,0.304772,0.375656", \ - "0.266118,0.269007,0.272232,0.278589,0.292596,0.325935,0.396819", \ - "0.294739,0.297532,0.300858,0.307356,0.321407,0.354676,0.425531"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.011417,0.014701,0.017732,0.023264,0.038206,0.072203,0.141266", \ - "0.011418,0.014703,0.017732,0.023266,0.038208,0.072201,0.141269", \ - "0.011415,0.014701,0.017734,0.023266,0.038205,0.072200,0.141267", \ - "0.011417,0.014702,0.017731,0.023266,0.038205,0.072212,0.141264", \ - "0.011415,0.014701,0.017733,0.023265,0.038208,0.072202,0.141268", \ - "0.011413,0.014701,0.017732,0.023266,0.038204,0.072211,0.141267", \ - "0.011452,0.014726,0.017755,0.023284,0.038213,0.072203,0.141273"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.143747,0.147285,0.151082,0.159125,0.176503,0.212492,0.285116", \ - "0.145213,0.148742,0.152536,0.160579,0.177967,0.213948,0.286566", \ - "0.151571,0.155092,0.158881,0.166919,0.184312,0.220308,0.292924", \ - "0.161034,0.164554,0.168343,0.176378,0.193767,0.229756,0.302374", \ - "0.171389,0.174907,0.178700,0.186743,0.204129,0.240115,0.312738", \ - "0.183030,0.186545,0.190339,0.198380,0.215746,0.251739,0.324352", \ - "0.196141,0.199652,0.203440,0.211452,0.228788,0.264806,0.337411"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.006374,0.009102,0.012478,0.020352,0.037417,0.071969,0.141160", \ - "0.006361,0.009089,0.012462,0.020350,0.037415,0.071971,0.141165", \ - "0.006352,0.009077,0.012459,0.020347,0.037414,0.071972,0.141162", \ - "0.006350,0.009077,0.012450,0.020344,0.037415,0.071963,0.141164", \ - "0.006350,0.009072,0.012453,0.020343,0.037413,0.071970,0.141160", \ - "0.006351,0.009071,0.012450,0.020342,0.037416,0.071967,0.141158", \ - "0.006346,0.009065,0.012443,0.020338,0.037409,0.071965,0.141162"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.143820,0.147354,0.151164,0.159190,0.176562,0.212545,0.285146", \ - "0.145283,0.148808,0.152599,0.160637,0.178019,0.213999,0.286606", \ - "0.151639,0.155162,0.158951,0.166983,0.184367,0.220350,0.292961", \ - "0.161099,0.164617,0.168406,0.176437,0.193821,0.229802,0.302411", \ - "0.171454,0.174970,0.178760,0.186803,0.204177,0.240157,0.312769", \ - "0.183083,0.186599,0.190391,0.198431,0.215803,0.251784,0.324378", \ - "0.196188,0.199701,0.203485,0.211496,0.228830,0.264837,0.337441"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("0.006376,0.009105,0.012472,0.020352,0.037418,0.071969,0.141161", \ - "0.006364,0.009092,0.012463,0.020348,0.037415,0.071972,0.141165", \ - "0.006356,0.009078,0.012460,0.020346,0.037413,0.071969,0.141162", \ - "0.006352,0.009076,0.012452,0.020344,0.037415,0.071968,0.141164", \ - "0.006352,0.009074,0.012452,0.020344,0.037411,0.071965,0.141160", \ - "0.006352,0.009073,0.012455,0.020343,0.037415,0.071969,0.141163", \ - "0.006349,0.009068,0.012443,0.020339,0.037409,0.071965,0.141162"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("4.022446,4.108403,4.166117,4.333826,4.378189,4.434774,4.410236", \ - "4.022272,4.106984,4.168600,4.327522,4.373155,4.314979,4.363907", \ - "4.016587,4.095576,4.163625,4.321181,4.396694,4.421383,4.440959", \ - "4.079906,4.157658,4.226078,4.373869,4.456706,4.415373,4.434716", \ - "4.233771,4.315090,4.363446,4.537543,4.606578,4.630861,4.551620", \ - "4.535493,4.616338,4.676354,4.828211,4.903226,4.928666,4.950747", \ - "4.977807,5.058246,5.128891,5.264784,5.330697,5.354904,5.337618"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("4.450731,4.459653,4.468538,4.498755,4.543990,4.590604,4.563303", \ - "4.443293,4.450428,4.464630,4.492928,4.522814,4.487258,4.556831", \ - "4.445784,4.455009,4.456326,4.494052,4.538541,4.529383,4.554528", \ - "4.505079,4.514624,4.514399,4.525439,4.607122,4.572275,4.619500", \ - "4.643283,4.641573,4.657929,4.709122,4.718393,4.755232,4.700536", \ - "4.964337,4.960850,4.956843,4.980760,5.014293,5.051831,5.070435", \ - "5.439070,5.404749,5.401011,5.461640,5.512302,5.502647,5.537385"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("6.110261,5.958014,5.762313,5.487878,5.206863,5.005594,4.875117", \ - "6.077271,5.934790,5.729017,5.467695,5.184903,4.948402,4.856826", \ - "6.070954,5.945356,5.769011,5.487472,5.208085,5.021183,4.889043", \ - "6.186897,6.025626,5.839149,5.569193,5.253839,5.046363,4.928776", \ - "6.344871,6.201701,5.996187,5.723201,5.411752,5.284507,5.122260", \ - "6.633194,6.497209,6.330738,6.047345,5.755300,5.547353,5.448579", \ - "7.186057,6.991953,6.831267,6.559532,6.250268,6.045472,5.885651"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("5.531736,5.339634,5.184117,4.914708,4.636195,4.430907,4.332662", \ - "5.505447,5.362308,5.156951,4.897602,4.604296,4.375534,4.300261", \ - "5.479135,5.348774,5.177644,4.894346,4.619604,4.398119,4.310276", \ - "5.502298,5.359935,5.154115,4.891342,4.611959,4.415984,4.306219", \ - "5.477930,5.359741,5.176484,4.902628,4.610776,4.423849,4.284084", \ - "5.539980,5.373666,5.188552,4.927436,4.637978,4.453515,4.168527", \ - "5.688105,5.493996,5.331036,5.053638,4.750351,4.548315,4.315990"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("5.310481,5.283959,5.285089,5.328827,5.399249,5.384494,5.337698", \ - "5.288872,5.279940,5.252826,5.302020,5.370750,5.355598,5.408374", \ - "5.297656,5.258616,5.272161,5.318744,5.389812,5.389795,5.357704", \ - "5.375129,5.371069,5.370187,5.407291,5.428552,5.418146,5.365172", \ - "5.533389,5.527352,5.499740,5.570123,5.553802,5.634258,5.550984", \ - "5.765000,5.736808,5.773092,5.808164,5.838496,5.803248,5.903923", \ - "6.072926,6.070649,6.074868,6.127655,6.182938,6.117726,6.173456"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); - values ("5.315852,5.314345,5.288249,5.323505,5.385418,5.352389,5.349547", \ - "5.292869,5.282607,5.255887,5.332722,5.381286,5.387465,5.420251", \ - "5.287461,5.269257,5.275118,5.322969,5.387349,5.375384,5.369499", \ - "5.380390,5.336499,5.372864,5.411415,5.434491,5.426618,5.376633", \ - "5.536850,5.529333,5.533141,5.573666,5.632443,5.551852,5.562135", \ - "5.766429,5.738703,5.753831,5.821213,5.876277,5.906967,5.847523", \ - "6.083770,6.058719,6.077552,6.129335,6.187381,6.121203,6.239038"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0607926,0.0658335,0.0709456,0.0793713,0.0929680,0.114967,0.153513", \ - "0.0622813,0.0673238,0.0724338,0.0808635,0.0944580,0.116456,0.155004", \ - "0.0675435,0.0725858,0.0776971,0.0861284,0.0997260,0.121728,0.160275", \ - "0.0740937,0.0791359,0.0842457,0.0926749,0.106277,0.128284,0.166839", \ - "0.0792020,0.0842419,0.0893520,0.0977825,0.111384,0.133398,0.171956", \ - "0.0827955,0.0878312,0.0929376,0.101373,0.114975,0.137000,0.175550", \ - "0.0847166,0.0897578,0.0948679,0.103303,0.116920,0.138970,0.177540"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0596983,0.0648930,0.0704436,0.0804743,0.0992692,0.135731,0.208154", \ - "0.0611816,0.0663797,0.0719322,0.0819613,0.100760,0.137217,0.209639", \ - "0.0665585,0.0717558,0.0773069,0.0873393,0.106137,0.142596,0.215021", \ - "0.0733313,0.0785343,0.0840858,0.0941194,0.112923,0.149382,0.221808", \ - "0.0787402,0.0839331,0.0894826,0.0994943,0.118295,0.154761,0.227186", \ - "0.0823478,0.0875517,0.0931063,0.103130,0.121932,0.158385,0.230805", \ - "0.0838181,0.0890211,0.0945664,0.104607,0.123420,0.159858,0.232283"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00998028,0.0120464,0.0143445,0.0185101,0.0257493,0.0394972,0.0686423", \ - "0.00997968,0.0120473,0.0143463,0.0185119,0.0257487,0.0394972,0.0686325", \ - "0.00998398,0.0120507,0.0143505,0.0185164,0.0257530,0.0394970,0.0686331", \ - "0.00998931,0.0120569,0.0143582,0.0185247,0.0257591,0.0395025,0.0686360", \ - "0.0100131,0.0120857,0.0143886,0.0185563,0.0257855,0.0395148,0.0686311", \ - "0.0100605,0.0121293,0.0144304,0.0185903,0.0258105,0.0395345,0.0686385", \ - "0.0102143,0.0122729,0.0145642,0.0187026,0.0258968,0.0395851,0.0686674"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00719333,0.0104648,0.0144008,0.0223869,0.0388462,0.0726502,0.141269", \ - "0.00720127,0.0104653,0.0143995,0.0223857,0.0388409,0.0726511,0.141267", \ - "0.00719873,0.0104657,0.0143984,0.0223877,0.0388446,0.0726484,0.141265", \ - "0.00719761,0.0104643,0.0144030,0.0223882,0.0388431,0.0726497,0.141267", \ - "0.00720168,0.0104709,0.0144059,0.0223886,0.0388422,0.0726530,0.141269", \ - "0.00721178,0.0104824,0.0144108,0.0223971,0.0388482,0.0726533,0.141266", \ - "0.00722961,0.0104928,0.0144254,0.0224025,0.0388503,0.0726552,0.141270"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.170422,0.178398,0.186831,0.200704,0.221827,0.252447,0.299617", \ - "0.171952,0.179919,0.188359,0.202233,0.223345,0.253961,0.301139", \ - "0.178029,0.186001,0.194436,0.208305,0.229420,0.260026,0.307211", \ - "0.187873,0.195821,0.204271,0.218138,0.239250,0.269866,0.317045", \ - "0.202408,0.210367,0.218812,0.232704,0.253787,0.284388,0.331579", \ - "0.223435,0.231399,0.239859,0.253813,0.274897,0.305485,0.352678", \ - "0.252410,0.260281,0.268691,0.282502,0.303543,0.334087,0.381224"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.032884,0.035441,0.038165,0.042368,0.048419,0.059556,0.085045", \ - "0.032885,0.035441,0.038165,0.042369,0.048418,0.059553,0.085033", \ - "0.032876,0.035441,0.038164,0.042369,0.048418,0.059542,0.085045", \ - "0.032887,0.035439,0.038165,0.042366,0.048415,0.059543,0.085031", \ - "0.032886,0.035442,0.038163,0.042367,0.048410,0.059536,0.085041", \ - "0.032885,0.035437,0.038168,0.042376,0.048432,0.059562,0.085048", \ - "0.033482,0.035939,0.038589,0.042723,0.048731,0.059779,0.085171"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.170523,0.178481,0.186918,0.200801,0.221914,0.252532,0.299698", \ - "0.172030,0.180012,0.188447,0.202343,0.223438,0.254048,0.301246", \ - "0.178112,0.186078,0.194520,0.208398,0.229518,0.260116,0.307308", \ - "0.188002,0.195966,0.204405,0.218285,0.239402,0.269993,0.317195", \ - "0.202725,0.210668,0.219125,0.232998,0.253997,0.284612,0.331808", \ - "0.223744,0.231786,0.240232,0.254178,0.275077,0.305718,0.352917", \ - "0.252435,0.260313,0.268783,0.282770,0.303660,0.334227,0.381409"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.032908,0.035463,0.038189,0.042393,0.048442,0.059568,0.085097", \ - "0.032913,0.035462,0.038187,0.042395,0.048442,0.059562,0.085084", \ - "0.032912,0.035460,0.038189,0.042393,0.048440,0.059568,0.085097", \ - "0.032911,0.035464,0.038186,0.042391,0.048435,0.059566,0.085078", \ - "0.032908,0.035462,0.038185,0.042391,0.048435,0.059560,0.085085", \ - "0.032898,0.035455,0.038185,0.042395,0.048451,0.059586,0.085096", \ - "0.033499,0.035956,0.038604,0.042740,0.048748,0.059807,0.085217"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.119598,0.124649,0.129773,0.138275,0.152104,0.174397,0.213209", \ - "0.121095,0.126147,0.131266,0.139746,0.153538,0.175799,0.214591", \ - "0.127468,0.132516,0.137633,0.146099,0.159866,0.182116,0.220892", \ - "0.136935,0.141983,0.147102,0.155562,0.169314,0.191553,0.230325", \ - "0.147291,0.152340,0.157461,0.165927,0.179671,0.201900,0.240677", \ - "0.158943,0.163986,0.169110,0.177575,0.191292,0.213520,0.252287", \ - "0.172047,0.177090,0.182210,0.190636,0.204282,0.226463,0.265161"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.010162,0.012219,0.014531,0.018804,0.026189,0.039868,0.068858", \ - "0.010152,0.012210,0.014512,0.018750,0.026120,0.039829,0.068841", \ - "0.010159,0.012206,0.014504,0.018719,0.026074,0.039801,0.068827", \ - "0.010157,0.012208,0.014499,0.018712,0.026059,0.039782,0.068830", \ - "0.010152,0.012210,0.014499,0.018706,0.026050,0.039782,0.068828", \ - "0.010154,0.012203,0.014495,0.018703,0.026043,0.039780,0.068814", \ - "0.010157,0.012207,0.014491,0.018666,0.025946,0.039681,0.068760"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.119665,0.124714,0.129853,0.138339,0.152166,0.174458,0.213247", \ - "0.121159,0.126206,0.131327,0.139805,0.153594,0.175856,0.214638", \ - "0.127532,0.132581,0.137700,0.146163,0.159922,0.182163,0.220935", \ - "0.136995,0.142042,0.147162,0.155621,0.169372,0.191603,0.230369", \ - "0.147356,0.152401,0.157522,0.165986,0.179725,0.201947,0.240714", \ - "0.158994,0.164036,0.169160,0.177624,0.191352,0.213567,0.252321", \ - "0.172095,0.177136,0.182253,0.190681,0.204325,0.226502,0.265196"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.010166,0.012227,0.014536,0.018810,0.026194,0.039865,0.068860", \ - "0.010159,0.012217,0.014517,0.018756,0.026126,0.039834,0.068844", \ - "0.010163,0.012215,0.014507,0.018724,0.026081,0.039800,0.068830", \ - "0.010159,0.012213,0.014504,0.018717,0.026064,0.039793,0.068832", \ - "0.010155,0.012214,0.014501,0.018710,0.026054,0.039783,0.068831", \ - "0.010153,0.012210,0.014503,0.018707,0.026047,0.039776,0.068816", \ - "0.010158,0.012211,0.014495,0.018670,0.025950,0.039683,0.068762"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.450740,4.462146,4.466571,4.507823,4.562124,4.545721,4.573051", \ - "4.443282,4.452898,4.457037,4.486151,4.541155,4.525004,4.582604", \ - "4.444252,4.457492,4.462426,4.502980,4.501044,4.548358,4.562120", \ - "4.503584,4.484542,4.516578,4.534633,4.585532,4.609447,4.643007", \ - "4.643296,4.665086,4.651437,4.696564,4.736842,4.663229,4.775185", \ - "4.964344,4.963396,4.969651,4.986480,5.045372,5.036479,4.976084", \ - "5.437567,5.406528,5.405911,5.466644,5.470642,5.502847,5.443487"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.022407,4.107268,4.178612,4.308466,4.387412,4.441136,4.393834", \ - "4.016922,4.101650,4.170867,4.321177,4.370997,4.342650,4.428307", \ - "4.011256,4.096476,4.165980,4.323548,4.377874,4.430147,4.331560", \ - "4.074690,4.158572,4.228356,4.377758,4.408507,4.443145,4.462103", \ - "4.233770,4.316476,4.364158,4.513767,4.606098,4.536809,4.647975", \ - "4.535485,4.619398,4.671670,4.832950,4.857486,4.883007,4.856670", \ - "4.973372,5.059213,5.131280,5.267603,5.335982,5.374030,5.380145"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.105811,5.922330,5.768963,5.497307,5.210448,5.043945,4.905983", \ - "6.072834,5.938293,5.735724,5.477253,5.195260,4.955148,4.888051", \ - "6.066256,5.954677,5.775560,5.492179,5.158285,4.986723,4.877869", \ - "6.182534,6.031348,5.845806,5.575306,5.274702,5.033883,4.971141", \ - "6.340355,6.205259,6.002968,5.735199,5.467148,5.256520,5.104010", \ - "6.628618,6.501806,6.337303,6.034083,5.722397,5.571227,5.375108", \ - "7.181703,7.029891,6.837981,6.562623,6.216680,6.046093,5.905453"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.527210,5.372889,5.190622,4.926377,4.634343,4.469189,4.186248", \ - "5.500968,5.365790,5.163680,4.905884,4.624947,4.389810,4.263924", \ - "5.476323,5.349400,5.184242,4.904490,4.572602,4.416318,4.207765", \ - "5.497868,5.363457,5.160809,4.904443,4.618207,4.437055,4.290523", \ - "5.475119,5.337955,5.183158,4.905770,4.566167,4.442072,4.168561", \ - "5.535574,5.374647,5.195232,4.901015,4.593045,4.435425,4.245434", \ - "5.683741,5.502801,5.337782,5.065664,4.736234,4.552507,4.334535"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.310396,5.276086,5.289923,5.344925,5.396999,5.369910,5.412618", \ - "5.288861,5.282245,5.257731,5.311142,5.379134,5.387899,5.314062", \ - "5.297513,5.260549,5.276952,5.327758,5.321983,5.403318,5.431984", \ - "5.375135,5.337061,5.363723,5.377822,5.446600,5.455187,5.440657", \ - "5.533381,5.529717,5.504632,5.540782,5.577069,5.605703,5.625973", \ - "5.760471,5.750389,5.756092,5.788265,5.856400,5.859873,5.910988", \ - "6.077803,6.059308,6.039471,6.128776,6.127419,6.134486,6.078274"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.315754,5.275368,5.293138,5.333693,5.403365,5.358897,5.424498", \ - "5.292860,5.284962,5.260824,5.315919,5.385258,5.396765,5.325815", \ - "5.282771,5.269331,5.279993,5.332025,5.328146,5.412055,5.443808", \ - "5.380391,5.356812,5.374822,5.381785,5.452581,5.463691,5.452152", \ - "5.536845,5.531663,5.507028,5.544054,5.577914,5.589490,5.637152", \ - "5.761844,5.741395,5.758778,5.791882,5.861786,5.852265,5.921861", \ - "6.079508,6.061291,6.041934,6.132250,6.132442,6.141925,6.088668"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFFS_X2 - Cell Description : Pos.edge D-Flip-Flop with active low set, and drive strength X2 - *******************************************************************************************/ - - cell (DFFS_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - preset : "!SN"; - } - - area : 5.586000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 121.107028; - - leakage_power () { - when : "!CK & !D & !SN & Q & !QN"; - value : 112.295700; - } - leakage_power () { - when : "!CK & !D & SN & !Q & QN"; - value : 119.940535; - } - leakage_power () { - when : "!CK & !D & SN & Q & !QN"; - value : 127.889289; - } - leakage_power () { - when : "!CK & D & !SN & Q & !QN"; - value : 117.108651; - } - leakage_power () { - when : "!CK & D & SN & !Q & QN"; - value : 128.295013; - } - leakage_power () { - when : "!CK & D & SN & Q & !QN"; - value : 131.106228; - } - leakage_power () { - when : "CK & !D & !SN & Q & !QN"; - value : 103.261004; - } - leakage_power () { - when : "CK & !D & SN & !Q & QN"; - value : 124.257870; - } - leakage_power () { - when : "CK & !D & SN & Q & !QN"; - value : 116.731362; - } - leakage_power () { - when : "CK & D & !SN & Q & !QN"; - value : 110.365882; - } - leakage_power () { - when : "CK & D & SN & !Q & QN"; - value : 138.197554; - } - leakage_power () { - when : "CK & D & SN & Q & !QN"; - value : 123.835250; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.163041; - fall_capacitance : 1.095208; - rise_capacitance : 1.163041; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.001602,0.011403,0.013183", \ - "0.005004,0.011834,0.008735", \ - "0.144668,0.154628,0.147580"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.004665,0.017482,0.023248", \ - "0.017698,0.031302,0.037230", \ - "0.096258,0.112671,0.122404"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.038319,0.022810,0.014751", \ - "0.055991,0.040507,0.032565", \ - "0.102966,0.086554,0.076824"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.028345,0.023285,0.034969", \ - "0.040299,0.033470,0.042945", \ - "0.054404,0.044445,0.051495"); - } - } - - internal_power () { - - when : "!CK & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.431061,3.409985,3.381019,3.394978,3.533514,3.865670,4.415322"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.815458,1.779798,1.745153,1.760857,1.895197,2.217710,2.751016"); - } - - } - - internal_power () { - - when : "!CK & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.667537,4.646368,4.616949,4.638615,4.806805,5.179312,5.778206"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.863300,2.827912,2.793984,2.819975,2.986476,3.354660,3.951051"); - } - - } - - internal_power () { - - when : "!CK & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.609701,4.588584,4.559329,4.581515,4.747760,5.127155,5.731327"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.822880,2.787989,2.757474,2.782683,2.945892,3.309584,3.895915"); - } - - } - - internal_power () { - - when : "CK & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649973,0.657591,0.659299,0.658792,0.658792,0.658313,0.658050"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.434003,-0.498542,-0.552994,-0.573344,-0.585836,-0.593099,-0.598925"); - } - - } - - internal_power () { - - when : "CK & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.733731,0.710093,0.697986,0.692634,0.691029,0.688869,0.686785"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.628000,-0.632426,-0.634868,-0.636589,-0.638420,-0.639044,-0.640134"); - } - - } - - internal_power () { - - when : "CK & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649968,0.657664,0.659319,0.658847,0.658756,0.658358,0.658085"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.433650,-0.498119,-0.551923,-0.573500,-0.585440,-0.592295,-0.598457"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.333554; - fall_capacitance : 1.321686; - rise_capacitance : 1.333554; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.037548,-0.053078,-0.063712", \ - "-0.033785,-0.049377,-0.059672", \ - "-0.043577,-0.059197,-0.069191"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.094327,0.108326,0.117200", \ - "0.148886,0.163252,0.171937", \ - "0.341360,0.355739,0.363894"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.283817,0.315035,0.451087"); - } - } - - internal_power () { - - when : "!CK & !D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.798552,1.760849,1.791377,1.970043,2.320596,2.864151,3.611112"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.558595,0.524093,0.486102,0.538432,0.768501,1.219321,1.900376"); - } - - } - - internal_power () { - - when : "!CK & D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.708448,0.679542,0.667439,0.657765,0.658993,0.654851,0.655857"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.609266,-0.611760,-0.614615,-0.611672,-0.615844,-0.616376,-0.617064"); - } - - } - - internal_power () { - - when : "CK & !D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.683492,0.664582,0.658320,0.654635,0.652485,0.650542,0.648966"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.612507,-0.615600,-0.617193,-0.617645,-0.617855,-0.617380,-0.618325"); - } - - } - - internal_power () { - - when : "CK & D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.683503,0.664584,0.658317,0.654633,0.652478,0.650533,0.648964"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.612513,-0.615609,-0.617207,-0.617659,-0.617858,-0.617393,-0.618324"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.968853; - fall_capacitance : 0.879785; - rise_capacitance : 0.968853; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.056116,0.072628,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.081450,0.082152,0.198733"); - } - } - - internal_power () { - - when : "!D & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.053851,7.023931,7.028837,7.214175,7.598770,8.198951,9.053533"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("15.253940,15.237080,15.256450,15.374460,15.665400,16.242030,17.154960"); - } - - } - - internal_power () { - - when : "!D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.998687,4.968707,4.972607,5.142715,5.505494,6.082946,6.918661"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.440385,2.423393,2.415175,2.529505,2.841701,3.415967,4.289460"); - } - - } - - internal_power () { - - when : "!D & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.293561,8.260271,8.263842,8.443405,8.825412,9.419903,10.270010"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.783933,4.754444,4.757539,4.927371,5.294335,5.867854,6.698656"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.606926,2.591210,2.589330,2.704292,3.011150,3.579821,4.451130"); - } - - } - - internal_power () { - - when : "D & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.892426,7.857873,7.858908,8.037640,8.430636,9.050122,9.937481"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.782005,4.752524,4.755993,4.925563,5.295982,5.870317,6.700395"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.616103,2.600517,2.598474,2.713621,3.020677,3.589003,4.460380"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0903924,0.0930543,0.0958571,0.101096,0.110741,0.129170,0.165787", \ - "0.0918801,0.0945441,0.0973462,0.102586,0.112229,0.130662,0.167274", \ - "0.0972708,0.0999351,0.102734,0.107973,0.117623,0.136042,0.172664", \ - "0.104175,0.106839,0.109639,0.114882,0.124530,0.142955,0.179570", \ - "0.109706,0.112367,0.115172,0.120394,0.130035,0.148485,0.185100", \ - "0.113476,0.116132,0.118927,0.124166,0.133815,0.152245,0.188865", \ - "0.115120,0.117758,0.120561,0.125806,0.135476,0.153879,0.190491"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.114176,0.117189,0.120135,0.126921,0.143184,0.178457,0.250683", \ - "0.115671,0.118672,0.121620,0.128417,0.144679,0.179948,0.252179", \ - "0.120975,0.123976,0.126926,0.133720,0.149981,0.185255,0.257481", \ - "0.127626,0.130629,0.133575,0.140370,0.156627,0.191902,0.264132", \ - "0.132812,0.135810,0.138760,0.145558,0.161808,0.197080,0.269311", \ - "0.136404,0.139403,0.142351,0.149150,0.165400,0.200664,0.272892", \ - "0.138464,0.141461,0.144413,0.151203,0.167428,0.202697,0.274906"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00539353,0.00707450,0.00867361,0.0119510,0.0189885,0.0341857,0.0658830", \ - "0.00538848,0.00707488,0.00867379,0.0119540,0.0189886,0.0341775,0.0658738", \ - "0.00538935,0.00707561,0.00867469,0.0119508,0.0189949,0.0341868,0.0658781", \ - "0.00538990,0.00707475,0.00867698,0.0119563,0.0189948,0.0341854,0.0658763", \ - "0.00538969,0.00708096,0.00867933,0.0119512,0.0189920,0.0341836,0.0658799", \ - "0.00539308,0.00708003,0.00868013,0.0119525,0.0189907,0.0341751,0.0658741", \ - "0.00539698,0.00708596,0.00868096,0.0119552,0.0189925,0.0341803,0.0658746"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00744988,0.0108525,0.0137072,0.0208648,0.0376517,0.0721644,0.141359", \ - "0.00744922,0.0108511,0.0137066,0.0208634,0.0376519,0.0721613,0.141357", \ - "0.00744795,0.0108529,0.0137072,0.0208655,0.0376535,0.0721631,0.141358", \ - "0.00744951,0.0108548,0.0137076,0.0208647,0.0376509,0.0721636,0.141355", \ - "0.00745385,0.0108577,0.0137074,0.0208658,0.0376524,0.0721611,0.141355", \ - "0.00745573,0.0108642,0.0137130,0.0208674,0.0376519,0.0721608,0.141357", \ - "0.00746506,0.0108705,0.0137231,0.0208720,0.0376547,0.0721650,0.141362"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.315990,0.317885,0.319955,0.324911,0.336361,0.366262,0.434620", \ - "0.317542,0.319434,0.321505,0.326452,0.337905,0.367825,0.436182", \ - "0.323659,0.325529,0.327620,0.332550,0.344006,0.373955,0.442300", \ - "0.333565,0.335463,0.337534,0.342476,0.353950,0.383894,0.452311", \ - "0.348210,0.350147,0.352207,0.357124,0.368570,0.398468,0.466857", \ - "0.369233,0.371152,0.373216,0.378174,0.389557,0.419421,0.487755", \ - "0.398005,0.399863,0.401931,0.406878,0.418322,0.448206,0.516518"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.016398,0.019868,0.023395,0.028857,0.040918,0.073364,0.141712", \ - "0.016398,0.019867,0.023394,0.028858,0.040918,0.073351,0.141719", \ - "0.016395,0.019867,0.023395,0.028857,0.040915,0.073357,0.141713", \ - "0.016398,0.019867,0.023396,0.028858,0.040918,0.073360,0.141707", \ - "0.016396,0.019870,0.023395,0.028856,0.040911,0.073352,0.141721", \ - "0.016401,0.019869,0.023396,0.028858,0.040919,0.073352,0.141722", \ - "0.016400,0.019868,0.023395,0.028860,0.040918,0.073360,0.141716"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.316105,0.318008,0.320074,0.325023,0.336465,0.366367,0.434761", \ - "0.317628,0.319557,0.321616,0.326550,0.338016,0.367924,0.436271", \ - "0.323730,0.325650,0.327717,0.332652,0.344129,0.374071,0.442428", \ - "0.333739,0.335684,0.337732,0.342669,0.354139,0.384057,0.452436", \ - "0.348680,0.350601,0.352670,0.357474,0.368983,0.398782,0.467163", \ - "0.369688,0.371500,0.373667,0.378605,0.389994,0.419870,0.488196", \ - "0.398201,0.400108,0.402169,0.407313,0.418167,0.448635,0.516953"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.016405,0.019873,0.023404,0.028866,0.040923,0.073354,0.141716", \ - "0.016404,0.019874,0.023401,0.028864,0.040923,0.073357,0.141712", \ - "0.016402,0.019873,0.023403,0.028866,0.040921,0.073358,0.141725", \ - "0.016403,0.019875,0.023403,0.028865,0.040926,0.073355,0.141710", \ - "0.016404,0.019873,0.023401,0.028866,0.040920,0.073361,0.141721", \ - "0.016403,0.019873,0.023402,0.028864,0.040917,0.073353,0.141716", \ - "0.016401,0.019872,0.023400,0.028863,0.040921,0.073365,0.141721"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.173803,0.176832,0.179818,0.186639,0.202919,0.238223,0.310530", \ - "0.175264,0.178281,0.181258,0.188080,0.204362,0.239682,0.311976", \ - "0.181601,0.184615,0.187586,0.194414,0.210702,0.246012,0.318318", \ - "0.190990,0.194003,0.196975,0.203796,0.220083,0.255395,0.327699", \ - "0.201261,0.204271,0.207243,0.214072,0.230357,0.265672,0.337967", \ - "0.212843,0.215856,0.218829,0.225635,0.241917,0.277224,0.349519", \ - "0.225879,0.228889,0.231854,0.238612,0.254900,0.290211,0.362512"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007490,0.010920,0.013768,0.020893,0.037667,0.072170,0.141360", \ - "0.007476,0.010894,0.013747,0.020885,0.037665,0.072168,0.141368", \ - "0.007471,0.010886,0.013736,0.020878,0.037662,0.072165,0.141362", \ - "0.007469,0.010881,0.013730,0.020877,0.037663,0.072168,0.141360", \ - "0.007466,0.010877,0.013728,0.020875,0.037660,0.072170,0.141360", \ - "0.007470,0.010876,0.013725,0.020875,0.037661,0.072168,0.141363", \ - "0.007462,0.010867,0.013719,0.020869,0.037656,0.072172,0.141361"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.173893,0.176920,0.179903,0.186718,0.202993,0.238288,0.310581", \ - "0.175351,0.178367,0.181343,0.188161,0.204436,0.239747,0.312028", \ - "0.181688,0.184700,0.187674,0.194491,0.210776,0.246071,0.318369", \ - "0.191072,0.194083,0.197053,0.203874,0.220155,0.255457,0.327745", \ - "0.201338,0.204348,0.207317,0.214142,0.230421,0.265730,0.338013", \ - "0.212911,0.215922,0.218895,0.225701,0.241976,0.277276,0.349561", \ - "0.225943,0.228947,0.231913,0.238669,0.254955,0.290262,0.362550"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007490,0.010919,0.013770,0.020895,0.037666,0.072171,0.141360", \ - "0.007479,0.010899,0.013750,0.020887,0.037664,0.072166,0.141367", \ - "0.007471,0.010888,0.013738,0.020879,0.037662,0.072168,0.141363", \ - "0.007470,0.010881,0.013732,0.020879,0.037661,0.072165,0.141359", \ - "0.007467,0.010878,0.013730,0.020876,0.037660,0.072169,0.141359", \ - "0.007471,0.010880,0.013728,0.020877,0.037662,0.072169,0.141363", \ - "0.007464,0.010873,0.013719,0.020870,0.037656,0.072163,0.141361"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("6.180742,6.201898,6.233448,6.611491,6.706711,6.710351,6.643625", \ - "6.164931,6.211090,6.275244,6.599679,6.721055,6.660084,6.539279", \ - "6.176290,6.209230,6.247427,6.628721,6.709761,6.760296,6.668240", \ - "6.233336,6.249160,6.303099,6.691449,6.771816,6.822242,6.857475", \ - "6.376673,6.419746,6.491506,6.818373,6.888818,6.847523,6.847102", \ - "6.698539,6.695976,6.792787,7.121389,7.220504,7.197407,7.193721", \ - "7.134146,7.166189,7.219615,7.570905,7.635630,7.637493,7.445226"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("8.037971,7.806118,7.562877,7.323221,7.239871,7.219216,7.289910", \ - "8.027780,7.769433,7.555148,7.366213,7.194336,7.186435,7.128863", \ - "7.983639,7.799841,7.563311,7.329534,7.308739,7.223740,7.112976", \ - "8.042455,7.835412,7.617851,7.413890,7.323292,7.305107,7.140308", \ - "8.221396,8.013160,7.761942,7.575506,7.504574,7.336765,7.299783", \ - "8.529421,8.312145,8.031157,7.793872,7.768036,7.624929,7.684962", \ - "8.994660,8.749342,8.527384,8.262501,8.227438,8.209619,8.159230"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("14.903950,14.262050,13.788130,13.006560,11.957750,10.917890,9.969805", \ - "14.883570,14.255870,13.756650,13.000060,11.948830,10.819790,9.989080", \ - "14.945100,14.177430,13.775770,12.970000,12.011810,10.866680,10.047790", \ - "14.963120,14.334840,13.844550,13.062210,12.017290,10.951050,10.159550", \ - "15.184570,14.535060,14.048440,13.271190,12.195660,11.095870,10.242010", \ - "15.480230,14.820340,14.223130,13.505140,12.467460,11.371870,10.594780", \ - "15.908700,15.244410,14.769900,13.999000,12.931460,11.884410,11.059090"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("14.348090,13.727650,13.221280,12.441780,11.394740,10.294440,9.418905", \ - "14.389810,13.651500,13.210670,12.441130,11.394190,10.282650,9.363429", \ - "14.341380,13.702800,13.210180,12.391740,11.436890,10.293120,9.480512", \ - "14.378650,13.706550,13.163800,12.384070,11.447640,10.270960,9.350371", \ - "14.329340,13.664300,13.206670,12.400550,11.441020,10.307240,9.466163", \ - "14.393350,13.671550,13.180150,12.462500,11.382160,10.340170,9.509544", \ - "14.379790,13.738930,13.288640,12.460490,11.464680,10.395610,9.557553"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("8.801602,8.574621,8.315096,8.103809,7.992476,8.030719,7.864466", \ - "8.758604,8.532165,8.272403,8.101685,7.982510,7.899291,8.026782", \ - "8.793148,8.507768,8.302640,8.077357,8.053023,8.037111,7.919418", \ - "8.876064,8.561921,8.384431,8.168505,8.058737,7.997095,7.929656", \ - "9.025995,8.795592,8.534867,8.321261,8.292533,8.296810,8.085072", \ - "9.261110,8.976513,8.797152,8.597688,8.536329,8.509130,8.413872", \ - "9.580557,9.357186,9.090156,8.912844,8.820006,8.714995,8.783231"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("8.809469,8.575815,8.316841,8.132863,8.089537,7.975462,7.874863", \ - "8.780302,8.533309,8.273830,8.095554,8.038588,8.014506,8.037329", \ - "8.793375,8.564385,8.303823,8.104651,8.067311,7.959806,7.929645", \ - "8.876315,8.646435,8.405918,8.171234,8.105437,8.101316,7.891505", \ - "9.026269,8.796669,8.536038,8.314694,8.267990,8.303561,8.098204", \ - "9.268207,9.013404,8.798932,8.579772,8.546247,8.522653,8.423657", \ - "9.583902,9.348032,9.137118,8.915415,8.825846,8.838609,8.794494"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0842524,0.0913790,0.0977507,0.108248,0.124745,0.149893,0.191329", \ - "0.0857469,0.0928626,0.0992360,0.109741,0.126243,0.151382,0.192819", \ - "0.0910514,0.0981660,0.104540,0.115045,0.131545,0.156692,0.198122", \ - "0.0976985,0.104819,0.111187,0.121692,0.138189,0.163341,0.204779", \ - "0.102872,0.109991,0.116368,0.126874,0.143365,0.168515,0.209959", \ - "0.106456,0.113574,0.119948,0.130458,0.146958,0.172093,0.213549", \ - "0.108488,0.115611,0.121987,0.132492,0.148980,0.174152,0.215591"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0693216,0.0763564,0.0828088,0.0940039,0.113849,0.150735,0.223218", \ - "0.0708095,0.0778444,0.0842968,0.0954920,0.115337,0.152222,0.224713", \ - "0.0761967,0.0832352,0.0896873,0.100881,0.120730,0.157609,0.230099", \ - "0.0831002,0.0901387,0.0965904,0.107787,0.127639,0.164522,0.237013", \ - "0.0886297,0.0956661,0.102120,0.113300,0.133156,0.170041,0.242536", \ - "0.0923923,0.0994271,0.105875,0.117074,0.136925,0.173811,0.246298", \ - "0.0940290,0.101053,0.107506,0.118712,0.138583,0.175443,0.247931"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0157258,0.0183431,0.0209645,0.0255716,0.0327863,0.0459034,0.0736511", \ - "0.0157259,0.0183423,0.0209637,0.0255709,0.0327877,0.0459037,0.0736500", \ - "0.0157308,0.0183458,0.0209683,0.0255735,0.0327886,0.0459039,0.0736546", \ - "0.0157354,0.0183465,0.0209712,0.0255771,0.0327932,0.0458990,0.0736542", \ - "0.0157582,0.0183745,0.0209887,0.0255905,0.0328026,0.0459088,0.0736577", \ - "0.0157676,0.0183854,0.0210059,0.0256136,0.0328238,0.0459070,0.0736676", \ - "0.0158373,0.0184442,0.0210641,0.0256649,0.0328686,0.0459511,0.0736554"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00820715,0.0123633,0.0165394,0.0245264,0.0403192,0.0732082,0.141513", \ - "0.00821063,0.0123602,0.0165417,0.0245270,0.0403198,0.0732090,0.141509", \ - "0.00821338,0.0123596,0.0165405,0.0245266,0.0403197,0.0732089,0.141515", \ - "0.00821375,0.0123641,0.0165436,0.0245285,0.0403213,0.0732084,0.141510", \ - "0.00821498,0.0123644,0.0165457,0.0245330,0.0403254,0.0732107,0.141510", \ - "0.00822578,0.0123739,0.0165561,0.0245373,0.0403274,0.0732099,0.141519", \ - "0.00823226,0.0123857,0.0165612,0.0245454,0.0403314,0.0732139,0.141511"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.262698,0.272722,0.282634,0.299240,0.324843,0.361528,0.416438", \ - "0.264251,0.274271,0.284184,0.300781,0.326387,0.363084,0.418003", \ - "0.270367,0.280367,0.290300,0.306879,0.332492,0.369211,0.424118", \ - "0.280270,0.290303,0.300214,0.316805,0.342434,0.379160,0.434118", \ - "0.294919,0.304986,0.314887,0.331454,0.357047,0.393741,0.448679", \ - "0.315941,0.325992,0.335896,0.352504,0.378041,0.414697,0.469606", \ - "0.344710,0.354703,0.364610,0.381205,0.406794,0.443465,0.498324"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.058836,0.060677,0.062632,0.066149,0.071170,0.080602,0.104841", \ - "0.058835,0.060676,0.062633,0.066149,0.071171,0.080611,0.104828", \ - "0.058864,0.060671,0.062633,0.066150,0.071172,0.080606,0.104844", \ - "0.058857,0.060668,0.062631,0.066149,0.071171,0.080608,0.104844", \ - "0.058847,0.060674,0.062632,0.066148,0.071175,0.080609,0.104843", \ - "0.058835,0.060668,0.062632,0.066148,0.071170,0.080599,0.104825", \ - "0.058843,0.060668,0.062632,0.066150,0.071179,0.080618,0.104838"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.262798,0.272833,0.282743,0.299345,0.324949,0.361648,0.416583", \ - "0.264323,0.274384,0.284287,0.300873,0.326499,0.363198,0.418102", \ - "0.270420,0.280475,0.290386,0.306975,0.332610,0.369346,0.424265", \ - "0.280434,0.290508,0.300400,0.316992,0.342626,0.379337,0.434267", \ - "0.295377,0.305428,0.315339,0.331797,0.357466,0.394071,0.449006", \ - "0.316385,0.326327,0.336335,0.352928,0.378479,0.415158,0.470060", \ - "0.344894,0.354936,0.364839,0.381637,0.406639,0.443891,0.498769"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.058874,0.060710,0.062668,0.066184,0.071203,0.080641,0.104885", \ - "0.058877,0.060705,0.062667,0.066182,0.071205,0.080641,0.104875", \ - "0.058898,0.060708,0.062667,0.066181,0.071205,0.080637,0.104888", \ - "0.058878,0.060708,0.062666,0.066181,0.071203,0.080641,0.104877", \ - "0.058868,0.060704,0.062664,0.066179,0.071202,0.080638,0.104880", \ - "0.058873,0.060702,0.062661,0.066177,0.071200,0.080627,0.104863", \ - "0.058895,0.060695,0.062657,0.066174,0.071206,0.080649,0.104879"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.143897,0.151024,0.157417,0.167999,0.184741,0.210150,0.251843", \ - "0.145392,0.152514,0.158896,0.169454,0.186153,0.211546,0.253211", \ - "0.151746,0.158870,0.165245,0.175795,0.192468,0.217826,0.259495", \ - "0.161140,0.168260,0.174642,0.185181,0.201840,0.227197,0.268851", \ - "0.171414,0.178534,0.184913,0.195458,0.212111,0.237467,0.279115", \ - "0.182997,0.190121,0.196501,0.207022,0.223664,0.249010,0.290659", \ - "0.196042,0.203164,0.209538,0.220002,0.236618,0.261916,0.303546"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.015773,0.018415,0.021092,0.025869,0.033227,0.046269,0.073888", \ - "0.015766,0.018394,0.021057,0.025799,0.033155,0.046218,0.073874", \ - "0.015765,0.018387,0.021039,0.025758,0.033108,0.046190,0.073864", \ - "0.015764,0.018389,0.021034,0.025744,0.033088,0.046179,0.073865", \ - "0.015762,0.018386,0.021031,0.025737,0.033079,0.046173,0.073849", \ - "0.015760,0.018385,0.021029,0.025731,0.033073,0.046174,0.073856", \ - "0.015762,0.018380,0.021021,0.025697,0.033002,0.046105,0.073829"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.143979,0.151106,0.157498,0.168079,0.184820,0.210225,0.251904", \ - "0.145473,0.152596,0.158977,0.169534,0.186229,0.211618,0.253270", \ - "0.151826,0.158947,0.165330,0.175873,0.192544,0.217897,0.259554", \ - "0.161216,0.168337,0.174716,0.185258,0.201915,0.227266,0.268908", \ - "0.171484,0.178606,0.184984,0.195527,0.212177,0.237531,0.279168", \ - "0.183060,0.190183,0.196564,0.207085,0.223732,0.249070,0.290710", \ - "0.196100,0.203219,0.209593,0.220059,0.236677,0.261973,0.303593"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.015782,0.018423,0.021101,0.025878,0.033236,0.046271,0.073894", \ - "0.015773,0.018403,0.021064,0.025807,0.033164,0.046229,0.073890", \ - "0.015772,0.018398,0.021047,0.025767,0.033116,0.046199,0.073869", \ - "0.015767,0.018395,0.021042,0.025752,0.033097,0.046189,0.073869", \ - "0.015768,0.018393,0.021039,0.025745,0.033087,0.046187,0.073857", \ - "0.015768,0.018392,0.021035,0.025738,0.033078,0.046185,0.073851", \ - "0.015767,0.018387,0.021027,0.025704,0.033008,0.046113,0.073833"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.036814,7.784213,7.571647,7.339506,7.258598,7.278960,7.073055", \ - "8.027773,7.800634,7.561457,7.309237,7.240056,7.246500,7.204295", \ - "7.983561,7.785406,7.571912,7.345433,7.261092,7.283256,7.188024", \ - "8.087692,7.850677,7.626419,7.362688,7.343840,7.197132,7.260065", \ - "8.221397,8.017812,7.772195,7.570124,7.410695,7.367167,7.363743", \ - "8.529413,8.277636,8.035446,7.819412,7.777293,7.651453,7.465759", \ - "8.994790,8.754120,8.536008,8.277762,8.257232,8.111757,7.954445"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.180727,6.201563,6.282521,6.619876,6.735091,6.726322,6.762893", \ - "6.164998,6.214552,6.285530,6.612586,6.729536,6.719382,6.659782", \ - "6.176246,6.214423,6.252106,6.614411,6.687068,6.663640,6.786493", \ - "6.233629,6.246581,6.331513,6.665353,6.761972,6.745259,6.724233", \ - "6.398981,6.419000,6.456695,6.826155,6.916182,6.943181,6.968847", \ - "6.698488,6.702484,6.756714,7.135078,7.177368,7.239765,7.312062", \ - "7.134128,7.166960,7.206243,7.566696,7.663766,7.547057,7.565892"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("14.903940,14.268600,13.782240,13.026850,11.996080,10.905190,10.093500", \ - "14.943420,14.261610,13.761780,12.941290,11.987050,10.876320,9.918749", \ - "14.945100,14.260550,13.781330,12.990370,11.984000,10.903850,9.950814", \ - "14.959400,14.347640,13.867260,13.082560,12.055790,10.980190,10.088880", \ - "15.180930,14.527660,13.975990,13.291360,12.217800,11.122010,10.365150", \ - "15.480240,14.828670,14.307390,13.525400,12.505990,11.398840,10.426260", \ - "15.908710,15.259630,14.766930,14.004510,12.969680,11.845380,10.865460"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("14.348060,13.733250,13.232900,12.462050,11.433580,10.321100,9.542750", \ - "14.386200,13.657860,13.216810,12.461330,11.432470,10.323950,9.427746", \ - "14.337730,13.709340,13.215570,12.412170,11.474640,10.359510,9.511657", \ - "14.375050,13.645430,13.175400,12.404450,11.466490,10.297560,9.422316", \ - "14.329330,13.696860,13.211710,12.420840,11.412750,10.335470,9.512336", \ - "14.389750,13.718380,13.191880,12.376740,11.413520,10.299290,9.510485", \ - "14.470490,13.746290,13.294090,12.480750,11.502830,10.344430,9.529424"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.800707,8.579197,8.323923,8.117376,8.022306,8.072976,7.984262", \ - "8.755179,8.536800,8.281234,8.107497,8.012170,7.920995,7.833184", \ - "8.789807,8.484017,8.311097,8.062456,8.043646,7.942683,7.855334", \ - "8.872731,8.598739,8.392821,8.183579,8.088448,7.949895,7.986063", \ - "9.022612,8.716437,8.543620,8.299617,8.286731,8.146069,8.204826", \ - "9.262235,9.002660,8.808922,8.586641,8.544720,8.405107,8.304860", \ - "9.580474,9.351434,9.126782,8.871135,8.847949,8.729057,8.817889"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.809415,8.580477,8.325605,8.140208,8.026594,8.035482,7.994659", \ - "8.780262,8.537914,8.282730,8.110626,8.044373,8.058128,7.865776", \ - "8.789994,8.568925,8.312582,8.065077,8.072911,7.930897,7.882852", \ - "8.872957,8.567294,8.394548,8.186352,8.121838,8.145303,7.996233", \ - "9.022832,8.801227,8.544765,8.301909,8.210923,8.207999,8.215031", \ - "9.288123,8.982110,8.807313,8.589566,8.450285,8.447119,8.463038", \ - "9.583862,9.352589,9.127916,8.930267,8.855551,8.728486,8.829364"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFF_X1 - Cell Description : Pos.edge D-Flip-Flop with drive strength X1 - *******************************************************************************************/ - - cell (DFF_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - } - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 79.112308; - - leakage_power () { - when : "!CK & !D & !Q & QN"; - value : 73.812310; - } - leakage_power () { - when : "!CK & !D & Q & !QN"; - value : 77.650980; - } - leakage_power () { - when : "!CK & D & !Q & QN"; - value : 84.084352; - } - leakage_power () { - when : "!CK & D & Q & !QN"; - value : 82.786902; - } - leakage_power () { - when : "CK & !D & !Q & QN"; - value : 78.328514; - } - leakage_power () { - when : "CK & !D & Q & !QN"; - value : 68.431484; - } - leakage_power () { - when : "CK & D & !Q & QN"; - value : 92.268539; - } - leakage_power () { - when : "CK & D & Q & !QN"; - value : 75.535383; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.140290; - fall_capacitance : 1.062342; - rise_capacitance : 1.140290; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.001650,0.010970,0.010626", \ - "0.004131,0.010748,0.006379", \ - "0.143222,0.153005,0.144764"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.003805,0.015977,0.020421", \ - "0.016803,0.029868,0.034184", \ - "0.098513,0.113992,0.121949"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.036831,0.022224,0.015916", \ - "0.054595,0.039810,0.033507", \ - "0.100487,0.085013,0.077078"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.029299,0.024425,0.037712", \ - "0.041187,0.034581,0.045743", \ - "0.055773,0.045995,0.054260"); - } - } - - internal_power () { - - when : "!CK & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.282350,4.262724,4.232647,4.257524,4.440015,4.830113,5.453793"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.123160,3.087455,3.057099,3.089699,3.264636,3.641862,4.248752"); - } - - } - - internal_power () { - - when : "!CK & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.225775,4.204949,4.176186,4.201258,4.386545,4.779222,5.403637"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.083037,3.048137,3.017534,3.048552,3.218148,3.591164,4.190437"); - } - - } - - internal_power () { - - when : "CK & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.734644,0.711876,0.699974,0.693873,0.690560,0.688463,0.686629"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.629039,-0.632808,-0.635332,-0.637084,-0.638669,-0.639510,-0.640648"); - } - - } - - internal_power () { - - when : "CK & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649761,0.657430,0.659383,0.658763,0.658012,0.657721,0.657131"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.433510,-0.498326,-0.553300,-0.573050,-0.585298,-0.593057,-0.598187"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.949653; - fall_capacitance : 0.856440; - rise_capacitance : 0.949653; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.052454,0.068941,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.052454,0.055730,0.198733"); - } - } - - internal_power () { - - when : "!D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.497803,4.465589,4.474442,4.653631,5.024863,5.612265,6.462059"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.441622,2.424683,2.419478,2.538300,2.868236,3.458473,4.350696"); - } - - } - - internal_power () { - - when : "!D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.580013,7.545830,7.553889,7.732922,8.104180,8.689834,9.534370"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.539890,7.504660,7.510408,7.700613,8.104432,8.735168,9.638019"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.265302,4.236623,4.243058,4.421492,4.793004,5.381130,6.226269"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.627385,2.610493,2.608469,2.730149,3.052950,3.639302,4.526135"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.730000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0766596,0.0798075,0.0830620,0.0887201,0.0987256,0.117420,0.154208", \ - "0.0781451,0.0812961,0.0845506,0.0902064,0.100214,0.118901,0.155689", \ - "0.0834509,0.0865996,0.0898538,0.0955104,0.105520,0.124208,0.161007", \ - "0.0898134,0.0929656,0.0962190,0.101880,0.111891,0.130580,0.167368", \ - "0.0948029,0.0979521,0.101199,0.106852,0.116848,0.135526,0.172330", \ - "0.0980374,0.101184,0.104444,0.110089,0.120091,0.138781,0.175556", \ - "0.0991226,0.102262,0.105515,0.111166,0.121175,0.139862,0.176647"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.0828120,0.0863005,0.0900538,0.0980799,0.115488,0.151502,0.224142", \ - "0.0843002,0.0877892,0.0915421,0.0995682,0.116978,0.152987,0.225636", \ - "0.0894747,0.0929639,0.0967178,0.104737,0.122148,0.158157,0.230802", \ - "0.0956394,0.0991291,0.102879,0.110903,0.128306,0.164314,0.236967", \ - "0.100388,0.103879,0.107634,0.115656,0.133046,0.169055,0.241706", \ - "0.103693,0.107179,0.110951,0.118968,0.136339,0.172342,0.244989", \ - "0.105393,0.108883,0.112684,0.120677,0.138011,0.173995,0.246637"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00509944,0.00667713,0.00843811,0.0118927,0.0190266,0.0342192,0.0659466", \ - "0.00509868,0.00668041,0.00844158,0.0118927,0.0190271,0.0342175,0.0659329", \ - "0.00509874,0.00668007,0.00844172,0.0118938,0.0190260,0.0342101,0.0659479", \ - "0.00510320,0.00667800,0.00844104,0.0118947,0.0190254,0.0342112,0.0659275", \ - "0.00510062,0.00667299,0.00843851,0.0118941,0.0190265,0.0342181,0.0659411", \ - "0.00510293,0.00667931,0.00844589,0.0118941,0.0190310,0.0342213,0.0659159", \ - "0.00510747,0.00668127,0.00844377,0.0118974,0.0190248,0.0342139,0.0659304"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00623451,0.00895183,0.0123373,0.0202600,0.0373722,0.0719784,0.141272", \ - "0.00623484,0.00895495,0.0123329,0.0202604,0.0373685,0.0719779,0.141269", \ - "0.00623822,0.00895003,0.0123317,0.0202595,0.0373725,0.0719774,0.141268", \ - "0.00624078,0.00895914,0.0123355,0.0202606,0.0373701,0.0719776,0.141269", \ - "0.00624423,0.00896103,0.0123353,0.0202645,0.0373728,0.0719740,0.141265", \ - "0.00624876,0.00896943,0.0123402,0.0202634,0.0373720,0.0719776,0.141271", \ - "0.00627065,0.00898833,0.0123576,0.0202666,0.0373714,0.0719777,0.141271"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("3.976646,4.055223,4.115315,4.258488,4.315315,4.372446,4.284551", \ - "3.960900,4.039375,4.093985,4.247803,4.298686,4.362464,4.242090", \ - "3.961073,4.034732,4.093420,4.250343,4.314382,4.307469,4.371643", \ - "4.027238,4.102224,4.169560,4.322728,4.386049,4.407954,4.439979", \ - "4.183792,4.263914,4.327710,4.478893,4.558656,4.584350,4.553607", \ - "4.496796,4.579863,4.619351,4.782886,4.821955,4.868241,4.886405", \ - "4.940885,5.010195,5.068653,5.252005,5.313253,5.302025,5.261229"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("4.357977,4.355108,4.344618,4.381384,4.417019,4.381589,4.469754", \ - "4.349755,4.337047,4.334989,4.387289,4.395348,4.364141,4.471032", \ - "4.354155,4.307118,4.302735,4.369440,4.413914,4.398943,4.329968", \ - "4.404122,4.381596,4.379717,4.426014,4.449847,4.464205,4.516372", \ - "4.577598,4.559692,4.544906,4.583244,4.628942,4.665534,4.674996", \ - "4.890110,4.873307,4.869868,4.903053,4.944075,4.950879,4.978803", \ - "5.343092,5.333614,5.326871,5.351517,5.389055,5.414645,5.293971"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0590814,0.0641353,0.0692419,0.0776560,0.0912053,0.113128,0.151601", \ - "0.0605694,0.0656230,0.0707299,0.0791437,0.0926937,0.114615,0.153088", \ - "0.0657407,0.0707927,0.0759037,0.0843106,0.0978665,0.119791,0.158265", \ - "0.0719044,0.0769538,0.0820603,0.0904739,0.104026,0.125953,0.164434", \ - "0.0766420,0.0816925,0.0868001,0.0952197,0.108774,0.130711,0.169201", \ - "0.0799325,0.0849784,0.0901025,0.0985159,0.112059,0.134004,0.172481", \ - "0.0815711,0.0866232,0.0917836,0.100197,0.113738,0.135703,0.174209"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0579281,0.0631326,0.0686824,0.0787075,0.0975014,0.133960,0.206399", \ - "0.0594181,0.0646204,0.0701709,0.0801938,0.0989883,0.135442,0.207884", \ - "0.0647200,0.0699237,0.0754737,0.0854982,0.104290,0.140751,0.213191", \ - "0.0710810,0.0762880,0.0818388,0.0918690,0.110663,0.147123,0.219564", \ - "0.0760682,0.0812757,0.0868194,0.0968453,0.115629,0.152078,0.224531", \ - "0.0792933,0.0844982,0.0900503,0.100076,0.118866,0.155320,0.227752", \ - "0.0803694,0.0855744,0.0911261,0.101151,0.119947,0.156400,0.228839"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00987208,0.0119379,0.0142372,0.0183892,0.0255977,0.0393357,0.0684911", \ - "0.00987294,0.0119384,0.0142378,0.0183897,0.0255990,0.0393330,0.0684946", \ - "0.00988089,0.0119465,0.0142442,0.0183961,0.0256002,0.0393374,0.0685005", \ - "0.00988471,0.0119546,0.0142515,0.0184041,0.0256072,0.0393424,0.0684990", \ - "0.00991211,0.0119842,0.0142823,0.0184347,0.0256336,0.0393498,0.0684990", \ - "0.00995525,0.0120266,0.0143251,0.0184698,0.0256588,0.0393689,0.0685028", \ - "0.0101103,0.0121673,0.0144543,0.0185827,0.0257443,0.0394207,0.0685402"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00708298,0.0103508,0.0142824,0.0222811,0.0387488,0.0725710,0.141217", \ - "0.00708276,0.0103506,0.0142838,0.0222814,0.0387469,0.0725720,0.141210", \ - "0.00707927,0.0103482,0.0142864,0.0222810,0.0387407,0.0725745,0.141219", \ - "0.00708388,0.0103534,0.0142872,0.0222818,0.0387423,0.0725732,0.141210", \ - "0.00708667,0.0103571,0.0142903,0.0222825,0.0387431,0.0725738,0.141211", \ - "0.00710286,0.0103657,0.0142966,0.0222906,0.0387527,0.0725748,0.141215", \ - "0.00711448,0.0103775,0.0143072,0.0222975,0.0387554,0.0725759,0.141223"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.357973,4.354002,4.350129,4.382491,4.438811,4.426742,4.390698", \ - "4.349747,4.346172,4.343441,4.360171,4.417402,4.429651,4.462806", \ - "4.352509,4.306565,4.340207,4.380166,4.388588,4.423723,4.420258", \ - "4.404120,4.384692,4.397729,4.428853,4.478215,4.508593,4.507068", \ - "4.577598,4.561729,4.558933,4.594070,4.650533,4.566911,4.679492", \ - "4.890103,4.876413,4.869619,4.899779,4.893542,4.883107,4.955819", \ - "5.341252,5.336669,5.332610,5.377127,5.413392,5.353877,5.384633"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.976661,4.057400,4.116970,4.258121,4.324128,4.274664,4.374090", \ - "3.960705,4.043382,4.107914,4.249031,4.303229,4.349838,4.317820", \ - "3.961932,4.037292,4.096452,4.261011,4.305124,4.324168,4.383075", \ - "4.020823,4.103340,4.157233,4.310159,4.394485,4.412408,4.360981", \ - "4.179444,4.264552,4.332909,4.484011,4.509648,4.576835,4.556156", \ - "4.496807,4.568296,4.638686,4.775179,4.850398,4.864834,4.807024", \ - "4.940842,5.010481,5.083404,5.229591,5.300427,5.300514,5.350649"); - } - } - } - - } - - - /****************************************************************************************** - Module : DFF_X2 - Cell Description : Pos.edge D-Flip-Flop with drive strength X2 - *******************************************************************************************/ - - cell (DFF_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "D"; - clocked_on : "CK"; - } - - area : 5.054000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 115.103670; - - leakage_power () { - when : "!CK & !D & !Q & QN"; - value : 107.651390; - } - leakage_power () { - when : "!CK & !D & Q & !QN"; - value : 115.805800; - } - leakage_power () { - when : "!CK & D & !Q & QN"; - value : 117.925522; - } - leakage_power () { - when : "!CK & D & Q & !QN"; - value : 120.945022; - } - leakage_power () { - when : "CK & !D & !Q & QN"; - value : 112.158783; - } - leakage_power () { - when : "CK & !D & Q & !QN"; - value : 106.570024; - } - leakage_power () { - when : "CK & D & !Q & QN"; - value : 126.098907; - } - leakage_power () { - when : "CK & D & Q & !QN"; - value : 113.673912; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.127600; - fall_capacitance : 1.054533; - rise_capacitance : 1.127600; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.000950,0.009897,0.009901", \ - "0.004801,0.011035,0.005683", \ - "0.144217,0.153663,0.144977"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.003332,0.015584,0.020136", \ - "0.016660,0.028833,0.033945", \ - "0.095762,0.111314,0.119142"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.038855,0.024106,0.017452", \ - "0.056304,0.041950,0.035480", \ - "0.103246,0.087698,0.079892"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.028555,0.024110,0.037757", \ - "0.040532,0.034309,0.045685", \ - "0.054785,0.045344,0.054055"); - } - } - - internal_power () { - - when : "!CK & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.354644,4.333607,4.304507,4.328622,4.507989,4.894921,5.519367"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.211098,3.175770,3.145376,3.177001,3.349767,3.724420,4.327453"); - } - - } - - internal_power () { - - when : "!CK & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.296028,4.275468,4.246258,4.272430,4.454525,4.846364,5.470123"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.171841,3.137371,3.105769,3.137078,3.304556,3.673507,4.269942"); - } - - } - - internal_power () { - - when : "CK & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.734258,0.711869,0.700057,0.693982,0.690695,0.688651,0.686880"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.628330,-0.631983,-0.634976,-0.636726,-0.638508,-0.639124,-0.640311"); - } - - } - - internal_power () { - - when : "CK & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649854,0.657461,0.659489,0.658837,0.657985,0.657978,0.657217"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.433172,-0.497649,-0.552855,-0.572614,-0.584884,-0.592676,-0.597802"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.930494; - fall_capacitance : 0.838034; - rise_capacitance : 0.930494; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.054590,0.069863,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.080840,0.080924,0.198733"); - } - } - - internal_power () { - - when : "!D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.494425,4.464471,4.472171,4.650578,5.022035,5.608641,6.457527"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.458767,2.436947,2.428602,2.551393,2.883321,3.474561,4.365744"); - } - - } - - internal_power () { - - when : "!D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.651165,7.616969,7.624798,7.799960,8.167299,8.751505,9.595718"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.624911,7.589683,7.595550,7.784958,8.188326,8.816415,9.718857"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.266637,4.240210,4.254359,4.423681,4.794472,5.378622,6.222531"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.644140,2.627376,2.625599,2.746822,3.066144,3.656160,4.542355"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0885477,0.0911875,0.0939809,0.0992152,0.108859,0.127303,0.163931", \ - "0.0900304,0.0926732,0.0954683,0.100702,0.110345,0.128788,0.165420", \ - "0.0953337,0.0979681,0.100767,0.105998,0.115645,0.134086,0.170724", \ - "0.101696,0.104341,0.107128,0.112368,0.122012,0.140454,0.177096", \ - "0.106700,0.109336,0.112129,0.117356,0.126992,0.145436,0.182090", \ - "0.109978,0.112607,0.115400,0.120638,0.130277,0.148717,0.185348", \ - "0.111123,0.113754,0.116539,0.121773,0.131416,0.149857,0.186489"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.112225,0.115184,0.118113,0.124902,0.141169,0.176417,0.248582", \ - "0.113704,0.116669,0.119598,0.126388,0.142653,0.177903,0.250068", \ - "0.118914,0.121872,0.124803,0.131590,0.147856,0.183109,0.255273", \ - "0.125048,0.128011,0.130938,0.137726,0.153991,0.189240,0.261401", \ - "0.129731,0.132688,0.135620,0.142402,0.158656,0.193905,0.266075", \ - "0.132871,0.135829,0.138758,0.145573,0.161807,0.197055,0.269223", \ - "0.134524,0.137489,0.140422,0.147201,0.163440,0.198665,0.270841"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00540608,0.00706662,0.00866014,0.0119468,0.0189992,0.0342079,0.0659226", \ - "0.00541184,0.00706411,0.00866236,0.0119476,0.0189980,0.0342081,0.0659197", \ - "0.00540759,0.00706406,0.00866383,0.0119472,0.0189956,0.0342044,0.0659287", \ - "0.00540625,0.00706664,0.00865933,0.0119471,0.0190000,0.0342058,0.0659333", \ - "0.00540865,0.00706954,0.00865944,0.0119491,0.0189954,0.0342043,0.0659187", \ - "0.00540930,0.00706607,0.00866709,0.0119482,0.0189984,0.0342008,0.0659260", \ - "0.00541241,0.00707029,0.00866500,0.0119479,0.0190004,0.0342050,0.0659374"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00749294,0.0108659,0.0136993,0.0208631,0.0376554,0.0721507,0.141308", \ - "0.00749144,0.0108663,0.0136972,0.0208628,0.0376545,0.0721501,0.141304", \ - "0.00749369,0.0108624,0.0136978,0.0208628,0.0376550,0.0721497,0.141308", \ - "0.00749555,0.0108677,0.0136988,0.0208626,0.0376547,0.0721482,0.141304", \ - "0.00749301,0.0108689,0.0136999,0.0208644,0.0376547,0.0721518,0.141302", \ - "0.00749967,0.0108729,0.0137045,0.0208671,0.0376568,0.0721507,0.141308", \ - "0.00750862,0.0108862,0.0137146,0.0208695,0.0376567,0.0721508,0.141311"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("6.195446,6.208031,6.251579,6.580829,6.630964,6.705519,6.696064", \ - "6.164195,6.181305,6.266262,6.571785,6.640621,6.698362,6.719625", \ - "6.171006,6.193793,6.265420,6.594726,6.697667,6.636244,6.631684", \ - "6.219969,6.253871,6.279119,6.642177,6.676287,6.690451,6.746886", \ - "6.408753,6.424231,6.445774,6.797247,6.881293,6.843643,6.968530", \ - "6.712891,6.734793,6.789410,7.137553,7.181905,7.205623,7.168294", \ - "7.143225,7.186331,7.214718,7.570291,7.599062,7.697256,7.462164"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("7.955660,7.727415,7.452089,7.218642,7.197712,7.127412,7.128063", \ - "7.964683,7.720610,7.444832,7.261767,7.182349,7.094023,6.914243", \ - "7.967626,7.683108,7.459488,7.245877,7.179243,7.138621,6.964429", \ - "8.020615,7.782074,7.529518,7.303253,7.260597,7.259052,7.021756", \ - "8.141500,7.939977,7.700586,7.469478,7.394730,7.384767,7.166356", \ - "8.495347,8.237832,7.981074,7.768235,7.726130,7.579411,7.646495", \ - "8.974696,8.704009,8.473983,8.189786,8.161667,8.029671,8.033791"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0824957,0.0896024,0.0959680,0.106455,0.122918,0.148010,0.189411", \ - "0.0839737,0.0910877,0.0974539,0.107942,0.124402,0.149495,0.190895", \ - "0.0891831,0.0962920,0.102657,0.113143,0.129606,0.154703,0.196099", \ - "0.0953119,0.102427,0.108790,0.119277,0.135740,0.160830,0.202233", \ - "0.0999818,0.107098,0.113465,0.123946,0.140400,0.165496,0.206902", \ - "0.103117,0.110231,0.116595,0.127109,0.143552,0.168641,0.210059", \ - "0.104737,0.111862,0.118232,0.128718,0.145182,0.170280,0.211710"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0675773,0.0746162,0.0810667,0.0922586,0.112103,0.148989,0.221506", \ - "0.0690652,0.0761015,0.0825515,0.0937448,0.113589,0.150474,0.222992", \ - "0.0743648,0.0813989,0.0878508,0.0990414,0.118887,0.155778,0.228297", \ - "0.0807244,0.0877674,0.0942143,0.105406,0.125254,0.162146,0.234659", \ - "0.0857253,0.0927630,0.0992122,0.110395,0.130237,0.167127,0.239661", \ - "0.0889990,0.0960302,0.102480,0.113680,0.133527,0.170407,0.242922", \ - "0.0901377,0.0971715,0.103619,0.114815,0.134658,0.171547,0.244070"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0156746,0.0182898,0.0209043,0.0254980,0.0326923,0.0458080,0.0736081", \ - "0.0156766,0.0182906,0.0209060,0.0254982,0.0326927,0.0458126,0.0736111", \ - "0.0156764,0.0182910,0.0209064,0.0255011,0.0326950,0.0458114,0.0736253", \ - "0.0156895,0.0182994,0.0209109,0.0255040,0.0326979,0.0458156,0.0736102", \ - "0.0157189,0.0183188,0.0209324,0.0255197,0.0327085,0.0458166,0.0736230", \ - "0.0157233,0.0183338,0.0209473,0.0255425,0.0327324,0.0458178,0.0736402", \ - "0.0157954,0.0184004,0.0210106,0.0255989,0.0327780,0.0458672,0.0736187"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00816755,0.0123249,0.0165002,0.0244959,0.0402998,0.0732136,0.141546", \ - "0.00817005,0.0123221,0.0165043,0.0244962,0.0402997,0.0732129,0.141549", \ - "0.00817054,0.0123215,0.0165038,0.0244946,0.0402975,0.0732143,0.141550", \ - "0.00816954,0.0123271,0.0165037,0.0244974,0.0403017,0.0732147,0.141554", \ - "0.00817418,0.0123257,0.0165039,0.0244992,0.0403038,0.0732169,0.141550", \ - "0.00818843,0.0123351,0.0165168,0.0245047,0.0403047,0.0732167,0.141551", \ - "0.00819194,0.0123472,0.0165233,0.0245121,0.0403117,0.0732201,0.141548"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("7.954500,7.737502,7.463419,7.234775,7.203813,7.187034,7.147684", \ - "7.964670,7.728590,7.467510,7.277423,7.203516,7.199657,7.035137", \ - "7.967623,7.687833,7.476539,7.248625,7.199459,7.197964,7.011450", \ - "8.020622,7.786607,7.512473,7.319993,7.245789,7.114248,7.141677", \ - "8.141502,7.942414,7.692054,7.485151,7.420519,7.289512,7.286571", \ - "8.494210,8.246962,7.989713,7.790385,7.667218,7.639982,7.504047", \ - "8.974686,8.712954,8.453841,8.210846,8.186200,8.130873,7.988962"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.190962,6.209734,6.238213,6.618134,6.638810,6.764013,6.702413", \ - "6.172808,6.196849,6.270296,6.576300,6.626904,6.757018,6.649126", \ - "6.170971,6.194496,6.223365,6.608711,6.628470,6.722039,6.643104", \ - "6.218120,6.244152,6.290674,6.648624,6.692443,6.729184,6.864985", \ - "6.404380,6.422466,6.452515,6.795112,6.909820,6.865817,6.891753", \ - "6.712820,6.705710,6.793650,7.105762,7.169600,7.239957,7.287200", \ - "7.150656,7.183327,7.219380,7.584089,7.640254,7.557476,7.583321"); - } - } - } - - } - - - /****************************************************************************************** - Module : DLH_X1 - Cell Description : High enable Latch with drive strength X1 - *******************************************************************************************/ - - cell (DLH_X1) { - - drive_strength : 1; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "G"; - } - - area : 2.660000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 40.863240; - - leakage_power () { - when : "!D & !G & !Q"; - value : 38.675780; - } - leakage_power () { - when : "!D & !G & Q"; - value : 34.248720; - } - leakage_power () { - when : "!D & G & !Q"; - value : 38.907264; - } - leakage_power () { - when : "D & !G & !Q"; - value : 49.198369; - } - leakage_power () { - when : "D & !G & Q"; - value : 39.635772; - } - leakage_power () { - when : "D & G & Q"; - value : 44.513535; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.914139; - fall_capacitance : 0.869621; - rise_capacitance : 0.914139; - - timing () { - - related_pin : "G"; - timing_type : hold_falling; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.010770,0.029841,0.063907", \ - "0.019868,0.040204,0.075797", \ - "0.158556,0.179772,0.217249"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.010135,0.028269,0.056277", \ - "0.017113,0.032500,0.055900", \ - "0.084835,0.098179,0.117303"); - } - } - - timing () { - - related_pin : "G"; - timing_type : setup_falling; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.050880,0.037925,0.041964", \ - "0.067992,0.055583,0.049823", \ - "0.114224,0.100881,0.081762"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.013335,-0.006885,-0.039671", \ - "0.025729,0.005084,-0.030505", \ - "0.040500,0.019285,-0.018186"); - } - } - - internal_power () { - - when : "!G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.545027,0.528893,0.518699,0.516200,0.512886,0.512664,0.510364"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467395,-0.471692,-0.473768,-0.475246,-0.476270,-0.477314,-0.477936"); - } - - } - - internal_power () { - - when : "!G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480735,0.488917,0.490545,0.490050,0.489382,0.489542,0.489429"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.323260,-0.371475,-0.406117,-0.408127,-0.411201,-0.415506,-0.421175"); - } - - } - } - - pin (G) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.985498; - fall_capacitance : 0.894119; - rise_capacitance : 0.985498; - - timing () { - - related_pin : "G"; - timing_type : min_pulse_width; - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.040855,0.044977,0.198733"); - } - } - - internal_power () { - - when : "!D & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.030247,2.998058,3.020322,3.220077,3.608813,4.226076,5.121533"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.306662,2.284466,2.286046,2.434763,2.808886,3.457555,4.399786"); - } - - } - - internal_power () { - - when : "D & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.078194,3.044719,3.068486,3.268590,3.659865,4.282168,5.183454"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.153736,2.130898,2.132122,2.280739,2.657059,3.310843,4.257584"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "IQ"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0514993,0.0565171,0.0614694,0.0695118,0.0823656,0.103766,0.142049", \ - "0.0531428,0.0581596,0.0631135,0.0711553,0.0840160,0.105406,0.143690", \ - "0.0584541,0.0634698,0.0684199,0.0764587,0.0893239,0.110714,0.148998", \ - "0.0676743,0.0726729,0.0776133,0.0856418,0.0985048,0.119911,0.158201", \ - "0.0809230,0.0860629,0.0911501,0.0993568,0.112377,0.133870,0.172179", \ - "0.0965626,0.101933,0.107278,0.115900,0.129468,0.151536,0.190168", \ - "0.114902,0.120601,0.126195,0.135225,0.149374,0.172087,0.211272"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0290396,0.0343533,0.0398890,0.0498333,0.0685389,0.105121,0.177965", \ - "0.0302849,0.0356007,0.0411293,0.0510749,0.0697829,0.106367,0.179213", \ - "0.0340680,0.0393763,0.0449043,0.0548354,0.0735281,0.110109,0.182959", \ - "0.0402276,0.0456344,0.0512074,0.0611595,0.0798272,0.116392,0.189238", \ - "0.0464370,0.0520942,0.0578231,0.0678697,0.0865429,0.123070,0.195890", \ - "0.0511305,0.0571998,0.0632398,0.0735096,0.0922121,0.128642,0.201382", \ - "0.0536363,0.0601699,0.0666880,0.0774169,0.0962869,0.132653,0.205308"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00877098,0.0107404,0.0129304,0.0168345,0.0239951,0.0382223,0.0679889", \ - "0.00877157,0.0107381,0.0129326,0.0168321,0.0239945,0.0382279,0.0679897", \ - "0.00877175,0.0107365,0.0129313,0.0168372,0.0240013,0.0382224,0.0679854", \ - "0.00878388,0.0107613,0.0129575,0.0168616,0.0240141,0.0382296,0.0679916", \ - "0.00980285,0.0116943,0.0138049,0.0175485,0.0244578,0.0384851,0.0680714", \ - "0.0111610,0.0130616,0.0151898,0.0189400,0.0257899,0.0394886,0.0685306", \ - "0.0126976,0.0145954,0.0167330,0.0204838,0.0272626,0.0407571,0.0693984"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00612154,0.00940055,0.0133143,0.0213048,0.0379762,0.0722718,0.141467", \ - "0.00611998,0.00940153,0.0133111,0.0213018,0.0379788,0.0722807,0.141438", \ - "0.00612810,0.00941047,0.0133174,0.0213116,0.0379768,0.0722724,0.141437", \ - "0.00645399,0.00969798,0.0135581,0.0214547,0.0380224,0.0722906,0.141456", \ - "0.00719294,0.0104041,0.0141436,0.0218486,0.0382122,0.0723514,0.141466", \ - "0.00834262,0.0115705,0.0151689,0.0225304,0.0385342,0.0724633,0.141524", \ - "0.00974175,0.0131130,0.0166909,0.0236608,0.0390902,0.0726774,0.141623"); - } - } - - timing () { - - related_pin : "G"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0516779,0.0566952,0.0616537,0.0696976,0.0825602,0.103945,0.142206", \ - "0.0531308,0.0581571,0.0631112,0.0711563,0.0840174,0.105402,0.143664", \ - "0.0576743,0.0626990,0.0676513,0.0756926,0.0885540,0.109934,0.148205", \ - "0.0621603,0.0671831,0.0721315,0.0801654,0.0930302,0.114413,0.152679", \ - "0.0653528,0.0703791,0.0753256,0.0833664,0.0962305,0.117610,0.155884", \ - "0.0672743,0.0722940,0.0772460,0.0852842,0.0981570,0.119562,0.157824", \ - "0.0675651,0.0725861,0.0775501,0.0856054,0.0985124,0.119951,0.158247"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0476167,0.0529239,0.0584452,0.0683543,0.0870240,0.123587,0.196429", \ - "0.0490966,0.0544037,0.0599265,0.0698334,0.0885041,0.125068,0.197908", \ - "0.0540286,0.0593372,0.0648580,0.0747677,0.0934366,0.130001,0.202841", \ - "0.0589528,0.0642616,0.0697829,0.0796904,0.0983627,0.134928,0.207762", \ - "0.0624784,0.0677864,0.0733080,0.0832156,0.101879,0.138444,0.211286", \ - "0.0641801,0.0694852,0.0750078,0.0849126,0.103581,0.140140,0.212947", \ - "0.0635788,0.0688896,0.0744070,0.0843139,0.102980,0.139544,0.212381"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00873255,0.0106983,0.0128900,0.0168013,0.0239763,0.0382163,0.0679916", \ - "0.00872778,0.0106998,0.0128890,0.0168023,0.0239739,0.0382142,0.0679901", \ - "0.00872197,0.0106972,0.0128898,0.0167997,0.0239756,0.0382151,0.0679754", \ - "0.00872388,0.0106965,0.0128902,0.0168060,0.0239795,0.0382165,0.0679905", \ - "0.00874047,0.0107185,0.0129188,0.0168275,0.0239885,0.0382190,0.0679959", \ - "0.00880469,0.0107832,0.0129726,0.0168765,0.0240342,0.0382515,0.0679807", \ - "0.00898787,0.0109427,0.0131245,0.0170066,0.0241337,0.0383161,0.0680259"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00615174,0.00942778,0.0133263,0.0213088,0.0379754,0.0722593,0.141435", \ - "0.00615252,0.00942547,0.0133262,0.0213114,0.0379728,0.0722594,0.141431", \ - "0.00615387,0.00942902,0.0133256,0.0213113,0.0379752,0.0722571,0.141433", \ - "0.00615550,0.00943178,0.0133258,0.0213083,0.0379745,0.0722554,0.141456", \ - "0.00615939,0.00943491,0.0133258,0.0213049,0.0379686,0.0722705,0.141440", \ - "0.00616519,0.00943773,0.0133329,0.0213134,0.0379760,0.0722216,0.141426", \ - "0.00617634,0.00944693,0.0133378,0.0213178,0.0379791,0.0722578,0.141335"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.731640,3.898188,4.027841,4.199336,4.430508,4.573607,4.622869", \ - "3.717408,3.874462,4.006302,4.184814,4.403084,4.553856,4.608604", \ - "3.696830,3.858415,3.990146,4.174154,4.377069,4.533329,4.583743", \ - "3.712645,3.874072,3.993285,4.165255,4.383951,4.533503,4.585629", \ - "3.917686,4.058475,4.141498,4.298954,4.506349,4.641225,4.690203", \ - "4.178546,4.279846,4.377352,4.518635,4.741323,4.901222,4.944523", \ - "4.703969,4.786601,4.826652,4.907990,5.088218,5.282202,5.363661"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.367643,2.625521,2.883170,2.972687,3.037712,2.871986,3.004726", \ - "2.301792,2.627464,2.875346,2.989834,2.971229,2.799529,3.129981", \ - "2.327516,2.658941,2.861428,2.938900,3.014527,2.818251,2.939036", \ - "2.369770,2.680236,2.845295,2.906418,2.960264,3.032569,3.080974", \ - "2.564201,2.886322,3.065847,3.108341,3.064269,2.975735,2.985876", \ - "2.921049,3.186820,3.406404,3.462257,3.364292,3.360778,3.338513", \ - "3.399402,3.670877,3.892586,3.913806,3.864999,3.792154,3.578691"); - } - } - - internal_power () { - - related_pin : "G"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.013976,5.191944,5.329697,5.511729,5.734212,5.877409,5.923555", \ - "4.999429,5.165502,5.304460,5.478886,5.705757,5.847916,5.901591", \ - "4.959210,5.160645,5.297289,5.463842,5.693155,5.843310,5.892447", \ - "5.123633,5.308317,5.436794,5.615447,5.840231,5.984984,6.033008", \ - "5.521506,5.684016,5.802373,5.975537,6.205305,6.350666,6.405339", \ - "6.194550,6.392863,6.506681,6.681733,6.887445,7.019076,7.069728", \ - "7.077686,7.295990,7.428850,7.625434,7.869367,8.014085,8.040717"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.650911,4.989368,5.166417,5.280524,5.346551,5.362793,5.266655", \ - "4.615346,4.954155,5.146127,5.257218,5.322803,5.250212,5.381010", \ - "4.582095,4.932912,5.164154,5.240932,5.296726,5.338796,5.207426", \ - "4.781669,5.117724,5.310217,5.427771,5.475174,5.453220,5.320173", \ - "5.185908,5.508714,5.666194,5.800968,5.801344,5.866735,5.730996", \ - "5.829954,6.186679,6.377708,6.432363,6.470956,6.548887,6.421712", \ - "6.613419,7.055166,7.289554,7.385589,7.459833,7.500796,7.358292"); - } - } - } - - } - - - /****************************************************************************************** - Module : DLH_X2 - Cell Description : High enable Latch with drive strength X2 - *******************************************************************************************/ - - cell (DLH_X2) { - - drive_strength : 2; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "G"; - } - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 57.430452; - - leakage_power () { - when : "!D & !G & !Q"; - value : 57.835030; - } - leakage_power () { - when : "!D & !G & Q"; - value : 45.038730; - } - leakage_power () { - when : "!D & G & !Q"; - value : 58.182674; - } - leakage_power () { - when : "D & !G & !Q"; - value : 71.773955; - } - leakage_power () { - when : "D & !G & Q"; - value : 52.138669; - } - leakage_power () { - when : "D & G & Q"; - value : 59.613653; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.161008; - fall_capacitance : 1.109653; - rise_capacitance : 1.161008; - - timing () { - - related_pin : "G"; - timing_type : hold_falling; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.010482,0.030766,0.067022", \ - "0.020841,0.041167,0.076128", \ - "0.151432,0.172921,0.211538"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.009897,0.028326,0.056029", \ - "0.017530,0.032913,0.056649", \ - "0.078020,0.091639,0.110640"); - } - } - - timing () { - - related_pin : "G"; - timing_type : setup_falling; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.056680,0.043143,0.046656", \ - "0.073198,0.060817,0.055471", \ - "0.121039,0.107421,0.088425"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.017608,-0.004430,-0.038420", \ - "0.029404,0.008163,-0.027994", \ - "0.047625,0.026137,-0.012475"); - } - } - - internal_power () { - - when : "!G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.733881,0.709400,0.699748,0.694211,0.689901,0.688082,0.686015"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.629841,-0.634271,-0.636960,-0.638807,-0.640050,-0.641243,-0.642490"); - } - - } - - internal_power () { - - when : "!G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649844,0.657686,0.659194,0.658723,0.658335,0.657820,0.657849"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.434729,-0.498623,-0.533581,-0.536277,-0.540340,-0.545985,-0.553448"); - } - - } - } - - pin (G) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.987008; - fall_capacitance : 0.889862; - rise_capacitance : 0.987008; - - timing () { - - related_pin : "G"; - timing_type : min_pulse_width; - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.046044,0.046513,0.198733"); - } - } - - internal_power () { - - when : "!D & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.928190,2.897739,2.918622,3.122857,3.517329,4.134060,5.030126"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.343141,2.321530,2.325122,2.472739,2.842172,3.487058,4.426550"); - } - - } - - internal_power () { - - when : "D & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.993537,2.960086,2.982439,3.185044,3.577403,4.202366,5.107508"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.134956,2.112258,2.113542,2.261012,2.636253,3.288330,4.234168"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.697000; - function : "IQ"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0565827,0.0622773,0.0672942,0.0754985,0.0886644,0.110456,0.149039", \ - "0.0582414,0.0639361,0.0689547,0.0771591,0.0903229,0.112121,0.150703", \ - "0.0635317,0.0692247,0.0742411,0.0824462,0.0956129,0.117404,0.155989", \ - "0.0727121,0.0783937,0.0834041,0.0915993,0.104762,0.126574,0.165164", \ - "0.0865151,0.0922749,0.0973636,0.105627,0.118863,0.140709,0.179310", \ - "0.102830,0.108833,0.114149,0.122790,0.136547,0.158908,0.197747", \ - "0.121895,0.128127,0.133678,0.142697,0.156995,0.179999,0.219421"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0315547,0.0377370,0.0434057,0.0534936,0.0722603,0.108767,0.181402", \ - "0.0327701,0.0389510,0.0446177,0.0547067,0.0734805,0.109990,0.182616", \ - "0.0364942,0.0426733,0.0483363,0.0584124,0.0771650,0.113666,0.186304", \ - "0.0429073,0.0491506,0.0548422,0.0649231,0.0836482,0.120133,0.192753", \ - "0.0497465,0.0562143,0.0620525,0.0722388,0.0909828,0.127411,0.199983", \ - "0.0553640,0.0621913,0.0682915,0.0786924,0.0974701,0.133807,0.206276", \ - "0.0589659,0.0662169,0.0727446,0.0835543,0.102509,0.138757,0.211116"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0103882,0.0124431,0.0145609,0.0184135,0.0255646,0.0397018,0.0690838", \ - "0.0103870,0.0124439,0.0145622,0.0184117,0.0255642,0.0396994,0.0690848", \ - "0.0103880,0.0124431,0.0145623,0.0184131,0.0255614,0.0397032,0.0690807", \ - "0.0103913,0.0124525,0.0145766,0.0184302,0.0255718,0.0397086,0.0690874", \ - "0.0113375,0.0132786,0.0152898,0.0189700,0.0259147,0.0398818,0.0691422", \ - "0.0129040,0.0148296,0.0168405,0.0205043,0.0273128,0.0408869,0.0695873", \ - "0.0146581,0.0165477,0.0185479,0.0221979,0.0289175,0.0423070,0.0705636"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.00652038,0.0102596,0.0141626,0.0220633,0.0385384,0.0725966,0.141528", \ - "0.00652311,0.0102582,0.0141647,0.0220661,0.0385283,0.0726024,0.141528", \ - "0.00652357,0.0102647,0.0141677,0.0220698,0.0385272,0.0725986,0.141529", \ - "0.00682439,0.0105186,0.0143754,0.0221945,0.0385773,0.0726261,0.141534", \ - "0.00758421,0.0112367,0.0150003,0.0226417,0.0388010,0.0726924,0.141529", \ - "0.00885517,0.0124326,0.0160538,0.0233863,0.0391749,0.0728230,0.141600", \ - "0.0104634,0.0140729,0.0176378,0.0245845,0.0398157,0.0730622,0.141703"); - } - } - - timing () { - - related_pin : "G"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0569532,0.0626552,0.0676752,0.0758765,0.0890453,0.110836,0.149400", \ - "0.0584185,0.0641184,0.0691388,0.0773429,0.0905124,0.112301,0.150865", \ - "0.0630624,0.0687584,0.0737813,0.0819797,0.0951526,0.116943,0.155510", \ - "0.0677009,0.0733944,0.0784155,0.0866106,0.0997827,0.121572,0.160134", \ - "0.0710318,0.0767308,0.0817518,0.0899508,0.103121,0.124911,0.163483", \ - "0.0730730,0.0787713,0.0837894,0.0919869,0.105153,0.126955,0.165519", \ - "0.0735271,0.0792244,0.0842496,0.0924567,0.105648,0.127466,0.166060"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0489198,0.0550969,0.0607570,0.0708160,0.0895569,0.126049,0.198659", \ - "0.0504003,0.0565779,0.0622366,0.0722966,0.0910370,0.127529,0.200135", \ - "0.0553928,0.0615697,0.0672276,0.0772873,0.0960280,0.132518,0.205137", \ - "0.0604617,0.0666386,0.0722963,0.0823597,0.101100,0.137585,0.210202", \ - "0.0640665,0.0702441,0.0759020,0.0859640,0.104697,0.141198,0.213802", \ - "0.0657986,0.0719718,0.0776260,0.0876854,0.106427,0.142924,0.215496", \ - "0.0652221,0.0713884,0.0770418,0.0870988,0.105834,0.142332,0.214946"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.0103772,0.0124283,0.0145363,0.0183910,0.0255488,0.0396764,0.0690614", \ - "0.0103758,0.0124266,0.0145363,0.0183911,0.0255469,0.0396800,0.0690750", \ - "0.0103735,0.0124249,0.0145394,0.0183902,0.0255470,0.0396823,0.0690620", \ - "0.0103742,0.0124273,0.0145397,0.0183938,0.0255488,0.0396778,0.0690698", \ - "0.0103974,0.0124509,0.0145656,0.0184157,0.0255673,0.0396930,0.0690739", \ - "0.0104508,0.0124995,0.0146129,0.0184606,0.0255990,0.0397090,0.0690548", \ - "0.0106064,0.0126474,0.0147508,0.0185782,0.0256938,0.0397695,0.0691129"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("0.00653872,0.0102725,0.0141687,0.0220653,0.0385339,0.0726120,0.141528", \ - "0.00653832,0.0102728,0.0141697,0.0220653,0.0385338,0.0726117,0.141530", \ - "0.00653639,0.0102732,0.0141712,0.0220693,0.0385318,0.0726059,0.141540", \ - "0.00653695,0.0102730,0.0141707,0.0220663,0.0385343,0.0726065,0.141522", \ - "0.00654152,0.0102747,0.0141709,0.0220609,0.0385316,0.0726021,0.141511", \ - "0.00654861,0.0102798,0.0141758,0.0220677,0.0385352,0.0725571,0.141517", \ - "0.00655580,0.0102856,0.0141804,0.0220723,0.0385370,0.0726072,0.141488"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("6.342925,6.325047,6.368457,6.507725,6.776349,6.992644,7.091562", \ - "6.305999,6.329460,6.346365,6.497112,6.752623,6.962839,7.067439", \ - "6.295102,6.289427,6.323712,6.458835,6.723975,6.946076,7.037896", \ - "6.272469,6.308579,6.333571,6.454892,6.725187,6.929576,7.035775", \ - "6.636804,6.606014,6.605994,6.661700,6.885344,7.090637,7.153343", \ - "7.188504,7.092451,7.007404,7.034043,7.244949,7.444477,7.503956", \ - "8.011062,7.864753,7.737780,7.633152,7.742892,7.954935,8.061331"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("3.992653,4.494580,4.780131,4.805758,5.029960,4.781498,4.832035", \ - "4.027058,4.371982,4.753241,4.873641,4.930613,5.004480,4.711064", \ - "4.004602,4.443904,4.630958,4.835457,4.715718,4.721252,4.773464", \ - "4.082859,4.465137,4.772822,4.920367,4.678019,4.542344,4.599324", \ - "4.457046,4.832077,5.038688,5.024509,4.930747,4.848043,4.897160", \ - "4.987093,5.314253,5.572140,5.523351,5.320542,4.965844,4.993781", \ - "5.873351,6.066409,6.300412,6.269005,6.238648,5.812328,5.449515"); - } - } - - internal_power () { - - related_pin : "G"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("7.257175,7.289513,7.344313,7.480740,7.765226,7.971257,8.054439", \ - "7.236095,7.291340,7.319160,7.469766,7.742877,7.961340,8.048298", \ - "7.229956,7.271000,7.328766,7.448421,7.727091,7.952846,8.029388", \ - "7.407062,7.417849,7.440323,7.600734,7.870527,8.086669,8.174731", \ - "7.763215,7.802867,7.824137,7.951127,8.231057,8.457476,8.542334", \ - "8.431912,8.499579,8.533007,8.657086,8.884427,9.113337,9.199461", \ - "9.429663,9.432591,9.493545,9.602754,9.890774,10.088640,10.165940"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); - values ("6.336238,6.736098,7.049786,7.162153,7.196150,7.376117,7.022114", \ - "6.312254,6.784371,7.035155,7.137267,7.172078,7.200582,7.377401", \ - "6.256167,6.770802,7.042533,7.076359,7.241112,7.361691,7.116267", \ - "6.450568,6.874333,7.168674,7.314249,7.334751,7.436006,7.354625", \ - "6.858202,7.237167,7.494505,7.654411,7.688451,7.874113,7.518484", \ - "7.433179,7.934074,8.222145,8.356709,8.460514,8.417749,8.597952", \ - "8.288718,8.815328,9.147527,9.321418,9.354063,9.453118,9.479608"); - } - } - } - - } - - - /****************************************************************************************** - Module : DLL_X1 - Cell Description : Low enable Latch with drive strength X1 - *******************************************************************************************/ - - cell (DLL_X1) { - - drive_strength : 1; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "!GN"; - } - - area : 2.660000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 40.863416; - - leakage_power () { - when : "!D & !GN & !Q"; - value : 38.906340; - } - leakage_power () { - when : "!D & GN & !Q"; - value : 38.676803; - } - leakage_power () { - when : "!D & GN & Q"; - value : 34.248874; - } - leakage_power () { - when : "D & !GN & Q"; - value : 44.511841; - } - leakage_power () { - when : "D & GN & !Q"; - value : 49.199612; - } - leakage_power () { - when : "D & GN & Q"; - value : 39.637026; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.883012; - fall_capacitance : 0.833326; - rise_capacitance : 0.883012; - - timing () { - - related_pin : "GN"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.002302,0.003570,-0.008642", \ - "0.003335,0.006042,-0.014678", \ - "0.141520,0.146761,0.126505"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.001471,0.010652,0.009692", \ - "0.017590,0.027707,0.026938", \ - "0.092888,0.103785,0.105564"); - } - } - - timing () { - - related_pin : "GN"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.043554,0.031480,0.030391", \ - "0.060335,0.049424,0.048568", \ - "0.106170,0.095275,0.093502"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.030734,0.031480,0.079810", \ - "0.042573,0.040495,0.073043", \ - "0.057537,0.052297,0.072560"); - } - } - - internal_power () { - - when : "GN & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542884,0.528963,0.519888,0.516095,0.512793,0.512596,0.510304"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.411570,-0.411846,-0.413437,-0.416074,-0.419629,-0.424264,-0.430140"); - } - - } - - internal_power () { - - when : "GN & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480279,0.488623,0.490241,0.490009,0.489339,0.489445,0.489359"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.317450,-0.317634,-0.318435,-0.320059,-0.322476,-0.325833,-0.330258"); - } - - } - } - - pin (GN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.989103; - fall_capacitance : 0.893541; - rise_capacitance : 0.989103; - - timing () { - - related_pin : "GN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.049096,0.062797,0.198733"); - } - } - - internal_power () { - - when : "!D & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.357142,3.324017,3.353109,3.558735,3.958087,4.591948,5.506409"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.772116,1.747109,1.746708,1.899413,2.286247,2.949560,3.901859"); - } - - } - - internal_power () { - - when : "D & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.208997,3.175541,3.204628,3.413727,3.813525,4.448866,5.364396"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.814854,1.792122,1.794716,1.947388,2.330778,2.989197,3.943109"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "IQ"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0506274,0.0552723,0.0599700,0.0676967,0.0801821,0.101174,0.139036", \ - "0.0522693,0.0569144,0.0616116,0.0693377,0.0818281,0.102808,0.140672", \ - "0.0575836,0.0622218,0.0669215,0.0746417,0.0871326,0.108124,0.145993", \ - "0.0668109,0.0714323,0.0761171,0.0838271,0.0963222,0.117318,0.155192", \ - "0.0798685,0.0846521,0.0894920,0.0973943,0.110068,0.131162,0.169053", \ - "0.0953112,0.100342,0.105428,0.113725,0.126931,0.148595,0.186803", \ - "0.113514,0.118812,0.124163,0.132869,0.146611,0.168888,0.207612"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0291029,0.0340990,0.0394612,0.0492454,0.0678063,0.104197,0.176673", \ - "0.0303525,0.0353463,0.0407098,0.0504936,0.0690530,0.105449,0.177920", \ - "0.0341283,0.0391198,0.0444729,0.0542436,0.0727943,0.109181,0.181655", \ - "0.0401750,0.0452559,0.0506531,0.0604383,0.0789581,0.115334,0.187800", \ - "0.0461926,0.0515015,0.0570296,0.0668872,0.0854077,0.121745,0.194194", \ - "0.0506636,0.0563675,0.0621769,0.0722310,0.0907618,0.126986,0.199361", \ - "0.0529210,0.0590880,0.0653505,0.0758191,0.0944845,0.130657,0.202944"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00885811,0.0107329,0.0128623,0.0166839,0.0237712,0.0379564,0.0676906", \ - "0.00885962,0.0107335,0.0128619,0.0166812,0.0237668,0.0379461,0.0676994", \ - "0.00885601,0.0107337,0.0128626,0.0166859,0.0237713,0.0379434,0.0676958", \ - "0.00888019,0.0107615,0.0128956,0.0167157,0.0237894,0.0379564,0.0676920", \ - "0.00994085,0.0117400,0.0137860,0.0174526,0.0242807,0.0382098,0.0677798", \ - "0.0112990,0.0131053,0.0151663,0.0188347,0.0255933,0.0392226,0.0682342", \ - "0.0128359,0.0146487,0.0167089,0.0203650,0.0270550,0.0404635,0.0690679"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00661461,0.00980338,0.0136947,0.0217082,0.0383666,0.0725189,0.141341", \ - "0.00661419,0.00980255,0.0136948,0.0217083,0.0383666,0.0725202,0.141337", \ - "0.00662071,0.00980930,0.0137047,0.0217141,0.0383638,0.0725164,0.141339", \ - "0.00695372,0.0101045,0.0139411,0.0218565,0.0384244,0.0725300,0.141337", \ - "0.00771571,0.0108011,0.0145101,0.0222311,0.0386176,0.0726129,0.141402", \ - "0.00890035,0.0119681,0.0155012,0.0228724,0.0388925,0.0727364,0.141406", \ - "0.0103243,0.0135319,0.0170069,0.0239603,0.0394429,0.0729405,0.141530"); - } - } - - timing () { - - related_pin : "GN"; - timing_type : falling_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0661161,0.0707568,0.0754503,0.0831608,0.0956513,0.116634,0.154489", \ - "0.0676224,0.0722626,0.0769571,0.0846697,0.0971591,0.118142,0.155998", \ - "0.0741822,0.0788218,0.0835153,0.0912318,0.103715,0.124702,0.162557", \ - "0.0845166,0.0891588,0.0938550,0.101566,0.114059,0.135043,0.172897", \ - "0.0956167,0.100250,0.104945,0.112653,0.125146,0.146129,0.183991", \ - "0.107885,0.112513,0.117195,0.124909,0.137410,0.158375,0.196234", \ - "0.121610,0.126224,0.130903,0.138610,0.151108,0.172088,0.209972"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.0431810,0.0481838,0.0535441,0.0633017,0.0818402,0.118215,0.190676", \ - "0.0446947,0.0496998,0.0550580,0.0648144,0.0833563,0.119730,0.192191", \ - "0.0512327,0.0562361,0.0615961,0.0713518,0.0898895,0.126265,0.198728", \ - "0.0623284,0.0673321,0.0726810,0.0824226,0.100940,0.137307,0.209761", \ - "0.0747699,0.0798037,0.0851527,0.0948781,0.113366,0.149713,0.222163", \ - "0.0884923,0.0935782,0.0989386,0.108650,0.127107,0.163409,0.235840", \ - "0.103769,0.108947,0.114345,0.124052,0.142472,0.178739,0.251117"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00884485,0.0107223,0.0128469,0.0166763,0.0237677,0.0379450,0.0676909", \ - "0.00884345,0.0107222,0.0128497,0.0166752,0.0237663,0.0379449,0.0676909", \ - "0.00884400,0.0107235,0.0128489,0.0166754,0.0237690,0.0379444,0.0676869", \ - "0.00883806,0.0107214,0.0128450,0.0166715,0.0237694,0.0379440,0.0676923", \ - "0.00880073,0.0106838,0.0128153,0.0166591,0.0237597,0.0379427,0.0676923", \ - "0.00876933,0.0106622,0.0127976,0.0166446,0.0237583,0.0379280,0.0676951", \ - "0.00870714,0.0106091,0.0127613,0.0166201,0.0237418,0.0379417,0.0676979"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.00677963,0.00992789,0.0137771,0.0217466,0.0383805,0.0725253,0.141323", \ - "0.00677470,0.00992738,0.0137788,0.0217476,0.0383816,0.0725220,0.141328", \ - "0.00678025,0.00992928,0.0137803,0.0217482,0.0383840,0.0725262,0.141357", \ - "0.00684010,0.00996933,0.0138134,0.0217646,0.0383909,0.0725289,0.141340", \ - "0.00703917,0.0101120,0.0139181,0.0218174,0.0384121,0.0725376,0.141348", \ - "0.00733163,0.0103325,0.0140702,0.0219136,0.0384436,0.0724983,0.141341", \ - "0.00777620,0.0106782,0.0143093,0.0220496,0.0385088,0.0725361,0.141282"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.792766,3.944985,4.062590,4.218458,4.420891,4.543202,4.590981", \ - "3.774349,3.921180,4.040885,4.209135,4.395045,4.527985,4.572301", \ - "3.735866,3.890830,4.020873,4.182896,4.374008,4.502499,4.548263", \ - "3.748878,3.913035,4.032194,4.189750,4.375608,4.504922,4.545278", \ - "3.934046,4.077590,4.174584,4.325318,4.490919,4.614084,4.649968", \ - "4.217376,4.337287,4.399295,4.527480,4.724473,4.869266,4.914183", \ - "4.726807,4.801450,4.846147,4.907803,5.083300,5.240795,5.334838"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.525197,2.851543,3.009978,3.147689,3.210504,3.198610,3.113519", \ - "2.501925,2.831641,3.033573,3.079137,3.142008,2.991647,3.040906", \ - "2.495270,2.804627,3.006977,3.101844,3.162693,3.092918,3.057843", \ - "2.519955,2.813195,2.983597,3.063633,3.173379,3.071741,2.997508", \ - "2.750535,3.041546,3.182093,3.264761,3.260633,3.194406,3.244614", \ - "3.079219,3.385385,3.545708,3.583412,3.572535,3.464119,3.455248", \ - "3.541095,3.857654,4.026822,4.048482,3.934613,3.893747,3.910777"); - } - } - - internal_power () { - - related_pin : "GN"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.208530,6.358928,6.477481,6.642215,6.845433,6.975027,7.019869", \ - "6.163873,6.333298,6.435639,6.617714,6.798902,6.934738,6.980266", \ - "6.204627,6.358632,6.481136,6.640772,6.839368,6.961754,7.004851", \ - "6.398757,6.568482,6.672702,6.845988,7.046272,7.170520,7.211811", \ - "6.763809,6.964468,7.086463,7.252509,7.434148,7.569731,7.613808", \ - "7.329654,7.497934,7.634709,7.823582,8.074227,8.198057,8.249229", \ - "8.116298,8.311280,8.460587,8.651852,8.891335,9.072975,9.161817"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.867747,6.152645,6.326665,6.489307,6.528088,6.546559,6.403266", \ - "5.822983,6.115125,6.282361,6.445174,6.483916,6.538197,6.527609", \ - "5.844554,6.139158,6.311649,6.467103,6.505797,6.522215,6.510318", \ - "6.075664,6.392775,6.561763,6.653312,6.687639,6.768838,6.758059", \ - "6.412396,6.791457,6.979621,7.070977,7.113425,7.083857,7.072396", \ - "6.923287,7.353357,7.554726,7.691644,7.768095,7.796073,7.859162", \ - "7.757149,8.182606,8.416096,8.568122,8.654424,8.750870,8.724367"); - } - } - } - - } - - - /****************************************************************************************** - Module : DLL_X2 - Cell Description : Low enable Latch with drive strength X2 - *******************************************************************************************/ - - cell (DLL_X2) { - - drive_strength : 2; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "!GN"; - } - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 57.430445; - - leakage_power () { - when : "!D & !GN & !Q"; - value : 58.181750; - } - leakage_power () { - when : "!D & GN & !Q"; - value : 57.835943; - } - leakage_power () { - when : "!D & GN & Q"; - value : 45.038444; - } - leakage_power () { - when : "D & !GN & Q"; - value : 59.611629; - } - leakage_power () { - when : "D & GN & !Q"; - value : 71.775198; - } - leakage_power () { - when : "D & GN & Q"; - value : 52.139703; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.130534; - fall_capacitance : 1.078754; - rise_capacitance : 1.130534; - - timing () { - - related_pin : "GN"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.001051,0.004511,-0.011730", \ - "0.004312,0.007024,-0.014590", \ - "0.135634,0.140221,0.119842"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.001521,0.010694,0.010668", \ - "0.018310,0.029042,0.028608", \ - "0.086074,0.096622,0.098584"); - } - } - - timing () { - - related_pin : "GN"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.048743,0.036697,0.035083", \ - "0.065542,0.054351,0.053275", \ - "0.112985,0.102438,0.100482"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.034702,0.033935,0.084189", \ - "0.045942,0.043882,0.078064", \ - "0.063423,0.058838,0.079224"); - } - } - - internal_power () { - - when : "GN & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.734289,0.711443,0.698109,0.693073,0.689864,0.687976,0.686339"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.631935,-0.635284,-0.637641,-0.639089,-0.640247,-0.641389,-0.642425"); - } - - } - - internal_power () { - - when : "GN & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649936,0.656945,0.658917,0.658718,0.658280,0.657685,0.657777"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.435692,-0.444934,-0.446061,-0.448218,-0.451603,-0.456298,-0.462515"); - } - - } - } - - pin (GN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.982609; - fall_capacitance : 0.887323; - rise_capacitance : 0.982609; - - timing () { - - related_pin : "GN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.053980,0.065869,0.198733"); - } - } - - internal_power () { - - when : "!D & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.516401,3.484334,3.514092,3.720219,4.118627,4.753333,5.667557"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.678823,1.654770,1.654025,1.810665,2.188768,2.850564,3.796800"); - } - - } - - internal_power () { - - when : "D & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.311643,3.280530,3.310067,3.519453,3.921398,4.556854,5.472757"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.732564,1.709434,1.714430,1.865113,2.245400,2.900714,3.849319"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQ"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0549041,0.0604923,0.0654177,0.0734735,0.0864192,0.107963,0.146312", \ - "0.0565613,0.0621475,0.0670788,0.0751330,0.0880830,0.109619,0.147964", \ - "0.0618597,0.0674444,0.0723707,0.0804249,0.0933767,0.114919,0.153269", \ - "0.0710633,0.0766417,0.0815546,0.0896024,0.102549,0.124100,0.162457", \ - "0.0847253,0.0903934,0.0954027,0.103549,0.116578,0.138173,0.176535", \ - "0.100868,0.106766,0.111999,0.120519,0.134070,0.156182,0.194787", \ - "0.119778,0.125891,0.131369,0.140254,0.154329,0.177078,0.216240"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0307140,0.0368141,0.0424225,0.0524429,0.0711505,0.107598,0.180144", \ - "0.0319449,0.0380405,0.0436474,0.0536686,0.0723765,0.108829,0.181373", \ - "0.0356668,0.0417579,0.0473581,0.0573689,0.0760666,0.112513,0.185043", \ - "0.0419529,0.0481213,0.0537525,0.0637691,0.0824341,0.118853,0.191391", \ - "0.0485980,0.0549850,0.0607584,0.0708679,0.0895406,0.125918,0.198400", \ - "0.0539710,0.0607263,0.0667645,0.0770741,0.0957726,0.132029,0.204419", \ - "0.0572987,0.0644749,0.0709413,0.0816615,0.100514,0.136691,0.208971"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0101795,0.0121912,0.0142816,0.0180753,0.0251750,0.0393031,0.0687845", \ - "0.0101791,0.0121962,0.0142813,0.0180757,0.0251735,0.0393080,0.0687892", \ - "0.0101791,0.0121950,0.0142827,0.0180737,0.0251773,0.0393090,0.0687808", \ - "0.0101815,0.0122078,0.0143002,0.0180962,0.0251950,0.0393107,0.0687871", \ - "0.0111986,0.0131009,0.0150787,0.0186999,0.0255694,0.0395223,0.0688631", \ - "0.0127713,0.0146496,0.0166260,0.0202265,0.0269756,0.0405403,0.0693133", \ - "0.0145340,0.0163780,0.0183342,0.0219124,0.0285667,0.0419347,0.0702480"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00643015,0.0101410,0.0140356,0.0219489,0.0384435,0.0725253,0.141386", \ - "0.00642738,0.0101363,0.0140375,0.0219549,0.0384442,0.0725010,0.141381", \ - "0.00643481,0.0101447,0.0140394,0.0219592,0.0384410,0.0725159,0.141361", \ - "0.00674818,0.0104122,0.0142571,0.0220876,0.0384957,0.0725204,0.141350", \ - "0.00752695,0.0111292,0.0148738,0.0225254,0.0387090,0.0726225,0.141372", \ - "0.00881032,0.0123451,0.0159394,0.0232573,0.0390722,0.0727260,0.141426", \ - "0.0104362,0.0140009,0.0175350,0.0244526,0.0396872,0.0729769,0.141509"); - } - } - - timing () { - - related_pin : "GN"; - timing_type : falling_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0702242,0.0758047,0.0807318,0.0887779,0.101725,0.123263,0.161597", \ - "0.0717427,0.0773239,0.0822505,0.0902941,0.103244,0.124783,0.163116", \ - "0.0782973,0.0838783,0.0888043,0.0968541,0.109802,0.131338,0.169673", \ - "0.0887344,0.0943159,0.0992427,0.107290,0.120237,0.141777,0.180119", \ - "0.0999368,0.105518,0.110437,0.118484,0.131427,0.152970,0.191312", \ - "0.112291,0.117862,0.122790,0.130834,0.143769,0.165302,0.203635", \ - "0.126123,0.131679,0.136585,0.144629,0.157579,0.179122,0.217486"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0450773,0.0511705,0.0567694,0.0667604,0.0854443,0.121879,0.194402", \ - "0.0466003,0.0526972,0.0582948,0.0682874,0.0869715,0.123408,0.195932", \ - "0.0531485,0.0592423,0.0648389,0.0748310,0.0935140,0.129946,0.202474", \ - "0.0643287,0.0704202,0.0760099,0.0859858,0.104651,0.141071,0.213599", \ - "0.0770165,0.0831277,0.0887178,0.0986761,0.117311,0.153708,0.226212", \ - "0.0910302,0.0971831,0.102781,0.112730,0.131327,0.167672,0.240156", \ - "0.106671,0.112910,0.118538,0.128481,0.147042,0.183346,0.255758"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.0101719,0.0121872,0.0142685,0.0180664,0.0251743,0.0393039,0.0687819", \ - "0.0101679,0.0121863,0.0142651,0.0180690,0.0251719,0.0393030,0.0687866", \ - "0.0101704,0.0121839,0.0142651,0.0180669,0.0251727,0.0393027,0.0687797", \ - "0.0101694,0.0121830,0.0142656,0.0180664,0.0251725,0.0393014,0.0687792", \ - "0.0101336,0.0121560,0.0142484,0.0180553,0.0251681,0.0392970,0.0687812", \ - "0.0101115,0.0121358,0.0142281,0.0180404,0.0251601,0.0392793,0.0687873", \ - "0.0100516,0.0120848,0.0141868,0.0180136,0.0251493,0.0392957,0.0687645"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.00657731,0.0102407,0.0141035,0.0219853,0.0384537,0.0725052,0.141361", \ - "0.00658064,0.0102382,0.0141026,0.0219853,0.0384553,0.0725039,0.141395", \ - "0.00657873,0.0102405,0.0141029,0.0219857,0.0384551,0.0725152,0.141363", \ - "0.00663130,0.0102792,0.0141356,0.0220082,0.0384620,0.0725222,0.141359", \ - "0.00682769,0.0104181,0.0142353,0.0220672,0.0384938,0.0725143,0.141379", \ - "0.00711758,0.0106283,0.0143915,0.0221585,0.0385201,0.0725004,0.141321", \ - "0.00756294,0.0109643,0.0146331,0.0223007,0.0385901,0.0725355,0.141274"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.135465,6.127134,6.164623,6.270515,6.552773,6.753679,6.819866", \ - "6.098298,6.096864,6.133437,6.259045,6.519380,6.729560,6.809766", \ - "6.085787,6.078855,6.109897,6.225963,6.496229,6.698289,6.774382", \ - "6.116492,6.113113,6.131877,6.252902,6.479767,6.677679,6.777607", \ - "6.449112,6.427434,6.407389,6.435298,6.667309,6.842147,6.915637", \ - "6.993313,6.911683,6.813977,6.801497,7.029075,7.191031,7.241571", \ - "7.791786,7.675462,7.517116,7.408005,7.487498,7.720831,7.808055"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("3.933599,4.474136,4.715737,4.895233,4.762713,4.920801,4.996877", \ - "3.938835,4.349992,4.675789,4.844857,4.677613,4.799163,4.874726", \ - "3.953648,4.421483,4.609235,4.764681,4.772554,4.857964,4.930046", \ - "4.062849,4.466093,4.631270,4.879465,4.725728,4.795310,4.778672", \ - "4.351801,4.793183,4.981282,5.079642,4.893704,5.034223,5.111369", \ - "4.984236,5.232878,5.495676,5.571072,5.505346,5.196187,5.249661", \ - "5.875271,6.015292,6.260314,6.297558,6.152701,6.078254,5.757502"); - } - } - - internal_power () { - - related_pin : "GN"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.336879,8.354399,8.388737,8.498980,8.769228,8.979269,9.053918", \ - "8.291999,8.309019,8.362585,8.485620,8.733083,8.940547,9.017918", \ - "8.349652,8.377937,8.380983,8.505819,8.760849,8.970886,9.045335", \ - "8.526990,8.554613,8.589271,8.693242,8.975752,9.179509,9.251775", \ - "8.966468,8.961039,8.989713,9.119528,9.367796,9.579735,9.651483", \ - "9.451161,9.497846,9.553941,9.695787,10.010280,10.201830,10.282520", \ - "10.261990,10.312580,10.387620,10.513870,10.824460,11.083990,11.204830"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("7.454537,7.895148,8.185361,8.320523,8.398646,8.364276,8.189007", \ - "7.408684,7.879759,8.129592,8.273521,8.352254,8.419920,8.508648", \ - "7.457663,7.882066,8.114774,8.292241,8.369613,8.468907,8.560248", \ - "7.718533,8.127512,8.403445,8.487590,8.642973,8.681710,8.584230", \ - "8.077528,8.600030,8.812563,8.973943,9.051912,8.910100,8.999293", \ - "8.710588,9.222936,9.390859,9.595399,9.684333,9.544134,9.368637", \ - "9.608097,10.099680,10.386800,10.458880,10.555660,10.649350,10.550000"); - } - } - } - - } - - - /****************************************************************************************** - Module : FA_X1 - Cell Description : Combinational cell (FA_X1) with drive strength X1 - *******************************************************************************************/ - - cell (FA_X1) { - - drive_strength : 1; - - area : 4.256000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 75.762253; - - leakage_power () { - when : "!A & !B & !CI"; - value : 48.106190; - } - leakage_power () { - when : "!A & !B & CI"; - value : 60.034260; - } - leakage_power () { - when : "!A & B & !CI"; - value : 71.593060; - } - leakage_power () { - when : "!A & B & CI"; - value : 74.656120; - } - leakage_power () { - when : "A & !B & !CI"; - value : 67.518880; - } - leakage_power () { - when : "A & !B & CI"; - value : 83.228970; - } - leakage_power () { - when : "A & B & !CI"; - value : 98.401930; - } - leakage_power () { - when : "A & B & CI"; - value : 102.558610; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.745709; - fall_capacitance : 3.605599; - rise_capacitance : 3.745709; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.471985; - fall_capacitance : 3.399547; - rise_capacitance : 3.471985; - } - - pin (CI) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.762078; - fall_capacitance : 2.664753; - rise_capacitance : 2.762078; - } - - pin (CO) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.119600; - function : "((A & B) | (CI & (A | B)))"; - - timing () { - - related_pin : "A"; - when : "!B & CI"; - sdf_cond : "(B == 1'b0) && (CI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.065460,0.070816,0.076222,0.085104,0.099420,0.122857,0.162807", \ - "0.066190,0.071546,0.076945,0.085820,0.100121,0.123532,0.163460", \ - "0.070350,0.075695,0.081084,0.089947,0.104233,0.127629,0.167527", \ - "0.081040,0.086375,0.091761,0.100608,0.114882,0.138266,0.178159", \ - "0.099563,0.104864,0.110206,0.119017,0.133272,0.156649,0.196526", \ - "0.121115,0.126881,0.132599,0.141904,0.156723,0.180559,0.220605", \ - "0.144139,0.150339,0.156505,0.166347,0.182058,0.206812,0.247621"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.033160,0.038754,0.044624,0.054990,0.073995,0.110724,0.183221", \ - "0.034755,0.040347,0.046215,0.056582,0.075591,0.112322,0.184821", \ - "0.038913,0.044495,0.050355,0.060709,0.079709,0.116445,0.188950", \ - "0.045414,0.051104,0.057052,0.067440,0.086423,0.123131,0.195631", \ - "0.052085,0.057868,0.063973,0.074594,0.093815,0.130620,0.203060", \ - "0.057337,0.063466,0.069768,0.080646,0.100030,0.136905,0.209479", \ - "0.060162,0.066795,0.073488,0.084889,0.104730,0.141705,0.214259"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.010970,0.013074,0.015416,0.019657,0.027347,0.041690,0.070140", \ - "0.010954,0.013052,0.015391,0.019627,0.027308,0.041650,0.070102", \ - "0.010935,0.013038,0.015374,0.019610,0.027284,0.041615,0.070053", \ - "0.010932,0.013035,0.015376,0.019614,0.027287,0.041608,0.070034", \ - "0.011514,0.013468,0.015719,0.019876,0.027466,0.041714,0.070077", \ - "0.013971,0.015901,0.018050,0.021937,0.029092,0.042691,0.070458", \ - "0.016476,0.018483,0.020674,0.024543,0.031469,0.044650,0.071742"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007606,0.010972,0.014891,0.022716,0.039022,0.072882,0.141038", \ - "0.007606,0.010971,0.014888,0.022714,0.039021,0.072883,0.141037", \ - "0.007568,0.010949,0.014876,0.022707,0.039019,0.072881,0.141038", \ - "0.007796,0.011283,0.015176,0.022883,0.039082,0.072887,0.141034", \ - "0.008321,0.011847,0.015834,0.023555,0.039628,0.073060,0.141036", \ - "0.009543,0.012961,0.016890,0.024459,0.040127,0.073516,0.141236", \ - "0.011117,0.014642,0.018524,0.025912,0.041113,0.073868,0.141585"); - } - } - - timing () { - - related_pin : "A"; - when : "B & !CI"; - sdf_cond : "(B == 1'b1) && (CI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.060779,0.066148,0.071695,0.081004,0.096157,0.120820,0.162031", \ - "0.062219,0.067586,0.073132,0.082439,0.097588,0.122248,0.163460", \ - "0.067453,0.072811,0.078343,0.087627,0.102758,0.127406,0.168612", \ - "0.076944,0.082266,0.087762,0.096985,0.112053,0.136671,0.177866", \ - "0.091066,0.096400,0.101870,0.111066,0.126128,0.150757,0.191965", \ - "0.106870,0.112390,0.118004,0.127294,0.142761,0.168280,0.210067", \ - "0.124980,0.130795,0.136628,0.146332,0.162094,0.188160,0.231051"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.037018,0.042513,0.048302,0.058593,0.077619,0.114415,0.187100", \ - "0.038378,0.043863,0.049641,0.059918,0.078925,0.115701,0.188381", \ - "0.043351,0.048829,0.054597,0.064851,0.083821,0.120559,0.193217", \ - "0.053121,0.058594,0.064329,0.074516,0.093392,0.130046,0.202658", \ - "0.062906,0.068755,0.074703,0.085047,0.103977,0.140509,0.213003", \ - "0.070424,0.076805,0.083209,0.093860,0.112820,0.149323,0.221746", \ - "0.075591,0.082474,0.089490,0.100839,0.120093,0.156428,0.228753"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.010423,0.012834,0.015568,0.020406,0.028763,0.043489,0.071671", \ - "0.010421,0.012828,0.015561,0.020396,0.028755,0.043485,0.071669", \ - "0.010401,0.012799,0.015520,0.020352,0.028720,0.043464,0.071658", \ - "0.010320,0.012705,0.015414,0.020241,0.028635,0.043415,0.071636", \ - "0.011033,0.013288,0.015866,0.020538,0.028829,0.043536,0.071693", \ - "0.012430,0.014544,0.016942,0.021536,0.030212,0.045042,0.072451", \ - "0.014125,0.016251,0.018638,0.022992,0.031357,0.046562,0.074308"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007596,0.010944,0.014870,0.022762,0.039163,0.073061,0.141324", \ - "0.007580,0.010923,0.014844,0.022730,0.039128,0.073037,0.141319", \ - "0.007567,0.010902,0.014818,0.022694,0.039083,0.072987,0.141280", \ - "0.007802,0.011062,0.014923,0.022747,0.039084,0.072962,0.141248", \ - "0.009100,0.012236,0.015881,0.023398,0.039425,0.073023,0.141246", \ - "0.010745,0.013979,0.017468,0.024429,0.039892,0.073356,0.141351", \ - "0.012595,0.016020,0.019640,0.026196,0.040694,0.073567,0.141620"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & CI"; - sdf_cond : "(A == 1'b0) && (CI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.066512,0.071864,0.077263,0.086141,0.100453,0.123879,0.163812", \ - "0.068027,0.073375,0.078771,0.087637,0.101934,0.125336,0.165236", \ - "0.073351,0.078696,0.084090,0.092954,0.107245,0.130642,0.170527", \ - "0.082586,0.087925,0.093310,0.102163,0.116447,0.139841,0.179731", \ - "0.097104,0.102447,0.107802,0.116631,0.130917,0.154316,0.194202", \ - "0.114419,0.120021,0.125683,0.134919,0.149702,0.173549,0.213627", \ - "0.134508,0.140391,0.146210,0.155811,0.171221,0.195841,0.236608"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.035876,0.041294,0.047010,0.057190,0.076053,0.112787,0.185384", \ - "0.037296,0.042712,0.048427,0.058607,0.077471,0.114206,0.186805", \ - "0.041463,0.046880,0.052593,0.062766,0.081622,0.118355,0.190957", \ - "0.048507,0.053971,0.059703,0.069864,0.088686,0.125390,0.197976", \ - "0.056124,0.061814,0.067712,0.078029,0.096919,0.133591,0.206122", \ - "0.062542,0.068604,0.074785,0.085321,0.104320,0.140965,0.213450", \ - "0.066931,0.073448,0.079996,0.090912,0.110188,0.146816,0.219213"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.010961,0.013062,0.015402,0.019641,0.027341,0.041670,0.070116", \ - "0.010944,0.013041,0.015377,0.019616,0.027307,0.041624,0.070064", \ - "0.010937,0.013036,0.015373,0.019609,0.027298,0.041610,0.070042", \ - "0.010935,0.013036,0.015377,0.019615,0.027302,0.041608,0.070034", \ - "0.011445,0.013450,0.015706,0.019854,0.027462,0.041698,0.070069", \ - "0.012861,0.014900,0.017171,0.021272,0.028691,0.042509,0.070400", \ - "0.014395,0.016468,0.018770,0.022907,0.030333,0.044038,0.071431"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007408,0.010728,0.014628,0.022478,0.038910,0.072956,0.141149", \ - "0.007406,0.010726,0.014626,0.022477,0.038910,0.072957,0.141150", \ - "0.007406,0.010727,0.014629,0.022478,0.038909,0.072958,0.141152", \ - "0.007623,0.010919,0.014777,0.022569,0.038947,0.072957,0.141146", \ - "0.008302,0.011599,0.015399,0.023041,0.039206,0.073024,0.141151", \ - "0.009415,0.012734,0.016437,0.023810,0.039608,0.073211,0.141215", \ - "0.010821,0.014269,0.017979,0.025052,0.040299,0.073452,0.141345"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !CI"; - sdf_cond : "(A == 1'b1) && (CI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.065908,0.071180,0.076505,0.085268,0.099470,0.122923,0.162957", \ - "0.067529,0.072803,0.078128,0.086890,0.101093,0.124547,0.164584", \ - "0.073314,0.078585,0.083906,0.092668,0.106870,0.130328,0.170366", \ - "0.082888,0.088159,0.093475,0.102227,0.116425,0.139882,0.179926", \ - "0.097094,0.102381,0.107695,0.116445,0.130661,0.154135,0.194171", \ - "0.113696,0.119248,0.124858,0.134005,0.148755,0.172747,0.213022", \ - "0.132875,0.138714,0.144504,0.154185,0.169616,0.194464,0.235505"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.036735,0.042227,0.048014,0.058303,0.077324,0.114123,0.186797", \ - "0.037953,0.043435,0.049210,0.059479,0.078475,0.115251,0.187911", \ - "0.041931,0.047410,0.053175,0.063430,0.082400,0.119145,0.191779", \ - "0.049013,0.054521,0.060295,0.070527,0.089454,0.126161,0.198776", \ - "0.056884,0.062592,0.068510,0.078870,0.097838,0.134499,0.207061", \ - "0.063704,0.069746,0.075911,0.086466,0.105516,0.142130,0.214643", \ - "0.068613,0.075080,0.081551,0.092443,0.111724,0.148307,0.220731"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.010591,0.012686,0.015024,0.019284,0.027121,0.041706,0.070161", \ - "0.010591,0.012687,0.015024,0.019286,0.027121,0.041710,0.070166", \ - "0.010592,0.012691,0.015027,0.019286,0.027121,0.041711,0.070169", \ - "0.010590,0.012692,0.015029,0.019294,0.027129,0.041712,0.070166", \ - "0.011147,0.013154,0.015410,0.019570,0.027314,0.041810,0.070200", \ - "0.012578,0.014624,0.016907,0.021056,0.028653,0.042728,0.070581", \ - "0.014159,0.016232,0.018551,0.022742,0.030393,0.044385,0.071714"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007594,0.010940,0.014866,0.022753,0.039161,0.073060,0.141313", \ - "0.007575,0.010913,0.014834,0.022714,0.039119,0.073028,0.141295", \ - "0.007568,0.010907,0.014820,0.022690,0.039084,0.072987,0.141258", \ - "0.007743,0.011051,0.014928,0.022752,0.039102,0.072979,0.141244", \ - "0.008370,0.011675,0.015495,0.023176,0.039325,0.073034,0.141249", \ - "0.009422,0.012721,0.016440,0.023867,0.039676,0.073207,0.141312", \ - "0.010780,0.014177,0.017871,0.024991,0.040290,0.073427,0.141447"); - } - } - - timing () { - - related_pin : "CI"; - when : "!A & B"; - sdf_cond : "(A == 1'b0) && (B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.054252,0.059727,0.065442,0.075152,0.090828,0.115806,0.157146", \ - "0.055245,0.060717,0.066433,0.076145,0.091821,0.116800,0.158140", \ - "0.059922,0.065392,0.071099,0.080805,0.096478,0.121459,0.162798", \ - "0.071162,0.076605,0.082293,0.091975,0.107640,0.132621,0.173965", \ - "0.089063,0.094596,0.100322,0.110021,0.125747,0.150790,0.192160", \ - "0.108573,0.114543,0.120720,0.131103,0.147776,0.173590,0.215280", \ - "0.129463,0.135899,0.142538,0.153656,0.171575,0.198669,0.241382"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.033635,0.039054,0.044770,0.054951,0.073817,0.110552,0.183144", \ - "0.035066,0.040485,0.046200,0.056381,0.075247,0.111982,0.184576", \ - "0.040128,0.045546,0.051257,0.061427,0.080278,0.117012,0.189612", \ - "0.049615,0.055067,0.060767,0.070881,0.089640,0.126296,0.198858", \ - "0.058422,0.064291,0.070229,0.080524,0.099359,0.135903,0.208331", \ - "0.064947,0.071364,0.077775,0.088432,0.107348,0.143841,0.216173", \ - "0.068885,0.075831,0.082910,0.094335,0.113683,0.150103,0.222286"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.010604,0.013176,0.016152,0.021313,0.029598,0.043936,0.071852", \ - "0.010608,0.013176,0.016152,0.021314,0.029597,0.043934,0.071851", \ - "0.010602,0.013175,0.016153,0.021317,0.029598,0.043934,0.071850", \ - "0.010596,0.013179,0.016166,0.021337,0.029618,0.043941,0.071849", \ - "0.011881,0.014250,0.017019,0.021957,0.030072,0.044201,0.071967", \ - "0.014409,0.016848,0.019682,0.024642,0.032416,0.045677,0.072594", \ - "0.017042,0.019601,0.022584,0.027785,0.035567,0.048255,0.074289"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007406,0.010725,0.014624,0.022475,0.038908,0.072953,0.141148", \ - "0.007409,0.010725,0.014627,0.022476,0.038907,0.072954,0.141146", \ - "0.007401,0.010724,0.014625,0.022476,0.038908,0.072954,0.141147", \ - "0.007782,0.011011,0.014824,0.022583,0.038944,0.072950,0.141140", \ - "0.009145,0.012249,0.015851,0.023292,0.039331,0.073011,0.141132", \ - "0.010850,0.014096,0.017564,0.024452,0.039863,0.073325,0.141219", \ - "0.012845,0.016294,0.019955,0.026511,0.040907,0.073625,0.141453"); - } - } - - timing () { - - related_pin : "CI"; - when : "A & !B"; - sdf_cond : "(A == 1'b1) && (B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.058866,0.064142,0.069468,0.078230,0.092432,0.115885,0.155918", \ - "0.059914,0.065191,0.070515,0.079280,0.093483,0.116937,0.156969", \ - "0.064570,0.069841,0.075160,0.083921,0.098122,0.121575,0.161610", \ - "0.075598,0.080860,0.086164,0.094910,0.109102,0.132558,0.172596", \ - "0.093897,0.099195,0.104480,0.113209,0.127392,0.150851,0.190881", \ - "0.114321,0.120050,0.125766,0.135041,0.149898,0.173918,0.214143", \ - "0.136022,0.142189,0.148340,0.158235,0.174042,0.199009,0.239984"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.031422,0.037085,0.042985,0.053371,0.072380,0.109102,0.181593", \ - "0.032837,0.038501,0.044400,0.054785,0.073793,0.110517,0.183008", \ - "0.037927,0.043587,0.049476,0.059841,0.078831,0.115553,0.188050", \ - "0.046961,0.052708,0.058624,0.068955,0.087868,0.124498,0.196948", \ - "0.054903,0.061141,0.067379,0.078001,0.097042,0.133593,0.205893", \ - "0.060737,0.067533,0.074314,0.085455,0.104707,0.141216,0.213424", \ - "0.064158,0.071462,0.078913,0.090931,0.110832,0.147336,0.219378"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.010584,0.012683,0.015020,0.019280,0.027117,0.041702,0.070160", \ - "0.010583,0.012686,0.015021,0.019279,0.027117,0.041701,0.070158", \ - "0.010587,0.012685,0.015025,0.019283,0.027120,0.041703,0.070159", \ - "0.010578,0.012689,0.015032,0.019297,0.027131,0.041707,0.070159", \ - "0.011461,0.013377,0.015567,0.019673,0.027389,0.041851,0.070215", \ - "0.013896,0.015826,0.017974,0.021883,0.029196,0.042983,0.070651", \ - "0.016419,0.018434,0.020651,0.024582,0.031698,0.044979,0.071979"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007835,0.011111,0.014984,0.022764,0.039037,0.072879,0.141032", \ - "0.007838,0.011112,0.014984,0.022763,0.039038,0.072880,0.141034", \ - "0.007834,0.011117,0.014988,0.022769,0.039041,0.072877,0.141031", \ - "0.008481,0.011621,0.015366,0.022987,0.039120,0.072877,0.141021", \ - "0.010179,0.013194,0.016696,0.023926,0.039641,0.072983,0.141016", \ - "0.012201,0.015345,0.018802,0.025467,0.040370,0.073364,0.141128", \ - "0.014520,0.017792,0.021500,0.027988,0.041777,0.073780,0.141376"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & CI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("4.961428,4.972347,4.970282,4.965653,4.992591,5.021822,4.960279", \ - "4.957263,4.941912,4.945567,4.962993,4.942602,5.012502,5.024358", \ - "4.914211,4.920885,4.914101,4.929105,4.928900,4.858093,4.898047", \ - "4.946492,4.942350,4.917006,4.946215,4.965293,4.882921,4.896872", \ - "5.120767,5.105502,5.061448,5.050037,5.061768,4.983591,5.071613", \ - "5.493842,5.456096,5.410581,5.343424,5.311205,5.278781,5.285665", \ - "5.814642,5.794602,5.736821,5.705418,5.623236,5.560270,5.571236"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("2.083154,2.096850,2.144834,2.235542,2.332906,2.505129,2.388796", \ - "2.072996,2.090096,2.136714,2.230925,2.319801,2.486926,2.516467", \ - "2.040896,2.068363,2.107706,2.199655,2.292879,2.451304,2.497452", \ - "2.047311,2.075269,2.107563,2.191223,2.256486,2.428979,2.429275", \ - "2.143031,2.153207,2.176364,2.231676,2.291185,2.455295,2.500461", \ - "2.440624,2.420337,2.417803,2.440090,2.462738,2.605085,2.567520", \ - "2.828992,2.779077,2.785024,2.804427,2.810260,2.846619,2.769714"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("4.936688,4.944603,4.963266,4.997421,5.020066,5.007788,5.041934", \ - "4.924550,4.932636,4.928059,4.975453,5.004096,4.986662,5.059569", \ - "4.880369,4.906588,4.898909,4.949961,4.957450,4.964143,4.934877", \ - "4.854761,4.882292,4.893430,4.911745,4.934132,4.922393,4.946154", \ - "4.938600,4.956073,4.944155,4.972042,4.990998,4.971527,4.965488", \ - "5.097326,5.096253,5.100149,5.090607,5.094757,5.148293,5.065024", \ - "5.260252,5.235937,5.212172,5.248884,5.307563,5.357956,5.266057"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("2.287494,2.324136,2.369661,2.463072,2.562315,2.797668,2.838173", \ - "2.268160,2.297626,2.359738,2.458647,2.584007,2.762501,2.719347", \ - "2.240183,2.274064,2.331286,2.426041,2.532636,2.789398,2.704895", \ - "2.285595,2.311952,2.361985,2.437372,2.528154,2.766403,2.758215", \ - "2.439317,2.446216,2.481498,2.549814,2.648911,2.825105,2.870167", \ - "2.774372,2.747381,2.751805,2.783435,2.835695,3.033079,3.044450", \ - "3.228292,3.179751,3.174656,3.197833,3.191097,3.279133,3.264212"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & CI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.058959,5.062491,5.044011,5.074861,5.056595,5.088207,5.066873", \ - "5.042825,5.035570,5.020168,5.034049,5.065189,5.097949,5.108278", \ - "5.028664,5.032008,5.015227,5.025524,5.043152,5.051319,5.097673", \ - "5.027259,5.013947,5.011156,5.037732,5.042808,5.080302,4.986095", \ - "5.124013,5.105000,5.092774,5.093614,5.085964,5.099595,5.066982", \ - "5.333892,5.309993,5.284667,5.250176,5.241339,5.215934,5.145533", \ - "5.492971,5.468500,5.440916,5.446370,5.478393,5.428414,5.458482"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("2.381468,2.403791,2.437524,2.522868,2.621693,2.775213,2.793514", \ - "2.353293,2.377560,2.419593,2.504011,2.585465,2.758204,2.739934", \ - "2.328254,2.338957,2.388415,2.470453,2.564446,2.696152,2.715669", \ - "2.320142,2.332308,2.360628,2.441575,2.512675,2.661455,2.618710", \ - "2.380106,2.380541,2.411315,2.475957,2.551834,2.697936,2.689216", \ - "2.635665,2.611421,2.614220,2.636571,2.664736,2.813991,2.832285", \ - "2.984717,2.941800,2.940821,2.957069,2.956410,2.983678,2.984969"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.263173,5.285317,5.272409,5.305372,5.330286,5.297060,5.276096", \ - "5.257391,5.251900,5.267998,5.273064,5.300828,5.339496,5.295472", \ - "5.232990,5.254859,5.244971,5.271827,5.304354,5.277007,5.325331", \ - "5.221569,5.238989,5.239179,5.224413,5.283610,5.288115,5.336723", \ - "5.288792,5.275863,5.266118,5.266896,5.304742,5.295145,5.341857", \ - "5.464145,5.444170,5.440349,5.396158,5.397087,5.340130,5.334939", \ - "5.633736,5.612718,5.591367,5.596319,5.629446,5.611669,5.623266"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("2.252666,2.281782,2.332548,2.424748,2.555482,2.770141,2.728732", \ - "2.214426,2.249705,2.301159,2.391246,2.524189,2.740431,2.756069", \ - "2.193365,2.222715,2.273963,2.360836,2.468521,2.724835,2.714918", \ - "2.202733,2.242327,2.284914,2.362850,2.466600,2.708815,2.760366", \ - "2.300647,2.322590,2.352994,2.436979,2.531689,2.757777,2.723552", \ - "2.569274,2.564892,2.582232,2.614606,2.672417,2.870222,2.856877", \ - "2.919577,2.894439,2.907992,2.933174,2.993812,3.059788,3.034417"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "!A & B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("4.520811,4.540015,4.546435,4.569404,4.626742,4.639998,4.655379", \ - "4.500376,4.512576,4.526710,4.564089,4.598292,4.631201,4.627438", \ - "4.473118,4.493656,4.501037,4.541832,4.585455,4.633334,4.601806", \ - "4.515580,4.527321,4.530717,4.571913,4.620951,4.596568,4.600448", \ - "4.715324,4.725031,4.701896,4.709028,4.726516,4.711016,4.741362", \ - "5.080365,5.046957,5.013431,4.956895,4.928655,4.875378,4.902138", \ - "5.448557,5.410594,5.366799,5.319400,5.280144,5.257878,5.248734"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("2.745502,2.765326,2.803561,2.878864,2.973787,3.140674,3.062815", \ - "2.749798,2.770318,2.810754,2.893109,2.971497,3.148786,3.207475", \ - "2.751179,2.764890,2.806149,2.881877,2.987992,3.157937,3.183979", \ - "2.804744,2.812001,2.844237,2.910243,2.970410,3.156840,3.213759", \ - "2.955759,2.946333,2.963096,3.004468,3.077731,3.196652,3.247969", \ - "3.218939,3.179912,3.187015,3.212528,3.232324,3.387318,3.307038", \ - "3.637833,3.577631,3.569888,3.573033,3.604183,3.664111,3.691692"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("4.697565,4.714211,4.710020,4.736040,4.767100,4.743560,4.780791", \ - "4.690470,4.695861,4.691153,4.695811,4.758144,4.726718,4.752952", \ - "4.671066,4.680123,4.682538,4.684087,4.736696,4.769160,4.728769", \ - "4.705834,4.700274,4.716296,4.697319,4.766665,4.686531,4.729255", \ - "4.875928,4.879711,4.845007,4.848966,4.838430,4.824192,4.850191", \ - "5.197246,5.195466,5.136500,5.122162,5.066181,5.004104,5.040280", \ - "5.553792,5.512566,5.455696,5.428135,5.378153,5.274863,5.298626"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("2.447959,2.465825,2.507650,2.584667,2.691752,2.852577,2.847350", \ - "2.449475,2.471930,2.511419,2.598386,2.692419,2.832253,2.776972", \ - "2.433113,2.461169,2.496004,2.581844,2.654302,2.817576,2.764755", \ - "2.494996,2.502195,2.538249,2.585158,2.662717,2.809772,2.775635", \ - "2.664268,2.655646,2.666108,2.716612,2.782800,2.927002,2.836374", \ - "3.020384,2.970733,2.968305,2.976540,3.011877,3.077221,3.105712", \ - "3.521018,3.438029,3.415238,3.408891,3.420248,3.411735,3.347480"); - } - } - } - - pin (S) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "(CI ^ (A ^ B))"; - - timing () { - - related_pin : "A"; - when : "!B & !CI"; - sdf_cond : "(B == 1'b0) && (CI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.088348,0.094068,0.099765,0.109039,0.123905,0.148038,0.189071", \ - "0.089681,0.095406,0.101101,0.110376,0.125241,0.149379,0.190410", \ - "0.094627,0.100349,0.106045,0.115317,0.130182,0.154318,0.195352", \ - "0.102243,0.107968,0.113661,0.122931,0.137793,0.161929,0.202964", \ - "0.112954,0.118631,0.124300,0.133534,0.148385,0.172515,0.213560", \ - "0.126274,0.132101,0.137914,0.147342,0.162422,0.186766,0.227937", \ - "0.142605,0.148621,0.154613,0.164383,0.179860,0.204825,0.246593"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.031374,0.036762,0.042393,0.052448,0.071198,0.107738,0.180291", \ - "0.032935,0.038325,0.043954,0.054009,0.072760,0.109299,0.181857", \ - "0.037472,0.042858,0.048484,0.058527,0.077271,0.113807,0.186370", \ - "0.044490,0.049917,0.055549,0.065565,0.084258,0.120768,0.193322", \ - "0.051561,0.057206,0.062976,0.073067,0.091755,0.128216,0.200731", \ - "0.056944,0.062980,0.069042,0.079343,0.097925,0.134294,0.206790", \ - "0.059812,0.066300,0.072837,0.083523,0.102238,0.138547,0.210929"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.013071,0.015042,0.017271,0.021403,0.029041,0.043478,0.072217", \ - "0.013075,0.015047,0.017275,0.021401,0.029042,0.043481,0.072216", \ - "0.013071,0.015046,0.017276,0.021402,0.029042,0.043478,0.072215", \ - "0.013070,0.015044,0.017274,0.021403,0.029044,0.043483,0.072216", \ - "0.013125,0.015098,0.017332,0.021458,0.029094,0.043515,0.072235", \ - "0.014291,0.016210,0.018385,0.022415,0.029890,0.044043,0.072505", \ - "0.015548,0.017471,0.019651,0.023692,0.031187,0.045313,0.073439"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006636,0.009939,0.013833,0.021731,0.038223,0.072252,0.140902", \ - "0.006640,0.009939,0.013834,0.021730,0.038218,0.072241,0.140887", \ - "0.006644,0.009942,0.013836,0.021733,0.038222,0.072235,0.140887", \ - "0.006852,0.010113,0.013958,0.021791,0.038238,0.072251,0.140904", \ - "0.007525,0.010758,0.014504,0.022155,0.038407,0.072294,0.140912", \ - "0.008646,0.011890,0.015490,0.022808,0.038690,0.072426,0.140972", \ - "0.010034,0.013416,0.017005,0.023935,0.039241,0.072622,0.141113"); - } - } - - timing () { - - related_pin : "A"; - when : "!B & CI"; - sdf_cond : "(B == 1'b0) && (CI == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.077237,0.081830,0.086386,0.093889,0.106341,0.127578,0.165787", \ - "0.078868,0.083461,0.088018,0.095520,0.107974,0.129211,0.167419", \ - "0.082903,0.087498,0.092054,0.099555,0.112008,0.133246,0.171454", \ - "0.089336,0.093902,0.098441,0.105928,0.118371,0.139604,0.177811", \ - "0.096590,0.101071,0.105559,0.112995,0.125404,0.146615,0.184805", \ - "0.103103,0.107437,0.111772,0.119031,0.131360,0.152501,0.190634", \ - "0.107931,0.112069,0.116193,0.123306,0.135539,0.156636,0.194729"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.104157,0.109206,0.114136,0.122913,0.140272,0.175749,0.247638", \ - "0.104938,0.110006,0.114944,0.123726,0.141086,0.176559,0.248450", \ - "0.109087,0.114150,0.119086,0.127865,0.145223,0.180701,0.252591", \ - "0.119728,0.124789,0.129729,0.138510,0.155866,0.191335,0.263224", \ - "0.138159,0.143209,0.148129,0.156889,0.174216,0.209648,0.281502", \ - "0.162290,0.167254,0.172014,0.180520,0.197571,0.232789,0.304519", \ - "0.188489,0.193430,0.198078,0.206178,0.222887,0.257801,0.329350"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.008863,0.010782,0.012938,0.016868,0.024176,0.038470,0.067999", \ - "0.008865,0.010781,0.012937,0.016869,0.024177,0.038472,0.067999", \ - "0.008867,0.010780,0.012940,0.016870,0.024178,0.038471,0.068000", \ - "0.008877,0.010794,0.012950,0.016878,0.024183,0.038475,0.068002", \ - "0.008923,0.010833,0.012992,0.016914,0.024211,0.038491,0.068008", \ - "0.009003,0.010906,0.013051,0.016948,0.024213,0.038452,0.067979", \ - "0.009164,0.011061,0.013188,0.017064,0.024302,0.038522,0.067954"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.008395,0.011415,0.014967,0.022300,0.038246,0.072033,0.140649", \ - "0.008460,0.011445,0.014985,0.022307,0.038249,0.072034,0.140652", \ - "0.008474,0.011452,0.014986,0.022310,0.038249,0.072031,0.140651", \ - "0.008480,0.011454,0.014990,0.022311,0.038250,0.072035,0.140651", \ - "0.008524,0.011494,0.015023,0.022327,0.038257,0.072036,0.140650", \ - "0.008823,0.011784,0.015270,0.022475,0.038314,0.072050,0.140654", \ - "0.009302,0.012277,0.015700,0.022727,0.038394,0.072074,0.140667"); - } - } - - timing () { - - related_pin : "A"; - when : "B & !CI"; - sdf_cond : "(B == 1'b1) && (CI == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.076099,0.080851,0.085777,0.094202,0.108022,0.130708,0.170120", \ - "0.077448,0.082224,0.087180,0.095694,0.109630,0.132385,0.171831", \ - "0.082329,0.087112,0.092082,0.100633,0.114628,0.137416,0.176882", \ - "0.091721,0.096481,0.101438,0.109985,0.123982,0.146771,0.186236", \ - "0.102446,0.107004,0.111828,0.120281,0.134222,0.156973,0.196412", \ - "0.111940,0.116226,0.120839,0.129060,0.142873,0.165534,0.204899", \ - "0.119701,0.123737,0.128126,0.136089,0.149749,0.172323,0.211614"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.103972,0.108841,0.113658,0.122239,0.139444,0.174932,0.246894", \ - "0.105412,0.110282,0.115098,0.123681,0.140887,0.176376,0.248338", \ - "0.110596,0.115459,0.120273,0.128855,0.146064,0.181555,0.253516", \ - "0.119876,0.124731,0.129546,0.138132,0.155341,0.190838,0.262805", \ - "0.134047,0.138855,0.143609,0.152160,0.169337,0.204792,0.276735", \ - "0.151217,0.155949,0.160636,0.168986,0.185982,0.221301,0.293164", \ - "0.171159,0.175853,0.180368,0.188559,0.205334,0.240470,0.312229"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.008875,0.011281,0.014058,0.018743,0.026382,0.040540,0.069410", \ - "0.008905,0.011339,0.014166,0.018932,0.026562,0.040643,0.069459", \ - "0.008912,0.011359,0.014209,0.019017,0.026646,0.040691,0.069483", \ - "0.008922,0.011371,0.014223,0.019037,0.026666,0.040702,0.069489", \ - "0.008963,0.011417,0.014267,0.019079,0.026698,0.040723,0.069496", \ - "0.009051,0.011492,0.014330,0.019124,0.026709,0.040701,0.069489", \ - "0.009244,0.011670,0.014490,0.019260,0.026818,0.040763,0.069452"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.007727,0.010781,0.014396,0.021926,0.038147,0.072117,0.140748", \ - "0.007722,0.010783,0.014392,0.021926,0.038145,0.072118,0.140750", \ - "0.007717,0.010777,0.014392,0.021926,0.038148,0.072119,0.140749", \ - "0.007707,0.010768,0.014385,0.021922,0.038144,0.072117,0.140748", \ - "0.007732,0.010790,0.014404,0.021932,0.038149,0.072120,0.140748", \ - "0.007931,0.010996,0.014574,0.022034,0.038194,0.072137,0.140760", \ - "0.008075,0.011159,0.014719,0.022114,0.038210,0.072141,0.140777"); - } - } - - timing () { - - related_pin : "A"; - when : "B & CI"; - sdf_cond : "(B == 1'b1) && (CI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.061357,0.066276,0.071156,0.079131,0.092076,0.113709,0.152208", \ - "0.063072,0.067990,0.072872,0.080849,0.093793,0.115427,0.153923", \ - "0.069131,0.074050,0.078930,0.086907,0.099854,0.121490,0.159991", \ - "0.078793,0.083708,0.088582,0.096546,0.109490,0.131128,0.169635", \ - "0.092396,0.097367,0.102291,0.110262,0.123237,0.144912,0.183430", \ - "0.107777,0.113010,0.118183,0.126575,0.139994,0.162192,0.200976", \ - "0.125454,0.130960,0.136410,0.145160,0.159252,0.182174,0.221589"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.042804,0.048757,0.054924,0.065648,0.084966,0.121759,0.194403", \ - "0.044008,0.049962,0.056128,0.066852,0.086171,0.122959,0.195608", \ - "0.046893,0.052849,0.059015,0.069738,0.089055,0.125848,0.198489", \ - "0.051537,0.057505,0.063671,0.074383,0.093681,0.130449,0.203087", \ - "0.056917,0.063004,0.069279,0.080100,0.099467,0.136252,0.208879", \ - "0.062120,0.068388,0.074825,0.085800,0.105239,0.142013,0.214631", \ - "0.065971,0.072537,0.079255,0.090494,0.110091,0.146898,0.219469"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.009192,0.011045,0.013148,0.017052,0.024377,0.038687,0.068199", \ - "0.009194,0.011044,0.013149,0.017054,0.024378,0.038687,0.068198", \ - "0.009197,0.011051,0.013154,0.017056,0.024379,0.038689,0.068200", \ - "0.009209,0.011060,0.013167,0.017071,0.024390,0.038695,0.068203", \ - "0.009831,0.011604,0.013624,0.017408,0.024610,0.038817,0.068252", \ - "0.011185,0.012970,0.015005,0.018792,0.025883,0.039742,0.068647", \ - "0.012719,0.014509,0.016549,0.020353,0.027435,0.041146,0.069620"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.007874,0.011424,0.015443,0.023265,0.039316,0.072866,0.141356", \ - "0.007873,0.011425,0.015444,0.023263,0.039316,0.072849,0.141354", \ - "0.007878,0.011427,0.015445,0.023267,0.039316,0.072868,0.141357", \ - "0.007974,0.011510,0.015513,0.023317,0.039349,0.072873,0.141347", \ - "0.008358,0.011909,0.015902,0.023643,0.039565,0.072963,0.141371", \ - "0.009045,0.012591,0.016551,0.024174,0.039884,0.073127,0.141461", \ - "0.010182,0.013715,0.017633,0.025074,0.040473,0.073387,0.141606"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & !CI"; - sdf_cond : "(A == 1'b0) && (CI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.084923,0.090647,0.096340,0.105612,0.120474,0.144609,0.185642", \ - "0.085796,0.091520,0.097215,0.106489,0.121353,0.145488,0.186521", \ - "0.090392,0.096113,0.101807,0.111080,0.125945,0.150080,0.191114", \ - "0.099044,0.104768,0.110458,0.119729,0.134588,0.158723,0.199760", \ - "0.113597,0.119254,0.124896,0.134110,0.148932,0.173050,0.214090", \ - "0.132875,0.138732,0.144578,0.154069,0.169161,0.193516,0.234672", \ - "0.156145,0.162211,0.168277,0.178002,0.193528,0.218478,0.260254"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.029818,0.035040,0.040522,0.050385,0.068937,0.105325,0.177759", \ - "0.031545,0.036766,0.042250,0.052114,0.070667,0.107049,0.179490", \ - "0.036045,0.041266,0.046744,0.056597,0.075142,0.111526,0.183972", \ - "0.042665,0.047971,0.053492,0.063353,0.081866,0.118224,0.190676", \ - "0.048985,0.054556,0.060245,0.070216,0.088756,0.125092,0.197495", \ - "0.053332,0.059342,0.065369,0.075567,0.094056,0.130301,0.202672", \ - "0.054788,0.061285,0.067830,0.078501,0.097177,0.133356,0.205632"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.013072,0.015043,0.017273,0.021399,0.029038,0.043477,0.072215", \ - "0.013072,0.015045,0.017273,0.021400,0.029040,0.043478,0.072213", \ - "0.013069,0.015047,0.017273,0.021401,0.029041,0.043477,0.072215", \ - "0.013071,0.015043,0.017274,0.021407,0.029046,0.043480,0.072214", \ - "0.013151,0.015129,0.017360,0.021484,0.029115,0.043527,0.072240", \ - "0.014608,0.016503,0.018643,0.022625,0.030021,0.044092,0.072517", \ - "0.016168,0.018044,0.020171,0.024112,0.031451,0.045452,0.073516"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006272,0.009526,0.013399,0.021311,0.037872,0.072011,0.140736", \ - "0.006281,0.009533,0.013405,0.021311,0.037877,0.072031,0.140736", \ - "0.006292,0.009541,0.013414,0.021318,0.037878,0.072031,0.140750", \ - "0.006599,0.009820,0.013640,0.021451,0.037931,0.072025,0.140743", \ - "0.007361,0.010550,0.014258,0.021879,0.038147,0.072089,0.140758", \ - "0.008553,0.011787,0.015352,0.022611,0.038458,0.072238,0.140840", \ - "0.010002,0.013412,0.016995,0.023861,0.039077,0.072432,0.140968"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & CI"; - sdf_cond : "(A == 1'b0) && (CI == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.083456,0.088151,0.092803,0.100408,0.112946,0.134282,0.172602", \ - "0.085069,0.089763,0.094419,0.102023,0.114564,0.135900,0.174222", \ - "0.089245,0.093939,0.098597,0.106200,0.118739,0.140077,0.178402", \ - "0.095286,0.099958,0.104593,0.112179,0.124715,0.146056,0.184383", \ - "0.102901,0.107488,0.112065,0.119598,0.132094,0.153407,0.191719", \ - "0.110408,0.114809,0.119234,0.126564,0.138932,0.160144,0.198391", \ - "0.116260,0.120472,0.124662,0.131735,0.143999,0.165153,0.203345"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.104908,0.109912,0.114821,0.123594,0.140968,0.176458,0.248359", \ - "0.106386,0.111388,0.116297,0.125072,0.142449,0.177941,0.249843", \ - "0.111691,0.116691,0.121599,0.130374,0.147750,0.183244,0.255146", \ - "0.120867,0.125862,0.130765,0.139539,0.156913,0.192405,0.264313", \ - "0.135399,0.140356,0.145218,0.153953,0.171300,0.206761,0.278646", \ - "0.154424,0.159347,0.164175,0.172790,0.189983,0.225317,0.297122", \ - "0.176508,0.181450,0.186127,0.194544,0.211531,0.246659,0.318347"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.009235,0.011108,0.013243,0.017163,0.024455,0.038721,0.068205", \ - "0.009237,0.011112,0.013245,0.017165,0.024457,0.038724,0.068208", \ - "0.009245,0.011120,0.013256,0.017172,0.024464,0.038730,0.068216", \ - "0.009259,0.011131,0.013270,0.017185,0.024471,0.038736,0.068221", \ - "0.009140,0.011046,0.013199,0.017128,0.024431,0.038711,0.068211", \ - "0.009066,0.010961,0.013114,0.017035,0.024329,0.038604,0.068178", \ - "0.009119,0.011012,0.013163,0.017077,0.024356,0.038620,0.068105"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.008249,0.011342,0.014933,0.022284,0.038244,0.072037,0.140653", \ - "0.008243,0.011336,0.014924,0.022279,0.038243,0.072033,0.140656", \ - "0.008239,0.011331,0.014923,0.022278,0.038238,0.072036,0.140655", \ - "0.008235,0.011329,0.014926,0.022281,0.038241,0.072036,0.140651", \ - "0.008256,0.011356,0.014943,0.022293,0.038246,0.072038,0.140654", \ - "0.008463,0.011557,0.015112,0.022394,0.038288,0.072050,0.140657", \ - "0.008806,0.011912,0.015423,0.022568,0.038323,0.072027,0.140659"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !CI"; - sdf_cond : "(A == 1'b1) && (CI == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.075635,0.080341,0.085191,0.093434,0.107056,0.129636,0.169013", \ - "0.076808,0.081512,0.086362,0.094603,0.108223,0.130802,0.170177", \ - "0.080678,0.085381,0.090224,0.098461,0.112076,0.134648,0.174021", \ - "0.087525,0.092206,0.097036,0.105255,0.118856,0.141422,0.180791", \ - "0.095917,0.100507,0.105273,0.113434,0.126992,0.149531,0.188885", \ - "0.103902,0.108371,0.113054,0.121136,0.134662,0.157119,0.196350", \ - "0.110468,0.114750,0.119209,0.127119,0.140635,0.163130,0.202354"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.109818,0.114699,0.119562,0.128195,0.145438,0.180971,0.252969", \ - "0.111552,0.116438,0.121303,0.129939,0.147182,0.182714,0.254712", \ - "0.117398,0.122278,0.127146,0.135784,0.153025,0.188559,0.260556", \ - "0.126850,0.131729,0.136592,0.145226,0.162467,0.197999,0.270004", \ - "0.140830,0.145679,0.150498,0.159091,0.176308,0.211818,0.283800", \ - "0.159324,0.164122,0.168882,0.177296,0.194326,0.229702,0.301613", \ - "0.180892,0.185671,0.190242,0.198535,0.215315,0.250473,0.322275"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.008809,0.011145,0.013812,0.018376,0.026080,0.040388,0.069362", \ - "0.008798,0.011138,0.013804,0.018368,0.026076,0.040384,0.069358", \ - "0.008793,0.011131,0.013794,0.018354,0.026064,0.040377,0.069354", \ - "0.008797,0.011133,0.013789,0.018342,0.026056,0.040372,0.069350", \ - "0.008789,0.011120,0.013772,0.018324,0.026041,0.040365,0.069350", \ - "0.008895,0.011239,0.013905,0.018447,0.026077,0.040265,0.069247", \ - "0.009035,0.011387,0.014071,0.018635,0.026262,0.040426,0.069186"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.007645,0.010747,0.014448,0.022096,0.038362,0.072287,0.140874", \ - "0.007638,0.010741,0.014447,0.022097,0.038364,0.072288,0.140874", \ - "0.007636,0.010741,0.014445,0.022097,0.038363,0.072288,0.140873", \ - "0.007641,0.010747,0.014447,0.022096,0.038368,0.072289,0.140877", \ - "0.007679,0.010779,0.014473,0.022108,0.038368,0.072291,0.140880", \ - "0.007771,0.010849,0.014514,0.022112,0.038357,0.072281,0.140873", \ - "0.007966,0.011037,0.014647,0.022152,0.038321,0.072255,0.140892"); - } - } - - timing () { - - related_pin : "B"; - when : "A & CI"; - sdf_cond : "(A == 1'b1) && (CI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.056881,0.061724,0.066542,0.074424,0.087244,0.108730,0.147081", \ - "0.058586,0.063432,0.068250,0.076135,0.088955,0.110442,0.148794", \ - "0.064362,0.069205,0.074021,0.081904,0.094726,0.116213,0.154566", \ - "0.073924,0.078758,0.083566,0.091440,0.104258,0.125747,0.164106", \ - "0.087068,0.092006,0.096910,0.104873,0.117793,0.139350,0.177733", \ - "0.101564,0.106774,0.111936,0.120336,0.133726,0.155907,0.194649", \ - "0.118026,0.123512,0.128970,0.137773,0.151893,0.174838,0.214238"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.041951,0.047936,0.054138,0.064927,0.084349,0.121273,0.194050", \ - "0.043200,0.049185,0.055386,0.066178,0.085602,0.122522,0.195304", \ - "0.047305,0.053291,0.059495,0.070286,0.089708,0.126632,0.199414", \ - "0.054980,0.060967,0.067164,0.077936,0.097331,0.134230,0.207007", \ - "0.064295,0.070399,0.076685,0.087537,0.107022,0.143931,0.216676", \ - "0.073041,0.079409,0.085888,0.096817,0.116251,0.153183,0.226004", \ - "0.080247,0.086985,0.093837,0.105090,0.124498,0.161282,0.234086"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.008746,0.010639,0.012771,0.016710,0.024068,0.038413,0.067969", \ - "0.008746,0.010638,0.012772,0.016710,0.024068,0.038413,0.067970", \ - "0.008748,0.010637,0.012774,0.016710,0.024069,0.038414,0.067971", \ - "0.008753,0.010650,0.012785,0.016724,0.024079,0.038418,0.067971", \ - "0.009582,0.011399,0.013454,0.017249,0.024419,0.038602,0.068043", \ - "0.010972,0.012807,0.014881,0.018704,0.025829,0.039693,0.068539", \ - "0.012610,0.014439,0.016521,0.020363,0.027477,0.041169,0.069580"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.007933,0.011504,0.015548,0.023407,0.039510,0.073061,0.141548", \ - "0.007931,0.011506,0.015550,0.023411,0.039510,0.073053,0.141543", \ - "0.007935,0.011508,0.015552,0.023414,0.039516,0.073065,0.141549", \ - "0.008038,0.011585,0.015619,0.023467,0.039542,0.073072,0.141550", \ - "0.008603,0.012104,0.016086,0.023879,0.039864,0.073207,0.141595", \ - "0.009729,0.013129,0.016941,0.024428,0.040168,0.073576,0.141785", \ - "0.011203,0.014615,0.018354,0.025465,0.040665,0.073721,0.142117"); - } - } - - timing () { - - related_pin : "CI"; - when : "!A & !B"; - sdf_cond : "(A == 1'b0) && (B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.079401,0.085142,0.090857,0.100158,0.115066,0.139268,0.180405", \ - "0.079406,0.085141,0.090850,0.100143,0.115037,0.139216,0.180320", \ - "0.082202,0.087925,0.093622,0.102898,0.117770,0.141920,0.182978", \ - "0.091913,0.097641,0.103322,0.112589,0.127444,0.151581,0.192617", \ - "0.109873,0.115526,0.121170,0.130409,0.145244,0.169343,0.210378", \ - "0.133544,0.139412,0.145232,0.154590,0.169544,0.193739,0.234858", \ - "0.159283,0.165495,0.171663,0.181492,0.196814,0.221594,0.263304"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.028242,0.033689,0.039344,0.049385,0.068021,0.104362,0.176734", \ - "0.029922,0.035369,0.041027,0.051070,0.069711,0.106057,0.178426", \ - "0.034117,0.039550,0.045194,0.055223,0.073860,0.110219,0.182600", \ - "0.039652,0.045211,0.050980,0.061121,0.079761,0.116101,0.188485", \ - "0.044811,0.050465,0.056380,0.066667,0.085504,0.121986,0.194325", \ - "0.047822,0.053914,0.060097,0.070713,0.089550,0.126001,0.198513", \ - "0.047633,0.054250,0.060963,0.072104,0.091425,0.127956,0.200419"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.013136,0.015112,0.017346,0.021482,0.029140,0.043610,0.072389", \ - "0.013101,0.015077,0.017312,0.021442,0.029095,0.043558,0.072336", \ - "0.013080,0.015055,0.017282,0.021411,0.029058,0.043504,0.072260", \ - "0.013069,0.015042,0.017275,0.021406,0.029049,0.043490,0.072230", \ - "0.013037,0.015058,0.017318,0.021463,0.029106,0.043528,0.072247", \ - "0.015126,0.016891,0.018916,0.022774,0.030065,0.044109,0.072534", \ - "0.017585,0.019331,0.021282,0.024901,0.031833,0.045610,0.073658"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006577,0.009851,0.013709,0.021529,0.037925,0.071940,0.140660", \ - "0.006579,0.009851,0.013710,0.021533,0.037933,0.071959,0.140657", \ - "0.006540,0.009836,0.013706,0.021539,0.037937,0.071949,0.140660", \ - "0.006744,0.010221,0.014119,0.021810,0.038010,0.071971,0.140665", \ - "0.007383,0.010801,0.014728,0.022384,0.038545,0.072182,0.140670", \ - "0.008705,0.012028,0.015840,0.023305,0.038965,0.072544,0.140914", \ - "0.010326,0.013813,0.017558,0.024774,0.039909,0.072865,0.141256"); - } - } - - timing () { - - related_pin : "CI"; - when : "!A & B"; - sdf_cond : "(A == 1'b0) && (B == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.080851,0.085544,0.090195,0.097799,0.110339,0.131683,0.170015", \ - "0.082350,0.087044,0.091694,0.099300,0.111840,0.133184,0.171513", \ - "0.087340,0.092030,0.096682,0.104284,0.116824,0.138167,0.176499", \ - "0.095811,0.100454,0.105076,0.112654,0.125184,0.146523,0.184853", \ - "0.104923,0.109379,0.113855,0.121323,0.133790,0.155086,0.193389", \ - "0.112223,0.116302,0.120484,0.127676,0.140037,0.161356,0.199796", \ - "0.118017,0.121843,0.125773,0.132597,0.144744,0.165942,0.204282"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.097615,0.102557,0.107385,0.115934,0.133093,0.168531,0.240462", \ - "0.098589,0.103529,0.108357,0.116908,0.134068,0.169506,0.241436", \ - "0.103222,0.108157,0.112980,0.121527,0.138685,0.174123,0.246053", \ - "0.114222,0.119151,0.123971,0.132516,0.149670,0.185106,0.257036", \ - "0.132050,0.136940,0.141704,0.150197,0.167292,0.202670,0.274564", \ - "0.154328,0.159152,0.163777,0.171986,0.188815,0.223971,0.295720", \ - "0.178492,0.183366,0.187914,0.195803,0.212252,0.247069,0.318667"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.009255,0.011126,0.013266,0.017182,0.024474,0.038737,0.068224", \ - "0.009255,0.011125,0.013263,0.017183,0.024471,0.038738,0.068223", \ - "0.009254,0.011131,0.013266,0.017183,0.024473,0.038737,0.068224", \ - "0.009265,0.011138,0.013278,0.017189,0.024475,0.038739,0.068224", \ - "0.009288,0.011162,0.013300,0.017207,0.024490,0.038751,0.068230", \ - "0.008992,0.010956,0.013167,0.017166,0.024545,0.038928,0.068506", \ - "0.009055,0.011015,0.013217,0.017190,0.024549,0.038915,0.068498"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.007917,0.010966,0.014540,0.022002,0.038174,0.072128,0.140756", \ - "0.007919,0.010964,0.014543,0.022002,0.038176,0.072125,0.140751", \ - "0.007923,0.010964,0.014544,0.022003,0.038173,0.072125,0.140752", \ - "0.007940,0.010984,0.014557,0.022013,0.038176,0.072125,0.140753", \ - "0.008036,0.011078,0.014639,0.022055,0.038192,0.072132,0.140754", \ - "0.008342,0.011404,0.014922,0.022228,0.038277,0.072167,0.140766", \ - "0.008822,0.011962,0.015421,0.022487,0.038328,0.072198,0.140839"); - } - } - - timing () { - - related_pin : "CI"; - when : "A & !B"; - sdf_cond : "(A == 1'b1) && (B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.075093,0.079672,0.084221,0.091719,0.104172,0.125410,0.163621", \ - "0.076535,0.081115,0.085663,0.093160,0.105612,0.126851,0.165063", \ - "0.081171,0.085742,0.090285,0.097775,0.110227,0.131467,0.169679", \ - "0.088935,0.093430,0.097923,0.105380,0.117820,0.139052,0.177259", \ - "0.097569,0.101797,0.106115,0.113451,0.125804,0.146988,0.185169", \ - "0.105579,0.109507,0.113513,0.120526,0.132684,0.153704,0.191776", \ - "0.111841,0.115560,0.119349,0.125976,0.137957,0.158882,0.196848"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.102687,0.107568,0.112428,0.121058,0.138303,0.173837,0.245840", \ - "0.103726,0.108606,0.113467,0.122099,0.139344,0.174879,0.246881", \ - "0.108369,0.113243,0.118101,0.126729,0.143973,0.179506,0.251510", \ - "0.119267,0.124142,0.128994,0.137621,0.154863,0.190398,0.262401", \ - "0.137392,0.142244,0.147051,0.155641,0.172837,0.208329,0.280303", \ - "0.160282,0.165019,0.169659,0.177953,0.194903,0.230199,0.302043", \ - "0.184733,0.189427,0.193871,0.201736,0.218332,0.253422,0.325225"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.008877,0.010793,0.012948,0.016878,0.024183,0.038476,0.068001", \ - "0.008878,0.010791,0.012948,0.016878,0.024184,0.038475,0.068002", \ - "0.008879,0.010796,0.012954,0.016882,0.024186,0.038477,0.068003", \ - "0.008915,0.010828,0.012984,0.016900,0.024196,0.038483,0.068002", \ - "0.008776,0.010740,0.012936,0.016878,0.024188,0.038484,0.068007", \ - "0.008797,0.010736,0.012902,0.016818,0.024100,0.038355,0.067994", \ - "0.009059,0.010986,0.013132,0.017005,0.024244,0.038459,0.067861"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.007647,0.010750,0.014452,0.022102,0.038366,0.072287,0.140875", \ - "0.007650,0.010750,0.014453,0.022098,0.038368,0.072289,0.140876", \ - "0.007650,0.010751,0.014454,0.022099,0.038370,0.072287,0.140875", \ - "0.007657,0.010758,0.014460,0.022103,0.038371,0.072291,0.140877", \ - "0.007695,0.010795,0.014486,0.022114,0.038368,0.072290,0.140875", \ - "0.007911,0.011022,0.014699,0.022275,0.038463,0.072329,0.140893", \ - "0.008198,0.011312,0.014930,0.022386,0.038542,0.072511,0.141027"); - } - } - - timing () { - - related_pin : "CI"; - when : "A & B"; - sdf_cond : "(A == 1'b1) && (B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.052548,0.057523,0.062620,0.071135,0.084970,0.107699,0.147172", \ - "0.054150,0.059122,0.064216,0.072730,0.086564,0.109292,0.148763", \ - "0.059499,0.064459,0.069536,0.078021,0.091837,0.114554,0.154022", \ - "0.068839,0.073757,0.078783,0.087189,0.100949,0.123642,0.163106", \ - "0.081296,0.086237,0.091270,0.099731,0.113694,0.136547,0.176099", \ - "0.094777,0.099942,0.105126,0.113662,0.127743,0.151491,0.191959", \ - "0.109923,0.115405,0.120895,0.129863,0.144326,0.168591,0.209922"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.041684,0.047659,0.053851,0.064623,0.084022,0.120922,0.193667", \ - "0.042910,0.048873,0.055053,0.065808,0.085183,0.122060,0.194795", \ - "0.047376,0.053334,0.059502,0.070232,0.089560,0.126379,0.199079", \ - "0.056741,0.062647,0.068784,0.079443,0.098676,0.135419,0.208056", \ - "0.067482,0.073551,0.079744,0.090417,0.109707,0.146336,0.218856", \ - "0.076409,0.082890,0.089398,0.100183,0.119217,0.155877,0.228367", \ - "0.083442,0.090332,0.097346,0.108670,0.127720,0.164155,0.236619"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.008594,0.010839,0.013403,0.017923,0.025740,0.040195,0.069270", \ - "0.008589,0.010835,0.013396,0.017916,0.025735,0.040190,0.069269", \ - "0.008578,0.010810,0.013360,0.017876,0.025704,0.040173,0.069262", \ - "0.008536,0.010746,0.013273,0.017780,0.025636,0.040137,0.069247", \ - "0.009456,0.011457,0.013893,0.018414,0.026182,0.040469,0.069398", \ - "0.010883,0.012767,0.014929,0.019139,0.027339,0.042177,0.070519", \ - "0.012665,0.014542,0.016685,0.020668,0.028486,0.043495,0.072175"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.007912,0.011483,0.015518,0.023372,0.039460,0.073030,0.141504", \ - "0.007894,0.011453,0.015484,0.023330,0.039420,0.072998,0.141479", \ - "0.007877,0.011428,0.015451,0.023279,0.039345,0.072904,0.141419", \ - "0.007897,0.011437,0.015454,0.023279,0.039328,0.072879,0.141377", \ - "0.009028,0.012309,0.016150,0.023829,0.039665,0.072948,0.141369", \ - "0.010664,0.013863,0.017422,0.024570,0.040098,0.073366,0.141493", \ - "0.012529,0.015793,0.019354,0.025988,0.040709,0.073547,0.141880"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.016140,5.979089,5.976279,6.008078,6.161093,6.357282,6.469002", \ - "5.962152,5.955543,5.939648,5.985779,6.132186,6.320753,6.428612", \ - "5.933303,5.912277,5.902969,5.942218,6.096727,6.288555,6.395117", \ - "5.919211,5.909639,5.893849,5.927773,6.076694,6.263811,6.373503", \ - "5.976197,5.948475,5.930392,5.960741,6.099291,6.284814,6.386890", \ - "6.006859,5.998711,6.023054,6.107917,6.234040,6.400113,6.495782", \ - "6.104003,6.079660,6.068957,6.105177,6.285756,6.641428,6.752119"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.094552,1.263616,1.355487,1.423964,1.570338,1.556623,1.608725", \ - "1.055713,1.237882,1.321856,1.436862,1.528533,1.522706,1.511852", \ - "1.032852,1.205637,1.274681,1.401158,1.422433,1.554966,1.473189", \ - "1.040676,1.209980,1.287962,1.332220,1.416544,1.411768,1.397475", \ - "1.383883,1.495007,1.483724,1.445267,1.464816,1.588427,1.449790", \ - "1.780923,1.861801,1.912307,1.880474,1.644231,1.711506,1.720009", \ - "2.266517,2.320120,2.393985,2.353436,2.263051,2.017974,1.818212"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & CI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.083154,2.098880,2.143650,2.234644,2.315838,2.499678,2.528243", \ - "2.072996,2.091692,2.137260,2.231465,2.327289,2.481250,2.533357", \ - "2.040896,2.068994,2.107751,2.198961,2.289124,2.441634,2.467900", \ - "2.047311,2.075153,2.107408,2.190905,2.255597,2.414741,2.399408", \ - "2.143031,2.152970,2.176054,2.230955,2.318733,2.447524,2.470880", \ - "2.440624,2.420037,2.424877,2.439430,2.461582,2.563205,2.537526", \ - "2.828992,2.778726,2.784715,2.803326,2.806477,2.831616,2.739563"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.961428,4.971413,4.966820,4.983213,4.983060,4.949144,4.930094", \ - "4.957263,4.953850,4.944051,4.962122,4.964373,4.981787,5.021125", \ - "4.914211,4.923489,4.903802,4.928934,4.918113,4.845498,4.943713", \ - "4.946492,4.931919,4.930666,4.944911,4.933195,4.962816,4.866611", \ - "5.120767,5.092796,5.085508,5.048694,5.060514,4.984811,5.001736", \ - "5.493842,5.457301,5.396684,5.340062,5.340181,5.270587,5.255894", \ - "5.814642,5.790766,5.734650,5.704690,5.686215,5.550365,5.559452"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.287494,2.315754,2.371231,2.461292,2.598789,2.791836,2.828645", \ - "2.268160,2.302990,2.351883,2.457684,2.581543,2.821108,2.858632", \ - "2.240183,2.277725,2.332570,2.423396,2.530303,2.774718,2.813209", \ - "2.285595,2.312333,2.362024,2.437225,2.526687,2.759788,2.746776", \ - "2.439317,2.445898,2.481295,2.549371,2.644862,2.810119,2.840525", \ - "2.774372,2.746867,2.751810,2.781206,2.822495,3.017702,3.037315", \ - "3.228292,3.179286,3.173851,3.196980,3.226554,3.267921,3.211128"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.936688,4.943554,4.961449,4.979549,5.018858,4.999724,5.076312", \ - "4.924550,4.942421,4.942221,4.979388,4.998549,4.980681,4.999639", \ - "4.880369,4.905161,4.897009,4.947193,4.946740,5.045524,4.917484", \ - "4.854761,4.881272,4.891621,4.912024,4.934350,4.922759,4.915964", \ - "4.938600,4.936693,4.959144,4.973906,4.989675,4.993065,4.935223", \ - "5.097326,5.094738,5.100744,5.099285,5.147993,5.133480,5.156713", \ - "5.260253,5.236853,5.218484,5.242235,5.332875,5.343149,5.360342"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & CI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.673051,5.754930,5.847965,6.041949,6.310736,6.508221,6.597074", \ - "5.652224,5.720926,5.827023,6.026254,6.280435,6.481988,6.575264", \ - "5.631709,5.712378,5.816076,5.999675,6.268311,6.459267,6.556003", \ - "5.614340,5.683331,5.792047,5.975603,6.243328,6.444132,6.535008", \ - "5.723075,5.767573,5.866966,6.035199,6.272614,6.470375,6.564774", \ - "5.660397,5.735823,5.841213,6.054656,6.405831,6.609847,6.698098", \ - "5.921737,5.958173,6.009307,6.155120,6.439035,6.818145,6.999809"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.421942,1.530466,1.593646,1.654300,1.706530,1.711247,1.743530", \ - "1.368797,1.470389,1.506558,1.570631,1.602791,1.679432,1.634807", \ - "1.277545,1.385181,1.443456,1.501652,1.468576,1.555437,1.652301", \ - "1.273121,1.338905,1.420533,1.487372,1.497785,1.448606,1.499079", \ - "1.487124,1.529922,1.522958,1.492538,1.455446,1.487583,1.521275", \ - "1.823614,1.867004,1.894001,1.808976,1.643586,1.699380,1.596753", \ - "2.301278,2.318808,2.345462,2.330748,2.199241,2.042307,1.988309"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.598216,5.587518,5.577603,5.610963,5.777577,5.966064,6.082737", \ - "5.544319,5.536510,5.518361,5.572525,5.723666,5.908157,6.020109", \ - "5.525458,5.498855,5.487728,5.520889,5.681268,5.867267,5.978247", \ - "5.496511,5.489116,5.477205,5.508112,5.668468,5.851411,5.962608", \ - "5.622019,5.577773,5.552835,5.588387,5.725824,5.901034,6.002164", \ - "5.804922,5.802639,5.809387,5.822761,5.908490,6.087157,6.178272", \ - "6.033633,5.980442,5.959339,5.971556,6.133130,6.388056,6.480381"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.882036,1.026331,1.111509,1.170347,1.218420,1.179259,1.319828", \ - "0.888447,1.033732,1.087976,1.159889,1.156287,1.315577,1.352028", \ - "0.853653,1.000364,1.054511,1.152498,1.215476,1.283797,1.322098", \ - "0.876635,0.995634,1.089870,1.104969,1.174078,1.159462,1.200097", \ - "1.258489,1.320421,1.296803,1.204219,1.152859,1.277908,1.065594", \ - "1.619199,1.706249,1.716887,1.648212,1.502145,1.459344,1.487715", \ - "2.078455,2.162281,2.178339,2.169936,2.003167,1.717769,1.530298"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & CI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.381468,2.403382,2.445817,2.522037,2.625456,2.803620,2.763622", \ - "2.353293,2.377137,2.415135,2.503607,2.584336,2.769210,2.709817", \ - "2.328254,2.338414,2.388038,2.469998,2.568195,2.744453,2.685628", \ - "2.320142,2.332521,2.369060,2.440834,2.511324,2.713383,2.757964", \ - "2.380106,2.380125,2.411027,2.475239,2.548992,2.692978,2.695660", \ - "2.635665,2.612699,2.613828,2.635389,2.661499,2.795996,2.802540", \ - "2.984717,2.945697,2.938750,2.969295,2.959258,3.028980,2.970127"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.058959,5.060320,5.040451,5.075142,5.057509,5.063325,5.029006", \ - "5.042825,5.036131,5.025720,5.030618,5.043155,5.093669,5.115316", \ - "5.028664,5.031349,5.007823,5.042189,5.070846,5.036385,5.086423", \ - "5.027259,5.012550,5.009252,5.037871,5.044280,5.065634,5.097246", \ - "5.124013,5.101925,5.092073,5.098815,5.076577,5.084733,5.113969", \ - "5.333892,5.308164,5.283761,5.267041,5.248139,5.261511,5.115154", \ - "5.492971,5.467047,5.454962,5.444329,5.470886,5.421996,5.428832"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !CI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.252666,2.281393,2.332548,2.423645,2.554485,2.764674,2.698436", \ - "2.214426,2.249315,2.301154,2.390784,2.522931,2.735342,2.749583", \ - "2.193365,2.222311,2.273573,2.360475,2.465659,2.719588,2.708399", \ - "2.202733,2.242066,2.285041,2.362334,2.465209,2.694055,2.730868", \ - "2.300647,2.322125,2.354214,2.436566,2.529176,2.743065,2.776853", \ - "2.569274,2.564454,2.581668,2.625606,2.674610,2.847306,2.817836", \ - "2.919577,2.893921,2.907911,2.933012,2.986231,3.082455,3.097797"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.263173,5.280866,5.276775,5.301677,5.293788,5.283016,5.245773", \ - "5.257391,5.250828,5.266190,5.285245,5.271603,5.339165,5.357652", \ - "5.232990,5.239669,5.241347,5.270602,5.298692,5.261962,5.295621", \ - "5.221569,5.237957,5.240850,5.224216,5.230291,5.273267,5.307193", \ - "5.288792,5.274762,5.265869,5.263077,5.316262,5.280278,5.312566", \ - "5.464145,5.462464,5.425814,5.388545,5.383854,5.300965,5.303898", \ - "5.633736,5.611528,5.589518,5.592477,5.624952,5.624873,5.613378"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & CI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.348856,5.435464,5.535823,5.746032,5.995539,6.186376,6.254843", \ - "5.334787,5.424723,5.537952,5.730115,5.988913,6.172089,6.241839", \ - "5.304099,5.382748,5.488992,5.686800,5.942980,6.131685,6.201705", \ - "5.277300,5.364033,5.471321,5.654975,5.915857,6.100601,6.174566", \ - "5.380111,5.461623,5.549173,5.719777,5.949182,6.128899,6.202918", \ - "5.326939,5.396198,5.488059,5.695508,6.076096,6.277491,6.346036", \ - "5.573605,5.616721,5.650218,5.796359,6.084634,6.463413,6.653696"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.296844,1.431595,1.483474,1.505905,1.621427,1.679117,1.729760", \ - "1.223437,1.340777,1.407060,1.451308,1.536678,1.569490,1.584905", \ - "1.149449,1.259037,1.302774,1.390993,1.446508,1.477161,1.497179", \ - "1.143622,1.232999,1.297705,1.319173,1.361558,1.436683,1.290430", \ - "1.406711,1.404143,1.417028,1.452057,1.393966,1.515903,1.455451", \ - "1.824628,1.848989,1.841112,1.792673,1.690715,1.554883,1.584894", \ - "2.379402,2.355733,2.344167,2.304577,2.208270,1.909427,1.882577"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "!A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.974688,4.945194,4.934078,4.974656,5.137647,5.328059,5.442378", \ - "4.932334,4.901581,4.889674,4.927258,5.079245,5.272177,5.386664", \ - "4.838149,4.819268,4.812126,4.850781,5.013552,5.194606,5.307538", \ - "4.881518,4.872860,4.859335,4.896599,5.049138,5.231914,5.340586", \ - "5.118463,5.090759,5.067525,5.096859,5.229005,5.398937,5.504033", \ - "5.569621,5.525717,5.506518,5.505937,5.589061,5.730286,5.811543", \ - "6.091488,6.014962,5.943902,5.888436,5.983631,6.189068,6.258490"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("1.275601,1.457548,1.571282,1.685351,1.783773,1.705776,1.705728", \ - "1.274460,1.466676,1.560314,1.632804,1.787304,1.772350,1.797241", \ - "1.225668,1.418757,1.533613,1.638265,1.655244,1.772752,1.750171", \ - "1.261861,1.396336,1.476285,1.612606,1.579089,1.660654,1.549173", \ - "1.534162,1.641156,1.676072,1.676825,1.705437,1.786573,1.788751", \ - "1.863235,1.952916,2.033849,2.032665,1.941686,1.962835,2.021986", \ - "2.284307,2.375941,2.451197,2.508634,2.417782,2.304363,2.185333"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "!A & B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.745502,2.758295,2.803001,2.877092,2.972611,3.159105,3.202496", \ - "2.749798,2.763212,2.812449,2.892320,2.970393,3.134655,3.177883", \ - "2.751179,2.765957,2.807726,2.883418,2.984635,3.150767,3.154241", \ - "2.804744,2.813736,2.842965,2.916435,2.970056,3.142044,3.184291", \ - "2.955759,2.945558,2.962649,3.020816,3.074507,3.242551,3.271803", \ - "3.218939,3.176893,3.186650,3.211451,3.236522,3.416976,3.276617", \ - "3.637833,3.574175,3.569620,3.589730,3.600041,3.647638,3.662013"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.520811,4.538959,4.544517,4.577370,4.619438,4.643113,4.625695", \ - "4.500376,4.520209,4.524817,4.526407,4.590915,4.632118,4.618241", \ - "4.473118,4.493589,4.497526,4.535526,4.577721,4.581740,4.571981", \ - "4.515580,4.534283,4.538498,4.559920,4.604678,4.574310,4.570441", \ - "4.715324,4.711526,4.699905,4.701752,4.719093,4.689685,4.711602", \ - "5.080365,5.046799,5.009818,4.948811,4.990758,4.980868,4.872045", \ - "5.448557,5.396849,5.368044,5.315370,5.272295,5.233972,5.270381"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.447959,2.463922,2.510388,2.596912,2.691260,2.847346,2.774192", \ - "2.449475,2.471874,2.511036,2.597676,2.664143,2.824613,2.769836", \ - "2.433113,2.460870,2.496763,2.584785,2.653032,2.847995,2.879257", \ - "2.494996,2.502208,2.537681,2.602974,2.659722,2.805005,2.745439", \ - "2.664268,2.655266,2.665575,2.715510,2.777591,2.920931,2.806062", \ - "3.020384,2.972384,2.965902,2.975260,3.014779,3.075181,3.103268", \ - "3.521018,3.437446,3.415592,3.405748,3.433391,3.398810,3.399577"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.697565,4.713179,4.712547,4.735019,4.749686,4.717847,4.751014", \ - "4.690470,4.695168,4.711925,4.694791,4.721402,4.712673,4.723050", \ - "4.671066,4.679399,4.681243,4.681016,4.696290,4.741067,4.698809", \ - "4.705833,4.699205,4.714441,4.731403,4.760855,4.689270,4.699143", \ - "4.875928,4.878637,4.869163,4.839998,4.830914,4.789519,4.820247", \ - "5.197246,5.194315,5.158010,5.108258,5.055341,5.098556,5.030151", \ - "5.553792,5.511314,5.448589,5.388846,5.374351,5.300525,5.268439"); - } - } - - internal_power () { - - related_pin : "CI"; - when : "A & B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.261350,4.375630,4.509217,4.738994,5.049736,5.304484,5.418396", \ - "4.230068,4.343582,4.480933,4.699239,5.014907,5.279270,5.389444", \ - "4.160749,4.273454,4.405061,4.638808,4.942647,5.206990,5.317603", \ - "4.109588,4.227028,4.354106,4.578511,4.899836,5.159524,5.274971", \ - "4.159253,4.289970,4.407665,4.613914,4.929199,5.187057,5.305464", \ - "4.246483,4.301885,4.390850,4.591949,4.967301,5.307412,5.451710", \ - "4.589749,4.618361,4.640843,4.775279,5.076064,5.472170,5.753006"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.113357,2.217224,2.277984,2.316590,2.380154,2.462130,2.501505", \ - "2.078357,2.196056,2.240455,2.314087,2.374283,2.399404,2.438506", \ - "2.052741,2.147694,2.201614,2.267631,2.323579,2.348159,2.387391", \ - "2.096528,2.180615,2.239063,2.278699,2.292890,2.318982,2.264562", \ - "2.398166,2.401006,2.411619,2.463554,2.350692,2.360302,2.381003", \ - "2.871485,2.897612,2.909037,2.848111,2.748135,2.654686,2.654196", \ - "3.547651,3.475829,3.505304,3.477113,3.312847,3.185106,3.156226"); - } - } - } - - } - - - /****************************************************************************************** - Module : FILLCELL_X1 - Cell Description : Physical cell (FILLCELL_X1) - *******************************************************************************************/ - - cell (FILLCELL_X1) { - - drive_strength : 1; - - area : 0.266000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X2 - Cell Description : Physical cell (FILLCELL_X2) - *******************************************************************************************/ - - cell (FILLCELL_X2) { - - drive_strength : 2; - - area : 0.266000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X4 - Cell Description : Physical cell (FILLCELL_X4) - *******************************************************************************************/ - - cell (FILLCELL_X4) { - - drive_strength : 4; - - area : 1.064000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X8 - Cell Description : Physical cell (FILLCELL_X8) - *******************************************************************************************/ - - cell (FILLCELL_X8) { - - drive_strength : 8; - - area : 2.128000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X16 - Cell Description : Physical cell (FILLCELL_X16) - *******************************************************************************************/ - - cell (FILLCELL_X16) { - - drive_strength : 16; - - area : 4.256000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : FILLCELL_X32 - Cell Description : Physical cell (FILLCELL_X32) - *******************************************************************************************/ - - cell (FILLCELL_X32) { - - drive_strength : 32; - - area : 8.512000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - } - - - /****************************************************************************************** - Module : HA_X1 - Cell Description : Combinational cell (HA_X1) with drive strength X1 - *******************************************************************************************/ - - cell (HA_X1) { - - drive_strength : 1; - - area : 2.660000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 61.229735; - - leakage_power () { - when : "!A & !B"; - value : 46.718540; - } - leakage_power () { - when : "!A & B"; - value : 73.813630; - } - leakage_power () { - when : "A & !B"; - value : 44.029348; - } - leakage_power () { - when : "A & B"; - value : 80.357420; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.185865; - fall_capacitance : 3.056824; - rise_capacitance : 3.185865; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.447793; - fall_capacitance : 3.341749; - rise_capacitance : 3.447793; - } - - pin (CO) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "(A & B)"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0212368,0.0247517,0.0282220,0.0340302,0.0441229,0.0628635,0.0996121", \ - "0.0227675,0.0262787,0.0297488,0.0355584,0.0456526,0.0643929,0.101150", \ - "0.0291042,0.0325834,0.0360418,0.0418555,0.0519596,0.0707096,0.107464", \ - "0.0395278,0.0433227,0.0470228,0.0530826,0.0633121,0.0820750,0.118799", \ - "0.0502523,0.0545230,0.0586849,0.0653526,0.0761830,0.0952797,0.131995", \ - "0.0615522,0.0662806,0.0709221,0.0783101,0.0899353,0.109514,0.146382", \ - "0.0736544,0.0788295,0.0839467,0.0921322,0.104814,0.125274,0.162433"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0223428,0.0272800,0.0325318,0.0421642,0.0606141,0.0970925,0.169855", \ - "0.0235934,0.0285309,0.0337801,0.0434111,0.0618624,0.0983402,0.171105", \ - "0.0286373,0.0335393,0.0387499,0.0483301,0.0667531,0.103235,0.176015", \ - "0.0354232,0.0405464,0.0458349,0.0554563,0.0737925,0.110186,0.182932", \ - "0.0408044,0.0464810,0.0520218,0.0616752,0.0799748,0.116361,0.189000", \ - "0.0447088,0.0509819,0.0571204,0.0671590,0.0854311,0.121632,0.194288", \ - "0.0470582,0.0538286,0.0606532,0.0715590,0.0901412,0.126306,0.198813"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00438222,0.00601208,0.00787984,0.0114794,0.0187663,0.0340307,0.0656611", \ - "0.00438184,0.00601340,0.00787957,0.0114804,0.0187669,0.0340307,0.0656614", \ - "0.00442102,0.00605680,0.00791651,0.0115025,0.0187765,0.0340326,0.0656614", \ - "0.00577020,0.00723085,0.00890448,0.0121876,0.0190913,0.0341143,0.0656680", \ - "0.00758844,0.00907588,0.0107268,0.0138546,0.0203423,0.0347380,0.0657595", \ - "0.00958365,0.0111334,0.0128416,0.0159270,0.0220227,0.0356772,0.0662314", \ - "0.0118620,0.0134745,0.0152800,0.0184611,0.0243648,0.0372281,0.0668373"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00558144,0.00877400,0.0126818,0.0207796,0.0376896,0.0721609,0.141305", \ - "0.00558358,0.00877205,0.0126811,0.0207770,0.0376878,0.0721640,0.141305", \ - "0.00562196,0.00880842,0.0127127,0.0207949,0.0376896,0.0721583,0.141299", \ - "0.00659917,0.00952757,0.0132517,0.0211162,0.0377635,0.0721656,0.141316", \ - "0.00807338,0.0108969,0.0142063,0.0216066,0.0381099,0.0723252,0.141298", \ - "0.00981314,0.0128389,0.0160038,0.0226715,0.0384452,0.0725614,0.141479", \ - "0.0118858,0.0150772,0.0184764,0.0246622,0.0394064,0.0729147,0.141733"); - } - } - - timing () { - - related_pin : "B"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0236774,0.0272559,0.0307877,0.0366820,0.0468690,0.0656869,0.102490", \ - "0.0252364,0.0288142,0.0323459,0.0382416,0.0484302,0.0672491,0.104050", \ - "0.0316519,0.0352070,0.0387281,0.0446244,0.0548204,0.0736468,0.110455", \ - "0.0430402,0.0468186,0.0505005,0.0565406,0.0668059,0.0856365,0.122429", \ - "0.0551035,0.0593563,0.0634831,0.0701014,0.0809045,0.100018,0.136776", \ - "0.0679028,0.0725852,0.0771573,0.0844213,0.0959160,0.115439,0.152332", \ - "0.0818502,0.0869336,0.0919272,0.0998623,0.112207,0.132368,0.169401"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0239339,0.0288712,0.0341233,0.0437569,0.0622100,0.0986859,0.171447", \ - "0.0252422,0.0301782,0.0354285,0.0450613,0.0635164,0.0999972,0.172758", \ - "0.0291060,0.0340262,0.0392562,0.0488618,0.0673024,0.103790,0.176566", \ - "0.0345716,0.0396497,0.0449553,0.0546075,0.0730148,0.109466,0.182237", \ - "0.0395660,0.0449800,0.0504657,0.0601952,0.0786002,0.115040,0.187751", \ - "0.0431279,0.0490651,0.0549409,0.0649664,0.0834538,0.119819,0.192524", \ - "0.0449311,0.0513935,0.0578370,0.0684359,0.0872584,0.123771,0.196427"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00460344,0.00622514,0.00808139,0.0116640,0.0189202,0.0341384,0.0657309", \ - "0.00460497,0.00622522,0.00808313,0.0116653,0.0189202,0.0341392,0.0657293", \ - "0.00462173,0.00624856,0.00810406,0.0116787,0.0189265,0.0341406,0.0657316", \ - "0.00570531,0.00715627,0.00883417,0.0121494,0.0191438,0.0342020,0.0657377", \ - "0.00747876,0.00893315,0.0105673,0.0137175,0.0202701,0.0347232,0.0658162", \ - "0.00933544,0.0108358,0.0125040,0.0155810,0.0217563,0.0355703,0.0662285", \ - "0.0113323,0.0128750,0.0146002,0.0177249,0.0236543,0.0367419,0.0666836"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00558409,0.00877283,0.0126819,0.0207798,0.0376869,0.0721564,0.141299", \ - "0.00558386,0.00877321,0.0126822,0.0207798,0.0376847,0.0721539,0.141305", \ - "0.00560569,0.00879124,0.0126970,0.0207871,0.0376890,0.0721569,0.141307", \ - "0.00611486,0.00923577,0.0130507,0.0209989,0.0377363,0.0721583,0.141311", \ - "0.00706355,0.0100916,0.0137173,0.0213993,0.0379684,0.0722667,0.141312", \ - "0.00837720,0.0114825,0.0149333,0.0222186,0.0383364,0.0724252,0.141406", \ - "0.00991486,0.0132150,0.0167051,0.0236025,0.0391977,0.0728544,0.141577"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.990556,3.102801,3.124983,3.156359,3.180785,3.122009,3.150528", \ - "2.921881,3.036186,3.080311,3.113119,3.140949,3.171280,3.140336", \ - "2.906752,3.002117,3.048266,3.044514,3.103846,3.139816,3.136155", \ - "3.164532,3.215883,3.217637,3.201600,3.189361,3.216218,3.212240", \ - "3.516609,3.634512,3.618948,3.536699,3.491977,3.398017,3.410782", \ - "4.213009,4.226096,4.183418,4.155475,4.012571,3.847565,3.791714", \ - "5.252636,5.174359,5.058896,4.947803,4.786177,4.557131,4.369486"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.992257,1.049847,1.093888,1.095831,1.075353,1.127037,1.104980", \ - "0.972163,1.045780,1.074658,1.114907,1.090910,1.164212,1.089857", \ - "0.984041,1.035016,1.051267,1.089818,1.082034,1.094269,1.145780", \ - "1.190710,1.182936,1.177971,1.172762,1.157822,1.111042,1.056679", \ - "1.668036,1.631450,1.549694,1.450124,1.344178,1.326429,1.306434", \ - "2.375631,2.304560,2.209443,2.050822,1.831349,1.710182,1.666165", \ - "3.272535,3.176304,3.083028,2.853778,2.610170,2.263839,2.120568"); - } - } - - internal_power () { - - related_pin : "B"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.694930,3.751278,3.775709,3.783147,3.805685,3.832267,3.778299", \ - "3.632594,3.713105,3.731778,3.744296,3.794859,3.795640,3.827412", \ - "3.639189,3.698924,3.730745,3.741013,3.768415,3.809758,3.814972", \ - "3.895111,3.916906,3.908368,3.898062,3.850062,3.881746,3.900263", \ - "4.281485,4.377101,4.315512,4.250735,4.207350,4.149247,4.121414", \ - "4.910877,4.940445,4.924100,4.877231,4.713000,4.609368,4.477912", \ - "5.899253,5.853159,5.765068,5.706879,5.558912,5.329120,5.119710"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.866315,0.940117,0.966788,0.986430,0.981973,0.959955,0.984916", \ - "0.826139,0.895815,0.942522,0.962707,0.997940,1.019064,0.988599", \ - "0.760791,0.835987,0.880471,0.891808,0.898450,0.954964,0.888300", \ - "0.867205,0.874678,0.881393,0.901928,0.953244,0.951222,0.869700", \ - "1.218881,1.205042,1.155687,1.062573,1.058978,1.068878,1.055167", \ - "1.742639,1.719959,1.655851,1.537917,1.346563,1.317418,1.275680", \ - "2.407888,2.368100,2.295816,2.195045,1.967305,1.714349,1.677659"); - } - } - } - - pin (S) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.253300; - function : "(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.045019,0.045946,0.047595,0.050621,0.056024,0.065570,0.082588", \ - "0.045523,0.046461,0.048123,0.051165,0.056594,0.066162,0.083197", \ - "0.050723,0.051667,0.053328,0.056389,0.061834,0.071420,0.088462", \ - "0.062459,0.063419,0.065109,0.068208,0.073714,0.083346,0.100409", \ - "0.077638,0.078699,0.080556,0.083920,0.089745,0.099745,0.117088", \ - "0.094544,0.095721,0.097757,0.101413,0.107675,0.118139,0.135929", \ - "0.113653,0.114945,0.117176,0.121180,0.127965,0.139093,0.157465"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.037554,0.039665,0.043552,0.051244,0.066617,0.097697,0.160665", \ - "0.038997,0.041118,0.045033,0.052793,0.068266,0.099425,0.162398", \ - "0.042617,0.044785,0.048799,0.056772,0.072623,0.104229,0.167516", \ - "0.046396,0.048537,0.052523,0.060614,0.076666,0.108579,0.172206", \ - "0.048916,0.051116,0.055169,0.063195,0.079140,0.110865,0.174743", \ - "0.048888,0.051160,0.055283,0.063373,0.079390,0.111279,0.174708", \ - "0.045764,0.048182,0.052501,0.060753,0.076804,0.108763,0.172512"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.009669,0.010220,0.011182,0.012980,0.016289,0.022476,0.034631", \ - "0.009678,0.010227,0.011193,0.012985,0.016292,0.022477,0.034630", \ - "0.009702,0.010250,0.011205,0.012993,0.016289,0.022472,0.034628", \ - "0.010034,0.010558,0.011470,0.013201,0.016433,0.022556,0.034672", \ - "0.011084,0.011611,0.012521,0.014226,0.017399,0.023413,0.035250", \ - "0.012617,0.013151,0.014069,0.015739,0.018764,0.024469,0.036050", \ - "0.014496,0.015065,0.016025,0.017741,0.020748,0.026240,0.037250"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.015498,0.017493,0.021192,0.028583,0.043329,0.072732,0.131422", \ - "0.015502,0.017490,0.021190,0.028585,0.043326,0.072738,0.131415", \ - "0.015527,0.017511,0.021203,0.028586,0.043325,0.072731,0.131423", \ - "0.014593,0.016683,0.020539,0.028252,0.043328,0.072730,0.131409", \ - "0.014134,0.016018,0.019571,0.026804,0.041598,0.071820,0.131401", \ - "0.014768,0.016527,0.019917,0.026932,0.041353,0.070538,0.130099", \ - "0.016101,0.017733,0.020875,0.027516,0.041634,0.070629,0.129017"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.007859,0.008766,0.010438,0.013755,0.020344,0.033474,0.059699", \ - "0.009178,0.010099,0.011796,0.015146,0.021774,0.034938,0.061188", \ - "0.012799,0.014098,0.016309,0.020172,0.026813,0.039944,0.066183", \ - "0.014629,0.016517,0.019752,0.025428,0.034890,0.049905,0.075928", \ - "0.014049,0.016559,0.020818,0.028303,0.040820,0.060815,0.091575", \ - "0.010745,0.013878,0.019191,0.028514,0.044098,0.069021,0.107590", \ - "0.004511,0.008221,0.014573,0.025737,0.044440,0.074329,0.120640"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.016654,0.018942,0.023127,0.031327,0.047474,0.079496,0.143325", \ - "0.017326,0.019626,0.023861,0.032181,0.048504,0.080705,0.144671", \ - "0.022944,0.025005,0.028958,0.037006,0.053153,0.085342,0.149417", \ - "0.032113,0.034946,0.039855,0.048632,0.064198,0.095748,0.159379", \ - "0.042456,0.045917,0.051987,0.062998,0.081978,0.113748,0.176327", \ - "0.054569,0.058612,0.065708,0.078633,0.101254,0.138967,0.201713", \ - "0.068678,0.073308,0.081405,0.096111,0.121939,0.165644,0.236321"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.004564,0.005324,0.006747,0.009590,0.015269,0.026628,0.049348", \ - "0.004562,0.005323,0.006746,0.009588,0.015270,0.026628,0.049345", \ - "0.007068,0.007674,0.008745,0.010688,0.015423,0.026628,0.049348", \ - "0.011756,0.012549,0.013942,0.016450,0.020811,0.028788,0.049345", \ - "0.018056,0.019048,0.020785,0.023891,0.029281,0.038300,0.054081", \ - "0.026021,0.027222,0.029338,0.033105,0.039513,0.050227,0.067712", \ - "0.035617,0.037083,0.039623,0.044115,0.051668,0.064038,0.084226"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.012649,0.014651,0.018349,0.025692,0.040333,0.069588,0.128061", \ - "0.012607,0.014631,0.018347,0.025697,0.040331,0.069578,0.128056", \ - "0.013071,0.014766,0.018161,0.025651,0.040341,0.069582,0.128055", \ - "0.018132,0.019824,0.022792,0.028056,0.040613,0.069592,0.128054", \ - "0.023833,0.025854,0.029416,0.035903,0.047053,0.070885,0.128081", \ - "0.030781,0.033024,0.037030,0.044520,0.057795,0.080040,0.129217", \ - "0.039327,0.041734,0.046057,0.054261,0.069225,0.094814,0.138835"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.049264,0.050203,0.051855,0.054895,0.060307,0.069851,0.086857", \ - "0.050630,0.051577,0.053248,0.056302,0.061738,0.071305,0.088327", \ - "0.055845,0.056794,0.058467,0.061538,0.066996,0.076582,0.093614", \ - "0.064841,0.065802,0.067491,0.070591,0.076095,0.085732,0.102800", \ - "0.076648,0.077704,0.079533,0.082852,0.088667,0.098659,0.116000", \ - "0.091262,0.092393,0.094360,0.097922,0.104126,0.114580,0.132449", \ - "0.108778,0.109998,0.112114,0.115944,0.122518,0.133547,0.152051"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.034287,0.036514,0.040612,0.048696,0.064680,0.096480,0.160100", \ - "0.035736,0.037960,0.042057,0.050152,0.066171,0.098012,0.161637", \ - "0.040674,0.042884,0.046962,0.055055,0.071139,0.103138,0.166909", \ - "0.046191,0.048344,0.052336,0.060434,0.076543,0.108613,0.172515", \ - "0.050339,0.052533,0.056565,0.064525,0.080363,0.112165,0.176137", \ - "0.051965,0.054229,0.058331,0.066351,0.082233,0.113939,0.177442", \ - "0.050714,0.053125,0.057400,0.065566,0.081474,0.113190,0.176715"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.009613,0.010152,0.011114,0.012910,0.016222,0.022423,0.034596", \ - "0.009594,0.010140,0.011096,0.012890,0.016202,0.022407,0.034588", \ - "0.009606,0.010151,0.011107,0.012892,0.016202,0.022402,0.034585", \ - "0.009865,0.010393,0.011316,0.013064,0.016314,0.022469,0.034619", \ - "0.010517,0.011058,0.012009,0.013766,0.017018,0.023126,0.035078", \ - "0.011478,0.012038,0.012998,0.014773,0.018003,0.024010,0.035788", \ - "0.012813,0.013389,0.014380,0.016185,0.019445,0.025411,0.036977"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.012823,0.014764,0.018401,0.025708,0.040348,0.069618,0.128130", \ - "0.012825,0.014762,0.018398,0.025706,0.040345,0.069618,0.128136", \ - "0.012840,0.014781,0.018410,0.025711,0.040346,0.069625,0.128138", \ - "0.012608,0.014564,0.018243,0.025648,0.040352,0.069626,0.128125", \ - "0.013089,0.014903,0.018344,0.025395,0.039797,0.069372,0.128134", \ - "0.013948,0.015648,0.018939,0.025826,0.040087,0.068973,0.127745", \ - "0.015281,0.016864,0.019933,0.026468,0.040474,0.069327,0.127333"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.009194,0.010095,0.011761,0.015069,0.021650,0.034774,0.060999", \ - "0.010538,0.011465,0.013166,0.016517,0.023141,0.036301,0.062551", \ - "0.013609,0.014736,0.016717,0.020404,0.027172,0.040416,0.066729", \ - "0.015953,0.017566,0.020320,0.025168,0.033466,0.047897,0.074426", \ - "0.016074,0.018290,0.022059,0.028608,0.039426,0.056854,0.086023", \ - "0.013470,0.016329,0.021195,0.029617,0.043419,0.065097,0.099010", \ - "0.007906,0.011429,0.017411,0.027762,0.044742,0.071218,0.111500"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.021461,0.023677,0.027771,0.035884,0.051976,0.083990,0.147849", \ - "0.022279,0.024523,0.028665,0.036852,0.053036,0.085138,0.149061", \ - "0.027722,0.029869,0.033884,0.041925,0.057991,0.090048,0.153988", \ - "0.038679,0.041204,0.045636,0.053673,0.069202,0.100712,0.164216", \ - "0.050956,0.054085,0.059625,0.069828,0.087730,0.118876,0.181391", \ - "0.065025,0.068678,0.075178,0.087222,0.108629,0.144892,0.206977", \ - "0.081367,0.085520,0.092897,0.106568,0.131037,0.173084,0.242019"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.004564,0.005327,0.006747,0.009587,0.015268,0.026628,0.049347", \ - "0.004563,0.005325,0.006745,0.009588,0.015270,0.026629,0.049344", \ - "0.005727,0.006387,0.007635,0.010089,0.015362,0.026628,0.049345", \ - "0.008933,0.009595,0.010786,0.013116,0.017851,0.027686,0.049356", \ - "0.013666,0.014455,0.015831,0.018354,0.023030,0.032274,0.051647", \ - "0.019642,0.020585,0.022222,0.025190,0.030378,0.039708,0.058164", \ - "0.026688,0.027825,0.029772,0.033302,0.039317,0.049489,0.067867"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.015425,0.017434,0.021158,0.028564,0.043307,0.072690,0.131313", \ - "0.015410,0.017425,0.021154,0.028563,0.043306,0.072678,0.131316", \ - "0.015291,0.017231,0.021059,0.028540,0.043301,0.072683,0.131322", \ - "0.019641,0.021300,0.024015,0.029928,0.043305,0.072680,0.131318", \ - "0.025329,0.027373,0.030936,0.037362,0.048584,0.073488,0.131315", \ - "0.031584,0.034009,0.038246,0.045934,0.059231,0.081705,0.132103", \ - "0.038681,0.041428,0.046242,0.055043,0.070470,0.096169,0.140907"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("5.441147,5.461436,5.497564,5.539735,5.581332,5.630311,5.632026", \ - "5.371845,5.405089,5.450105,5.479421,5.531916,5.583055,5.588299", \ - "5.362389,5.396194,5.446539,5.489160,5.557670,5.598153,5.597893", \ - "5.425775,5.468679,5.521265,5.563125,5.658252,5.732549,5.737436", \ - "5.514248,5.546276,5.636385,5.775326,5.899840,5.990818,6.013850", \ - "5.718782,5.735526,5.793821,5.911104,6.076434,6.285837,6.438341", \ - "6.224761,6.253921,6.291053,6.382195,6.527043,6.711756,6.874264"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("3.472932,3.463679,3.445382,3.429428,3.331692,3.198970,3.129998", \ - "3.462396,3.450657,3.435653,3.426831,3.370289,3.201191,3.175453", \ - "3.268089,3.261509,3.280315,3.271749,3.271813,3.237470,3.163252", \ - "3.213299,3.222374,3.225724,3.250569,3.257578,3.267202,3.254089", \ - "3.468310,3.451711,3.412655,3.384771,3.444766,3.411668,3.388900", \ - "3.969483,3.985689,3.979534,3.946549,3.856110,3.871284,3.839331", \ - "4.561088,4.582195,4.602147,4.641180,4.604784,4.452199,4.447573"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.992257,1.013697,1.050840,1.083443,1.097280,1.131520,1.107660", \ - "0.972163,0.997975,1.034945,1.060927,1.103215,1.101221,1.154480", \ - "0.984041,0.995587,1.033609,1.054344,1.076687,1.124935,1.109055", \ - "1.190710,1.189753,1.177961,1.178285,1.163115,1.177162,1.119106", \ - "1.668036,1.661467,1.642844,1.567656,1.482643,1.399125,1.358447", \ - "2.375631,2.358000,2.325339,2.239046,2.091844,1.860129,1.757323", \ - "3.272535,3.232093,3.168085,3.085490,2.950996,2.699323,2.354080"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("2.990557,3.038188,3.072143,3.109279,3.149497,3.173529,3.130614", \ - "2.921882,2.971978,3.014853,3.053762,3.098530,3.131643,3.161070", \ - "2.906753,2.948543,2.998596,3.032729,3.055401,3.088194,3.127058", \ - "3.164533,3.193025,3.208355,3.190502,3.182108,3.204822,3.205673", \ - "3.516610,3.577917,3.631543,3.624225,3.534089,3.497299,3.455619", \ - "4.213011,4.230546,4.231166,4.200205,4.136660,4.017163,3.930773", \ - "5.252638,5.237386,5.203663,5.081094,4.964289,4.832724,4.670673"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("5.754203,5.778908,5.821363,5.856021,5.924163,5.976945,5.986264", \ - "5.665935,5.698530,5.761729,5.798903,5.876554,5.932245,5.940890", \ - "5.620109,5.650042,5.707928,5.761753,5.828770,5.902168,5.907583", \ - "5.531789,5.569658,5.633672,5.699429,5.785122,5.877872,5.903219", \ - "5.407756,5.468500,5.542175,5.679549,5.838456,5.949315,5.994885", \ - "5.402281,5.473431,5.550481,5.657468,5.831977,6.075000,6.263616", \ - "5.759810,5.786973,5.860242,5.975527,6.144257,6.347039,6.556306"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("2.889302,2.898982,2.922894,2.927872,2.917847,2.942193,2.880006", \ - "2.863967,2.873470,2.877984,2.903746,2.922512,2.887061,2.831042", \ - "2.792251,2.802904,2.830578,2.827259,2.865750,2.889456,2.789880", \ - "2.810905,2.817332,2.847149,2.873798,2.896819,2.928012,2.837473", \ - "3.183329,3.162283,3.110864,3.036295,3.047363,3.077313,3.027275", \ - "3.736724,3.713528,3.683353,3.641963,3.500689,3.413023,3.495989", \ - "4.368946,4.350346,4.366068,4.336119,4.264984,4.037677,4.017863"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("0.866316,0.900874,0.927784,0.952225,0.979847,1.002474,1.021234", \ - "0.826140,0.844366,0.889822,0.927192,0.939531,0.979008,1.013335", \ - "0.760792,0.797560,0.826211,0.868489,0.904241,0.951258,0.976798", \ - "0.867206,0.876873,0.876215,0.886215,0.914451,0.927543,0.940940", \ - "1.218882,1.228091,1.208052,1.173907,1.082927,1.056967,1.039436", \ - "1.742640,1.738113,1.724907,1.670582,1.567465,1.428170,1.317782", \ - "2.407888,2.390475,2.369758,2.341543,2.228338,2.058726,1.823841"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); - values ("3.694934,3.717922,3.735804,3.781350,3.772995,3.806503,3.823550", \ - "3.632598,3.657696,3.685647,3.736495,3.727707,3.777023,3.786199", \ - "3.639193,3.665855,3.703758,3.701241,3.704104,3.734958,3.772266", \ - "3.895115,3.908798,3.925982,3.902374,3.868300,3.845557,3.863251", \ - "4.281491,4.320250,4.374066,4.341703,4.267604,4.191301,4.150647", \ - "4.910882,4.932985,4.937615,4.917181,4.887103,4.785296,4.625187", \ - "5.899258,5.888433,5.856103,5.781026,5.703501,5.598843,5.369215"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X1 - Cell Description : Combinational cell (INV_X1) with drive strength X1 - *******************************************************************************************/ - - cell (INV_X1) { - - drive_strength : 1; - - area : 0.532000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 14.353185; - - leakage_power () { - when : "!A"; - value : 10.102224; - } - leakage_power () { - when : "A"; - value : 18.604146; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.700230; - fall_capacitance : 1.549360; - rise_capacitance : 1.700230; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.730000; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00334769,0.00529785,0.00763425,0.0122592,0.0214710,0.0398747,0.0766650", \ - "0.00461096,0.00678237,0.00912396,0.0137631,0.0229885,0.0413991,0.0781923", \ - "0.00565781,0.00963029,0.0133910,0.0192072,0.0284937,0.0468495,0.0836153", \ - "0.00501217,0.0107451,0.0162361,0.0248924,0.0380191,0.0575991,0.0941587", \ - "0.00228759,0.00977055,0.0169885,0.0284204,0.0459573,0.0721436,0.111006", \ - "-0.00275926,0.00641510,0.0153503,0.0295626,0.0514378,0.0844139,0.133051", \ - "-0.0102639,0.000468768,0.0110680,0.0280603,0.0542902,0.0939467,0.152970"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00558495,0.00952547,0.0142069,0.0234111,0.0416815,0.0781322,0.150988", \ - "0.00726612,0.0110313,0.0156990,0.0249513,0.0432921,0.0797973,0.152683", \ - "0.0117593,0.0172024,0.0222810,0.0312450,0.0494226,0.0858830,0.158767", \ - "0.0169697,0.0245178,0.0319657,0.0437440,0.0621260,0.0981372,0.170748", \ - "0.0234502,0.0327927,0.0422113,0.0575993,0.0814250,0.118167,0.190083", \ - "0.0313821,0.0424084,0.0535919,0.0721230,0.101629,0.146333,0.218093", \ - "0.0409686,0.0535508,0.0664252,0.0878376,0.122423,0.176146,0.255965"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00146483,0.00307767,0.00507790,0.00907693,0.0170788,0.0330804,0.0650877", \ - "0.00208078,0.00319162,0.00507788,0.00907788,0.0170769,0.0330758,0.0650897", \ - "0.00453885,0.00619474,0.00782158,0.0104713,0.0171441,0.0330755,0.0650929", \ - "0.00828209,0.0105589,0.0128211,0.0165329,0.0223384,0.0340626,0.0650908", \ - "0.0134678,0.0163933,0.0192569,0.0239619,0.0314929,0.0430713,0.0667545", \ - "0.0202479,0.0238387,0.0273358,0.0330037,0.0420922,0.0563711,0.0781250", \ - "0.0288874,0.0330247,0.0371742,0.0438635,0.0544355,0.0712020,0.0970521"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("0.00325898,0.00675403,0.0110850,0.0197517,0.0370834,0.0717177,0.141031", \ - "0.00332094,0.00675485,0.0110858,0.0197475,0.0370819,0.0717179,0.141045", \ - "0.00646300,0.00917631,0.0120151,0.0197468,0.0370798,0.0717347,0.141043", \ - "0.0100688,0.0140883,0.0179745,0.0239390,0.0376321,0.0717196,0.141036", \ - "0.0148442,0.0196692,0.0247509,0.0329193,0.0451557,0.0727075,0.141033", \ - "0.0212043,0.0265195,0.0324007,0.0424452,0.0580872,0.0819889,0.141335", \ - "0.0294730,0.0350265,0.0414593,0.0528699,0.0716272,0.0997522,0.149253"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("-0.000035,-0.000051,-0.000070,-0.000108,-0.000184,-0.000336,-0.000640", \ - "-0.000086,-0.000101,-0.000121,-0.000159,-0.000235,-0.000387,-0.000690", \ - "-0.000255,-0.000278,-0.000300,-0.000338,-0.000413,-0.000565,-0.000869", \ - "0.287831,0.148648,0.030826,-0.000677,-0.000757,-0.000907,-0.001210", \ - "0.971887,0.823874,0.649421,0.408881,0.159170,-0.001443,-0.001744", \ - "1.891282,1.764827,1.588497,1.264991,0.830546,0.422656,0.157359", \ - "3.063886,2.982289,2.822534,2.481577,1.897972,1.212948,0.642966"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); - values ("1.846618,1.913441,1.942968,1.976480,1.918316,1.993076,1.804364", \ - "1.785019,1.828143,1.850405,1.877758,1.860889,1.923714,1.788208", \ - "1.890252,1.925712,1.897133,1.906718,1.908479,1.891433,1.915779", \ - "2.168515,2.196581,2.245482,2.175584,1.993599,1.979297,1.837918", \ - "2.903531,2.821693,2.735426,2.759424,2.532418,2.170185,2.081635", \ - "4.073220,3.908341,3.757237,3.548927,3.378570,2.890876,2.590992", \ - "5.652279,5.456442,5.224976,4.892786,4.421584,4.002718,3.154214"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X2 - Cell Description : Combinational cell (INV_X2) with drive strength X2 - *******************************************************************************************/ - - cell (INV_X2) { - - drive_strength : 2; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 28.706376; - - leakage_power () { - when : "!A"; - value : 20.204470; - } - leakage_power () { - when : "A"; - value : 37.208281; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.250891; - fall_capacitance : 2.943315; - rise_capacitance : 3.250891; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.460000; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.00323762,0.00542920,0.00776557,0.0123911,0.0216055,0.0400122,0.0768103", \ - "0.00444597,0.00691097,0.00925354,0.0138926,0.0231189,0.0415332,0.0783348", \ - "0.00533566,0.00982667,0.0135566,0.0193457,0.0286250,0.0469850,0.0837588", \ - "0.00453058,0.0110074,0.0164598,0.0250759,0.0381723,0.0577346,0.0943029", \ - "0.00164268,0.0101003,0.0172684,0.0286500,0.0461432,0.0723010,0.111149", \ - "-0.00354696,0.00679938,0.0156811,0.0298371,0.0516619,0.0845972,0.133209", \ - "-0.0111822,0.000902772,0.0114492,0.0283783,0.0545488,0.0941580,0.153148"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.00529630,0.00972261,0.0144037,0.0236098,0.0418874,0.0783533,0.151234", \ - "0.00700751,0.0112245,0.0158942,0.0251485,0.0434960,0.0800190,0.152937", \ - "0.0112659,0.0174269,0.0224671,0.0314406,0.0496249,0.0861017,0.159023", \ - "0.0162990,0.0248175,0.0322202,0.0439557,0.0623247,0.0983527,0.171006", \ - "0.0226242,0.0331569,0.0425240,0.0578614,0.0816431,0.118378,0.190328", \ - "0.0304108,0.0428311,0.0539581,0.0724326,0.101882,0.146551,0.218333", \ - "0.0398696,0.0540298,0.0668395,0.0881853,0.122715,0.176394,0.256197"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.00133747,0.00314223,0.00514271,0.00914379,0.0171477,0.0331562,0.0651786", \ - "0.00198125,0.00324371,0.00514301,0.00914331,0.0171476,0.0331542,0.0651761", \ - "0.00438502,0.00624552,0.00786465,0.0105133,0.0172081,0.0331582,0.0651784", \ - "0.00807123,0.0106298,0.0128816,0.0165838,0.0223782,0.0341282,0.0651780", \ - "0.0131770,0.0164841,0.0193316,0.0240151,0.0315412,0.0431173,0.0668346", \ - "0.0199022,0.0239353,0.0274209,0.0330665,0.0421432,0.0564218,0.0781770", \ - "0.0284987,0.0331256,0.0372687,0.0439364,0.0544972,0.0712581,0.0971059"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("0.00297583,0.00688568,0.0112187,0.0198861,0.0372264,0.0718934,0.141251", \ - "0.00310919,0.00688646,0.0112175,0.0198877,0.0372280,0.0718979,0.141265", \ - "0.00618848,0.00924460,0.0121144,0.0198886,0.0372245,0.0719021,0.141260", \ - "0.00969405,0.0142202,0.0180777,0.0240288,0.0377744,0.0719082,0.141254", \ - "0.0144210,0.0198337,0.0248872,0.0330305,0.0452586,0.0728722,0.141260", \ - "0.0207647,0.0267029,0.0325625,0.0425788,0.0581955,0.0821039,0.141540", \ - "0.0290426,0.0352199,0.0416368,0.0530229,0.0717548,0.0998639,0.149438"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("-0.000068,-0.000103,-0.000142,-0.000218,-0.000370,-0.000674,-0.001282", \ - "-0.000170,-0.000205,-0.000243,-0.000319,-0.000471,-0.000775,-0.001383", \ - "-0.000507,-0.000557,-0.000602,-0.000677,-0.000829,-0.001132,-0.001740", \ - "0.784091,0.463519,0.237699,-0.001356,-0.001516,-0.001817,-0.002423", \ - "2.130295,1.814761,1.471459,0.991921,0.494861,0.148353,-0.003490", \ - "3.983702,3.708140,3.345093,2.703786,1.833037,1.020850,0.494300", \ - "6.346740,6.127494,5.816874,5.137705,3.969483,2.599822,1.462266"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); - values ("3.600888,3.746113,3.814423,3.815162,3.763107,3.723260,3.671119", \ - "3.495236,3.586741,3.657820,3.644964,3.754144,3.720826,3.287945", \ - "3.656060,3.803389,3.744929,3.672882,3.673419,3.816686,3.667545", \ - "4.258286,4.295821,4.450274,4.215731,4.069116,3.725724,3.510997", \ - "5.790358,5.524447,5.462025,5.364572,5.063054,4.379528,3.824801", \ - "8.142595,7.768418,7.421465,6.954947,6.746966,5.774893,5.015426", \ - "11.307140,10.860460,10.405460,9.681476,8.903400,7.863572,6.820848"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X4 - Cell Description : Combinational cell (INV_X4) with drive strength X4 - *******************************************************************************************/ - - cell (INV_X4) { - - drive_strength : 4; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 57.412850; - - leakage_power () { - when : "!A"; - value : 40.409160; - } - leakage_power () { - when : "A"; - value : 74.416540; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.258425; - fall_capacitance : 5.700054; - rise_capacitance : 6.258425; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.920000; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.00326337,0.00559267,0.00793405,0.0125642,0.0217861,0.0402062,0.0770322", \ - "0.00441852,0.00706183,0.00940887,0.0140522,0.0232865,0.0417128,0.0785424", \ - "0.00519526,0.00998546,0.0137137,0.0195032,0.0287885,0.0471624,0.0839619", \ - "0.00426700,0.0111768,0.0166295,0.0252446,0.0383399,0.0579109,0.0945067", \ - "0.00125887,0.0102753,0.0174460,0.0288275,0.0463269,0.0724878,0.111354", \ - "-0.00404606,0.00697571,0.0158646,0.0300240,0.0518551,0.0847980,0.133423", \ - "-0.0117791,0.00107745,0.0116366,0.0285718,0.0547523,0.0943715,0.153382"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.00515924,0.00985407,0.0145416,0.0237578,0.0420518,0.0785390,0.151465", \ - "0.00689271,0.0113465,0.0160224,0.0252854,0.0436462,0.0801948,0.153152", \ - "0.0109397,0.0175352,0.0225912,0.0315721,0.0497696,0.0862721,0.159238", \ - "0.0158483,0.0249158,0.0323308,0.0440820,0.0624666,0.0985186,0.171215", \ - "0.0220589,0.0332568,0.0426294,0.0579813,0.0817823,0.118544,0.190536", \ - "0.0297386,0.0429360,0.0540663,0.0725493,0.102018,0.146716,0.218542", \ - "0.0391120,0.0541366,0.0669505,0.0883042,0.122850,0.176558,0.256403"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.00123898,0.00313784,0.00513909,0.00914302,0.0171525,0.0331731,0.0652191", \ - "0.00189646,0.00323842,0.00513961,0.00914352,0.0171523,0.0331719,0.0652215", \ - "0.00426637,0.00623428,0.00785373,0.0105051,0.0172133,0.0331721,0.0652181", \ - "0.00791389,0.0106298,0.0128754,0.0165777,0.0223764,0.0341406,0.0652195", \ - "0.0129769,0.0164853,0.0193323,0.0240117,0.0315404,0.0431174,0.0668665", \ - "0.0196576,0.0239381,0.0274252,0.0330697,0.0421405,0.0564283,0.0781979", \ - "0.0282292,0.0331202,0.0372671,0.0439366,0.0545012,0.0712635,0.0971215"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("0.00273788,0.00685162,0.0111856,0.0198558,0.0372094,0.0718951,0.141281", \ - "0.00293995,0.00685150,0.0111849,0.0198582,0.0372004,0.0718922,0.141283", \ - "0.00594379,0.00921824,0.0120900,0.0198568,0.0371986,0.0718963,0.141289", \ - "0.00937363,0.0141771,0.0180459,0.0240027,0.0377516,0.0718980,0.141279", \ - "0.0140757,0.0197858,0.0248456,0.0330002,0.0452363,0.0728594,0.141277", \ - "0.0204120,0.0266593,0.0325164,0.0425393,0.0581670,0.0820960,0.141562", \ - "0.0287072,0.0351831,0.0415943,0.0529789,0.0717220,0.0998525,0.149451"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("-0.000135,-0.000209,-0.000286,-0.000438,-0.000743,-0.001351,-0.002568", \ - "-0.000338,-0.000411,-0.000488,-0.000641,-0.000945,-0.001554,-0.002771", \ - "-0.001009,-0.001117,-0.001206,-0.001357,-0.001660,-0.002268,-0.003484", \ - "1.646543,0.958443,0.492551,-0.002713,-0.003034,-0.003637,-0.004850", \ - "4.381760,3.649700,2.962753,1.995582,0.998205,0.299721,-0.006985", \ - "7.982441,7.414641,6.730594,5.421472,3.680040,2.046783,0.995845", \ - "12.729920,12.330210,11.654350,10.290670,7.944608,5.204591,2.927285"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); - values ("7.027624,7.399411,7.479360,7.555385,7.644741,7.187666,6.888935", \ - "6.872874,7.018571,7.147404,7.158635,7.448545,7.411758,7.678863", \ - "7.197088,7.456530,7.318267,7.372508,7.384601,7.467069,7.149943", \ - "8.429678,8.497916,8.790671,8.413163,7.822837,7.284865,6.837121", \ - "11.509250,10.945040,10.789670,10.683480,9.813967,9.068089,8.488414", \ - "16.241270,15.427200,14.843280,13.883830,12.980790,11.408180,9.848604", \ - "22.585720,21.617980,20.697690,19.338640,17.575730,16.011860,13.461310"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X8 - Cell Description : Combinational cell (INV_X8) with drive strength X8 - *******************************************************************************************/ - - cell (INV_X8) { - - drive_strength : 8; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 114.826305; - - leakage_power () { - when : "!A"; - value : 80.819420; - } - leakage_power () { - when : "A"; - value : 148.833190; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 11.810652; - fall_capacitance : 10.800008; - rise_capacitance : 11.810652; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 485.229000; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("0.00381157,0.00627336,0.00862883,0.0132674,0.0224913,0.0409048,0.0777125", \ - "0.00494533,0.00766317,0.0100323,0.0146827,0.0239165,0.0423369,0.0791477", \ - "0.00582646,0.0106853,0.0143680,0.0201105,0.0293874,0.0477537,0.0845384", \ - "0.00498752,0.0119972,0.0173886,0.0259355,0.0389655,0.0584942,0.0950743", \ - "0.00204990,0.0111958,0.0182977,0.0296008,0.0470163,0.0731028,0.111918", \ - "-0.00318825,0.00799145,0.0167954,0.0308697,0.0526083,0.0854578,0.134004", \ - "-0.0108759,0.00217406,0.0126407,0.0294754,0.0555576,0.0950785,0.153993"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("0.00568932,0.0105624,0.0152721,0.0245107,0.0428256,0.0793518,0.152331", \ - "0.00733052,0.0119924,0.0166838,0.0259617,0.0443409,0.0809200,0.153929", \ - "0.0114068,0.0181639,0.0232041,0.0322147,0.0504321,0.0869621,0.159988", \ - "0.0164147,0.0256319,0.0330070,0.0447273,0.0631159,0.0992013,0.171954", \ - "0.0227333,0.0340818,0.0433850,0.0586798,0.0824462,0.119216,0.191268", \ - "0.0305134,0.0438769,0.0549122,0.0733103,0.102724,0.147396,0.219267", \ - "0.0399726,0.0551901,0.0678931,0.0891384,0.123604,0.177269,0.257115"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("0.00131696,0.00322745,0.00521804,0.00921661,0.0172196,0.0332338,0.0652687", \ - "0.00190390,0.00332751,0.00523226,0.00921683,0.0172225,0.0332381,0.0652666", \ - "0.00433644,0.00629210,0.00788488,0.0105424,0.0172845,0.0332376,0.0652706", \ - "0.00804525,0.0107526,0.0129549,0.0166160,0.0223921,0.0341820,0.0652718", \ - "0.0131581,0.0166638,0.0194647,0.0240942,0.0315708,0.0431293,0.0669006", \ - "0.0198727,0.0241633,0.0276031,0.0331945,0.0422038,0.0564475,0.0782028", \ - "0.0284437,0.0333889,0.0374842,0.0440962,0.0546008,0.0713036,0.0971276"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("0.00276535,0.00695382,0.0112837,0.0199598,0.0373151,0.0720320,0.141481", \ - "0.00297063,0.00696197,0.0112843,0.0199574,0.0373205,0.0720392,0.141476", \ - "0.00592259,0.00925645,0.0121740,0.0199695,0.0373176,0.0720470,0.141474", \ - "0.00939473,0.0142594,0.0181129,0.0240696,0.0378565,0.0720251,0.141470", \ - "0.0141620,0.0199123,0.0249360,0.0330709,0.0453093,0.0729908,0.141483", \ - "0.0205556,0.0268400,0.0326436,0.0426267,0.0582404,0.0821967,0.141748", \ - "0.0288781,0.0354142,0.0417632,0.0530924,0.0718037,0.0999352,0.149607"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("-0.000288,-0.000442,-0.000595,-0.000900,-0.001509,-0.002727,-0.005161", \ - "-0.000692,-0.000844,-0.000998,-0.001303,-0.001912,-0.003130,-0.005564", \ - "-0.002038,-0.002257,-0.002432,-0.002735,-0.003341,-0.004557,-0.006991", \ - "3.508155,2.005743,1.041149,0.012276,-0.006088,-0.007295,-0.009723", \ - "8.929397,7.457765,6.052695,4.050428,2.027671,0.622211,-0.013991", \ - "16.251780,15.065600,13.583200,10.955470,7.413384,4.124957,2.015923", \ - "25.663790,24.813810,23.512490,20.694170,15.976700,10.454390,5.888288"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); - values ("13.720050,14.586760,14.724340,14.951210,14.363980,14.570700,15.352360", \ - "13.571900,13.932910,14.199870,14.447800,14.627050,14.544560,14.703150", \ - "14.199850,14.833730,14.656920,14.384280,14.252400,14.391860,13.663750", \ - "16.766160,17.120770,17.442180,16.547430,15.743410,15.596770,15.259200", \ - "23.287810,22.090820,21.648800,21.346390,19.961690,16.404370,17.011190", \ - "32.784540,30.931180,29.518550,28.113410,26.260300,22.909420,19.095690", \ - "45.486620,43.387170,41.461410,38.831010,35.335270,30.619750,26.335990"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X16 - Cell Description : Combinational cell (INV_X16) with drive strength X16 - *******************************************************************************************/ - - cell (INV_X16) { - - drive_strength : 16; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 229.651455; - - leakage_power () { - when : "!A"; - value : 161.636200; - } - leakage_power () { - when : "A"; - value : 297.666710; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 25.228138; - fall_capacitance : 23.014087; - rise_capacitance : 25.228138; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 969.238000; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("0.00318857,0.00561827,0.00796003,0.0125900,0.0218099,0.0402244,0.0770401", \ - "0.00430768,0.00708648,0.00943444,0.0140777,0.0233094,0.0417314,0.0785507", \ - "0.00499369,0.0100144,0.0137414,0.0195292,0.0288125,0.0471819,0.0839729", \ - "0.00396948,0.0112077,0.0166595,0.0252729,0.0383641,0.0579314,0.0945190", \ - "0.000857470,0.0102994,0.0174726,0.0288565,0.0463512,0.0725061,0.111366", \ - "-0.00454367,0.00698966,0.0158804,0.0300458,0.0518766,0.0848152,0.133431", \ - "-0.0123702,0.00106957,0.0116346,0.0285772,0.0547656,0.0943857,0.153387"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("0.00501662,0.00993245,0.0146449,0.0239077,0.0422944,0.0789759,0.152281", \ - "0.00676670,0.0114213,0.0161233,0.0254350,0.0438907,0.0806296,0.153966", \ - "0.0106810,0.0176134,0.0226835,0.0317165,0.0500138,0.0867066,0.160056", \ - "0.0155061,0.0250112,0.0324456,0.0442305,0.0626972,0.0989442,0.172029", \ - "0.0216488,0.0333739,0.0427683,0.0581592,0.0820268,0.118950,0.191335", \ - "0.0292747,0.0430814,0.0542339,0.0727577,0.102305,0.147132,0.219321", \ - "0.0386111,0.0543151,0.0671529,0.0885518,0.123179,0.177032,0.257141"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("0.00117156,0.00314095,0.00514263,0.00914853,0.0171618,0.0331900,0.0652468", \ - "0.00184217,0.00324027,0.00514295,0.00914773,0.0171606,0.0331856,0.0652446", \ - "0.00418177,0.00623405,0.00785412,0.0105090,0.0172214,0.0331864,0.0652454", \ - "0.00779307,0.0106305,0.0128757,0.0165729,0.0223781,0.0341510,0.0652463", \ - "0.0128228,0.0164906,0.0193366,0.0240119,0.0315359,0.0431225,0.0668915", \ - "0.0194783,0.0239428,0.0274319,0.0330742,0.0421361,0.0564209,0.0782119", \ - "0.0280250,0.0331234,0.0372728,0.0439411,0.0544994,0.0712533,0.0971179"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("0.00260105,0.00689342,0.0112536,0.0199760,0.0374210,0.0723167,0.142116", \ - "0.00284202,0.00689261,0.0112515,0.0199757,0.0374253,0.0723243,0.142107", \ - "0.00579692,0.00924114,0.0121403,0.0199783,0.0374304,0.0723353,0.142109", \ - "0.00917650,0.0142036,0.0180880,0.0240795,0.0379498,0.0723141,0.142116", \ - "0.0138511,0.0198118,0.0248869,0.0330698,0.0453797,0.0732572,0.142113", \ - "0.0201829,0.0266820,0.0325538,0.0426048,0.0582974,0.0824013,0.142364", \ - "0.0284800,0.0352014,0.0416274,0.0530410,0.0718459,0.100104,0.150134"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("-0.000530,-0.000839,-0.001146,-0.001757,-0.002978,-0.005417,-0.010295", \ - "-0.001343,-0.001648,-0.001955,-0.002567,-0.003788,-0.006228,-0.011106", \ - "-0.004017,-0.004470,-0.004825,-0.005433,-0.006648,-0.009084,-0.013961", \ - "6.748852,3.838696,1.972927,0.006377,-0.012142,-0.014561,-0.019425", \ - "17.573550,14.613570,11.888930,8.004667,4.048477,1.284915,0.001886", \ - "32.254360,29.640560,26.879420,21.719610,14.759160,8.285091,4.118118", \ - "51.141930,49.191300,46.592550,41.150960,31.846440,20.916720,11.875690"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); - values ("27.902990,29.367990,30.045050,30.504260,29.486720,27.542780,29.458360", \ - "27.426580,28.176550,28.855910,28.652750,29.175830,27.649070,30.376230", \ - "28.524610,29.696300,29.488740,29.103820,28.629690,28.557360,25.989210", \ - "33.604760,34.241080,34.920310,32.800970,32.240980,29.948020,30.171970", \ - "45.887610,44.280460,42.959540,42.889500,38.513710,33.865060,33.685870", \ - "64.827720,61.516410,59.145380,56.122770,52.860570,44.437130,39.058960", \ - "90.707970,86.217030,82.506710,77.289220,70.915860,62.838770,53.130060"); - } - } - } - - } - - - /****************************************************************************************** - Module : INV_X32 - Cell Description : Combinational cell (INV_X32) with drive strength X32 - *******************************************************************************************/ - - cell (INV_X32) { - - drive_strength : 32; - - area : 8.778000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 459.302800; - - leakage_power () { - when : "!A"; - value : 323.273500; - } - leakage_power () { - when : "A"; - value : 595.332100; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 49.191468; - fall_capacitance : 44.920194; - rise_capacitance : 49.191468; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 1923.830000; - function : "!A"; - - timing () { - - related_pin : "A"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("0.00337003,0.00586042,0.00821697,0.0128670,0.0221196,0.0405973,0.0775345", \ - "0.00448647,0.00730718,0.00967158,0.0143348,0.0235990,0.0420827,0.0790235", \ - "0.00519680,0.0102664,0.0139944,0.0197845,0.0290959,0.0475282,0.0844446", \ - "0.00418680,0.0114903,0.0169464,0.0255650,0.0386697,0.0582753,0.0949900", \ - "0.00107567,0.0105975,0.0177798,0.0291793,0.0466946,0.0728838,0.111833", \ - "-0.00434240,0.00728871,0.0161959,0.0303881,0.0522590,0.0852423,0.133934", \ - "-0.0122076,0.00135366,0.0119400,0.0289226,0.0551651,0.0948568,0.153953"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("0.00523240,0.0102791,0.0150601,0.0244513,0.0430929,0.0802877,0.154611", \ - "0.00695124,0.0117448,0.0165206,0.0259626,0.0446739,0.0819202,0.156273", \ - "0.0108878,0.0179371,0.0230509,0.0322245,0.0507873,0.0879926,0.162361", \ - "0.0157609,0.0253821,0.0328682,0.0447456,0.0634368,0.100216,0.174331", \ - "0.0219677,0.0338121,0.0432566,0.0587460,0.0827970,0.120167,0.193608", \ - "0.0296666,0.0436063,0.0548058,0.0734314,0.103177,0.148357,0.221518", \ - "0.0390891,0.0549404,0.0678271,0.0893281,0.124167,0.178403,0.259237"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("0.00118737,0.00316840,0.00517977,0.00920921,0.0172727,0.0333987,0.0656574", \ - "0.00183992,0.00326322,0.00518257,0.00920860,0.0172692,0.0334011,0.0656589", \ - "0.00419932,0.00624706,0.00786530,0.0105455,0.0173295,0.0334023,0.0656630", \ - "0.00783136,0.0106650,0.0128986,0.0165958,0.0224290,0.0343406,0.0656650", \ - "0.0128740,0.0165451,0.0193785,0.0240468,0.0315728,0.0432404,0.0672731", \ - "0.0195358,0.0240141,0.0274955,0.0331322,0.0421925,0.0565033,0.0784997", \ - "0.0280706,0.0332104,0.0373548,0.0440218,0.0545751,0.0713411,0.0973132"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("0.00262955,0.00699578,0.0114200,0.0202760,0.0379942,0.0734437,0.144310", \ - "0.00285804,0.00699846,0.0114186,0.0202756,0.0379925,0.0734429,0.144316", \ - "0.00581073,0.00929703,0.0122743,0.0202781,0.0380004,0.0734267,0.144317", \ - "0.00919690,0.0142741,0.0181951,0.0242803,0.0384723,0.0734287,0.144308", \ - "0.0138880,0.0198874,0.0249927,0.0332512,0.0457523,0.0742899,0.144312", \ - "0.0202240,0.0267664,0.0326629,0.0427829,0.0586332,0.0832190,0.144517", \ - "0.0285198,0.0352931,0.0417412,0.0532165,0.0721684,0.100761,0.151974"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("-0.001084,-0.001715,-0.002338,-0.003578,-0.006054,-0.011002,-0.020897", \ - "-0.002707,-0.003330,-0.003954,-0.005195,-0.007672,-0.012621,-0.022517", \ - "-0.008060,-0.008976,-0.009692,-0.010926,-0.013391,-0.018334,-0.028227", \ - "13.453060,7.814396,4.123863,0.211203,-0.024376,-0.029286,-0.039154", \ - "35.064810,29.312020,23.906320,16.249350,8.434502,3.087897,0.655314", \ - "64.300520,59.271190,53.764710,43.585120,29.900580,17.141250,9.104939", \ - "101.586200,97.789280,92.945170,82.274230,63.906700,42.465690,24.790900"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); - values ("54.766280,58.273610,58.901220,59.695710,57.995230,57.003960,57.586860", \ - "54.079240,55.479250,56.939190,58.212920,58.585590,54.666650,57.691970", \ - "56.498060,58.732550,57.898970,58.189470,58.660440,55.370280,58.947590", \ - "66.295940,67.881100,69.752940,66.723750,64.342850,59.068130,57.010950", \ - "91.903940,87.501500,85.911130,85.546910,79.715120,73.734050,64.598590", \ - "129.498400,122.825000,117.114100,111.461300,104.024400,93.305830,81.858660", \ - "179.800800,171.668000,164.195700,153.178500,139.238400,125.843500,105.381500"); - } - } - } - - } - - - /****************************************************************************************** - Module : LOGIC0_X1 - Cell Description : Physical cell (LOGIC0_X1) - *******************************************************************************************/ - - cell (LOGIC0_X1) { - - drive_strength : 1; - - area : 0.532000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - function : "0"; - } - - } - - - /****************************************************************************************** - Module : LOGIC1_X1 - Cell Description : Physical cell (LOGIC1_X1) - *******************************************************************************************/ - - cell (LOGIC1_X1) { - - drive_strength : 1; - - area : 0.532000; - dont_touch : true; - dont_use : true; - - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - function : "1"; - } - - } - - - /****************************************************************************************** - Module : MUX2_X1 - Cell Description : Combinational cell (MUX2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (MUX2_X1) { - - drive_strength : 1; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 35.928390; - - leakage_power () { - when : "!A & !B & !S"; - value : 27.331590; - } - leakage_power () { - when : "!A & !B & S"; - value : 31.523129; - } - leakage_power () { - when : "!A & B & !S"; - value : 37.857138; - } - leakage_power () { - when : "!A & B & S"; - value : 36.567630; - } - leakage_power () { - when : "A & !B & !S"; - value : 32.375970; - } - leakage_power () { - when : "A & !B & S"; - value : 42.049117; - } - leakage_power () { - when : "A & B & !S"; - value : 37.764562; - } - leakage_power () { - when : "A & B & S"; - value : 41.957982; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.946420; - fall_capacitance : 0.907039; - rise_capacitance : 0.946420; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.944775; - fall_capacitance : 0.899702; - rise_capacitance : 0.944775; - } - - pin (S) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.919942; - fall_capacitance : 1.805502; - rise_capacitance : 1.919942; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.501100; - function : "((S & B) | (A & !S))"; - - timing () { - - related_pin : "A"; - when : "!B & !S"; - sdf_cond : "(B == 1'b0) && (S == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.050207,0.054969,0.059691,0.067400,0.079942,0.101076,0.139177", \ - "0.051853,0.056618,0.061339,0.069049,0.081592,0.102725,0.140825", \ - "0.057215,0.061980,0.066699,0.074406,0.086947,0.108085,0.146187", \ - "0.066495,0.071232,0.075932,0.083627,0.096164,0.117303,0.155417", \ - "0.079655,0.084522,0.089356,0.097213,0.109923,0.131169,0.169311", \ - "0.095082,0.100200,0.105279,0.113521,0.126743,0.148557,0.187037", \ - "0.113214,0.118602,0.123943,0.132554,0.146342,0.168781,0.207757"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.028946,0.034118,0.039547,0.049363,0.067931,0.104443,0.177173", \ - "0.030195,0.035365,0.040795,0.050611,0.069179,0.105697,0.178421", \ - "0.033948,0.039115,0.044537,0.054339,0.072899,0.109410,0.182142", \ - "0.039999,0.045259,0.050728,0.060546,0.079075,0.115565,0.188293", \ - "0.046037,0.051536,0.057146,0.067044,0.085571,0.122025,0.194724", \ - "0.050530,0.056437,0.062337,0.072435,0.090938,0.127291,0.199955", \ - "0.052867,0.059234,0.065597,0.076052,0.094672,0.130961,0.203527"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.008479,0.010325,0.012410,0.016267,0.023536,0.037888,0.067758", \ - "0.008480,0.010325,0.012410,0.016267,0.023535,0.037887,0.067760", \ - "0.008480,0.010325,0.012412,0.016269,0.023537,0.037887,0.067759", \ - "0.008495,0.010349,0.012442,0.016296,0.023555,0.037897,0.067762", \ - "0.009510,0.011282,0.013288,0.017006,0.024036,0.038147,0.067853", \ - "0.010820,0.012595,0.014605,0.018326,0.025322,0.039175,0.068330", \ - "0.012303,0.014073,0.016078,0.019802,0.026751,0.040398,0.069166"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.006196,0.009412,0.013284,0.021248,0.037936,0.072246,0.141277", \ - "0.006194,0.009410,0.013287,0.021247,0.037938,0.072250,0.141281", \ - "0.006202,0.009418,0.013290,0.021254,0.037940,0.072250,0.141277", \ - "0.006519,0.009708,0.013527,0.021394,0.037995,0.072256,0.141279", \ - "0.007257,0.010388,0.014084,0.021766,0.038189,0.072350,0.141285", \ - "0.008405,0.011541,0.015069,0.022400,0.038460,0.072469,0.141363", \ - "0.009783,0.013058,0.016548,0.023479,0.038992,0.072662,0.141498"); - } - } - - timing () { - - related_pin : "A"; - when : "B & !S"; - sdf_cond : "(B == 1'b1) && (S == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.050208,0.054972,0.059691,0.067400,0.079943,0.101077,0.139178", \ - "0.051854,0.056619,0.061339,0.069050,0.081593,0.102726,0.140829", \ - "0.057217,0.061981,0.066700,0.074407,0.086948,0.108086,0.146188", \ - "0.066496,0.071232,0.075933,0.083628,0.096165,0.117304,0.155418", \ - "0.079657,0.084523,0.089357,0.097214,0.109924,0.131170,0.169312", \ - "0.095084,0.100203,0.105281,0.113522,0.126745,0.148558,0.187039", \ - "0.113216,0.118604,0.123945,0.132556,0.146345,0.168783,0.207760"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.028948,0.034120,0.039549,0.049365,0.067933,0.104445,0.177175", \ - "0.030197,0.035367,0.040797,0.050612,0.069180,0.105698,0.178422", \ - "0.033948,0.039115,0.044537,0.054339,0.072896,0.109407,0.182142", \ - "0.039996,0.045257,0.050726,0.060544,0.079072,0.115565,0.188292", \ - "0.046036,0.051535,0.057144,0.067042,0.085570,0.122023,0.194722", \ - "0.050528,0.056435,0.062335,0.072432,0.090940,0.127292,0.199956", \ - "0.052863,0.059230,0.065594,0.076048,0.094669,0.130957,0.203524"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.008479,0.010324,0.012410,0.016267,0.023536,0.037887,0.067758", \ - "0.008480,0.010325,0.012411,0.016267,0.023535,0.037887,0.067759", \ - "0.008480,0.010325,0.012412,0.016269,0.023537,0.037888,0.067759", \ - "0.008495,0.010349,0.012439,0.016295,0.023555,0.037897,0.067762", \ - "0.009510,0.011282,0.013288,0.017006,0.024036,0.038147,0.067853", \ - "0.010820,0.012595,0.014605,0.018326,0.025322,0.039175,0.068330", \ - "0.012303,0.014073,0.016078,0.019802,0.026751,0.040398,0.069166"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.006196,0.009412,0.013284,0.021248,0.037936,0.072245,0.141277", \ - "0.006196,0.009411,0.013287,0.021247,0.037938,0.072248,0.141281", \ - "0.006202,0.009417,0.013290,0.021253,0.037937,0.072255,0.141274", \ - "0.006521,0.009708,0.013527,0.021395,0.037990,0.072258,0.141276", \ - "0.007258,0.010388,0.014083,0.021766,0.038189,0.072349,0.141285", \ - "0.008404,0.011541,0.015070,0.022400,0.038456,0.072469,0.141362", \ - "0.009783,0.013059,0.016549,0.023479,0.038986,0.072661,0.141498"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & S"; - sdf_cond : "(A == 1'b0) && (S == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.050584,0.055344,0.060063,0.067775,0.080323,0.101465,0.139574", \ - "0.052216,0.056976,0.061697,0.069409,0.081959,0.103100,0.141210", \ - "0.057517,0.062275,0.066994,0.074704,0.087253,0.108395,0.146505", \ - "0.066728,0.071459,0.076162,0.083859,0.096403,0.117552,0.155672", \ - "0.079826,0.084704,0.089534,0.097409,0.110121,0.131373,0.169521", \ - "0.095215,0.100344,0.105414,0.113670,0.126906,0.148723,0.187210", \ - "0.113317,0.118705,0.124040,0.132679,0.146432,0.168874,0.207858"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.028211,0.033372,0.038794,0.048599,0.067157,0.103661,0.176389", \ - "0.029503,0.034664,0.040087,0.049892,0.068451,0.104958,0.177689", \ - "0.033488,0.038643,0.044057,0.053844,0.072392,0.108895,0.181630", \ - "0.039763,0.045014,0.050475,0.060280,0.078797,0.115276,0.188013", \ - "0.045898,0.051398,0.057003,0.066890,0.085403,0.121852,0.194552", \ - "0.050406,0.056323,0.062225,0.072313,0.090836,0.127181,0.199838", \ - "0.052742,0.059120,0.065492,0.075974,0.094598,0.130880,0.203451"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.008470,0.010321,0.012409,0.016275,0.023546,0.037901,0.067771", \ - "0.008469,0.010319,0.012409,0.016275,0.023546,0.037900,0.067771", \ - "0.008469,0.010319,0.012410,0.016276,0.023548,0.037901,0.067771", \ - "0.008488,0.010348,0.012442,0.016303,0.023567,0.037911,0.067773", \ - "0.009496,0.011275,0.013283,0.017007,0.024044,0.038158,0.067861", \ - "0.010805,0.012583,0.014598,0.018326,0.025327,0.039184,0.068338", \ - "0.012282,0.014054,0.016066,0.019793,0.026753,0.040406,0.069175"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.006155,0.009375,0.013249,0.021213,0.037929,0.072244,0.141266", \ - "0.006154,0.009373,0.013248,0.021213,0.037920,0.072243,0.141278", \ - "0.006158,0.009381,0.013255,0.021221,0.037928,0.072240,0.141270", \ - "0.006494,0.009680,0.013501,0.021366,0.037972,0.072269,0.141270", \ - "0.007260,0.010383,0.014069,0.021739,0.038175,0.072337,0.141286", \ - "0.008423,0.011554,0.015072,0.022387,0.038434,0.072464,0.141365", \ - "0.009801,0.013085,0.016561,0.023467,0.038967,0.072656,0.141499"); - } - } - - timing () { - - related_pin : "B"; - when : "A & S"; - sdf_cond : "(A == 1'b1) && (S == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.050585,0.055345,0.060063,0.067775,0.080324,0.101466,0.139575", \ - "0.052217,0.056978,0.061697,0.069410,0.081960,0.103101,0.141212", \ - "0.057518,0.062275,0.066993,0.074705,0.087254,0.108396,0.146506", \ - "0.066730,0.071460,0.076162,0.083860,0.096404,0.117553,0.155674", \ - "0.079829,0.084705,0.089535,0.097410,0.110123,0.131374,0.169523", \ - "0.095217,0.100346,0.105416,0.113672,0.126908,0.148725,0.187212", \ - "0.113319,0.118707,0.124043,0.132681,0.146432,0.168902,0.207886"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.028210,0.033372,0.038794,0.048598,0.067158,0.103659,0.176392", \ - "0.029502,0.034663,0.040086,0.049891,0.068449,0.104955,0.177687", \ - "0.033488,0.038643,0.044057,0.053846,0.072392,0.108894,0.181634", \ - "0.039762,0.045014,0.050474,0.060279,0.078797,0.115275,0.188013", \ - "0.045897,0.051397,0.057001,0.066888,0.085402,0.121850,0.194550", \ - "0.050404,0.056321,0.062223,0.072312,0.090834,0.127179,0.199835", \ - "0.052739,0.059117,0.065489,0.075971,0.094571,0.130854,0.203417"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.008469,0.010321,0.012409,0.016274,0.023546,0.037901,0.067771", \ - "0.008469,0.010321,0.012409,0.016275,0.023546,0.037901,0.067771", \ - "0.008470,0.010318,0.012411,0.016276,0.023548,0.037902,0.067771", \ - "0.008488,0.010348,0.012440,0.016303,0.023567,0.037911,0.067773", \ - "0.009497,0.011275,0.013284,0.017007,0.024044,0.038158,0.067861", \ - "0.010805,0.012583,0.014598,0.018325,0.025326,0.039184,0.068338", \ - "0.012282,0.014054,0.016066,0.019793,0.026753,0.040405,0.069174"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.006155,0.009373,0.013248,0.021213,0.037928,0.072245,0.141265", \ - "0.006153,0.009373,0.013248,0.021214,0.037917,0.072245,0.141276", \ - "0.006158,0.009381,0.013255,0.021221,0.037919,0.072244,0.141271", \ - "0.006497,0.009681,0.013501,0.021365,0.037969,0.072269,0.141284", \ - "0.007258,0.010383,0.014068,0.021739,0.038175,0.072337,0.141286", \ - "0.008422,0.011554,0.015072,0.022388,0.038434,0.072464,0.141365", \ - "0.009801,0.013084,0.016561,0.023469,0.038964,0.072650,0.141494"); - } - } - - timing () { - - related_pin : "S"; - when : "!A & B"; - sdf_cond : "(A == 1'b0) && (B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.040426,0.045207,0.049938,0.057655,0.070194,0.091322,0.129422", \ - "0.041190,0.045968,0.050700,0.058416,0.070957,0.092085,0.130185", \ - "0.046045,0.050807,0.055528,0.063232,0.075768,0.096899,0.135002", \ - "0.057668,0.062362,0.067038,0.074714,0.087244,0.108381,0.146486", \ - "0.072972,0.078046,0.083023,0.091056,0.103940,0.125268,0.163418", \ - "0.089535,0.095016,0.100412,0.109029,0.122570,0.144551,0.183187", \ - "0.108000,0.113836,0.119635,0.128906,0.143269,0.165994,0.205026"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.023543,0.028721,0.034168,0.044002,0.062573,0.099076,0.171800", \ - "0.024930,0.030107,0.035552,0.045385,0.063960,0.100464,0.173187", \ - "0.030056,0.035190,0.040591,0.050375,0.068919,0.105427,0.178162", \ - "0.037274,0.042619,0.048097,0.057896,0.076330,0.112740,0.185436", \ - "0.042650,0.048558,0.054355,0.064254,0.082668,0.119018,0.191582", \ - "0.045796,0.052305,0.058760,0.069159,0.087589,0.123729,0.196255", \ - "0.046366,0.053418,0.060591,0.071986,0.090801,0.126867,0.199197"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.008391,0.010245,0.012339,0.016215,0.023502,0.037872,0.067754", \ - "0.008379,0.010236,0.012332,0.016210,0.023499,0.037870,0.067753", \ - "0.008345,0.010217,0.012323,0.016207,0.023498,0.037869,0.067754", \ - "0.008502,0.010354,0.012451,0.016313,0.023572,0.037911,0.067768", \ - "0.010685,0.012329,0.014205,0.017758,0.024568,0.038401,0.067931", \ - "0.012937,0.014619,0.016478,0.019877,0.026389,0.039860,0.068693", \ - "0.015250,0.016999,0.018924,0.022352,0.028628,0.041405,0.069733"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.006121,0.009343,0.013223,0.021201,0.037918,0.072241,0.141272", \ - "0.006123,0.009347,0.013223,0.021198,0.037913,0.072250,0.141280", \ - "0.006132,0.009363,0.013242,0.021214,0.037916,0.072256,0.141267", \ - "0.007151,0.010125,0.013817,0.021531,0.037997,0.072243,0.141273", \ - "0.008801,0.011697,0.014983,0.022189,0.038394,0.072410,0.141268", \ - "0.010817,0.013886,0.017086,0.023525,0.038845,0.072661,0.141431", \ - "0.013209,0.016390,0.019853,0.025906,0.039966,0.073015,0.141688"); - } - } - - timing () { - - related_pin : "S"; - when : "A & !B"; - sdf_cond : "(A == 1'b1) && (B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.050893,0.055650,0.060362,0.068066,0.080608,0.101747,0.139857", \ - "0.052415,0.057176,0.061892,0.069598,0.082141,0.103281,0.141392", \ - "0.055871,0.060631,0.065352,0.073062,0.085611,0.106753,0.144862", \ - "0.058506,0.063279,0.068002,0.075714,0.088261,0.109400,0.147508", \ - "0.060156,0.064845,0.069520,0.077182,0.089676,0.110789,0.148959", \ - "0.060477,0.065163,0.069846,0.077525,0.090022,0.111132,0.149187", \ - "0.059294,0.063997,0.068688,0.076324,0.088803,0.109953,0.148074"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.040602,0.045770,0.051187,0.060974,0.079511,0.116006,0.188743", \ - "0.042102,0.047268,0.052684,0.062473,0.081010,0.117513,0.190243", \ - "0.048704,0.053871,0.059287,0.069076,0.087616,0.124109,0.196850", \ - "0.058812,0.063994,0.069416,0.079207,0.097742,0.134233,0.206974", \ - "0.069977,0.075134,0.080541,0.090314,0.108860,0.145399,0.218144", \ - "0.082687,0.087880,0.093291,0.103059,0.121564,0.158045,0.230846", \ - "0.097226,0.102488,0.107921,0.117681,0.136166,0.172650,0.245388"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.008466,0.010321,0.012411,0.016277,0.023551,0.037903,0.067772", \ - "0.008466,0.010320,0.012411,0.016278,0.023549,0.037902,0.067771", \ - "0.008466,0.010320,0.012410,0.016277,0.023548,0.037902,0.067770", \ - "0.008391,0.010255,0.012358,0.016237,0.023523,0.037886,0.067765", \ - "0.008174,0.010070,0.012199,0.016105,0.023411,0.037879,0.067832", \ - "0.008237,0.010132,0.012261,0.016166,0.023466,0.037819,0.067690", \ - "0.008363,0.010254,0.012379,0.016275,0.023561,0.037906,0.067742"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("0.006234,0.009440,0.013309,0.021263,0.037944,0.072247,0.141281", \ - "0.006234,0.009439,0.013309,0.021259,0.037943,0.072253,0.141278", \ - "0.006236,0.009442,0.013309,0.021259,0.037950,0.072249,0.141268", \ - "0.006289,0.009480,0.013334,0.021274,0.037943,0.072268,0.141274", \ - "0.006313,0.009491,0.013341,0.021282,0.038005,0.072309,0.141286", \ - "0.006480,0.009611,0.013425,0.021332,0.037975,0.072323,0.141360", \ - "0.006775,0.009833,0.013584,0.021435,0.038047,0.072297,0.141352"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !S"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("3.378395,3.440498,3.528208,3.680466,3.884254,4.008570,4.054212", \ - "3.363747,3.423626,3.516392,3.666212,3.860843,3.989691,4.038042", \ - "3.343068,3.401348,3.488888,3.651713,3.832559,3.964632,4.009451", \ - "3.373912,3.409444,3.495680,3.647516,3.832543,3.960126,4.010050", \ - "3.531697,3.564744,3.637170,3.760756,3.935247,4.056310,4.101896", \ - "3.799327,3.799080,3.821360,3.944288,4.145666,4.292745,4.333635", \ - "4.269389,4.232066,4.239718,4.306935,4.466550,4.639876,4.727221"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("2.333769,2.502553,2.590879,2.657365,2.702031,2.566784,2.543799", \ - "2.342023,2.476038,2.546662,2.599364,2.633402,2.551287,2.529341", \ - "2.333396,2.454628,2.541754,2.591982,2.654192,2.573859,2.472627", \ - "2.370589,2.487809,2.574317,2.565917,2.598892,2.685794,2.492901", \ - "2.561974,2.692523,2.715519,2.732658,2.680903,2.641378,2.631979", \ - "2.870064,3.002717,3.060904,3.057097,2.928495,2.945171,2.949562", \ - "3.283900,3.452676,3.529274,3.493777,3.444726,3.298357,3.264726"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !S"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("3.375065,3.440505,3.536581,3.680396,3.884168,4.006619,4.054141", \ - "3.364043,3.424023,3.511423,3.666118,3.861560,3.988088,4.035481", \ - "3.343460,3.401423,3.489407,3.651712,3.832396,3.964490,4.009188", \ - "3.373986,3.420941,3.486428,3.651584,3.832538,3.959952,4.009779", \ - "3.531648,3.564764,3.637111,3.760607,3.935131,4.056111,4.101587", \ - "3.799450,3.798662,3.821524,3.944239,4.145527,4.292520,4.333280", \ - "4.270263,4.231917,4.239658,4.306675,4.466382,4.639646,4.726863"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("2.333662,2.502565,2.590747,2.657074,2.701739,2.564152,2.541183", \ - "2.353051,2.472496,2.546749,2.598986,2.633128,2.511998,2.531163", \ - "2.333164,2.464600,2.541709,2.545400,2.653815,2.653566,2.472577", \ - "2.370169,2.507695,2.574147,2.565560,2.598652,2.433421,2.551218", \ - "2.561637,2.692436,2.715012,2.733957,2.680792,2.641527,2.632198", \ - "2.868819,2.997301,3.060784,3.056309,2.963550,2.911331,2.828051", \ - "3.293826,3.451927,3.529342,3.498075,3.488010,3.298663,3.265090"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & S"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("3.634612,3.694093,3.793903,3.993301,4.203417,4.347548,4.400494", \ - "3.610652,3.678437,3.781688,3.974644,4.183620,4.328364,4.381657", \ - "3.575497,3.660012,3.765049,3.946243,4.157559,4.304830,4.361398", \ - "3.608579,3.664236,3.763071,3.941069,4.155029,4.300811,4.358072", \ - "3.753128,3.824563,3.893633,4.052628,4.255613,4.394988,4.445367", \ - "4.025342,4.043504,4.087645,4.236367,4.461373,4.625180,4.679091", \ - "4.492659,4.493718,4.491758,4.587545,4.773522,4.969722,5.068600"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("2.068049,2.191562,2.242784,2.293585,2.281395,2.273502,2.211796", \ - "2.038820,2.136754,2.226091,2.213134,2.325080,2.325882,2.146164", \ - "2.007039,2.145389,2.202946,2.209004,2.261040,2.328675,2.141809", \ - "2.066836,2.172597,2.230813,2.232058,2.290511,2.236680,2.257840", \ - "2.250755,2.370058,2.389703,2.381299,2.339325,2.335717,2.281221", \ - "2.590532,2.685475,2.726429,2.700454,2.560835,2.492574,2.473153", \ - "3.049406,3.136343,3.169046,3.168793,3.120893,2.985255,3.021884"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & S"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("3.634631,3.693919,3.808165,3.993637,4.203711,4.347402,4.400412", \ - "3.610547,3.676842,3.789231,3.974593,4.183516,4.328231,4.381422", \ - "3.574019,3.656319,3.764116,3.946023,4.157421,4.304635,4.360738", \ - "3.608494,3.664131,3.755291,3.941676,4.154907,4.300671,4.357776", \ - "3.752935,3.824371,3.893543,4.053223,4.255463,4.394777,4.445050", \ - "4.024989,4.043396,4.087494,4.236143,4.461178,4.624910,4.678718", \ - "4.492493,4.474369,4.491483,4.588114,4.773182,4.970491,5.068943"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("2.068110,2.191688,2.225137,2.293141,2.316228,2.260747,2.212132", \ - "2.055069,2.151601,2.217439,2.203001,2.317987,2.285795,2.221341", \ - "2.007079,2.145417,2.203535,2.210831,2.293649,2.331432,2.215803", \ - "2.049970,2.172074,2.230961,2.234015,2.264260,2.237695,2.101263", \ - "2.267332,2.370028,2.392390,2.381418,2.341440,2.335585,2.281421", \ - "2.595384,2.685694,2.725665,2.702443,2.557207,2.492766,2.473390", \ - "3.051573,3.136529,3.171970,3.134857,3.024500,2.955832,2.910639"); - } - } - - internal_power () { - - related_pin : "S"; - when : "!A & B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("4.683910,4.750695,4.848526,5.018275,5.207239,5.334919,5.376322", \ - "4.613705,4.676391,4.765428,4.921450,5.125344,5.251158,5.296483", \ - "4.637731,4.704895,4.793953,4.956332,5.146757,5.282074,5.325349", \ - "4.988487,5.041063,5.123429,5.262269,5.451485,5.580108,5.626912", \ - "5.717723,5.750963,5.822113,5.937157,6.106208,6.213195,6.252703", \ - "6.798194,6.761214,6.765817,6.840971,7.064342,7.225250,7.254056", \ - "8.307558,8.255884,8.223313,8.239386,8.376936,8.574129,8.694594"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("2.110873,2.220539,2.267509,2.315469,2.330671,2.321662,2.290311", \ - "2.067284,2.195981,2.236487,2.323500,2.274926,2.301272,2.232568", \ - "2.111010,2.192189,2.261927,2.305051,2.338122,2.291737,2.448157", \ - "2.459472,2.535509,2.555882,2.548598,2.539969,2.598054,2.521526", \ - "3.231079,3.289369,3.295941,3.204988,3.228734,3.090694,3.105911", \ - "4.374063,4.450982,4.498363,4.450955,4.287080,4.031571,3.980716", \ - "5.783362,5.875124,6.000205,6.016619,5.956595,5.826790,5.586354"); - } - } - - internal_power () { - - related_pin : "S"; - when : "A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("2.917148,3.004224,3.095050,3.269743,3.503279,3.644119,3.698150", \ - "2.898148,2.982768,3.077907,3.268137,3.477876,3.623933,3.677158", \ - "2.871292,2.947089,3.062514,3.242655,3.453246,3.598149,3.654985", \ - "2.982350,3.059830,3.173570,3.356429,3.581017,3.724379,3.779376", \ - "3.386368,3.452986,3.546131,3.706912,3.929426,4.086145,4.143210", \ - "4.053092,4.120536,4.228142,4.398980,4.619430,4.718942,4.777007", \ - "4.825914,4.947845,5.074067,5.301431,5.552252,5.693400,5.697120"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); - values ("4.841196,4.988464,5.061137,5.105744,5.118421,5.201428,5.214423", \ - "4.799676,4.939744,4.999932,5.069975,5.122004,4.987625,5.108311", \ - "4.853664,4.995101,5.057192,5.097083,5.044146,5.178694,5.014408", \ - "5.058344,5.219893,5.254960,5.314870,5.327560,5.347808,5.259579", \ - "5.353985,5.558690,5.658934,5.736732,5.750871,5.758283,5.794808", \ - "5.827947,6.027188,6.141912,6.228877,6.332715,6.417873,6.216030", \ - "6.560814,6.767802,6.875420,7.003241,7.057625,7.315817,7.076484"); - } - } - } - - } - - - /****************************************************************************************** - Module : MUX2_X2 - Cell Description : Combinational cell (MUX2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (MUX2_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 68.648566; - - leakage_power () { - when : "!A & !B & !S"; - value : 70.471170; - } - leakage_power () { - when : "!A & !B & S"; - value : 53.956705; - } - leakage_power () { - when : "!A & B & !S"; - value : 91.784550; - } - leakage_power () { - when : "!A & B & S"; - value : 66.756140; - } - leakage_power () { - when : "A & !B & !S"; - value : 57.859120; - } - leakage_power () { - when : "A & !B & S"; - value : 54.561377; - } - leakage_power () { - when : "A & B & !S"; - value : 72.424660; - } - leakage_power () { - when : "A & B & S"; - value : 81.374810; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.592289; - fall_capacitance : 1.556580; - rise_capacitance : 1.592289; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.735083; - fall_capacitance : 1.478441; - rise_capacitance : 1.735083; - } - - pin (S) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.624002; - fall_capacitance : 2.522791; - rise_capacitance : 2.624002; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "((S & B) | (A & !S))"; - - timing () { - - related_pin : "A"; - when : "!B & !S"; - sdf_cond : "(B == 1'b0) && (S == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.040439,0.045307,0.049588,0.056693,0.068524,0.088945,0.126508", \ - "0.041996,0.046864,0.051146,0.058251,0.070084,0.090507,0.128069", \ - "0.047795,0.052655,0.056930,0.064033,0.075866,0.096291,0.133856", \ - "0.058405,0.063233,0.067497,0.074595,0.086438,0.106878,0.144451", \ - "0.071256,0.076450,0.081009,0.088497,0.100771,0.121477,0.159126", \ - "0.085048,0.090623,0.095534,0.103579,0.116575,0.138106,0.176265", \ - "0.100588,0.106575,0.111862,0.120518,0.134388,0.156877,0.195706"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029511,0.035457,0.040938,0.050822,0.069462,0.106022,0.178746", \ - "0.030777,0.036723,0.042204,0.052088,0.070729,0.107289,0.180014", \ - "0.035735,0.041677,0.047151,0.057019,0.075642,0.112202,0.184937", \ - "0.044825,0.050805,0.056273,0.066076,0.084597,0.121075,0.193782", \ - "0.052882,0.059282,0.064893,0.074743,0.093230,0.129628,0.202239", \ - "0.058947,0.065910,0.071943,0.081956,0.100329,0.136585,0.209157", \ - "0.062973,0.070437,0.077038,0.087615,0.105968,0.142013,0.214450"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006305,0.008417,0.010537,0.014483,0.021904,0.036499,0.066761", \ - "0.006306,0.008417,0.010539,0.014484,0.021903,0.036500,0.066761", \ - "0.006305,0.008419,0.010541,0.014488,0.021905,0.036501,0.066761", \ - "0.006474,0.008547,0.010648,0.014566,0.021955,0.036526,0.066768", \ - "0.007900,0.009925,0.011965,0.015747,0.022842,0.036979,0.066904", \ - "0.009577,0.011623,0.013695,0.017505,0.024565,0.038390,0.067561", \ - "0.011472,0.013555,0.015671,0.019538,0.026589,0.040109,0.068621"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006028,0.009675,0.013556,0.021507,0.038156,0.072382,0.141298", \ - "0.006027,0.009674,0.013555,0.021505,0.038153,0.072392,0.141302", \ - "0.006018,0.009668,0.013553,0.021507,0.038156,0.072391,0.141295", \ - "0.006470,0.009982,0.013772,0.021609,0.038179,0.072387,0.141297", \ - "0.007739,0.011033,0.014528,0.022074,0.038458,0.072477,0.141319", \ - "0.009251,0.012647,0.015897,0.022820,0.038699,0.072720,0.141432", \ - "0.010972,0.014517,0.017832,0.024190,0.039222,0.072828,0.141645"); - } - } - - timing () { - - related_pin : "A"; - when : "B & !S"; - sdf_cond : "(B == 1'b1) && (S == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.047412,0.052600,0.057152,0.064646,0.076951,0.097873,0.135810", \ - "0.048882,0.054071,0.058625,0.066119,0.078426,0.099349,0.137287", \ - "0.054374,0.059556,0.064103,0.071594,0.083900,0.104824,0.142763", \ - "0.063903,0.069048,0.073583,0.081060,0.093369,0.114310,0.152258", \ - "0.075910,0.081295,0.086013,0.093748,0.106340,0.127448,0.165454", \ - "0.089290,0.094958,0.099956,0.108136,0.121312,0.143129,0.181578", \ - "0.104989,0.110983,0.116284,0.124952,0.138844,0.161451,0.200538"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.027542,0.033496,0.038994,0.048907,0.067583,0.104168,0.176905", \ - "0.028784,0.034738,0.040234,0.050147,0.068824,0.105409,0.178144", \ - "0.033788,0.039725,0.045205,0.055091,0.073747,0.110331,0.183076", \ - "0.042543,0.048529,0.054001,0.063817,0.082357,0.118852,0.191574", \ - "0.049881,0.056327,0.061957,0.071816,0.090306,0.126729,0.199349", \ - "0.055105,0.062127,0.068220,0.078280,0.096637,0.132895,0.205486", \ - "0.058149,0.065675,0.072358,0.083040,0.101406,0.137463,0.209887"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.007577,0.009689,0.011810,0.015728,0.023094,0.037567,0.067528", \ - "0.007580,0.009689,0.011809,0.015728,0.023094,0.037566,0.067528", \ - "0.007578,0.009691,0.011812,0.015731,0.023096,0.037568,0.067529", \ - "0.007640,0.009749,0.011868,0.015776,0.023125,0.037583,0.067534", \ - "0.008808,0.010841,0.012893,0.016683,0.023780,0.037918,0.067645", \ - "0.010254,0.012282,0.014347,0.018154,0.025249,0.039164,0.068258", \ - "0.011976,0.014007,0.016086,0.019916,0.026992,0.040675,0.069279"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006018,0.009680,0.013575,0.021545,0.038196,0.072412,0.141310", \ - "0.006017,0.009678,0.013573,0.021542,0.038197,0.072419,0.141317", \ - "0.005985,0.009653,0.013555,0.021533,0.038189,0.072420,0.141304", \ - "0.006539,0.010026,0.013814,0.021648,0.038219,0.072410,0.141303", \ - "0.007886,0.011161,0.014612,0.022115,0.038508,0.072526,0.141317", \ - "0.009480,0.012875,0.016098,0.022932,0.038745,0.072745,0.141461", \ - "0.011307,0.014841,0.018165,0.024436,0.039323,0.072882,0.141678"); - } - } - - timing () { - - related_pin : "B"; - when : "!A & S"; - sdf_cond : "(A == 1'b0) && (S == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.033507,0.038268,0.042406,0.049224,0.060565,0.080390,0.117564", \ - "0.034741,0.039504,0.043643,0.050461,0.061803,0.081627,0.118801", \ - "0.040443,0.045192,0.049322,0.056135,0.067478,0.087307,0.124486", \ - "0.052566,0.057273,0.061377,0.068179,0.079529,0.099371,0.136554", \ - "0.067237,0.072414,0.076867,0.084080,0.095818,0.115890,0.153096", \ - "0.082891,0.088523,0.093390,0.101168,0.113500,0.134062,0.171600", \ - "0.100103,0.106171,0.111431,0.119833,0.132902,0.154085,0.191827"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024151,0.029817,0.035116,0.044793,0.063237,0.099634,0.172213", \ - "0.025543,0.031208,0.036506,0.046184,0.064631,0.101032,0.173608", \ - "0.029409,0.035053,0.040330,0.049982,0.068417,0.104826,0.177414", \ - "0.034896,0.040713,0.046065,0.055763,0.074169,0.110540,0.183131", \ - "0.039844,0.046021,0.051545,0.061332,0.079750,0.116114,0.188654", \ - "0.043025,0.049759,0.055669,0.065746,0.084252,0.120542,0.193082", \ - "0.043992,0.051294,0.057760,0.068386,0.087230,0.123647,0.196145"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006252,0.008226,0.010208,0.013927,0.021115,0.035732,0.066417", \ - "0.006254,0.008225,0.010208,0.013927,0.021114,0.035733,0.066417", \ - "0.006251,0.008231,0.010217,0.013934,0.021119,0.035735,0.066417", \ - "0.006658,0.008496,0.010424,0.014084,0.021210,0.035775,0.066427", \ - "0.008580,0.010317,0.012080,0.015458,0.022194,0.036259,0.066543", \ - "0.010576,0.012342,0.014093,0.017338,0.023712,0.037344,0.067123", \ - "0.012644,0.014447,0.016234,0.019480,0.025607,0.038582,0.067766"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005448,0.009050,0.012948,0.020984,0.037782,0.072141,0.141101", \ - "0.005449,0.009050,0.012948,0.020986,0.037788,0.072147,0.141101", \ - "0.005463,0.009065,0.012961,0.020991,0.037787,0.072148,0.141103", \ - "0.005941,0.009485,0.013307,0.021201,0.037838,0.072134,0.141106", \ - "0.006863,0.010317,0.013965,0.021621,0.038083,0.072229,0.141100", \ - "0.008178,0.011707,0.015182,0.022449,0.038461,0.072414,0.141200", \ - "0.009729,0.013465,0.016965,0.023841,0.039314,0.072837,0.141390"); - } - } - - timing () { - - related_pin : "B"; - when : "A & S"; - sdf_cond : "(A == 1'b1) && (S == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.048245,0.053709,0.058449,0.066202,0.078844,0.100141,0.138412", \ - "0.049276,0.054740,0.059480,0.067234,0.079877,0.101175,0.139446", \ - "0.054351,0.059811,0.064546,0.072294,0.084934,0.106233,0.144506", \ - "0.065871,0.071308,0.076022,0.083748,0.096378,0.117686,0.155970", \ - "0.083526,0.089096,0.093890,0.101710,0.114398,0.135738,0.174044", \ - "0.102696,0.108714,0.113883,0.122135,0.135275,0.157065,0.195629", \ - "0.123481,0.129948,0.135522,0.144351,0.158094,0.180377,0.219273"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.025178,0.031318,0.036890,0.046831,0.065419,0.101830,0.174398", \ - "0.026616,0.032755,0.038327,0.048269,0.066858,0.103268,0.175845", \ - "0.030552,0.036673,0.042225,0.052144,0.070725,0.107145,0.179724", \ - "0.036218,0.042510,0.048144,0.058114,0.076667,0.113052,0.185633", \ - "0.041389,0.048043,0.053883,0.063997,0.082604,0.118980,0.191496", \ - "0.044698,0.051902,0.058148,0.068618,0.087360,0.123663,0.196163", \ - "0.045547,0.053266,0.060088,0.071188,0.090326,0.126729,0.199151"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.008557,0.010602,0.012665,0.016522,0.023822,0.038201,0.067999", \ - "0.008560,0.010599,0.012665,0.016521,0.023821,0.038201,0.068001", \ - "0.008559,0.010601,0.012666,0.016524,0.023824,0.038202,0.068002", \ - "0.008540,0.010601,0.012678,0.016542,0.023840,0.038210,0.068004", \ - "0.009860,0.011689,0.013581,0.017194,0.024240,0.038424,0.068081", \ - "0.012027,0.013819,0.015606,0.019003,0.025703,0.039498,0.068576", \ - "0.014222,0.016060,0.017854,0.021146,0.027487,0.040685,0.069494"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006185,0.009716,0.013539,0.021403,0.037951,0.072165,0.141110", \ - "0.006184,0.009716,0.013540,0.021405,0.037953,0.072162,0.141097", \ - "0.006195,0.009730,0.013553,0.021413,0.037951,0.072172,0.141099", \ - "0.006729,0.010189,0.013931,0.021643,0.038023,0.072159,0.141103", \ - "0.007797,0.011139,0.014711,0.022181,0.038330,0.072264,0.141098", \ - "0.009357,0.012688,0.016099,0.023157,0.038787,0.072460,0.141197", \ - "0.011201,0.014616,0.018069,0.024755,0.039734,0.072878,0.141377"); - } - } - - timing () { - - related_pin : "S"; - when : "!A & B"; - sdf_cond : "(A == 1'b0) && (B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.032687,0.037860,0.042393,0.049847,0.062103,0.082991,0.120916", \ - "0.033764,0.038933,0.043464,0.050916,0.063172,0.084060,0.121986", \ - "0.039110,0.044254,0.048764,0.056202,0.068452,0.089338,0.127267", \ - "0.050785,0.055880,0.060349,0.067756,0.080008,0.100905,0.138836", \ - "0.064492,0.070041,0.074856,0.082680,0.095346,0.116567,0.154585", \ - "0.079304,0.085310,0.090544,0.098968,0.112264,0.133997,0.172538", \ - "0.095752,0.102193,0.107860,0.116994,0.131211,0.153730,0.192596"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022847,0.028512,0.033809,0.043482,0.061923,0.098314,0.170896", \ - "0.024228,0.029892,0.035188,0.044860,0.063301,0.099695,0.172273", \ - "0.029319,0.034946,0.040207,0.049837,0.068254,0.104654,0.177248", \ - "0.036148,0.042014,0.047355,0.057024,0.075356,0.111670,0.184236", \ - "0.040958,0.047435,0.053036,0.062747,0.081059,0.117358,0.189823", \ - "0.043551,0.050687,0.056907,0.067064,0.085360,0.121464,0.193937", \ - "0.043727,0.051433,0.058364,0.069475,0.088142,0.124201,0.196495"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.007297,0.009441,0.011591,0.015555,0.022974,0.037502,0.067505", \ - "0.007281,0.009428,0.011580,0.015546,0.022968,0.037499,0.067504", \ - "0.007231,0.009396,0.011557,0.015529,0.022955,0.037489,0.067501", \ - "0.007837,0.009788,0.011839,0.015727,0.023081,0.037556,0.067523", \ - "0.010025,0.011860,0.013740,0.017309,0.024279,0.038237,0.067744", \ - "0.012365,0.014208,0.016069,0.019468,0.025997,0.039568,0.068633", \ - "0.014975,0.016843,0.018737,0.022151,0.028396,0.041193,0.069575"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005446,0.009050,0.012947,0.020983,0.037779,0.072133,0.141088", \ - "0.005450,0.009051,0.012947,0.020984,0.037780,0.072126,0.141090", \ - "0.005468,0.009073,0.012971,0.021000,0.037782,0.072133,0.141093", \ - "0.006426,0.009771,0.013510,0.021313,0.037858,0.072142,0.141086", \ - "0.007938,0.011184,0.014516,0.021869,0.038238,0.072297,0.141085", \ - "0.009765,0.013241,0.016447,0.023056,0.038628,0.072557,0.141282", \ - "0.012003,0.015631,0.019099,0.025272,0.039705,0.072934,0.141539"); - } - } - - timing () { - - related_pin : "S"; - when : "A & !B"; - sdf_cond : "(A == 1'b1) && (B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.064223,0.069681,0.074411,0.082150,0.094781,0.116076,0.154349", \ - "0.065849,0.071307,0.076039,0.083781,0.096413,0.117709,0.155981", \ - "0.070670,0.076133,0.080869,0.088619,0.101254,0.122551,0.160826", \ - "0.075380,0.080845,0.085585,0.093339,0.105979,0.127275,0.165547", \ - "0.079017,0.084388,0.089066,0.096749,0.109334,0.130635,0.168926", \ - "0.080993,0.086377,0.091068,0.098746,0.111333,0.132546,0.170686", \ - "0.081027,0.086410,0.091104,0.098803,0.111389,0.132657,0.170843"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.049080,0.055038,0.060521,0.070395,0.089012,0.125553,0.198280", \ - "0.050614,0.056572,0.062054,0.071929,0.090548,0.127091,0.199814", \ - "0.057246,0.063204,0.068686,0.078561,0.097182,0.133723,0.206448", \ - "0.068738,0.074699,0.080182,0.090058,0.108679,0.145227,0.217950", \ - "0.081518,0.087480,0.092960,0.102831,0.121482,0.158052,0.230784", \ - "0.095699,0.101703,0.107204,0.117078,0.135665,0.172137,0.244896", \ - "0.111596,0.117648,0.123176,0.133072,0.151671,0.188167,0.260770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.008557,0.010603,0.012667,0.016528,0.023827,0.038205,0.068001", \ - "0.008558,0.010600,0.012668,0.016527,0.023828,0.038205,0.068001", \ - "0.008560,0.010601,0.012667,0.016526,0.023827,0.038205,0.068000", \ - "0.008557,0.010601,0.012664,0.016524,0.023824,0.038202,0.068000", \ - "0.008228,0.010311,0.012406,0.016309,0.023710,0.038180,0.068010", \ - "0.008238,0.010328,0.012430,0.016329,0.023653,0.038015,0.067871", \ - "0.008298,0.010395,0.012500,0.016401,0.023726,0.038092,0.067815"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006079,0.009720,0.013591,0.021529,0.038169,0.072397,0.141294", \ - "0.006082,0.009720,0.013591,0.021529,0.038161,0.072401,0.141296", \ - "0.006078,0.009719,0.013591,0.021530,0.038166,0.072387,0.141291", \ - "0.006089,0.009731,0.013601,0.021537,0.038169,0.072400,0.141304", \ - "0.006093,0.009732,0.013596,0.021557,0.038212,0.072415,0.141302", \ - "0.006193,0.009830,0.013679,0.021572,0.038126,0.072351,0.141325", \ - "0.006337,0.009959,0.013795,0.021665,0.038202,0.072297,0.141211"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B & !S"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.840872,7.202265,7.530728,8.017930,8.457083,8.713165,8.792092", \ - "6.767551,7.144968,7.452999,7.959430,8.408011,8.657252,8.735979", \ - "6.672762,7.030317,7.362665,7.835798,8.294652,8.548148,8.628103", \ - "6.708328,7.000620,7.323323,7.793084,8.239482,8.495293,8.585794", \ - "6.842191,7.124039,7.403023,7.886771,8.352654,8.619710,8.695884", \ - "7.365343,7.477086,7.649361,8.051558,8.618915,9.020399,9.099032", \ - "8.258326,8.333915,8.419126,8.707340,9.226460,9.682557,9.904143"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.589787,4.879406,5.006605,5.106538,5.303037,5.390558,5.437914", \ - "4.525002,4.887172,4.962029,5.100802,5.171168,4.919527,5.305031", \ - "4.505971,4.812606,4.983202,5.037893,5.046232,4.853867,5.185298", \ - "4.781245,5.043478,5.182249,5.196018,5.303021,5.356318,5.424151", \ - "5.463371,5.663956,5.695971,5.696908,5.675356,5.573738,5.750768", \ - "6.412096,6.625896,6.644336,6.581141,6.466692,6.414666,6.138005", \ - "7.823975,7.912104,7.972110,7.843064,7.559617,7.526332,7.191494"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !S"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.796080,6.970345,7.183956,7.574028,7.984492,8.245973,8.332590", \ - "6.751434,6.921937,7.148460,7.514729,7.927833,8.188728,8.275301", \ - "6.635535,6.826249,7.038179,7.403453,7.816038,8.079036,8.171556", \ - "6.624894,6.796616,6.989018,7.345629,7.764708,8.033467,8.125522", \ - "6.839028,6.990592,7.170028,7.494336,7.883665,8.139453,8.227584", \ - "7.295045,7.321678,7.412063,7.696611,8.155996,8.513387,8.598962", \ - "8.161693,8.148601,8.150384,8.327944,8.737789,9.128468,9.347841"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.423096,4.771263,4.889984,5.082100,5.197963,4.936393,5.150858", \ - "4.340404,4.724550,4.840312,4.979020,5.064442,5.238353,5.033470", \ - "4.322677,4.666702,4.832822,4.950422,5.012968,4.757663,4.977276", \ - "4.642598,4.912203,5.037023,5.039290,5.013326,5.210523,5.108152", \ - "5.303532,5.502946,5.515970,5.456521,5.441698,5.345752,5.347083", \ - "6.295746,6.474875,6.465520,6.457729,6.244476,5.907745,5.821202", \ - "7.657302,7.728192,7.760878,7.690252,7.559417,7.323979,7.322007"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A & S"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.175079,6.503240,6.830115,7.280557,7.645351,7.854979,7.932717", \ - "6.118300,6.461104,6.765770,7.219731,7.591661,7.801225,7.880537", \ - "6.128090,6.461664,6.765389,7.201748,7.579503,7.789404,7.867434", \ - "6.474303,6.753659,7.041683,7.434239,7.794270,8.008658,8.091241", \ - "7.215392,7.355193,7.596953,7.994695,8.355368,8.547171,8.624575", \ - "8.345350,8.348469,8.433365,8.718056,9.129173,9.419652,9.482066", \ - "9.907633,9.797325,9.753923,9.902744,10.261940,10.538470,10.719950"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.476429,3.755940,3.864214,3.974791,4.003462,4.137430,3.820358", \ - "3.471739,3.741445,3.811299,3.841125,3.882790,4.001281,4.070791", \ - "3.378942,3.658370,3.754761,3.879724,3.863445,3.960740,3.708460", \ - "3.550756,3.755798,3.785192,3.821350,3.942858,3.903426,3.694596", \ - "3.992643,4.208945,4.242297,4.177436,4.182989,3.878621,4.126223", \ - "4.699223,4.980922,5.047355,4.974005,4.950539,4.573631,4.812140", \ - "5.669570,5.945593,6.125943,6.109419,5.994718,5.957242,5.365533"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & S"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.161646,7.297155,7.492953,7.855286,8.325729,8.647060,8.785917", \ - "7.109522,7.251177,7.459985,7.825734,8.266667,8.595232,8.738765", \ - "7.095245,7.249518,7.413563,7.783807,8.245355,8.574652,8.717495", \ - "7.289847,7.434209,7.615077,7.949317,8.407093,8.744982,8.888033", \ - "8.060695,8.123580,8.240267,8.535605,8.913408,9.198847,9.335829", \ - "9.111230,9.056791,9.078464,9.271335,9.691395,9.973741,10.076500", \ - "10.561180,10.420170,10.352160,10.377770,10.683900,10.992650,11.150350"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.470976,3.760314,3.829777,3.963826,3.931306,4.090429,4.048056", \ - "3.448393,3.740696,3.817584,3.904851,3.851020,4.055435,3.928451", \ - "3.440401,3.667346,3.819648,3.892928,3.857902,3.953516,3.942143", \ - "3.580249,3.796347,3.841811,3.921755,3.817667,3.941760,3.947218", \ - "3.998390,4.233994,4.245104,4.232345,4.169934,4.288315,4.025805", \ - "4.765247,4.956191,4.989198,4.967079,4.843824,4.411394,4.319148", \ - "5.768447,5.871027,6.048399,6.106191,6.009745,5.850898,5.462140"); - } - } - - internal_power () { - - related_pin : "S"; - when : "!A & B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.916731,8.201535,8.461505,8.882142,9.293499,9.548130,9.634011", \ - "7.808305,8.100222,8.349447,8.762785,9.176138,9.436579,9.524423", \ - "7.779025,8.062074,8.307275,8.724858,9.148782,9.418387,9.508302", \ - "8.315798,8.559785,8.766092,9.141022,9.540780,9.800846,9.892903", \ - "9.368021,9.482178,9.667071,10.046600,10.426650,10.680660,10.758000", \ - "10.983030,10.978900,11.048740,11.276540,11.710530,12.100860,12.174110", \ - "13.268920,13.193120,13.169420,13.267900,13.593440,13.960760,14.221490"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.403573,4.663326,4.738568,4.868386,4.873533,4.747725,4.730925", \ - "4.354162,4.602330,4.737591,4.830104,4.888314,4.660356,4.632967", \ - "4.344981,4.606609,4.733766,4.803710,4.683908,4.950702,4.633920", \ - "4.784083,4.942858,5.023179,5.005565,5.072321,5.115408,5.111736", \ - "5.804109,5.947044,5.926751,5.804049,5.710818,5.705730,5.498441", \ - "7.228552,7.472344,7.568501,7.467439,7.238618,7.070312,6.938937", \ - "9.263816,9.421703,9.632294,9.637484,9.570417,9.369091,9.260715"); - } - } - - internal_power () { - - related_pin : "S"; - when : "A & !B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.629981,7.765955,7.969179,8.313636,8.768427,9.102431,9.242952", \ - "7.624314,7.771012,7.970994,8.310002,8.777431,9.100036,9.239804", \ - "7.590568,7.743251,7.927972,8.287740,8.734814,9.070179,9.205023", \ - "7.604281,7.800223,7.993767,8.338055,8.809901,9.139586,9.282938", \ - "7.875735,8.007825,8.213658,8.612391,9.081267,9.402316,9.551395", \ - "8.474533,8.657238,8.878497,9.236676,9.644620,9.930087,10.081350", \ - "9.327904,9.513656,9.728957,10.108860,10.567620,10.837960,10.881790"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.641190,8.993346,9.103663,9.178209,9.291962,9.308771,9.529848", \ - "8.601803,8.967954,9.052396,9.168719,9.146694,9.258489,9.374054", \ - "8.689976,8.989785,9.128157,9.225154,9.227459,9.450455,9.356734", \ - "8.820844,9.180607,9.260373,9.379075,9.378849,9.383308,9.116444", \ - "9.060168,9.415457,9.618055,9.720228,9.811673,9.827832,9.890309", \ - "9.395002,9.852098,9.993687,10.207960,10.267040,10.439250,10.231690", \ - "10.102840,10.485150,10.731000,10.835660,11.025650,11.251900,10.813530"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND2_X1 - Cell Description : Combinational cell (NAND2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NAND2_X1) { - - drive_strength : 1; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 17.393360; - - leakage_power () { - when : "!A1 & !A2"; - value : 3.482556; - } - leakage_power () { - when : "!A1 & A2"; - value : 24.799456; - } - leakage_power () { - when : "A1 & !A2"; - value : 4.085038; - } - leakage_power () { - when : "A1 & A2"; - value : 37.206389; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.599032; - fall_capacitance : 1.529196; - rise_capacitance : 1.599032; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.664199; - fall_capacitance : 1.502278; - rise_capacitance : 1.664199; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 59.356700; - function : "!(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00683090,0.0100142,0.0139116,0.0216539,0.0370876,0.0679222,0.129575", \ - "0.00801780,0.0112344,0.0151696,0.0229509,0.0384185,0.0692724,0.130935", \ - "0.0110663,0.0155786,0.0201499,0.0279195,0.0433427,0.0741885,0.135850", \ - "0.0127253,0.0190883,0.0256634,0.0364481,0.0533390,0.0839029,0.145413", \ - "0.0128360,0.0209729,0.0293903,0.0433808,0.0656528,0.0997348,0.160709", \ - "0.0112031,0.0210972,0.0313125,0.0483362,0.0756855,0.118141,0.182879", \ - "0.00772654,0.0192683,0.0312780,0.0512843,0.0834863,0.133996,0.210841"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00743070,0.0112099,0.0157672,0.0247561,0.0426101,0.0782368,0.149445", \ - "0.00896317,0.0127084,0.0173000,0.0263569,0.0442815,0.0799642,0.151206", \ - "0.0141826,0.0189535,0.0236392,0.0325101,0.0503637,0.0860462,0.157306", \ - "0.0198673,0.0266711,0.0336357,0.0448850,0.0628232,0.0981540,0.169220", \ - "0.0262799,0.0348883,0.0438330,0.0586475,0.0818511,0.117889,0.188351", \ - "0.0334985,0.0438815,0.0546771,0.0727012,0.101569,0.145562,0.216015", \ - "0.0415987,0.0537162,0.0663517,0.0874425,0.121509,0.174517,0.253405"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00376100,0.00644406,0.00978480,0.0164658,0.0298164,0.0565256,0.109943", \ - "0.00375484,0.00644493,0.00978762,0.0164644,0.0298190,0.0565239,0.109935", \ - "0.00652247,0.00865044,0.0109402,0.0165623,0.0298184,0.0565245,0.109937", \ - "0.0108210,0.0136816,0.0166969,0.0217745,0.0313966,0.0565209,0.109935", \ - "0.0165636,0.0201004,0.0238534,0.0302379,0.0406327,0.0597444,0.109934", \ - "0.0238974,0.0281323,0.0325754,0.0401642,0.0526647,0.0726144,0.112884", \ - "0.0329635,0.0378907,0.0430574,0.0518038,0.0662468,0.0894970,0.126801"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00474878,0.00814768,0.0123804,0.0208480,0.0377848,0.0716838,0.139435", \ - "0.00475427,0.00814708,0.0123814,0.0208446,0.0377762,0.0716641,0.139428", \ - "0.00779760,0.00997800,0.0130179,0.0208500,0.0378031,0.0716776,0.139430", \ - "0.0122628,0.0156758,0.0191464,0.0247382,0.0382858,0.0716833,0.139437", \ - "0.0178385,0.0220827,0.0266676,0.0342116,0.0458454,0.0726908,0.139429", \ - "0.0249336,0.0298045,0.0352101,0.0445099,0.0592803,0.0822832,0.139806", \ - "0.0337631,0.0391600,0.0452534,0.0559346,0.0736025,0.100571,0.148264"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00817145,0.0113370,0.0152253,0.0229578,0.0383884,0.0692203,0.130874", \ - "0.00937134,0.0126058,0.0165415,0.0243197,0.0397827,0.0706388,0.132301", \ - "0.0121093,0.0160254,0.0203566,0.0282754,0.0438183,0.0747343,0.136442", \ - "0.0140115,0.0195511,0.0252257,0.0347862,0.0514073,0.0824439,0.144225", \ - "0.0141906,0.0216015,0.0291177,0.0413564,0.0610270,0.0943383,0.156313", \ - "0.0125471,0.0218297,0.0312448,0.0465188,0.0704972,0.108454,0.173180", \ - "0.00891092,0.0200583,0.0313815,0.0497697,0.0785145,0.122737,0.193697"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00960902,0.0133234,0.0178627,0.0268501,0.0447259,0.0803975,0.151618", \ - "0.0111329,0.0148711,0.0194367,0.0284610,0.0463691,0.0820630,0.153295", \ - "0.0172641,0.0214183,0.0258440,0.0347313,0.0525529,0.0881978,0.159431", \ - "0.0245720,0.0305875,0.0369411,0.0474816,0.0651164,0.100423,0.171419", \ - "0.0326637,0.0403045,0.0485061,0.0624101,0.0846696,0.120242,0.190648", \ - "0.0418888,0.0510441,0.0608922,0.0777931,0.105452,0.148318,0.218405", \ - "0.0524032,0.0630613,0.0744893,0.0941347,0.126671,0.178209,0.255940"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00376149,0.00644525,0.00978667,0.0164637,0.0298148,0.0565228,0.109937", \ - "0.00376392,0.00644484,0.00978776,0.0164666,0.0298196,0.0565250,0.109933", \ - "0.00511085,0.00743171,0.0103118,0.0165282,0.0298164,0.0565261,0.109940", \ - "0.00829231,0.0106332,0.0133864,0.0189448,0.0306319,0.0565215,0.109938", \ - "0.0128365,0.0155539,0.0185555,0.0240743,0.0350020,0.0581664,0.109936", \ - "0.0184876,0.0217111,0.0251978,0.0312907,0.0422635,0.0641947,0.111586", \ - "0.0251402,0.0289521,0.0330579,0.0400361,0.0518630,0.0735665,0.118078"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.00610365,0.00950804,0.0137567,0.0222567,0.0392340,0.0732071,0.141006", \ - "0.00610415,0.00950897,0.0137533,0.0222545,0.0392389,0.0732087,0.141024", \ - "0.00825893,0.0105569,0.0140425,0.0222551,0.0392462,0.0731843,0.141029", \ - "0.0127792,0.0161796,0.0196065,0.0253773,0.0395483,0.0731882,0.141022", \ - "0.0177729,0.0223745,0.0270895,0.0346684,0.0464205,0.0740197,0.141028", \ - "0.0236048,0.0292324,0.0351104,0.0447833,0.0596935,0.0830242,0.141309", \ - "0.0305580,0.0371253,0.0440048,0.0555212,0.0737673,0.100942,0.149320"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.212994,0.234417,0.246729,0.255173,0.261155,0.261605,0.263335", \ - "0.135401,0.181486,0.204710,0.230474,0.244222,0.253184,0.257660", \ - "0.184838,0.162509,0.174115,0.196926,0.221088,0.238944,0.249968", \ - "0.574060,0.447395,0.355591,0.286746,0.267397,0.262673,0.263234", \ - "1.219766,1.036191,0.878094,0.667720,0.482930,0.396363,0.336624", \ - "2.123623,1.932772,1.728551,1.393477,1.017777,0.717470,0.536657", \ - "3.265018,3.120956,2.897817,2.496625,1.911848,1.330952,0.922925"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("2.187802,2.234387,2.253697,2.278432,2.250605,2.177825,2.182250", \ - "2.094055,2.155353,2.168601,2.214827,2.180665,2.092241,2.281954", \ - "2.175292,2.175204,2.183357,2.204197,2.197215,2.050336,2.151607", \ - "2.347667,2.383600,2.450050,2.360539,2.291470,2.211593,2.138461", \ - "2.962435,2.915027,2.863327,2.880168,2.619055,2.400196,2.443539", \ - "3.987173,3.857002,3.732910,3.555371,3.397883,3.003049,2.686844", \ - "5.344307,5.208937,5.006094,4.659484,4.387559,3.989310,3.470508"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("0.221749,0.243688,0.250200,0.254407,0.258027,0.260887,0.264559", \ - "0.137208,0.179399,0.210919,0.232810,0.247384,0.254428,0.258230", \ - "0.103925,0.106991,0.137653,0.172593,0.210244,0.230864,0.245711", \ - "0.318232,0.258656,0.210164,0.177366,0.198318,0.221707,0.240617", \ - "0.771541,0.660832,0.549516,0.419369,0.298819,0.275181,0.266575", \ - "1.447677,1.315705,1.161009,0.929086,0.664694,0.460851,0.377411", \ - "2.333494,2.205217,2.048637,1.744271,1.316991,0.891795,0.619421"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); - values ("2.882249,2.920256,2.901346,2.934045,2.967850,2.990750,2.847699", \ - "2.809175,2.838447,2.883208,2.908586,2.934143,2.893426,2.794761", \ - "2.864434,2.881079,2.872034,2.861300,2.909710,2.880747,2.963371", \ - "3.067170,3.156284,3.169332,3.078800,3.074477,2.970908,2.889094", \ - "3.658064,3.628393,3.643311,3.621860,3.476744,3.253327,3.024012", \ - "4.644175,4.578437,4.509557,4.351362,4.209204,3.895716,3.560440", \ - "6.000043,5.937054,5.808603,5.534276,5.145665,4.652190,4.094244"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND2_X2 - Cell Description : Combinational cell (NAND2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NAND2_X2) { - - drive_strength : 2; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 34.786630; - - leakage_power () { - when : "!A1 & !A2"; - value : 6.965101; - } - leakage_power () { - when : "!A1 & A2"; - value : 49.598890; - } - leakage_power () { - when : "A1 & !A2"; - value : 8.170060; - } - leakage_power () { - when : "A1 & A2"; - value : 74.412470; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.053103; - fall_capacitance : 2.927184; - rise_capacitance : 3.053103; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.450993; - fall_capacitance : 3.142806; - rise_capacitance : 3.450993; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 118.713000; - function : "!(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00656271,0.0101464,0.0140458,0.0217892,0.0372282,0.0680712,0.129741", \ - "0.00774878,0.0113677,0.0153028,0.0230857,0.0385567,0.0694200,0.131102", \ - "0.0106187,0.0157375,0.0202897,0.0280539,0.0434822,0.0743354,0.136012", \ - "0.0120982,0.0193009,0.0258477,0.0366085,0.0534753,0.0840529,0.145580", \ - "0.0120336,0.0212386,0.0296213,0.0435796,0.0658124,0.0998804,0.160878", \ - "0.0102288,0.0213995,0.0315910,0.0485680,0.0758879,0.118306,0.183046", \ - "0.00655911,0.0196221,0.0315893,0.0515592,0.0837206,0.134194,0.211013"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00712117,0.0113740,0.0159300,0.0249197,0.0427841,0.0784279,0.149678", \ - "0.00866443,0.0128714,0.0174644,0.0265219,0.0444552,0.0801536,0.151433", \ - "0.0137203,0.0191317,0.0237983,0.0326763,0.0505372,0.0862342,0.157541", \ - "0.0192118,0.0269074,0.0338448,0.0450624,0.0629968,0.0983474,0.169451", \ - "0.0254465,0.0351795,0.0440892,0.0588676,0.0820398,0.118075,0.188579", \ - "0.0324828,0.0442223,0.0549767,0.0729599,0.101790,0.145759,0.216235", \ - "0.0404064,0.0540998,0.0666923,0.0877360,0.121759,0.174736,0.253618"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00351044,0.00652730,0.00987343,0.0165603,0.0299302,0.0566637,0.110137", \ - "0.00350460,0.00652780,0.00987423,0.0165608,0.0299293,0.0566647,0.110134", \ - "0.00630501,0.00871204,0.0110034,0.0166520,0.0299295,0.0566634,0.110135", \ - "0.0105277,0.0137574,0.0167632,0.0218368,0.0314937,0.0566633,0.110135", \ - "0.0161769,0.0201868,0.0239339,0.0303068,0.0407031,0.0598706,0.110133", \ - "0.0234118,0.0282122,0.0326593,0.0402356,0.0527294,0.0727015,0.113078", \ - "0.0324175,0.0379671,0.0431419,0.0518756,0.0663152,0.0895680,0.126960"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00443575,0.00824833,0.0124836,0.0209576,0.0378956,0.0717922,0.139583", \ - "0.00443539,0.00825100,0.0124863,0.0209558,0.0379067,0.0717859,0.139581", \ - "0.00754865,0.0100398,0.0130990,0.0209574,0.0379108,0.0717944,0.139573", \ - "0.0118947,0.0157585,0.0192176,0.0248079,0.0383800,0.0717967,0.139582", \ - "0.0173880,0.0221860,0.0267590,0.0342909,0.0459168,0.0727978,0.139574", \ - "0.0244120,0.0299154,0.0353166,0.0446040,0.0593542,0.0823507,0.139946", \ - "0.0332042,0.0392772,0.0453671,0.0560389,0.0736881,0.100647,0.148373"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00787432,0.0114349,0.0153240,0.0230599,0.0384940,0.0693348,0.131004", \ - "0.00906159,0.0127015,0.0166384,0.0244194,0.0398879,0.0707505,0.132432", \ - "0.0116933,0.0161256,0.0204520,0.0283700,0.0439191,0.0748448,0.136567", \ - "0.0133979,0.0196865,0.0253408,0.0348845,0.0515023,0.0825483,0.144349", \ - "0.0133701,0.0217861,0.0292707,0.0414762,0.0611232,0.0944380,0.156431", \ - "0.0115259,0.0220600,0.0314374,0.0466743,0.0706104,0.108548,0.173288", \ - "0.00770017,0.0203399,0.0316168,0.0499585,0.0786534,0.122836,0.193790"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00924967,0.0134254,0.0179617,0.0269448,0.0448154,0.0804630,0.151660", \ - "0.0107727,0.0149746,0.0195379,0.0285565,0.0464582,0.0821294,0.153335", \ - "0.0168233,0.0215225,0.0259418,0.0348277,0.0526437,0.0882732,0.159470", \ - "0.0239447,0.0307388,0.0370713,0.0475829,0.0652048,0.100493,0.171469", \ - "0.0318718,0.0404952,0.0486694,0.0625449,0.0847638,0.120316,0.190692", \ - "0.0409323,0.0512680,0.0610864,0.0779472,0.105563,0.148388,0.218456", \ - "0.0512909,0.0633084,0.0747046,0.0943126,0.126803,0.178289,0.255983"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00351097,0.00652891,0.00987397,0.0165603,0.0299311,0.0566629,0.110134", \ - "0.00351315,0.00652761,0.00987348,0.0165599,0.0299287,0.0566652,0.110137", \ - "0.00489124,0.00750314,0.0103904,0.0166220,0.0299301,0.0566642,0.110137", \ - "0.00805437,0.0107007,0.0134481,0.0190267,0.0307383,0.0566640,0.110139", \ - "0.0125638,0.0156239,0.0186176,0.0241364,0.0350898,0.0583049,0.110138", \ - "0.0181539,0.0217875,0.0252655,0.0313460,0.0423372,0.0643184,0.111790", \ - "0.0247423,0.0290341,0.0331348,0.0400922,0.0519204,0.0736658,0.118269"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.00579025,0.00960394,0.0138495,0.0223375,0.0393262,0.0732511,0.141026", \ - "0.00578864,0.00960243,0.0138480,0.0223407,0.0393234,0.0732544,0.141045", \ - "0.00802471,0.0106273,0.0141190,0.0223386,0.0393166,0.0732453,0.141032", \ - "0.0124045,0.0162604,0.0196674,0.0254356,0.0396274,0.0732474,0.141037", \ - "0.0172778,0.0224807,0.0271785,0.0347278,0.0464629,0.0740541,0.141031", \ - "0.0229963,0.0293603,0.0352147,0.0448598,0.0597445,0.0830530,0.141323", \ - "0.0298393,0.0372697,0.0441280,0.0556217,0.0738326,0.100971,0.149325"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.411732,0.473056,0.494313,0.512645,0.521952,0.525599,0.527406", \ - "0.272967,0.361485,0.416468,0.456050,0.487516,0.507068,0.519690", \ - "0.392486,0.321732,0.347812,0.396147,0.444672,0.481569,0.501626", \ - "1.177601,0.893342,0.711570,0.570510,0.537540,0.527699,0.528534", \ - "2.483522,2.075322,1.747109,1.331098,0.971437,0.791711,0.679824", \ - "4.242138,3.871095,3.441721,2.766833,2.026691,1.431168,1.074194", \ - "6.592968,6.228771,5.795231,4.976029,3.813029,2.652086,1.845922"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("4.416038,4.527870,4.584721,4.602375,4.543472,4.569132,4.073192", \ - "4.266307,4.374279,4.467287,4.487584,4.469003,4.544379,4.591903", \ - "4.471083,4.408549,4.422605,4.490261,4.398273,4.609297,4.333103", \ - "4.807114,4.931925,4.912842,4.775191,4.627797,4.462825,4.201733", \ - "6.050989,5.914883,5.810296,5.842306,5.346570,4.900298,4.685053", \ - "8.055601,7.774848,7.449864,7.070898,6.836077,6.217183,5.403504", \ - "10.834370,10.459140,10.125540,9.386872,8.809422,8.011283,6.968875"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("0.439341,0.484302,0.503540,0.511159,0.522359,0.526223,0.528408", \ - "0.270040,0.368760,0.420685,0.460193,0.493615,0.510572,0.521743", \ - "0.210933,0.217546,0.270978,0.352040,0.418813,0.467058,0.497793", \ - "0.664751,0.519562,0.420518,0.356595,0.398083,0.446054,0.481908", \ - "1.569572,1.314460,1.093675,0.836217,0.600805,0.554305,0.539755", \ - "2.942057,2.611365,2.321114,1.853726,1.328270,0.925277,0.755970", \ - "4.710248,4.389020,4.083621,3.479691,2.620458,1.778620,1.240073"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); - values ("5.856903,5.893719,5.912114,5.883938,5.993770,6.049443,5.805737", \ - "5.677467,5.791675,5.797898,5.793375,5.961489,5.890857,5.582982", \ - "5.859964,5.865049,5.864677,5.804849,5.963565,5.943511,6.005675", \ - "6.256619,6.428514,6.428814,6.252377,5.999291,6.163561,5.904369", \ - "7.434480,7.404329,7.346138,7.298675,6.933834,6.557279,6.180566", \ - "9.395824,9.246429,9.075277,8.856141,8.466440,7.855587,7.199783", \ - "12.096430,11.933580,11.693150,11.098670,10.641780,9.303044,8.396234"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND2_X4 - Cell Description : Combinational cell (NAND2_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NAND2_X4) { - - drive_strength : 4; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 69.573240; - - leakage_power () { - when : "!A1 & !A2"; - value : 13.930180; - } - leakage_power () { - when : "!A1 & A2"; - value : 99.197450; - } - leakage_power () { - when : "A1 & !A2"; - value : 16.340170; - } - leakage_power () { - when : "A1 & A2"; - value : 148.825160; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.954965; - fall_capacitance : 5.698021; - rise_capacitance : 5.954965; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.201850; - fall_capacitance : 5.615363; - rise_capacitance : 6.201850; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 237.427000; - function : "!(A1 & A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00616709,0.00999692,0.0139268,0.0217239,0.0372647,0.0683098,0.130380", \ - "0.00734947,0.0112111,0.0151774,0.0230153,0.0385880,0.0696532,0.131734", \ - "0.00995234,0.0155306,0.0201539,0.0279856,0.0435159,0.0745711,0.136650", \ - "0.0111666,0.0189948,0.0256394,0.0365212,0.0535191,0.0842981,0.146225", \ - "0.0108485,0.0208434,0.0293531,0.0434564,0.0658692,0.100138,0.161536", \ - "0.00880319,0.0209282,0.0312687,0.0484222,0.0759517,0.118635,0.183723", \ - "0.00494015,0.0190727,0.0312259,0.0514041,0.0838078,0.134588,0.211792"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00662973,0.0111626,0.0157319,0.0247337,0.0426125,0.0782841,0.149574", \ - "0.00820155,0.0126559,0.0172595,0.0263296,0.0442782,0.0800060,0.151328", \ - "0.0129546,0.0188646,0.0235996,0.0324834,0.0503564,0.0860824,0.157428", \ - "0.0181161,0.0264666,0.0334992,0.0448231,0.0628163,0.0981902,0.169342", \ - "0.0240317,0.0345830,0.0436014,0.0585021,0.0818018,0.117913,0.188463", \ - "0.0307397,0.0434654,0.0543526,0.0724762,0.101449,0.145559,0.216112", \ - "0.0383388,0.0531677,0.0659206,0.0871323,0.121316,0.174456,0.253488"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00313418,0.00633765,0.00970606,0.0164353,0.0298921,0.0567985,0.110610", \ - "0.00315002,0.00633771,0.00970602,0.0164361,0.0298928,0.0567975,0.110614", \ - "0.00594783,0.00855601,0.0108711,0.0165314,0.0298930,0.0567989,0.110613", \ - "0.0100557,0.0135596,0.0166079,0.0217381,0.0314545,0.0568000,0.110613", \ - "0.0155905,0.0199433,0.0237401,0.0301861,0.0406656,0.0599695,0.110612", \ - "0.0227162,0.0279150,0.0324341,0.0400918,0.0526945,0.0727865,0.113484", \ - "0.0316036,0.0376134,0.0428795,0.0517033,0.0662647,0.0896769,0.127281"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00393055,0.00794777,0.0121823,0.0206665,0.0376183,0.0715432,0.139385", \ - "0.00393661,0.00794815,0.0121876,0.0206644,0.0376169,0.0715386,0.139390", \ - "0.00712406,0.00984943,0.0128563,0.0206640,0.0376290,0.0715557,0.139376", \ - "0.0112983,0.0154807,0.0189911,0.0246123,0.0381319,0.0715513,0.139384", \ - "0.0166838,0.0218366,0.0264560,0.0340559,0.0457346,0.0725756,0.139389", \ - "0.0236166,0.0295187,0.0349651,0.0443132,0.0591423,0.0821747,0.139757", \ - "0.0323452,0.0388429,0.0449805,0.0557059,0.0734344,0.100477,0.148221"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00772592,0.0115258,0.0154446,0.0232324,0.0387680,0.0698114,0.131879", \ - "0.00891849,0.0128074,0.0167763,0.0246121,0.0401814,0.0712473,0.133327", \ - "0.0114387,0.0162125,0.0205762,0.0285516,0.0442016,0.0753286,0.137449", \ - "0.0128684,0.0196863,0.0253988,0.0350101,0.0517307,0.0829777,0.145177", \ - "0.0124865,0.0216448,0.0292261,0.0415291,0.0612871,0.0947886,0.157172", \ - "0.0102974,0.0217583,0.0312644,0.0466401,0.0707053,0.108820,0.173924", \ - "0.00615440,0.0198862,0.0313165,0.0498259,0.0786834,0.123039,0.194317"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00904334,0.0135028,0.0180699,0.0270982,0.0450367,0.0808110,0.152251", \ - "0.0105500,0.0150375,0.0196325,0.0286956,0.0466671,0.0824621,0.153912", \ - "0.0165166,0.0215714,0.0260248,0.0349549,0.0528433,0.0885994,0.160044", \ - "0.0234773,0.0307595,0.0371322,0.0476954,0.0653902,0.100809,0.172026", \ - "0.0312725,0.0404935,0.0487136,0.0626439,0.0849358,0.120612,0.191225", \ - "0.0402046,0.0512431,0.0611148,0.0780388,0.105737,0.148671,0.218970", \ - "0.0504127,0.0632554,0.0747169,0.0943921,0.126976,0.178576,0.256464"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00313365,0.00633759,0.00970601,0.0164357,0.0298916,0.0568031,0.110617", \ - "0.00314190,0.00633701,0.00970608,0.0164365,0.0298936,0.0568031,0.110618", \ - "0.00452658,0.00730328,0.0102191,0.0164938,0.0298919,0.0568005,0.110611", \ - "0.00764449,0.0104861,0.0132537,0.0188794,0.0306875,0.0568016,0.110614", \ - "0.0121385,0.0154059,0.0184144,0.0239565,0.0350053,0.0584134,0.110614", \ - "0.0177282,0.0215815,0.0250759,0.0311720,0.0422194,0.0643658,0.112232", \ - "0.0243042,0.0288429,0.0329533,0.0399305,0.0517955,0.0736550,0.118626"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.00543611,0.00947151,0.0137343,0.0222625,0.0393133,0.0734119,0.141513", \ - "0.00543418,0.00947085,0.0137343,0.0222653,0.0393253,0.0734179,0.141521", \ - "0.00770204,0.0104983,0.0140082,0.0222675,0.0393187,0.0734054,0.141518", \ - "0.0118873,0.0160719,0.0195290,0.0253545,0.0396256,0.0734189,0.141512", \ - "0.0165752,0.0222135,0.0269725,0.0346064,0.0464439,0.0741988,0.141522", \ - "0.0221077,0.0290127,0.0349463,0.0446845,0.0596757,0.0831595,0.141786", \ - "0.0287469,0.0368331,0.0437867,0.0553879,0.0737264,0.101032,0.149750"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.795787,0.940878,0.980508,1.014321,1.042872,1.047780,1.052940", \ - "0.527188,0.716998,0.831193,0.921873,0.985745,1.018615,1.041402", \ - "0.838523,0.654409,0.697793,0.801639,0.888976,0.958862,1.007789", \ - "2.454897,1.823314,1.436914,1.141771,1.072669,1.059437,1.049585", \ - "5.068604,4.189900,3.531058,2.676582,1.933285,1.575694,1.350992", \ - "8.605884,7.786085,6.914839,5.578610,4.057340,2.851663,2.142791", \ - "13.235730,12.471150,11.622380,9.965538,7.625804,5.289704,3.673908"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("8.166986,8.495328,8.594441,8.691525,8.609391,8.646809,7.609597", \ - "7.860686,8.154851,8.220385,8.522434,8.288935,8.538425,8.648522", \ - "8.323260,8.274073,8.298246,8.130525,8.225540,8.141688,8.136278", \ - "8.882743,9.184216,9.303708,8.908565,8.785871,8.506107,8.176910", \ - "11.553490,11.148990,10.919470,10.900720,10.121880,9.123990,8.455333", \ - "15.609210,14.935910,14.499140,13.708560,12.961880,11.596370,10.273990", \ - "21.069130,20.372630,19.596550,18.485200,17.021260,15.280880,13.398650"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("0.862071,0.961966,0.999599,1.026980,1.036169,1.051353,1.050079", \ - "0.503871,0.732150,0.829867,0.918819,0.977742,1.015611,1.030162", \ - "0.427762,0.406790,0.533287,0.697979,0.829107,0.930388,0.984144", \ - "1.325747,1.014777,0.822933,0.685102,0.776430,0.876480,0.949940", \ - "3.156729,2.573444,2.159684,1.634010,1.167042,1.082629,1.057983", \ - "5.885011,5.199818,4.582405,3.654786,2.606754,1.811661,1.482378", \ - "9.442441,8.756687,8.105801,6.912057,5.188303,3.496837,2.435082"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); - values ("11.315760,11.460410,11.543040,11.517010,11.510180,11.587380,10.779140", \ - "11.006140,11.172480,11.300790,11.399130,11.469700,11.337620,11.733300", \ - "11.310510,11.379930,11.421400,11.448120,11.347650,11.364470,11.245560", \ - "12.048040,12.461490,12.443690,12.266280,11.934880,12.004030,10.948230", \ - "14.447510,14.456700,14.320320,14.245730,13.453170,12.742510,11.600610", \ - "18.419180,18.146470,17.781290,17.289450,16.497760,15.204870,13.636150", \ - "23.805850,23.442160,23.085720,22.181160,20.925470,19.043810,16.905950"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND3_X1 - Cell Description : Combinational cell (NAND3_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NAND3_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 18.104768; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 3.318854; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 18.181680; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 4.208919; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 39.493289; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 1.287225; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 18.783568; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 3.760507; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 55.804100; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.590286; - fall_capacitance : 1.562033; - rise_capacitance : 1.590286; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.621225; - fall_capacitance : 1.528977; - rise_capacitance : 1.621225; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.650377; - fall_capacitance : 1.486273; - rise_capacitance : 1.650377; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 58.364900; - function : "!((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0106270,0.0150189,0.0204521,0.0312612,0.0528211,0.0959019,0.182032", \ - "0.0116171,0.0160692,0.0215549,0.0324213,0.0540285,0.0971429,0.183289", \ - "0.0157475,0.0207077,0.0261030,0.0369216,0.0585239,0.101654,0.187820", \ - "0.0193780,0.0263217,0.0337702,0.0462819,0.0677259,0.110616,0.196655", \ - "0.0218025,0.0305247,0.0399593,0.0560603,0.0822203,0.125293,0.210827", \ - "0.0229784,0.0334449,0.0447189,0.0640615,0.0959700,0.146382,0.231434", \ - "0.0227986,0.0349768,0.0480836,0.0705081,0.107693,0.167283,0.259623"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00871018,0.0123627,0.0168272,0.0256550,0.0432087,0.0782443,0.148275", \ - "0.0102956,0.0139545,0.0184570,0.0273428,0.0449597,0.0800468,0.150098", \ - "0.0159148,0.0202792,0.0247405,0.0335054,0.0510723,0.0861634,0.156243", \ - "0.0219506,0.0283086,0.0349627,0.0458331,0.0634366,0.0982310,0.168139", \ - "0.0282845,0.0364589,0.0451008,0.0595436,0.0823046,0.117803,0.187179", \ - "0.0349808,0.0449429,0.0554868,0.0732041,0.101670,0.145159,0.214651", \ - "0.0419931,0.0537537,0.0662110,0.0871212,0.120910,0.173490,0.251719"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00679143,0.0105304,0.0151990,0.0245079,0.0431182,0.0803197,0.154719", \ - "0.00678230,0.0105337,0.0152008,0.0245070,0.0431211,0.0803214,0.154720", \ - "0.00868887,0.0114117,0.0153618,0.0245126,0.0431208,0.0803223,0.154726", \ - "0.0134024,0.0167902,0.0205175,0.0272572,0.0432675,0.0803239,0.154720", \ - "0.0195185,0.0236709,0.0282353,0.0361148,0.0496310,0.0807857,0.154714", \ - "0.0272393,0.0320833,0.0374056,0.0467151,0.0622995,0.0890573,0.154764", \ - "0.0366657,0.0422381,0.0482990,0.0588760,0.0768222,0.106137,0.161500"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00590237,0.00922069,0.0133822,0.0217143,0.0383556,0.0717067,0.138338", \ - "0.00590098,0.00921893,0.0133840,0.0217116,0.0383710,0.0716908,0.138343", \ - "0.00862909,0.0106444,0.0138254,0.0217150,0.0383782,0.0717054,0.138348", \ - "0.0137150,0.0167664,0.0199737,0.0253408,0.0388193,0.0716960,0.138338", \ - "0.0199651,0.0238266,0.0280574,0.0351592,0.0463499,0.0727316,0.138341", \ - "0.0277209,0.0322640,0.0373247,0.0460773,0.0601747,0.0824918,0.138784", \ - "0.0371983,0.0423600,0.0481647,0.0583067,0.0751402,0.101189,0.147580"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0132486,0.0176220,0.0230453,0.0338468,0.0554021,0.0984776,0.184611", \ - "0.0143873,0.0188431,0.0243247,0.0351848,0.0567866,0.0998942,0.186044", \ - "0.0179330,0.0227927,0.0283453,0.0392957,0.0609973,0.104187,0.190390", \ - "0.0217264,0.0280977,0.0349584,0.0470653,0.0690569,0.112351,0.198638", \ - "0.0242983,0.0326117,0.0414376,0.0562927,0.0811043,0.125139,0.211518", \ - "0.0256306,0.0358432,0.0466473,0.0648364,0.0942932,0.142622,0.229637", \ - "0.0256549,0.0377379,0.0504973,0.0719806,0.106742,0.161842,0.253481"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0110324,0.0146474,0.0191030,0.0279369,0.0455128,0.0805845,0.150607", \ - "0.0125676,0.0162205,0.0207104,0.0295854,0.0471977,0.0822932,0.152333", \ - "0.0187687,0.0225913,0.0269794,0.0357693,0.0533362,0.0884177,0.158456", \ - "0.0263676,0.0320498,0.0381530,0.0483764,0.0657390,0.100533,0.170399", \ - "0.0343258,0.0416384,0.0496073,0.0631972,0.0850729,0.120152,0.189493", \ - "0.0428712,0.0517651,0.0614439,0.0781049,0.105435,0.147858,0.217007", \ - "0.0520957,0.0625694,0.0739267,0.0934887,0.125845,0.177051,0.254174"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00679588,0.0105334,0.0151947,0.0245091,0.0431214,0.0803299,0.154731", \ - "0.00679297,0.0105334,0.0151966,0.0245131,0.0431173,0.0803307,0.154734", \ - "0.00783778,0.0109871,0.0152789,0.0245072,0.0431171,0.0803271,0.154720", \ - "0.0112401,0.0143257,0.0181555,0.0259449,0.0432390,0.0803211,0.154725", \ - "0.0164735,0.0198816,0.0238148,0.0312816,0.0464965,0.0806377,0.154708", \ - "0.0229615,0.0268627,0.0313139,0.0392956,0.0541894,0.0847501,0.154800", \ - "0.0305433,0.0350497,0.0401388,0.0491111,0.0648213,0.0944412,0.158114"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00725451,0.0105871,0.0147629,0.0231180,0.0398145,0.0731923,0.139863", \ - "0.00725122,0.0105831,0.0147629,0.0231156,0.0398177,0.0731939,0.139860", \ - "0.00898343,0.0113621,0.0149249,0.0231159,0.0398010,0.0731852,0.139863", \ - "0.0142049,0.0172386,0.0204116,0.0260130,0.0400822,0.0731884,0.139865", \ - "0.0200250,0.0241390,0.0284847,0.0356112,0.0469329,0.0740083,0.139879", \ - "0.0267941,0.0318834,0.0373178,0.0463893,0.0606077,0.0832095,0.140206", \ - "0.0347495,0.0407855,0.0472125,0.0580415,0.0753822,0.101593,0.148591"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0143923,0.0187673,0.0241915,0.0349918,0.0565461,0.0996242,0.185758", \ - "0.0154809,0.0199391,0.0254229,0.0362844,0.0578849,0.100992,0.187142", \ - "0.0179478,0.0226522,0.0281927,0.0391369,0.0608334,0.104020,0.190218", \ - "0.0203291,0.0257701,0.0319957,0.0436704,0.0656415,0.108897,0.195168", \ - "0.0214664,0.0284276,0.0358781,0.0489414,0.0725492,0.116495,0.202789", \ - "0.0206765,0.0294616,0.0386781,0.0541352,0.0801728,0.126644,0.213600", \ - "0.0180776,0.0286386,0.0397134,0.0580998,0.0878106,0.137730,0.227870"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.0126551,0.0163879,0.0209375,0.0298815,0.0475642,0.0827277,0.152849", \ - "0.0141913,0.0179479,0.0225154,0.0314782,0.0491764,0.0843497,0.154457", \ - "0.0206956,0.0243250,0.0288070,0.0376988,0.0553430,0.0904881,0.160578", \ - "0.0296506,0.0349389,0.0406972,0.0504761,0.0677931,0.102640,0.172557", \ - "0.0390577,0.0458596,0.0533607,0.0663292,0.0875114,0.122314,0.191683", \ - "0.0493642,0.0575451,0.0665918,0.0824358,0.108821,0.150342,0.219254", \ - "0.0607943,0.0703151,0.0808396,0.0992913,0.130405,0.180386,0.256521"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00679148,0.0105321,0.0151981,0.0245083,0.0431178,0.0803213,0.154732", \ - "0.00679121,0.0105332,0.0152009,0.0245123,0.0431179,0.0803222,0.154721", \ - "0.00740546,0.0108299,0.0152586,0.0245101,0.0431141,0.0803292,0.154727", \ - "0.00938277,0.0126980,0.0169808,0.0255876,0.0433031,0.0803256,0.154726", \ - "0.0136719,0.0167238,0.0205469,0.0286192,0.0456305,0.0807896,0.154710", \ - "0.0197782,0.0229439,0.0266827,0.0341095,0.0500131,0.0838594,0.155053", \ - "0.0271665,0.0306637,0.0347048,0.0422031,0.0571148,0.0895124,0.157956"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.00858567,0.0118925,0.0160440,0.0243759,0.0410694,0.0744709,0.141196", \ - "0.00858054,0.0118914,0.0160456,0.0243771,0.0410627,0.0744614,0.141187", \ - "0.00953106,0.0122271,0.0160427,0.0243754,0.0410634,0.0744668,0.141186", \ - "0.0147565,0.0177024,0.0208067,0.0266558,0.0412069,0.0744513,0.141199", \ - "0.0204870,0.0245548,0.0288599,0.0359380,0.0474195,0.0750809,0.141195", \ - "0.0268285,0.0319682,0.0374531,0.0465841,0.0608496,0.0837727,0.141416", \ - "0.0340474,0.0401825,0.0467574,0.0578406,0.0754131,0.101790,0.149403"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.523620,0.538965,0.551079,0.556548,0.561151,0.564018,0.564418", \ - "0.459570,0.484698,0.509668,0.529672,0.543887,0.554682,0.559331", \ - "0.434385,0.457202,0.470452,0.498312,0.517651,0.538469,0.550091", \ - "0.728991,0.630651,0.581024,0.559124,0.551408,0.553714,0.557387", \ - "1.306597,1.153240,1.010684,0.831268,0.727155,0.657699,0.616287", \ - "2.170611,1.965158,1.760932,1.459438,1.140559,0.930355,0.781393", \ - "3.276307,3.084566,2.831754,2.426623,1.913607,1.439055,1.113950"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("2.471990,2.511474,2.530868,2.543207,2.469269,2.426696,2.462465", \ - "2.396924,2.457967,2.490998,2.520563,2.474151,2.408284,2.398648", \ - "2.437786,2.454276,2.472123,2.485767,2.515611,2.557297,2.275786", \ - "2.573428,2.622787,2.665344,2.563628,2.570714,2.503315,2.518353", \ - "3.070520,3.031841,3.033047,3.042125,2.821054,2.614283,2.614428", \ - "3.980969,3.890484,3.754150,3.607207,3.390311,3.167125,2.744516", \ - "5.186864,5.100011,4.942323,4.628834,4.319824,3.887655,3.452880"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.530592,0.549848,0.556334,0.559187,0.557272,0.563878,0.563430", \ - "0.468449,0.491525,0.518326,0.536904,0.547013,0.556274,0.560056", \ - "0.376791,0.411169,0.437773,0.474864,0.507930,0.533446,0.547475", \ - "0.499665,0.452481,0.442696,0.458520,0.481563,0.513479,0.535377", \ - "0.891595,0.787195,0.695486,0.588620,0.552055,0.546002,0.550013", \ - "1.545308,1.386511,1.225350,1.015889,0.795064,0.689102,0.631267", \ - "2.416590,2.250065,2.047272,1.729577,1.344535,1.006992,0.823188"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("3.197330,3.233730,3.252385,3.274205,3.256473,3.290973,3.008384", \ - "3.146314,3.186150,3.207811,3.222704,3.186349,3.235119,3.259784", \ - "3.159107,3.163520,3.191927,3.170117,3.139861,3.178267,3.135699", \ - "3.311728,3.405078,3.389065,3.369027,3.331550,3.333032,3.048855", \ - "3.776555,3.792055,3.792899,3.730869,3.605002,3.484930,3.159241", \ - "4.651997,4.582821,4.521547,4.434085,4.299545,3.973590,3.636008", \ - "5.852630,5.790690,5.656346,5.454307,5.199051,4.846904,4.365165"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("0.533708,0.547974,0.553638,0.560867,0.563029,0.562555,0.564869", \ - "0.466494,0.489783,0.513080,0.536682,0.550026,0.558009,0.561376", \ - "0.361949,0.404266,0.430603,0.472027,0.505765,0.533029,0.546888", \ - "0.463291,0.427578,0.405092,0.435914,0.465240,0.505383,0.529225", \ - "0.800036,0.715904,0.640779,0.547825,0.513491,0.523989,0.539815", \ - "1.392877,1.275620,1.149673,0.961666,0.759142,0.649793,0.612275", \ - "2.250542,2.106246,1.948839,1.682860,1.316886,0.968498,0.803068"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); - values ("3.771169,3.818867,3.851701,3.811760,3.854296,3.769549,3.829391", \ - "3.704501,3.761029,3.788784,3.774201,3.775021,3.916161,3.915918", \ - "3.714127,3.769711,3.785776,3.835670,3.795874,3.853596,3.928641", \ - "3.971302,4.032681,4.015139,3.995079,3.942249,3.919794,3.850300", \ - "4.446128,4.457801,4.453042,4.449182,4.322560,4.170403,3.964832", \ - "5.329988,5.273777,5.237729,5.075601,4.942684,4.694519,4.456538", \ - "6.607653,6.561654,6.459292,6.217368,5.888463,5.541937,4.879614"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND3_X2 - Cell Description : Combinational cell (NAND3_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NAND3_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 36.209558; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 6.637686; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 36.363459; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 8.417816; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 78.986600; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 2.574455; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 37.567141; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 7.520995; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 111.608310; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.977805; - fall_capacitance : 2.927174; - rise_capacitance : 2.977805; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.286375; - fall_capacitance : 3.097864; - rise_capacitance : 3.286375; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.558898; - fall_capacitance : 3.238075; - rise_capacitance : 3.558898; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 116.272000; - function : "!((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0106314,0.0155490,0.0209578,0.0317206,0.0531912,0.0960924,0.181864", \ - "0.0116204,0.0166063,0.0220648,0.0328820,0.0543977,0.0973282,0.183118", \ - "0.0157484,0.0212560,0.0266082,0.0373800,0.0588942,0.101842,0.187645", \ - "0.0193655,0.0270867,0.0344072,0.0467654,0.0680903,0.110804,0.196483", \ - "0.0217743,0.0314875,0.0407650,0.0566769,0.0826215,0.125476,0.210659", \ - "0.0229267,0.0345831,0.0456768,0.0648047,0.0964557,0.146577,0.231268", \ - "0.0227435,0.0362827,0.0491740,0.0713617,0.108256,0.167509,0.259455"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00873033,0.0128211,0.0172662,0.0260642,0.0435634,0.0784925,0.148307", \ - "0.0103148,0.0144153,0.0188979,0.0277553,0.0453144,0.0802857,0.150126", \ - "0.0159207,0.0207661,0.0251709,0.0339117,0.0514283,0.0864021,0.156264", \ - "0.0219417,0.0290037,0.0355405,0.0462716,0.0637800,0.0984733,0.168169", \ - "0.0282686,0.0373433,0.0458431,0.0601123,0.0826897,0.118040,0.187203", \ - "0.0349524,0.0460120,0.0563806,0.0738924,0.102137,0.145399,0.214664", \ - "0.0419609,0.0550035,0.0672579,0.0879222,0.121454,0.173761,0.251720"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00676818,0.0109555,0.0155994,0.0248759,0.0434144,0.0804715,0.154583", \ - "0.00675394,0.0109550,0.0156007,0.0248763,0.0434154,0.0804745,0.154579", \ - "0.00866127,0.0117348,0.0157270,0.0248782,0.0434128,0.0804732,0.154580", \ - "0.0133709,0.0171298,0.0208133,0.0275279,0.0435449,0.0804703,0.154582", \ - "0.0194975,0.0240854,0.0285797,0.0363809,0.0498334,0.0809364,0.154579", \ - "0.0272145,0.0325504,0.0377989,0.0470251,0.0625060,0.0891667,0.154640", \ - "0.0366348,0.0427573,0.0487366,0.0592134,0.0770407,0.106228,0.161395"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00587466,0.00960393,0.0137533,0.0220544,0.0386676,0.0719055,0.138369", \ - "0.00587834,0.00960735,0.0137541,0.0220564,0.0386753,0.0719018,0.138381", \ - "0.00861773,0.0109063,0.0141393,0.0220651,0.0386704,0.0719155,0.138382", \ - "0.0136994,0.0170828,0.0202282,0.0255826,0.0390986,0.0719085,0.138364", \ - "0.0199432,0.0242254,0.0284005,0.0354154,0.0465534,0.0729244,0.138371", \ - "0.0277061,0.0327282,0.0377314,0.0463915,0.0603926,0.0826429,0.138813", \ - "0.0371748,0.0428812,0.0486224,0.0586687,0.0753858,0.101326,0.147623"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0132204,0.0181201,0.0235189,0.0342739,0.0557400,0.0986352,0.184407", \ - "0.0143584,0.0193471,0.0248019,0.0356131,0.0571224,0.100048,0.185839", \ - "0.0178942,0.0233026,0.0288243,0.0397260,0.0613356,0.104342,0.190189", \ - "0.0216729,0.0287565,0.0355115,0.0475135,0.0693949,0.112510,0.198437", \ - "0.0242469,0.0334689,0.0421436,0.0568164,0.0814550,0.125295,0.211315", \ - "0.0255797,0.0368939,0.0475173,0.0654770,0.0946965,0.142777,0.229431", \ - "0.0255716,0.0389738,0.0515247,0.0727443,0.107213,0.162002,0.253262"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0110065,0.0150595,0.0194981,0.0283017,0.0458224,0.0807705,0.150583", \ - "0.0125407,0.0166359,0.0211075,0.0299511,0.0475086,0.0824900,0.152306", \ - "0.0187368,0.0229931,0.0273707,0.0361334,0.0536467,0.0886176,0.158433", \ - "0.0263200,0.0326412,0.0386520,0.0487547,0.0660413,0.100729,0.170378", \ - "0.0342585,0.0424053,0.0502555,0.0637045,0.0854031,0.120339,0.189463", \ - "0.0427918,0.0526865,0.0622283,0.0787129,0.105844,0.148049,0.216975", \ - "0.0520001,0.0636406,0.0748445,0.0942046,0.126329,0.177269,0.254133"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00676807,0.0109590,0.0155989,0.0248782,0.0434095,0.0804738,0.154590", \ - "0.00676739,0.0109537,0.0156007,0.0248763,0.0434103,0.0804721,0.154588", \ - "0.00781245,0.0113670,0.0156589,0.0248790,0.0434110,0.0804706,0.154592", \ - "0.0112057,0.0146634,0.0184772,0.0262622,0.0435237,0.0804758,0.154583", \ - "0.0164175,0.0202300,0.0241127,0.0315656,0.0467484,0.0807923,0.154577", \ - "0.0228706,0.0272526,0.0316387,0.0395737,0.0544030,0.0848830,0.154680", \ - "0.0304691,0.0354990,0.0405085,0.0493959,0.0650191,0.0945465,0.158006"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00722716,0.0109640,0.0151307,0.0234552,0.0401120,0.0733774,0.139875", \ - "0.00723063,0.0109663,0.0151261,0.0234477,0.0401106,0.0733951,0.139876", \ - "0.00897452,0.0116622,0.0152615,0.0234548,0.0401101,0.0733859,0.139870", \ - "0.0141800,0.0175508,0.0206690,0.0262640,0.0403621,0.0733845,0.139888", \ - "0.0199887,0.0245579,0.0288281,0.0358654,0.0471363,0.0741990,0.139878", \ - "0.0267512,0.0323939,0.0377436,0.0467057,0.0608175,0.0833628,0.140217", \ - "0.0346934,0.0413780,0.0477046,0.0584245,0.0756265,0.101718,0.148609"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0143674,0.0192664,0.0246657,0.0354206,0.0568864,0.0997834,0.185555", \ - "0.0154532,0.0204459,0.0259018,0.0367143,0.0582249,0.101153,0.186940", \ - "0.0179157,0.0231624,0.0286728,0.0395682,0.0611736,0.104177,0.190018", \ - "0.0202880,0.0263480,0.0325155,0.0441147,0.0659821,0.109057,0.194966", \ - "0.0214094,0.0291488,0.0364767,0.0494230,0.0728996,0.116655,0.202589", \ - "0.0205957,0.0303637,0.0394182,0.0546864,0.0805453,0.126799,0.213403", \ - "0.0179767,0.0297203,0.0405910,0.0587475,0.0882215,0.137882,0.227649"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.0126289,0.0168088,0.0213361,0.0302439,0.0478612,0.0828895,0.152747", \ - "0.0141637,0.0183705,0.0229154,0.0318415,0.0494752,0.0845137,0.154378", \ - "0.0206666,0.0247362,0.0292027,0.0380607,0.0556423,0.0906530,0.160503", \ - "0.0296023,0.0354938,0.0411665,0.0508369,0.0680845,0.102808,0.172465", \ - "0.0389958,0.0465689,0.0539692,0.0668035,0.0878208,0.122479,0.191608", \ - "0.0492919,0.0583952,0.0673248,0.0830073,0.109204,0.150502,0.219173", \ - "0.0607112,0.0713067,0.0816720,0.0999492,0.130845,0.180570,0.256431"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00676629,0.0109544,0.0155992,0.0248764,0.0434143,0.0804748,0.154587", \ - "0.00676557,0.0109550,0.0156000,0.0248771,0.0434144,0.0804735,0.154584", \ - "0.00738096,0.0112261,0.0156458,0.0248789,0.0434138,0.0804774,0.154585", \ - "0.00935265,0.0130753,0.0173487,0.0259241,0.0435889,0.0804750,0.154588", \ - "0.0136416,0.0170496,0.0208725,0.0289403,0.0459022,0.0809377,0.154582", \ - "0.0197404,0.0232668,0.0269801,0.0343840,0.0502702,0.0840054,0.154930", \ - "0.0271163,0.0310140,0.0350127,0.0424542,0.0573272,0.0896475,0.157835"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("0.00856419,0.0122725,0.0164088,0.0247107,0.0413488,0.0746227,0.141130", \ - "0.00855973,0.0122709,0.0164091,0.0247090,0.0413450,0.0746252,0.141134", \ - "0.00951890,0.0125574,0.0163925,0.0247079,0.0413408,0.0746203,0.141135", \ - "0.0147393,0.0180035,0.0210578,0.0269143,0.0414751,0.0746241,0.141145", \ - "0.0204579,0.0249643,0.0291992,0.0361815,0.0476161,0.0752498,0.141150", \ - "0.0267902,0.0324721,0.0378760,0.0468957,0.0610473,0.0838988,0.141392", \ - "0.0340043,0.0407833,0.0472633,0.0582117,0.0756506,0.101889,0.149376"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("1.241221,1.262913,1.276561,1.290370,1.306974,1.306138,1.309942", \ - "1.100188,1.172994,1.207255,1.244884,1.276012,1.286718,1.298918", \ - "1.066635,1.096934,1.124089,1.174809,1.217482,1.253895,1.280203", \ - "1.637147,1.431237,1.332046,1.296796,1.275479,1.284703,1.294570", \ - "2.798681,2.454546,2.174422,1.831476,1.634812,1.490168,1.410733", \ - "4.522892,4.073163,3.663096,3.084639,2.448025,2.027863,1.739182", \ - "6.736977,6.306993,5.816524,5.005337,3.993743,3.043778,2.402295"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("5.110315,5.214534,5.190927,5.170957,5.102329,4.953141,5.071120", \ - "4.993003,5.110400,5.117579,5.147483,5.244761,5.330447,4.944202", \ - "5.059351,5.099634,5.111835,5.075551,5.191364,5.216739,4.699081", \ - "5.342058,5.459212,5.509636,5.409320,5.191170,5.080302,5.352796", \ - "6.383963,6.297198,6.242690,6.188822,5.801994,5.464362,5.376272", \ - "8.159727,7.904071,7.632539,7.271383,7.204093,6.434507,5.818790", \ - "10.572000,10.346310,9.997748,9.536348,8.850185,8.215392,7.053724"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("1.242950,1.279533,1.287045,1.301798,1.309552,1.302978,1.305259", \ - "1.119743,1.168808,1.218837,1.254966,1.272754,1.290802,1.295386", \ - "0.929348,1.009255,1.058645,1.137909,1.192028,1.239627,1.270025", \ - "1.197005,1.074927,1.066588,1.093223,1.144706,1.202911,1.249613", \ - "1.966747,1.733551,1.561828,1.348646,1.288497,1.269821,1.280493", \ - "3.276987,2.908077,2.598394,2.189872,1.764706,1.562296,1.436785", \ - "5.025583,4.618462,4.234549,3.617806,2.848233,2.195810,1.825537"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("6.572351,6.647590,6.651879,6.742255,6.679672,6.691172,6.846102", \ - "6.467320,6.537437,6.568022,6.676967,6.673126,6.585910,6.679441", \ - "6.516129,6.525149,6.533388,6.625229,6.667874,6.560902,6.432718", \ - "6.822620,7.021010,6.911669,6.908579,6.870288,6.779870,6.657139", \ - "7.753046,7.746687,7.728193,7.758283,7.447746,7.082122,7.138458", \ - "9.502648,9.351547,9.246196,9.016328,8.772564,8.224965,7.574426", \ - "11.851130,11.700180,11.542340,11.155730,10.476060,9.452556,8.894908"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("1.236617,1.275427,1.286978,1.293547,1.309442,1.304231,1.305904", \ - "1.115653,1.181991,1.216180,1.251879,1.276799,1.294304,1.303224", \ - "0.905861,0.996262,1.057664,1.128377,1.197528,1.238092,1.271419", \ - "1.101281,1.023329,0.999411,1.050432,1.122238,1.187141,1.237680", \ - "1.752154,1.600018,1.459057,1.276224,1.209114,1.231289,1.255323", \ - "2.971492,2.700130,2.465707,2.098060,1.695034,1.490100,1.401336", \ - "4.681846,4.384280,4.081476,3.537947,2.795798,2.113748,1.784409"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); - values ("7.716342,7.838989,7.863807,7.852279,7.803148,8.017942,8.199741", \ - "7.586596,7.729304,7.777056,7.811879,7.937402,7.696506,7.618552", \ - "7.628071,7.738694,7.733228,7.786358,7.934155,7.906498,8.032275", \ - "8.056799,8.230209,8.203077,8.134314,7.924230,7.698444,7.875332", \ - "9.091984,9.082253,9.105502,9.056204,8.845635,8.511379,8.249171", \ - "10.861430,10.763720,10.597160,10.346160,10.109270,9.585905,9.092252", \ - "13.414870,13.249270,13.099600,12.570980,12.152580,11.188830,10.199830"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND3_X4 - Cell Description : Combinational cell (NAND3_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NAND3_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 72.419123; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 13.275350; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 72.726830; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 16.835621; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 157.973310; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 5.148880; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 75.134295; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 15.041967; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 223.216730; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.251026; - fall_capacitance : 6.164825; - rise_capacitance : 6.251026; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.913977; - fall_capacitance : 6.552261; - rise_capacitance : 6.913977; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 7.155898; - fall_capacitance : 6.528717; - rise_capacitance : 7.155898; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 233.154000; - function : "!((A1 & A2) & A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0102029,0.0154177,0.0208421,0.0316345,0.0531626,0.0961770,0.182175", \ - "0.0111832,0.0164730,0.0219477,0.0327955,0.0543688,0.0974129,0.183430", \ - "0.0152127,0.0211193,0.0264920,0.0372941,0.0588659,0.101928,0.187959", \ - "0.0186159,0.0268861,0.0342501,0.0466722,0.0680649,0.110893,0.196800", \ - "0.0208331,0.0312281,0.0405644,0.0565556,0.0825898,0.125570,0.210982", \ - "0.0218052,0.0342671,0.0454339,0.0646511,0.0964134,0.146674,0.231593", \ - "0.0214203,0.0359088,0.0488871,0.0711815,0.108205,0.167617,0.259785"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00836388,0.0127075,0.0171661,0.0259884,0.0435358,0.0785629,0.148574", \ - "0.00995249,0.0143001,0.0187976,0.0276782,0.0452887,0.0803564,0.150396", \ - "0.0154320,0.0206430,0.0250729,0.0338386,0.0514048,0.0864801,0.156536", \ - "0.0212385,0.0288177,0.0354004,0.0461905,0.0637578,0.0985499,0.168442", \ - "0.0273687,0.0370979,0.0456566,0.0600013,0.0826645,0.118118,0.187480", \ - "0.0338531,0.0457095,0.0561496,0.0737509,0.102103,0.145488,0.214946", \ - "0.0406644,0.0546422,0.0669812,0.0877533,0.121411,0.173868,0.252001"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00637972,0.0108206,0.0154842,0.0247911,0.0433896,0.0805644,0.154911", \ - "0.00636542,0.0108222,0.0154848,0.0247916,0.0433907,0.0805700,0.154916", \ - "0.00835192,0.0116286,0.0156174,0.0247917,0.0433895,0.0805706,0.154914", \ - "0.0129841,0.0170088,0.0207185,0.0274574,0.0435228,0.0805655,0.154914", \ - "0.0190141,0.0239281,0.0284567,0.0363011,0.0498136,0.0810258,0.154910", \ - "0.0266677,0.0323568,0.0376469,0.0469219,0.0624719,0.0892398,0.154973", \ - "0.0359804,0.0425184,0.0485486,0.0590848,0.0769847,0.106280,0.161689"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00554079,0.00948853,0.0136471,0.0219712,0.0386258,0.0719395,0.138552", \ - "0.00553818,0.00948549,0.0136447,0.0219768,0.0386224,0.0719321,0.138568", \ - "0.00838004,0.0108229,0.0140482,0.0219786,0.0386311,0.0719375,0.138562", \ - "0.0133576,0.0169811,0.0201494,0.0255143,0.0390522,0.0719296,0.138560", \ - "0.0195174,0.0240919,0.0282957,0.0353456,0.0465126,0.0729463,0.138562", \ - "0.0271990,0.0325687,0.0376045,0.0463105,0.0603526,0.0826515,0.138986", \ - "0.0366024,0.0426930,0.0484730,0.0585663,0.0753441,0.101340,0.147757"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0127897,0.0179836,0.0233983,0.0341830,0.0557060,0.0987152,0.184714", \ - "0.0139156,0.0192073,0.0246793,0.0355210,0.0570889,0.100129,0.186146", \ - "0.0173831,0.0231560,0.0286976,0.0396314,0.0612997,0.104421,0.190492", \ - "0.0209715,0.0285661,0.0353570,0.0474091,0.0693567,0.112584,0.198736", \ - "0.0233254,0.0332228,0.0419486,0.0566860,0.0814093,0.125370,0.211622", \ - "0.0244550,0.0365928,0.0472818,0.0653171,0.0946347,0.142850,0.229737", \ - "0.0242444,0.0386184,0.0512458,0.0725622,0.107142,0.162078,0.253561"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0106413,0.0149412,0.0193940,0.0282249,0.0457968,0.0808504,0.150873", \ - "0.0121706,0.0165161,0.0210027,0.0298733,0.0474813,0.0825614,0.152598", \ - "0.0183066,0.0228774,0.0272672,0.0360573,0.0536228,0.0886915,0.158728", \ - "0.0256999,0.0324667,0.0385185,0.0486742,0.0660191,0.100811,0.170674", \ - "0.0334660,0.0421786,0.0500759,0.0635934,0.0853774,0.120425,0.189757", \ - "0.0418281,0.0524109,0.0620053,0.0785789,0.105812,0.148141,0.217273", \ - "0.0508665,0.0633085,0.0745835,0.0940416,0.126287,0.177379,0.254432"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00638405,0.0108232,0.0154826,0.0247917,0.0433869,0.0805630,0.154916", \ - "0.00638518,0.0108206,0.0154823,0.0247914,0.0433910,0.0805684,0.154911", \ - "0.00748003,0.0112477,0.0155471,0.0247916,0.0433866,0.0805688,0.154917", \ - "0.0108768,0.0145475,0.0183755,0.0261912,0.0435002,0.0805683,0.154914", \ - "0.0160380,0.0201023,0.0240123,0.0314890,0.0467311,0.0808887,0.154915", \ - "0.0224287,0.0271026,0.0315185,0.0394873,0.0543774,0.0849696,0.155013", \ - "0.0299443,0.0353121,0.0403749,0.0492820,0.0649792,0.0946163,0.158329"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00690228,0.0108516,0.0150271,0.0233749,0.0400658,0.0734333,0.140105", \ - "0.00690311,0.0108533,0.0150253,0.0233727,0.0400681,0.0734381,0.140095", \ - "0.00876984,0.0115691,0.0151624,0.0233748,0.0400648,0.0734238,0.140103", \ - "0.0138374,0.0174560,0.0205885,0.0262014,0.0403170,0.0734284,0.140104", \ - "0.0195298,0.0244265,0.0287259,0.0357963,0.0470966,0.0742350,0.140102", \ - "0.0261803,0.0322233,0.0376105,0.0466241,0.0607817,0.0833944,0.140438", \ - "0.0340105,0.0411760,0.0475485,0.0583168,0.0755812,0.101739,0.148770"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0139573,0.0191512,0.0245661,0.0353506,0.0568737,0.0998846,0.185881", \ - "0.0150308,0.0203271,0.0258001,0.0366434,0.0582118,0.101252,0.187268", \ - "0.0174584,0.0230409,0.0285693,0.0394955,0.0611598,0.104278,0.190345", \ - "0.0197373,0.0262032,0.0323985,0.0440390,0.0659674,0.109159,0.195293", \ - "0.0206679,0.0289702,0.0363379,0.0493356,0.0728814,0.116755,0.202912", \ - "0.0196535,0.0301336,0.0392484,0.0545770,0.0805220,0.126900,0.213734", \ - "0.0168349,0.0294424,0.0403811,0.0586231,0.0881869,0.137986,0.227977"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.0122714,0.0167136,0.0212584,0.0301975,0.0478727,0.0830107,0.153095", \ - "0.0138019,0.0182741,0.0228361,0.0317944,0.0494859,0.0846341,0.154729", \ - "0.0202954,0.0246408,0.0291237,0.0380143,0.0556546,0.0907744,0.160853", \ - "0.0290583,0.0353573,0.0410697,0.0507860,0.0680953,0.102932,0.172824", \ - "0.0383106,0.0463918,0.0538300,0.0667348,0.0878252,0.122605,0.191956", \ - "0.0484613,0.0581692,0.0671535,0.0829151,0.109209,0.150632,0.219529", \ - "0.0597461,0.0710344,0.0814718,0.0998370,0.130849,0.180719,0.256781"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00638433,0.0108207,0.0154823,0.0247906,0.0433871,0.0805633,0.154917", \ - "0.00638357,0.0108199,0.0154837,0.0247918,0.0433909,0.0805644,0.154911", \ - "0.00701763,0.0110998,0.0155292,0.0247916,0.0433897,0.0805628,0.154921", \ - "0.00902237,0.0129490,0.0172387,0.0258478,0.0435660,0.0805643,0.154912", \ - "0.0133190,0.0169369,0.0207647,0.0288628,0.0458820,0.0810338,0.154919", \ - "0.0193960,0.0231520,0.0268762,0.0343056,0.0502459,0.0840997,0.155258", \ - "0.0267396,0.0308789,0.0348999,0.0423729,0.0572960,0.0897307,0.158165"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("0.00825151,0.0121683,0.0163159,0.0246384,0.0413234,0.0746860,0.141395", \ - "0.00824508,0.0121678,0.0163156,0.0246373,0.0413158,0.0746772,0.141402", \ - "0.00928476,0.0124640,0.0163031,0.0246372,0.0413117,0.0746951,0.141381", \ - "0.0144168,0.0179184,0.0209941,0.0268576,0.0414492,0.0746870,0.141401", \ - "0.0200107,0.0248423,0.0291016,0.0361228,0.0475858,0.0753111,0.141398", \ - "0.0262293,0.0323128,0.0377555,0.0468199,0.0610138,0.0839365,0.141634", \ - "0.0333364,0.0405836,0.0471145,0.0581186,0.0756168,0.101920,0.149572"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("2.424943,2.540135,2.560994,2.588026,2.607102,2.620016,2.621748", \ - "2.182186,2.339446,2.413995,2.500792,2.547611,2.583230,2.608593", \ - "2.104114,2.197132,2.244165,2.352884,2.454774,2.521749,2.568619", \ - "3.348097,2.865794,2.678475,2.586018,2.560760,2.571903,2.591303", \ - "5.710033,4.910912,4.356577,3.670631,3.267754,2.994145,2.831408", \ - "9.120214,8.141062,7.338990,6.166956,4.905353,4.075216,3.487097", \ - "13.494290,12.615250,11.651290,10.026170,7.987897,6.106636,4.808307"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("9.978955,10.119080,10.204470,10.200880,9.939372,9.642868,9.791211", \ - "9.696110,9.978046,10.025520,10.208400,9.947122,9.855259,9.556140", \ - "9.933373,9.961087,9.957258,10.082930,10.013100,10.334260,10.386910", \ - "10.474120,10.799380,10.736360,10.630830,10.088500,9.879538,10.028730", \ - "12.503530,12.243810,12.256100,12.167450,11.774550,10.605470,10.410800", \ - "16.064670,15.631850,15.217790,14.420880,14.172840,12.611780,11.597570", \ - "21.013810,20.394630,19.797540,18.559940,17.260540,16.168540,13.765130"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("2.499216,2.557663,2.585489,2.610037,2.615327,2.623010,2.627386", \ - "2.221791,2.362375,2.444354,2.497953,2.558866,2.598652,2.610903", \ - "1.832306,2.028120,2.133112,2.263364,2.399307,2.501348,2.560263", \ - "2.398697,2.168438,2.116799,2.200075,2.304908,2.425420,2.508521", \ - "3.987433,3.484735,3.138185,2.700975,2.572474,2.553089,2.572013", \ - "6.585536,5.859692,5.230312,4.402838,3.537086,3.128973,2.894404", \ - "10.084260,9.294790,8.506755,7.249938,5.710977,4.385973,3.674118"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("12.963900,13.097640,13.136690,13.116620,13.030400,13.340580,13.305080", \ - "12.673920,12.876470,12.944290,12.997990,13.016410,13.029540,12.972590", \ - "12.779270,12.854700,12.893360,12.862590,12.725790,12.999410,12.479020", \ - "13.410780,13.800540,13.657550,13.485770,13.462180,13.285630,13.471590", \ - "15.307730,15.307830,15.142150,15.143120,14.624360,14.229140,13.897370", \ - "18.730500,18.522800,18.224540,17.686500,16.950340,16.175850,14.489530", \ - "23.554040,23.223750,22.743810,21.976910,20.724120,18.748610,17.411950"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("2.502251,2.566859,2.590122,2.597650,2.620400,2.626835,2.625653", \ - "2.210938,2.357645,2.434249,2.512048,2.557077,2.591527,2.605837", \ - "1.790291,1.979356,2.107815,2.264903,2.399557,2.494318,2.558211", \ - "2.220869,2.065137,2.005102,2.110644,2.247681,2.390138,2.479282", \ - "3.550666,3.187397,2.917975,2.560848,2.424202,2.465953,2.525367", \ - "6.016980,5.434321,4.939015,4.209456,3.396858,2.982786,2.820859", \ - "9.463245,8.743647,8.186963,7.094170,5.617344,4.249380,3.588919"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); - values ("15.170530,15.395570,15.565760,15.585360,15.777170,16.009530,15.239850", \ - "14.895420,15.142740,15.201390,15.409930,15.638600,15.854260,15.022950", \ - "14.958300,15.134720,15.335780,15.290850,15.386920,15.804740,15.652370", \ - "15.825110,16.209600,16.177810,16.104340,15.614270,15.474290,15.341490", \ - "17.827680,17.894770,17.989780,17.871370,17.367600,16.487590,16.259640", \ - "21.548580,21.304000,21.033030,20.509140,19.686560,18.896330,17.781040", \ - "26.571400,26.307110,25.909800,25.152280,23.703480,21.966770,19.471250"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND4_X1 - Cell Description : Combinational cell (NAND4_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NAND4_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 18.126843; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 3.312518; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 18.018000; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 4.294631; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 32.879561; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 3.575660; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 18.907966; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 4.460451; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 54.182403; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 1.171214; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 15.986358; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 2.103157; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 33.480017; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 1.188106; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 18.459101; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 3.614811; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 74.395530; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.522092; - fall_capacitance : 1.522092; - rise_capacitance : 1.521361; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.595210; - fall_capacitance : 1.535299; - rise_capacitance : 1.595210; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.638090; - fall_capacitance : 1.535576; - rise_capacitance : 1.638090; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.659913; - fall_capacitance : 1.487643; - rise_capacitance : 1.659913; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 55.999800; - function : "!(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0155892,0.0209716,0.0277283,0.0411918,0.0680519,0.121726,0.229035", \ - "0.0164825,0.0219318,0.0287472,0.0422761,0.0691915,0.122906,0.230241", \ - "0.0209193,0.0261421,0.0329022,0.0464169,0.0733602,0.127116,0.234480", \ - "0.0268061,0.0338372,0.0416867,0.0553368,0.0819352,0.135475,0.242730", \ - "0.0315346,0.0403717,0.0502922,0.0676334,0.0964746,0.149239,0.256000", \ - "0.0354265,0.0459005,0.0576459,0.0783605,0.113290,0.169747,0.275380", \ - "0.0384389,0.0504445,0.0639911,0.0877898,0.128295,0.194398,0.302008"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0103340,0.0137594,0.0180254,0.0264838,0.0433172,0.0769211,0.144086", \ - "0.0119704,0.0154148,0.0197153,0.0282223,0.0451094,0.0787490,0.145952", \ - "0.0180377,0.0218239,0.0260078,0.0344261,0.0512719,0.0849161,0.152116", \ - "0.0247632,0.0304362,0.0365728,0.0467668,0.0635951,0.0969813,0.164023", \ - "0.0313615,0.0387742,0.0468522,0.0605236,0.0823233,0.116475,0.183031", \ - "0.0379666,0.0470705,0.0569999,0.0739153,0.101326,0.143467,0.210402", \ - "0.0444711,0.0552900,0.0670887,0.0871779,0.119900,0.171015,0.247281"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0112985,0.0159067,0.0217178,0.0333045,0.0564173,0.102647,0.195041", \ - "0.0112872,0.0159083,0.0217108,0.0332947,0.0564135,0.102643,0.195047", \ - "0.0117968,0.0158796,0.0216444,0.0332881,0.0564168,0.102651,0.195050", \ - "0.0167753,0.0205458,0.0250015,0.0342466,0.0564089,0.102631,0.195030", \ - "0.0234335,0.0278861,0.0329703,0.0421649,0.0596614,0.102622,0.195027", \ - "0.0315994,0.0367150,0.0426155,0.0531791,0.0714607,0.106821,0.195020", \ - "0.0413748,0.0471869,0.0538531,0.0658038,0.0864375,0.121413,0.197127"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.00743186,0.0105830,0.0145736,0.0225736,0.0385551,0.0705000,0.134419", \ - "0.00742832,0.0105847,0.0145762,0.0225565,0.0385462,0.0704961,0.134413", \ - "0.00953994,0.0115935,0.0148531,0.0225630,0.0385481,0.0704921,0.134411", \ - "0.0153574,0.0179753,0.0208583,0.0259394,0.0389637,0.0705000,0.134416", \ - "0.0223291,0.0256740,0.0294821,0.0360020,0.0465561,0.0716679,0.134412", \ - "0.0308337,0.0348154,0.0394128,0.0474633,0.0606522,0.0818061,0.135058", \ - "0.0411049,0.0456724,0.0510040,0.0603866,0.0761043,0.100837,0.144634"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0195664,0.0249326,0.0316803,0.0451326,0.0719907,0.125657,0.232972", \ - "0.0206218,0.0260648,0.0328735,0.0463913,0.0732994,0.127009,0.234344", \ - "0.0244346,0.0299443,0.0368196,0.0504403,0.0774689,0.131275,0.238682", \ - "0.0300131,0.0366982,0.0443813,0.0584679,0.0855811,0.139491,0.246987", \ - "0.0350104,0.0435310,0.0530424,0.0695809,0.0984961,0.152479,0.260029", \ - "0.0391625,0.0494645,0.0609674,0.0808984,0.114164,0.170915,0.278497", \ - "0.0425158,0.0545516,0.0679778,0.0912546,0.130023,0.193260,0.302980"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0126840,0.0160929,0.0203571,0.0288271,0.0456909,0.0793448,0.146534", \ - "0.0143163,0.0177575,0.0220524,0.0305575,0.0474548,0.0811327,0.148338", \ - "0.0206542,0.0240684,0.0282968,0.0367489,0.0536120,0.0872831,0.154495", \ - "0.0288575,0.0339910,0.0396645,0.0492891,0.0659426,0.0993599,0.166421", \ - "0.0370085,0.0437211,0.0512099,0.0641278,0.0851059,0.118867,0.185449", \ - "0.0453423,0.0535678,0.0627475,0.0787059,0.105075,0.146215,0.212845", \ - "0.0538440,0.0636035,0.0744741,0.0933511,0.124757,0.174595,0.249795"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0113055,0.0159077,0.0217134,0.0333002,0.0564231,0.102631,0.195051", \ - "0.0113025,0.0159126,0.0217184,0.0333066,0.0564259,0.102645,0.195048", \ - "0.0116487,0.0159430,0.0217114,0.0332896,0.0564192,0.102634,0.195050", \ - "0.0149708,0.0188083,0.0236313,0.0338952,0.0564235,0.102628,0.195034", \ - "0.0206131,0.0245511,0.0292690,0.0386341,0.0581975,0.102623,0.195030", \ - "0.0277183,0.0321198,0.0372935,0.0468717,0.0653303,0.104841,0.195022", \ - "0.0360616,0.0410194,0.0467911,0.0573114,0.0762806,0.113178,0.196142"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.00879090,0.0119584,0.0159685,0.0239868,0.0400046,0.0720339,0.136030", \ - "0.00879575,0.0119570,0.0159688,0.0239861,0.0400079,0.0720521,0.136028", \ - "0.00999824,0.0124556,0.0160344,0.0239793,0.0400033,0.0720408,0.136026", \ - "0.0158133,0.0184261,0.0212872,0.0266616,0.0402591,0.0720309,0.136033", \ - "0.0224853,0.0260228,0.0299112,0.0364544,0.0471539,0.0729659,0.136020", \ - "0.0302040,0.0346240,0.0395024,0.0478102,0.0611116,0.0825462,0.136541", \ - "0.0391892,0.0444689,0.0502892,0.0602463,0.0764005,0.101295,0.145692"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0219453,0.0273085,0.0340566,0.0475088,0.0743648,0.128037,0.235351", \ - "0.0230338,0.0284792,0.0352874,0.0488061,0.0757166,0.129421,0.236758", \ - "0.0260111,0.0315100,0.0383844,0.0520061,0.0790346,0.132843,0.240247", \ - "0.0298352,0.0360390,0.0434418,0.0574712,0.0845639,0.138470,0.245969", \ - "0.0333401,0.0408785,0.0493910,0.0648092,0.0932815,0.147307,0.254839", \ - "0.0355448,0.0448406,0.0551034,0.0729149,0.103816,0.159986,0.267492", \ - "0.0365456,0.0476015,0.0597027,0.0805224,0.115281,0.175034,0.284449"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0145540,0.0180564,0.0224026,0.0309746,0.0479459,0.0817039,0.149019", \ - "0.0161108,0.0196354,0.0239996,0.0325923,0.0495801,0.0833530,0.150666", \ - "0.0224548,0.0258805,0.0301982,0.0387505,0.0557104,0.0894659,0.156784", \ - "0.0319698,0.0367794,0.0421502,0.0513808,0.0680464,0.101555,0.168705", \ - "0.0415000,0.0477714,0.0548502,0.0672135,0.0875555,0.121090,0.187750", \ - "0.0514158,0.0590500,0.0676904,0.0829181,0.108432,0.148739,0.215167", \ - "0.0618916,0.0708440,0.0810129,0.0989198,0.129198,0.177920,0.252175"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0113090,0.0159090,0.0217136,0.0332884,0.0564223,0.102649,0.195047", \ - "0.0113036,0.0159058,0.0217102,0.0332908,0.0564269,0.102637,0.195032", \ - "0.0114946,0.0159314,0.0217120,0.0332887,0.0564177,0.102641,0.195047", \ - "0.0136661,0.0178417,0.0231359,0.0338414,0.0564129,0.102637,0.195034", \ - "0.0182294,0.0219713,0.0267992,0.0369307,0.0579575,0.102638,0.195022", \ - "0.0250984,0.0288435,0.0334516,0.0427531,0.0625319,0.104657,0.195021", \ - "0.0335110,0.0375146,0.0423812,0.0516114,0.0701727,0.110219,0.196404"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0101223,0.0132659,0.0172523,0.0252514,0.0412836,0.0733692,0.137417", \ - "0.0101204,0.0132640,0.0172528,0.0252515,0.0412802,0.0733539,0.137414", \ - "0.0107093,0.0134322,0.0172306,0.0252562,0.0412670,0.0733431,0.137439", \ - "0.0163006,0.0188520,0.0216540,0.0273372,0.0414158,0.0733459,0.137416", \ - "0.0229151,0.0264216,0.0302811,0.0367891,0.0476450,0.0740731,0.137420", \ - "0.0303110,0.0347578,0.0396792,0.0480415,0.0613909,0.0831328,0.137808", \ - "0.0387079,0.0440733,0.0500159,0.0601420,0.0765126,0.101552,0.146524"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0230571,0.0284224,0.0351718,0.0486235,0.0754798,0.129150,0.236462", \ - "0.0241135,0.0295592,0.0363683,0.0498849,0.0767963,0.130505,0.237840", \ - "0.0264185,0.0319198,0.0387967,0.0524203,0.0794505,0.133257,0.240664", \ - "0.0286368,0.0345326,0.0417544,0.0556908,0.0827737,0.136668,0.244155", \ - "0.0302636,0.0368592,0.0446169,0.0593465,0.0874205,0.141452,0.248959", \ - "0.0304283,0.0383769,0.0472706,0.0632570,0.0926877,0.148262,0.255763", \ - "0.0286933,0.0382682,0.0487819,0.0669127,0.0984343,0.156053,0.265113"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0157663,0.0194264,0.0239114,0.0326563,0.0498006,0.0836952,0.151177", \ - "0.0173192,0.0209924,0.0254860,0.0342404,0.0513942,0.0852944,0.152750", \ - "0.0237106,0.0272549,0.0316934,0.0404065,0.0575287,0.0914072,0.158849", \ - "0.0343156,0.0389244,0.0441081,0.0530678,0.0698742,0.103513,0.170781", \ - "0.0450968,0.0510720,0.0578796,0.0698261,0.0896742,0.123076,0.189836", \ - "0.0565259,0.0637304,0.0719738,0.0866227,0.111412,0.151007,0.217279", \ - "0.0689214,0.0772869,0.0868732,0.103954,0.133246,0.180961,0.254369"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0113097,0.0159105,0.0217096,0.0332921,0.0564262,0.102630,0.195042", \ - "0.0113048,0.0159053,0.0217102,0.0332980,0.0564306,0.102635,0.195051", \ - "0.0113834,0.0159156,0.0217136,0.0333051,0.0564189,0.102641,0.195038", \ - "0.0127488,0.0171935,0.0227473,0.0337296,0.0564156,0.102632,0.195028", \ - "0.0155995,0.0196437,0.0249531,0.0358614,0.0577160,0.102712,0.195033", \ - "0.0211874,0.0247889,0.0294952,0.0395067,0.0608705,0.104482,0.195018", \ - "0.0289242,0.0324358,0.0368364,0.0459320,0.0657811,0.108556,0.196535"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("0.0115314,0.0146643,0.0186271,0.0265816,0.0425418,0.0745651,0.138730", \ - "0.0115093,0.0146560,0.0186213,0.0265769,0.0425374,0.0745717,0.138702", \ - "0.0116185,0.0145381,0.0185333,0.0265646,0.0425503,0.0745832,0.138683", \ - "0.0169270,0.0194188,0.0221624,0.0281373,0.0425735,0.0745622,0.138689", \ - "0.0235488,0.0269927,0.0307773,0.0372099,0.0481984,0.0751244,0.138661", \ - "0.0307484,0.0351600,0.0400490,0.0483636,0.0616735,0.0836722,0.138946", \ - "0.0387300,0.0440464,0.0500058,0.0601909,0.0766297,0.101758,0.147258"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("1.018729,1.031030,1.031002,1.042424,1.042139,1.048034,1.044124", \ - "0.953103,0.978463,0.996564,1.018126,1.024914,1.038267,1.040639", \ - "0.917656,0.937507,0.953326,0.980585,0.997730,1.020896,1.028807", \ - "1.096232,1.055959,1.038169,1.014759,1.023896,1.021540,1.032968", \ - "1.605762,1.478746,1.362499,1.246686,1.172929,1.114212,1.082736", \ - "2.376467,2.204461,2.024563,1.778761,1.539233,1.358670,1.229583", \ - "3.438495,3.232941,3.008170,2.641619,2.195779,1.817345,1.524954"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("2.748930,2.752810,2.785312,2.778617,2.711440,2.824684,2.719278", \ - "2.704632,2.743122,2.763191,2.732572,2.730476,2.822099,2.664606", \ - "2.709231,2.733320,2.751997,2.767517,2.770230,2.826516,2.548206", \ - "2.850479,2.946654,2.904317,2.895686,2.811474,2.655244,2.790659", \ - "3.257430,3.238799,3.210150,3.253177,3.095288,2.893815,2.876050", \ - "4.030101,3.932992,3.836218,3.747420,3.679814,3.299683,2.979148", \ - "5.150833,5.047066,4.889349,4.661153,4.390897,4.076111,3.635850"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("1.023473,1.033965,1.033232,1.045389,1.047319,1.040710,1.045582", \ - "0.972840,0.983001,1.003445,1.020808,1.030536,1.033651,1.040577", \ - "0.874485,0.903775,0.931446,0.958386,0.993674,1.008055,1.027269", \ - "0.899929,0.902453,0.907791,0.922265,0.950816,0.987452,1.014037", \ - "1.220433,1.135112,1.068458,1.012832,0.999509,1.008571,1.016041", \ - "1.792063,1.659037,1.522490,1.348304,1.205962,1.130914,1.083697", \ - "2.631989,2.453341,2.254648,1.974296,1.649623,1.408421,1.251583"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("3.495636,3.487336,3.524136,3.530592,3.568271,3.439938,3.587719", \ - "3.466555,3.495151,3.502142,3.522386,3.570598,3.540770,3.522371", \ - "3.458542,3.480205,3.483805,3.512687,3.425588,3.554879,3.565522", \ - "3.607583,3.630409,3.651378,3.626785,3.599689,3.546322,3.316647", \ - "3.960908,3.965530,3.985114,3.921481,3.762847,3.769676,3.739800", \ - "4.707729,4.677055,4.576990,4.479815,4.458155,4.178373,3.876437", \ - "5.782778,5.738181,5.619128,5.444301,5.246609,4.743128,4.525992"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("1.028558,1.029620,1.036327,1.039631,1.039533,1.045847,1.042781", \ - "0.967211,0.989777,1.006635,1.019101,1.026812,1.036400,1.037719", \ - "0.871895,0.895729,0.925109,0.955493,0.984339,1.013323,1.024886", \ - "0.847402,0.860799,0.880652,0.902899,0.937699,0.980415,1.004700", \ - "1.105708,1.047290,0.990201,0.957046,0.955038,0.981035,1.000774", \ - "1.629789,1.519003,1.406490,1.259938,1.127103,1.070462,1.054175", \ - "2.449888,2.293852,2.127799,1.863244,1.563760,1.329213,1.208953"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("4.095020,4.151354,4.171747,4.154461,4.146869,4.243803,4.097895", \ - "4.037763,4.112416,4.134347,4.099613,4.196695,4.200070,3.996984", \ - "4.050074,4.057955,4.099324,4.101870,4.123952,4.191940,4.202658", \ - "4.241156,4.255043,4.245238,4.195849,4.174360,4.265945,4.252589", \ - "4.601490,4.624678,4.621898,4.641262,4.520239,4.415984,4.271802", \ - "5.376302,5.348312,5.312345,5.215925,5.031215,4.857254,4.662963", \ - "6.507485,6.446712,6.342238,6.201801,5.923064,5.483738,5.052253"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("1.030671,1.028191,1.040233,1.045323,1.040911,1.043473,1.046908", \ - "0.972459,0.988807,1.000689,1.015303,1.023532,1.034002,1.041806", \ - "0.864231,0.896346,0.925236,0.947340,0.981816,1.012296,1.024296", \ - "0.837870,0.845479,0.867781,0.897252,0.940970,0.972193,1.005356", \ - "1.077515,1.024034,0.974689,0.929271,0.944114,0.973916,0.997268", \ - "1.578164,1.476210,1.378302,1.236826,1.090208,1.058549,1.048678", \ - "2.364354,2.239020,2.096536,1.861437,1.559904,1.311978,1.203236"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); - values ("4.607538,4.655405,4.687278,4.728936,4.723795,4.761594,4.903425", \ - "4.555494,4.600410,4.632705,4.743130,4.789552,4.703792,4.787562", \ - "4.556724,4.607727,4.660984,4.711902,4.738099,4.772075,4.656140", \ - "4.814367,4.819929,4.828512,4.849481,4.864743,4.891226,4.900918", \ - "5.202140,5.206151,5.207057,5.243879,5.139754,4.859995,4.999319", \ - "6.022714,5.971316,5.939026,5.795495,5.673944,5.549075,5.128330", \ - "7.226536,7.184698,7.075033,6.826000,6.701488,6.302162,5.838626"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND4_X2 - Cell Description : Combinational cell (NAND4_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NAND4_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 36.253723; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 6.625014; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 36.036110; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 8.589262; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 65.759342; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 7.151319; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 37.816031; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 8.920879; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 108.365070; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 2.342429; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 31.972819; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 4.206305; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 66.960025; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 2.376203; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 36.918193; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 7.229622; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 148.790950; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.922235; - fall_capacitance : 2.922235; - rise_capacitance : 2.922096; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.274809; - fall_capacitance : 3.151637; - rise_capacitance : 3.274809; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.476345; - fall_capacitance : 3.275519; - rise_capacitance : 3.476345; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.821420; - fall_capacitance : 3.498593; - rise_capacitance : 3.821420; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 111.542000; - function : "!(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0157711,0.0218345,0.0285622,0.0419696,0.0687230,0.122184,0.229067", \ - "0.0166661,0.0228032,0.0295867,0.0430555,0.0698651,0.123363,0.230272", \ - "0.0211013,0.0270032,0.0337392,0.0471986,0.0740362,0.127574,0.234509", \ - "0.0270424,0.0348884,0.0425949,0.0561021,0.0826001,0.135936,0.242767", \ - "0.0318621,0.0416906,0.0514434,0.0685488,0.0971364,0.149695,0.256045", \ - "0.0357874,0.0474447,0.0589966,0.0794700,0.114089,0.170202,0.275422", \ - "0.0388231,0.0522501,0.0655339,0.0890574,0.129225,0.194913,0.302051"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0105033,0.0143685,0.0186230,0.0270602,0.0438619,0.0774030,0.144439", \ - "0.0121391,0.0160268,0.0203148,0.0288033,0.0456534,0.0792297,0.146290", \ - "0.0182288,0.0224135,0.0265954,0.0350017,0.0518161,0.0853916,0.152460", \ - "0.0250201,0.0313330,0.0373402,0.0473808,0.0641293,0.0974548,0.164372", \ - "0.0316840,0.0399279,0.0478487,0.0613306,0.0829219,0.116936,0.183371", \ - "0.0383470,0.0484727,0.0582139,0.0748975,0.102064,0.143959,0.210728", \ - "0.0449282,0.0569406,0.0685183,0.0883351,0.120764,0.171590,0.247587"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0114402,0.0166367,0.0224184,0.0339608,0.0570005,0.103060,0.195151", \ - "0.0114302,0.0166330,0.0224200,0.0339596,0.0569994,0.103066,0.195144", \ - "0.0119239,0.0165743,0.0223731,0.0339568,0.0570047,0.103059,0.195140", \ - "0.0168911,0.0211137,0.0255160,0.0348207,0.0569979,0.103054,0.195143", \ - "0.0235559,0.0285173,0.0335393,0.0426719,0.0601375,0.103048,0.195141", \ - "0.0317333,0.0374256,0.0432645,0.0537174,0.0718828,0.107187,0.195136", \ - "0.0415869,0.0480190,0.0545636,0.0663961,0.0868820,0.121715,0.197251"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.00753975,0.0110960,0.0150822,0.0230534,0.0390057,0.0709183,0.134716", \ - "0.00753830,0.0110980,0.0150824,0.0230612,0.0390115,0.0709116,0.134718", \ - "0.00958919,0.0119725,0.0152986,0.0230558,0.0390050,0.0709197,0.134720", \ - "0.0154360,0.0183504,0.0211831,0.0262835,0.0393896,0.0709221,0.134720", \ - "0.0224230,0.0261573,0.0299108,0.0363474,0.0468511,0.0720507,0.134719", \ - "0.0309593,0.0353890,0.0399271,0.0478827,0.0609762,0.0820930,0.135372", \ - "0.0412119,0.0463082,0.0515829,0.0608671,0.0764757,0.101100,0.144880"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0197137,0.0257598,0.0324798,0.0458790,0.0726266,0.126083,0.232966", \ - "0.0207717,0.0269013,0.0336776,0.0471378,0.0739389,0.127432,0.234338", \ - "0.0245818,0.0307842,0.0376269,0.0511901,0.0781090,0.131705,0.238678", \ - "0.0301981,0.0376634,0.0452444,0.0592135,0.0862201,0.139918,0.246986", \ - "0.0352474,0.0447642,0.0540931,0.0704190,0.0991315,0.152907,0.260037", \ - "0.0394448,0.0509557,0.0622457,0.0819020,0.114872,0.171333,0.278495", \ - "0.0428329,0.0563119,0.0694683,0.0924197,0.130840,0.193687,0.302958"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0127986,0.0166410,0.0208896,0.0293312,0.0461419,0.0796800,0.146668", \ - "0.0144308,0.0183103,0.0225872,0.0310642,0.0479080,0.0814668,0.148468", \ - "0.0207748,0.0246091,0.0288268,0.0372519,0.0540650,0.0876323,0.154625", \ - "0.0290289,0.0347572,0.0403203,0.0498050,0.0663847,0.0997046,0.166553", \ - "0.0372215,0.0447177,0.0520738,0.0648194,0.0855912,0.119204,0.185579", \ - "0.0456020,0.0547749,0.0638018,0.0795571,0.105681,0.146564,0.212962", \ - "0.0541518,0.0650237,0.0757046,0.0943485,0.125471,0.175000,0.249900"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0114476,0.0166346,0.0224189,0.0339622,0.0570025,0.103061,0.195141", \ - "0.0114481,0.0166375,0.0224179,0.0339568,0.0570006,0.103056,0.195148", \ - "0.0117840,0.0166608,0.0224201,0.0339582,0.0570006,0.103067,0.195141", \ - "0.0150859,0.0194244,0.0242279,0.0345164,0.0570082,0.103054,0.195143", \ - "0.0207359,0.0251303,0.0298318,0.0391715,0.0587386,0.103053,0.195140", \ - "0.0278726,0.0327781,0.0378665,0.0473788,0.0657941,0.105243,0.195134", \ - "0.0362301,0.0417436,0.0474342,0.0578164,0.0767228,0.113521,0.196258"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.00889005,0.0124611,0.0164562,0.0244507,0.0404297,0.0723532,0.136161", \ - "0.00888792,0.0124612,0.0164557,0.0244384,0.0404261,0.0723719,0.136173", \ - "0.0100643,0.0128840,0.0164908,0.0244467,0.0404151,0.0723735,0.136170", \ - "0.0158953,0.0187958,0.0216032,0.0270105,0.0406485,0.0723610,0.136185", \ - "0.0226002,0.0265214,0.0303385,0.0367924,0.0474278,0.0732652,0.136178", \ - "0.0303362,0.0352331,0.0400301,0.0482249,0.0614030,0.0827759,0.136687", \ - "0.0393468,0.0451965,0.0509113,0.0607333,0.0767443,0.101497,0.145822"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0220956,0.0281427,0.0348623,0.0482608,0.0750103,0.128466,0.235350", \ - "0.0231889,0.0293209,0.0360987,0.0495597,0.0763635,0.129856,0.236762", \ - "0.0261656,0.0323584,0.0392009,0.0527636,0.0796830,0.133276,0.240250", \ - "0.0300062,0.0369517,0.0442956,0.0582255,0.0852147,0.138903,0.245976", \ - "0.0335568,0.0419690,0.0503386,0.0656232,0.0939376,0.147744,0.254854", \ - "0.0358294,0.0461715,0.0562480,0.0738247,0.104520,0.160421,0.267510", \ - "0.0369302,0.0491864,0.0610519,0.0815869,0.116044,0.175477,0.284448"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0146694,0.0186136,0.0229381,0.0314756,0.0483821,0.0820082,0.149069", \ - "0.0162259,0.0201943,0.0245363,0.0330934,0.0500172,0.0836552,0.150729", \ - "0.0225630,0.0264304,0.0307312,0.0392504,0.0561480,0.0897733,0.156868", \ - "0.0321242,0.0374942,0.0427677,0.0518652,0.0684752,0.101865,0.168779", \ - "0.0417014,0.0487042,0.0556670,0.0678681,0.0880192,0.121394,0.187824", \ - "0.0516586,0.0601874,0.0686862,0.0837288,0.109010,0.149057,0.215229", \ - "0.0621599,0.0721969,0.0821633,0.0998547,0.129875,0.178294,0.252235"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0114487,0.0166390,0.0224159,0.0339598,0.0570093,0.103059,0.195144", \ - "0.0114496,0.0166345,0.0224197,0.0339623,0.0570089,0.103054,0.195141", \ - "0.0116316,0.0166563,0.0224165,0.0339557,0.0570019,0.103061,0.195140", \ - "0.0137890,0.0185157,0.0237731,0.0344805,0.0570093,0.103055,0.195145", \ - "0.0183382,0.0225570,0.0273905,0.0375200,0.0585140,0.103066,0.195138", \ - "0.0252107,0.0294120,0.0340025,0.0432692,0.0630415,0.105069,0.195131", \ - "0.0335966,0.0381236,0.0429436,0.0521095,0.0706461,0.110601,0.196527"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0102267,0.0137668,0.0177413,0.0257067,0.0416764,0.0736233,0.137464", \ - "0.0102249,0.0137655,0.0177403,0.0257048,0.0416790,0.0736219,0.137465", \ - "0.0107955,0.0138835,0.0177208,0.0257077,0.0416665,0.0736237,0.137495", \ - "0.0163912,0.0192210,0.0219593,0.0276952,0.0417815,0.0736245,0.137478", \ - "0.0230333,0.0269165,0.0307025,0.0371172,0.0479283,0.0743233,0.137474", \ - "0.0304586,0.0353767,0.0402095,0.0484465,0.0616643,0.0833197,0.137865", \ - "0.0389064,0.0448143,0.0506372,0.0606354,0.0768479,0.101719,0.146571"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0232123,0.0292587,0.0359787,0.0493771,0.0761261,0.129583,0.236467", \ - "0.0242724,0.0304040,0.0371805,0.0506415,0.0774436,0.130939,0.237843", \ - "0.0265774,0.0327720,0.0396158,0.0531812,0.0801029,0.133693,0.240667", \ - "0.0288081,0.0354307,0.0426028,0.0564535,0.0834266,0.137110,0.244167", \ - "0.0304595,0.0378231,0.0455101,0.0601412,0.0880801,0.141895,0.248973", \ - "0.0306668,0.0395276,0.0482588,0.0641062,0.0933773,0.148709,0.255789", \ - "0.0289658,0.0396483,0.0499453,0.0678376,0.0991560,0.156500,0.265131"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0158799,0.0199971,0.0244521,0.0331524,0.0502234,0.0839796,0.151146", \ - "0.0174341,0.0215640,0.0260277,0.0347373,0.0518170,0.0855796,0.152775", \ - "0.0238183,0.0278148,0.0322309,0.0409034,0.0579521,0.0916909,0.158843", \ - "0.0344610,0.0396159,0.0447061,0.0535446,0.0702934,0.103797,0.170814", \ - "0.0452843,0.0519705,0.0586536,0.0704488,0.0901052,0.123353,0.189838", \ - "0.0567561,0.0648063,0.0729021,0.0873813,0.111957,0.151288,0.217288", \ - "0.0691790,0.0785434,0.0879431,0.104832,0.133883,0.181294,0.254366"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0114498,0.0166376,0.0224246,0.0339581,0.0570087,0.103056,0.195141", \ - "0.0114470,0.0166356,0.0224161,0.0339588,0.0570034,0.103064,0.195141", \ - "0.0115219,0.0166415,0.0224192,0.0339618,0.0570082,0.103057,0.195144", \ - "0.0128885,0.0178930,0.0234082,0.0343743,0.0569990,0.103054,0.195152", \ - "0.0157210,0.0202971,0.0256118,0.0364925,0.0582854,0.103128,0.195143", \ - "0.0213008,0.0253610,0.0300630,0.0400905,0.0614122,0.104906,0.195135", \ - "0.0290537,0.0329726,0.0373654,0.0464529,0.0663003,0.108962,0.196658"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("0.0116387,0.0151592,0.0191075,0.0270277,0.0429261,0.0748251,0.138658", \ - "0.0116171,0.0151520,0.0191037,0.0270249,0.0429319,0.0748306,0.138712", \ - "0.0117092,0.0150193,0.0190226,0.0270164,0.0429291,0.0748277,0.138650", \ - "0.0170152,0.0197719,0.0224733,0.0285041,0.0429498,0.0748183,0.138695", \ - "0.0236710,0.0274730,0.0311929,0.0375236,0.0484695,0.0753734,0.138654", \ - "0.0309108,0.0357765,0.0405755,0.0487721,0.0619456,0.0838554,0.138948", \ - "0.0389168,0.0447940,0.0506302,0.0606803,0.0769583,0.101905,0.147264"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("2.018489,2.060283,2.070423,2.072727,2.088653,2.095433,2.086095", \ - "1.925081,1.964679,2.006614,2.038308,2.061150,2.075314,2.075462", \ - "1.853496,1.881304,1.921009,1.962544,2.001220,2.042873,2.060874", \ - "2.178061,2.095434,2.058830,2.037016,2.041719,2.056890,2.063641", \ - "3.189750,2.919541,2.700023,2.501662,2.341674,2.234523,2.162506", \ - "4.755543,4.344670,4.013681,3.524747,3.053495,2.710422,2.453848", \ - "6.878736,6.409200,5.950249,5.245367,4.371027,3.623563,3.042241"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("5.952394,6.047888,6.018506,6.085336,5.892542,6.084601,5.778267", \ - "5.852582,5.980459,5.987791,6.071336,6.097048,5.969535,5.865455", \ - "5.952236,5.977129,5.994941,6.061537,5.940576,5.778258,6.103293", \ - "6.168460,6.340745,6.305747,6.232420,6.249314,6.220386,5.926441", \ - "6.990592,6.872874,6.864030,6.967697,6.692669,6.459557,6.097829", \ - "8.560323,8.310540,8.090528,8.018066,7.830180,7.091029,6.967580", \ - "10.743010,10.528860,10.233250,9.836301,9.253526,8.517254,7.619653"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("2.035630,2.071089,2.068444,2.087612,2.091474,2.095443,2.093045", \ - "1.946506,1.972727,2.015573,2.027219,2.058127,2.076690,2.078576", \ - "1.738993,1.816262,1.861694,1.923209,1.987148,2.029123,2.053073", \ - "1.795263,1.808837,1.808740,1.867291,1.923919,1.970230,2.025511", \ - "2.433198,2.262727,2.125901,2.045955,2.017513,2.009679,2.040028", \ - "3.589229,3.276592,3.011434,2.683959,2.397505,2.252984,2.163345", \ - "5.241331,4.841309,4.459827,3.922562,3.287021,2.809655,2.501535"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("7.482558,7.504757,7.499693,7.578010,7.517347,7.585503,7.579855", \ - "7.400518,7.479607,7.475547,7.563219,7.523346,7.408695,7.448422", \ - "7.397498,7.421685,7.479343,7.517299,7.560807,7.501268,7.215557", \ - "7.675100,7.808691,7.728712,7.723662,7.653817,7.508597,7.737048", \ - "8.402756,8.427472,8.404920,8.450894,8.177168,7.846617,7.885448", \ - "9.867052,9.763690,9.592639,9.478436,9.344490,8.728672,8.115158", \ - "12.014960,11.912640,11.684630,11.422940,10.899480,10.313840,9.459856"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("2.053928,2.063002,2.070937,2.077404,2.094996,2.086141,2.083878", \ - "1.923886,1.985728,2.010749,2.037306,2.067776,2.067233,2.084602", \ - "1.734154,1.807252,1.850494,1.921756,1.981125,2.031128,2.052732", \ - "1.702848,1.727771,1.760571,1.816820,1.889695,1.955588,2.018369", \ - "2.219425,2.078895,1.974719,1.913334,1.929038,1.956582,2.010217", \ - "3.267775,2.998326,2.793852,2.506290,2.238771,2.153836,2.107435", \ - "4.909317,4.559124,4.209239,3.709147,3.104482,2.649160,2.416475"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("8.739589,8.790578,8.864242,8.881699,8.945990,8.866330,8.733561", \ - "8.625513,8.704539,8.722735,8.777262,8.747499,8.734215,8.845621", \ - "8.599844,8.659124,8.722544,8.726057,8.643783,8.735092,8.856213", \ - "8.942129,8.984933,8.954077,9.020922,9.006157,8.915707,8.766393", \ - "9.663933,9.678059,9.776687,9.713457,9.587830,9.325882,8.868708", \ - "11.238760,11.154550,11.090880,10.835170,10.729610,10.253720,9.773467", \ - "13.516130,13.336900,13.117900,12.797680,12.443480,11.609620,10.685410"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("2.050053,2.060020,2.080053,2.077603,2.092899,2.081296,2.092002", \ - "1.921156,1.983722,2.009321,2.038022,2.062832,2.063201,2.081890", \ - "1.718432,1.801939,1.847650,1.920848,1.969323,2.019050,2.057534", \ - "1.684296,1.698656,1.741985,1.790387,1.882489,1.957887,2.008687", \ - "2.141791,2.036378,1.943694,1.866988,1.896511,1.942611,1.992471", \ - "3.137173,2.925886,2.739633,2.457735,2.202512,2.120743,2.094947", \ - "4.705752,4.439578,4.167605,3.713307,3.105421,2.627207,2.403632"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); - values ("9.703272,9.788982,9.957403,10.024580,10.025830,9.899708,10.254260", \ - "9.629119,9.692465,9.851030,9.951969,9.983849,10.194400,10.046460", \ - "9.608355,9.728896,9.810848,9.911559,9.959910,9.935756,10.202730", \ - "10.117150,10.099110,10.122570,10.059580,10.097590,10.250200,10.275560", \ - "10.861590,10.942950,10.994690,10.908560,10.585800,10.235670,10.460590", \ - "12.500860,12.421280,12.376710,12.192740,11.864410,11.552840,10.914210", \ - "14.960050,14.821370,14.629490,14.202260,13.740850,12.984340,12.141490"); - } - } - } - - } - - - /****************************************************************************************** - Module : NAND4_X4 - Cell Description : Combinational cell (NAND4_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NAND4_X4) { - - drive_strength : 4; - - area : 4.788000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 72.506878; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 13.249940; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 72.071670; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 17.178447; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 131.517650; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 14.302574; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 75.631479; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 17.841758; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 216.727280; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 4.684863; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 63.945139; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 8.412548; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 133.918944; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 4.752341; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 73.835956; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 14.459211; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 297.580250; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.652047; - fall_capacitance : 5.652047; - rise_capacitance : 5.627042; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.794997; - fall_capacitance : 5.577139; - rise_capacitance : 5.794997; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 5.905165; - fall_capacitance : 5.536274; - rise_capacitance : 5.905165; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.095535; - fall_capacitance : 5.502966; - rise_capacitance : 6.095535; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 222.778000; - function : "!(((A1 & A2) & A3) & A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0140539,0.0205672,0.0273809,0.0409454,0.0680033,0.122062,0.230137", \ - "0.0149394,0.0215388,0.0284108,0.0420418,0.0691567,0.123253,0.231354", \ - "0.0194687,0.0257896,0.0325906,0.0462081,0.0733507,0.127491,0.235619", \ - "0.0249378,0.0335470,0.0414436,0.0551568,0.0819452,0.135875,0.243897", \ - "0.0293418,0.0401207,0.0501086,0.0675428,0.0965301,0.149666,0.257200", \ - "0.0329233,0.0456841,0.0575172,0.0783668,0.113478,0.170210,0.276615", \ - "0.0355881,0.0503226,0.0639328,0.0878920,0.128620,0.195035,0.303287"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00955836,0.0136720,0.0179303,0.0263686,0.0431566,0.0766696,0.143645", \ - "0.0111971,0.0153271,0.0196182,0.0281072,0.0449492,0.0785003,0.145503", \ - "0.0170676,0.0217495,0.0259292,0.0343222,0.0511219,0.0846726,0.151682", \ - "0.0232611,0.0302300,0.0364005,0.0466336,0.0634506,0.0967483,0.163602", \ - "0.0293472,0.0384216,0.0465448,0.0602688,0.0821077,0.116239,0.182607", \ - "0.0353851,0.0465448,0.0565372,0.0735113,0.100977,0.143149,0.209972", \ - "0.0413189,0.0545508,0.0664402,0.0866164,0.119401,0.170548,0.246814"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0102493,0.0158531,0.0217215,0.0334088,0.0567322,0.103352,0.196526", \ - "0.0102077,0.0158439,0.0217186,0.0334131,0.0567328,0.103344,0.196530", \ - "0.0108665,0.0157533,0.0216031,0.0334102,0.0567322,0.103349,0.196529", \ - "0.0157670,0.0203620,0.0249139,0.0342886,0.0567293,0.103347,0.196534", \ - "0.0222147,0.0276479,0.0328089,0.0421267,0.0598795,0.103334,0.196527", \ - "0.0301853,0.0364127,0.0424004,0.0530791,0.0715720,0.107395,0.196528", \ - "0.0398452,0.0468571,0.0535836,0.0656523,0.0864820,0.121841,0.198513"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00661249,0.0103729,0.0143556,0.0223234,0.0382646,0.0701583,0.133931", \ - "0.00660926,0.0103709,0.0143544,0.0223191,0.0382629,0.0701600,0.133919", \ - "0.00908296,0.0114283,0.0146549,0.0223249,0.0382748,0.0701500,0.133923", \ - "0.0145617,0.0177781,0.0206818,0.0257678,0.0387175,0.0701551,0.133922", \ - "0.0213238,0.0254156,0.0292513,0.0357976,0.0463736,0.0713723,0.133920", \ - "0.0296553,0.0345142,0.0391408,0.0472105,0.0604234,0.0815587,0.134619", \ - "0.0397144,0.0453065,0.0506917,0.0600996,0.0758289,0.100584,0.144273"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0188519,0.0253462,0.0321504,0.0457066,0.0727590,0.126812,0.234888", \ - "0.0198906,0.0264880,0.0333551,0.0469782,0.0740856,0.128178,0.236276", \ - "0.0236823,0.0303635,0.0373007,0.0510325,0.0782632,0.132461,0.240630", \ - "0.0289182,0.0370898,0.0448161,0.0590060,0.0863348,0.140645,0.248908", \ - "0.0333973,0.0438748,0.0534463,0.0700805,0.0991870,0.153587,0.261923", \ - "0.0370486,0.0497328,0.0613221,0.0813803,0.114844,0.171966,0.280343", \ - "0.0399602,0.0548055,0.0683030,0.0917159,0.130726,0.194302,0.304769"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0122762,0.0163801,0.0206502,0.0291193,0.0459626,0.0795553,0.146624", \ - "0.0138880,0.0180337,0.0223338,0.0308382,0.0477138,0.0813325,0.148411", \ - "0.0201792,0.0243317,0.0285697,0.0370220,0.0538723,0.0874838,0.154567", \ - "0.0281085,0.0343165,0.0399634,0.0495533,0.0661883,0.0995597,0.166493", \ - "0.0359770,0.0440932,0.0515537,0.0644255,0.0853417,0.119053,0.185508", \ - "0.0440044,0.0539484,0.0631009,0.0790109,0.105314,0.146369,0.212888", \ - "0.0521841,0.0639606,0.0747981,0.0936370,0.124973,0.174707,0.249806"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0102804,0.0158532,0.0217159,0.0334067,0.0567369,0.103346,0.196526", \ - "0.0102787,0.0158531,0.0217168,0.0334072,0.0567320,0.103344,0.196529", \ - "0.0106784,0.0158923,0.0217188,0.0334071,0.0567352,0.103352,0.196529", \ - "0.0140136,0.0186792,0.0235720,0.0339771,0.0567397,0.103348,0.196535", \ - "0.0196658,0.0244166,0.0291918,0.0386470,0.0584646,0.103347,0.196537", \ - "0.0267620,0.0320461,0.0372246,0.0468750,0.0655151,0.105483,0.196532", \ - "0.0350951,0.0409901,0.0467957,0.0573264,0.0764445,0.113708,0.197603"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00819968,0.0119744,0.0159682,0.0239663,0.0399555,0.0719212,0.135790", \ - "0.00820022,0.0119748,0.0159701,0.0239658,0.0399566,0.0719335,0.135788", \ - "0.00952402,0.0124371,0.0160200,0.0239683,0.0399635,0.0719373,0.135785", \ - "0.0151471,0.0183358,0.0212029,0.0265946,0.0401973,0.0719161,0.135795", \ - "0.0215952,0.0258992,0.0298026,0.0363519,0.0470558,0.0728517,0.135795", \ - "0.0290651,0.0344468,0.0393539,0.0476740,0.0609920,0.0824100,0.136312", \ - "0.0377681,0.0442267,0.0500987,0.0600881,0.0762594,0.101140,0.145466"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0215857,0.0280801,0.0348841,0.0484400,0.0754929,0.129547,0.237621", \ - "0.0226589,0.0292562,0.0361236,0.0497467,0.0768552,0.130947,0.239044", \ - "0.0256938,0.0323596,0.0392951,0.0530267,0.0802570,0.134453,0.242620", \ - "0.0293751,0.0368820,0.0443395,0.0584548,0.0857571,0.140055,0.248317", \ - "0.0324782,0.0416784,0.0502106,0.0657144,0.0943712,0.148793,0.257104", \ - "0.0341309,0.0455130,0.0558295,0.0737124,0.104795,0.161329,0.269624", \ - "0.0346090,0.0481139,0.0602970,0.0812194,0.116151,0.176226,0.286392"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0142224,0.0184692,0.0228384,0.0314319,0.0484051,0.0821107,0.149309", \ - "0.0157646,0.0200411,0.0244281,0.0330408,0.0500312,0.0837493,0.150950", \ - "0.0221294,0.0262616,0.0306055,0.0391835,0.0561499,0.0898565,0.157056", \ - "0.0314760,0.0372741,0.0426125,0.0517867,0.0684611,0.101936,0.168977", \ - "0.0408498,0.0484099,0.0554430,0.0677332,0.0879784,0.121451,0.188003", \ - "0.0506167,0.0598021,0.0683781,0.0835251,0.108921,0.149087,0.215401", \ - "0.0608990,0.0716906,0.0817654,0.0995803,0.129728,0.178289,0.252376"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0102809,0.0158521,0.0217149,0.0334141,0.0567391,0.103344,0.196534", \ - "0.0102790,0.0158544,0.0217149,0.0334059,0.0567392,0.103343,0.196533", \ - "0.0104898,0.0158806,0.0217180,0.0334056,0.0567367,0.103341,0.196538", \ - "0.0126206,0.0177062,0.0230650,0.0339131,0.0567391,0.103341,0.196527", \ - "0.0171919,0.0217363,0.0266448,0.0369300,0.0582193,0.103358,0.196535", \ - "0.0240931,0.0286327,0.0332730,0.0426618,0.0626990,0.105316,0.196528", \ - "0.0325729,0.0373949,0.0422810,0.0515304,0.0702642,0.110771,0.197879"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.00970226,0.0134427,0.0174160,0.0253850,0.0413731,0.0733651,0.137295", \ - "0.00970051,0.0134433,0.0174154,0.0253886,0.0413731,0.0733576,0.137291", \ - "0.0102967,0.0135462,0.0173831,0.0253852,0.0413653,0.0733540,0.137297", \ - "0.0157703,0.0188494,0.0216404,0.0273704,0.0414823,0.0733596,0.137305", \ - "0.0221672,0.0263918,0.0302504,0.0367524,0.0476225,0.0740693,0.137294", \ - "0.0293359,0.0347001,0.0396314,0.0479818,0.0613148,0.0830516,0.137703", \ - "0.0375102,0.0439666,0.0499304,0.0600758,0.0764251,0.101438,0.146390"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0229510,0.0294428,0.0362464,0.0498019,0.0768535,0.130909,0.238982", \ - "0.0240110,0.0306078,0.0374749,0.0510970,0.0782046,0.132297,0.240394", \ - "0.0264266,0.0330886,0.0400250,0.0537574,0.0809875,0.135183,0.243347", \ - "0.0286994,0.0358252,0.0430905,0.0571033,0.0843902,0.138678,0.246934", \ - "0.0301627,0.0381163,0.0459048,0.0607093,0.0889641,0.143384,0.251667", \ - "0.0298814,0.0395525,0.0484389,0.0644856,0.0940794,0.150018,0.258309", \ - "0.0275032,0.0392589,0.0497885,0.0679659,0.0996316,0.157580,0.267394"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0154562,0.0199323,0.0244643,0.0332610,0.0504395,0.0843096,0.151595", \ - "0.0169955,0.0214865,0.0260275,0.0348338,0.0520205,0.0858958,0.153185", \ - "0.0234223,0.0277257,0.0322110,0.0409808,0.0581397,0.0919950,0.159269", \ - "0.0340016,0.0395670,0.0447112,0.0536118,0.0704545,0.104083,0.171195", \ - "0.0447532,0.0519488,0.0586896,0.0705478,0.0902625,0.123612,0.190233", \ - "0.0561408,0.0648040,0.0729643,0.0875051,0.112144,0.151538,0.217672", \ - "0.0684866,0.0785441,0.0880037,0.104971,0.134089,0.181548,0.254716"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0102791,0.0158522,0.0217148,0.0334068,0.0567376,0.103352,0.196528", \ - "0.0102799,0.0158519,0.0217204,0.0334116,0.0567383,0.103347,0.196528", \ - "0.0103554,0.0158629,0.0217180,0.0334064,0.0567354,0.103341,0.196535", \ - "0.0116661,0.0170599,0.0226692,0.0337980,0.0567348,0.103343,0.196534", \ - "0.0144493,0.0193885,0.0248012,0.0358606,0.0579724,0.103402,0.196526", \ - "0.0200093,0.0243995,0.0291933,0.0393913,0.0610368,0.105129,0.196529", \ - "0.0278587,0.0320654,0.0365242,0.0457158,0.0658439,0.109113,0.197994"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("0.0112976,0.0150313,0.0189828,0.0269064,0.0428256,0.0747617,0.138685", \ - "0.0112613,0.0150175,0.0189759,0.0269058,0.0428214,0.0747649,0.138691", \ - "0.0112850,0.0148162,0.0188537,0.0268868,0.0428255,0.0747650,0.138679", \ - "0.0165127,0.0195059,0.0222423,0.0283032,0.0428204,0.0747592,0.138689", \ - "0.0229434,0.0270724,0.0308592,0.0372566,0.0482667,0.0752816,0.138678", \ - "0.0299691,0.0352462,0.0401239,0.0484177,0.0616864,0.0837049,0.138992", \ - "0.0377473,0.0441215,0.0500678,0.0602429,0.0766334,0.101691,0.147245"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("4.069972,4.124954,4.141210,4.142961,4.183580,4.183524,4.179963", \ - "3.811774,3.945172,3.999654,4.059461,4.109669,4.139342,4.154168", \ - "3.687800,3.768218,3.806849,3.908854,4.014891,4.084004,4.116852", \ - "4.491802,4.225644,4.145717,4.105416,4.091683,4.111115,4.136896", \ - "6.571578,5.929243,5.463265,5.022302,4.683033,4.471232,4.339074", \ - "9.750413,8.847081,8.114223,7.105524,6.134850,5.430011,4.926435", \ - "13.973100,12.974080,12.003830,10.561930,8.777110,7.260565,6.112752"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("10.423920,10.612340,10.586640,10.676570,10.739420,10.802890,10.600280", \ - "10.254540,10.459050,10.531790,10.639620,10.544140,10.916270,10.393550", \ - "10.465300,10.478420,10.569850,10.634990,10.724540,10.533340,9.955893", \ - "10.947530,11.307180,11.173380,11.100530,10.860120,10.586890,10.928710", \ - "12.543000,12.394670,12.416350,12.394550,12.107000,11.107980,11.286040", \ - "15.723230,15.358690,14.878220,14.338170,14.235490,12.712200,11.914350", \ - "20.094530,19.680470,19.098340,18.298400,17.241060,16.013900,14.327510"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("4.089108,4.142991,4.163171,4.184611,4.187913,4.186571,4.183427", \ - "3.842096,3.937494,4.028925,4.091281,4.138159,4.149795,4.167593", \ - "3.445180,3.616335,3.717316,3.836458,3.949376,4.057572,4.116445", \ - "3.563363,3.546673,3.593837,3.692450,3.830773,3.956149,4.050265", \ - "4.842506,4.468997,4.193109,4.037556,3.978793,4.021686,4.068832", \ - "7.192220,6.473941,5.966494,5.299219,4.747768,4.449039,4.300219", \ - "10.515890,9.645524,8.861465,7.755590,6.470653,5.541811,4.962704"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("13.880710,14.023950,14.076990,14.039090,13.975530,14.146220,13.512460", \ - "13.755800,13.900450,14.008800,14.047630,14.015680,13.715080,14.212210", \ - "13.700070,13.863490,13.965260,14.023480,14.119940,14.264500,13.755520", \ - "14.331220,14.599540,14.502550,14.334790,14.234250,14.272420,14.115720", \ - "15.807660,15.754130,15.890190,15.682120,15.447120,14.729340,14.622200", \ - "18.741710,18.585440,18.352170,17.989910,17.534890,16.542300,15.582900", \ - "22.994960,22.770320,22.449780,21.698440,20.945750,19.735030,17.484720"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("4.090892,4.129468,4.166386,4.168204,4.195868,4.198424,4.191850", \ - "3.857374,3.956886,4.026337,4.066475,4.128105,4.160158,4.171838", \ - "3.402189,3.588248,3.702076,3.833156,3.945003,4.065726,4.118782", \ - "3.370974,3.399024,3.477126,3.616303,3.776991,3.926224,4.033247", \ - "4.375550,4.088687,3.890164,3.773140,3.814790,3.906646,4.003370", \ - "6.457548,5.869929,5.465026,4.889923,4.412507,4.238045,4.180810", \ - "9.738446,8.917956,8.280215,7.251793,6.062474,5.214172,4.762948"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("16.541280,16.767740,16.867080,16.885340,17.147580,17.427990,16.880590", \ - "16.360150,16.622880,16.726070,16.825630,17.023030,16.989890,16.784820", \ - "16.307440,16.475650,16.599160,16.630830,16.679310,16.932710,17.126440", \ - "17.101440,17.258240,17.200470,17.068420,17.245120,17.276380,16.817780", \ - "18.496690,18.665550,18.629640,18.730800,18.336090,17.815240,17.532140", \ - "21.662760,21.418050,21.348840,20.870940,20.422980,19.578470,19.064030", \ - "26.113650,25.828290,25.547670,24.972450,24.031260,22.714310,20.395350"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("4.049672,4.133061,4.156956,4.178281,4.171377,4.184848,4.184976", \ - "3.833090,3.959130,4.010118,4.070566,4.121257,4.143976,4.159960", \ - "3.413818,3.557563,3.679140,3.827765,3.957757,4.055067,4.111329", \ - "3.349432,3.370948,3.457332,3.588903,3.740240,3.895792,4.008890", \ - "4.216153,3.991922,3.812857,3.679350,3.744084,3.871587,3.983306", \ - "6.145192,5.697472,5.324193,4.786431,4.278036,4.163854,4.138409", \ - "9.226497,8.653081,8.096082,7.199474,6.017209,5.118195,4.718811"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); - values ("18.701470,19.071310,19.314090,19.610580,19.556710,20.261070,20.350750", \ - "18.485800,18.864120,19.168380,19.321030,19.359940,20.022280,19.628460", \ - "18.467480,18.818330,19.012040,19.332360,19.692450,19.822050,19.938860", \ - "19.549310,19.567000,19.597940,19.813800,19.621570,20.150070,20.080080", \ - "21.127390,21.248660,21.373970,21.319210,21.106310,20.815480,20.471480", \ - "24.405260,24.262460,24.205290,23.997440,23.472500,22.301040,21.002580", \ - "29.255390,29.071670,28.745720,28.042180,27.105620,25.559900,24.142480"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR2_X1 - Cell Description : Combinational cell (NOR2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NOR2_X1) { - - drive_strength : 1; - - area : 0.798000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 21.199545; - - leakage_power () { - when : "!A1 & !A2"; - value : 20.201390; - } - leakage_power () { - when : "!A1 & A2"; - value : 16.331524; - } - leakage_power () { - when : "A1 & !A2"; - value : 18.682213; - } - leakage_power () { - when : "A1 & A2"; - value : 29.583055; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.714471; - fall_capacitance : 1.413093; - rise_capacitance : 1.714471; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.651345; - fall_capacitance : 1.563845; - rise_capacitance : 1.651345; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 26.702900; - function : "!(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00397653,0.00457466,0.00561917,0.00767508,0.0117463,0.0198523,0.0360422", \ - "0.00547127,0.00612776,0.00717302,0.00923719,0.0133237,0.0214435,0.0376394", \ - "0.00708289,0.00833137,0.0102828,0.0135525,0.0187348,0.0269866,0.0431312", \ - "0.00643480,0.00830202,0.0112466,0.0161774,0.0240229,0.0360290,0.0538992", \ - "0.00293644,0.00546114,0.00944399,0.0161209,0.0267481,0.0430097,0.0672757", \ - "-0.00379899,-0.000610666,0.00441892,0.0128928,0.0264160,0.0470897,0.0779240", \ - "-0.0140251,-0.0102114,-0.00415957,0.00610983,0.0225956,0.0478418,0.0854223"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.0136008,0.0161505,0.0205760,0.0292340,0.0462805,0.0801242,0.147594", \ - "0.0142633,0.0167540,0.0211519,0.0298650,0.0470595,0.0810783,0.148696", \ - "0.0202027,0.0226399,0.0267281,0.0350583,0.0519006,0.0857406,0.153365", \ - "0.0282210,0.0316593,0.0372260,0.0469299,0.0634650,0.0965651,0.163573", \ - "0.0381015,0.0422278,0.0490020,0.0610840,0.0815179,0.115113,0.181020", \ - "0.0503320,0.0550648,0.0628653,0.0768972,0.101146,0.141009,0.207020", \ - "0.0651817,0.0704989,0.0792584,0.0950330,0.122530,0.168656,0.242490"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00192441,0.00241800,0.00329640,0.00505627,0.00857388,0.0156136,0.0296933", \ - "0.00229084,0.00263097,0.00334789,0.00505560,0.00857493,0.0156143,0.0296906", \ - "0.00496431,0.00546654,0.00628416,0.00770012,0.0100546,0.0157583,0.0296920", \ - "0.00901917,0.00972130,0.0108365,0.0127747,0.0160420,0.0212913,0.0311837", \ - "0.0146046,0.0155328,0.0169758,0.0194491,0.0235598,0.0302682,0.0408153", \ - "0.0217895,0.0229675,0.0247841,0.0278616,0.0328706,0.0409102,0.0537573", \ - "0.0307010,0.0320830,0.0343082,0.0380597,0.0440742,0.0535166,0.0684779"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00925353,0.0114438,0.0153346,0.0230870,0.0385689,0.0694912,0.131322", \ - "0.00923899,0.0114351,0.0153281,0.0230855,0.0385719,0.0694893,0.131336", \ - "0.0106741,0.0122810,0.0155251,0.0230745,0.0385612,0.0694951,0.131321", \ - "0.0150971,0.0171566,0.0205109,0.0261442,0.0390364,0.0694916,0.131332", \ - "0.0198950,0.0223274,0.0263725,0.0335905,0.0456412,0.0707776,0.131310", \ - "0.0259774,0.0286131,0.0331032,0.0414302,0.0559056,0.0796181,0.132171", \ - "0.0337201,0.0364492,0.0411901,0.0502381,0.0665863,0.0939909,0.140955"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00472393,0.00540779,0.00657457,0.00879917,0.0130580,0.0213323,0.0376546", \ - "0.00629543,0.00693648,0.00806589,0.0102660,0.0145163,0.0227903,0.0391080", \ - "0.00927083,0.0103694,0.0121301,0.0151498,0.0200517,0.0282832,0.0445464", \ - "0.0102356,0.0118496,0.0144441,0.0189354,0.0262861,0.0378013,0.0553077", \ - "0.00868257,0.0108072,0.0142617,0.0202440,0.0301054,0.0456175,0.0692253", \ - "0.00432232,0.00694968,0.0112580,0.0187413,0.0311253,0.0507080,0.0805915", \ - "-0.00304520,0.0000894997,0.00520117,0.0141618,0.0290812,0.0527577,0.0889743"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.0178889,0.0203641,0.0247052,0.0332770,0.0502508,0.0840404,0.151487", \ - "0.0192451,0.0217153,0.0260761,0.0347140,0.0518128,0.0857314,0.153273", \ - "0.0246571,0.0270960,0.0313811,0.0399222,0.0569605,0.0909276,0.158599", \ - "0.0312175,0.0343558,0.0395533,0.0490443,0.0661483,0.0999614,0.167564", \ - "0.0392881,0.0430442,0.0492194,0.0603554,0.0800611,0.114710,0.181992", \ - "0.0502610,0.0545883,0.0616735,0.0743384,0.0965600,0.135176,0.203264", \ - "0.0638348,0.0687954,0.0768215,0.0911095,0.115886,0.158487,0.231895"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00300425,0.00351939,0.00441594,0.00617569,0.00967005,0.0166809,0.0307525", \ - "0.00305393,0.00349247,0.00433902,0.00614244,0.00966310,0.0166806,0.0307539", \ - "0.00584754,0.00631333,0.00706597,0.00838823,0.0107451,0.0167514,0.0307539", \ - "0.00989689,0.0105785,0.0116608,0.0135566,0.0167388,0.0218673,0.0320190", \ - "0.0152589,0.0161736,0.0176097,0.0200946,0.0242415,0.0309153,0.0413661", \ - "0.0219825,0.0231823,0.0250230,0.0281583,0.0332701,0.0414592,0.0543490", \ - "0.0301402,0.0316403,0.0339615,0.0378497,0.0440369,0.0537411,0.0689432"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.00927223,0.0114475,0.0153336,0.0230816,0.0385694,0.0695096,0.131328", \ - "0.00927230,0.0114488,0.0153345,0.0230826,0.0385682,0.0695035,0.131326", \ - "0.00966647,0.0116198,0.0153697,0.0230869,0.0385573,0.0694880,0.131340", \ - "0.0131167,0.0150779,0.0184572,0.0248153,0.0388177,0.0694866,0.131316", \ - "0.0175180,0.0195635,0.0231238,0.0300543,0.0431848,0.0704324,0.131317", \ - "0.0228810,0.0249988,0.0287245,0.0360090,0.0500223,0.0762877,0.132145", \ - "0.0293967,0.0315297,0.0354040,0.0429882,0.0576561,0.0854526,0.137995"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("-0.000055,-0.000060,-0.000069,-0.000086,-0.000120,-0.000189,-0.000325", \ - "-0.000151,-0.000156,-0.000165,-0.000182,-0.000216,-0.000285,-0.000421", \ - "-0.000481,-0.000488,-0.000499,-0.000519,-0.000553,-0.000621,-0.000757", \ - "0.187001,0.160315,0.121679,0.061956,-0.001192,-0.001266,-0.001401", \ - "0.662601,0.643308,0.601920,0.511644,0.369809,0.198877,0.055376", \ - "1.326703,1.313179,1.281010,1.188932,1.015478,0.736290,0.431502", \ - "2.189006,2.160164,2.156372,2.074392,1.910406,1.567171,1.081542"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("2.279085,2.309995,2.334245,2.361774,2.341132,2.358828,2.323780", \ - "2.183965,2.210105,2.235214,2.265974,2.297592,2.297056,2.388799", \ - "2.289895,2.290272,2.274935,2.278708,2.288484,2.230689,2.223377", \ - "2.579097,2.603714,2.581552,2.535439,2.455046,2.329977,2.302410", \ - "2.996472,3.014908,3.019224,3.030057,2.905084,2.685096,2.565892", \ - "3.848592,3.811292,3.751715,3.706139,3.640467,3.374255,3.040097", \ - "5.031936,4.971705,4.878433,4.745349,4.547846,4.362998,3.866994"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("0.094418,0.112558,0.141221,0.177459,0.213026,0.241028,0.267542", \ - "0.041193,0.061087,0.090868,0.123500,0.163324,0.196394,0.224394", \ - "0.137688,0.131384,0.117578,0.110211,0.143262,0.176132,0.204906", \ - "0.445987,0.432912,0.400605,0.356256,0.290579,0.242925,0.244928", \ - "0.968318,0.939075,0.897219,0.820903,0.696313,0.539203,0.401624", \ - "1.697998,1.678036,1.633209,1.541975,1.367222,1.104411,0.803717", \ - "2.618448,2.621268,2.598576,2.511614,2.323979,1.971829,1.498249"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); - values ("3.119090,3.124753,3.151451,3.149111,3.189892,3.145055,3.144990", \ - "3.040093,3.053102,3.072367,3.097260,3.109630,3.166432,3.113197", \ - "3.003223,3.006930,3.019595,3.010954,3.018522,3.074478,3.004708", \ - "3.032980,3.066874,3.052614,3.029996,3.060958,3.065708,3.009352", \ - "3.143002,3.179152,3.188104,3.288992,3.205339,3.163511,3.068550", \ - "3.760972,3.716639,3.693231,3.650377,3.614061,3.457819,3.297959", \ - "4.720636,4.647560,4.574232,4.446132,4.254458,4.100897,3.760544"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR2_X2 - Cell Description : Combinational cell (NOR2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NOR2_X2) { - - drive_strength : 2; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 42.399074; - - leakage_power () { - when : "!A1 & !A2"; - value : 40.402780; - } - leakage_power () { - when : "!A1 & A2"; - value : 32.663147; - } - leakage_power () { - when : "A1 & !A2"; - value : 37.364382; - } - leakage_power () { - when : "A1 & A2"; - value : 59.165987; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.293307; - fall_capacitance : 2.698867; - rise_capacitance : 3.293307; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.346923; - fall_capacitance : 3.178326; - rise_capacitance : 3.346923; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 53.405800; - function : "!(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00379194,0.00462864,0.00567275,0.00772858,0.0117973,0.0198982,0.0360758", \ - "0.00524203,0.00617938,0.00722496,0.00928882,0.0133720,0.0214858,0.0376719", \ - "0.00663093,0.00839885,0.0103454,0.0136071,0.0187825,0.0270282,0.0431615", \ - "0.00572800,0.00838577,0.0113156,0.0162406,0.0240785,0.0360705,0.0539303", \ - "0.00196496,0.00555581,0.00952359,0.0161903,0.0268035,0.0430503,0.0673009", \ - "-0.00502825,-0.000508837,0.00450286,0.0129669,0.0264758,0.0471324,0.0779389", \ - "-0.0155186,-0.0101176,-0.00408132,0.00618404,0.0226569,0.0478814,0.0854363"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.0125984,0.0161618,0.0205884,0.0292458,0.0462939,0.0801276,0.147596", \ - "0.0133049,0.0167651,0.0211674,0.0298788,0.0470734,0.0810870,0.148697", \ - "0.0191535,0.0226568,0.0267454,0.0350761,0.0519160,0.0857516,0.153376", \ - "0.0268122,0.0316685,0.0372340,0.0469402,0.0634853,0.0965799,0.163591", \ - "0.0364112,0.0422290,0.0490089,0.0610900,0.0815285,0.115129,0.181039", \ - "0.0483925,0.0550683,0.0628681,0.0769003,0.101146,0.141013,0.207033", \ - "0.0629969,0.0704829,0.0792496,0.0950333,0.122529,0.168650,0.242488"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00173746,0.00242250,0.00330092,0.00505932,0.00857681,0.0156137,0.0296873", \ - "0.00217272,0.00263029,0.00335049,0.00505918,0.00857634,0.0156137,0.0296868", \ - "0.00474924,0.00546198,0.00627801,0.00769552,0.0100519,0.0157569,0.0296889", \ - "0.00872331,0.00970722,0.0108224,0.0127651,0.0160347,0.0212887,0.0311797", \ - "0.0141994,0.0155056,0.0169533,0.0194367,0.0235456,0.0302542,0.0408076", \ - "0.0212827,0.0229129,0.0247391,0.0278287,0.0328411,0.0408840,0.0537338", \ - "0.0300853,0.0320208,0.0342396,0.0379988,0.0440253,0.0534738,0.0684412"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00839910,0.0114428,0.0153327,0.0230824,0.0385708,0.0694960,0.131328", \ - "0.00837981,0.0114349,0.0153307,0.0230835,0.0385676,0.0694998,0.131329", \ - "0.0101196,0.0122972,0.0155287,0.0230750,0.0385647,0.0694925,0.131335", \ - "0.0142593,0.0171557,0.0205119,0.0261542,0.0390347,0.0694991,0.131338", \ - "0.0189254,0.0223193,0.0263663,0.0335947,0.0456537,0.0707804,0.131319", \ - "0.0249329,0.0285912,0.0330892,0.0414231,0.0558985,0.0796280,0.132177", \ - "0.0326045,0.0364144,0.0411790,0.0502277,0.0665704,0.0939835,0.140970"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00444717,0.00540689,0.00657475,0.00880036,0.0130595,0.0213330,0.0376509", \ - "0.00604366,0.00693656,0.00806709,0.0102680,0.0145183,0.0227908,0.0391059", \ - "0.00881422,0.0103747,0.0121358,0.0151535,0.0200528,0.0282840,0.0445417", \ - "0.00957501,0.0118606,0.0144558,0.0189436,0.0262874,0.0377970,0.0553034", \ - "0.00781073,0.0108292,0.0142809,0.0202565,0.0301071,0.0456125,0.0692175", \ - "0.00324582,0.00699176,0.0112820,0.0187573,0.0311404,0.0506963,0.0805752", \ - "-0.00431964,0.000126371,0.00523220,0.0141832,0.0290933,0.0527474,0.0889554"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.0168891,0.0203453,0.0246893,0.0332592,0.0502337,0.0840156,0.151459", \ - "0.0182602,0.0217027,0.0260629,0.0347011,0.0517934,0.0857070,0.153253", \ - "0.0236709,0.0270781,0.0313660,0.0399098,0.0569484,0.0909033,0.158574", \ - "0.0299049,0.0343220,0.0395224,0.0490235,0.0661369,0.0999494,0.167546", \ - "0.0377075,0.0430048,0.0491731,0.0603163,0.0800317,0.114696,0.181973", \ - "0.0484401,0.0545452,0.0616126,0.0742890,0.0965152,0.135140,0.203244", \ - "0.0617770,0.0687261,0.0767573,0.0910496,0.115833,0.158433,0.231850"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00280304,0.00352241,0.00441858,0.00617819,0.00967244,0.0166827,0.0307574", \ - "0.00289462,0.00349435,0.00434056,0.00614536,0.00966529,0.0166825,0.0307575", \ - "0.00565274,0.00631189,0.00706618,0.00838992,0.0107457,0.0167526,0.0307580", \ - "0.00961172,0.0105668,0.0116524,0.0135498,0.0167340,0.0218665,0.0320283", \ - "0.0148560,0.0161396,0.0175855,0.0200769,0.0242259,0.0309050,0.0413679", \ - "0.0214524,0.0231184,0.0249817,0.0281274,0.0332440,0.0414260,0.0543285", \ - "0.0294646,0.0315577,0.0338944,0.0377952,0.0439920,0.0536982,0.0689077"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.00841168,0.0114494,0.0153330,0.0230871,0.0385600,0.0695040,0.131333", \ - "0.00841834,0.0114500,0.0153357,0.0230868,0.0385686,0.0694952,0.131332", \ - "0.00894031,0.0116224,0.0153709,0.0230846,0.0385642,0.0694910,0.131339", \ - "0.0123518,0.0150696,0.0184575,0.0248242,0.0388258,0.0695016,0.131325", \ - "0.0167120,0.0195508,0.0231184,0.0300514,0.0431899,0.0704464,0.131322", \ - "0.0220573,0.0249786,0.0287077,0.0359996,0.0500216,0.0762974,0.132161", \ - "0.0285353,0.0315225,0.0353757,0.0429698,0.0576459,0.0854499,0.138028"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("-0.000108,-0.000121,-0.000138,-0.000173,-0.000241,-0.000378,-0.000651", \ - "-0.000299,-0.000313,-0.000330,-0.000365,-0.000433,-0.000570,-0.000843", \ - "-0.000956,-0.000977,-0.000999,-0.001039,-0.001107,-0.001243,-0.001516", \ - "0.563513,0.501761,0.428293,0.305303,0.148675,0.014221,0.005680", \ - "1.533345,1.459287,1.374739,1.205990,0.921602,0.576881,0.290436", \ - "2.839215,2.815043,2.737811,2.563030,2.210362,1.649445,1.040829", \ - "4.557010,4.539345,4.467224,4.337234,3.999615,3.305234,2.339107"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("4.367509,4.440314,4.482412,4.517911,4.563713,4.503435,4.443291", \ - "4.201147,4.235616,4.282276,4.328757,4.412360,4.380819,4.352314", \ - "4.407221,4.400810,4.384668,4.354517,4.371258,4.304172,4.431976", \ - "4.973802,5.021666,4.950049,4.866964,4.752663,4.428198,4.529813", \ - "5.848767,5.844265,5.866674,5.855369,5.603119,5.388219,4.929981", \ - "7.541632,7.437436,7.318036,7.208103,7.137506,6.474665,5.877747", \ - "9.964807,9.758475,9.583793,9.314005,8.888199,8.508076,7.741319"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("0.339185,0.417890,0.472647,0.531773,0.604569,0.666291,0.720126", \ - "0.239311,0.299907,0.367995,0.430588,0.509283,0.579530,0.632157", \ - "0.457165,0.448740,0.419681,0.409647,0.471563,0.537937,0.593197", \ - "1.092341,1.044297,0.982239,0.892590,0.762296,0.668804,0.672881", \ - "2.129723,2.059830,1.976794,1.814119,1.568547,1.255339,0.984709", \ - "3.576856,3.528843,3.442073,3.252828,2.907656,2.380832,1.782304", \ - "5.412841,5.432878,5.355427,5.198648,4.820235,4.112021,3.168800"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); - values ("6.017326,6.066120,6.099010,6.157765,6.131694,6.198633,6.088381", \ - "5.878077,5.923219,5.970125,5.976157,6.079840,6.141160,5.968012", \ - "5.797452,5.831470,5.856205,5.884306,5.899886,6.003626,5.845202", \ - "5.838527,5.949729,5.911135,5.923016,5.883161,5.965349,5.819319", \ - "6.125560,6.175084,6.192625,6.361310,6.274131,6.179860,5.879412", \ - "7.346283,7.250240,7.202791,7.122396,7.062171,6.821858,6.622329", \ - "9.292969,9.111799,8.961007,8.681627,8.329314,8.031219,7.362850"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR2_X4 - Cell Description : Combinational cell (NOR2_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NOR2_X4) { - - drive_strength : 4; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 84.798143; - - leakage_power () { - when : "!A1 & !A2"; - value : 80.805670; - } - leakage_power () { - when : "!A1 & A2"; - value : 65.326206; - } - leakage_power () { - when : "A1 & !A2"; - value : 74.728830; - } - leakage_power () { - when : "A1 & A2"; - value : 118.331864; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.773059; - fall_capacitance : 5.594648; - rise_capacitance : 6.773059; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.683366; - fall_capacitance : 6.338564; - rise_capacitance : 6.683366; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 106.811000; - function : "!(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00364637,0.00460777,0.00565542,0.00771466,0.0117889,0.0199004,0.0360969", \ - "0.00505858,0.00615750,0.00720633,0.00927380,0.0133624,0.0214873,0.0376939", \ - "0.00628039,0.00834278,0.0103020,0.0135787,0.0187699,0.0270296,0.0431828", \ - "0.00519508,0.00829174,0.0112449,0.0161888,0.0240506,0.0360692,0.0539527", \ - "0.00124674,0.00542348,0.00941555,0.0161121,0.0267606,0.0430417,0.0673270", \ - "-0.00592905,-0.000684648,0.00436152,0.0128648,0.0264144,0.0471169,0.0779730", \ - "-0.0165982,-0.0103373,-0.00425926,0.00605511,0.0225763,0.0478569,0.0854712"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.0119130,0.0160000,0.0204355,0.0291034,0.0461659,0.0800222,0.147539", \ - "0.0126537,0.0166077,0.0210146,0.0297354,0.0469454,0.0809799,0.148644", \ - "0.0184166,0.0225139,0.0266023,0.0349391,0.0517922,0.0856520,0.153328", \ - "0.0258367,0.0314484,0.0370445,0.0467896,0.0633634,0.0964850,0.163548", \ - "0.0352578,0.0419619,0.0487735,0.0608962,0.0813877,0.115041,0.181002", \ - "0.0470619,0.0547546,0.0625925,0.0766722,0.100974,0.140908,0.207000", \ - "0.0614915,0.0701231,0.0789359,0.0947734,0.122328,0.168522,0.242449"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00160890,0.00238996,0.00326915,0.00502943,0.00855070,0.0155962,0.0296840", \ - "0.00209889,0.00260569,0.00332233,0.00502952,0.00855049,0.0155965,0.0296894", \ - "0.00460654,0.00543012,0.00624788,0.00767334,0.0100319,0.0157405,0.0296885", \ - "0.00851454,0.00966187,0.0107819,0.0127327,0.0160095,0.0212721,0.0311792", \ - "0.0139287,0.0154394,0.0168965,0.0193900,0.0235181,0.0302304,0.0408004", \ - "0.0209347,0.0228164,0.0246609,0.0277654,0.0328064,0.0408628,0.0537349", \ - "0.0296590,0.0318855,0.0341343,0.0379216,0.0439665,0.0534493,0.0684350"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00781238,0.0112882,0.0151792,0.0229388,0.0384288,0.0693750,0.131249", \ - "0.00778494,0.0112844,0.0151821,0.0229407,0.0384283,0.0693725,0.131255", \ - "0.00975019,0.0121747,0.0153928,0.0229289,0.0384319,0.0693923,0.131256", \ - "0.0136562,0.0170057,0.0203780,0.0260381,0.0389087,0.0693925,0.131262", \ - "0.0182351,0.0221327,0.0262021,0.0334575,0.0455499,0.0706691,0.131249", \ - "0.0241965,0.0283845,0.0329002,0.0412580,0.0557662,0.0795247,0.132115", \ - "0.0318750,0.0361891,0.0409735,0.0500418,0.0664138,0.0938722,0.140888"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00428563,0.00539074,0.00656211,0.00879119,0.0130535,0.0213298,0.0376494", \ - "0.00589454,0.00692111,0.00805420,0.0102580,0.0145112,0.0227858,0.0391036", \ - "0.00852127,0.0103354,0.0121038,0.0151324,0.0200439,0.0282797,0.0445402", \ - "0.00914040,0.0117929,0.0144018,0.0189012,0.0262634,0.0377852,0.0553033", \ - "0.00723703,0.0107381,0.0141984,0.0201935,0.0300657,0.0455882,0.0692081", \ - "0.00252103,0.00687004,0.0111718,0.0186686,0.0310661,0.0506550,0.0805598", \ - "-0.00518680,-0.0000308310,0.00509019,0.0140676,0.0290098,0.0526873,0.0889289"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.0162601,0.0202193,0.0245706,0.0331503,0.0501383,0.0839477,0.151436", \ - "0.0176381,0.0215732,0.0259409,0.0345874,0.0516952,0.0856359,0.153224", \ - "0.0230420,0.0269497,0.0312456,0.0397971,0.0568486,0.0908325,0.158548", \ - "0.0290516,0.0341494,0.0393717,0.0489006,0.0660412,0.0998766,0.167520", \ - "0.0366787,0.0427911,0.0489878,0.0601650,0.0799235,0.114635,0.181959", \ - "0.0472562,0.0543007,0.0614048,0.0741204,0.0963910,0.135069,0.203239", \ - "0.0604159,0.0684466,0.0765178,0.0908601,0.115695,0.158355,0.231845"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00266245,0.00348534,0.00438105,0.00614073,0.00963416,0.0166433,0.0307167", \ - "0.00278869,0.00346031,0.00430437,0.00610780,0.00962731,0.0166436,0.0307182", \ - "0.00551838,0.00627482,0.00703405,0.00836094,0.0107153,0.0167157,0.0307184", \ - "0.00941040,0.0105122,0.0116043,0.0135071,0.0166982,0.0218426,0.0319902", \ - "0.0145857,0.0160618,0.0175190,0.0200150,0.0241733,0.0308657,0.0413318", \ - "0.0210932,0.0230067,0.0248871,0.0280502,0.0331823,0.0413793,0.0542863", \ - "0.0289922,0.0314065,0.0337681,0.0376930,0.0439075,0.0536316,0.0688608"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.00783372,0.0112951,0.0151842,0.0229377,0.0384266,0.0693867,0.131256", \ - "0.00784056,0.0113009,0.0151842,0.0229418,0.0384331,0.0693859,0.131253", \ - "0.00844648,0.0114874,0.0152232,0.0229424,0.0384357,0.0693738,0.131263", \ - "0.0118185,0.0149294,0.0183237,0.0247035,0.0386985,0.0693788,0.131250", \ - "0.0161610,0.0193945,0.0229721,0.0299191,0.0430746,0.0703403,0.131257", \ - "0.0214872,0.0248126,0.0285481,0.0358535,0.0498967,0.0761951,0.132100", \ - "0.0279484,0.0313368,0.0352079,0.0428121,0.0575026,0.0853386,0.137961"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("-0.000210,-0.000241,-0.000276,-0.000345,-0.000482,-0.000755,-0.001302", \ - "-0.000594,-0.000625,-0.000659,-0.000728,-0.000865,-0.001139,-0.001686", \ - "-0.001905,-0.001951,-0.001997,-0.002077,-0.002214,-0.002486,-0.003032", \ - "1.169286,1.021026,0.862651,0.615536,0.302750,0.038364,0.020205", \ - "3.102010,2.949735,2.765948,2.419151,1.851855,1.163036,0.594850", \ - "5.673391,5.630290,5.458192,5.126686,4.429248,3.308605,2.091367", \ - "9.039228,9.036991,8.944912,8.693793,7.990809,6.628651,4.687746"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("8.490174,8.753059,8.852487,8.917438,9.050916,9.046270,8.746797", \ - "8.245834,8.357980,8.452594,8.561144,8.790353,8.872300,8.565854", \ - "8.617871,8.615873,8.622005,8.553576,8.635888,8.457484,8.362804", \ - "9.719653,9.933659,9.778821,9.653569,9.441735,8.868230,9.027681", \ - "11.565940,11.525810,11.601660,11.591260,11.145030,10.676110,9.794715", \ - "14.989710,14.694710,14.539150,14.296360,13.971110,13.147830,11.953770", \ - "19.808240,19.425840,19.072930,18.486390,17.761540,16.844180,15.355360"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("0.681613,0.816380,0.923620,1.068343,1.213073,1.330468,1.434165", \ - "0.445623,0.598059,0.729573,0.873873,1.021820,1.157995,1.268921", \ - "0.952748,0.898811,0.837542,0.823430,0.948786,1.082281,1.186181", \ - "2.203066,2.094808,1.970535,1.797086,1.531578,1.338415,1.349009", \ - "4.284146,4.109839,3.951969,3.640220,3.143074,2.519642,1.982502", \ - "7.168954,7.042342,6.892055,6.518530,5.816233,4.770031,3.577758", \ - "10.844460,10.861840,10.744150,10.415640,9.628487,8.232216,6.350014"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); - values ("11.928620,12.015810,12.083460,12.115070,12.068710,12.041250,12.032960", \ - "11.633730,11.729510,11.807030,11.845350,12.028390,12.156360,11.792880", \ - "11.481350,11.547110,11.571030,11.644990,11.728910,11.863230,11.646500", \ - "11.489000,11.736940,11.709310,11.733010,11.733220,11.708430,11.571120", \ - "12.055300,12.118130,12.274750,12.590440,12.407830,12.154860,12.023480", \ - "14.555820,14.396050,14.237770,14.045320,14.070360,13.586500,13.108610", \ - "18.480980,18.121280,17.785630,17.225860,16.595460,16.095470,14.700560"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR3_X1 - Cell Description : Combinational cell (NOR3_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NOR3_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 26.831667; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 30.294440; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 16.178382; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 16.410350; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 29.552236; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 18.760258; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 29.589705; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 29.661906; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 44.206062; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.763571; - fall_capacitance : 1.400501; - rise_capacitance : 1.763571; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.663842; - fall_capacitance : 1.476803; - rise_capacitance : 1.663842; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.616298; - fall_capacitance : 1.552718; - rise_capacitance : 1.616298; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 16.021700; - function : "!((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00431446,0.00471956,0.00547233,0.00686944,0.00946368,0.0143096,0.0233824", \ - "0.00589299,0.00630289,0.00705530,0.00845632,0.0110613,0.0159190,0.0250039", \ - "0.00797604,0.00878062,0.0101754,0.0124710,0.0160909,0.0215609,0.0305747", \ - "0.00754686,0.00878910,0.0109277,0.0144440,0.0199730,0.0283141,0.0405207", \ - "0.00376553,0.00547923,0.00844097,0.0133061,0.0209224,0.0323576,0.0490200", \ - "-0.00386421,-0.00164784,0.00217112,0.00844389,0.0182906,0.0330291,0.0544133", \ - "-0.0156422,-0.0129452,-0.00827214,-0.000574265,0.0115615,0.0297817,0.0561516"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.0208929,0.0235663,0.0285228,0.0376643,0.0545610,0.0859747,0.144658", \ - "0.0209346,0.0235769,0.0285193,0.0377185,0.0547841,0.0864410,0.145376", \ - "0.0263957,0.0288021,0.0334213,0.0422276,0.0588689,0.0902110,0.149071", \ - "0.0372797,0.0401647,0.0452760,0.0539467,0.0698710,0.100434,0.158452", \ - "0.0499104,0.0534152,0.0595996,0.0703279,0.0883217,0.118352,0.175260", \ - "0.0652647,0.0692529,0.0762931,0.0886722,0.109680,0.144050,0.200545", \ - "0.0837937,0.0881972,0.0960620,0.109811,0.133382,0.172460,0.234959"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00221999,0.00255694,0.00319029,0.00438063,0.00661547,0.0108142,0.0186982", \ - "0.00248084,0.00273134,0.00325238,0.00438095,0.00661575,0.0108142,0.0186977", \ - "0.00520755,0.00554235,0.00613168,0.00713413,0.00876612,0.0116436,0.0186993", \ - "0.00932400,0.00979513,0.0106088,0.0119859,0.0142421,0.0178104,0.0232403", \ - "0.0150408,0.0156681,0.0167237,0.0184971,0.0213575,0.0258620,0.0328262", \ - "0.0224714,0.0232564,0.0245932,0.0268045,0.0303165,0.0357657,0.0441204", \ - "0.0316275,0.0325802,0.0342385,0.0369499,0.0411922,0.0476649,0.0574394"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.0170266,0.0193642,0.0237020,0.0317586,0.0467855,0.0749079,0.127593", \ - "0.0169194,0.0192925,0.0236668,0.0317451,0.0467821,0.0748934,0.127594", \ - "0.0166040,0.0188110,0.0233330,0.0316576,0.0467715,0.0748872,0.127601", \ - "0.0208883,0.0229004,0.0260577,0.0326962,0.0465882,0.0748749,0.127605", \ - "0.0257112,0.0279533,0.0319960,0.0391771,0.0510907,0.0755439,0.127591", \ - "0.0317508,0.0341749,0.0385891,0.0464892,0.0601421,0.0827064,0.128544", \ - "0.0392757,0.0418172,0.0464369,0.0548986,0.0698121,0.0949129,0.136905"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00519670,0.00565846,0.00650087,0.00802519,0.0107776,0.0157830,0.0249984", \ - "0.00680176,0.00724299,0.00806217,0.00956816,0.0123120,0.0173154,0.0265313", \ - "0.0101517,0.0108732,0.0121311,0.0142486,0.0176407,0.0228781,0.0320269", \ - "0.0112472,0.0123286,0.0142270,0.0174146,0.0225422,0.0304574,0.0422465", \ - "0.00929456,0.0107551,0.0133398,0.0176768,0.0246778,0.0354562,0.0515003", \ - "0.00381539,0.00566011,0.00895367,0.0145024,0.0234398,0.0372545,0.0577513", \ - "-0.00551171,-0.00325684,0.000728259,0.00747409,0.0184143,0.0353476,0.0605139"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.0318365,0.0344555,0.0393215,0.0483562,0.0651527,0.0964816,0.155109", \ - "0.0323554,0.0349804,0.0398732,0.0489792,0.0659132,0.0974215,0.156224", \ - "0.0371033,0.0396838,0.0445017,0.0535098,0.0703549,0.101853,0.160772", \ - "0.0450367,0.0479711,0.0532130,0.0622877,0.0790086,0.110339,0.169118", \ - "0.0547106,0.0580992,0.0641505,0.0748183,0.0932597,0.124715,0.183121", \ - "0.0685612,0.0723794,0.0791490,0.0910264,0.111402,0.145912,0.204582", \ - "0.0862637,0.0905100,0.0980573,0.111221,0.133632,0.171252,0.234101"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00333224,0.00367822,0.00432026,0.00551140,0.00773071,0.0119004,0.0197658", \ - "0.00330376,0.00361755,0.00423986,0.00546724,0.00771393,0.0118969,0.0197649", \ - "0.00603670,0.00634721,0.00688689,0.00781503,0.00934280,0.0124382,0.0197738", \ - "0.0102269,0.0106717,0.0114498,0.0127836,0.0149636,0.0184209,0.0237504", \ - "0.0158181,0.0164260,0.0174623,0.0192141,0.0220455,0.0265336,0.0334181", \ - "0.0229003,0.0237010,0.0250275,0.0272540,0.0308127,0.0362972,0.0447111", \ - "0.0314583,0.0324719,0.0341691,0.0369616,0.0413246,0.0479295,0.0578604"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.0171617,0.0194505,0.0237316,0.0317626,0.0467855,0.0749019,0.127605", \ - "0.0171695,0.0194555,0.0237334,0.0317630,0.0467844,0.0749048,0.127598", \ - "0.0172182,0.0194838,0.0237491,0.0317643,0.0467736,0.0749081,0.127613", \ - "0.0201329,0.0219530,0.0254833,0.0325171,0.0468188,0.0748854,0.127601", \ - "0.0248779,0.0269396,0.0307572,0.0378045,0.0502069,0.0755738,0.127578", \ - "0.0305149,0.0326165,0.0365568,0.0438050,0.0570986,0.0807916,0.128568", \ - "0.0372274,0.0393723,0.0433779,0.0508498,0.0646165,0.0895201,0.134372"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00489358,0.00539583,0.00631671,0.00798399,0.0109730,0.0163081,0.0258925", \ - "0.00663666,0.00709060,0.00794841,0.00954738,0.0124763,0.0177692,0.0273309", \ - "0.0105781,0.0112999,0.0125665,0.0146930,0.0181023,0.0233862,0.0327791", \ - "0.0126284,0.0136839,0.0155368,0.0186756,0.0237316,0.0315693,0.0432674", \ - "0.0119064,0.0133047,0.0157772,0.0199647,0.0267597,0.0373115,0.0531264", \ - "0.00800309,0.00975269,0.0128544,0.0181163,0.0266688,0.0400270,0.0600936", \ - "0.000770225,0.00284517,0.00652999,0.0128186,0.0231374,0.0393430,0.0637585"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.0353171,0.0379385,0.0428061,0.0518406,0.0686392,0.0999687,0.158595", \ - "0.0363380,0.0389643,0.0438568,0.0529642,0.0698974,0.101404,0.160207", \ - "0.0414084,0.0439920,0.0488144,0.0578260,0.0746739,0.106177,0.165099", \ - "0.0487903,0.0515541,0.0564891,0.0654907,0.0822412,0.113616,0.172417", \ - "0.0557597,0.0588770,0.0645165,0.0746436,0.0926076,0.124119,0.182710", \ - "0.0647234,0.0681819,0.0743529,0.0853537,0.104745,0.138637,0.197722", \ - "0.0779050,0.0816607,0.0883524,0.100156,0.120729,0.156488,0.218621"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.00371566,0.00413171,0.00488779,0.00623964,0.00864510,0.0129633,0.0208795", \ - "0.00366448,0.00401392,0.00470695,0.00608035,0.00853782,0.0129035,0.0208536", \ - "0.00673789,0.00703853,0.00756395,0.00846825,0.00995640,0.0132117,0.0207750", \ - "0.0112745,0.0117049,0.0124571,0.0137268,0.0158195,0.0191602,0.0243960", \ - "0.0172012,0.0177725,0.0187508,0.0204034,0.0231089,0.0274243,0.0341374", \ - "0.0246516,0.0253862,0.0266129,0.0286902,0.0320373,0.0373062,0.0455216", \ - "0.0336428,0.0345756,0.0361389,0.0387047,0.0427734,0.0490370,0.0587048"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.0171655,0.0194495,0.0237347,0.0317579,0.0467827,0.0749118,0.127609", \ - "0.0171707,0.0194568,0.0237329,0.0317609,0.0467856,0.0748962,0.127604", \ - "0.0171949,0.0194708,0.0237432,0.0317605,0.0467794,0.0748993,0.127605", \ - "0.0186768,0.0206918,0.0245568,0.0320380,0.0467920,0.0749121,0.127613", \ - "0.0223706,0.0245225,0.0285307,0.0359169,0.0491902,0.0754107,0.127576", \ - "0.0276389,0.0297208,0.0336409,0.0410496,0.0548425,0.0798691,0.128642", \ - "0.0347567,0.0367029,0.0404421,0.0476398,0.0613601,0.0870414,0.133979"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("-0.000074,-0.000077,-0.000084,-0.000095,-0.000118,-0.000159,-0.000237", \ - "-0.000215,-0.000218,-0.000224,-0.000236,-0.000259,-0.000300,-0.000378", \ - "-0.000704,-0.000708,-0.000717,-0.000731,-0.000754,-0.000795,-0.000872", \ - "0.166076,0.158231,0.142444,0.111514,0.069049,0.005704,-0.001818", \ - "0.517527,0.516061,0.501531,0.466331,0.402952,0.301392,0.176149", \ - "1.039081,1.030377,1.015516,0.987376,0.920906,0.789891,0.589468", \ - "1.704057,1.691894,1.688818,1.675746,1.615869,1.484802,1.227875"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("2.558513,2.573835,2.592740,2.600144,2.610423,2.579631,2.656412", \ - "2.449695,2.463631,2.483350,2.513856,2.528809,2.598825,2.612488", \ - "2.512499,2.517670,2.502210,2.512147,2.526808,2.505820,2.570329", \ - "2.789297,2.786943,2.759134,2.730565,2.691259,2.649139,2.547674", \ - "3.209321,3.233631,3.227049,3.177601,3.095908,3.005015,2.860955", \ - "3.798979,3.786280,3.770256,3.783508,3.712725,3.590393,3.415864", \ - "4.743947,4.706486,4.661937,4.600354,4.518476,4.368867,4.086230"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.158850,0.175037,0.195276,0.220808,0.248254,0.274961,0.300337", \ - "0.145459,0.155724,0.178296,0.204449,0.236267,0.269066,0.297941", \ - "0.231710,0.230621,0.224723,0.212037,0.234483,0.265967,0.295556", \ - "0.467208,0.461053,0.449818,0.431320,0.396780,0.343978,0.337050", \ - "0.846675,0.838767,0.825995,0.792400,0.736402,0.649382,0.537715", \ - "1.384787,1.382003,1.374307,1.336845,1.270971,1.146105,0.963557", \ - "2.097283,2.101201,2.091932,2.068444,2.007574,1.861609,1.616107"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("3.382532,3.374967,3.400307,3.410936,3.423785,3.367900,3.432362", \ - "3.296942,3.298369,3.315245,3.343052,3.358183,3.405431,3.405025", \ - "3.250530,3.260083,3.271395,3.282997,3.287620,3.288263,3.326701", \ - "3.266935,3.254743,3.261772,3.271531,3.246920,3.304470,3.324452", \ - "3.423482,3.454268,3.436255,3.424132,3.405047,3.341054,3.362335", \ - "3.774491,3.763699,3.767619,3.790466,3.776979,3.668434,3.559226", \ - "4.480532,4.462000,4.416637,4.373084,4.333377,4.194966,4.020601"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("0.217335,0.245444,0.292230,0.372457,0.463777,0.559114,0.640752", \ - "0.188800,0.211360,0.252862,0.325196,0.401318,0.503885,0.587057", \ - "0.307770,0.303683,0.312004,0.327438,0.385934,0.468284,0.546534", \ - "0.604669,0.593766,0.591832,0.587286,0.574339,0.544272,0.586387", \ - "1.044963,1.039054,1.026937,0.995362,0.950554,0.880612,0.789017", \ - "1.678094,1.676765,1.657061,1.614576,1.541387,1.414339,1.240848", \ - "2.509368,2.506414,2.493267,2.464993,2.378788,2.211980,1.945678"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); - values ("4.105695,4.117560,4.131326,4.130375,4.141322,4.136601,4.087146", \ - "4.032953,4.030886,4.046355,4.081814,4.071633,4.066158,4.147581", \ - "3.976647,3.986229,3.997581,3.999578,4.032234,4.053779,4.074529", \ - "3.968993,3.978069,3.968081,3.986093,3.990921,4.006146,3.978031", \ - "3.989311,4.043787,4.028266,4.036689,4.010361,3.987730,4.048120", \ - "4.181694,4.166861,4.198799,4.214575,4.227611,4.216595,4.171628", \ - "4.887143,4.844836,4.802830,4.760977,4.725662,4.653998,4.502717"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR3_X2 - Cell Description : Combinational cell (NOR3_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NOR3_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 53.663264; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 60.588880; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 32.356753; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 32.820579; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 59.104347; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 37.520472; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 59.179291; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 59.323684; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 88.412108; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.365192; - fall_capacitance : 2.640894; - rise_capacitance : 3.365192; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.430457; - fall_capacitance : 3.040295; - rise_capacitance : 3.430457; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.442792; - fall_capacitance : 3.319872; - rise_capacitance : 3.442792; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 31.738300; - function : "!((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00431130,0.00470989,0.00533308,0.00656312,0.00899529,0.0138239,0.0234505", \ - "0.00588391,0.00629148,0.00691397,0.00814650,0.0105886,0.0154307,0.0250685", \ - "0.00792398,0.00872264,0.00988958,0.0119664,0.0154642,0.0210582,0.0306384", \ - "0.00744394,0.00867022,0.0104655,0.0136470,0.0189959,0.0275231,0.0405823", \ - "0.00360122,0.00529948,0.00778147,0.0121826,0.0195573,0.0312540,0.0490822", \ - "-0.00407982,-0.00189216,0.00130356,0.00697929,0.0165057,0.0315979,0.0544870", \ - "-0.0159392,-0.0132580,-0.00935972,-0.00239399,0.00934813,0.0279953,0.0562335"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.0205256,0.0231429,0.0272298,0.0352687,0.0510848,0.0823493,0.144513", \ - "0.0205805,0.0231555,0.0272282,0.0353095,0.0512816,0.0828019,0.145233", \ - "0.0260778,0.0284128,0.0322027,0.0399043,0.0554358,0.0866046,0.148944", \ - "0.0368733,0.0397114,0.0439639,0.0518053,0.0665391,0.0968890,0.158333", \ - "0.0494254,0.0528577,0.0579920,0.0675819,0.0847732,0.114892,0.175135", \ - "0.0646902,0.0685856,0.0744474,0.0854734,0.105507,0.140307,0.200420", \ - "0.0831725,0.0874916,0.0939818,0.106242,0.128659,0.168173,0.234798"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00217936,0.00250815,0.00302980,0.00407550,0.00616769,0.0103510,0.0187227", \ - "0.00244881,0.00269067,0.00311402,0.00407570,0.00616723,0.0103508,0.0187220", \ - "0.00516065,0.00548797,0.00597966,0.00688155,0.00844937,0.0112867,0.0187229", \ - "0.00925867,0.00972185,0.0103951,0.0116380,0.0138063,0.0174364,0.0232595", \ - "0.0149517,0.0155621,0.0164474,0.0180465,0.0207957,0.0253801,0.0328291", \ - "0.0223407,0.0231082,0.0242227,0.0262281,0.0296320,0.0351730,0.0441126", \ - "0.0314797,0.0324095,0.0337791,0.0362312,0.0403609,0.0469715,0.0574155"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.0166880,0.0189654,0.0225395,0.0296136,0.0436595,0.0716185,0.127451", \ - "0.0165670,0.0188882,0.0224965,0.0296019,0.0436617,0.0716252,0.127453", \ - "0.0162886,0.0184356,0.0221045,0.0294722,0.0436455,0.0716313,0.127457", \ - "0.0205836,0.0226201,0.0251746,0.0308638,0.0435746,0.0716204,0.127451", \ - "0.0253814,0.0275543,0.0309082,0.0373014,0.0486299,0.0725139,0.127446", \ - "0.0314009,0.0337526,0.0373816,0.0444076,0.0573909,0.0801329,0.128417", \ - "0.0389173,0.0413350,0.0451518,0.0526366,0.0667884,0.0921372,0.136791"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00513385,0.00558737,0.00628673,0.00763720,0.0102294,0.0152304,0.0250081", \ - "0.00674229,0.00717463,0.00785356,0.00918382,0.0117640,0.0167622,0.0265404", \ - "0.0100530,0.0107644,0.0118214,0.0137281,0.0170009,0.0223318,0.0320362", \ - "0.0111009,0.0121659,0.0137566,0.0166316,0.0215762,0.0296477,0.0422538", \ - "0.00909433,0.0105396,0.0126980,0.0166141,0.0233503,0.0343515,0.0514947", \ - "0.00355282,0.00539281,0.00815431,0.0131426,0.0217539,0.0358361,0.0577524", \ - "-0.00582652,-0.00359010,-0.000250602,0.00582126,0.0163464,0.0336167,0.0605175"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.0314425,0.0340035,0.0380192,0.0459566,0.0616661,0.0928402,0.154945", \ - "0.0319684,0.0345341,0.0385650,0.0465665,0.0624052,0.0937700,0.156065", \ - "0.0367243,0.0392453,0.0432148,0.0511207,0.0668615,0.0982070,0.160626", \ - "0.0445889,0.0474626,0.0518470,0.0599013,0.0755399,0.106713,0.168973", \ - "0.0541815,0.0575056,0.0625257,0.0720204,0.0895246,0.121100,0.182971", \ - "0.0679444,0.0716946,0.0773197,0.0879100,0.107283,0.142043,0.204429", \ - "0.0855764,0.0897886,0.0960501,0.107774,0.129105,0.167030,0.233909"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00328909,0.00362693,0.00415669,0.00520427,0.00728095,0.0114319,0.0197734", \ - "0.00326506,0.00356920,0.00407539,0.00515290,0.00726063,0.0114274,0.0197727", \ - "0.00599598,0.00629729,0.00675110,0.00758386,0.00905445,0.0120520,0.0197812", \ - "0.0101595,0.0106013,0.0112527,0.0124417,0.0145396,0.0180667,0.0237558", \ - "0.0157234,0.0163169,0.0171866,0.0187583,0.0214918,0.0260388,0.0334107", \ - "0.0227677,0.0235422,0.0246464,0.0266643,0.0300991,0.0357010,0.0446904", \ - "0.0312980,0.0322648,0.0336818,0.0362176,0.0404569,0.0472024,0.0578186"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.0168298,0.0190550,0.0225802,0.0296232,0.0436538,0.0716182,0.127452", \ - "0.0168398,0.0190599,0.0225850,0.0296236,0.0436575,0.0716373,0.127459", \ - "0.0168913,0.0190987,0.0226037,0.0296284,0.0436522,0.0716413,0.127461", \ - "0.0198756,0.0216330,0.0245170,0.0306077,0.0437446,0.0716220,0.127458", \ - "0.0245711,0.0265688,0.0297148,0.0359300,0.0475827,0.0724957,0.127445", \ - "0.0301632,0.0322202,0.0354500,0.0418595,0.0543412,0.0780598,0.128445", \ - "0.0368822,0.0389395,0.0422366,0.0488299,0.0617617,0.0866492,0.134268"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00482092,0.00531286,0.00607559,0.00755173,0.0103697,0.0157084,0.0258787", \ - "0.00657111,0.00701484,0.00772229,0.00912988,0.0118818,0.0171727,0.0273184", \ - "0.0104683,0.0111829,0.0122448,0.0141606,0.0174465,0.0228123,0.0327656", \ - "0.0124677,0.0135112,0.0150680,0.0178890,0.0227617,0.0307470,0.0432446", \ - "0.0117047,0.0130827,0.0151592,0.0189251,0.0254531,0.0362002,0.0530918", \ - "0.00773998,0.00949180,0.0120934,0.0168080,0.0250293,0.0386246,0.0600599", \ - "0.000456302,0.00252308,0.00560462,0.0112561,0.0211596,0.0376412,0.0637258"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.0349280,0.0374860,0.0414993,0.0494408,0.0651469,0.0963223,0.158426", \ - "0.0359505,0.0385153,0.0425465,0.0505479,0.0663849,0.0977474,0.160045", \ - "0.0410324,0.0435501,0.0475233,0.0554348,0.0711757,0.102526,0.164951", \ - "0.0483823,0.0510875,0.0551836,0.0631138,0.0787676,0.109975,0.172267", \ - "0.0552977,0.0583358,0.0630093,0.0719872,0.0889529,0.120502,0.182564", \ - "0.0642061,0.0675590,0.0726773,0.0824546,0.100786,0.134803,0.197575", \ - "0.0773325,0.0809911,0.0865321,0.0970490,0.116538,0.152437,0.218450"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.00365849,0.00406512,0.00469214,0.00589162,0.00815990,0.0124770,0.0208720", \ - "0.00361775,0.00395702,0.00452127,0.00572100,0.00804498,0.0124128,0.0208468", \ - "0.00669444,0.00699148,0.00743422,0.00823822,0.00966896,0.0128011,0.0207677", \ - "0.0112123,0.0116354,0.0122568,0.0133993,0.0154139,0.0188052,0.0243836", \ - "0.0171135,0.0176715,0.0184784,0.0199683,0.0225644,0.0269449,0.0341288", \ - "0.0245469,0.0252414,0.0262651,0.0281321,0.0313562,0.0367216,0.0454922", \ - "0.0335099,0.0344121,0.0357046,0.0380103,0.0419352,0.0483389,0.0586588"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.0168290,0.0190585,0.0225843,0.0296263,0.0436498,0.0716429,0.127452", \ - "0.0168427,0.0190607,0.0225833,0.0296241,0.0436606,0.0716187,0.127448", \ - "0.0168553,0.0190773,0.0225954,0.0296291,0.0436514,0.0716261,0.127454", \ - "0.0183916,0.0203515,0.0235161,0.0300243,0.0436944,0.0716244,0.127460", \ - "0.0220534,0.0241454,0.0274517,0.0339645,0.0464097,0.0722915,0.127451", \ - "0.0273298,0.0293452,0.0325692,0.0390639,0.0519794,0.0770098,0.128518", \ - "0.0344525,0.0363457,0.0393951,0.0456770,0.0584764,0.0840630,0.133871"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("-0.000147,-0.000154,-0.000164,-0.000185,-0.000227,-0.000310,-0.000476", \ - "-0.000429,-0.000436,-0.000446,-0.000467,-0.000509,-0.000592,-0.000758", \ - "0.077560,0.068563,0.045690,0.005222,-0.001499,-0.001581,-0.001747", \ - "0.510833,0.492432,0.464334,0.420097,0.330629,0.202606,0.111752", \ - "1.236616,1.218403,1.188062,1.124579,1.008409,0.803211,0.529995", \ - "2.226804,2.226891,2.226171,2.160135,2.049759,1.787690,1.354358", \ - "3.591981,3.582883,3.580024,3.534587,3.434880,3.167179,2.633901"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("5.223162,5.258871,5.289120,5.337398,5.390485,5.392343,5.429094", \ - "5.007226,5.039550,5.068994,5.128168,5.201690,5.295508,5.341896", \ - "5.135008,5.150204,5.154836,5.159369,5.116198,5.065632,5.258837", \ - "5.690286,5.690249,5.634672,5.612150,5.502825,5.387751,5.297249", \ - "6.530304,6.580416,6.572824,6.471457,6.338952,6.155485,5.839737", \ - "7.718919,7.696235,7.676086,7.691724,7.565953,7.268200,6.919298", \ - "9.616532,9.562724,9.446231,9.341335,9.219779,8.904176,8.288019"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.497282,0.529853,0.565799,0.608112,0.671803,0.731663,0.782527", \ - "0.466682,0.503600,0.538389,0.586296,0.653887,0.717162,0.775653", \ - "0.638740,0.641170,0.634597,0.606648,0.641282,0.705559,0.767094", \ - "1.119515,1.103027,1.084341,1.051385,0.981431,0.877161,0.855590", \ - "1.876486,1.862417,1.838187,1.783251,1.673466,1.494739,1.254162", \ - "2.962751,2.959591,2.927542,2.870826,2.746183,2.490478,2.100669", \ - "4.366701,4.361036,4.365787,4.328224,4.210685,3.926321,3.403179"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("6.872475,6.885368,6.884291,6.927648,6.961806,6.999767,6.997315", \ - "6.718846,6.710456,6.734392,6.797037,6.849828,6.864856,6.904160", \ - "6.610603,6.634353,6.651101,6.665404,6.705400,6.765875,6.819923", \ - "6.643256,6.633023,6.634066,6.676683,6.656264,6.710709,6.548141", \ - "6.951244,7.030805,7.017388,6.970032,6.928992,6.850610,6.844354", \ - "7.661854,7.646181,7.652439,7.694593,7.689473,7.476536,7.301771", \ - "9.082581,9.051563,8.976827,8.889682,8.770943,8.647087,8.251384"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("0.602572,0.682711,0.768769,0.896730,1.074320,1.279058,1.473592", \ - "0.517057,0.608262,0.681430,0.786487,0.964008,1.169572,1.356528", \ - "0.773275,0.796895,0.805695,0.821459,0.942012,1.102270,1.277685", \ - "1.391165,1.387937,1.364189,1.361435,1.333966,1.279323,1.350856", \ - "2.267914,2.257692,2.221726,2.180240,2.095943,1.948722,1.757612", \ - "3.541863,3.529234,3.492123,3.428413,3.291209,3.030595,2.658145", \ - "5.217664,5.206165,5.183197,5.115014,4.966411,4.635081,4.064225"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); - values ("8.319685,8.348296,8.369707,8.410445,8.394197,8.324257,8.461914", \ - "8.183851,8.175682,8.223297,8.264598,8.310448,8.360056,8.413549", \ - "8.063163,8.087088,8.118355,8.141757,8.131146,8.142130,8.269181", \ - "8.048083,8.070850,8.085201,8.070444,8.058814,8.080225,8.185795", \ - "8.078335,8.166946,8.203155,8.175757,8.172406,8.086619,8.182688", \ - "8.473983,8.469000,8.500033,8.546164,8.659240,8.515106,8.464016", \ - "9.893390,9.832411,9.736885,9.636794,9.557810,9.454950,9.104648"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR3_X4 - Cell Description : Combinational cell (NOR3_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NOR3_X4) { - - drive_strength : 4; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 107.325918; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 121.178200; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 64.713363; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 65.640927; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 118.207945; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 75.040900; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 118.356246; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 118.646631; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 176.823130; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.514946; - fall_capacitance : 5.112362; - rise_capacitance : 6.514946; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.171360; - fall_capacitance : 5.430310; - rise_capacitance : 6.171360; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.105358; - fall_capacitance : 5.833055; - rise_capacitance : 6.105358; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 63.324000; - function : "!((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00430392,0.00482004,0.00544381,0.00667453,0.00910590,0.0139310,0.0235488", \ - "0.00585893,0.00639383,0.00701726,0.00825066,0.0106909,0.0155290,0.0251574", \ - "0.00783756,0.00887201,0.0100310,0.0120956,0.0155808,0.0211604,0.0307292", \ - "0.00727821,0.00886903,0.0106508,0.0138167,0.0191423,0.0276452,0.0406831", \ - "0.00332337,0.00553302,0.00799765,0.0123751,0.0197243,0.0313928,0.0491957", \ - "-0.00449219,-0.00165149,0.00152775,0.00718395,0.0166845,0.0317472,0.0546013", \ - "-0.0165084,-0.0130374,-0.00914674,-0.00219588,0.00952070,0.0281407,0.0563422"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.0193625,0.0227597,0.0268698,0.0349516,0.0508395,0.0822267,0.144615", \ - "0.0194971,0.0228226,0.0269052,0.0350225,0.0510584,0.0827014,0.145357", \ - "0.0252078,0.0281813,0.0319582,0.0396717,0.0552583,0.0865383,0.149098", \ - "0.0359902,0.0396444,0.0438664,0.0516855,0.0664121,0.0968682,0.158518", \ - "0.0485267,0.0529279,0.0580482,0.0676142,0.0847710,0.114900,0.175352", \ - "0.0637732,0.0687808,0.0746286,0.0856395,0.105650,0.140429,0.200665", \ - "0.0822377,0.0877700,0.0942616,0.106519,0.128930,0.168443,0.235100"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00210596,0.00252844,0.00304921,0.00409322,0.00618057,0.0103573,0.0187144", \ - "0.00239553,0.00270597,0.00312956,0.00409509,0.00618087,0.0103570,0.0187134", \ - "0.00508204,0.00550333,0.00599282,0.00688951,0.00845348,0.0112867,0.0187159", \ - "0.00914262,0.00972900,0.0104085,0.0116450,0.0138105,0.0174368,0.0232417", \ - "0.0147782,0.0155525,0.0164432,0.0180432,0.0207942,0.0253814,0.0328120", \ - "0.0221112,0.0230809,0.0242025,0.0262134,0.0296154,0.0351686,0.0441048", \ - "0.0311814,0.0323620,0.0337397,0.0362084,0.0403353,0.0469567,0.0574103"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.0161967,0.0191966,0.0228183,0.0299573,0.0440779,0.0721541,0.128164", \ - "0.0160050,0.0190724,0.0227525,0.0299363,0.0440713,0.0721412,0.128158", \ - "0.0157203,0.0185345,0.0222304,0.0297445,0.0440556,0.0721467,0.128160", \ - "0.0199977,0.0226407,0.0252317,0.0310131,0.0438734,0.0721414,0.128164", \ - "0.0248005,0.0275922,0.0309642,0.0373787,0.0487947,0.0729068,0.128166", \ - "0.0308224,0.0337983,0.0374594,0.0445010,0.0575243,0.0803869,0.129037", \ - "0.0383213,0.0413934,0.0452424,0.0527487,0.0669188,0.0923490,0.137253"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00518625,0.00578466,0.00649561,0.00786535,0.0104861,0.0155211,0.0253286", \ - "0.00681034,0.00737754,0.00806441,0.00940964,0.0120139,0.0170431,0.0268502", \ - "0.0101766,0.0110978,0.0121462,0.0140413,0.0172961,0.0226092,0.0323371", \ - "0.0112891,0.0126692,0.0142416,0.0170879,0.0219958,0.0300253,0.0425854", \ - "0.00933818,0.0112147,0.0133493,0.0172165,0.0238972,0.0348354,0.0519135", \ - "0.00384230,0.00624091,0.00895260,0.0138837,0.0224230,0.0364175,0.0582396", \ - "-0.00550964,-0.00261061,0.000685793,0.00668736,0.0171234,0.0342915,0.0610766"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.0319439,0.0352689,0.0393052,0.0472833,0.0630545,0.0943391,0.156661", \ - "0.0324801,0.0358092,0.0398644,0.0479007,0.0638007,0.0952729,0.157776", \ - "0.0373006,0.0405710,0.0445663,0.0525117,0.0683149,0.0997716,0.162402", \ - "0.0452052,0.0489047,0.0532439,0.0613007,0.0770149,0.108311,0.170798", \ - "0.0544411,0.0587364,0.0637775,0.0732970,0.0908242,0.122503,0.184649", \ - "0.0677597,0.0726134,0.0782742,0.0889027,0.108338,0.143217,0.205862", \ - "0.0852739,0.0906815,0.0969400,0.108692,0.130067,0.168079,0.235152"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00330750,0.00375074,0.00428736,0.00534403,0.00742873,0.0115824,0.0199208", \ - "0.00326965,0.00367073,0.00418883,0.00527925,0.00740072,0.0115752,0.0199198", \ - "0.00600672,0.00639154,0.00683884,0.00766549,0.00912874,0.0121577,0.0199253", \ - "0.0101638,0.0107205,0.0113630,0.0125480,0.0146300,0.0181343,0.0238299", \ - "0.0157055,0.0164504,0.0173097,0.0188792,0.0215968,0.0261293,0.0334681", \ - "0.0227103,0.0236623,0.0247758,0.0267869,0.0302014,0.0357932,0.0447561", \ - "0.0311745,0.0323952,0.0338036,0.0363250,0.0405539,0.0472898,0.0578962"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.0164870,0.0193674,0.0229152,0.0299821,0.0440739,0.0721434,0.128163", \ - "0.0165010,0.0193817,0.0229205,0.0299864,0.0440798,0.0721465,0.128177", \ - "0.0165480,0.0194088,0.0229358,0.0299903,0.0440707,0.0721427,0.128177", \ - "0.0193911,0.0217072,0.0246567,0.0308400,0.0441540,0.0721464,0.128165", \ - "0.0241780,0.0267530,0.0299150,0.0361541,0.0478388,0.0729620,0.128172", \ - "0.0299693,0.0325842,0.0358166,0.0422176,0.0547084,0.0784586,0.129114", \ - "0.0367928,0.0394190,0.0427185,0.0492950,0.0622156,0.0871247,0.134881"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00485687,0.00550078,0.00627213,0.00776460,0.0106143,0.0160089,0.0262643", \ - "0.00662901,0.00720857,0.00792328,0.00934533,0.0121260,0.0174694,0.0276973", \ - "0.0105644,0.0114972,0.0125548,0.0144659,0.0177498,0.0231183,0.0331396", \ - "0.0126596,0.0140089,0.0155583,0.0183600,0.0232150,0.0311790,0.0436660", \ - "0.0119775,0.0137862,0.0158437,0.0195785,0.0260704,0.0367790,0.0536389", \ - "0.00811469,0.0104089,0.0129655,0.0176423,0.0258086,0.0393519,0.0607329", \ - "0.000898893,0.00360192,0.00665957,0.0122547,0.0220957,0.0385091,0.0645196"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.0358846,0.0392074,0.0432399,0.0512106,0.0669731,0.0982514,0.160565", \ - "0.0369171,0.0402442,0.0442973,0.0523312,0.0682262,0.0996866,0.162182", \ - "0.0420757,0.0453482,0.0493437,0.0572912,0.0730964,0.104556,0.167178", \ - "0.0496068,0.0530617,0.0571464,0.0651002,0.0808200,0.112141,0.174651", \ - "0.0565461,0.0604577,0.0651313,0.0741037,0.0910725,0.122675,0.184987", \ - "0.0650348,0.0693416,0.0744895,0.0842961,0.102677,0.136779,0.199770", \ - "0.0775519,0.0822706,0.0878484,0.0983988,0.117961,0.153999,0.220267"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.00362342,0.00416459,0.00480399,0.00602776,0.00833721,0.0127125,0.0211800", \ - "0.00360390,0.00405100,0.00462929,0.00585330,0.00821597,0.0126424,0.0211502", \ - "0.00671377,0.00709517,0.00753514,0.00834875,0.00977913,0.0129831,0.0210548", \ - "0.0112600,0.0117985,0.0124138,0.0135505,0.0155588,0.0189514,0.0245792", \ - "0.0171766,0.0178696,0.0186842,0.0201674,0.0227572,0.0271249,0.0342901", \ - "0.0246087,0.0254705,0.0264986,0.0283661,0.0315781,0.0369312,0.0456834", \ - "0.0335359,0.0346862,0.0359618,0.0382719,0.0421954,0.0485709,0.0588621"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.0164873,0.0193742,0.0229140,0.0299839,0.0440787,0.0721499,0.128168", \ - "0.0165035,0.0193785,0.0229164,0.0299869,0.0440729,0.0721642,0.128162", \ - "0.0165243,0.0193960,0.0229290,0.0299882,0.0440797,0.0721417,0.128174", \ - "0.0179259,0.0204905,0.0237001,0.0302880,0.0441097,0.0721393,0.128160", \ - "0.0215212,0.0242445,0.0275720,0.0341142,0.0466212,0.0727121,0.128159", \ - "0.0267797,0.0293936,0.0326598,0.0392004,0.0521886,0.0773192,0.129169", \ - "0.0341211,0.0365308,0.0396095,0.0459198,0.0587528,0.0844282,0.134418"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.002004,-0.000310,-0.000331,-0.000373,-0.000455,-0.000621,-0.000951", \ - "-0.000856,-0.000873,-0.000894,-0.000936,-0.001019,-0.001185,-0.001515", \ - "0.202412,0.164373,0.120755,0.035208,-0.003000,-0.003164,-0.003493", \ - "1.051959,0.999746,0.939863,0.841553,0.676625,0.421225,0.228213", \ - "2.457814,2.415286,2.365085,2.241221,2.023877,1.611519,1.072034", \ - "4.477166,4.418720,4.398019,4.287888,4.075751,3.566952,2.710589", \ - "7.112142,7.095634,7.100240,7.019305,6.831289,6.315085,5.245056"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("10.330600,10.368980,10.428980,10.565560,10.578580,10.758330,10.635940", \ - "9.907672,10.012090,10.070720,10.148470,10.272210,10.535640,10.459010", \ - "10.177070,10.236320,10.165930,10.211350,10.167280,10.216050,10.397970", \ - "11.305300,11.274870,11.205940,11.114150,10.964570,10.816860,10.663150", \ - "12.920530,13.032660,13.088790,12.914030,12.638550,12.246620,11.692570", \ - "15.342700,15.332290,15.300280,15.320250,15.084080,14.462540,13.404400", \ - "19.157150,19.028390,18.828980,18.557690,18.211220,17.675810,16.778920"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("0.956886,1.045936,1.093762,1.192340,1.321589,1.440151,1.565819", \ - "0.923688,1.002208,1.060863,1.162877,1.283821,1.421032,1.545757", \ - "1.287910,1.278136,1.247024,1.196114,1.271897,1.395018,1.528539", \ - "2.214493,2.182949,2.143004,2.064727,1.943655,1.733697,1.699594", \ - "3.710051,3.666087,3.617856,3.509889,3.292772,2.943825,2.479481", \ - "5.879123,5.826292,5.789740,5.684925,5.428708,4.928016,4.160490", \ - "8.665212,8.646163,8.650449,8.582497,8.328700,7.773083,6.740484"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("14.255090,14.351340,14.396590,14.469000,14.527440,14.454320,14.441830", \ - "13.953670,14.050750,14.099350,14.203830,14.317590,14.436700,14.542230", \ - "13.812220,13.818370,13.852290,13.951710,13.946130,13.998820,14.281110", \ - "13.851440,13.847200,13.869500,13.875620,13.837320,13.748910,13.972790", \ - "14.400430,14.464210,14.483140,14.403410,14.287510,14.256130,14.092610", \ - "15.679610,15.654800,15.678820,15.809610,15.797070,15.305240,14.999240", \ - "18.511970,18.423440,18.275660,18.119110,17.955030,17.660210,16.906930"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("1.169439,1.338293,1.477945,1.754438,2.117254,2.523835,2.908270", \ - "1.033309,1.148913,1.324892,1.578572,1.916228,2.309313,2.694362", \ - "1.531834,1.538043,1.535192,1.596769,1.835180,2.169813,2.525762", \ - "2.675474,2.685637,2.682598,2.638728,2.598562,2.497523,2.665264", \ - "4.467772,4.407269,4.350025,4.261559,4.087045,3.802664,3.448599", \ - "7.009510,6.935923,6.889681,6.737500,6.462827,5.963834,5.235776", \ - "10.291990,10.288210,10.238060,10.121150,9.802958,9.132193,8.010533"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); - values ("17.555940,17.651170,17.693560,17.739650,17.830970,17.741980,17.640510", \ - "17.295170,17.315780,17.363480,17.462010,17.588460,17.577850,17.500590", \ - "17.052860,17.137290,17.182010,17.225920,17.307420,17.240480,17.598810", \ - "17.008660,17.089060,17.117500,17.087760,17.180500,17.237190,17.241280", \ - "17.126450,17.258640,17.303100,17.255040,17.204440,17.248520,17.186300", \ - "17.683580,17.663320,17.706380,17.931040,18.121660,17.819710,17.454160", \ - "20.336630,20.244450,20.107270,19.919510,19.683270,19.721760,19.086850"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR4_X1 - Cell Description : Combinational cell (NOR4_X1) with drive strength X1 - *******************************************************************************************/ - - cell (NOR4_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 32.601474; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 40.378360; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 16.110523; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 16.257131; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 29.550601; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 16.489066; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 29.561374; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 29.631084; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 44.184689; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 18.838292; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 29.596559; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 29.668553; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 44.209815; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 29.740754; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 44.240796; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 44.284910; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 58.881081; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.736804; - fall_capacitance : 1.343493; - rise_capacitance : 1.736804; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.674130; - fall_capacitance : 1.446608; - rise_capacitance : 1.674130; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.635974; - fall_capacitance : 1.489920; - rise_capacitance : 1.635974; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.605950; - fall_capacitance : 1.554231; - rise_capacitance : 1.605950; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 10.471300; - function : "!(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00495584,0.00529765,0.00589416,0.00692721,0.00872180,0.0118452,0.0172904", \ - "0.00654185,0.00688511,0.00748041,0.00851817,0.0103203,0.0134525,0.0189090", \ - "0.00930672,0.00993253,0.0109649,0.0126163,0.0151663,0.0189692,0.0245444", \ - "0.00959445,0.0105557,0.0121434,0.0146842,0.0185823,0.0243867,0.0327513", \ - "0.00630584,0.00765007,0.00987296,0.0134167,0.0188383,0.0268617,0.0383495", \ - "-0.00126872,0.000510818,0.00342444,0.00804991,0.0151375,0.0255963,0.0404777", \ - "-0.0134725,-0.0112967,-0.00765896,-0.00192321,0.00690785,0.0199436,0.0384780"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0303105,0.0333557,0.0386522,0.0478534,0.0637810,0.0913738,0.139339", \ - "0.0298453,0.0328763,0.0381927,0.0474616,0.0635475,0.0913993,0.139656", \ - "0.0348229,0.0376444,0.0426672,0.0515740,0.0672597,0.0947420,0.142801", \ - "0.0476921,0.0504427,0.0548721,0.0630677,0.0781167,0.104879,0.152093", \ - "0.0637033,0.0670553,0.0726330,0.0819207,0.0971051,0.122669,0.168925", \ - "0.0824123,0.0862442,0.0926180,0.103327,0.120864,0.148884,0.194097", \ - "0.104370,0.108577,0.115737,0.127685,0.147284,0.178847,0.228276"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00277569,0.00306198,0.00356632,0.00444888,0.00599367,0.00869720,0.0134232", \ - "0.00290802,0.00314692,0.00358954,0.00444933,0.00599338,0.00869680,0.0134241", \ - "0.00574747,0.00601179,0.00645356,0.00717823,0.00832833,0.0100993,0.0137878", \ - "0.0100276,0.0103986,0.0110145,0.0120233,0.0136140,0.0160731,0.0197306", \ - "0.0159010,0.0163931,0.0171948,0.0184898,0.0205304,0.0236553,0.0283179", \ - "0.0235661,0.0241733,0.0251810,0.0267953,0.0293200,0.0331069,0.0387276", \ - "0.0330030,0.0337982,0.0350418,0.0370223,0.0400680,0.0446011,0.0512270"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0289165,0.0317095,0.0364967,0.0447012,0.0588050,0.0832323,0.125767", \ - "0.0286333,0.0314880,0.0363600,0.0446398,0.0587928,0.0832384,0.125772", \ - "0.0277228,0.0307330,0.0358353,0.0443791,0.0587275,0.0832153,0.125785", \ - "0.0292760,0.0316232,0.0358836,0.0436589,0.0580159,0.0831424,0.125774", \ - "0.0347389,0.0372036,0.0414377,0.0480665,0.0600762,0.0828172,0.125687", \ - "0.0409708,0.0434988,0.0478143,0.0552846,0.0678972,0.0881177,0.126321", \ - "0.0484699,0.0511681,0.0556867,0.0635632,0.0768215,0.0986653,0.133883"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00591621,0.00629864,0.00695565,0.00807723,0.00998552,0.0132348,0.0188010", \ - "0.00752000,0.00789259,0.00853773,0.00964873,0.0115495,0.0147966,0.0203631", \ - "0.0113957,0.0119637,0.0129037,0.0144298,0.0168122,0.0204162,0.0259471", \ - "0.0130690,0.0139270,0.0153530,0.0176597,0.0212783,0.0267447,0.0347453", \ - "0.0114135,0.0125874,0.0145562,0.0177403,0.0227207,0.0302319,0.0411947", \ - "0.00578459,0.00729301,0.00984013,0.0139713,0.0204208,0.0301422,0.0442789", \ - "-0.00422478,-0.00235524,0.000774222,0.00584766,0.0138321,0.0258770,0.0433917"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0482099,0.0512333,0.0564777,0.0655873,0.0814026,0.108909,0.156785", \ - "0.0482824,0.0513211,0.0566050,0.0657946,0.0817381,0.109426,0.157522", \ - "0.0522328,0.0552381,0.0604507,0.0695529,0.0854113,0.113051,0.161222", \ - "0.0604146,0.0634115,0.0686074,0.0776508,0.0934017,0.120896,0.168889", \ - "0.0719678,0.0754203,0.0812659,0.0911484,0.107406,0.134650,0.182295", \ - "0.0882869,0.0920573,0.0984702,0.109207,0.127016,0.156192,0.203673", \ - "0.109323,0.113462,0.120540,0.132359,0.151660,0.183030,0.233631"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00390181,0.00419261,0.00469845,0.00557724,0.00710872,0.00978487,0.0144821", \ - "0.00383043,0.00411251,0.00463398,0.00553609,0.00708818,0.00977786,0.0144809", \ - "0.00650812,0.00675011,0.00715869,0.00782927,0.00890606,0.0107417,0.0146780", \ - "0.0108750,0.0112293,0.0118176,0.0127948,0.0143273,0.0166960,0.0202522", \ - "0.0167006,0.0171771,0.0179595,0.0192338,0.0212588,0.0243221,0.0289265", \ - "0.0241085,0.0247434,0.0257401,0.0273447,0.0298651,0.0336839,0.0393102", \ - "0.0331092,0.0339076,0.0351809,0.0372104,0.0403097,0.0449090,0.0516228"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0295507,0.0321870,0.0367893,0.0448193,0.0588259,0.0832215,0.125776", \ - "0.0295587,0.0322011,0.0367929,0.0448248,0.0588211,0.0832318,0.125803", \ - "0.0295554,0.0321999,0.0367901,0.0448156,0.0588220,0.0832376,0.125791", \ - "0.0304653,0.0328482,0.0370967,0.0449232,0.0588486,0.0832325,0.125791", \ - "0.0357044,0.0381212,0.0420149,0.0486376,0.0608734,0.0835466,0.125775", \ - "0.0412580,0.0436208,0.0477702,0.0549706,0.0674390,0.0880311,0.126916", \ - "0.0480321,0.0504314,0.0546026,0.0619342,0.0745737,0.0962329,0.132625"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00572772,0.00614777,0.00687050,0.00810191,0.0101825,0.0136768,0.0195438", \ - "0.00745758,0.00785078,0.00853777,0.00972705,0.0117656,0.0152240,0.0210665", \ - "0.0119036,0.0124734,0.0134196,0.0149493,0.0173409,0.0209582,0.0266104", \ - "0.0144607,0.0152970,0.0166977,0.0189710,0.0225384,0.0279374,0.0358696", \ - "0.0139482,0.0150730,0.0169632,0.0200429,0.0248858,0.0322231,0.0429797", \ - "0.00970929,0.0111466,0.0135725,0.0175108,0.0236784,0.0330790,0.0468564", \ - "0.00147807,0.00322313,0.00614132,0.0109015,0.0184603,0.0299953,0.0469414"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0580145,0.0610342,0.0662796,0.0753882,0.0912013,0.118698,0.166584", \ - "0.0582118,0.0612522,0.0665395,0.0757259,0.0916725,0.119348,0.167456", \ - "0.0626025,0.0656114,0.0708283,0.0799322,0.0957947,0.123435,0.171602", \ - "0.0703280,0.0733285,0.0785317,0.0875863,0.103372,0.130885,0.178905", \ - "0.0790867,0.0824221,0.0881423,0.0978817,0.113956,0.141387,0.189255", \ - "0.0894952,0.0930820,0.0992039,0.109620,0.127159,0.156356,0.204386", \ - "0.105063,0.108929,0.115500,0.126579,0.144989,0.175594,0.226073"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00442988,0.00477133,0.00535376,0.00634176,0.00800471,0.0108086,0.0155826", \ - "0.00427882,0.00459636,0.00517246,0.00619301,0.00789358,0.0107342,0.0155407", \ - "0.00717391,0.00740536,0.00780305,0.00845552,0.00949799,0.0114117,0.0155318", \ - "0.0118719,0.0122183,0.0127851,0.0137038,0.0151766,0.0174622,0.0208943", \ - "0.0180070,0.0184607,0.0192032,0.0204032,0.0223077,0.0252663,0.0297285", \ - "0.0257380,0.0263114,0.0272454,0.0287471,0.0311263,0.0347738,0.0402118", \ - "0.0350134,0.0357536,0.0369317,0.0388506,0.0417597,0.0461324,0.0526077"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0295657,0.0321949,0.0367902,0.0448208,0.0588248,0.0832294,0.125778", \ - "0.0295717,0.0322033,0.0367927,0.0448217,0.0588244,0.0832372,0.125801", \ - "0.0295799,0.0322230,0.0367995,0.0448281,0.0588280,0.0832372,0.125781", \ - "0.0299223,0.0324710,0.0369582,0.0448836,0.0588409,0.0832178,0.125769", \ - "0.0342228,0.0365576,0.0405308,0.0475536,0.0602457,0.0834002,0.125762", \ - "0.0393216,0.0417052,0.0459143,0.0532843,0.0660747,0.0874347,0.126914", \ - "0.0462796,0.0485823,0.0526420,0.0598277,0.0725280,0.0946550,0.132336"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00542372,0.00583826,0.00655594,0.00779273,0.00990655,0.0134948,0.0195559", \ - "0.00719134,0.00757696,0.00825362,0.00943985,0.0114972,0.0150324,0.0210468", \ - "0.0117612,0.0123387,0.0132995,0.0148530,0.0172829,0.0209454,0.0266879", \ - "0.0147376,0.0155784,0.0169889,0.0192859,0.0228855,0.0283261,0.0362976", \ - "0.0148955,0.0160179,0.0178996,0.0209735,0.0258151,0.0331384,0.0439113", \ - "0.0115933,0.0130101,0.0154101,0.0192922,0.0254146,0.0347257,0.0483974", \ - "0.00463230,0.00632431,0.00917988,0.0138287,0.0212441,0.0325678,0.0492822"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0613830,0.0644110,0.0696533,0.0787626,0.0945783,0.122079,0.169966", \ - "0.0620815,0.0651223,0.0704101,0.0795968,0.0955435,0.123229,0.171317", \ - "0.0668186,0.0698177,0.0750436,0.0841435,0.100008,0.127645,0.175822", \ - "0.0742410,0.0772342,0.0824328,0.0914888,0.107272,0.134795,0.182829", \ - "0.0822680,0.0854795,0.0910031,0.100418,0.116312,0.143756,0.191659", \ - "0.0898105,0.0932457,0.0991285,0.109189,0.126260,0.155012,0.203020", \ - "0.100308,0.103968,0.110112,0.120624,0.138397,0.168310,0.218372"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.00432959,0.00469682,0.00532339,0.00639439,0.00819850,0.0112145,0.0162573", \ - "0.00426728,0.00458849,0.00517281,0.00624628,0.00807009,0.0111082,0.0161753", \ - "0.00749113,0.00773273,0.00813858,0.00879711,0.00985034,0.0118187,0.0160794", \ - "0.0125493,0.0128969,0.0134605,0.0143771,0.0158576,0.0180981,0.0215015", \ - "0.0190642,0.0195137,0.0202424,0.0214316,0.0233029,0.0262093,0.0305671", \ - "0.0272606,0.0278193,0.0287152,0.0301779,0.0324814,0.0359951,0.0412940", \ - "0.0371440,0.0378421,0.0389805,0.0408084,0.0435802,0.0477531,0.0539588"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.0295574,0.0321997,0.0367879,0.0448217,0.0588339,0.0832328,0.125774", \ - "0.0295764,0.0322043,0.0367895,0.0448209,0.0588335,0.0832434,0.125769", \ - "0.0295838,0.0322137,0.0368031,0.0448234,0.0588270,0.0832256,0.125795", \ - "0.0297137,0.0323160,0.0368600,0.0448479,0.0588344,0.0832189,0.125798", \ - "0.0324955,0.0348876,0.0390339,0.0464060,0.0595788,0.0832967,0.125775", \ - "0.0365330,0.0390288,0.0433863,0.0509773,0.0640800,0.0862640,0.126570", \ - "0.0429288,0.0452722,0.0494343,0.0568097,0.0698061,0.0924411,0.131220"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("-0.000095,-0.000098,-0.000103,-0.000112,-0.000128,-0.000155,-0.000202", \ - "-0.000281,-0.000284,-0.000289,-0.000298,-0.000313,-0.000341,-0.000388", \ - "-0.000930,-0.000933,-0.000940,-0.000950,-0.000966,-0.000993,-0.001041", \ - "0.164983,0.157705,0.152141,0.134178,0.111321,0.073050,0.019335", \ - "0.448338,0.447875,0.440659,0.422437,0.395325,0.344189,0.266870", \ - "0.862848,0.864710,0.857652,0.841281,0.816165,0.760414,0.657601", \ - "1.407663,1.410804,1.409225,1.401827,1.385223,1.330703,1.221740"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("3.080897,3.093397,3.109480,3.126519,3.150286,3.112762,3.155322", \ - "2.979599,2.992301,3.009799,3.032404,3.036915,3.089796,3.107321", \ - "3.020672,3.012774,3.020894,3.017344,3.005563,3.021322,3.067415", \ - "3.246862,3.248357,3.233168,3.231384,3.198886,3.123864,3.092312", \ - "3.673425,3.656128,3.630972,3.612509,3.542980,3.510968,3.405158", \ - "4.207718,4.220104,4.231372,4.173530,4.128117,4.035919,3.877553", \ - "4.928031,4.915791,4.902061,4.900638,4.891568,4.722512,4.559614"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.237899,0.244146,0.244713,0.250541,0.258920,0.270661,0.289844", \ - "0.231924,0.238489,0.243750,0.249505,0.264626,0.278405,0.299090", \ - "0.298682,0.298924,0.292790,0.284343,0.290513,0.303555,0.325388", \ - "0.498827,0.498463,0.492195,0.481995,0.458247,0.429370,0.392388", \ - "0.799410,0.791411,0.786266,0.775085,0.749776,0.707034,0.636863", \ - "1.223492,1.220787,1.212953,1.203602,1.179423,1.125444,1.031164", \ - "1.792853,1.784557,1.793497,1.786078,1.761940,1.712216,1.602471"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("3.896380,3.907080,3.920226,3.915208,3.917744,3.956745,3.903798", \ - "3.827298,3.838727,3.839050,3.862420,3.860419,3.905936,3.865177", \ - "3.763957,3.777384,3.785852,3.779213,3.795148,3.816297,3.807195", \ - "3.758260,3.766552,3.776580,3.780652,3.759449,3.802599,3.807654", \ - "3.901292,3.891333,3.894335,3.886751,3.861261,3.867643,3.850294", \ - "4.237747,4.248550,4.253017,4.207827,4.182915,4.116527,4.042218", \ - "4.736132,4.738836,4.720464,4.735795,4.737185,4.610697,4.513415"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.323490,0.337779,0.371304,0.418727,0.489183,0.562688,0.645204", \ - "0.303437,0.332770,0.368995,0.415689,0.475154,0.550133,0.630954", \ - "0.411048,0.407997,0.415963,0.429293,0.467021,0.534728,0.600378", \ - "0.663654,0.663793,0.666734,0.664573,0.652926,0.644981,0.648102", \ - "1.012708,1.005952,1.000691,0.994794,0.975520,0.943731,0.892789", \ - "1.505770,1.504750,1.496817,1.485083,1.450639,1.400123,1.313164", \ - "2.152962,2.148622,2.139685,2.132154,2.106404,2.041393,1.925808"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("4.631455,4.642095,4.655228,4.660799,4.652638,4.677565,4.639034", \ - "4.560515,4.557374,4.572415,4.599094,4.595714,4.619933,4.598704", \ - "4.505226,4.500404,4.512570,4.527519,4.532659,4.513310,4.533271", \ - "4.475536,4.484573,4.495819,4.503682,4.511199,4.517556,4.534038", \ - "4.504105,4.497370,4.506065,4.503380,4.512015,4.525221,4.492924", \ - "4.660098,4.682772,4.683861,4.671284,4.643149,4.621311,4.571784", \ - "5.051105,5.048586,5.044409,5.047604,5.072169,4.937853,4.901150"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("0.271040,0.297753,0.328439,0.407561,0.505799,0.652344,0.801546", \ - "0.232904,0.259712,0.299559,0.370155,0.477414,0.602371,0.738918", \ - "0.362876,0.375782,0.385112,0.416382,0.481593,0.584147,0.707710", \ - "0.673868,0.689978,0.694810,0.703854,0.715430,0.727050,0.767260", \ - "1.091544,1.085043,1.090713,1.080119,1.076553,1.056451,1.030131", \ - "1.656446,1.645654,1.647202,1.627841,1.603457,1.560432,1.483419", \ - "2.398726,2.401603,2.391690,2.384463,2.347409,2.281192,2.154811"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); - values ("5.345978,5.356640,5.373639,5.374599,5.375874,5.407906,5.386251", \ - "5.282262,5.279263,5.294257,5.316939,5.313270,5.343062,5.337257", \ - "5.222565,5.232239,5.229916,5.238937,5.254559,5.291040,5.267125", \ - "5.196672,5.205866,5.202119,5.216090,5.213801,5.217720,5.272652", \ - "5.201196,5.209657,5.208734,5.213499,5.192951,5.223274,5.247844", \ - "5.266625,5.280319,5.310576,5.306709,5.281507,5.275902,5.262051", \ - "5.542625,5.533219,5.542013,5.552134,5.577804,5.556820,5.445818"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR4_X2 - Cell Description : Combinational cell (NOR4_X2) with drive strength X2 - *******************************************************************************************/ - - cell (NOR4_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 65.202889; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 80.756720; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 32.221035; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 32.514350; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 59.101184; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 32.978011; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 59.122617; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 59.262042; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 88.369248; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 37.676518; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 59.192989; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 59.336986; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 88.419721; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 59.481378; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 88.481470; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 88.569804; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 117.762147; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.390672; - fall_capacitance : 2.599909; - rise_capacitance : 3.390672; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.409886; - fall_capacitance : 2.942783; - rise_capacitance : 3.409886; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.519213; - fall_capacitance : 3.206589; - rise_capacitance : 3.519213; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.614957; - fall_capacitance : 3.496791; - rise_capacitance : 3.614957; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 20.904500; - function : "!(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00479248,0.00501360,0.00544655,0.00628779,0.00792295,0.0111075,0.0173296", \ - "0.00637797,0.00659919,0.00703131,0.00787425,0.00951585,0.0127117,0.0189451", \ - "0.00896715,0.00938432,0.0101691,0.0115889,0.0140542,0.0181170,0.0245801", \ - "0.00904988,0.00969200,0.0109013,0.0130888,0.0168657,0.0230702,0.0327842", \ - "0.00552323,0.00642523,0.00812104,0.0111764,0.0164406,0.0250317,0.0383765", \ - "-0.00228571,-0.00110503,0.00111282,0.00511058,0.0119894,0.0231959,0.0405092", \ - "-0.0147797,-0.0133051,-0.0105683,-0.00560696,0.00296417,0.0169463,0.0385039"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0284218,0.0303858,0.0342219,0.0417027,0.0562262,0.0843976,0.139243", \ - "0.0279838,0.0299273,0.0337530,0.0412658,0.0559289,0.0843793,0.139569", \ - "0.0331120,0.0348999,0.0384642,0.0456080,0.0598082,0.0877921,0.142733", \ - "0.0459558,0.0477574,0.0512115,0.0575222,0.0709210,0.0980969,0.152018", \ - "0.0616515,0.0638358,0.0679651,0.0757546,0.0900209,0.116068,0.168851", \ - "0.0800355,0.0825534,0.0872672,0.0962007,0.112693,0.142032,0.194035", \ - "0.101745,0.104518,0.109837,0.119729,0.138111,0.171103,0.228175"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00260207,0.00278491,0.00314872,0.00386194,0.00526358,0.00801595,0.0134173", \ - "0.00276827,0.00291469,0.00321795,0.00386513,0.00526395,0.00801560,0.0134178", \ - "0.00557366,0.00575108,0.00608065,0.00669600,0.00779354,0.00964876,0.0137825", \ - "0.00977827,0.0100273,0.0104907,0.0113431,0.0128658,0.0154709,0.0197122", \ - "0.0155786,0.0159023,0.0165027,0.0176127,0.0195732,0.0228790,0.0282901", \ - "0.0231178,0.0235433,0.0242901,0.0256770,0.0281141,0.0321734,0.0386887", \ - "0.0324809,0.0329901,0.0339266,0.0356325,0.0386056,0.0434743,0.0511645"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0271837,0.0289973,0.0325032,0.0392303,0.0521406,0.0770737,0.125716", \ - "0.0268600,0.0287240,0.0322980,0.0391243,0.0521100,0.0770648,0.125704", \ - "0.0258430,0.0278130,0.0315943,0.0387033,0.0519765,0.0770631,0.125713", \ - "0.0278845,0.0293537,0.0323343,0.0384397,0.0510776,0.0769222,0.125708", \ - "0.0332109,0.0347798,0.0378611,0.0438215,0.0542774,0.0769121,0.125625", \ - "0.0393885,0.0409908,0.0441253,0.0502816,0.0619639,0.0829644,0.126264", \ - "0.0468764,0.0485725,0.0518347,0.0582759,0.0705686,0.0932694,0.133857"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00568689,0.00593643,0.00641902,0.00734415,0.00910380,0.0124428,0.0188223", \ - "0.00729840,0.00753998,0.00801095,0.00892246,0.0106710,0.0140049,0.0203838", \ - "0.0110520,0.0114270,0.0121409,0.0134459,0.0157399,0.0195804,0.0259673", \ - "0.0125454,0.0131131,0.0141939,0.0161718,0.0196500,0.0254780,0.0347659", \ - "0.0106969,0.0114771,0.0129631,0.0156941,0.0204782,0.0284906,0.0412160", \ - "0.00485372,0.00585606,0.00779664,0.0113335,0.0175283,0.0278868,0.0443160", \ - "-0.00536989,-0.00413481,-0.00176296,0.00259082,0.0102527,0.0230913,0.0434412"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0463034,0.0482554,0.0520508,0.0594568,0.0738690,0.101923,0.156673", \ - "0.0463658,0.0483265,0.0521468,0.0596153,0.0741525,0.102410,0.157407", \ - "0.0503519,0.0522853,0.0560535,0.0634349,0.0778664,0.106053,0.161124", \ - "0.0585322,0.0604721,0.0642332,0.0715754,0.0859071,0.113937,0.168794", \ - "0.0697651,0.0720030,0.0763117,0.0845038,0.0998275,0.127736,0.182202", \ - "0.0858692,0.0883394,0.0930476,0.101970,0.118594,0.148923,0.203571", \ - "0.106638,0.109418,0.114654,0.124475,0.142538,0.175224,0.233473"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00373023,0.00391774,0.00428428,0.00499965,0.00639400,0.00912266,0.0144960", \ - "0.00366750,0.00384527,0.00420301,0.00494432,0.00636494,0.00911312,0.0144948", \ - "0.00635403,0.00652060,0.00682058,0.00739007,0.00841620,0.0102565,0.0146911", \ - "0.0106503,0.0108870,0.0113276,0.0121471,0.0136203,0.0161367,0.0202596", \ - "0.0163820,0.0167068,0.0172962,0.0183742,0.0203172,0.0235861,0.0289254", \ - "0.0236983,0.0241212,0.0248599,0.0262410,0.0286812,0.0327436,0.0392922", \ - "0.0325882,0.0331216,0.0340682,0.0358163,0.0388408,0.0437877,0.0515919"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0279370,0.0296354,0.0329438,0.0394523,0.0521893,0.0770873,0.125711", \ - "0.0279404,0.0296367,0.0329502,0.0394555,0.0521981,0.0770759,0.125712", \ - "0.0279382,0.0296369,0.0329439,0.0394533,0.0521978,0.0770778,0.125717", \ - "0.0290376,0.0305481,0.0335566,0.0396682,0.0522393,0.0770839,0.125709", \ - "0.0342020,0.0357423,0.0387878,0.0441781,0.0550042,0.0777319,0.125705", \ - "0.0397355,0.0412737,0.0442580,0.0501103,0.0615319,0.0827188,0.126871", \ - "0.0465229,0.0480449,0.0510488,0.0569699,0.0685571,0.0907817,0.132602"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00547244,0.00574640,0.00627628,0.00729280,0.00921748,0.0128223,0.0195547", \ - "0.00722135,0.00747512,0.00797291,0.00894477,0.0108175,0.0143764,0.0210774", \ - "0.0115497,0.0119312,0.0126467,0.0139578,0.0162597,0.0201133,0.0266205", \ - "0.0139441,0.0145004,0.0155590,0.0175006,0.0209254,0.0266765,0.0358830", \ - "0.0132495,0.0139918,0.0154331,0.0180633,0.0227043,0.0305050,0.0429994", \ - "0.00883904,0.00978952,0.0116395,0.0149951,0.0209151,0.0308979,0.0468835", \ - "0.000408540,0.00156856,0.00377094,0.00784222,0.0150737,0.0273174,0.0469737"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0561048,0.0580589,0.0618558,0.0692633,0.0836773,0.111732,0.166478", \ - "0.0562995,0.0582607,0.0620824,0.0695526,0.0840911,0.112343,0.167341", \ - "0.0607253,0.0626596,0.0664300,0.0738162,0.0882482,0.116432,0.171504", \ - "0.0684537,0.0703900,0.0741585,0.0815085,0.0958633,0.123919,0.178818", \ - "0.0769562,0.0791344,0.0833081,0.0913531,0.106395,0.134447,0.189175", \ - "0.0870984,0.0894615,0.0939510,0.102597,0.118840,0.149067,0.204299", \ - "0.102601,0.105117,0.109947,0.119113,0.136261,0.167936,0.225948"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00422470,0.00444684,0.00487536,0.00569313,0.00723212,0.0101188,0.0155894", \ - "0.00409136,0.00429297,0.00469497,0.00552290,0.00710528,0.0100370,0.0155470", \ - "0.00702249,0.00717965,0.00747712,0.00802859,0.00902488,0.0108940,0.0155356", \ - "0.0116673,0.0118873,0.0123119,0.0130917,0.0144949,0.0169070,0.0209029", \ - "0.0177292,0.0180307,0.0185713,0.0195934,0.0214180,0.0245508,0.0297074", \ - "0.0253637,0.0257497,0.0264271,0.0277136,0.0300103,0.0338710,0.0401880", \ - "0.0345506,0.0350454,0.0359365,0.0375516,0.0403676,0.0450454,0.0525675"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0279491,0.0296418,0.0329463,0.0394569,0.0521993,0.0770902,0.125717", \ - "0.0279610,0.0296486,0.0329598,0.0394617,0.0521933,0.0770845,0.125718", \ - "0.0279778,0.0296598,0.0329698,0.0394656,0.0521962,0.0770807,0.125719", \ - "0.0283860,0.0300083,0.0332078,0.0395797,0.0522248,0.0770707,0.125715", \ - "0.0327214,0.0342865,0.0372155,0.0428502,0.0541840,0.0774489,0.125694", \ - "0.0378173,0.0393486,0.0423635,0.0483408,0.0600261,0.0819790,0.126878", \ - "0.0448919,0.0463325,0.0491840,0.0549724,0.0664717,0.0890771,0.132294"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00517569,0.00544429,0.00596709,0.00697944,0.00892168,0.0126111,0.0195599", \ - "0.00696233,0.00720953,0.00769726,0.00865717,0.0105359,0.0141589,0.0210500", \ - "0.0113999,0.0117865,0.0125122,0.0138435,0.0161822,0.0200875,0.0266905", \ - "0.0142057,0.0147711,0.0158391,0.0178000,0.0212538,0.0270506,0.0363055", \ - "0.0141990,0.0149380,0.0163713,0.0189953,0.0236310,0.0314260,0.0439157", \ - "0.0107240,0.0116682,0.0134940,0.0168040,0.0226539,0.0325409,0.0484119", \ - "0.00357570,0.00469085,0.00685505,0.0108247,0.0179038,0.0299274,0.0492990"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0594845,0.0614349,0.0652340,0.0726437,0.0870552,0.115108,0.169856", \ - "0.0601719,0.0621337,0.0659564,0.0734260,0.0879648,0.116223,0.171222", \ - "0.0649432,0.0668744,0.0706487,0.0780320,0.0924662,0.120650,0.175724", \ - "0.0723740,0.0743054,0.0780618,0.0854127,0.0997713,0.127839,0.182737", \ - "0.0802377,0.0823208,0.0863531,0.0941322,0.108802,0.136821,0.191587", \ - "0.0876119,0.0898572,0.0941419,0.102442,0.118187,0.147823,0.202953", \ - "0.0979854,0.100337,0.104835,0.113532,0.129968,0.160816,0.218258"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.00410529,0.00434220,0.00480099,0.00568572,0.00735427,0.0104684,0.0162540", \ - "0.00407472,0.00427682,0.00468529,0.00552650,0.00721798,0.0103576,0.0161721", \ - "0.00733981,0.00749845,0.00780149,0.00836100,0.00936728,0.0112754,0.0160773", \ - "0.0123400,0.0125611,0.0129865,0.0137689,0.0151645,0.0175563,0.0215056", \ - "0.0187801,0.0190818,0.0196207,0.0206268,0.0224234,0.0254919,0.0305491", \ - "0.0268933,0.0272646,0.0279254,0.0291738,0.0313934,0.0351279,0.0412659", \ - "0.0367025,0.0371633,0.0380355,0.0395773,0.0422592,0.0467159,0.0539137"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.0279445,0.0296399,0.0329486,0.0394559,0.0521913,0.0770868,0.125697", \ - "0.0279609,0.0296478,0.0329573,0.0394599,0.0521964,0.0770723,0.125714", \ - "0.0279799,0.0296655,0.0329679,0.0394642,0.0522012,0.0770742,0.125713", \ - "0.0281326,0.0297987,0.0330553,0.0395087,0.0522110,0.0770707,0.125706", \ - "0.0310159,0.0325587,0.0355724,0.0414818,0.0533144,0.0772477,0.125715", \ - "0.0349935,0.0365969,0.0397378,0.0459045,0.0578723,0.0806282,0.126546", \ - "0.0414846,0.0429751,0.0459450,0.0518738,0.0636330,0.0867373,0.131181"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.096617,0.088421,0.074323,0.047556,0.001577,-0.000297,-0.000406", \ - "0.060383,0.059342,0.055153,0.040492,0.019084,-0.000668,-0.000777", \ - "0.172089,0.159048,0.146422,0.117872,0.073507,0.055066,0.038008", \ - "0.517470,0.510276,0.491372,0.472303,0.426644,0.339698,0.218398", \ - "1.081200,1.087145,1.067449,1.043083,0.991573,0.892338,0.710955", \ - "1.900501,1.900433,1.886891,1.871909,1.835385,1.730777,1.494881", \ - "2.998430,2.986433,2.989288,2.972772,2.956996,2.862635,2.618421"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("6.005227,6.036533,6.043157,6.086544,6.105585,6.171332,6.156940", \ - "5.803325,5.834492,5.842110,5.890183,5.916642,6.004328,6.061345", \ - "5.893420,5.887864,5.880625,5.902792,5.919864,5.901209,5.982527", \ - "6.352725,6.368388,6.348142,6.319893,6.267228,6.224624,6.171111", \ - "7.212817,7.193524,7.156655,7.101043,6.997300,6.870161,6.656920", \ - "8.253048,8.279061,8.282306,8.287903,8.118383,7.933191,7.692773", \ - "9.724411,9.700940,9.696454,9.641891,9.645456,9.437748,9.002351"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.653768,0.655236,0.670910,0.679074,0.691586,0.721396,0.761116", \ - "0.638750,0.637804,0.664541,0.675253,0.698286,0.737529,0.784930", \ - "0.787714,0.780241,0.777322,0.756196,0.752497,0.783479,0.830886", \ - "1.185191,1.169811,1.170070,1.157093,1.113278,1.051056,0.965147", \ - "1.781876,1.774975,1.768470,1.747080,1.701412,1.607270,1.452949", \ - "2.621392,2.621735,2.625664,2.608367,2.557184,2.453026,2.239807", \ - "3.750916,3.763933,3.758066,3.753570,3.714326,3.625091,3.374273"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("7.638787,7.667462,7.669106,7.705475,7.723538,7.743491,7.654467", \ - "7.499492,7.500696,7.533404,7.546606,7.555537,7.608174,7.577519", \ - "7.377328,7.403487,7.402865,7.437302,7.423219,7.458948,7.462928", \ - "7.367704,7.392824,7.388364,7.415635,7.423269,7.422303,7.494992", \ - "7.655986,7.646621,7.632012,7.611721,7.607642,7.592773,7.576018", \ - "8.324359,8.319803,8.374030,8.320144,8.245620,8.049588,7.963857", \ - "9.348680,9.346937,9.321980,9.307253,9.319120,9.176598,8.840712"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.799087,0.829913,0.880874,0.961407,1.093586,1.282453,1.471104", \ - "0.775766,0.804164,0.860684,0.945710,1.084774,1.247391,1.441891", \ - "1.004984,0.997503,0.999426,1.014913,1.092993,1.218493,1.380432", \ - "1.513648,1.513552,1.504976,1.502387,1.489502,1.472146,1.476291", \ - "2.201823,2.198036,2.198621,2.173505,2.144916,2.070945,1.962193", \ - "3.178664,3.169806,3.175751,3.156636,3.104015,3.001632,2.799915", \ - "4.469145,4.479723,4.475122,4.444930,4.405279,4.287383,4.025193"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("9.109257,9.109330,9.139722,9.157817,9.145211,9.186720,9.153447", \ - "8.966091,8.967250,9.000125,9.027682,9.055436,9.096157,9.085252", \ - "8.858650,8.857178,8.856535,8.889887,8.927238,8.887048,8.963402", \ - "8.801176,8.827112,8.825358,8.852253,8.831653,8.883646,8.917593", \ - "8.856732,8.853026,8.847438,8.851112,8.880729,8.825953,8.858209", \ - "9.147506,9.159903,9.222324,9.199042,9.136902,9.123274,8.992355", \ - "9.965958,9.945537,9.944190,9.936513,9.935044,9.840012,9.650412"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("0.675697,0.697524,0.784400,0.900207,1.123354,1.417640,1.774752", \ - "0.639402,0.658041,0.717651,0.843860,1.048015,1.328795,1.669059", \ - "0.917962,0.916670,0.936893,0.974556,1.081470,1.289287,1.592752", \ - "1.546205,1.540907,1.541997,1.555009,1.596189,1.627420,1.708731", \ - "2.360950,2.359762,2.357739,2.333512,2.335608,2.294263,2.253600", \ - "3.480787,3.465696,3.479522,3.462508,3.416768,3.310476,3.149663", \ - "4.990149,4.988871,4.980034,4.938883,4.896569,4.767959,4.477820"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); - values ("10.563480,10.567210,10.568950,10.593440,10.642780,10.663280,10.620050", \ - "10.409500,10.410720,10.415030,10.452800,10.513640,10.514820,10.522690", \ - "10.293560,10.291830,10.320590,10.322320,10.374520,10.377780,10.382660", \ - "10.243120,10.241090,10.267720,10.293100,10.318420,10.306680,10.393270", \ - "10.251490,10.248650,10.274230,10.261450,10.249860,10.309510,10.345840", \ - "10.355490,10.377890,10.425590,10.470360,10.440310,10.439540,10.375500", \ - "10.938430,10.927350,10.913540,10.907470,10.936930,10.924420,10.746600"); - } - } - } - - } - - - /****************************************************************************************** - Module : NOR4_X4 - Cell Description : Combinational cell (NOR4_X4) with drive strength X4 - *******************************************************************************************/ - - cell (NOR4_X4) { - - drive_strength : 4; - - area : 4.788000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 130.405147; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 161.513000; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 64.441773; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 65.028326; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 118.201494; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 65.955758; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 118.245037; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 118.523229; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 176.737295; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 75.352970; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 118.388563; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 118.671634; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 176.838267; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 118.961997; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 176.961831; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 177.138524; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 235.522657; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.569444; - fall_capacitance : 5.008600; - rise_capacitance : 6.569444; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.198845; - fall_capacitance : 5.293211; - rise_capacitance : 6.198845; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.081284; - fall_capacitance : 5.449809; - rise_capacitance : 6.081284; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.026564; - fall_capacitance : 5.800248; - rise_capacitance : 6.026564; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 41.503900; - function : "!(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00480479,0.00510023,0.00550826,0.00631876,0.00792028,0.0110942,0.0174093", \ - "0.00638035,0.00667643,0.00708510,0.00789589,0.00950331,0.0126880,0.0190142", \ - "0.00892586,0.00948095,0.0102190,0.0115863,0.0140098,0.0180787,0.0246497", \ - "0.00896693,0.00983066,0.0109645,0.0130654,0.0167743,0.0229837,0.0328572", \ - "0.00539196,0.00659615,0.00818601,0.0111198,0.0162892,0.0248896,0.0384565", \ - "-0.00251804,-0.000921249,0.00116096,0.00499923,0.0117599,0.0229863,0.0405843", \ - "-0.0151104,-0.0131519,-0.0105772,-0.00579970,0.00263324,0.0166416,0.0385651"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0266753,0.0292680,0.0328777,0.0400713,0.0543366,0.0825182,0.138369", \ - "0.0263503,0.0288971,0.0324782,0.0396808,0.0540573,0.0825083,0.138712", \ - "0.0317444,0.0340625,0.0373740,0.0441726,0.0580550,0.0860101,0.141940", \ - "0.0448202,0.0471954,0.0504019,0.0563954,0.0693554,0.0964474,0.151328", \ - "0.0605342,0.0634382,0.0673177,0.0747647,0.0886983,0.114571,0.168260", \ - "0.0790368,0.0823084,0.0867238,0.0953062,0.111442,0.140708,0.193521", \ - "0.100771,0.104502,0.109366,0.118893,0.136904,0.169852,0.227819"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00254641,0.00278847,0.00313011,0.00381406,0.00518170,0.00792029,0.0133975", \ - "0.00272227,0.00291590,0.00320230,0.00382103,0.00518207,0.00792007,0.0133979", \ - "0.00551739,0.00575042,0.00605995,0.00665250,0.00772940,0.00958457,0.0137630", \ - "0.00969558,0.0100181,0.0104533,0.0112775,0.0127753,0.0153794,0.0196958", \ - "0.0154376,0.0158673,0.0164310,0.0175060,0.0194343,0.0227532,0.0282624", \ - "0.0229287,0.0234518,0.0241616,0.0255177,0.0279250,0.0319967,0.0386498", \ - "0.0322348,0.0328596,0.0337396,0.0354089,0.0383533,0.0432554,0.0511058"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0264476,0.0289317,0.0323218,0.0389388,0.0517433,0.0767379,0.126267", \ - "0.0260026,0.0285663,0.0320363,0.0387734,0.0516881,0.0767276,0.126268", \ - "0.0248113,0.0275027,0.0311663,0.0381946,0.0514725,0.0767204,0.126270", \ - "0.0272044,0.0291506,0.0319861,0.0379117,0.0503937,0.0764962,0.126263", \ - "0.0325436,0.0345906,0.0374950,0.0433176,0.0536285,0.0763528,0.126154", \ - "0.0387480,0.0408192,0.0438018,0.0497362,0.0612235,0.0823624,0.126614", \ - "0.0462744,0.0484342,0.0515347,0.0577409,0.0698067,0.0925524,0.134055"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00577605,0.00611359,0.00657564,0.00747778,0.00922176,0.0125805,0.0190909", \ - "0.00739159,0.00771710,0.00816669,0.00905241,0.0107816,0.0141314,0.0206399", \ - "0.0112002,0.0117051,0.0123734,0.0136292,0.0158785,0.0197168,0.0262179", \ - "0.0127777,0.0135332,0.0145462,0.0164392,0.0198406,0.0256530,0.0350785", \ - "0.0110030,0.0120433,0.0134471,0.0160462,0.0207268,0.0287042,0.0415938", \ - "0.00522544,0.00658417,0.00839554,0.0117595,0.0178062,0.0281331,0.0447730", \ - "-0.00495479,-0.00329341,-0.00107343,0.00308105,0.0105601,0.0233436,0.0439727"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0465915,0.0491856,0.0527851,0.0599329,0.0740902,0.102128,0.157864", \ - "0.0466877,0.0492903,0.0529122,0.0601157,0.0743910,0.102641,0.158623", \ - "0.0507374,0.0533027,0.0568726,0.0639949,0.0781633,0.106342,0.162400", \ - "0.0589283,0.0615019,0.0650612,0.0721458,0.0862222,0.114245,0.170111", \ - "0.0699558,0.0728822,0.0769330,0.0848307,0.0998881,0.127829,0.183349", \ - "0.0856290,0.0888635,0.0933098,0.101946,0.118284,0.148666,0.204410", \ - "0.106261,0.109936,0.114835,0.124258,0.142043,0.174747,0.234070"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00376028,0.00401192,0.00436116,0.00505377,0.00642482,0.00914999,0.0146076", \ - "0.00368376,0.00392318,0.00426858,0.00498445,0.00638605,0.00913547,0.0146050", \ - "0.00637283,0.00658575,0.00687112,0.00741850,0.00841357,0.0102540,0.0147831", \ - "0.0106569,0.0109695,0.0113796,0.0121641,0.0135996,0.0161099,0.0202981", \ - "0.0163701,0.0167811,0.0173256,0.0183724,0.0202616,0.0235414,0.0289658", \ - "0.0236470,0.0241536,0.0248548,0.0261966,0.0286006,0.0326643,0.0393347", \ - "0.0324809,0.0331331,0.0340273,0.0357083,0.0386948,0.0436636,0.0516214"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0277184,0.0299639,0.0331008,0.0393756,0.0518711,0.0767488,0.126263", \ - "0.0277312,0.0299744,0.0331140,0.0393790,0.0518758,0.0767556,0.126260", \ - "0.0277083,0.0299564,0.0330983,0.0393723,0.0518748,0.0767484,0.126259", \ - "0.0286714,0.0307147,0.0335853,0.0395624,0.0519197,0.0767448,0.126259", \ - "0.0338027,0.0358433,0.0387212,0.0439339,0.0546157,0.0773910,0.126254", \ - "0.0395130,0.0415024,0.0443084,0.0499551,0.0611609,0.0823912,0.127402", \ - "0.0464134,0.0483904,0.0512310,0.0569256,0.0682559,0.0904510,0.133088"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00555053,0.00591926,0.00642544,0.00741559,0.00932681,0.0129709,0.0198883", \ - "0.00731311,0.00765486,0.00813009,0.00907423,0.0109313,0.0145249,0.0214070", \ - "0.0117130,0.0122180,0.0128965,0.0141606,0.0164323,0.0202999,0.0269560", \ - "0.0142249,0.0149688,0.0159687,0.0178379,0.0211996,0.0269536,0.0363126", \ - "0.0136788,0.0146877,0.0160361,0.0185548,0.0230932,0.0308860,0.0435711", \ - "0.00940247,0.0107175,0.0124446,0.0156446,0.0214283,0.0313886,0.0476072", \ - "0.00112179,0.00266703,0.00475105,0.00864674,0.0157057,0.0279067,0.0478431"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0578202,0.0604102,0.0640068,0.0711485,0.0852923,0.113320,0.169040", \ - "0.0580302,0.0606357,0.0642569,0.0714598,0.0857294,0.113963,0.169932", \ - "0.0625164,0.0650862,0.0686603,0.0757859,0.0899541,0.118128,0.174181", \ - "0.0704184,0.0729952,0.0765534,0.0836525,0.0977503,0.125798,0.181692", \ - "0.0791318,0.0819854,0.0859256,0.0936610,0.108359,0.136406,0.192140", \ - "0.0888277,0.0919012,0.0961714,0.104521,0.120486,0.150720,0.206991", \ - "0.103447,0.106754,0.111311,0.120198,0.137098,0.168876,0.228055"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00422348,0.00452564,0.00494017,0.00574567,0.00728781,0.0102226,0.0158525", \ - "0.00410318,0.00437332,0.00476141,0.00557275,0.00715558,0.0101349,0.0158058", \ - "0.00706835,0.00727788,0.00755555,0.00808818,0.00906977,0.0109619,0.0157563", \ - "0.0117416,0.0120366,0.0124314,0.0131865,0.0145644,0.0169731,0.0210449", \ - "0.0178159,0.0182000,0.0187154,0.0197007,0.0214980,0.0246218,0.0298662", \ - "0.0254624,0.0259332,0.0265771,0.0278226,0.0300812,0.0339469,0.0403687", \ - "0.0346435,0.0352847,0.0360934,0.0376441,0.0404253,0.0451164,0.0527696"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0277422,0.0299773,0.0331130,0.0393801,0.0518712,0.0767680,0.126265", \ - "0.0277653,0.0299998,0.0331270,0.0393889,0.0518802,0.0767504,0.126258", \ - "0.0277849,0.0300149,0.0331377,0.0393954,0.0518819,0.0767422,0.126259", \ - "0.0281335,0.0302970,0.0333433,0.0395002,0.0519080,0.0767642,0.126267", \ - "0.0322763,0.0342913,0.0370449,0.0425128,0.0537041,0.0770873,0.126263", \ - "0.0373187,0.0393455,0.0422362,0.0480192,0.0595127,0.0814988,0.127337", \ - "0.0445983,0.0464795,0.0492195,0.0547925,0.0660737,0.0886535,0.132701"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00527415,0.00563477,0.00613278,0.00711486,0.00903621,0.0127556,0.0198894", \ - "0.00706554,0.00739774,0.00786330,0.00879462,0.0106521,0.0143028,0.0213768", \ - "0.0115453,0.0120589,0.0127492,0.0140316,0.0163374,0.0202655,0.0270285", \ - "0.0144643,0.0152177,0.0162269,0.0181129,0.0215048,0.0273169,0.0367429", \ - "0.0145900,0.0156045,0.0169563,0.0194723,0.0240137,0.0318159,0.0445199", \ - "0.0112739,0.0125783,0.0142845,0.0174459,0.0231760,0.0330548,0.0491914", \ - "0.00426639,0.00579673,0.00782720,0.0116370,0.0185522,0.0305576,0.0502459"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0617082,0.0642986,0.0678924,0.0750305,0.0891702,0.117189,0.172905", \ - "0.0624161,0.0650191,0.0686409,0.0758404,0.0901050,0.118331,0.174288", \ - "0.0672777,0.0698452,0.0734182,0.0805424,0.0947108,0.122881,0.178926", \ - "0.0749081,0.0774728,0.0810328,0.0881195,0.102218,0.130270,0.186160", \ - "0.0831244,0.0858702,0.0896685,0.0971415,0.111473,0.139484,0.195246", \ - "0.0904700,0.0933960,0.0974612,0.105450,0.120882,0.150493,0.206602", \ - "0.100153,0.103197,0.107482,0.115908,0.132078,0.162980,0.221534"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.00409141,0.00441193,0.00485354,0.00571946,0.00738759,0.0105596,0.0165477", \ - "0.00407769,0.00435091,0.00474344,0.00556895,0.00725343,0.0104485,0.0164636", \ - "0.00737198,0.00758441,0.00786964,0.00841489,0.00941638,0.0113630,0.0163455", \ - "0.0124089,0.0127078,0.0131026,0.0138637,0.0152474,0.0176490,0.0216908", \ - "0.0188962,0.0192713,0.0197835,0.0207592,0.0225331,0.0256076,0.0307638", \ - "0.0270296,0.0274844,0.0281209,0.0293339,0.0315251,0.0352802,0.0415364", \ - "0.0368436,0.0374676,0.0382689,0.0397485,0.0424041,0.0468848,0.0542247"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.0277396,0.0299789,0.0331129,0.0393820,0.0518760,0.0767621,0.126270", \ - "0.0277636,0.0299924,0.0331262,0.0393880,0.0518770,0.0767496,0.126267", \ - "0.0277848,0.0300170,0.0331399,0.0393926,0.0518783,0.0767457,0.126258", \ - "0.0279118,0.0301185,0.0332129,0.0394349,0.0518949,0.0767440,0.126270", \ - "0.0305678,0.0326055,0.0354554,0.0411868,0.0528500,0.0768926,0.126269", \ - "0.0344553,0.0365784,0.0395660,0.0455248,0.0573037,0.0800861,0.126994", \ - "0.0408042,0.0427818,0.0456369,0.0513904,0.0629913,0.0861519,0.131477"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("0.289546,0.271411,0.242623,0.184855,0.086143,-0.000591,-0.000811", \ - "0.203811,0.199473,0.184432,0.155801,0.098086,0.009975,-0.001555", \ - "0.393788,0.371432,0.338620,0.283073,0.185838,0.138714,0.088151", \ - "1.040246,1.029612,0.999982,0.945778,0.870630,0.711404,0.454877", \ - "2.160763,2.146795,2.110811,2.081582,1.986378,1.788877,1.438275", \ - "3.783500,3.728980,3.739769,3.718393,3.631751,3.433995,2.983587", \ - "5.882120,5.857513,5.909676,5.894462,5.841540,5.676868,5.204688"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("11.911990,11.962260,12.001990,12.070290,12.185030,12.132160,12.366860", \ - "11.517260,11.564680,11.621680,11.688480,11.823380,11.965170,12.005010", \ - "11.710090,11.735250,11.689170,11.712360,11.761240,11.824160,11.845810", \ - "12.697770,12.644800,12.631120,12.611170,12.479520,12.336290,12.272970", \ - "14.372030,14.297850,14.271090,14.182620,14.016910,13.780460,13.196420", \ - "16.474520,16.502860,16.526870,16.505420,16.276300,15.891200,15.080860", \ - "19.435290,19.389950,19.351600,19.225100,19.165400,18.650570,17.952370"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("1.351256,1.365145,1.351815,1.387196,1.400239,1.438243,1.514694", \ - "1.311693,1.319391,1.329100,1.362579,1.400236,1.461878,1.553401", \ - "1.593040,1.566421,1.558931,1.512521,1.517735,1.566367,1.656075", \ - "2.342901,2.348315,2.322754,2.296113,2.217466,2.098222,1.924823", \ - "3.522321,3.490593,3.491762,3.451957,3.374459,3.199234,2.885007", \ - "5.219532,5.208434,5.184874,5.157084,5.074824,4.866411,4.446294", \ - "7.409717,7.411547,7.451005,7.419425,7.364625,7.172585,6.702293"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("15.743040,15.783470,15.815840,15.876500,15.898230,15.885780,15.941200", \ - "15.468080,15.510760,15.547600,15.611420,15.703590,15.792020,15.788130", \ - "15.278720,15.256370,15.283960,15.336220,15.396480,15.528790,15.557050", \ - "15.249000,15.221210,15.244220,15.279000,15.302810,15.406210,15.226460", \ - "15.717830,15.673310,15.675480,15.682680,15.627770,15.647630,15.369420", \ - "16.946100,16.969680,17.074620,16.980240,16.871580,16.653000,16.411700", \ - "18.984180,18.996610,18.980780,18.931860,18.970620,18.662270,18.073230"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("1.546191,1.631324,1.680272,1.863591,2.150461,2.501769,2.904940", \ - "1.508329,1.588964,1.700907,1.837957,2.085776,2.459781,2.846320", \ - "1.952557,1.932786,1.955761,1.991138,2.122917,2.386721,2.719011", \ - "2.918951,2.938956,2.954160,2.924612,2.919830,2.881592,2.889660", \ - "4.287164,4.317955,4.293996,4.272675,4.213317,4.084369,3.856107", \ - "6.285581,6.272875,6.241004,6.201924,6.110705,5.893834,5.500801", \ - "8.838789,8.826958,8.824602,8.771701,8.694867,8.453009,7.922993"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("19.305300,19.286980,19.318280,19.378310,19.405020,19.525400,19.374260", \ - "18.966850,19.009770,19.046120,19.136330,19.219760,19.333150,19.214460", \ - "18.752170,18.787910,18.815650,18.865330,18.926330,18.951640,18.959090", \ - "18.682900,18.717190,18.740240,18.783810,18.773090,18.900450,18.951150", \ - "18.754580,18.726090,18.747110,18.785870,18.755600,18.760030,18.765440", \ - "19.188150,19.263940,19.342040,19.324940,19.235620,19.164110,19.176310", \ - "20.684340,20.597590,20.635450,20.629670,20.716460,20.543660,20.225330"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("1.329838,1.428629,1.567007,1.792502,2.178224,2.764031,3.484471", \ - "1.241554,1.328888,1.443569,1.643653,2.032579,2.581588,3.293638", \ - "1.714484,1.760956,1.777072,1.851490,2.106782,2.507702,3.133587", \ - "2.996683,3.001475,3.017957,3.029705,3.071302,3.141252,3.349478", \ - "4.567487,4.571319,4.539700,4.568485,4.536272,4.490998,4.404647", \ - "6.835622,6.773181,6.791568,6.731275,6.660848,6.485709,6.158763", \ - "9.777480,9.786810,9.742339,9.719023,9.616635,9.344349,8.779880"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); - values ("22.551450,22.591180,22.622260,22.698660,22.660270,22.778170,22.851760", \ - "22.304650,22.288880,22.324700,22.428810,22.460510,22.487940,22.577950", \ - "22.071640,22.108020,22.136130,22.160150,22.186690,22.207850,22.279270", \ - "22.017510,21.994110,22.018750,22.042060,22.122630,22.087050,22.293230", \ - "22.006410,21.981150,22.004350,22.039010,21.989030,22.126710,22.175350", \ - "22.105190,22.214390,22.332130,22.339230,22.279280,22.111640,22.182790", \ - "23.061290,23.012940,23.031350,23.076260,23.215670,23.146030,22.799560"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI21_X1 - Cell Description : Combinational cell (OAI21_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI21_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 22.619394; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 6.415343; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 7.468637; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 7.468637; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 4.306138; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 34.894750; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 34.934130; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 37.284445; - } - leakage_power () { - when : "A & B1 & B2"; - value : 48.183069; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.670716; - fall_capacitance : 1.518574; - rise_capacitance : 1.670716; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.662050; - fall_capacitance : 1.459832; - rise_capacitance : 1.662050; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.571893; - fall_capacitance : 1.558325; - rise_capacitance : 1.571893; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 26.054400; - function : "!(A & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.012066,0.013113,0.014967,0.018570,0.025587,0.039368,0.066668", \ - "0.013479,0.014536,0.016407,0.020037,0.027086,0.040896,0.068215", \ - "0.017324,0.018458,0.020432,0.024110,0.031180,0.045047,0.072423", \ - "0.021394,0.022870,0.025377,0.029938,0.038063,0.052635,0.080103", \ - "0.023939,0.025883,0.029179,0.035096,0.045284,0.062371,0.091894", \ - "0.024545,0.026972,0.031118,0.038522,0.051184,0.071969,0.105665", \ - "0.023105,0.026016,0.031015,0.039931,0.055173,0.080060,0.119459"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.012221,0.013360,0.015402,0.019431,0.027388,0.043169,0.074571", \ - "0.013724,0.014872,0.016930,0.020984,0.028972,0.044783,0.076210", \ - "0.020219,0.021404,0.023373,0.027319,0.035210,0.050947,0.082340", \ - "0.028974,0.030696,0.033636,0.038932,0.048000,0.063523,0.094582", \ - "0.038324,0.040528,0.044310,0.051196,0.063186,0.082889,0.114490", \ - "0.048698,0.051356,0.055905,0.064205,0.078817,0.103287,0.142031", \ - "0.060377,0.063471,0.068766,0.078378,0.095385,0.124170,0.170657"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.006343,0.007160,0.008634,0.011575,0.017450,0.029209,0.052735", \ - "0.006328,0.007147,0.008628,0.011573,0.017450,0.029208,0.052737", \ - "0.006975,0.007704,0.008999,0.011716,0.017427,0.029206,0.052734", \ - "0.009770,0.010494,0.011777,0.014311,0.019400,0.029874,0.052729", \ - "0.014280,0.015113,0.016542,0.019205,0.024195,0.034040,0.054501", \ - "0.020097,0.021079,0.022738,0.025796,0.031244,0.041110,0.060600", \ - "0.027087,0.028250,0.030177,0.033716,0.039911,0.050553,0.069920"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.009193,0.010315,0.012332,0.016381,0.024396,0.040037,0.070349", \ - "0.009195,0.010315,0.012333,0.016379,0.024397,0.040037,0.070351", \ - "0.010385,0.011237,0.012886,0.016491,0.024399,0.040048,0.070346", \ - "0.016608,0.017551,0.019140,0.021963,0.027318,0.040462,0.070354", \ - "0.023987,0.025206,0.027289,0.031050,0.037394,0.047690,0.071696", \ - "0.032590,0.033975,0.036405,0.040941,0.048835,0.061559,0.081789", \ - "0.042389,0.043900,0.046575,0.051702,0.060903,0.076274,0.100112"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.009810,0.010767,0.012487,0.015903,0.022698,0.036251,0.063329", \ - "0.011159,0.012138,0.013892,0.017351,0.024189,0.037778,0.064878", \ - "0.014410,0.015575,0.017579,0.021329,0.028262,0.041925,0.069089", \ - "0.016951,0.018614,0.021383,0.026281,0.034699,0.049449,0.076780", \ - "0.017609,0.019866,0.023602,0.030130,0.041004,0.058671,0.088485", \ - "0.016317,0.019171,0.023891,0.032112,0.045754,0.067475,0.101869", \ - "0.013084,0.016519,0.022187,0.032088,0.048525,0.074647,0.115081"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.011870,0.013011,0.015055,0.019083,0.027032,0.042794,0.074162", \ - "0.013370,0.014521,0.016582,0.020634,0.028616,0.044402,0.075801", \ - "0.019836,0.021041,0.023034,0.026976,0.034855,0.050570,0.081930", \ - "0.028384,0.030132,0.033114,0.038472,0.047613,0.063152,0.094163", \ - "0.037527,0.039759,0.043596,0.050556,0.062634,0.082440,0.114080", \ - "0.047684,0.050385,0.054987,0.063372,0.078083,0.102675,0.141541", \ - "0.059088,0.062234,0.067603,0.077333,0.094461,0.123384,0.170017"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.004529,0.005338,0.006810,0.009747,0.015620,0.027349,0.050807", \ - "0.004535,0.005342,0.006811,0.009750,0.015619,0.027350,0.050810", \ - "0.005663,0.006364,0.007653,0.010186,0.015674,0.027349,0.050810", \ - "0.008845,0.009559,0.010804,0.013226,0.018115,0.028300,0.050807", \ - "0.013633,0.014439,0.015839,0.018441,0.023287,0.032838,0.052911", \ - "0.019772,0.020675,0.022263,0.025225,0.030544,0.040195,0.059315", \ - "0.027021,0.028056,0.029886,0.033294,0.039352,0.049812,0.068874"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.007751,0.008774,0.010634,0.014361,0.021824,0.036749,0.066597", \ - "0.007750,0.008775,0.010634,0.014362,0.021825,0.036741,0.066593", \ - "0.009033,0.009771,0.011234,0.014493,0.021829,0.036757,0.066582", \ - "0.014074,0.015082,0.016760,0.019698,0.024814,0.037187,0.066590", \ - "0.019504,0.020893,0.023192,0.027248,0.033954,0.044475,0.067955", \ - "0.025611,0.027325,0.030194,0.035307,0.043897,0.057390,0.078111", \ - "0.032685,0.034709,0.038101,0.044144,0.054425,0.070958,0.095934"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.008383,0.009117,0.010439,0.013060,0.018271,0.028662,0.049422", \ - "0.009860,0.010613,0.011960,0.014615,0.019860,0.030279,0.051056", \ - "0.013731,0.014770,0.016515,0.019638,0.025108,0.035599,0.056435", \ - "0.016340,0.017921,0.020554,0.025178,0.032894,0.045380,0.066634", \ - "0.016883,0.019054,0.022651,0.028940,0.039371,0.055917,0.081625", \ - "0.015358,0.018120,0.022685,0.030655,0.043870,0.064799,0.096876", \ - "0.011785,0.015114,0.020610,0.030235,0.046223,0.071598,0.110442"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.012985,0.014113,0.016141,0.020149,0.028078,0.043815,0.075177", \ - "0.014486,0.015623,0.017666,0.021701,0.029667,0.045445,0.076828", \ - "0.021024,0.022137,0.024098,0.028032,0.035893,0.051592,0.082941", \ - "0.030145,0.031822,0.034677,0.039853,0.048756,0.064199,0.095195", \ - "0.039833,0.041986,0.045676,0.052428,0.064238,0.083729,0.115172", \ - "0.050547,0.053134,0.057579,0.065737,0.080157,0.104409,0.142896", \ - "0.062550,0.065574,0.070749,0.080207,0.097016,0.125584,0.171814"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.003738,0.004354,0.005476,0.007711,0.012164,0.021047,0.038799", \ - "0.003742,0.004359,0.005476,0.007710,0.012164,0.021045,0.038798", \ - "0.005452,0.005955,0.006849,0.008553,0.012365,0.021047,0.038799", \ - "0.009159,0.009772,0.010825,0.012783,0.016345,0.023084,0.038921", \ - "0.014282,0.014971,0.016194,0.018504,0.022647,0.029934,0.043350", \ - "0.020752,0.021513,0.022901,0.025550,0.030365,0.038716,0.053088", \ - "0.028376,0.029229,0.030815,0.033868,0.039410,0.048967,0.065013"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.008209,0.009246,0.011127,0.014884,0.022379,0.037315,0.067185", \ - "0.008207,0.009244,0.011126,0.014882,0.022380,0.037320,0.067181", \ - "0.009219,0.010023,0.011567,0.014946,0.022379,0.037332,0.067188", \ - "0.014314,0.015312,0.016967,0.019878,0.025076,0.037683,0.067199", \ - "0.019839,0.021196,0.023469,0.027481,0.034125,0.044643,0.068427", \ - "0.025982,0.027667,0.030503,0.035555,0.044069,0.057502,0.078292", \ - "0.033086,0.035060,0.038395,0.044371,0.054588,0.071025,0.095950"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.00762368,0.00858534,0.0103136,0.0137377,0.0205411,0.0340992,0.0611808", \ - "0.00894960,0.00992544,0.0116785,0.0151353,0.0219773,0.0355690,0.0626707", \ - "0.0125366,0.0139151,0.0161940,0.0201547,0.0269935,0.0405550,0.0676479", \ - "0.0143152,0.0163231,0.0196630,0.0255025,0.0351913,0.0505205,0.0773757", \ - "0.0137086,0.0163541,0.0207698,0.0284730,0.0412962,0.0617163,0.0930781", \ - "0.0103663,0.0136770,0.0191792,0.0287858,0.0447541,0.0702165,0.109549", \ - "0.00407764,0.00801739,0.0146077,0.0260988,0.0452630,0.0758060,0.123048"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.0171975,0.0195930,0.0238727,0.0322870,0.0488937,0.0818948,0.147722", \ - "0.0178286,0.0202402,0.0245707,0.0331007,0.0498821,0.0830640,0.149018", \ - "0.0232936,0.0255209,0.0296173,0.0378857,0.0544919,0.0876588,0.153726", \ - "0.0321886,0.0352515,0.0403673,0.0494599,0.0655219,0.0980401,0.163669", \ - "0.0423405,0.0460841,0.0523855,0.0637669,0.0833261,0.116020,0.180579", \ - "0.0543584,0.0587062,0.0660496,0.0793824,0.102680,0.141382,0.205919", \ - "0.0683744,0.0733765,0.0817201,0.0968716,0.123446,0.168307,0.240620"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.00452984,0.00533829,0.00680867,0.00974684,0.0156185,0.0273480,0.0508063", \ - "0.00451347,0.00533123,0.00680810,0.00974776,0.0156176,0.0273513,0.0508087", \ - "0.00699807,0.00764455,0.00874578,0.0107826,0.0157356,0.0273512,0.0508079", \ - "0.0116946,0.0125427,0.0139699,0.0165430,0.0210256,0.0293339,0.0508063", \ - "0.0179825,0.0190685,0.0208432,0.0240456,0.0295529,0.0387940,0.0551741", \ - "0.0259205,0.0272544,0.0294442,0.0333116,0.0398594,0.0508148,0.0686995", \ - "0.0354872,0.0371447,0.0397635,0.0443808,0.0520956,0.0647457,0.0853657"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.0121467,0.0142349,0.0180198,0.0255728,0.0406749,0.0708636,0.131177", \ - "0.0121439,0.0142309,0.0180203,0.0255791,0.0406759,0.0708742,0.131177", \ - "0.0128424,0.0145665,0.0179672,0.0255707,0.0406738,0.0708668,0.131181", \ - "0.0180174,0.0197878,0.0227902,0.0281300,0.0409993,0.0708702,0.131177", \ - "0.0236971,0.0258139,0.0294657,0.0361123,0.0474565,0.0720848,0.131167", \ - "0.0306738,0.0329906,0.0370794,0.0447859,0.0583774,0.0811162,0.132114", \ - "0.0392178,0.0417255,0.0461131,0.0545522,0.0699074,0.0960685,0.141375"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.00969245,0.0107301,0.0125715,0.0161579,0.0231566,0.0369211,0.0642063", \ - "0.0108992,0.0119387,0.0137865,0.0173815,0.0243889,0.0381592,0.0654488", \ - "0.0154436,0.0166741,0.0187433,0.0224093,0.0293542,0.0430826,0.0703422", \ - "0.0190909,0.0208707,0.0238755,0.0292395,0.0383319,0.0530653,0.0800860", \ - "0.0205747,0.0228737,0.0268055,0.0338257,0.0458173,0.0653448,0.0958934", \ - "0.0197989,0.0226100,0.0274310,0.0360670,0.0508597,0.0751086,0.113301", \ - "0.0166203,0.0199479,0.0256359,0.0358314,0.0533661,0.0822154,0.127909"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.0213883,0.0237369,0.0279554,0.0362999,0.0528541,0.0858216,0.151616", \ - "0.0227320,0.0251199,0.0294077,0.0378701,0.0545724,0.0876782,0.153576", \ - "0.0278392,0.0301747,0.0343961,0.0428162,0.0595716,0.0928240,0.158928", \ - "0.0351851,0.0380057,0.0428599,0.0518845,0.0685168,0.101657,0.167775", \ - "0.0436384,0.0470292,0.0527950,0.0633861,0.0823796,0.116134,0.181973", \ - "0.0543242,0.0582778,0.0649525,0.0770688,0.0985759,0.136222,0.202832", \ - "0.0671986,0.0717491,0.0794007,0.0931409,0.117214,0.158839,0.230792"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.00639652,0.00720456,0.00866516,0.0115894,0.0174550,0.0292082,0.0527395", \ - "0.00637729,0.00719615,0.00866251,0.0115912,0.0174541,0.0292085,0.0527343", \ - "0.00819027,0.00880690,0.00984675,0.0121305,0.0174818,0.0292110,0.0527328", \ - "0.0129515,0.0137815,0.0151701,0.0176741,0.0220713,0.0307325,0.0527385", \ - "0.0190218,0.0201095,0.0218981,0.0251092,0.0306394,0.0398144,0.0565568", \ - "0.0264184,0.0277902,0.0300160,0.0339790,0.0407287,0.0518253,0.0697275", \ - "0.0352005,0.0368712,0.0395965,0.0443911,0.0524040,0.0654605,0.0863557"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.0121513,0.0142343,0.0180199,0.0255760,0.0406704,0.0708600,0.131180", \ - "0.0121554,0.0142366,0.0180164,0.0255779,0.0406743,0.0708711,0.131180", \ - "0.0122714,0.0142904,0.0180291,0.0255780,0.0406712,0.0708645,0.131184", \ - "0.0157383,0.0175416,0.0206957,0.0269629,0.0408208,0.0708379,0.131171", \ - "0.0204516,0.0223339,0.0257049,0.0323262,0.0449510,0.0717283,0.131171", \ - "0.0263765,0.0283252,0.0318184,0.0387166,0.0521388,0.0775475,0.132019", \ - "0.0334442,0.0354657,0.0391147,0.0463122,0.0602952,0.0870529,0.138045"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.687033,0.704876,0.722970,0.749736,0.770868,0.798520,0.820818", \ - "0.642753,0.652363,0.680992,0.714466,0.748495,0.782639,0.812720", \ - "0.563873,0.580671,0.603652,0.647359,0.690391,0.743558,0.788347", \ - "0.693246,0.693082,0.682850,0.664543,0.675145,0.717841,0.768862", \ - "1.044437,1.025635,0.994386,0.936770,0.870690,0.802636,0.810272", \ - "1.646310,1.620463,1.566686,1.464916,1.321167,1.142800,0.985736", \ - "2.492158,2.467208,2.397168,2.282149,2.086810,1.758633,1.412706"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("4.403297,4.415631,4.425802,4.408927,4.405483,4.506464,4.547849", \ - "4.327579,4.340953,4.349824,4.334086,4.397880,4.449498,4.347690", \ - "4.369475,4.367729,4.382874,4.360759,4.360016,4.442909,4.488291", \ - "4.710545,4.675745,4.673197,4.653103,4.579441,4.568653,4.497420", \ - "5.192520,5.211987,5.167327,5.185894,5.128450,4.890218,4.834992", \ - "6.139587,6.116968,6.078268,6.025844,5.818978,5.680881,5.371072", \ - "7.505606,7.487782,7.432278,7.267989,7.115881,6.821353,6.428823"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.230069,0.234792,0.244365,0.247918,0.255409,0.258987,0.264319", \ - "0.154086,0.171235,0.185745,0.208167,0.227519,0.245618,0.253442", \ - "0.071857,0.076248,0.096642,0.130894,0.163324,0.199730,0.228114", \ - "0.240843,0.228594,0.202552,0.170165,0.150580,0.175956,0.208988", \ - "0.637491,0.605886,0.552968,0.469618,0.371053,0.266006,0.251519", \ - "1.289453,1.245300,1.184513,1.049838,0.860959,0.630247,0.435027", \ - "2.186253,2.142650,2.057755,1.922109,1.656655,1.280169,0.881410"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("3.720172,3.752511,3.763224,3.789518,3.759536,3.780830,3.889720", \ - "3.641470,3.661629,3.695750,3.722844,3.708730,3.710231,3.830417", \ - "3.679877,3.705097,3.712762,3.707781,3.705178,3.777152,3.824572", \ - "3.897167,3.972319,4.005302,3.960403,3.968018,3.836764,3.748735", \ - "4.439700,4.440983,4.454231,4.463186,4.477003,4.255295,3.977577", \ - "5.415520,5.389495,5.369940,5.266252,5.175700,5.081681,4.776188", \ - "6.743566,6.717574,6.674560,6.585962,6.416160,6.136656,5.765054"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.231043,0.234586,0.245190,0.250735,0.254393,0.258956,0.264816", \ - "0.160101,0.164682,0.183743,0.209059,0.228474,0.244729,0.253442", \ - "0.076292,0.078384,0.100671,0.126721,0.168642,0.200392,0.227625", \ - "0.253446,0.231841,0.218504,0.188969,0.155442,0.180672,0.212861", \ - "0.651037,0.624600,0.576227,0.491319,0.394106,0.293183,0.261934", \ - "1.339385,1.292368,1.210924,1.081298,0.896369,0.664311,0.460188", \ - "2.247401,2.183171,2.119483,1.967230,1.713367,1.332109,0.931501"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("4.062950,4.062492,4.067090,4.095380,4.120640,4.122425,4.195000", \ - "3.986506,3.967077,4.022633,4.053993,4.032103,4.142184,4.171513", \ - "4.019350,4.016791,4.016778,4.052598,4.057820,4.057913,4.022039", \ - "4.257088,4.293875,4.339803,4.299385,4.286473,4.268675,4.077113", \ - "4.810042,4.813056,4.798614,4.820207,4.832079,4.656970,4.565816", \ - "5.737076,5.739847,5.721746,5.650106,5.582701,5.482877,5.204823", \ - "7.101588,7.079415,7.043411,6.980518,6.806125,6.468772,6.149097"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.275894,0.281597,0.288030,0.298723,0.304398,0.307775,0.312940", \ - "0.249572,0.261746,0.275689,0.299067,0.321897,0.333356,0.344720", \ - "0.254582,0.251374,0.259885,0.276255,0.306463,0.333047,0.348462", \ - "0.536252,0.510186,0.471128,0.413066,0.365280,0.363865,0.370522", \ - "1.010554,0.983047,0.916688,0.811612,0.674716,0.528542,0.475813", \ - "1.701228,1.659461,1.607037,1.476383,1.250612,0.977539,0.738263", \ - "2.557060,2.546085,2.478602,2.375799,2.126964,1.718810,1.261729"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("2.562675,2.592921,2.591722,2.625755,2.653694,2.538445,2.592251", \ - "2.467766,2.500486,2.532933,2.569082,2.611371,2.605357,2.548695", \ - "2.489446,2.509321,2.497720,2.522340,2.525996,2.585827,2.586945", \ - "2.783519,2.766815,2.733073,2.714840,2.630834,2.654907,2.574730", \ - "3.126804,3.142469,3.176382,3.153317,3.048046,2.907017,2.762832", \ - "3.867710,3.842877,3.805138,3.757968,3.673213,3.458647,3.168373", \ - "4.958693,4.921475,4.834092,4.702579,4.541435,4.286695,3.853964"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("0.671864,0.679366,0.688774,0.710524,0.728604,0.753126,0.772105", \ - "0.612934,0.625588,0.636912,0.654425,0.682501,0.705421,0.728626", \ - "0.581962,0.595018,0.611461,0.629164,0.651998,0.681523,0.705033", \ - "0.831299,0.816994,0.782176,0.742575,0.721322,0.725716,0.729270", \ - "1.311952,1.276355,1.228919,1.152173,1.028961,0.910161,0.852060", \ - "2.015165,1.984417,1.926722,1.811920,1.627132,1.374609,1.133902", \ - "2.954246,2.930549,2.874456,2.762268,2.516427,2.139399,1.694062"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); - values ("3.375031,3.400777,3.393874,3.405189,3.420013,3.417265,3.395336", \ - "3.312162,3.331715,3.342434,3.375060,3.405563,3.402545,3.362224", \ - "3.225282,3.225155,3.249248,3.285819,3.323008,3.375369,3.283002", \ - "3.269326,3.271109,3.257491,3.269320,3.249415,3.240970,3.268394", \ - "3.336425,3.360754,3.424130,3.447004,3.407862,3.252534,3.278436", \ - "3.843687,3.820731,3.796842,3.771235,3.815479,3.669526,3.540880", \ - "4.686151,4.634375,4.577106,4.447681,4.319032,4.252865,3.917001"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI21_X2 - Cell Description : Combinational cell (OAI21_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI21_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 45.238687; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 12.830730; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 14.937276; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 14.937276; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 8.612287; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 69.789170; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 69.868216; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 74.568670; - } - leakage_power () { - when : "A & B1 & B2"; - value : 96.365874; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.180718; - fall_capacitance : 2.880838; - rise_capacitance : 3.180718; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.100793; - fall_capacitance : 2.699638; - rise_capacitance : 3.100793; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.328943; - fall_capacitance : 3.307778; - rise_capacitance : 3.328943; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 52.108800; - function : "!(A & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.011559,0.013041,0.014897,0.018502,0.025522,0.039307,0.066617", \ - "0.012954,0.014450,0.016322,0.019954,0.027006,0.040820,0.068150", \ - "0.016737,0.018347,0.020324,0.024006,0.031082,0.044954,0.072338", \ - "0.020655,0.022755,0.025260,0.029827,0.037956,0.052543,0.080019", \ - "0.023007,0.025782,0.029081,0.035001,0.045189,0.062278,0.091819", \ - "0.023422,0.026908,0.031042,0.038446,0.051108,0.071891,0.105590", \ - "0.021807,0.026001,0.030974,0.039885,0.055116,0.079990,0.119374"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.011667,0.013273,0.015314,0.019342,0.027303,0.043099,0.074534", \ - "0.013166,0.014786,0.016843,0.020896,0.028888,0.044715,0.076170", \ - "0.019616,0.021314,0.023290,0.027238,0.035128,0.050881,0.082304", \ - "0.028056,0.030525,0.033475,0.038798,0.047901,0.063453,0.094546", \ - "0.037132,0.040294,0.044080,0.050992,0.063023,0.082782,0.114451", \ - "0.047282,0.051073,0.055612,0.063939,0.078592,0.103123,0.141957", \ - "0.058708,0.063132,0.068390,0.078060,0.095106,0.123956,0.170537"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.006024,0.007171,0.008646,0.011588,0.017463,0.029223,0.052764", \ - "0.006004,0.007159,0.008641,0.011586,0.017463,0.029223,0.052767", \ - "0.006696,0.007730,0.009022,0.011736,0.017439,0.029222,0.052764", \ - "0.009498,0.010520,0.011802,0.014337,0.019425,0.029901,0.052754", \ - "0.013958,0.015136,0.016567,0.019227,0.024220,0.034067,0.054539", \ - "0.019700,0.021077,0.022746,0.025808,0.031253,0.041134,0.060635", \ - "0.026589,0.028190,0.030145,0.033700,0.039903,0.050544,0.069945"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.008636,0.010216,0.012251,0.016308,0.024350,0.040031,0.070411", \ - "0.008637,0.010213,0.012249,0.016308,0.024354,0.040044,0.070409", \ - "0.010020,0.011192,0.012835,0.016435,0.024352,0.040044,0.070407", \ - "0.016175,0.017518,0.019115,0.021954,0.027324,0.040468,0.070417", \ - "0.023441,0.025163,0.027258,0.031035,0.037401,0.047721,0.071774", \ - "0.031979,0.033929,0.036375,0.040921,0.048826,0.061587,0.081878", \ - "0.041788,0.043876,0.046575,0.051683,0.060892,0.076287,0.100181"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.009383,0.010737,0.012464,0.015893,0.022712,0.036314,0.063489", \ - "0.010706,0.012091,0.013851,0.017322,0.024185,0.037822,0.065022", \ - "0.013815,0.015480,0.017492,0.021258,0.028221,0.041934,0.069195", \ - "0.016119,0.018500,0.021277,0.026184,0.034625,0.049425,0.076854", \ - "0.016545,0.019770,0.023509,0.030043,0.040924,0.058619,0.088520", \ - "0.015054,0.019123,0.023830,0.032048,0.045695,0.067419,0.101864", \ - "0.011635,0.016520,0.022171,0.032062,0.048494,0.074613,0.115062"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.011318,0.012927,0.014969,0.018995,0.026947,0.042717,0.074120", \ - "0.012815,0.014438,0.016496,0.020548,0.028531,0.044331,0.075763", \ - "0.019222,0.020952,0.022954,0.026894,0.034773,0.050499,0.081890", \ - "0.027454,0.029964,0.032951,0.038336,0.047514,0.063080,0.094129", \ - "0.036321,0.039532,0.043363,0.050344,0.062465,0.082332,0.114033", \ - "0.046240,0.050098,0.054687,0.063100,0.077853,0.102509,0.141461", \ - "0.057397,0.061894,0.067231,0.077002,0.094182,0.123171,0.169882"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.004224,0.005367,0.006844,0.009792,0.015686,0.027464,0.051015", \ - "0.004229,0.005368,0.006845,0.009793,0.015685,0.027466,0.051013", \ - "0.005408,0.006399,0.007694,0.010240,0.015746,0.027466,0.051013", \ - "0.008585,0.009599,0.010846,0.013273,0.018181,0.028418,0.051016", \ - "0.013329,0.014473,0.015872,0.018476,0.023338,0.032930,0.053111", \ - "0.019372,0.020667,0.022271,0.025246,0.030575,0.040249,0.059478", \ - "0.026495,0.027983,0.029836,0.033287,0.039348,0.049837,0.068978"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.007256,0.008699,0.010564,0.014299,0.021779,0.036741,0.066653", \ - "0.007259,0.008699,0.010565,0.014302,0.021777,0.036736,0.066653", \ - "0.008731,0.009750,0.011201,0.014448,0.021777,0.036739,0.066634", \ - "0.013605,0.015054,0.016743,0.019697,0.024822,0.037191,0.066639", \ - "0.018873,0.020840,0.023153,0.027226,0.033945,0.044489,0.068008", \ - "0.024840,0.027266,0.030145,0.035269,0.043873,0.057403,0.078186", \ - "0.031809,0.034658,0.038045,0.044104,0.054391,0.070953,0.095986"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.008037,0.009075,0.010400,0.013026,0.018249,0.028662,0.049465", \ - "0.009499,0.010564,0.011914,0.014575,0.019831,0.030271,0.051091", \ - "0.013206,0.014697,0.016449,0.019581,0.025067,0.035582,0.056458", \ - "0.015566,0.017832,0.020471,0.025106,0.032835,0.045343,0.066645", \ - "0.015879,0.018980,0.022580,0.028874,0.039314,0.055873,0.081609", \ - "0.014159,0.018085,0.022641,0.030609,0.043829,0.064759,0.096851", \ - "0.010398,0.015118,0.020603,0.030219,0.046211,0.071575,0.110426"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.012420,0.014011,0.016040,0.020050,0.027985,0.043737,0.075122", \ - "0.013917,0.015520,0.017565,0.021602,0.029574,0.045366,0.076783", \ - "0.020429,0.022043,0.024001,0.027936,0.035801,0.051518,0.082901", \ - "0.029231,0.031633,0.034502,0.039706,0.048650,0.064117,0.095148", \ - "0.038654,0.041720,0.045423,0.052206,0.064057,0.083609,0.115115", \ - "0.049123,0.052817,0.057261,0.065446,0.079920,0.104232,0.142805", \ - "0.060914,0.065198,0.070347,0.079855,0.096715,0.125355,0.171682"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.003494,0.004365,0.005487,0.007726,0.012187,0.021096,0.038896", \ - "0.003500,0.004368,0.005486,0.007728,0.012187,0.021096,0.038895", \ - "0.005268,0.005978,0.006870,0.008580,0.012400,0.021095,0.038899", \ - "0.008940,0.009800,0.010853,0.012803,0.016362,0.023138,0.039018", \ - "0.014005,0.014981,0.016208,0.018520,0.022668,0.029966,0.043436", \ - "0.020384,0.021482,0.022884,0.025539,0.030366,0.038745,0.053146", \ - "0.027894,0.029134,0.030747,0.033821,0.039390,0.048953,0.065037"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.007716,0.009175,0.011060,0.014823,0.022330,0.037304,0.067237", \ - "0.007716,0.009176,0.011060,0.014822,0.022330,0.037301,0.067224", \ - "0.008888,0.009990,0.011531,0.014898,0.022330,0.037311,0.067226", \ - "0.013866,0.015284,0.016947,0.019867,0.025070,0.037675,0.067226", \ - "0.019214,0.021146,0.023429,0.027454,0.034119,0.044661,0.068473", \ - "0.025219,0.027602,0.030439,0.035512,0.044050,0.057503,0.078352", \ - "0.032201,0.034994,0.038331,0.044325,0.054541,0.071006,0.095998"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.00733524,0.00869725,0.0104326,0.0138698,0.0206971,0.0343042,0.0614808", \ - "0.00865184,0.0100354,0.0117948,0.0152657,0.0221314,0.0357706,0.0629681", \ - "0.0120619,0.0140370,0.0163188,0.0202861,0.0271471,0.0407576,0.0679491", \ - "0.0135998,0.0164788,0.0198212,0.0256669,0.0353721,0.0507280,0.0776809", \ - "0.0127511,0.0165578,0.0209677,0.0286721,0.0415144,0.0619768,0.0933971", \ - "0.00915624,0.0139296,0.0194185,0.0290248,0.0450154,0.0705251,0.109936", \ - "0.00264895,0.00832324,0.0148758,0.0263924,0.0455834,0.0761773,0.123510"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.0162876,0.0196776,0.0239564,0.0323714,0.0489851,0.0819912,0.147819", \ - "0.0169132,0.0203142,0.0246468,0.0331799,0.0499692,0.0831490,0.149110", \ - "0.0224656,0.0255906,0.0296894,0.0379595,0.0545725,0.0877448,0.153819", \ - "0.0309736,0.0353242,0.0404327,0.0495260,0.0655917,0.0981219,0.163761", \ - "0.0408372,0.0461460,0.0524503,0.0638353,0.0833865,0.116088,0.180673", \ - "0.0525631,0.0587604,0.0660996,0.0794475,0.102737,0.141436,0.205995", \ - "0.0663658,0.0733967,0.0817545,0.0969219,0.123499,0.168355,0.240674"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.00422326,0.00536659,0.00684481,0.00979341,0.0156857,0.0274644,0.0510130", \ - "0.00420866,0.00536104,0.00684295,0.00979386,0.0156868,0.0274624,0.0510160", \ - "0.00675040,0.00766557,0.00877264,0.0108191,0.0157993,0.0274635,0.0510141", \ - "0.0113668,0.0125710,0.0140047,0.0165834,0.0210719,0.0294245,0.0510157", \ - "0.0175567,0.0190905,0.0208805,0.0240821,0.0296151,0.0388701,0.0553374", \ - "0.0254126,0.0272670,0.0294590,0.0333346,0.0399176,0.0509054,0.0688408", \ - "0.0348547,0.0371418,0.0397730,0.0443980,0.0521471,0.0648332,0.0855151"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.0113163,0.0142537,0.0180427,0.0255998,0.0407039,0.0709024,0.131222", \ - "0.0113148,0.0142529,0.0180378,0.0256006,0.0407075,0.0709029,0.131235", \ - "0.0121944,0.0145828,0.0179942,0.0255972,0.0407004,0.0708832,0.131225", \ - "0.0172760,0.0197966,0.0227979,0.0281385,0.0410272,0.0708979,0.131237", \ - "0.0228194,0.0258074,0.0294683,0.0361221,0.0474800,0.0721066,0.131215", \ - "0.0296826,0.0329528,0.0370792,0.0447906,0.0583852,0.0811275,0.132170", \ - "0.0381669,0.0416598,0.0461073,0.0545520,0.0699168,0.0960835,0.141422"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.00928938,0.0107586,0.0126022,0.0161913,0.0231936,0.0369629,0.0642575", \ - "0.0104958,0.0119692,0.0138180,0.0174149,0.0244254,0.0382002,0.0654996", \ - "0.0149448,0.0167041,0.0187741,0.0224422,0.0293900,0.0431234,0.0703907", \ - "0.0183581,0.0209037,0.0239154,0.0292793,0.0383671,0.0531012,0.0801338", \ - "0.0196241,0.0229406,0.0268651,0.0338818,0.0458706,0.0653846,0.0959369", \ - "0.0186412,0.0226960,0.0275076,0.0361248,0.0509127,0.0751536,0.113352", \ - "0.0152580,0.0200362,0.0257113,0.0359096,0.0534389,0.0822671,0.127966"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.0204679,0.0237829,0.0280027,0.0363492,0.0529025,0.0858708,0.151679", \ - "0.0217927,0.0251602,0.0294497,0.0379129,0.0546186,0.0877242,0.153635", \ - "0.0269198,0.0302091,0.0344329,0.0428562,0.0596095,0.0928727,0.158978", \ - "0.0340290,0.0380298,0.0428888,0.0519186,0.0685573,0.101702,0.167831", \ - "0.0422437,0.0470543,0.0528189,0.0634105,0.0824123,0.116181,0.182028", \ - "0.0527061,0.0583181,0.0649776,0.0770926,0.0985983,0.136252,0.202889", \ - "0.0653206,0.0718023,0.0794239,0.0931676,0.117231,0.158859,0.230825"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.00608351,0.00721369,0.00867574,0.0116022,0.0174660,0.0292239,0.0527643", \ - "0.00605938,0.00720709,0.00867409,0.0116017,0.0174665,0.0292239,0.0527609", \ - "0.00795483,0.00882110,0.00986376,0.0121471,0.0174975,0.0292264,0.0527573", \ - "0.0126241,0.0137952,0.0151801,0.0176773,0.0220747,0.0307502,0.0527655", \ - "0.0185825,0.0200972,0.0218912,0.0251134,0.0306422,0.0398195,0.0565801", \ - "0.0258489,0.0277606,0.0299913,0.0339681,0.0407277,0.0518179,0.0697387", \ - "0.0344886,0.0368364,0.0395721,0.0443638,0.0523819,0.0654443,0.0863528"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.0113265,0.0142548,0.0180396,0.0256075,0.0407026,0.0708907,0.131222", \ - "0.0113216,0.0142562,0.0180382,0.0256060,0.0407069,0.0708791,0.131228", \ - "0.0114987,0.0143167,0.0180522,0.0256050,0.0407006,0.0708814,0.131229", \ - "0.0150003,0.0175531,0.0207115,0.0269816,0.0408715,0.0708827,0.131223", \ - "0.0196813,0.0223204,0.0257069,0.0323377,0.0449659,0.0717737,0.131227", \ - "0.0255648,0.0282919,0.0317958,0.0387220,0.0521506,0.0775782,0.132070", \ - "0.0325784,0.0354066,0.0390888,0.0463073,0.0603013,0.0870717,0.138103"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("1.363505,1.410414,1.445156,1.483268,1.537918,1.592801,1.643368", \ - "1.256239,1.307918,1.363781,1.425318,1.487946,1.560892,1.626850", \ - "1.112990,1.165569,1.210474,1.296430,1.390672,1.485015,1.574053", \ - "1.403654,1.400670,1.374155,1.336817,1.362965,1.442775,1.539607", \ - "2.137808,2.077072,1.992153,1.889759,1.753802,1.614339,1.623869", \ - "3.358930,3.261989,3.169447,2.953559,2.666978,2.294844,1.975299", \ - "5.036671,4.930858,4.844736,4.601661,4.179515,3.533707,2.838969"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("8.701560,8.676426,8.732337,8.776640,8.826430,8.825459,8.785432", \ - "8.548306,8.583112,8.599787,8.669910,8.686770,8.699507,8.652963", \ - "8.624643,8.626752,8.635581,8.620508,8.741699,8.606120,8.636794", \ - "9.328995,9.261076,9.252035,9.185904,9.089478,9.050859,8.853995", \ - "10.336710,10.257130,10.245850,10.263240,10.167060,9.901622,9.365551", \ - "12.201640,12.156210,12.072250,11.959440,11.539650,11.353450,10.585770", \ - "14.956760,14.848730,14.749520,14.462450,14.136860,13.470700,12.704750"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.447873,0.474710,0.477317,0.496297,0.510140,0.518922,0.523608", \ - "0.301697,0.342790,0.376999,0.420516,0.460097,0.486619,0.502824", \ - "0.143871,0.164429,0.196919,0.262657,0.334841,0.405394,0.454991", \ - "0.505344,0.472052,0.418853,0.350750,0.305969,0.361166,0.421630", \ - "1.310545,1.244860,1.133005,0.968820,0.756702,0.538998,0.511389", \ - "2.643572,2.525189,2.381816,2.146464,1.742886,1.275070,0.881470", \ - "4.448083,4.307782,4.161611,3.866708,3.357362,2.582814,1.777365"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("7.331272,7.378046,7.433029,7.471603,7.475991,7.584249,7.617636", \ - "7.198070,7.234788,7.300045,7.333507,7.412293,7.501005,7.518781", \ - "7.294649,7.290770,7.336975,7.337019,7.350166,7.431112,7.455032", \ - "7.725892,7.763500,7.921824,7.879577,7.790183,7.765786,7.623878", \ - "8.803231,8.804954,8.824679,8.837576,8.849893,8.496841,8.184346", \ - "10.700880,10.684720,10.620440,10.513440,10.311380,10.091920,9.085878", \ - "13.398310,13.363030,13.269260,13.055190,12.742320,12.180620,11.437840"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.445358,0.462415,0.480097,0.498559,0.506838,0.521909,0.525543", \ - "0.301520,0.332136,0.374970,0.419739,0.454308,0.487201,0.507103", \ - "0.161359,0.165505,0.206039,0.256733,0.338173,0.403166,0.456803", \ - "0.530906,0.488111,0.441459,0.384183,0.320363,0.367804,0.424807", \ - "1.367389,1.279768,1.164217,1.010891,0.804532,0.594072,0.524078", \ - "2.727398,2.587335,2.460903,2.202622,1.815794,1.346719,0.929407", \ - "4.553577,4.440635,4.283676,3.980767,3.452808,2.680993,1.878165"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("8.015596,8.029224,8.036220,8.081713,8.125957,8.174293,8.300531", \ - "7.793216,7.866579,7.923936,8.001343,8.069578,8.155254,8.107744", \ - "7.949334,7.911448,7.950844,7.963936,8.012223,8.091668,8.204011", \ - "8.432807,8.474517,8.586435,8.511298,8.440464,8.223319,7.995559", \ - "9.505059,9.516952,9.527988,9.493076,9.547603,9.161144,8.912126", \ - "11.400190,11.399340,11.276860,11.249170,10.982340,10.841180,10.245650", \ - "14.092270,14.080560,14.000800,13.796830,13.513770,12.874880,12.147280"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("0.539563,0.558243,0.575116,0.589853,0.612580,0.619886,0.625613", \ - "0.494474,0.517659,0.556998,0.597321,0.636723,0.674202,0.689925", \ - "0.524092,0.500531,0.517983,0.561529,0.615156,0.662689,0.704119", \ - "1.088455,1.017498,0.934368,0.815359,0.726439,0.729502,0.739163", \ - "2.060250,1.954527,1.835170,1.629877,1.341410,1.062255,0.949868", \ - "3.406618,3.310903,3.196289,2.938789,2.508260,1.947608,1.469134", \ - "5.107323,5.074206,4.978495,4.740889,4.233811,3.419524,2.508927"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("5.139981,5.202061,5.242178,5.232000,5.299344,5.101466,5.132132", \ - "4.933853,5.004558,5.068925,5.148111,5.227985,5.318975,5.078181", \ - "4.988546,5.020762,4.996165,5.022867,5.092801,5.192338,4.996986", \ - "5.583171,5.533099,5.464785,5.416037,5.297716,5.301433,5.030488", \ - "6.303933,6.281393,6.349119,6.263633,6.025152,5.685764,5.506384", \ - "7.757269,7.705125,7.607730,7.456842,7.270455,6.904061,6.315439", \ - "9.959682,9.835264,9.672493,9.423467,9.035729,8.574987,7.683504"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("1.326300,1.357493,1.376408,1.416692,1.458133,1.501489,1.547226", \ - "1.207891,1.229274,1.262818,1.310298,1.366596,1.410086,1.453319", \ - "1.171894,1.178208,1.225588,1.254636,1.315234,1.359454,1.409809", \ - "1.681181,1.629911,1.575479,1.480805,1.449498,1.447237,1.454226", \ - "2.637429,2.563267,2.450440,2.297498,2.057130,1.816107,1.700474", \ - "4.066563,3.977462,3.861020,3.620343,3.245331,2.734086,2.263534", \ - "5.926562,5.852762,5.746151,5.511286,5.017557,4.267455,3.378514"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); - values ("6.755781,6.806474,6.846789,6.873037,6.851068,6.715313,6.774115", \ - "6.613148,6.667420,6.687888,6.780013,6.797880,6.828136,6.686542", \ - "6.463519,6.466436,6.498131,6.582926,6.598953,6.743866,6.590906", \ - "6.533622,6.544177,6.517241,6.505957,6.498072,6.480816,6.519079", \ - "6.685212,6.722990,6.850261,6.858876,6.711726,6.551548,6.534378", \ - "7.685714,7.642449,7.593084,7.568248,7.651088,7.393850,6.920731", \ - "9.394897,9.268407,9.164123,8.956198,8.635809,8.495829,7.998532"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI21_X4 - Cell Description : Combinational cell (OAI21_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI21_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 90.477187; - - leakage_power () { - when : "!A & !B1 & !B2"; - value : 25.661350; - } - leakage_power () { - when : "!A & !B1 & B2"; - value : 29.874559; - } - leakage_power () { - when : "!A & B1 & !B2"; - value : 29.874555; - } - leakage_power () { - when : "!A & B1 & B2"; - value : 17.224606; - } - leakage_power () { - when : "A & !B1 & !B2"; - value : 139.577570; - } - leakage_power () { - when : "A & !B1 & B2"; - value : 139.736300; - } - leakage_power () { - when : "A & B1 & !B2"; - value : 149.137120; - } - leakage_power () { - when : "A & B1 & B2"; - value : 192.731440; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.194658; - fall_capacitance : 5.622911; - rise_capacitance : 6.194658; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.351550; - fall_capacitance : 5.556048; - rise_capacitance : 6.351550; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.500426; - fall_capacitance : 6.463049; - rise_capacitance : 6.500426; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 104.065000; - function : "!(A & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.011562,0.013275,0.015144,0.018774,0.025842,0.039720,0.067211", \ - "0.012950,0.014679,0.016565,0.020222,0.027322,0.041228,0.068737", \ - "0.016710,0.018566,0.020550,0.024253,0.031376,0.045339,0.072901", \ - "0.020571,0.022986,0.025494,0.030060,0.038211,0.052871,0.080525", \ - "0.022851,0.026048,0.029344,0.035257,0.045434,0.062559,0.092236", \ - "0.023177,0.027197,0.031323,0.038719,0.051372,0.072153,0.105932", \ - "0.021468,0.026311,0.031269,0.040168,0.055397,0.080265,0.119673"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.011644,0.013492,0.015542,0.019586,0.027575,0.043418,0.074952", \ - "0.013133,0.014999,0.017065,0.021134,0.029153,0.045026,0.076581", \ - "0.019560,0.021516,0.023495,0.027461,0.035382,0.051185,0.082707", \ - "0.027927,0.030763,0.033710,0.039031,0.048142,0.063738,0.094931", \ - "0.036951,0.040569,0.044344,0.051250,0.063284,0.083057,0.114809", \ - "0.047030,0.051384,0.055913,0.064230,0.078874,0.103412,0.142295", \ - "0.058442,0.063469,0.068721,0.078377,0.095408,0.124260,0.170879"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.005935,0.007260,0.008745,0.011709,0.017630,0.029484,0.053209", \ - "0.005917,0.007249,0.008740,0.011706,0.017630,0.029484,0.053211", \ - "0.006606,0.007798,0.009106,0.011849,0.017607,0.029482,0.053210", \ - "0.009394,0.010570,0.011857,0.014411,0.019556,0.030146,0.053207", \ - "0.013843,0.015188,0.016616,0.019280,0.024297,0.034251,0.054952", \ - "0.019580,0.021149,0.022816,0.025868,0.031319,0.041266,0.060962", \ - "0.026447,0.028277,0.030234,0.033786,0.039981,0.050643,0.070187"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.008536,0.010347,0.012390,0.016474,0.024565,0.040324,0.070834", \ - "0.008531,0.010347,0.012391,0.016474,0.024564,0.040321,0.070828", \ - "0.009948,0.011297,0.012959,0.016592,0.024564,0.040326,0.070832", \ - "0.016071,0.017619,0.019220,0.022074,0.027501,0.040740,0.070832", \ - "0.023301,0.025279,0.027378,0.031159,0.037549,0.047940,0.072170", \ - "0.031840,0.034063,0.036510,0.041060,0.048974,0.061776,0.082212", \ - "0.041600,0.044022,0.046716,0.051840,0.061054,0.076471,0.100468"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.009375,0.010938,0.012678,0.016129,0.022991,0.036678,0.064019", \ - "0.010689,0.012289,0.014061,0.017555,0.024461,0.038181,0.065546", \ - "0.013767,0.015686,0.017703,0.021482,0.028481,0.042274,0.069699", \ - "0.015994,0.018737,0.021510,0.026410,0.034862,0.049719,0.077303", \ - "0.016319,0.020038,0.023768,0.030292,0.041163,0.058877,0.088892", \ - "0.014707,0.019403,0.024103,0.032314,0.045946,0.067667,0.102176", \ - "0.011185,0.016814,0.022452,0.032328,0.048758,0.074863,0.115341"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.011290,0.013142,0.015194,0.019236,0.027214,0.043033,0.074528", \ - "0.012778,0.014647,0.016714,0.020782,0.028792,0.044640,0.076159", \ - "0.019157,0.021152,0.023156,0.027113,0.035023,0.050799,0.082281", \ - "0.027314,0.030198,0.033187,0.038568,0.047749,0.063358,0.094506", \ - "0.036119,0.039799,0.043628,0.050606,0.062722,0.082603,0.114379", \ - "0.045979,0.050400,0.054987,0.063388,0.078136,0.102794,0.141787", \ - "0.057113,0.062236,0.067561,0.077322,0.094482,0.123466,0.170214"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.004109,0.005426,0.006912,0.009884,0.015821,0.027690,0.051415", \ - "0.004116,0.005428,0.006913,0.009884,0.015822,0.027686,0.051415", \ - "0.005301,0.006441,0.007747,0.010318,0.015877,0.027689,0.051413", \ - "0.008468,0.009629,0.010876,0.013319,0.018278,0.028622,0.051417", \ - "0.013205,0.014512,0.015907,0.018519,0.023392,0.033077,0.053468", \ - "0.019267,0.020733,0.022331,0.025297,0.030628,0.040358,0.059755", \ - "0.026381,0.028071,0.029926,0.033364,0.039422,0.049918,0.069187"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.007139,0.008795,0.010667,0.014420,0.021933,0.036959,0.067022", \ - "0.007138,0.008794,0.010668,0.014419,0.021934,0.036953,0.067012", \ - "0.008646,0.009816,0.011287,0.014559,0.021935,0.036970,0.067001", \ - "0.013457,0.015124,0.016815,0.019774,0.024930,0.037401,0.067021", \ - "0.018668,0.020921,0.023238,0.027315,0.034050,0.044665,0.068376", \ - "0.024588,0.027358,0.030242,0.035366,0.043976,0.057547,0.078478", \ - "0.031489,0.034763,0.038159,0.044216,0.054511,0.071101,0.096234"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.008068,0.009268,0.010604,0.013250,0.018509,0.028992,0.049931", \ - "0.009520,0.010750,0.012111,0.014791,0.020083,0.030592,0.051549", \ - "0.013175,0.014893,0.016643,0.019779,0.025290,0.035872,0.056885", \ - "0.015455,0.018064,0.020699,0.025326,0.033048,0.045582,0.067004", \ - "0.015667,0.019243,0.022836,0.029119,0.039548,0.056104,0.081891", \ - "0.013833,0.018362,0.022910,0.030869,0.044079,0.065002,0.097110", \ - "0.009964,0.015414,0.020883,0.030486,0.046472,0.071829,0.110684"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.012402,0.014235,0.016271,0.020296,0.028257,0.044057,0.075531", \ - "0.013891,0.015737,0.017790,0.021841,0.029839,0.045678,0.077183", \ - "0.020385,0.022241,0.024210,0.028162,0.036056,0.051822,0.083298", \ - "0.029117,0.031869,0.034739,0.039939,0.048887,0.064400,0.095527", \ - "0.038475,0.041998,0.045691,0.052471,0.064322,0.083880,0.115467", \ - "0.048909,0.053125,0.057556,0.065738,0.080204,0.104520,0.143125", \ - "0.060658,0.065535,0.070679,0.080177,0.097022,0.125655,0.172005"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.003419,0.004424,0.005555,0.007814,0.012319,0.021309,0.039271", \ - "0.003426,0.004426,0.005556,0.007816,0.012318,0.021306,0.039273", \ - "0.005193,0.006010,0.006909,0.008644,0.012519,0.021307,0.039271", \ - "0.008845,0.009837,0.010883,0.012839,0.016429,0.023298,0.039387", \ - "0.013916,0.015033,0.016253,0.018559,0.022719,0.030066,0.043711", \ - "0.020321,0.021557,0.022954,0.025609,0.030437,0.038821,0.053327", \ - "0.027836,0.029224,0.030850,0.033918,0.039477,0.049041,0.065178"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.007603,0.009280,0.011173,0.014950,0.022494,0.037549,0.067610", \ - "0.007599,0.009281,0.011173,0.014949,0.022492,0.037548,0.067596", \ - "0.008804,0.010071,0.011628,0.015020,0.022493,0.037551,0.067603", \ - "0.013727,0.015363,0.017030,0.019955,0.025199,0.037903,0.067610", \ - "0.019022,0.021237,0.023521,0.027548,0.034231,0.044836,0.068837", \ - "0.024971,0.027704,0.030549,0.035619,0.044162,0.057664,0.078641", \ - "0.031895,0.035104,0.038456,0.044449,0.054669,0.071164,0.096255"); - } - } - - timing () { - - related_pin : "B1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.00719587,0.00876848,0.0105167,0.0139779,0.0208482,0.0345387,0.0618829", \ - "0.00850766,0.0101069,0.0118791,0.0153737,0.0222833,0.0360071,0.0633712", \ - "0.0118486,0.0141344,0.0164229,0.0204017,0.0273000,0.0409979,0.0683568", \ - "0.0132854,0.0166238,0.0199695,0.0258321,0.0355701,0.0509760,0.0780944", \ - "0.0123508,0.0167548,0.0211679,0.0288976,0.0417766,0.0623085,0.0938315", \ - "0.00869060,0.0141857,0.0196822,0.0293101,0.0453444,0.0709397,0.110484", \ - "0.00209985,0.00863929,0.0152075,0.0267503,0.0459984,0.0766814,0.124175"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.0158372,0.0197194,0.0239986,0.0324068,0.0490111,0.0819969,0.147797", \ - "0.0164631,0.0203641,0.0246957,0.0332237,0.0500046,0.0831634,0.149095", \ - "0.0220585,0.0256302,0.0297300,0.0380015,0.0546099,0.0877669,0.153809", \ - "0.0303588,0.0353565,0.0404634,0.0495533,0.0656175,0.0981377,0.163752", \ - "0.0400883,0.0461698,0.0524705,0.0638496,0.0833942,0.116090,0.180651", \ - "0.0517066,0.0587667,0.0661050,0.0794430,0.102724,0.141407,0.205955", \ - "0.0653417,0.0733703,0.0817278,0.0968923,0.123456,0.168292,0.240597"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.00411002,0.00542722,0.00691316,0.00988379,0.0158209,0.0276872,0.0514135", \ - "0.00408645,0.00542043,0.00691254,0.00988419,0.0158199,0.0276860,0.0514137", \ - "0.00664726,0.00770453,0.00882048,0.0108839,0.0159245,0.0276891,0.0514134", \ - "0.0112259,0.0126167,0.0140549,0.0166485,0.0211650,0.0296068,0.0514129", \ - "0.0173816,0.0191403,0.0209417,0.0241569,0.0297160,0.0390259,0.0556586", \ - "0.0251604,0.0273068,0.0295202,0.0334186,0.0400321,0.0510764,0.0691190", \ - "0.0345984,0.0371657,0.0398282,0.0444924,0.0522764,0.0650167,0.0858140"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.0109302,0.0142855,0.0180707,0.0256362,0.0407296,0.0709027,0.131231", \ - "0.0109242,0.0142840,0.0180711,0.0256323,0.0407256,0.0708988,0.131239", \ - "0.0119076,0.0146104,0.0180265,0.0256291,0.0407336,0.0709129,0.131234", \ - "0.0169150,0.0198220,0.0228191,0.0281687,0.0410440,0.0709091,0.131238", \ - "0.0223994,0.0258311,0.0294957,0.0361507,0.0474988,0.0721321,0.131235", \ - "0.0292117,0.0329790,0.0371126,0.0448221,0.0584145,0.0811554,0.132191", \ - "0.0376855,0.0416852,0.0461479,0.0545941,0.0699499,0.0961123,0.141455"); - } - } - - timing () { - - related_pin : "B2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.00917562,0.0108748,0.0127321,0.0163470,0.0233984,0.0372608,0.0647387", \ - "0.0103792,0.0120847,0.0139470,0.0175698,0.0246288,0.0384972,0.0659776", \ - "0.0148040,0.0168410,0.0189162,0.0225953,0.0295948,0.0434225,0.0708727", \ - "0.0181567,0.0211088,0.0241202,0.0294986,0.0386172,0.0534041,0.0806258", \ - "0.0193775,0.0232210,0.0271426,0.0341769,0.0461887,0.0657781,0.0964443", \ - "0.0183477,0.0230554,0.0278639,0.0365022,0.0513341,0.0756399,0.113981", \ - "0.0149347,0.0204859,0.0261606,0.0363723,0.0539576,0.0828637,0.128733"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.0200559,0.0238544,0.0280712,0.0364150,0.0529623,0.0859105,0.151688", \ - "0.0213825,0.0252383,0.0295261,0.0379869,0.0546833,0.0877702,0.153648", \ - "0.0265134,0.0302827,0.0345091,0.0429336,0.0596816,0.0929244,0.158996", \ - "0.0335004,0.0381016,0.0429609,0.0519882,0.0686263,0.101761,0.167855", \ - "0.0415928,0.0471170,0.0528823,0.0634712,0.0824707,0.116229,0.182050", \ - "0.0519233,0.0583715,0.0650284,0.0771382,0.0986362,0.136278,0.202899", \ - "0.0643860,0.0718247,0.0794510,0.0931890,0.117241,0.158856,0.230806"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.00599948,0.00730249,0.00877499,0.0117217,0.0176339,0.0294828,0.0532105", \ - "0.00597012,0.00729434,0.00877219,0.0117232,0.0176338,0.0294841,0.0532074", \ - "0.00787761,0.00888117,0.00992765,0.0122449,0.0176590,0.0294862,0.0532056", \ - "0.0125109,0.0138544,0.0152455,0.0177651,0.0221908,0.0309672,0.0532159", \ - "0.0184257,0.0201676,0.0219718,0.0252119,0.0307573,0.0399957,0.0569407", \ - "0.0256542,0.0278262,0.0300780,0.0340698,0.0408583,0.0520108,0.0700434", \ - "0.0342373,0.0369033,0.0396564,0.0444726,0.0525258,0.0656588,0.0866749"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.0109317,0.0142899,0.0180738,0.0256302,0.0407364,0.0709128,0.131236", \ - "0.0109398,0.0142859,0.0180737,0.0256324,0.0407345,0.0709151,0.131235", \ - "0.0111432,0.0143459,0.0180836,0.0256281,0.0407309,0.0709040,0.131233", \ - "0.0146587,0.0175744,0.0207366,0.0270075,0.0408813,0.0709088,0.131232", \ - "0.0193285,0.0223395,0.0257316,0.0323571,0.0449900,0.0717748,0.131237", \ - "0.0252042,0.0283108,0.0318283,0.0387456,0.0521781,0.0776013,0.132093", \ - "0.0322065,0.0354463,0.0391307,0.0463421,0.0603270,0.0870938,0.138126"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("2.702775,2.822278,2.881593,2.984449,3.084185,3.183057,3.271631", \ - "2.504639,2.624822,2.722640,2.850646,2.975908,3.116461,3.241060", \ - "2.218541,2.344044,2.449804,2.594741,2.778472,2.971908,3.143427", \ - "2.808880,2.777640,2.745448,2.672515,2.725623,2.891166,3.072944", \ - "4.253026,4.110424,3.981208,3.769815,3.484116,3.208727,3.248075", \ - "6.687255,6.472092,6.279330,5.903971,5.307079,4.569583,3.946466", \ - "10.118790,9.893984,9.611428,9.211680,8.344232,7.037642,5.644205"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("17.444990,17.518330,17.521800,17.471030,17.735190,17.836530,17.372620", \ - "17.101200,17.237460,17.196910,17.433260,17.492810,17.679570,17.427770", \ - "17.331300,17.343080,17.277470,17.367940,17.452180,17.610680,17.564440", \ - "18.727620,18.632730,18.499530,18.452230,18.362250,17.833460,17.765570", \ - "20.637480,20.676690,20.649320,20.618730,20.255050,19.749360,18.997530", \ - "24.515600,24.389540,24.167170,23.845280,23.536810,22.878890,21.006820", \ - "29.922740,29.772960,29.528820,29.083450,28.199350,27.095560,25.127420"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.891072,0.928575,0.965729,0.988817,1.007865,1.023333,1.034178", \ - "0.564938,0.669498,0.750144,0.834452,0.903833,0.969675,0.999769", \ - "0.282063,0.320292,0.397697,0.519534,0.656955,0.795576,0.900039", \ - "1.025844,0.926822,0.834737,0.698431,0.606524,0.720693,0.834676", \ - "2.689745,2.462079,2.255308,1.924183,1.495648,1.067294,1.011989", \ - "5.318848,5.066754,4.777039,4.248984,3.463615,2.532649,1.740051", \ - "8.883274,8.607552,8.261292,7.724366,6.688176,5.130531,3.530940"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("14.742270,14.799740,14.862620,15.011400,14.904130,15.108510,15.388620", \ - "14.421250,14.530350,14.606920,14.734770,14.830570,15.111030,14.991350", \ - "14.580680,14.694000,14.681110,14.785880,14.829890,14.935240,14.899660", \ - "15.558680,15.716910,15.943310,15.768970,15.710760,15.399970,15.216840", \ - "17.680290,17.641650,17.693280,17.733460,17.657260,17.110680,16.422460", \ - "21.528620,21.347300,21.335090,21.056580,20.633690,19.958280,18.432320", \ - "26.778820,26.697270,26.502660,26.223000,25.403190,24.364270,22.275920"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("0.874577,0.930172,0.966525,0.995260,1.016352,1.034202,1.041161", \ - "0.573790,0.681398,0.754359,0.820974,0.905317,0.967763,1.005734", \ - "0.319347,0.342584,0.399169,0.526061,0.670414,0.802693,0.904110", \ - "1.058606,0.970166,0.877022,0.768474,0.630730,0.728193,0.845238", \ - "2.760255,2.528205,2.328452,2.002384,1.588709,1.172761,1.047186", \ - "5.497154,5.193174,4.905286,4.384879,3.592826,2.673177,1.837558", \ - "9.172761,8.864165,8.528045,7.901465,6.853716,5.328670,3.727898"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("16.048250,16.160550,16.194140,16.240270,16.315900,16.460220,16.307670", \ - "15.657310,15.763860,15.917570,16.008520,16.242830,16.257900,15.921500", \ - "15.912220,15.986180,16.001730,16.037470,16.195620,16.256950,15.964540", \ - "16.764430,17.041040,17.241960,17.137700,17.043810,16.899100,16.825130", \ - "18.972960,19.091700,19.062590,19.093690,18.986530,18.325500,17.605600", \ - "22.890270,22.776590,22.694410,22.484080,22.046550,21.536380,20.194270", \ - "28.307860,28.145800,27.963580,27.715580,26.951000,25.859860,24.565250"); - } - } - - internal_power () { - - related_pin : "B1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("1.084856,1.127586,1.158129,1.191982,1.215816,1.240641,1.251872", \ - "0.978287,1.050825,1.114755,1.192193,1.279014,1.344247,1.379081", \ - "1.051684,0.999146,1.031063,1.105319,1.219009,1.318474,1.402244", \ - "2.194338,2.018494,1.856100,1.626537,1.445480,1.447759,1.479806", \ - "4.143599,3.883563,3.658734,3.235033,2.665079,2.104988,1.897151", \ - "6.797345,6.636630,6.381329,5.875959,4.981991,3.877705,2.925855", \ - "10.291110,10.108670,9.930738,9.460411,8.446776,6.789876,4.989727"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("10.256960,10.392120,10.493200,10.591020,10.656150,10.586460,10.252050", \ - "9.877007,10.022720,10.150200,10.331250,10.445510,10.638150,10.172090", \ - "9.998727,10.051620,10.094790,10.005350,10.257800,10.387930,10.035030", \ - "11.186400,11.068060,10.944620,10.820430,10.648000,10.661400,10.055910", \ - "12.621010,12.558670,12.695060,12.649370,12.024920,11.676070,11.003370", \ - "15.581570,15.319830,15.221650,15.059310,14.672360,13.866690,12.613520", \ - "19.992010,19.650210,19.302330,18.805100,18.104470,17.090860,15.517490"); - } - } - - internal_power () { - - related_pin : "B2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("2.666628,2.696893,2.778645,2.851005,2.929832,3.015616,3.094028", \ - "2.425840,2.501393,2.543595,2.640287,2.741042,2.828559,2.920283", \ - "2.322440,2.379564,2.442791,2.523700,2.626805,2.730817,2.825826", \ - "3.339203,3.250880,3.136266,2.949201,2.894601,2.902016,2.922206", \ - "5.292860,5.081106,4.911490,4.568571,4.091045,3.609992,3.397109", \ - "8.131357,7.921626,7.668305,7.231871,6.455058,5.446592,4.518003", \ - "11.874050,11.716010,11.472430,10.987720,10.008810,8.496770,6.720682"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); - values ("13.516700,13.618270,13.697430,13.726350,13.807130,13.759280,13.531330", \ - "13.213440,13.344560,13.455690,13.450950,13.691850,13.722180,13.359400", \ - "12.922500,12.917180,13.004590,13.149580,13.251270,13.486810,13.715500", \ - "13.121850,13.094160,13.090090,13.047290,13.163100,13.201460,13.030090", \ - "13.382110,13.561340,13.699420,13.852970,13.720230,13.505480,13.061490", \ - "15.412710,15.277630,15.182950,15.124530,15.288630,14.656430,14.382140", \ - "18.844060,18.548270,18.292050,17.935430,17.355050,16.974570,15.556520"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI22_X1 - Cell Description : Combinational cell (OAI22_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI22_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 34.026125; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 6.964870; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 34.973510; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 34.973620; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 49.595810; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 11.088658; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 32.661816; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 35.012197; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 45.913791; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 11.088658; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 35.012197; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 37.362512; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 48.264260; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 8.170020; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 45.911041; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 48.261136; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 59.163897; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.671043; - fall_capacitance : 1.458075; - rise_capacitance : 1.671043; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.584237; - fall_capacitance : 1.564509; - rise_capacitance : 1.584237; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.665448; - fall_capacitance : 1.408377; - rise_capacitance : 1.665448; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.615611; - fall_capacitance : 1.550466; - rise_capacitance : 1.615611; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 23.231500; - function : "!((A1 | A2) & (B1 | B2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.009675,0.010533,0.012204,0.015445,0.021732,0.034034,0.058335", \ - "0.011074,0.011930,0.013610,0.016867,0.023180,0.035509,0.059828", \ - "0.015878,0.016869,0.018720,0.022020,0.028209,0.040502,0.064816", \ - "0.019665,0.021097,0.023787,0.028636,0.036944,0.050509,0.074558", \ - "0.021045,0.022910,0.026450,0.032834,0.043824,0.061861,0.090203", \ - "0.019713,0.022029,0.026434,0.034366,0.048032,0.070510,0.106026", \ - "0.015399,0.018177,0.023435,0.032925,0.049314,0.076261,0.118897"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.017655,0.019611,0.023457,0.031014,0.045913,0.075444,0.134245", \ - "0.018322,0.020288,0.024177,0.031836,0.046895,0.076607,0.135552", \ - "0.023783,0.025600,0.029273,0.036675,0.051541,0.081215,0.140251", \ - "0.032934,0.035399,0.039992,0.048235,0.062674,0.091688,0.150259", \ - "0.043306,0.046321,0.051987,0.062283,0.080143,0.109842,0.167318", \ - "0.055592,0.059109,0.065670,0.077715,0.098938,0.134518,0.192915", \ - "0.070021,0.073988,0.081428,0.095088,0.119261,0.160388,0.227201"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.006284,0.006944,0.008263,0.010888,0.016124,0.026589,0.047506", \ - "0.006234,0.006912,0.008246,0.010883,0.016124,0.026588,0.047505", \ - "0.007814,0.008339,0.009272,0.011319,0.016084,0.026585,0.047510", \ - "0.012419,0.013108,0.014396,0.016721,0.020801,0.028404,0.047485", \ - "0.018532,0.019430,0.021062,0.024002,0.029095,0.037591,0.052314", \ - "0.026241,0.027365,0.029384,0.032975,0.039109,0.049268,0.065762", \ - "0.035571,0.036939,0.039406,0.043746,0.051003,0.062797,0.081914"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.016784,0.018749,0.022607,0.030062,0.044317,0.071767,0.125643", \ - "0.016781,0.018748,0.022605,0.030061,0.044320,0.071764,0.125649", \ - "0.017411,0.019087,0.022581,0.030056,0.044320,0.071761,0.125644", \ - "0.024205,0.025544,0.027676,0.032917,0.044889,0.071762,0.125643", \ - "0.032446,0.033882,0.036732,0.042218,0.051962,0.073695,0.125635", \ - "0.042266,0.043689,0.046640,0.052661,0.063997,0.083699,0.127626", \ - "0.053885,0.055209,0.058152,0.064373,0.076786,0.099232,0.138588"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.007428,0.008211,0.009759,0.012822,0.018895,0.030977,0.055069", \ - "0.008761,0.009555,0.011123,0.014218,0.020330,0.032445,0.056563", \ - "0.012332,0.013464,0.015545,0.019182,0.025359,0.037438,0.061545", \ - "0.014061,0.015713,0.018764,0.024126,0.033083,0.047322,0.071309", \ - "0.013380,0.015573,0.019611,0.026694,0.038528,0.057497,0.086717", \ - "0.009976,0.012736,0.017759,0.026577,0.041327,0.064969,0.101595", \ - "0.003637,0.006892,0.012901,0.023467,0.041184,0.069536,0.113497"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.016932,0.018884,0.022728,0.030271,0.045130,0.074581,0.133221", \ - "0.017593,0.019557,0.023440,0.031084,0.046111,0.075743,0.134524", \ - "0.023098,0.024905,0.028558,0.035937,0.050754,0.080343,0.139219", \ - "0.031902,0.034422,0.039093,0.047430,0.061902,0.090824,0.149220", \ - "0.041962,0.045063,0.050793,0.061208,0.079210,0.108994,0.166299", \ - "0.053903,0.057517,0.064194,0.076367,0.097742,0.133489,0.191898", \ - "0.067903,0.072002,0.079606,0.093450,0.117789,0.159095,0.226076"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.004466,0.005122,0.006443,0.009068,0.014305,0.024755,0.045625", \ - "0.004429,0.005103,0.006435,0.009069,0.014306,0.024756,0.045624", \ - "0.006928,0.007456,0.008455,0.010275,0.014532,0.024757,0.045622", \ - "0.011609,0.012309,0.013606,0.015958,0.020062,0.027346,0.045652", \ - "0.017894,0.018774,0.020399,0.023312,0.028378,0.036858,0.051316", \ - "0.025831,0.026916,0.028894,0.032443,0.038465,0.048540,0.065015", \ - "0.035400,0.036712,0.039124,0.043351,0.050466,0.062122,0.081134"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.011948,0.013650,0.017039,0.023792,0.037271,0.064187,0.117914", \ - "0.011946,0.013644,0.017037,0.023797,0.037277,0.064198,0.117917", \ - "0.012683,0.014065,0.017058,0.023783,0.037277,0.064186,0.117913", \ - "0.017834,0.019294,0.022050,0.026784,0.037893,0.064181,0.117911", \ - "0.023501,0.025229,0.028537,0.034612,0.045062,0.066187,0.117917", \ - "0.030437,0.032339,0.036025,0.043024,0.055493,0.076276,0.119947", \ - "0.039001,0.041008,0.044978,0.052606,0.066609,0.090699,0.130979"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.006073,0.006677,0.007873,0.010240,0.014931,0.024267,0.042885", \ - "0.007533,0.008143,0.009352,0.011738,0.016454,0.025813,0.044446", \ - "0.010630,0.011627,0.013457,0.016635,0.021864,0.031162,0.049767", \ - "0.011615,0.013098,0.015825,0.020586,0.028471,0.040896,0.060196", \ - "0.009971,0.011969,0.015627,0.022007,0.032574,0.049312,0.074838", \ - "0.005370,0.007879,0.012473,0.020497,0.033817,0.054911,0.087193", \ - "-0.002480,0.000524,0.006030,0.015704,0.031831,0.057381,0.096468"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.016823,0.018776,0.022618,0.030160,0.045020,0.074478,0.133119", \ - "0.017396,0.019348,0.023215,0.030848,0.045873,0.075506,0.134302", \ - "0.023042,0.024835,0.028462,0.035794,0.050551,0.080091,0.138951", \ - "0.032032,0.034538,0.039190,0.047491,0.061909,0.090752,0.149046", \ - "0.042411,0.045463,0.051174,0.061546,0.079474,0.109168,0.166362", \ - "0.054848,0.058411,0.065006,0.077105,0.098379,0.133993,0.192269", \ - "0.069600,0.073649,0.081104,0.094771,0.118950,0.160074,0.226836"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.003390,0.003898,0.004915,0.006946,0.011005,0.019110,0.035301", \ - "0.003385,0.003891,0.004913,0.006946,0.011004,0.019110,0.035299", \ - "0.006202,0.006643,0.007458,0.008931,0.011768,0.019108,0.035299", \ - "0.010696,0.011297,0.012404,0.014393,0.017822,0.023487,0.035968", \ - "0.016788,0.017543,0.018951,0.021465,0.025765,0.032915,0.044393", \ - "0.024481,0.025435,0.027189,0.030288,0.035488,0.044055,0.057881", \ - "0.033876,0.035011,0.037149,0.040879,0.047091,0.057116,0.073216"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.011949,0.013652,0.017036,0.023793,0.037273,0.064190,0.117932", \ - "0.011945,0.013650,0.017038,0.023796,0.037280,0.064175,0.117920", \ - "0.012701,0.014086,0.017070,0.023788,0.037277,0.064192,0.117918", \ - "0.017776,0.019244,0.022007,0.026762,0.037901,0.064206,0.117914", \ - "0.023263,0.025012,0.028341,0.034451,0.044934,0.066159,0.117918", \ - "0.029939,0.031851,0.035584,0.042636,0.055182,0.076053,0.119882", \ - "0.038206,0.040229,0.044200,0.051888,0.066006,0.090233,0.130680"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.012161,0.013031,0.014729,0.018026,0.024417,0.036858,0.061321", \ - "0.013380,0.014250,0.015955,0.019259,0.025653,0.038102,0.062568", \ - "0.018468,0.019373,0.021078,0.024277,0.030620,0.043029,0.067467", \ - "0.023869,0.025167,0.027632,0.032132,0.039980,0.053027,0.077231", \ - "0.027011,0.028694,0.031931,0.037842,0.048173,0.065447,0.093036", \ - "0.027852,0.029920,0.033870,0.041137,0.053901,0.075347,0.109807", \ - "0.026271,0.028713,0.033373,0.041930,0.057061,0.082568,0.123792"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.021845,0.023761,0.027550,0.035040,0.049877,0.079362,0.138132", \ - "0.023222,0.025170,0.029020,0.036619,0.051599,0.081228,0.140114", \ - "0.028335,0.030239,0.034030,0.041581,0.056595,0.086367,0.145443", \ - "0.035827,0.038114,0.042477,0.050629,0.065575,0.095216,0.154287", \ - "0.044488,0.047216,0.052377,0.061947,0.079187,0.109749,0.168536", \ - "0.055381,0.058561,0.064529,0.075472,0.095010,0.129309,0.189485", \ - "0.068519,0.072142,0.078986,0.091402,0.113294,0.151268,0.216920"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.007973,0.008639,0.009968,0.012619,0.017887,0.028395,0.049376", \ - "0.007967,0.008641,0.009971,0.012616,0.017886,0.028395,0.049377", \ - "0.008875,0.009383,0.010463,0.012777,0.017855,0.028399,0.049378", \ - "0.013639,0.014307,0.015555,0.017817,0.021825,0.029774,0.049382", \ - "0.019722,0.020605,0.022222,0.025137,0.030197,0.038607,0.053603", \ - "0.027113,0.028228,0.030235,0.033847,0.040054,0.050277,0.066767", \ - "0.035864,0.037223,0.039688,0.044061,0.051448,0.063543,0.082879"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.016784,0.018750,0.022606,0.030062,0.044317,0.071769,0.125638", \ - "0.016785,0.018750,0.022606,0.030061,0.044320,0.071767,0.125647", \ - "0.016899,0.018806,0.022620,0.030061,0.044321,0.071761,0.125651", \ - "0.021317,0.022756,0.025490,0.031622,0.044614,0.071764,0.125646", \ - "0.027898,0.029295,0.032159,0.037957,0.049159,0.073073,0.125639", \ - "0.035872,0.037179,0.039892,0.045610,0.057213,0.079554,0.127184", \ - "0.045175,0.046400,0.048978,0.054584,0.066280,0.089526,0.134250"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.009479,0.010325,0.011980,0.015198,0.021460,0.033741,0.058027", \ - "0.010688,0.011537,0.013199,0.016425,0.022697,0.034984,0.059274", \ - "0.015232,0.016241,0.018125,0.021484,0.027673,0.039918,0.064174", \ - "0.018833,0.020287,0.023026,0.027938,0.036310,0.049934,0.073957", \ - "0.020271,0.022157,0.025734,0.032156,0.043183,0.061242,0.089622", \ - "0.019443,0.021740,0.026125,0.034016,0.047621,0.070022,0.105479", \ - "0.016212,0.018933,0.024103,0.033418,0.049537,0.076183,0.118541"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.021128,0.023045,0.026826,0.034298,0.049095,0.078496,0.137117", \ - "0.022502,0.024444,0.028288,0.035868,0.050816,0.080367,0.139087", \ - "0.027622,0.029520,0.033303,0.040834,0.055802,0.085494,0.144416", \ - "0.034924,0.037238,0.041635,0.049833,0.064791,0.094344,0.153257", \ - "0.043348,0.046124,0.051355,0.060992,0.078291,0.108882,0.167501", \ - "0.053977,0.057243,0.063288,0.074335,0.093966,0.128321,0.188444", \ - "0.066761,0.070523,0.077492,0.090051,0.112076,0.150135,0.215796"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.006343,0.006993,0.008299,0.010908,0.016131,0.026588,0.047504", \ - "0.006315,0.006981,0.008296,0.010909,0.016132,0.026590,0.047505", \ - "0.008128,0.008626,0.009567,0.011557,0.016199,0.026595,0.047503", \ - "0.012870,0.013546,0.014807,0.017086,0.021103,0.028621,0.047515", \ - "0.018909,0.019802,0.021427,0.024369,0.029444,0.037882,0.052546", \ - "0.026274,0.027416,0.029443,0.033068,0.039281,0.049512,0.066016", \ - "0.035024,0.036407,0.038901,0.043308,0.050694,0.062747,0.082067"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.011952,0.013648,0.017033,0.023793,0.037269,0.064180,0.117923", \ - "0.011950,0.013649,0.017038,0.023794,0.037270,0.064201,0.117923", \ - "0.012091,0.013720,0.017052,0.023792,0.037280,0.064176,0.117913", \ - "0.015570,0.017036,0.019912,0.025419,0.037593,0.064180,0.117921", \ - "0.020273,0.021795,0.024822,0.030775,0.042193,0.065524,0.117895", \ - "0.026188,0.027778,0.030905,0.037102,0.049166,0.072073,0.119453", \ - "0.033263,0.034910,0.038160,0.044627,0.057182,0.081260,0.126569"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.007465,0.008131,0.009431,0.011946,0.016820,0.026344,0.045142", \ - "0.008840,0.009501,0.010797,0.013312,0.018189,0.027716,0.046515", \ - "0.013078,0.013970,0.015629,0.018568,0.023548,0.033012,0.051770", \ - "0.015758,0.017062,0.019504,0.023862,0.031244,0.043127,0.062202", \ - "0.016091,0.017800,0.021030,0.026798,0.036622,0.052569,0.077349", \ - "0.013878,0.015985,0.019991,0.027152,0.039400,0.059363,0.090595", \ - "0.008977,0.011486,0.016223,0.024740,0.039376,0.063323,0.100940"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.021026,0.022942,0.026722,0.034193,0.048989,0.078396,0.137016", \ - "0.022331,0.024263,0.028093,0.035660,0.050595,0.080152,0.138877", \ - "0.027549,0.029438,0.033197,0.040689,0.055606,0.085254,0.144161", \ - "0.034892,0.037200,0.041587,0.049768,0.064688,0.094177,0.153028", \ - "0.043487,0.046249,0.051451,0.061050,0.078307,0.108836,0.167373", \ - "0.054603,0.057823,0.063794,0.074749,0.094272,0.128504,0.188512", \ - "0.068104,0.071811,0.078650,0.091034,0.112867,0.150722,0.216173"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.004855,0.005365,0.006380,0.008401,0.012445,0.020548,0.036770", \ - "0.004785,0.005314,0.006353,0.008393,0.012444,0.020548,0.036770", \ - "0.007199,0.007602,0.008365,0.009755,0.012887,0.020556,0.036771", \ - "0.011758,0.012339,0.013413,0.015342,0.018672,0.024264,0.037266", \ - "0.017607,0.018381,0.019788,0.022312,0.026633,0.033745,0.045142", \ - "0.024828,0.025828,0.027600,0.030746,0.036080,0.044794,0.058685", \ - "0.033460,0.034692,0.036888,0.040754,0.047167,0.057514,0.073926"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.011952,0.013649,0.017033,0.023792,0.037273,0.064182,0.117932", \ - "0.011954,0.013654,0.017037,0.023797,0.037276,0.064197,0.117926", \ - "0.012097,0.013722,0.017051,0.023794,0.037283,0.064173,0.117916", \ - "0.015580,0.017046,0.019923,0.025438,0.037604,0.064179,0.117926", \ - "0.020221,0.021749,0.024788,0.030757,0.042190,0.065530,0.117896", \ - "0.025948,0.027520,0.030686,0.036925,0.049062,0.072021,0.119448", \ - "0.032801,0.034450,0.037721,0.044215,0.056866,0.081070,0.126489"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.012443,0.013296,0.014962,0.018196,0.024477,0.036776,0.061076", \ - "0.014019,0.014882,0.016565,0.019826,0.026141,0.038469,0.062794", \ - "0.018010,0.018935,0.020717,0.024029,0.030371,0.042761,0.067142", \ - "0.022102,0.023309,0.025588,0.029741,0.037134,0.050320,0.074829", \ - "0.024310,0.025929,0.028973,0.034445,0.043862,0.059576,0.086400", \ - "0.023816,0.025894,0.029816,0.036821,0.048752,0.068205,0.099340", \ - "0.020290,0.022854,0.027708,0.036335,0.050993,0.074706,0.111738"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.026709,0.028644,0.032478,0.040053,0.055029,0.084700,0.143615", \ - "0.027386,0.029345,0.033225,0.040865,0.055921,0.085666,0.144649", \ - "0.032489,0.034399,0.038196,0.045739,0.060719,0.090438,0.149450", \ - "0.044016,0.046079,0.050023,0.057288,0.071810,0.101035,0.159644", \ - "0.057551,0.060131,0.065067,0.074207,0.090466,0.119182,0.176848", \ - "0.072752,0.075805,0.081604,0.092418,0.111862,0.145230,0.202509", \ - "0.090116,0.093544,0.100187,0.112493,0.134772,0.173477,0.237546"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.006279,0.006944,0.008261,0.010889,0.016124,0.026589,0.047507", \ - "0.006273,0.006936,0.008259,0.010888,0.016126,0.026589,0.047509", \ - "0.006847,0.007424,0.008592,0.011019,0.016102,0.026587,0.047506", \ - "0.009575,0.010161,0.011315,0.013590,0.018130,0.027391,0.047501", \ - "0.014120,0.014803,0.016092,0.018493,0.022967,0.031711,0.049706", \ - "0.020063,0.020876,0.022389,0.025170,0.030092,0.038913,0.056123", \ - "0.027289,0.028245,0.030007,0.033259,0.038920,0.048515,0.065700"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.022613,0.024523,0.028286,0.035629,0.049824,0.077323,0.131369", \ - "0.022612,0.024522,0.028287,0.035628,0.049823,0.077319,0.131374", \ - "0.022598,0.024515,0.028283,0.035627,0.049821,0.077317,0.131363", \ - "0.025991,0.027437,0.030428,0.036639,0.049814,0.077312,0.131359", \ - "0.034313,0.035879,0.038859,0.044409,0.054765,0.078209,0.131356", \ - "0.043133,0.044925,0.048349,0.054780,0.066283,0.086518,0.132512", \ - "0.052699,0.054682,0.058493,0.065755,0.078940,0.101587,0.142041"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.010197,0.010976,0.012518,0.015575,0.021640,0.033717,0.057810", \ - "0.011692,0.012497,0.014077,0.017179,0.023293,0.035408,0.059524", \ - "0.015080,0.016040,0.017859,0.021255,0.027489,0.039688,0.063870", \ - "0.017598,0.018971,0.021512,0.026013,0.033729,0.047111,0.071557", \ - "0.017746,0.019643,0.023144,0.029264,0.039424,0.055781,0.082963", \ - "0.015014,0.017494,0.022051,0.029959,0.042990,0.063499,0.095439", \ - "0.009212,0.012262,0.017921,0.027723,0.043778,0.068936,0.107146"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.025932,0.027867,0.031698,0.039258,0.054191,0.083787,0.142607", \ - "0.026603,0.028565,0.032439,0.040066,0.055082,0.084756,0.143631", \ - "0.031723,0.033631,0.037419,0.044945,0.059879,0.089529,0.148433", \ - "0.043132,0.045224,0.049212,0.056521,0.070994,0.100121,0.158618", \ - "0.056382,0.059002,0.063983,0.073205,0.089570,0.118294,0.175813", \ - "0.071275,0.074378,0.080233,0.091127,0.110690,0.144219,0.201465", \ - "0.088323,0.091805,0.098515,0.110934,0.133322,0.172160,0.236445"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.004471,0.005130,0.006445,0.009068,0.014305,0.024754,0.045620", \ - "0.004474,0.005132,0.006445,0.009068,0.014307,0.024757,0.045623", \ - "0.005504,0.006083,0.007234,0.009499,0.014379,0.024757,0.045622", \ - "0.008626,0.009214,0.010338,0.012512,0.016872,0.025882,0.045666", \ - "0.013423,0.014099,0.015383,0.017748,0.022097,0.030567,0.048223", \ - "0.019666,0.020442,0.021912,0.024636,0.029460,0.038088,0.054925", \ - "0.027214,0.028111,0.029767,0.032896,0.038447,0.047880,0.064766"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.016865,0.018594,0.022029,0.028871,0.042494,0.069647,0.123666", \ - "0.016864,0.018592,0.022029,0.028870,0.042492,0.069642,0.123656", \ - "0.016848,0.018584,0.022023,0.028870,0.042491,0.069645,0.123662", \ - "0.020376,0.021647,0.024289,0.029957,0.042499,0.069613,0.123643", \ - "0.026281,0.028013,0.031261,0.037178,0.047572,0.070532,0.123617", \ - "0.032649,0.034731,0.038615,0.045729,0.058103,0.078956,0.124763", \ - "0.039661,0.042044,0.046530,0.054755,0.069197,0.093276,0.134391"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.008712,0.009311,0.010496,0.012841,0.017493,0.026749,0.045210", \ - "0.010292,0.010911,0.012125,0.014505,0.019192,0.028478,0.046958", \ - "0.014281,0.015132,0.016713,0.019546,0.024490,0.033856,0.052398", \ - "0.016881,0.018186,0.020596,0.024846,0.031954,0.043430,0.062585", \ - "0.016921,0.018750,0.022112,0.028002,0.037761,0.053186,0.077004", \ - "0.013968,0.016360,0.020760,0.028436,0.041068,0.060870,0.090948", \ - "0.007840,0.010804,0.016273,0.025803,0.041438,0.065896,0.102763"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.028262,0.030181,0.033981,0.041505,0.056398,0.085961,0.144766", \ - "0.028934,0.030875,0.034723,0.042315,0.057300,0.086953,0.145853", \ - "0.034037,0.035925,0.039693,0.047178,0.062067,0.091681,0.150616", \ - "0.045732,0.047742,0.051581,0.058765,0.073221,0.102312,0.160792", \ - "0.059648,0.062173,0.067003,0.075978,0.092014,0.120572,0.178073", \ - "0.075189,0.078165,0.083863,0.094495,0.113707,0.146819,0.203870", \ - "0.092831,0.096191,0.102703,0.114853,0.136884,0.175317,0.239118"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.003715,0.004216,0.005218,0.007214,0.011186,0.019102,0.034887", \ - "0.003718,0.004218,0.005220,0.007216,0.011186,0.019101,0.034887", \ - "0.005299,0.005712,0.006516,0.008057,0.011432,0.019102,0.034889", \ - "0.008996,0.009490,0.010438,0.012200,0.015421,0.021455,0.035157", \ - "0.014152,0.014727,0.015844,0.017925,0.021681,0.028267,0.040288", \ - "0.020772,0.021423,0.022688,0.025088,0.029456,0.037004,0.049968", \ - "0.028715,0.029463,0.030883,0.033629,0.038671,0.047294,0.061805"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.017979,0.019722,0.023184,0.030059,0.043727,0.070910,0.125014", \ - "0.017981,0.019722,0.023181,0.030061,0.043726,0.070905,0.125026", \ - "0.017966,0.019713,0.023178,0.030060,0.043724,0.070886,0.125014", \ - "0.020924,0.022266,0.025017,0.030876,0.043706,0.070885,0.124974", \ - "0.027131,0.028826,0.032018,0.037860,0.048299,0.071616,0.124930", \ - "0.033657,0.035690,0.039513,0.046524,0.058769,0.079643,0.125886", \ - "0.040756,0.043108,0.047520,0.055641,0.069925,0.093830,0.135112"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.014892,0.015761,0.017460,0.020758,0.027145,0.039589,0.064054", \ - "0.016333,0.017205,0.018907,0.022211,0.028606,0.041055,0.065524", \ - "0.020452,0.021353,0.023086,0.026399,0.032815,0.045294,0.069787", \ - "0.025540,0.026654,0.028772,0.032711,0.039894,0.052910,0.077502", \ - "0.029221,0.030682,0.033459,0.038529,0.047432,0.062681,0.089195", \ - "0.030525,0.032379,0.035917,0.042341,0.053493,0.072112,0.102588", \ - "0.029233,0.031495,0.035823,0.043660,0.057227,0.079741,0.115708"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.030652,0.032579,0.036399,0.043959,0.058923,0.088577,0.147488", \ - "0.032161,0.034103,0.037949,0.045546,0.060547,0.090247,0.149180", \ - "0.037441,0.039383,0.043229,0.050839,0.065879,0.095643,0.154661", \ - "0.046402,0.048480,0.052479,0.060048,0.075020,0.104739,0.163767", \ - "0.057240,0.059682,0.064351,0.073165,0.089476,0.119333,0.178178", \ - "0.070513,0.073276,0.078632,0.088603,0.106910,0.139877,0.199218", \ - "0.086371,0.089477,0.095533,0.106759,0.127065,0.163321,0.227346"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.007953,0.008627,0.009962,0.012614,0.017885,0.028395,0.049379", \ - "0.007947,0.008625,0.009958,0.012613,0.017886,0.028396,0.049379", \ - "0.008270,0.008896,0.010150,0.012690,0.017883,0.028397,0.049374", \ - "0.010739,0.011345,0.012540,0.014895,0.019556,0.029049,0.049387", \ - "0.015143,0.015827,0.017126,0.019556,0.024139,0.033070,0.051346", \ - "0.020868,0.021686,0.023211,0.026016,0.031031,0.040039,0.057511", \ - "0.027740,0.028712,0.030510,0.033790,0.039549,0.049333,0.066887"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.022612,0.024523,0.028286,0.035627,0.049825,0.077325,0.131372", \ - "0.022611,0.024522,0.028285,0.035630,0.049824,0.077320,0.131373", \ - "0.022611,0.024520,0.028284,0.035626,0.049821,0.077322,0.131372", \ - "0.024521,0.026150,0.029469,0.036178,0.049826,0.077311,0.131362", \ - "0.030540,0.032120,0.035234,0.041277,0.052997,0.077990,0.131355", \ - "0.037294,0.038939,0.042180,0.048504,0.060577,0.083393,0.132353", \ - "0.044826,0.046529,0.049876,0.056508,0.069190,0.093061,0.138597"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.012223,0.013066,0.014715,0.017929,0.024190,0.036473,0.060764", \ - "0.013657,0.014503,0.016158,0.019379,0.025649,0.037939,0.062234", \ - "0.017506,0.018427,0.020197,0.023529,0.029845,0.042173,0.066493", \ - "0.021436,0.022664,0.024965,0.029145,0.036546,0.049713,0.074206", \ - "0.023393,0.025052,0.028153,0.033707,0.043198,0.058951,0.085768", \ - "0.022856,0.024976,0.028968,0.036057,0.048066,0.067581,0.098731", \ - "0.019737,0.022321,0.027208,0.035875,0.050534,0.074228,0.111210"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.029878,0.031804,0.035622,0.043165,0.058081,0.087664,0.146479", \ - "0.031384,0.033325,0.037168,0.044748,0.059709,0.089325,0.148169", \ - "0.036664,0.038603,0.042446,0.050041,0.065040,0.094725,0.153652", \ - "0.045549,0.047643,0.051676,0.059259,0.074189,0.103818,0.162744", \ - "0.056206,0.058669,0.063379,0.072225,0.088585,0.118430,0.177137", \ - "0.069284,0.072079,0.077464,0.087511,0.105874,0.138893,0.198158", \ - "0.084929,0.088083,0.094159,0.105456,0.125865,0.162198,0.226220"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.006285,0.006946,0.008267,0.010892,0.016127,0.026593,0.047511", \ - "0.006274,0.006941,0.008261,0.010892,0.016126,0.026592,0.047510", \ - "0.006964,0.007561,0.008737,0.011152,0.016169,0.026593,0.047508", \ - "0.009814,0.010400,0.011537,0.013777,0.018270,0.027503,0.047534", \ - "0.014400,0.015081,0.016365,0.018766,0.023215,0.031880,0.049825", \ - "0.020264,0.021064,0.022572,0.025363,0.030314,0.039143,0.056280", \ - "0.027246,0.028203,0.029963,0.033222,0.038916,0.048605,0.065871"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.016861,0.018594,0.022027,0.028872,0.042501,0.069634,0.123679", \ - "0.016864,0.018594,0.022028,0.028875,0.042500,0.069636,0.123652", \ - "0.016865,0.018588,0.022025,0.028875,0.042493,0.069620,0.123686", \ - "0.018842,0.020303,0.023274,0.029463,0.042504,0.069619,0.123637", \ - "0.023229,0.024868,0.028057,0.034174,0.045745,0.070316,0.123615", \ - "0.028327,0.030114,0.033578,0.040194,0.052639,0.075779,0.124596", \ - "0.034089,0.036055,0.039801,0.046956,0.060271,0.084884,0.130870"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.010088,0.010742,0.012020,0.014505,0.019333,0.028774,0.047409", \ - "0.011621,0.012275,0.013557,0.016047,0.020881,0.030328,0.048966", \ - "0.016326,0.017107,0.018581,0.021282,0.026186,0.035671,0.054340", \ - "0.020491,0.021653,0.023825,0.027722,0.034400,0.045488,0.064548", \ - "0.022326,0.023925,0.026917,0.032259,0.041340,0.056056,0.079284", \ - "0.021534,0.023588,0.027455,0.034332,0.045972,0.064760,0.093931", \ - "0.018062,0.020562,0.025310,0.033744,0.048001,0.071019,0.106620"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.032224,0.034132,0.037926,0.045428,0.060297,0.089841,0.148648", \ - "0.033734,0.035658,0.039477,0.047027,0.061956,0.091557,0.150419", \ - "0.038977,0.040899,0.044711,0.052266,0.067223,0.096883,0.155852", \ - "0.048061,0.050095,0.053989,0.061482,0.076359,0.105941,0.164870", \ - "0.059233,0.061624,0.066173,0.074824,0.090932,0.120587,0.179256", \ - "0.072830,0.075543,0.080758,0.090550,0.108634,0.141323,0.200363", \ - "0.089034,0.092090,0.097993,0.109013,0.129075,0.165059,0.228697"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.005116,0.005622,0.006624,0.008620,0.012585,0.020495,0.036308", \ - "0.005103,0.005611,0.006620,0.008615,0.012583,0.020495,0.036306", \ - "0.006325,0.006722,0.007521,0.009138,0.012708,0.020498,0.036304", \ - "0.010010,0.010487,0.011399,0.013125,0.016325,0.022447,0.036500", \ - "0.014976,0.015564,0.016685,0.018778,0.022540,0.029142,0.041219", \ - "0.021180,0.021878,0.023202,0.025687,0.030146,0.037798,0.050844", \ - "0.028525,0.029356,0.030904,0.033821,0.039037,0.047874,0.062597"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.017978,0.019720,0.023182,0.030063,0.043721,0.070899,0.125059", \ - "0.017978,0.019721,0.023183,0.030065,0.043732,0.070909,0.125055", \ - "0.017973,0.019718,0.023184,0.030061,0.043722,0.070893,0.125043", \ - "0.019639,0.021142,0.024205,0.030511,0.043727,0.070866,0.124975", \ - "0.024115,0.025753,0.028941,0.035060,0.046693,0.071463,0.124943", \ - "0.029208,0.031015,0.034468,0.041078,0.053526,0.076706,0.125791", \ - "0.035001,0.036936,0.040682,0.047816,0.061155,0.085773,0.131875"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.739600,0.740589,0.767058,0.788391,0.812736,0.844071,0.864382", \ - "0.735023,0.742758,0.760388,0.797630,0.830752,0.868220,0.900674", \ - "0.739162,0.744520,0.759779,0.787857,0.824738,0.864101,0.904257", \ - "0.961406,0.954385,0.934942,0.902034,0.885254,0.901543,0.925676", \ - "1.404449,1.388377,1.344325,1.278846,1.184253,1.068551,1.034622", \ - "2.056209,2.041150,2.001268,1.904781,1.742264,1.520386,1.303526", \ - "2.906158,2.903439,2.867464,2.783021,2.584690,2.255823,1.852971"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("3.166100,3.171766,3.199391,3.205066,3.219484,3.169209,3.269405", \ - "3.075659,3.105281,3.116202,3.165128,3.184097,3.130926,3.245066", \ - "3.101013,3.094376,3.110794,3.121895,3.120028,3.067754,3.194230", \ - "3.373080,3.356699,3.350366,3.289903,3.292535,3.129151,3.263761", \ - "3.865960,3.875367,3.836432,3.743927,3.683921,3.445103,3.310985", \ - "4.548688,4.520535,4.490714,4.423050,4.312206,4.116389,3.892148", \ - "5.625631,5.591111,5.493778,5.355383,5.216460,4.990973,4.627408"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.268302,0.272391,0.284759,0.293574,0.301475,0.307815,0.311869", \ - "0.245286,0.257955,0.272145,0.295928,0.318198,0.334636,0.344521", \ - "0.258652,0.252399,0.260200,0.277430,0.301672,0.329642,0.347321", \ - "0.541380,0.520338,0.477371,0.425637,0.365924,0.362505,0.370626", \ - "1.024499,0.994306,0.938893,0.839452,0.700238,0.548478,0.483315", \ - "1.693960,1.678249,1.623916,1.508445,1.305121,1.027846,0.764421", \ - "2.566785,2.552645,2.501880,2.397040,2.188940,1.794459,1.335506"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("2.522978,2.526276,2.551702,2.578580,2.539588,2.567803,2.486916", \ - "2.431687,2.436415,2.469618,2.512116,2.528410,2.515337,2.627288", \ - "2.456582,2.450502,2.464483,2.475301,2.486279,2.455158,2.575927", \ - "2.736072,2.723528,2.705284,2.661994,2.586675,2.544042,2.605987", \ - "3.096605,3.090767,3.121902,3.121249,2.991024,2.830626,2.690975", \ - "3.839106,3.796739,3.773318,3.693073,3.695788,3.446890,3.150630", \ - "4.912350,4.891002,4.817526,4.665757,4.506896,4.360914,3.981835"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.267920,0.280130,0.286845,0.291823,0.302942,0.308789,0.312338", \ - "0.252622,0.258895,0.277582,0.295820,0.318125,0.333070,0.344285", \ - "0.305106,0.295908,0.278478,0.287150,0.309969,0.333508,0.349423", \ - "0.579440,0.565746,0.522859,0.478001,0.407943,0.380283,0.379221", \ - "1.063992,1.043362,0.997784,0.908720,0.774560,0.618240,0.509996", \ - "1.743188,1.729511,1.680867,1.584346,1.403115,1.130665,0.845952", \ - "2.590993,2.584687,2.568002,2.478240,2.300481,1.942357,1.472489"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("2.511042,2.514413,2.539916,2.565806,2.565218,2.567478,2.530928", \ - "2.409126,2.413113,2.443259,2.482699,2.508144,2.492656,2.522457", \ - "2.449066,2.441610,2.452887,2.451154,2.461341,2.426168,2.548807", \ - "2.746266,2.734290,2.713474,2.682771,2.568047,2.598179,2.483455", \ - "3.128181,3.144798,3.149029,3.144509,3.051083,2.847890,2.696384", \ - "3.889312,3.869222,3.821802,3.761114,3.768522,3.456693,3.221080", \ - "5.034554,4.992113,4.917519,4.775603,4.596336,4.439494,4.057080"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("1.156988,1.156211,1.187246,1.206776,1.247810,1.282720,1.318101", \ - "1.088940,1.111359,1.125957,1.161692,1.199985,1.235063,1.274409", \ - "1.072583,1.090712,1.100777,1.133344,1.174394,1.215192,1.258921", \ - "1.266954,1.268345,1.255857,1.222839,1.240596,1.254639,1.281255", \ - "1.708386,1.700158,1.670865,1.619948,1.536745,1.440019,1.411150", \ - "2.396034,2.372504,2.330925,2.251508,2.116193,1.912766,1.702041", \ - "3.300898,3.299362,3.259420,3.164035,2.981909,2.677445,2.284491"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("3.979481,4.004515,4.003201,4.016574,3.998296,3.989517,3.927952", \ - "3.908888,3.914263,3.943666,3.978811,3.981991,3.939534,4.048702", \ - "3.836330,3.834181,3.858464,3.885051,3.926467,3.842355,3.971150", \ - "3.864876,3.867460,3.872681,3.864018,3.881969,3.834413,3.960985", \ - "4.086269,4.090241,4.109950,4.039140,4.011481,3.893448,4.003670", \ - "4.507935,4.498047,4.465652,4.469836,4.395617,4.316645,4.156787", \ - "5.353749,5.315810,5.230714,5.151413,5.025312,4.918801,4.664203"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.671025,0.668067,0.681014,0.703632,0.728436,0.747733,0.768067", \ - "0.602017,0.619210,0.627140,0.653130,0.680600,0.701895,0.724285", \ - "0.586849,0.593013,0.606227,0.623009,0.652023,0.676695,0.702852", \ - "0.828101,0.823211,0.795981,0.753168,0.725485,0.724860,0.731046", \ - "1.309532,1.285349,1.235550,1.173094,1.053842,0.919931,0.862584", \ - "2.028534,1.995060,1.950663,1.843094,1.666166,1.419873,1.164846", \ - "2.948570,2.927432,2.892800,2.792287,2.574917,2.216909,1.769508"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("3.336680,3.335765,3.355683,3.348836,3.344456,3.402473,3.351585", \ - "3.265763,3.268582,3.295569,3.309247,3.323172,3.377001,3.411548", \ - "3.166400,3.190250,3.185078,3.207898,3.270411,3.237526,3.352329", \ - "3.216582,3.211983,3.224945,3.216433,3.209054,3.265868,3.296927", \ - "3.305711,3.328170,3.355928,3.402036,3.360757,3.278576,3.192473", \ - "3.790403,3.774747,3.759762,3.732766,3.745539,3.566796,3.537852", \ - "4.635639,4.595852,4.547352,4.439150,4.274083,4.210125,3.854141"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.642022,0.644429,0.664048,0.690577,0.714462,0.738409,0.758770", \ - "0.580630,0.591384,0.614355,0.633416,0.665576,0.688851,0.714622", \ - "0.581683,0.578792,0.592704,0.614275,0.636388,0.664805,0.693807", \ - "0.865271,0.857247,0.821671,0.788588,0.729822,0.722415,0.726201", \ - "1.361663,1.339837,1.294671,1.221729,1.104323,0.966657,0.874608", \ - "2.088326,2.063961,2.017336,1.920708,1.755658,1.506516,1.230331", \ - "3.021859,3.013962,2.977600,2.879670,2.693350,2.349780,1.900188"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("3.325575,3.324631,3.344535,3.349951,3.380610,3.390540,3.298280", \ - "3.222387,3.249113,3.274786,3.278041,3.322394,3.350163,3.275642", \ - "3.167485,3.183932,3.173979,3.194004,3.194548,3.202893,3.327284", \ - "3.214084,3.208922,3.220623,3.213125,3.197727,3.203679,3.226808", \ - "3.318503,3.336292,3.362872,3.425525,3.352504,3.273898,3.179851", \ - "3.823279,3.827766,3.779690,3.768151,3.805785,3.587947,3.543266", \ - "4.717159,4.675950,4.625701,4.526817,4.396171,4.250654,4.052549"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.730493,0.745645,0.773510,0.790364,0.816351,0.843915,0.866956", \ - "0.727466,0.746506,0.764740,0.798385,0.835878,0.868723,0.899501", \ - "0.652547,0.674408,0.694814,0.743164,0.789139,0.846093,0.888838", \ - "0.751690,0.746864,0.750085,0.744739,0.764906,0.814301,0.872536", \ - "0.996001,1.003192,0.985753,0.949499,0.914882,0.872529,0.899137", \ - "1.440828,1.430616,1.418560,1.367074,1.281144,1.154096,1.036933", \ - "2.041215,2.048149,2.020408,1.978252,1.846558,1.662219,1.408845"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("4.561055,4.564103,4.581932,4.598100,4.586635,4.622821,4.580101", \ - "4.473785,4.476349,4.502710,4.532874,4.529757,4.565364,4.528089", \ - "4.473601,4.478923,4.492667,4.490251,4.513564,4.582911,4.486898", \ - "4.717835,4.708321,4.691280,4.691255,4.612223,4.596310,4.535973", \ - "5.207995,5.188969,5.176478,5.108025,5.017838,4.921177,4.799670", \ - "5.854540,5.847940,5.849460,5.838303,5.717075,5.447036,5.236037", \ - "6.845071,6.833383,6.800827,6.727531,6.651878,6.457630,5.963456"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.272196,0.282842,0.288454,0.293861,0.304720,0.311899,0.313438", \ - "0.240325,0.254523,0.268421,0.294130,0.318559,0.332948,0.342991", \ - "0.145451,0.154389,0.180362,0.219906,0.264572,0.304549,0.334902", \ - "0.265705,0.260285,0.254029,0.238928,0.230792,0.275629,0.314868", \ - "0.551898,0.545990,0.518578,0.472130,0.412254,0.342180,0.341019", \ - "1.020744,1.005390,0.978960,0.907861,0.798239,0.640948,0.488680", \ - "1.656059,1.630183,1.606508,1.543276,1.409217,1.169975,0.875464"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("3.883547,3.886953,3.882635,3.931331,3.911594,3.897547,3.962116", \ - "3.798525,3.801560,3.829861,3.863037,3.841683,3.946501,3.951618", \ - "3.774744,3.799400,3.794369,3.803319,3.807440,3.899325,3.954156", \ - "4.021699,4.011842,4.028313,4.010066,3.964119,4.004442,4.008110", \ - "4.443862,4.468670,4.503465,4.448994,4.380059,4.302460,4.184565", \ - "5.100094,5.108172,5.107032,5.085921,5.066284,4.826435,4.613559", \ - "6.096245,6.098712,6.071339,6.038525,5.907467,5.774853,5.341586"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.277991,0.279549,0.293810,0.299939,0.306362,0.309899,0.313569", \ - "0.243218,0.250488,0.272317,0.293928,0.318321,0.334120,0.345234", \ - "0.154916,0.163774,0.184847,0.221770,0.267145,0.309030,0.336147", \ - "0.280075,0.274360,0.264666,0.256606,0.243927,0.278998,0.316838", \ - "0.579632,0.562888,0.534967,0.485493,0.430433,0.369690,0.350192", \ - "1.062280,1.043689,1.009930,0.938359,0.818959,0.675763,0.523525", \ - "1.718747,1.688546,1.661908,1.575819,1.446243,1.206582,0.921277"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("4.192148,4.217748,4.212621,4.231845,4.249644,4.322302,4.360203", \ - "4.108743,4.131940,4.159709,4.182760,4.178112,4.259608,4.314021", \ - "4.109156,4.103144,4.124299,4.161834,4.174645,4.178832,4.268674", \ - "4.351971,4.352791,4.353401,4.354108,4.312863,4.225190,4.329337", \ - "4.802636,4.829204,4.834562,4.796577,4.724550,4.597832,4.589031", \ - "5.436664,5.447926,5.455933,5.449811,5.397168,5.216949,5.040989", \ - "6.442078,6.426422,6.405285,6.380520,6.283686,6.152546,5.789977"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("1.148013,1.158528,1.180482,1.210314,1.248974,1.280384,1.320503", \ - "1.095174,1.108891,1.136504,1.159359,1.201488,1.237322,1.283233", \ - "1.040637,1.061218,1.078602,1.105834,1.150783,1.206725,1.249559", \ - "1.111616,1.121172,1.113827,1.108726,1.146130,1.189141,1.242661", \ - "1.360817,1.352518,1.343196,1.327551,1.299780,1.253790,1.281902", \ - "1.782735,1.778465,1.750670,1.714104,1.655780,1.552244,1.434303", \ - "2.405145,2.411039,2.388119,2.334716,2.236121,2.058373,1.831436"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("5.350424,5.349524,5.369843,5.390218,5.367296,5.438583,5.397623", \ - "5.295711,5.296467,5.319495,5.328503,5.307768,5.370002,5.329917", \ - "5.241265,5.242328,5.265955,5.258273,5.279162,5.300119,5.266207", \ - "5.259125,5.257264,5.275304,5.278580,5.314341,5.316020,5.283788", \ - "5.461560,5.475299,5.458014,5.458637,5.407638,5.435728,5.313567", \ - "5.825343,5.835043,5.838801,5.860989,5.813778,5.644692,5.659938", \ - "6.561579,6.546712,6.528122,6.481955,6.398537,6.315461,5.989747"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.674556,0.679580,0.684610,0.707887,0.728380,0.749316,0.768262", \ - "0.611741,0.624624,0.631308,0.656677,0.676453,0.703745,0.722482", \ - "0.546623,0.553019,0.579494,0.601249,0.630090,0.665586,0.694791", \ - "0.643985,0.627028,0.631512,0.615769,0.619953,0.655425,0.687611", \ - "0.898612,0.884591,0.868764,0.839469,0.790876,0.725293,0.729673", \ - "1.356871,1.337717,1.313649,1.253633,1.165771,1.038503,0.887696", \ - "2.002486,2.000242,1.963725,1.901630,1.768208,1.562124,1.296162"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("4.650844,4.676037,4.670849,4.698709,4.724150,4.659492,4.780092", \ - "4.595910,4.623336,4.620433,4.665565,4.704675,4.639015,4.710920", \ - "4.549143,4.568645,4.566919,4.599666,4.621550,4.680135,4.647968", \ - "4.559439,4.583999,4.576886,4.610352,4.639747,4.682454,4.739997", \ - "4.706450,4.718102,4.791020,4.773719,4.772986,4.774350,4.691780", \ - "5.061915,5.066754,5.084541,5.129511,5.128390,5.023894,4.993020", \ - "5.808735,5.810826,5.784521,5.758888,5.746657,5.624285,5.365416"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("0.655420,0.664943,0.681442,0.693887,0.718470,0.740616,0.761538", \ - "0.599952,0.613998,0.620989,0.649053,0.669273,0.692058,0.716602", \ - "0.540252,0.539709,0.567085,0.592546,0.619091,0.656861,0.686789", \ - "0.646520,0.649142,0.640395,0.628833,0.618317,0.648381,0.678868", \ - "0.916225,0.905064,0.887310,0.857732,0.810273,0.744890,0.730207", \ - "1.386807,1.362170,1.334520,1.281008,1.194753,1.064504,0.917250", \ - "2.064553,2.046952,2.014129,1.939959,1.820100,1.601532,1.340310"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); - values ("4.983641,5.008328,5.012226,5.047654,5.023095,5.073945,5.014424", \ - "4.928901,4.955522,4.964569,4.976463,5.025744,5.004462,5.118997", \ - "4.871211,4.870735,4.894783,4.908840,4.928804,4.910187,5.050495", \ - "4.893120,4.911826,4.903540,4.923818,4.924900,4.895878,5.059051", \ - "5.048075,5.061693,5.105727,5.083663,5.064775,5.076693,5.086252", \ - "5.407526,5.416354,5.438866,5.461744,5.495812,5.401287,5.314159", \ - "6.138436,6.123663,6.124285,6.115962,6.084884,5.976348,5.780560"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI22_X2 - Cell Description : Combinational cell (OAI22_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI22_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 68.052131; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 13.929740; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 69.946910; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 69.946800; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 99.191510; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 22.177310; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 65.323720; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 70.024350; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 91.827472; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 22.177318; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 70.024350; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 74.724870; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 96.528366; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 16.340068; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 91.821741; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 96.521997; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 118.327570; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.132973; - fall_capacitance : 2.708368; - rise_capacitance : 3.132973; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.397133; - fall_capacitance : 3.363846; - rise_capacitance : 3.397133; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.160700; - fall_capacitance : 2.645238; - rise_capacitance : 3.160700; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.333146; - fall_capacitance : 3.204119; - rise_capacitance : 3.333146; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 46.310400; - function : "!((A1 | A2) & (B1 | B2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.009480,0.010759,0.012423,0.015655,0.021935,0.034238,0.058573", \ - "0.010878,0.012158,0.013830,0.017077,0.023382,0.035712,0.060066", \ - "0.015650,0.017128,0.018955,0.022224,0.028412,0.040707,0.065053", \ - "0.019330,0.021464,0.024127,0.028934,0.037196,0.050715,0.074797", \ - "0.020602,0.023415,0.026909,0.033232,0.044151,0.062135,0.090452", \ - "0.019164,0.022662,0.027007,0.034868,0.048449,0.070849,0.106346", \ - "0.014745,0.018919,0.024114,0.033526,0.049810,0.076665,0.119279"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.017105,0.020007,0.023826,0.031338,0.046167,0.075607,0.134297", \ - "0.017772,0.020689,0.024551,0.032165,0.047157,0.076772,0.135603", \ - "0.023278,0.025977,0.029628,0.036997,0.051799,0.081380,0.140307", \ - "0.032216,0.035873,0.040399,0.048554,0.062920,0.091850,0.150310", \ - "0.042424,0.046890,0.052469,0.062669,0.080408,0.109989,0.167375", \ - "0.054557,0.059731,0.066213,0.078152,0.099236,0.134668,0.192958", \ - "0.068758,0.074658,0.082012,0.095560,0.119584,0.160545,0.227219"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.006126,0.007108,0.008423,0.011042,0.016273,0.026740,0.047691", \ - "0.006072,0.007080,0.008406,0.011036,0.016272,0.026741,0.047690", \ - "0.007688,0.008457,0.009390,0.011445,0.016227,0.026737,0.047693", \ - "0.012246,0.013266,0.014537,0.016845,0.020906,0.028522,0.047673", \ - "0.018305,0.019611,0.021227,0.024149,0.029216,0.037690,0.052446", \ - "0.025951,0.027573,0.029568,0.033132,0.039235,0.049380,0.065884", \ - "0.035194,0.037206,0.039618,0.043917,0.051130,0.062913,0.082033"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.016167,0.019088,0.022918,0.030331,0.044531,0.071895,0.125691", \ - "0.016159,0.019086,0.022918,0.030329,0.044531,0.071896,0.125693", \ - "0.016891,0.019377,0.022875,0.030325,0.044530,0.071899,0.125692", \ - "0.023774,0.025760,0.027855,0.033115,0.045076,0.071900,0.125689", \ - "0.031998,0.034114,0.036955,0.042406,0.052106,0.073812,0.125687", \ - "0.041819,0.043923,0.046893,0.052880,0.064153,0.083796,0.127675", \ - "0.053492,0.055463,0.058413,0.064616,0.076967,0.099334,0.138631"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.007272,0.008440,0.009987,0.013050,0.019129,0.031239,0.055418", \ - "0.008600,0.009785,0.011352,0.014446,0.020564,0.032707,0.056908", \ - "0.012079,0.013773,0.015825,0.019430,0.025593,0.037703,0.061896", \ - "0.013686,0.016153,0.019165,0.024478,0.033392,0.047604,0.071664", \ - "0.012896,0.016164,0.020140,0.027150,0.038935,0.057866,0.087107", \ - "0.009359,0.013464,0.018409,0.027150,0.041833,0.065428,0.102086", \ - "0.002908,0.007783,0.013695,0.024163,0.041794,0.070096,0.114094"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.016384,0.019287,0.023100,0.030595,0.045389,0.074744,0.133269", \ - "0.017050,0.019963,0.023818,0.031416,0.046375,0.075908,0.134576", \ - "0.022603,0.025279,0.028917,0.036259,0.051016,0.080517,0.139278", \ - "0.031171,0.034907,0.039505,0.047756,0.062152,0.090989,0.149279", \ - "0.041067,0.045630,0.051290,0.061601,0.079477,0.109139,0.166356", \ - "0.052827,0.058157,0.064741,0.076808,0.098038,0.133631,0.191939", \ - "0.066669,0.072696,0.080202,0.093916,0.118111,0.159242,0.226082"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.004298,0.005283,0.006599,0.009227,0.014474,0.024954,0.045908", \ - "0.004264,0.005264,0.006593,0.009225,0.014472,0.024952,0.045906", \ - "0.006788,0.007572,0.008566,0.010384,0.014679,0.024955,0.045905", \ - "0.011427,0.012461,0.013743,0.016078,0.020172,0.027488,0.045930", \ - "0.017640,0.018955,0.020567,0.023466,0.028503,0.036996,0.051522", \ - "0.025512,0.027102,0.029081,0.032598,0.038623,0.048689,0.065203", \ - "0.034979,0.036934,0.039311,0.043529,0.050628,0.062286,0.081341"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.011448,0.013962,0.017325,0.024049,0.037475,0.064295,0.117941", \ - "0.011449,0.013964,0.017328,0.024053,0.037471,0.064302,0.117933", \ - "0.012293,0.014338,0.017319,0.024040,0.037465,0.064313,0.117925", \ - "0.017386,0.019553,0.022270,0.026969,0.038073,0.064292,0.117925", \ - "0.022958,0.025518,0.028796,0.034820,0.045202,0.066283,0.117930", \ - "0.029833,0.032635,0.036319,0.043264,0.055653,0.076353,0.119973", \ - "0.038348,0.041326,0.045286,0.052870,0.066786,0.090782,0.131001"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.005969,0.006872,0.008068,0.010437,0.015138,0.024504,0.043203", \ - "0.007425,0.008338,0.009546,0.011934,0.016660,0.026048,0.044765", \ - "0.010419,0.011913,0.013715,0.016866,0.022066,0.031396,0.050084", \ - "0.011289,0.013507,0.016195,0.020910,0.028758,0.041164,0.060510", \ - "0.009548,0.012517,0.016116,0.022428,0.032948,0.049659,0.075207", \ - "0.004795,0.008557,0.013074,0.021023,0.034282,0.055342,0.087649", \ - "-0.003134,0.001339,0.006760,0.016348,0.032388,0.057902,0.097020"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.016281,0.019180,0.022992,0.030488,0.045281,0.074641,0.133170", \ - "0.016857,0.019752,0.023593,0.031181,0.046136,0.075677,0.134357", \ - "0.022551,0.025207,0.028819,0.036117,0.050813,0.080262,0.139006", \ - "0.031304,0.035023,0.039599,0.047816,0.062158,0.090908,0.149103", \ - "0.041518,0.046040,0.051669,0.061933,0.079739,0.109307,0.166414", \ - "0.053802,0.059033,0.065562,0.077541,0.098672,0.134138,0.192303", \ - "0.068407,0.074313,0.081693,0.095246,0.119263,0.160223,0.226842"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.003268,0.004024,0.005042,0.007077,0.011143,0.019278,0.035545", \ - "0.003271,0.004020,0.005041,0.007076,0.011144,0.019277,0.035548", \ - "0.006088,0.006741,0.007552,0.009016,0.011876,0.019279,0.035547", \ - "0.010539,0.011435,0.012530,0.014506,0.017918,0.023591,0.036194", \ - "0.016554,0.017705,0.019102,0.021597,0.025893,0.033033,0.044549", \ - "0.024216,0.025615,0.027357,0.030425,0.035618,0.044189,0.058060", \ - "0.033491,0.035230,0.037324,0.041039,0.047242,0.057265,0.073413"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.011448,0.013960,0.017323,0.024046,0.037467,0.064305,0.117942", \ - "0.011442,0.013964,0.017324,0.024045,0.037469,0.064300,0.117936", \ - "0.012314,0.014350,0.017333,0.024041,0.037476,0.064302,0.117931", \ - "0.017319,0.019498,0.022229,0.026945,0.038075,0.064294,0.117926", \ - "0.022708,0.025301,0.028604,0.034660,0.045072,0.066241,0.117926", \ - "0.029327,0.032162,0.035878,0.042884,0.055347,0.076129,0.119911", \ - "0.037552,0.040539,0.044521,0.052157,0.066197,0.090321,0.130709"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.011884,0.013176,0.014864,0.018143,0.024508,0.036918,0.061344", \ - "0.013104,0.014398,0.016090,0.019377,0.025745,0.038162,0.062591", \ - "0.018179,0.019528,0.021214,0.024393,0.030711,0.043089,0.067490", \ - "0.023462,0.025401,0.027845,0.032301,0.040093,0.053078,0.077253", \ - "0.026498,0.029037,0.032232,0.038069,0.048338,0.065526,0.093048", \ - "0.027225,0.030325,0.034256,0.041433,0.054102,0.075440,0.109836", \ - "0.025529,0.029176,0.033800,0.042293,0.057302,0.082698,0.123826"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.021275,0.024120,0.027881,0.035327,0.050098,0.079494,0.138157", \ - "0.022650,0.025539,0.029360,0.036911,0.051824,0.081361,0.140138", \ - "0.027776,0.030597,0.034363,0.041874,0.056820,0.086496,0.145465", \ - "0.035120,0.038514,0.042830,0.050922,0.065793,0.095342,0.154309", \ - "0.043610,0.047674,0.052785,0.062272,0.079413,0.109872,0.168548", \ - "0.054361,0.059105,0.064999,0.075839,0.095258,0.129429,0.189496", \ - "0.067328,0.072779,0.079520,0.091818,0.113567,0.151388,0.216909"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.007796,0.008787,0.010108,0.012743,0.017988,0.028469,0.049421", \ - "0.007794,0.008787,0.010108,0.012740,0.017988,0.028468,0.049420", \ - "0.008749,0.009504,0.010576,0.012885,0.017958,0.028469,0.049421", \ - "0.013459,0.014444,0.015667,0.017911,0.021896,0.029835,0.049428", \ - "0.019476,0.020756,0.022346,0.025245,0.030260,0.038653,0.053634", \ - "0.026796,0.028396,0.030369,0.033954,0.040127,0.050302,0.066785", \ - "0.035475,0.037449,0.039855,0.044178,0.051526,0.063554,0.082871"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.016170,0.019088,0.022918,0.030330,0.044531,0.071898,0.125693", \ - "0.016170,0.019088,0.022917,0.030331,0.044529,0.071896,0.125700", \ - "0.016307,0.019139,0.022932,0.030331,0.044530,0.071895,0.125699", \ - "0.020847,0.022975,0.025717,0.031853,0.044816,0.071898,0.125690", \ - "0.027443,0.029523,0.032389,0.038162,0.049322,0.073197,0.125689", \ - "0.035495,0.037379,0.040105,0.045813,0.057378,0.079663,0.127227", \ - "0.044830,0.046582,0.049185,0.054774,0.066442,0.089635,0.134288"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.009234,0.010496,0.012142,0.015348,0.021600,0.033875,0.058180", \ - "0.010444,0.011710,0.013363,0.016577,0.022837,0.035119,0.059427", \ - "0.014941,0.016449,0.018308,0.021634,0.027814,0.040054,0.064330", \ - "0.018420,0.020603,0.023310,0.028169,0.036494,0.050070,0.074112", \ - "0.019740,0.022588,0.026115,0.032475,0.043425,0.061430,0.089785", \ - "0.018809,0.022284,0.026615,0.034425,0.047942,0.070267,0.105693", \ - "0.015477,0.019573,0.024680,0.033915,0.049929,0.076482,0.118812"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.020563,0.023403,0.027160,0.034587,0.049319,0.078628,0.137130", \ - "0.021930,0.024814,0.028630,0.036166,0.051041,0.080499,0.139106", \ - "0.027065,0.029883,0.033637,0.041129,0.056036,0.085634,0.144434", \ - "0.034207,0.037644,0.041996,0.050129,0.065009,0.094479,0.153277", \ - "0.042462,0.046597,0.051762,0.061314,0.078521,0.109005,0.167518", \ - "0.052944,0.057779,0.063757,0.074700,0.094209,0.128439,0.188462", \ - "0.065599,0.071171,0.078022,0.090458,0.112343,0.150249,0.215783"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.006177,0.007147,0.008445,0.011044,0.016261,0.026720,0.047655", \ - "0.006147,0.007136,0.008443,0.011046,0.016262,0.026718,0.047656", \ - "0.007992,0.008735,0.009672,0.011670,0.016325,0.026725,0.047658", \ - "0.012683,0.013685,0.014929,0.017193,0.021195,0.028727,0.047665", \ - "0.018664,0.019964,0.021580,0.024493,0.029543,0.037966,0.052653", \ - "0.025957,0.027598,0.029603,0.033213,0.039396,0.049603,0.066110", \ - "0.034622,0.036643,0.039095,0.043453,0.050811,0.062833,0.082160"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.011449,0.013967,0.017326,0.024054,0.037479,0.064295,0.117946", \ - "0.011448,0.013967,0.017324,0.024052,0.037486,0.064309,0.117926", \ - "0.011617,0.014023,0.017344,0.024046,0.037470,0.064315,0.117923", \ - "0.015116,0.017295,0.020144,0.025641,0.037784,0.064304,0.117927", \ - "0.019799,0.022058,0.025064,0.030986,0.042350,0.065648,0.117931", \ - "0.025698,0.028024,0.031135,0.037306,0.049321,0.072167,0.119487", \ - "0.032703,0.035135,0.038407,0.044839,0.057350,0.081351,0.126602"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.007269,0.008262,0.009553,0.012059,0.016923,0.026439,0.045245", \ - "0.008647,0.009632,0.010920,0.013426,0.018292,0.027811,0.046618", \ - "0.012817,0.014149,0.015787,0.018695,0.023649,0.033105,0.051871", \ - "0.015378,0.017330,0.019746,0.024053,0.031392,0.043229,0.062302", \ - "0.015602,0.018179,0.021361,0.027065,0.036821,0.052707,0.077462", \ - "0.013287,0.016466,0.020411,0.027495,0.039658,0.059546,0.090744", \ - "0.008271,0.012043,0.016721,0.025153,0.039695,0.063559,0.101124"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.020463,0.023302,0.027055,0.034483,0.049213,0.078527,0.137032", \ - "0.021764,0.024634,0.028434,0.035958,0.050823,0.080284,0.138899", \ - "0.026995,0.029797,0.033529,0.040984,0.055838,0.085392,0.144181", \ - "0.034174,0.037604,0.041947,0.050062,0.064909,0.094313,0.153049", \ - "0.042626,0.046715,0.051858,0.061372,0.078535,0.108956,0.167384", \ - "0.053594,0.058351,0.064262,0.075113,0.094511,0.128621,0.188518", \ - "0.066972,0.072429,0.079166,0.091441,0.113130,0.150836,0.216159"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.004722,0.005480,0.006491,0.008505,0.012540,0.020637,0.036873", \ - "0.004645,0.005433,0.006464,0.008497,0.012539,0.020637,0.036873", \ - "0.007088,0.007689,0.008445,0.009827,0.012969,0.020648,0.036875", \ - "0.011589,0.012456,0.013514,0.015434,0.018738,0.024325,0.037364", \ - "0.017383,0.018515,0.019908,0.022408,0.026708,0.033805,0.045209", \ - "0.024542,0.025974,0.027730,0.030858,0.036168,0.044862,0.058746", \ - "0.033102,0.034878,0.037055,0.040882,0.047266,0.057574,0.073980"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.011455,0.013963,0.017324,0.024049,0.037479,0.064294,0.117947", \ - "0.011448,0.013964,0.017326,0.024047,0.037482,0.064309,0.117944", \ - "0.011624,0.014026,0.017346,0.024050,0.037465,0.064299,0.117931", \ - "0.015128,0.017311,0.020157,0.025656,0.037790,0.064301,0.117929", \ - "0.019741,0.022009,0.025030,0.030967,0.042346,0.065657,0.117933", \ - "0.025442,0.027774,0.030928,0.037139,0.049217,0.072118,0.119481", \ - "0.032252,0.034688,0.037953,0.044431,0.057032,0.081157,0.126518"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.012266,0.013538,0.015194,0.018416,0.024686,0.036979,0.061298", \ - "0.013838,0.015125,0.016798,0.020046,0.026349,0.038671,0.063013", \ - "0.017812,0.019191,0.020956,0.024245,0.030576,0.042960,0.067357", \ - "0.021824,0.023622,0.025873,0.029987,0.037336,0.050504,0.075031", \ - "0.023910,0.026333,0.029336,0.034758,0.044105,0.059760,0.086578", \ - "0.023295,0.026415,0.030275,0.037203,0.049049,0.068418,0.099505", \ - "0.019633,0.023492,0.028264,0.036800,0.051346,0.074959,0.111899"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.026226,0.029101,0.032906,0.040439,0.055358,0.084938,0.143760", \ - "0.026895,0.029809,0.033657,0.041255,0.056251,0.085918,0.144793", \ - "0.032011,0.034846,0.038618,0.046123,0.061039,0.090675,0.149604", \ - "0.043482,0.046559,0.050449,0.057661,0.072125,0.101267,0.159791", \ - "0.056882,0.060716,0.065579,0.074626,0.090789,0.119407,0.176987", \ - "0.071929,0.076462,0.082186,0.092904,0.112229,0.145438,0.202647", \ - "0.089204,0.094370,0.100856,0.113043,0.135163,0.173685,0.237656"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.006110,0.007097,0.008409,0.011027,0.016256,0.026719,0.047660", \ - "0.006101,0.007089,0.008405,0.011026,0.016256,0.026718,0.047658", \ - "0.006689,0.007555,0.008721,0.011151,0.016234,0.026716,0.047656", \ - "0.009414,0.010294,0.011431,0.013695,0.018238,0.027508,0.047655", \ - "0.013936,0.014949,0.016220,0.018604,0.023059,0.031800,0.049846", \ - "0.019845,0.021037,0.022537,0.025290,0.030177,0.038994,0.056225", \ - "0.027029,0.028420,0.030167,0.033395,0.039013,0.048575,0.065776"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.022083,0.024923,0.028662,0.035965,0.050105,0.077541,0.131529", \ - "0.022084,0.024923,0.028661,0.035964,0.050104,0.077545,0.131530", \ - "0.022066,0.024915,0.028658,0.035965,0.050101,0.077535,0.131535", \ - "0.025596,0.027739,0.030730,0.036934,0.050089,0.077529,0.131524", \ - "0.033862,0.036176,0.039136,0.044624,0.054990,0.078411,0.131520", \ - "0.042632,0.045254,0.048658,0.055052,0.066488,0.086675,0.132680", \ - "0.052147,0.055039,0.058833,0.066051,0.079157,0.101733,0.142175"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.010073,0.011235,0.012776,0.015831,0.021904,0.034009,0.058187", \ - "0.011557,0.012758,0.014335,0.017435,0.023555,0.035698,0.059899", \ - "0.014890,0.016320,0.018124,0.021504,0.027736,0.039962,0.064230", \ - "0.017289,0.019337,0.021840,0.026293,0.033966,0.047354,0.071876", \ - "0.017290,0.020126,0.023572,0.029619,0.039705,0.056007,0.083226", \ - "0.014375,0.018087,0.022575,0.030394,0.043333,0.063756,0.095669", \ - "0.008406,0.013016,0.018558,0.028230,0.044179,0.069221,0.107373"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.025450,0.028324,0.032126,0.039645,0.054518,0.084018,0.142722", \ - "0.026113,0.029027,0.032873,0.040457,0.055414,0.084987,0.143754", \ - "0.031244,0.034077,0.037843,0.045330,0.060203,0.089768,0.148561", \ - "0.042585,0.045711,0.049642,0.056887,0.071309,0.100363,0.158753", \ - "0.055696,0.059590,0.064497,0.073628,0.089883,0.118517,0.175958", \ - "0.070455,0.075041,0.080814,0.091624,0.111057,0.144439,0.201597", \ - "0.087400,0.092635,0.099202,0.111484,0.133724,0.172398,0.236542"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.004312,0.005287,0.006600,0.009226,0.014472,0.024953,0.045902", \ - "0.004315,0.005291,0.006602,0.009227,0.014472,0.024955,0.045903", \ - "0.005356,0.006210,0.007363,0.009639,0.014539,0.024955,0.045906", \ - "0.008460,0.009330,0.010449,0.012620,0.016997,0.026057,0.045943", \ - "0.013222,0.014237,0.015498,0.017848,0.022196,0.030694,0.048470", \ - "0.019457,0.020602,0.022050,0.024743,0.029547,0.038170,0.055106", \ - "0.026977,0.028249,0.029917,0.033023,0.038532,0.047956,0.064885"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.016390,0.018951,0.022367,0.029178,0.042752,0.069805,0.123739", \ - "0.016389,0.018952,0.022365,0.029178,0.042749,0.069815,0.123744", \ - "0.016369,0.018944,0.022366,0.029175,0.042745,0.069808,0.123742", \ - "0.020036,0.021910,0.024552,0.030223,0.042753,0.069790,0.123752", \ - "0.025776,0.028332,0.031547,0.037419,0.047761,0.070718,0.123742", \ - "0.032046,0.035095,0.038948,0.046001,0.058299,0.079089,0.124884", \ - "0.038961,0.042462,0.046888,0.055064,0.069405,0.093403,0.134491"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.008620,0.009513,0.010696,0.013038,0.017689,0.026955,0.045459", \ - "0.010190,0.011113,0.012323,0.014699,0.019386,0.028682,0.047204", \ - "0.014107,0.015374,0.016933,0.019741,0.024669,0.034044,0.052627", \ - "0.016580,0.018523,0.020898,0.025099,0.032154,0.043597,0.062781", \ - "0.016469,0.019191,0.022508,0.028332,0.038016,0.053367,0.077164", \ - "0.013345,0.016924,0.021260,0.028836,0.041379,0.061098,0.091112", \ - "0.007054,0.011506,0.016883,0.026289,0.041804,0.066162,0.102952"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.027788,0.030635,0.034411,0.041894,0.056723,0.086182,0.144866", \ - "0.028453,0.031336,0.035157,0.042709,0.057636,0.087184,0.145952", \ - "0.033568,0.036375,0.040116,0.047566,0.062396,0.091920,0.150729", \ - "0.045216,0.048205,0.051978,0.059141,0.073540,0.102549,0.160927", \ - "0.058991,0.062745,0.067508,0.076397,0.092317,0.120797,0.178233", \ - "0.074404,0.078810,0.084437,0.094977,0.114080,0.147025,0.203999", \ - "0.091949,0.096992,0.103367,0.115385,0.137290,0.175552,0.239196"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.003587,0.004337,0.005335,0.007330,0.011310,0.019240,0.035077", \ - "0.003593,0.004339,0.005335,0.007330,0.011310,0.019239,0.035077", \ - "0.005189,0.005800,0.006599,0.008142,0.011540,0.019239,0.035078", \ - "0.008861,0.009591,0.010529,0.012279,0.015496,0.021550,0.035337", \ - "0.013997,0.014857,0.015950,0.018012,0.021761,0.028345,0.040413", \ - "0.020609,0.021564,0.022812,0.025197,0.029532,0.037064,0.050058", \ - "0.028542,0.029592,0.031020,0.033764,0.038764,0.047355,0.061863"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.017505,0.020087,0.023527,0.030370,0.043984,0.071068,0.125089", \ - "0.017504,0.020084,0.023528,0.030371,0.043985,0.071067,0.125087", \ - "0.017495,0.020083,0.023524,0.030370,0.043987,0.071079,0.125089", \ - "0.020568,0.022540,0.025295,0.031143,0.043970,0.071058,0.125080", \ - "0.026644,0.029146,0.032305,0.038100,0.048485,0.071808,0.125073", \ - "0.033082,0.036053,0.039840,0.046799,0.058970,0.079745,0.126013", \ - "0.040087,0.043523,0.047888,0.055944,0.070146,0.093962,0.135191"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.014641,0.015930,0.017617,0.020897,0.027262,0.039673,0.064102", \ - "0.016082,0.017375,0.019066,0.022352,0.028723,0.041139,0.065571", \ - "0.020193,0.021534,0.023248,0.026541,0.032934,0.045381,0.069840", \ - "0.025220,0.026877,0.028970,0.032877,0.040015,0.052996,0.077558", \ - "0.028793,0.030979,0.033727,0.038749,0.047592,0.062770,0.089250", \ - "0.029975,0.032769,0.036270,0.042620,0.053696,0.072237,0.102647", \ - "0.028573,0.031998,0.036265,0.044022,0.057494,0.079912,0.115779"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.030146,0.033009,0.036802,0.044319,0.059225,0.088788,0.147608", \ - "0.031650,0.034534,0.038353,0.045906,0.060851,0.090445,0.149302", \ - "0.036925,0.039807,0.043628,0.051196,0.066178,0.095845,0.154778", \ - "0.045835,0.048912,0.052868,0.060392,0.075309,0.104942,0.163880", \ - "0.056573,0.060193,0.064781,0.073531,0.089756,0.119533,0.178283", \ - "0.069754,0.073907,0.079131,0.089000,0.107203,0.140062,0.199324", \ - "0.085529,0.090230,0.096159,0.107196,0.127359,0.163504,0.227416"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.007778,0.008774,0.010100,0.012738,0.017986,0.028469,0.049420", \ - "0.007775,0.008772,0.010099,0.012737,0.017987,0.028468,0.049420", \ - "0.008110,0.009037,0.010281,0.012811,0.017987,0.028468,0.049419", \ - "0.010586,0.011478,0.012664,0.015001,0.019643,0.029118,0.049433", \ - "0.014963,0.015975,0.017252,0.019665,0.024216,0.033127,0.051388", \ - "0.020662,0.021849,0.023354,0.026138,0.031113,0.040085,0.057543", \ - "0.027484,0.028896,0.030665,0.033922,0.039640,0.049387,0.066908"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.022084,0.024922,0.028661,0.035965,0.050105,0.077541,0.131535", \ - "0.022085,0.024921,0.028661,0.035964,0.050106,0.077529,0.131529", \ - "0.022084,0.024919,0.028660,0.035964,0.050103,0.077535,0.131527", \ - "0.024080,0.026496,0.029804,0.036493,0.050107,0.077525,0.131526", \ - "0.030096,0.032444,0.035539,0.041545,0.053231,0.078197,0.131522", \ - "0.036829,0.039260,0.042489,0.048771,0.060796,0.083571,0.132519", \ - "0.044316,0.046831,0.050189,0.056763,0.069398,0.093225,0.138743"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.012019,0.013275,0.014916,0.018121,0.024376,0.036661,0.060984", \ - "0.013451,0.014712,0.016360,0.019572,0.025835,0.038126,0.062453", \ - "0.017268,0.018643,0.020399,0.023712,0.030022,0.042353,0.066709", \ - "0.021105,0.022934,0.025208,0.029352,0.036713,0.049873,0.074399", \ - "0.022936,0.025420,0.028486,0.033981,0.043410,0.059109,0.085930", \ - "0.022260,0.025452,0.029382,0.036390,0.048332,0.067756,0.098873", \ - "0.019020,0.022918,0.027719,0.036283,0.050836,0.074430,0.111343"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.029371,0.032233,0.036023,0.043526,0.058380,0.087867,0.146579", \ - "0.030873,0.033756,0.037571,0.045110,0.060009,0.089533,0.148273", \ - "0.036147,0.039030,0.042847,0.050400,0.065338,0.094932,0.153740", \ - "0.044971,0.048075,0.052068,0.059605,0.074480,0.104030,0.162842", \ - "0.055530,0.059180,0.063802,0.072599,0.088874,0.118631,0.177237", \ - "0.068501,0.072698,0.077974,0.087900,0.106173,0.139077,0.198259", \ - "0.084039,0.088794,0.094780,0.105899,0.126175,0.162374,0.226288"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.006127,0.007112,0.008427,0.011044,0.016273,0.026742,0.047693", \ - "0.006119,0.007107,0.008423,0.011042,0.016275,0.026742,0.047694", \ - "0.006820,0.007709,0.008880,0.011294,0.016316,0.026743,0.047692", \ - "0.009654,0.010526,0.011653,0.013896,0.018385,0.027641,0.047723", \ - "0.014207,0.015211,0.016485,0.018861,0.023300,0.031982,0.049988", \ - "0.020033,0.021220,0.022712,0.025470,0.030379,0.039221,0.056404", \ - "0.026987,0.028366,0.030116,0.033346,0.039001,0.048670,0.065952"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.016391,0.018950,0.022367,0.029178,0.042748,0.069797,0.123757", \ - "0.016389,0.018951,0.022367,0.029179,0.042751,0.069794,0.123749", \ - "0.016395,0.018950,0.022367,0.029175,0.042751,0.069798,0.123746", \ - "0.018441,0.020606,0.023574,0.029746,0.042756,0.069804,0.123738", \ - "0.022757,0.025190,0.028352,0.034431,0.045964,0.070495,0.123723", \ - "0.027800,0.030447,0.033889,0.040454,0.052840,0.075929,0.124728", \ - "0.033515,0.036393,0.040120,0.047209,0.060478,0.085022,0.130999"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.009915,0.010889,0.012160,0.014636,0.019454,0.028886,0.047524", \ - "0.011446,0.012422,0.013697,0.016178,0.021001,0.030439,0.049081", \ - "0.016109,0.017272,0.018730,0.021410,0.026298,0.035775,0.054448", \ - "0.020161,0.021891,0.024037,0.027897,0.034530,0.045578,0.064642", \ - "0.021865,0.024257,0.027210,0.032497,0.041514,0.056172,0.079360", \ - "0.020940,0.024025,0.027837,0.034639,0.046196,0.064900,0.094014", \ - "0.017335,0.021122,0.025787,0.034120,0.048284,0.071202,0.106729"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.031729,0.034564,0.038326,0.045792,0.060605,0.090042,0.148729", \ - "0.033233,0.036091,0.039881,0.047390,0.062259,0.091753,0.150498", \ - "0.038476,0.041326,0.045112,0.052627,0.067526,0.097098,0.155919", \ - "0.047515,0.050521,0.054367,0.061826,0.076654,0.106151,0.164967", \ - "0.058580,0.062096,0.066584,0.075181,0.091218,0.120793,0.179351", \ - "0.072091,0.076127,0.081236,0.090941,0.108929,0.141515,0.200459", \ - "0.088216,0.092802,0.098598,0.109452,0.129381,0.165235,0.228761"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.004990,0.005746,0.006744,0.008730,0.012684,0.020592,0.036417", \ - "0.004978,0.005734,0.006735,0.008726,0.012686,0.020590,0.036416", \ - "0.006222,0.006821,0.007612,0.009230,0.012804,0.020592,0.036415", \ - "0.009883,0.010593,0.011497,0.013206,0.016394,0.022518,0.036609", \ - "0.014826,0.015690,0.016794,0.018872,0.022616,0.029202,0.041301", \ - "0.021003,0.022020,0.023330,0.025797,0.030227,0.037842,0.050895", \ - "0.028328,0.029510,0.031050,0.033944,0.039121,0.047928,0.062637"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.017508,0.020087,0.023526,0.030374,0.043989,0.071077,0.125106", \ - "0.017501,0.020086,0.023524,0.030374,0.043983,0.071069,0.125106", \ - "0.017504,0.020086,0.023526,0.030372,0.043985,0.071075,0.125086", \ - "0.019241,0.021469,0.024515,0.030801,0.043986,0.071071,0.125086", \ - "0.023641,0.026087,0.029234,0.035317,0.046914,0.071653,0.125041", \ - "0.028693,0.031344,0.034764,0.041332,0.053732,0.076875,0.125922", \ - "0.034425,0.037283,0.040987,0.048076,0.061334,0.085911,0.131992"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.464166,1.498755,1.526765,1.583909,1.637396,1.682693,1.737988", \ - "1.445342,1.503861,1.544126,1.592858,1.665157,1.733402,1.800998", \ - "1.469781,1.490294,1.527075,1.566211,1.654426,1.728010,1.804411", \ - "1.941603,1.903850,1.867280,1.800760,1.766144,1.797208,1.850590", \ - "2.822406,2.754029,2.687518,2.549223,2.354543,2.137378,2.062796", \ - "4.103647,4.077768,3.990770,3.803762,3.470559,3.033734,2.607171", \ - "5.816604,5.776752,5.728653,5.560480,5.175206,4.499422,3.694909"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("6.490368,6.511376,6.568277,6.629906,6.641094,6.664173,6.679305", \ - "6.324652,6.335299,6.403404,6.503740,6.588277,6.555653,6.651807", \ - "6.378127,6.353899,6.389779,6.424874,6.462521,6.381325,6.610715", \ - "6.918682,6.872253,6.863020,6.733328,6.731355,6.698192,6.589498", \ - "7.906689,7.911560,7.847492,7.650713,7.483949,7.178200,7.081008", \ - "9.250338,9.207058,9.104319,8.986306,8.794694,8.358049,7.922479", \ - "11.455710,11.316170,11.127270,10.906630,10.620710,10.054500,9.333058"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.542043,0.563398,0.571635,0.586665,0.601688,0.617461,0.626612", \ - "0.489774,0.512376,0.555112,0.591213,0.632757,0.665788,0.687940", \ - "0.522603,0.494491,0.519809,0.549412,0.602708,0.655026,0.694703", \ - "1.090041,1.022159,0.950287,0.840176,0.727401,0.727090,0.738336", \ - "2.048099,1.962547,1.849405,1.662589,1.395849,1.089905,0.964390", \ - "3.401412,3.338033,3.219902,2.991843,2.589131,2.039930,1.522041", \ - "5.139556,5.071758,4.983878,4.795098,4.343510,3.562437,2.657368"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("5.181220,5.221926,5.274788,5.253958,5.335725,5.238522,5.110922", \ - "4.979607,5.043760,5.107364,5.164699,5.144837,5.187445,5.397107", \ - "5.081597,5.067554,5.098733,5.108697,5.142970,5.034205,5.291189", \ - "5.625344,5.591471,5.520223,5.444831,5.433952,5.304519,5.351206", \ - "6.328763,6.346288,6.406398,6.394493,6.197189,5.788252,5.516448", \ - "7.834870,7.760216,7.691872,7.601698,7.551876,6.914212,6.387776", \ - "9.991948,9.908175,9.768374,9.532458,9.162588,8.840007,8.095820"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.546090,0.563171,0.577986,0.593631,0.607698,0.615012,0.625103", \ - "0.508712,0.536300,0.555647,0.590479,0.637311,0.670607,0.686755", \ - "0.617476,0.582355,0.551699,0.572219,0.616155,0.664058,0.704806", \ - "1.176079,1.110819,1.049797,0.942198,0.809446,0.760579,0.762605", \ - "2.131650,2.059369,1.976924,1.805709,1.538510,1.229899,1.023271", \ - "3.499122,3.421760,3.350738,3.144839,2.784416,2.244721,1.681548", \ - "5.209862,5.192345,5.108373,4.933240,4.574695,3.862770,2.924948"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("5.138434,5.198465,5.251360,5.295611,5.313032,5.218153,5.091446", \ - "4.932722,4.997107,5.058614,5.063486,5.213633,5.164333,5.349258", \ - "5.067384,5.049121,5.058265,5.076671,5.104854,4.983399,5.237036", \ - "5.646236,5.625930,5.586937,5.458784,5.383427,5.274106,5.314858", \ - "6.433518,6.441300,6.476358,6.479795,6.278488,5.999342,5.526420", \ - "7.949847,7.876157,7.811561,7.683106,7.661798,7.229358,6.635748", \ - "10.238340,10.110420,9.968727,9.701563,9.417916,8.996337,8.245468"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("2.297290,2.338056,2.365767,2.418745,2.489251,2.563016,2.640114", \ - "2.188142,2.228984,2.266951,2.320752,2.387803,2.481087,2.554213", \ - "2.142897,2.181331,2.224208,2.269853,2.351075,2.431343,2.515118", \ - "2.552681,2.532619,2.499582,2.452024,2.480336,2.509983,2.560589", \ - "3.423727,3.373254,3.332317,3.230251,3.068164,2.879173,2.811179", \ - "4.802482,4.746002,4.662407,4.501309,4.207216,3.814190,3.398805", \ - "6.627640,6.572636,6.488125,6.325411,5.955064,5.336942,4.555412"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("8.119798,8.128603,8.175040,8.225907,8.247117,8.110297,7.996899", \ - "7.977407,7.997442,8.057870,8.069211,8.087458,8.145614,8.238870", \ - "7.828162,7.836465,7.840299,7.937232,7.998231,8.000502,8.139626", \ - "7.896190,7.886870,7.912031,7.911929,7.937060,7.900398,8.065231", \ - "8.283295,8.351032,8.361297,8.278836,8.217930,8.056846,8.153797", \ - "9.200611,9.150819,9.093380,9.010507,8.958926,8.764602,8.455906", \ - "10.866580,10.778310,10.612870,10.436000,10.172520,9.967204,9.417831"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.331119,1.340548,1.376972,1.406809,1.457457,1.494734,1.540729", \ - "1.221134,1.230623,1.276716,1.315160,1.360286,1.403229,1.452001", \ - "1.161685,1.188496,1.208600,1.258210,1.307573,1.350971,1.402355", \ - "1.674745,1.637557,1.586063,1.499333,1.445395,1.448928,1.459939", \ - "2.634670,2.569131,2.480064,2.333306,2.100025,1.831764,1.720401", \ - "4.068653,3.994520,3.883147,3.669153,3.313516,2.829273,2.326783", \ - "5.910221,5.856292,5.774275,5.558259,5.118623,4.415138,3.528342"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("6.837777,6.839791,6.881904,6.847042,6.948077,6.883045,6.754251", \ - "6.673554,6.707670,6.763813,6.769142,6.837086,6.779799,6.669815", \ - "6.511406,6.549800,6.543139,6.624723,6.700064,6.584866,6.844847", \ - "6.600446,6.590107,6.565995,6.575219,6.619338,6.572542,6.523096", \ - "6.739020,6.823397,6.885666,6.961107,6.826219,6.688394,6.857031", \ - "7.724335,7.723563,7.661384,7.587570,7.636649,7.506192,7.217149", \ - "9.430365,9.334548,9.242034,8.982272,8.815465,8.662880,8.179731"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.281561,1.312619,1.338922,1.382191,1.430370,1.474527,1.516958", \ - "1.167379,1.196882,1.231430,1.270297,1.327903,1.383706,1.430626", \ - "1.162611,1.154894,1.181991,1.235754,1.278928,1.337340,1.386989", \ - "1.745580,1.702225,1.650195,1.578625,1.453352,1.448941,1.454462", \ - "2.721514,2.667541,2.583877,2.437128,2.215587,1.935967,1.747844", \ - "4.170228,4.121734,4.024216,3.836221,3.489468,3.009051,2.451866", \ - "6.052460,5.997305,5.927426,5.746497,5.368513,4.680033,3.789543"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("6.774583,6.817513,6.859810,6.889287,6.876162,6.927979,6.734850", \ - "6.634861,6.668464,6.671936,6.746898,6.735209,6.737832,6.895660", \ - "6.502250,6.480116,6.520555,6.576356,6.650029,6.607245,6.795128", \ - "6.595523,6.583784,6.557131,6.576127,6.611406,6.539880,6.472101", \ - "6.798681,6.839368,6.899473,7.021146,6.922504,6.678835,6.708833", \ - "7.834179,7.758564,7.717673,7.718009,7.672853,7.530834,7.226801", \ - "9.593625,9.493310,9.397559,9.189611,8.909896,8.689309,8.243549"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.484233,1.498224,1.543929,1.585879,1.639430,1.683415,1.729344", \ - "1.444251,1.498960,1.538390,1.590802,1.670983,1.734033,1.800648", \ - "1.314124,1.339557,1.409942,1.488676,1.577516,1.688965,1.787216", \ - "1.505833,1.486730,1.493063,1.488695,1.528982,1.627964,1.741417", \ - "2.015448,1.982649,1.965122,1.903804,1.833093,1.740055,1.794221", \ - "2.901343,2.868010,2.823022,2.714384,2.545638,2.311634,2.066903", \ - "4.121489,4.098177,4.043453,3.937975,3.719277,3.313483,2.810372"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("9.293710,9.296211,9.339825,9.385538,9.363141,9.376603,9.291384", \ - "9.118111,9.129143,9.182502,9.255515,9.331936,9.286691,9.187867", \ - "9.119789,9.118783,9.161084,9.162813,9.242409,9.223187,9.427822", \ - "9.616730,9.588688,9.555638,9.564679,9.534086,9.381534,9.525114", \ - "10.597950,10.544760,10.520430,10.386210,10.271680,10.006180,9.730352", \ - "11.894720,11.855520,11.845000,11.856180,11.560710,11.185230,10.880650", \ - "13.888220,13.822710,13.791610,13.665100,13.420560,13.035380,12.375100"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.551071,0.574032,0.583650,0.593250,0.611392,0.617907,0.627168", \ - "0.469989,0.504474,0.549373,0.584328,0.632137,0.666396,0.687730", \ - "0.284302,0.316390,0.367363,0.433742,0.531846,0.611813,0.670114", \ - "0.516174,0.516407,0.504131,0.481265,0.458343,0.546263,0.629358", \ - "1.126118,1.084971,1.023844,0.942052,0.816624,0.676150,0.686756", \ - "2.036128,2.010124,1.932745,1.817008,1.580916,1.272778,0.970873", \ - "3.322738,3.278417,3.210221,3.061724,2.816059,2.333317,1.740914"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("7.895041,7.961638,7.986996,8.002275,8.088507,8.135449,8.114941", \ - "7.717260,7.780865,7.842198,7.866785,7.978647,8.020439,8.037999", \ - "7.723705,7.774817,7.766680,7.821908,7.917915,7.928532,7.860526", \ - "8.220061,8.196876,8.222841,8.196144,8.077818,8.081130,8.134415", \ - "9.065693,9.092536,9.172982,9.089816,8.974177,8.774872,8.497351", \ - "10.346230,10.370100,10.372750,10.380070,10.228100,9.919638,9.354276", \ - "12.396020,12.347210,12.292450,12.191680,12.077430,11.558480,10.923420"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("0.552419,0.571642,0.581108,0.599625,0.608318,0.619189,0.624483", \ - "0.471565,0.510999,0.552757,0.591960,0.633097,0.665853,0.689800", \ - "0.303147,0.334418,0.366569,0.449162,0.534418,0.610946,0.671285", \ - "0.560565,0.541029,0.523320,0.511404,0.480846,0.557516,0.632362", \ - "1.149386,1.116763,1.070585,0.979324,0.858295,0.737303,0.701641", \ - "2.115083,2.069819,2.004300,1.874226,1.644068,1.342280,1.046154", \ - "3.420437,3.380731,3.307095,3.166599,2.882919,2.410342,1.828791"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("8.574765,8.611233,8.619064,8.669412,8.681454,8.762673,8.870513", \ - "8.403346,8.442325,8.498870,8.559316,8.646852,8.683012,8.826123", \ - "8.386635,8.382487,8.456475,8.488922,8.494899,8.637829,8.659887", \ - "8.882023,8.897191,8.880813,8.859661,8.765132,8.807831,8.874594", \ - "9.727364,9.822515,9.838803,9.742771,9.656497,9.295325,9.310541", \ - "11.018320,11.052270,11.072880,11.066880,10.928390,10.559630,10.208750", \ - "13.064140,13.049040,13.010450,12.909530,12.804080,12.462510,11.699890"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("2.319514,2.336858,2.370235,2.431652,2.494980,2.562778,2.645901", \ - "2.195750,2.227481,2.277313,2.323857,2.394655,2.481011,2.555943", \ - "2.098878,2.108767,2.159049,2.235023,2.314811,2.409561,2.497075", \ - "2.227810,2.235809,2.221034,2.226822,2.297661,2.387168,2.486869", \ - "2.707723,2.702311,2.673714,2.640817,2.590133,2.521384,2.568026", \ - "3.573503,3.548261,3.508517,3.434622,3.299683,3.095503,2.867732", \ - "4.844378,4.789693,4.747517,4.662998,4.451215,4.104539,3.652979"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("10.874680,10.875280,10.917510,10.911470,11.005780,11.009770,10.993080", \ - "10.764310,10.769880,10.817070,10.857570,10.887820,10.871100,10.793100", \ - "10.655310,10.661290,10.709980,10.730380,10.826830,10.784890,10.987440", \ - "10.697220,10.688300,10.726560,10.720950,10.779410,10.765350,10.701320", \ - "11.097260,11.123410,11.096010,11.066050,11.057800,10.890600,10.783530", \ - "11.833110,11.846630,11.850320,11.920700,11.819830,11.495130,11.455580", \ - "13.276810,13.264040,13.225380,13.103690,13.063020,12.714030,12.432250"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.337115,1.348429,1.388434,1.409531,1.450280,1.494337,1.541544", \ - "1.229295,1.236566,1.282366,1.311556,1.365986,1.406976,1.454566", \ - "1.064764,1.120464,1.152622,1.206434,1.272825,1.334256,1.389100", \ - "1.280115,1.274456,1.260548,1.234247,1.242134,1.307440,1.370867", \ - "1.785502,1.774410,1.736569,1.679789,1.577622,1.445598,1.464623", \ - "2.713382,2.665324,2.612572,2.490324,2.319272,2.072054,1.776192", \ - "4.002951,3.970038,3.924375,3.790732,3.520173,3.121965,2.580116"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("9.476977,9.529814,9.559921,9.567915,9.651421,9.603916,9.688135", \ - "9.393293,9.424013,9.459170,9.511786,9.579135,9.631787,9.594981", \ - "9.258597,9.262745,9.314854,9.346280,9.336482,9.490023,9.552026", \ - "9.293783,9.343985,9.332304,9.396524,9.374043,9.526247,9.457326", \ - "9.524320,9.619600,9.731779,9.720379,9.728174,9.676822,9.538132", \ - "10.308800,10.305120,10.340980,10.416960,10.454370,10.350090,10.189550", \ - "11.767350,11.790370,11.734950,11.659180,11.664650,11.374630,11.013440"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("1.307359,1.334991,1.352336,1.400514,1.440297,1.476155,1.519053", \ - "1.195621,1.229540,1.258286,1.283413,1.337630,1.391777,1.438276", \ - "1.080444,1.109221,1.131521,1.186089,1.248636,1.318008,1.377724", \ - "1.291933,1.283904,1.273172,1.247684,1.229691,1.295958,1.362529", \ - "1.834352,1.795098,1.758317,1.691983,1.611201,1.490381,1.455087", \ - "2.768336,2.728471,2.672821,2.551700,2.378739,2.117297,1.829101", \ - "4.128085,4.084869,4.003205,3.874758,3.623802,3.198869,2.670377"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); - values ("10.143960,10.194880,10.193300,10.263700,10.304360,10.367990,10.482240", \ - "10.033670,10.089500,10.085810,10.180230,10.175690,10.229100,10.359710", \ - "9.918113,9.920306,9.970571,10.058700,10.114300,10.168460,10.251390", \ - "9.952812,9.946119,10.013000,9.990379,10.103640,10.184640,10.271980", \ - "10.205220,10.304720,10.400550,10.386520,10.377380,10.359690,10.301110", \ - "10.949780,11.018600,11.047540,11.059390,11.153640,10.939280,10.778010", \ - "12.430260,12.461630,12.415370,12.349220,12.359870,12.199090,11.752560"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI22_X4 - Cell Description : Combinational cell (OAI22_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI22_X4) { - - drive_strength : 4; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 136.103946; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2"; - value : 27.859370; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2"; - value : 139.893160; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2"; - value : 139.893160; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2"; - value : 198.382250; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2"; - value : 44.354627; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2"; - value : 130.647330; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2"; - value : 140.048480; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2"; - value : 183.654658; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2"; - value : 44.354626; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2"; - value : 140.048480; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2"; - value : 149.449410; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2"; - value : 193.056380; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2"; - value : 32.680129; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2"; - value : 183.642965; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2"; - value : 193.043510; - } - leakage_power () { - when : "A1 & A2 & B1 & B2"; - value : 236.654594; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.448126; - fall_capacitance : 5.619922; - rise_capacitance : 6.448126; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.523128; - fall_capacitance : 6.473107; - rise_capacitance : 6.523128; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.521216; - fall_capacitance : 5.505872; - rise_capacitance : 6.521216; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.481659; - fall_capacitance : 6.225973; - rise_capacitance : 6.481659; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 92.468300; - function : "!((A1 | A2) & (B1 | B2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.009397,0.010910,0.012589,0.015847,0.022177,0.034574,0.059090", \ - "0.010796,0.012311,0.013997,0.017271,0.023626,0.036049,0.060584", \ - "0.015563,0.017307,0.019140,0.022414,0.028657,0.041049,0.065578", \ - "0.019221,0.021744,0.024406,0.029215,0.037503,0.051067,0.075331", \ - "0.020471,0.023796,0.027291,0.033614,0.044560,0.062600,0.091022", \ - "0.019023,0.023161,0.027499,0.035360,0.048959,0.071435,0.107069", \ - "0.014609,0.019541,0.024727,0.034141,0.050446,0.077369,0.120143"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.016774,0.020173,0.023987,0.031496,0.046318,0.075747,0.134414", \ - "0.017447,0.020859,0.024719,0.032331,0.047317,0.076919,0.135728", \ - "0.022978,0.026127,0.029784,0.037155,0.051957,0.081530,0.140432", \ - "0.031764,0.036047,0.040561,0.048700,0.063058,0.091990,0.150434", \ - "0.041864,0.047079,0.052649,0.062829,0.080541,0.110105,0.167482", \ - "0.053867,0.059917,0.066395,0.078315,0.099368,0.134765,0.193033", \ - "0.067969,0.074821,0.082182,0.095714,0.119704,0.160624,0.227261"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.006096,0.007253,0.008576,0.011215,0.016489,0.027044,0.048179", \ - "0.006040,0.007224,0.008561,0.011210,0.016488,0.027045,0.048176", \ - "0.007658,0.008563,0.009495,0.011589,0.016434,0.027040,0.048177", \ - "0.012197,0.013390,0.014661,0.016979,0.021062,0.028776,0.048164", \ - "0.018235,0.019753,0.021373,0.024305,0.029394,0.037900,0.052825", \ - "0.025853,0.027737,0.029733,0.033313,0.039428,0.049623,0.066222", \ - "0.035069,0.037378,0.039807,0.044111,0.051343,0.063185,0.082410"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.015783,0.019213,0.023042,0.030459,0.044664,0.072042,0.125838", \ - "0.015779,0.019210,0.023042,0.030459,0.044668,0.072042,0.125835", \ - "0.016572,0.019486,0.022997,0.030456,0.044662,0.072040,0.125832", \ - "0.023508,0.025818,0.027928,0.033217,0.045202,0.072041,0.125840", \ - "0.031742,0.034212,0.037058,0.042507,0.052211,0.073939,0.125831", \ - "0.041624,0.044051,0.047026,0.053012,0.064276,0.083918,0.127821", \ - "0.053316,0.055623,0.058569,0.064776,0.077117,0.099473,0.138770"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.007197,0.008577,0.010137,0.013225,0.019353,0.031556,0.055921", \ - "0.008524,0.009923,0.011503,0.014623,0.020789,0.033027,0.057415", \ - "0.011960,0.013957,0.016012,0.019623,0.025820,0.038027,0.062408", \ - "0.013509,0.016425,0.019433,0.024756,0.033691,0.047952,0.072185", \ - "0.012673,0.016530,0.020497,0.027517,0.039333,0.058330,0.087680", \ - "0.009114,0.013933,0.018874,0.027619,0.042337,0.066003,0.102805", \ - "0.002638,0.008363,0.014266,0.024750,0.042409,0.070798,0.114963"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.016058,0.019452,0.023261,0.030753,0.045534,0.074874,0.133371", \ - "0.016729,0.020133,0.023987,0.031583,0.046529,0.076047,0.134683", \ - "0.022305,0.025431,0.029071,0.036415,0.051173,0.080657,0.139390", \ - "0.030716,0.035085,0.039668,0.047901,0.062287,0.091123,0.149389", \ - "0.040506,0.045827,0.051475,0.061760,0.079606,0.109247,0.166446", \ - "0.052157,0.058358,0.064934,0.076975,0.098168,0.133721,0.192003", \ - "0.065854,0.072892,0.080385,0.094076,0.118228,0.159313,0.226109"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.004228,0.005388,0.006716,0.009367,0.014660,0.025237,0.046381", \ - "0.004190,0.005372,0.006709,0.009366,0.014660,0.025239,0.046382", \ - "0.006726,0.007650,0.008652,0.010487,0.014849,0.025239,0.046382", \ - "0.011342,0.012556,0.013847,0.016189,0.020304,0.027707,0.046397", \ - "0.017542,0.019070,0.020684,0.023591,0.028667,0.037203,0.051885", \ - "0.025358,0.027223,0.029213,0.032748,0.038794,0.048924,0.065542", \ - "0.034824,0.037068,0.039451,0.043695,0.050828,0.062543,0.081720"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.011160,0.014094,0.017458,0.024182,0.037605,0.064419,0.118047", \ - "0.011156,0.014094,0.017458,0.024180,0.037600,0.064425,0.118039", \ - "0.012072,0.014449,0.017445,0.024180,0.037597,0.064422,0.118039", \ - "0.017131,0.019659,0.022375,0.027075,0.038184,0.064429,0.118041", \ - "0.022654,0.025645,0.028922,0.034936,0.045293,0.066399,0.118049", \ - "0.029511,0.032779,0.036464,0.043403,0.055773,0.076468,0.120085", \ - "0.038004,0.041476,0.045453,0.053028,0.066931,0.090903,0.131121"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.005931,0.007002,0.008213,0.010611,0.015367,0.024840,0.043753", \ - "0.007385,0.008467,0.009690,0.012107,0.016889,0.026385,0.045315", \ - "0.010339,0.012101,0.013909,0.017070,0.022290,0.031730,0.050633", \ - "0.011163,0.013783,0.016472,0.021200,0.029082,0.041552,0.061056", \ - "0.009382,0.012888,0.016488,0.022814,0.033372,0.050167,0.075857", \ - "0.004625,0.009036,0.013555,0.021523,0.034825,0.055973,0.088462", \ - "-0.003332,0.001929,0.007358,0.016966,0.033056,0.058675,0.097998"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.015955,0.019347,0.023156,0.030647,0.045431,0.074773,0.133275", \ - "0.016539,0.019925,0.023765,0.031351,0.046294,0.075822,0.134470", \ - "0.022253,0.025358,0.028971,0.036271,0.050970,0.080408,0.139126", \ - "0.030853,0.035199,0.039761,0.047958,0.062290,0.091039,0.149215", \ - "0.040957,0.046235,0.051850,0.062091,0.079865,0.109412,0.166498", \ - "0.053136,0.059234,0.065745,0.077703,0.098799,0.134221,0.192358", \ - "0.067625,0.074491,0.081864,0.095395,0.119377,0.160287,0.226859"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.003224,0.004121,0.005150,0.007210,0.011329,0.019569,0.036043", \ - "0.003230,0.004116,0.005148,0.007209,0.011329,0.019568,0.036043", \ - "0.006043,0.006814,0.007628,0.009108,0.012023,0.019569,0.036047", \ - "0.010481,0.011527,0.012628,0.014619,0.018057,0.023777,0.036642", \ - "0.016477,0.017820,0.019227,0.021734,0.026053,0.033250,0.044873", \ - "0.024091,0.025744,0.027494,0.030588,0.035813,0.044442,0.058420", \ - "0.033365,0.035356,0.037476,0.041223,0.047464,0.057559,0.073832"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.011167,0.014099,0.017458,0.024180,0.037605,0.064417,0.118043", \ - "0.011152,0.014098,0.017459,0.024177,0.037601,0.064433,0.118042", \ - "0.012094,0.014465,0.017455,0.024176,0.037600,0.064427,0.118043", \ - "0.017066,0.019604,0.022333,0.027049,0.038190,0.064426,0.118045", \ - "0.022413,0.025430,0.028734,0.034777,0.045176,0.066365,0.118044", \ - "0.029012,0.032309,0.036031,0.043023,0.055467,0.076244,0.120023", \ - "0.037234,0.040704,0.044693,0.052326,0.066337,0.090440,0.130835"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.011865,0.013392,0.015093,0.018403,0.024821,0.037332,0.061954", \ - "0.013082,0.014613,0.016319,0.019633,0.026057,0.038574,0.063199", \ - "0.018164,0.019752,0.021444,0.024649,0.031023,0.043504,0.068102", \ - "0.023449,0.025744,0.028183,0.032652,0.040457,0.053497,0.077873", \ - "0.026497,0.029503,0.032691,0.038536,0.048827,0.066065,0.093696", \ - "0.027238,0.030925,0.034838,0.042026,0.054718,0.076114,0.110644", \ - "0.025571,0.029898,0.034517,0.043019,0.058058,0.083514,0.124805"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.020999,0.024324,0.028085,0.035530,0.050294,0.079682,0.138324", \ - "0.022371,0.025748,0.029570,0.037119,0.052026,0.081552,0.140301", \ - "0.027501,0.030802,0.034571,0.042084,0.057027,0.086695,0.145637", \ - "0.034765,0.038735,0.043048,0.051129,0.065997,0.095539,0.154482", \ - "0.043162,0.047914,0.053017,0.062486,0.079616,0.110060,0.168721", \ - "0.053814,0.059346,0.065233,0.076058,0.095454,0.129601,0.189646", \ - "0.066659,0.073028,0.079757,0.092032,0.113750,0.151542,0.217034"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.007799,0.008966,0.010297,0.012949,0.018241,0.028815,0.049959", \ - "0.007797,0.008964,0.010297,0.012950,0.018241,0.028816,0.049960", \ - "0.008751,0.009637,0.010731,0.013075,0.018214,0.028817,0.049959", \ - "0.013435,0.014581,0.015810,0.018061,0.022075,0.030126,0.049964", \ - "0.019430,0.020918,0.022518,0.025423,0.030459,0.038889,0.054064", \ - "0.026726,0.028572,0.030566,0.034161,0.040348,0.050584,0.067158", \ - "0.035382,0.037650,0.040074,0.044408,0.051782,0.063876,0.083277"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.015786,0.019212,0.023042,0.030460,0.044665,0.072043,0.125838", \ - "0.015789,0.019213,0.023043,0.030460,0.044665,0.072043,0.125831", \ - "0.015947,0.019262,0.023056,0.030461,0.044666,0.072041,0.125828", \ - "0.020553,0.023046,0.025807,0.031963,0.044946,0.072042,0.125838", \ - "0.027188,0.029609,0.032484,0.038267,0.049432,0.073329,0.125838", \ - "0.035278,0.037474,0.040209,0.045932,0.057495,0.079781,0.127370", \ - "0.044678,0.046701,0.049313,0.054913,0.066578,0.089767,0.134425"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.009207,0.010697,0.012357,0.015590,0.021894,0.034270,0.058775", \ - "0.010413,0.011910,0.013576,0.016817,0.023129,0.035512,0.060020", \ - "0.014901,0.016681,0.018542,0.021869,0.028107,0.040450,0.064926", \ - "0.018364,0.020939,0.023648,0.028512,0.036852,0.050477,0.074719", \ - "0.019679,0.023038,0.026563,0.032925,0.043905,0.061971,0.090433", \ - "0.018737,0.022862,0.027181,0.034999,0.048542,0.070931,0.106511", \ - "0.015423,0.020281,0.025379,0.034619,0.050664,0.077282,0.119791"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.020292,0.023610,0.027363,0.034788,0.049512,0.078809,0.137280", \ - "0.021654,0.025026,0.028842,0.036374,0.051238,0.080678,0.139258", \ - "0.026792,0.030089,0.033846,0.041338,0.056239,0.085822,0.144592", \ - "0.033852,0.037867,0.042214,0.050338,0.065211,0.094666,0.153438", \ - "0.042010,0.046835,0.051996,0.061532,0.078723,0.109187,0.167676", \ - "0.052384,0.058030,0.063996,0.074920,0.094402,0.128605,0.188601", \ - "0.064911,0.071419,0.078256,0.090672,0.112520,0.150394,0.215895"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.006142,0.007282,0.008595,0.011219,0.016484,0.027041,0.048180", \ - "0.006110,0.007273,0.008592,0.011219,0.016484,0.027042,0.048181", \ - "0.007953,0.008833,0.009774,0.011808,0.016538,0.027047,0.048179", \ - "0.012627,0.013803,0.015048,0.017323,0.021340,0.028980,0.048192", \ - "0.018580,0.020099,0.021720,0.024651,0.029711,0.038192,0.053056", \ - "0.025851,0.027745,0.029768,0.033388,0.039597,0.049860,0.066478", \ - "0.034483,0.036807,0.039273,0.043654,0.051031,0.063127,0.082568"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.011162,0.014097,0.017461,0.024183,0.037605,0.064424,0.118043", \ - "0.011165,0.014097,0.017459,0.024180,0.037605,0.064420,0.118040", \ - "0.011357,0.014158,0.017474,0.024180,0.037599,0.064432,0.118039", \ - "0.014860,0.017408,0.020248,0.025755,0.037916,0.064425,0.118053", \ - "0.019539,0.022166,0.025183,0.031100,0.042456,0.065762,0.118044", \ - "0.025450,0.028140,0.031265,0.037433,0.049436,0.072276,0.119605", \ - "0.032464,0.035272,0.038548,0.044982,0.057477,0.081464,0.126713"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.007281,0.008458,0.009766,0.012303,0.017225,0.026857,0.045891", \ - "0.008654,0.009824,0.011129,0.013665,0.018591,0.028225,0.047261", \ - "0.012818,0.014393,0.016033,0.018951,0.023941,0.033516,0.052511", \ - "0.015369,0.017682,0.020097,0.024422,0.031784,0.043685,0.062938", \ - "0.015598,0.018643,0.021825,0.027543,0.037347,0.053301,0.078198", \ - "0.013294,0.017069,0.021003,0.028102,0.040303,0.060278,0.091663", \ - "0.008315,0.012782,0.017455,0.025903,0.040483,0.064446,0.102225"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.020192,0.023510,0.027263,0.034689,0.049411,0.078710,0.137185", \ - "0.021495,0.024847,0.028649,0.036168,0.051028,0.080467,0.139053", \ - "0.026724,0.030001,0.033737,0.041192,0.056042,0.085586,0.144343", \ - "0.033819,0.037828,0.042164,0.050270,0.065106,0.094501,0.153214", \ - "0.042164,0.046959,0.052090,0.061589,0.078732,0.109138,0.167544", \ - "0.053040,0.058599,0.064494,0.075328,0.094699,0.128781,0.188653", \ - "0.066277,0.072666,0.079393,0.091643,0.113301,0.150973,0.216263"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.004714,0.005609,0.006632,0.008673,0.012762,0.020968,0.037421", \ - "0.004633,0.005565,0.006608,0.008665,0.012760,0.020969,0.037423", \ - "0.007070,0.007776,0.008539,0.009936,0.013161,0.020978,0.037424", \ - "0.011558,0.012567,0.013633,0.015565,0.018892,0.024554,0.037865", \ - "0.017333,0.018656,0.020053,0.022572,0.026894,0.034038,0.045566", \ - "0.024468,0.026129,0.027899,0.031047,0.036378,0.045150,0.059135", \ - "0.032995,0.035058,0.037242,0.041086,0.047508,0.057888,0.074425"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.011162,0.014096,0.017463,0.024178,0.037600,0.064419,0.118043", \ - "0.011172,0.014102,0.017459,0.024184,0.037597,0.064418,0.118047", \ - "0.011364,0.014162,0.017478,0.024179,0.037610,0.064431,0.118040", \ - "0.014872,0.017419,0.020264,0.025769,0.037920,0.064424,0.118055", \ - "0.019480,0.022121,0.025152,0.031078,0.042454,0.065769,0.118047", \ - "0.025189,0.027907,0.031060,0.037271,0.049337,0.072225,0.119599", \ - "0.032013,0.034823,0.038110,0.044580,0.057164,0.081279,0.126631"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.012210,0.013712,0.015381,0.018629,0.024950,0.037343,0.061859", \ - "0.013778,0.015297,0.016983,0.020258,0.026611,0.039033,0.063572", \ - "0.017705,0.019331,0.021105,0.024415,0.030801,0.043285,0.067880", \ - "0.021651,0.023765,0.026011,0.030127,0.037500,0.050755,0.075474", \ - "0.023668,0.026510,0.029509,0.034918,0.044259,0.059941,0.086909", \ - "0.022966,0.026633,0.030483,0.037395,0.049235,0.068586,0.099747", \ - "0.019214,0.023761,0.028505,0.037019,0.051562,0.075144,0.112083"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.025935,0.029296,0.033103,0.040639,0.055560,0.085141,0.144008", \ - "0.026603,0.030010,0.033859,0.041459,0.056457,0.086120,0.145039", \ - "0.031718,0.035037,0.038812,0.046321,0.061244,0.090888,0.149847", \ - "0.043144,0.046745,0.050625,0.057837,0.072319,0.101476,0.160036", \ - "0.056434,0.060927,0.065777,0.074812,0.090953,0.119597,0.177213", \ - "0.071450,0.076703,0.082397,0.093102,0.112411,0.145607,0.202848", \ - "0.088625,0.094625,0.101089,0.113255,0.135352,0.173844,0.237810"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.006077,0.007235,0.008560,0.011201,0.016479,0.027041,0.048182", \ - "0.006064,0.007228,0.008557,0.011201,0.016478,0.027041,0.048180", \ - "0.006651,0.007677,0.008858,0.011317,0.016457,0.027039,0.048180", \ - "0.009343,0.010371,0.011526,0.013813,0.018416,0.027810,0.048179", \ - "0.013851,0.015024,0.016300,0.018684,0.023171,0.032024,0.050314", \ - "0.019741,0.021127,0.022623,0.025379,0.030269,0.039137,0.056591", \ - "0.026912,0.028517,0.030274,0.033492,0.039102,0.048691,0.066032"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.021862,0.025183,0.028922,0.036227,0.050375,0.077837,0.131917", \ - "0.021863,0.025183,0.028922,0.036227,0.050373,0.077839,0.131917", \ - "0.021838,0.025177,0.028920,0.036226,0.050370,0.077836,0.131917", \ - "0.025460,0.027966,0.030962,0.037180,0.050359,0.077834,0.131921", \ - "0.033691,0.036385,0.039347,0.044825,0.055225,0.078709,0.131920", \ - "0.042399,0.045464,0.048876,0.055266,0.066709,0.086945,0.133073", \ - "0.051895,0.055265,0.059065,0.066275,0.079376,0.101983,0.142543"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.009996,0.011367,0.012920,0.016000,0.022120,0.034320,0.058682", \ - "0.011472,0.012888,0.014478,0.017603,0.023770,0.036006,0.060393", \ - "0.014753,0.016436,0.018246,0.021643,0.027918,0.040237,0.064690", \ - "0.017073,0.019479,0.021977,0.026423,0.034108,0.047564,0.072263", \ - "0.016979,0.020316,0.023750,0.029780,0.039849,0.056172,0.083515", \ - "0.013955,0.018321,0.022784,0.030585,0.043501,0.063910,0.095880", \ - "0.007874,0.013284,0.018803,0.028445,0.044378,0.069392,0.107549"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.025151,0.028517,0.032320,0.039843,0.054719,0.084221,0.142949", \ - "0.025815,0.029225,0.033073,0.040659,0.055615,0.085196,0.143970", \ - "0.030947,0.034264,0.038034,0.045527,0.060409,0.089970,0.148777", \ - "0.042234,0.045891,0.049820,0.057060,0.071497,0.100564,0.158966", \ - "0.055240,0.059792,0.064702,0.073815,0.090052,0.118698,0.176154", \ - "0.069932,0.075279,0.081043,0.091831,0.111245,0.144593,0.201782", \ - "0.086807,0.092896,0.099429,0.111697,0.133919,0.172563,0.236680"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.004239,0.005393,0.006717,0.009367,0.014661,0.025236,0.046379", \ - "0.004242,0.005394,0.006718,0.009367,0.014660,0.025239,0.046381", \ - "0.005284,0.006294,0.007459,0.009768,0.014722,0.025237,0.046382", \ - "0.008373,0.009393,0.010516,0.012704,0.017138,0.026314,0.046416", \ - "0.013120,0.014301,0.015564,0.017916,0.022278,0.030875,0.048884", \ - "0.019345,0.020675,0.022124,0.024816,0.029618,0.038288,0.055422", \ - "0.026859,0.028346,0.030017,0.033120,0.038608,0.048050,0.065108"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.016143,0.019131,0.022553,0.029372,0.042961,0.070055,0.124082", \ - "0.016143,0.019131,0.022551,0.029371,0.042961,0.070055,0.124073", \ - "0.016111,0.019124,0.022550,0.029371,0.042959,0.070053,0.124072", \ - "0.019836,0.022050,0.024712,0.030398,0.042970,0.070045,0.124069", \ - "0.025517,0.028495,0.031711,0.037581,0.047942,0.070973,0.124062", \ - "0.031708,0.035262,0.039124,0.046178,0.058478,0.079303,0.125236", \ - "0.038586,0.042646,0.047086,0.055251,0.069592,0.093602,0.134799"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.008569,0.009623,0.010816,0.013178,0.017868,0.027210,0.045862", \ - "0.010131,0.011221,0.012441,0.014838,0.019563,0.028934,0.047604", \ - "0.013986,0.015477,0.017036,0.019850,0.024806,0.034257,0.052989", \ - "0.016381,0.018665,0.021034,0.025228,0.032275,0.043744,0.063056", \ - "0.016179,0.019382,0.022687,0.028494,0.038161,0.053510,0.077349", \ - "0.012944,0.017152,0.021470,0.029032,0.041552,0.061254,0.091276", \ - "0.006544,0.011772,0.017124,0.026502,0.042010,0.066335,0.103115"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.027498,0.030826,0.034606,0.042093,0.056929,0.086385,0.145055", \ - "0.028163,0.031536,0.035357,0.042912,0.057841,0.087395,0.146147", \ - "0.033277,0.036564,0.040308,0.047765,0.062602,0.092129,0.150933", \ - "0.044885,0.048391,0.052143,0.059314,0.073728,0.102757,0.161116", \ - "0.058583,0.062949,0.067705,0.076581,0.092490,0.120976,0.178395", \ - "0.073914,0.079033,0.084635,0.095182,0.114259,0.147179,0.204180", \ - "0.091383,0.097249,0.103599,0.115600,0.137474,0.175709,0.239337"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.003542,0.004425,0.005436,0.007453,0.011475,0.019489,0.035502", \ - "0.003548,0.004427,0.005437,0.007452,0.011475,0.019488,0.035501", \ - "0.005136,0.005859,0.006668,0.008233,0.011690,0.019491,0.035498", \ - "0.008784,0.009647,0.010585,0.012346,0.015581,0.021735,0.035750", \ - "0.013914,0.014914,0.016011,0.018078,0.021827,0.028460,0.040708", \ - "0.020528,0.021633,0.022889,0.025266,0.029596,0.037148,0.050243", \ - "0.028465,0.029682,0.031108,0.033855,0.038850,0.047450,0.061999"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.017260,0.020276,0.023724,0.030581,0.044207,0.071331,0.125381", \ - "0.017259,0.020277,0.023721,0.030576,0.044206,0.071332,0.125390", \ - "0.017245,0.020274,0.023723,0.030577,0.044207,0.071324,0.125391", \ - "0.020392,0.022697,0.025463,0.031328,0.044197,0.071328,0.125387", \ - "0.026383,0.029313,0.032477,0.038273,0.048683,0.072064,0.125374", \ - "0.032756,0.036229,0.040028,0.046980,0.059149,0.079970,0.126371", \ - "0.039720,0.043715,0.048090,0.056153,0.070339,0.094172,0.135528"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.014626,0.016151,0.017852,0.021160,0.027578,0.040092,0.064716", \ - "0.016061,0.017589,0.019294,0.022608,0.029032,0.041551,0.066179", \ - "0.020130,0.021713,0.023435,0.026758,0.033207,0.045756,0.070409", \ - "0.025095,0.027036,0.029136,0.033054,0.040218,0.053293,0.078046", \ - "0.028612,0.031184,0.033923,0.038942,0.047785,0.062999,0.089629", \ - "0.029731,0.033023,0.036501,0.042847,0.053928,0.072446,0.102928", \ - "0.028250,0.032291,0.036539,0.044266,0.057732,0.080114,0.115985"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.029898,0.033245,0.037042,0.044563,0.059466,0.089042,0.147897", \ - "0.031401,0.034774,0.038594,0.046149,0.061090,0.090708,0.149589", \ - "0.036678,0.040049,0.043872,0.051443,0.066427,0.096107,0.155069", \ - "0.045550,0.049147,0.053098,0.060630,0.075558,0.105202,0.164169", \ - "0.056234,0.060415,0.065014,0.073769,0.089989,0.119782,0.178561", \ - "0.069332,0.074152,0.079374,0.089232,0.107424,0.140288,0.199578", \ - "0.085028,0.090574,0.096427,0.107430,0.127569,0.163706,0.227632"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.007784,0.008953,0.010288,0.012947,0.018241,0.028816,0.049961", \ - "0.007779,0.008951,0.010287,0.012945,0.018240,0.028816,0.049959", \ - "0.008114,0.009202,0.010462,0.013016,0.018240,0.028816,0.049961", \ - "0.010552,0.011602,0.012795,0.015159,0.019858,0.029447,0.049970", \ - "0.014909,0.016084,0.017361,0.019778,0.024360,0.033375,0.051878", \ - "0.020587,0.021970,0.023474,0.026251,0.031225,0.040259,0.057933", \ - "0.027404,0.029032,0.030803,0.034056,0.039752,0.049533,0.067169"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.021861,0.025183,0.028922,0.036227,0.050372,0.077838,0.131923", \ - "0.021862,0.025183,0.028922,0.036227,0.050371,0.077840,0.131920", \ - "0.021861,0.025182,0.028922,0.036226,0.050370,0.077837,0.131916", \ - "0.023908,0.026734,0.030048,0.036746,0.050379,0.077832,0.131919", \ - "0.029921,0.032673,0.035763,0.041772,0.053479,0.078498,0.131920", \ - "0.036645,0.039484,0.042709,0.048994,0.061029,0.083852,0.132916", \ - "0.044140,0.047058,0.050404,0.056995,0.069636,0.093500,0.139119"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.011968,0.013452,0.015109,0.018341,0.024646,0.037025,0.061529", \ - "0.013394,0.014884,0.016546,0.019786,0.026098,0.038484,0.062992", \ - "0.017170,0.018791,0.020556,0.023892,0.030253,0.042677,0.067213", \ - "0.020946,0.023093,0.025369,0.029512,0.036892,0.050128,0.074830", \ - "0.022706,0.025624,0.028685,0.034172,0.043591,0.059311,0.086263", \ - "0.021943,0.025701,0.029614,0.036613,0.048539,0.067953,0.099123", \ - "0.018624,0.023218,0.027987,0.036526,0.051066,0.074629,0.111545"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.029116,0.032467,0.036260,0.043767,0.058628,0.088118,0.146835", \ - "0.030617,0.033993,0.037809,0.045352,0.060254,0.089782,0.148534", \ - "0.035895,0.039268,0.043087,0.050646,0.065589,0.095187,0.154009", \ - "0.044682,0.048306,0.052294,0.059837,0.074723,0.104282,0.163108", \ - "0.055170,0.059396,0.064034,0.072830,0.089105,0.118869,0.177494", \ - "0.068068,0.072946,0.078204,0.088129,0.106396,0.139290,0.198499", \ - "0.083519,0.089125,0.095037,0.106130,0.126386,0.162566,0.226484"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.006098,0.007257,0.008579,0.011217,0.016490,0.027046,0.048179", \ - "0.006090,0.007251,0.008576,0.011217,0.016490,0.027046,0.048179", \ - "0.006788,0.007834,0.009019,0.011460,0.016529,0.027049,0.048178", \ - "0.009599,0.010620,0.011756,0.014017,0.018559,0.027926,0.048207", \ - "0.014142,0.015309,0.016579,0.018955,0.023412,0.032194,0.050425", \ - "0.019967,0.021330,0.022821,0.025573,0.030483,0.039361,0.056739", \ - "0.026895,0.028491,0.030250,0.033469,0.039112,0.048792,0.066187"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.016142,0.019132,0.022551,0.029371,0.042961,0.070053,0.124069", \ - "0.016142,0.019130,0.022551,0.029372,0.042961,0.070054,0.124083", \ - "0.016140,0.019128,0.022551,0.029372,0.042962,0.070053,0.124093", \ - "0.018227,0.020767,0.023742,0.029933,0.042974,0.070053,0.124081", \ - "0.022514,0.025353,0.028511,0.034593,0.046163,0.070737,0.124067", \ - "0.027531,0.030611,0.034045,0.040622,0.053033,0.076154,0.125079", \ - "0.033234,0.036572,0.040285,0.047384,0.060671,0.085249,0.131332"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.009886,0.011038,0.012322,0.014821,0.019680,0.029189,0.047973", \ - "0.011411,0.012566,0.013853,0.016357,0.021221,0.030735,0.049523", \ - "0.016024,0.017397,0.018858,0.021551,0.026477,0.036031,0.054850", \ - "0.020015,0.022051,0.024194,0.028050,0.034682,0.045761,0.064958", \ - "0.021653,0.024463,0.027408,0.032688,0.041693,0.056344,0.079582", \ - "0.020641,0.024279,0.028070,0.034857,0.046409,0.065093,0.094197", \ - "0.016956,0.021417,0.026052,0.034360,0.048512,0.071404,0.106917"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.031481,0.034795,0.038562,0.046030,0.060847,0.090287,0.148952", \ - "0.032983,0.036327,0.040120,0.047632,0.062503,0.092003,0.150715", \ - "0.038229,0.041565,0.045354,0.052875,0.067779,0.097354,0.156155", \ - "0.047238,0.050744,0.054590,0.062058,0.076898,0.106410,0.165201", \ - "0.058229,0.062296,0.066802,0.075409,0.091444,0.121032,0.179596", \ - "0.071680,0.076358,0.081455,0.091162,0.109143,0.141726,0.200699", \ - "0.087713,0.093117,0.098841,0.109667,0.129582,0.165420,0.228950"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.004986,0.005871,0.006879,0.008885,0.012876,0.020863,0.036847", \ - "0.004971,0.005861,0.006873,0.008883,0.012875,0.020862,0.036848", \ - "0.006206,0.006919,0.007716,0.009362,0.012988,0.020865,0.036850", \ - "0.009842,0.010673,0.011577,0.013293,0.016516,0.022736,0.037036", \ - "0.014772,0.015780,0.016884,0.018955,0.022695,0.029331,0.041604", \ - "0.020952,0.022128,0.023438,0.025899,0.030325,0.037952,0.051094", \ - "0.028269,0.029632,0.031179,0.034068,0.039234,0.048041,0.062773"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("0.017258,0.020275,0.023722,0.030579,0.044208,0.071327,0.125391", \ - "0.017256,0.020275,0.023722,0.030579,0.044206,0.071330,0.125389", \ - "0.017258,0.020276,0.023722,0.030577,0.044207,0.071333,0.125385", \ - "0.019030,0.021634,0.024696,0.030997,0.044213,0.071323,0.125381", \ - "0.023412,0.026248,0.029405,0.035493,0.047114,0.071916,0.125368", \ - "0.028439,0.031506,0.034937,0.041517,0.053929,0.077118,0.126275", \ - "0.034162,0.037473,0.041177,0.048258,0.061532,0.086145,0.132326"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("2.906856,3.013414,3.080251,3.161221,3.269707,3.365156,3.469819", \ - "2.881888,2.981069,3.071931,3.204814,3.338796,3.481814,3.590034", \ - "2.939037,2.991275,3.058024,3.163092,3.293608,3.456783,3.613723", \ - "3.873792,3.789280,3.707549,3.585448,3.519389,3.605799,3.700608", \ - "5.629711,5.504261,5.346507,5.086000,4.685033,4.258096,4.125012", \ - "8.208529,8.084150,7.941909,7.576801,6.925401,6.040650,5.188514", \ - "11.650510,11.546780,11.403700,11.073170,10.291630,8.952481,7.355826"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("13.059930,13.197330,13.217590,13.279910,13.393870,13.488260,13.414620", \ - "12.699840,12.870700,12.981970,13.100190,13.296240,13.467830,13.326770", \ - "12.808720,12.879160,12.885330,12.935790,13.067120,13.220680,13.163950", \ - "13.929720,13.903550,13.796390,13.676300,13.523040,13.463730,13.240010", \ - "15.898180,15.883560,15.721810,15.471190,15.139160,14.527370,14.217360", \ - "18.686510,18.507910,18.353240,18.054210,17.646500,16.763170,15.890420", \ - "23.026330,22.665290,22.375590,21.816600,21.219540,20.243020,18.698200"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("1.066552,1.125386,1.155117,1.182592,1.215837,1.238426,1.248135", \ - "0.979195,1.051620,1.097189,1.180556,1.265963,1.336612,1.378085", \ - "1.039464,1.007201,1.027792,1.099687,1.210751,1.313238,1.394345", \ - "2.183590,2.032217,1.872613,1.662899,1.451851,1.444794,1.472462", \ - "4.128684,3.895674,3.693408,3.303387,2.755615,2.162585,1.925932", \ - "6.817365,6.626436,6.423361,5.936938,5.148292,4.053832,3.032764", \ - "10.227780,10.126830,9.951689,9.528727,8.658503,7.096712,5.273950"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("10.494740,10.597460,10.627770,10.675490,10.705960,10.753770,10.934760", \ - "10.131860,10.230000,10.314390,10.427990,10.634690,10.659240,10.837800", \ - "10.250280,10.219080,10.274730,10.334190,10.344810,10.596330,10.637970", \ - "11.377970,11.300180,11.215750,11.007320,10.981390,10.868150,10.757960", \ - "12.757230,12.829480,12.874220,12.862850,12.410370,11.909300,11.532780", \ - "15.787390,15.598110,15.446300,15.202960,15.096160,14.322870,13.084210", \ - "20.104140,19.844840,19.567180,19.141020,18.501910,17.711020,16.219170"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("1.092907,1.118039,1.143159,1.187408,1.218164,1.232098,1.250981", \ - "0.994986,1.045903,1.121310,1.199208,1.267624,1.338881,1.380208", \ - "1.229852,1.151895,1.086740,1.136806,1.227641,1.326872,1.400378", \ - "2.369902,2.192523,2.077397,1.876380,1.595989,1.510649,1.516043", \ - "4.244897,4.123208,3.933025,3.583525,3.040580,2.436294,2.036938", \ - "7.004422,6.812977,6.630923,6.283454,5.544990,4.456296,3.336127", \ - "10.382540,10.323170,10.194440,9.834880,9.087255,7.676588,5.812070"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("10.449640,10.504840,10.583380,10.713700,10.759880,10.763850,10.356770", \ - "10.045660,10.080000,10.201260,10.368290,10.500760,10.670450,10.753750", \ - "10.222460,10.205480,10.227200,10.253650,10.307300,10.303370,10.532640", \ - "11.414270,11.361130,11.246960,11.048670,10.936440,10.798000,10.685630", \ - "12.964950,12.938230,12.975040,13.019610,12.619320,11.755780,11.116710", \ - "15.990400,15.816930,15.721890,15.468460,15.346330,14.499550,13.558650", \ - "20.597000,20.246860,19.966020,19.516020,18.888400,18.020700,16.513990"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("4.555673,4.652682,4.765389,4.866489,4.992799,5.150128,5.293685", \ - "4.400985,4.486827,4.541672,4.650554,4.788905,4.965667,5.118221", \ - "4.318561,4.370556,4.445254,4.562313,4.686691,4.865487,5.023336", \ - "5.093013,5.060283,4.998284,4.899384,4.949018,5.036993,5.125328", \ - "6.849016,6.764725,6.651131,6.434999,6.102174,5.760411,5.633021", \ - "9.539163,9.453662,9.273043,8.918288,8.389459,7.599518,6.783795", \ - "13.273230,13.139030,12.958570,12.587340,11.858670,10.622810,9.070295"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("16.315560,16.424540,16.503690,16.515350,16.628180,16.276940,16.684590", \ - "16.032220,16.166680,16.194820,16.379690,16.345180,16.639790,16.529810", \ - "15.736260,15.752840,15.853900,15.928420,16.115850,16.315850,16.289810", \ - "15.875260,15.886220,15.912240,15.943110,15.963840,15.848070,16.221190", \ - "16.738730,16.785950,16.834720,16.667020,16.536880,16.454280,16.245950", \ - "18.495790,18.356690,18.343840,18.258730,18.140540,17.722340,16.962000", \ - "21.917460,21.596840,21.358380,20.851900,20.389910,19.977280,18.875000"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("2.650546,2.730373,2.758321,2.837172,2.915726,3.000231,3.072207", \ - "2.442210,2.508133,2.563219,2.623582,2.715724,2.820555,2.903381", \ - "2.347903,2.367297,2.445392,2.519757,2.599990,2.707209,2.811056", \ - "3.358889,3.258233,3.137537,2.975954,2.893351,2.905455,2.911158", \ - "5.277495,5.109691,4.934890,4.623971,4.185357,3.666465,3.430946", \ - "8.101528,7.952757,7.719782,7.294259,6.593533,5.627504,4.635964", \ - "11.858870,11.720330,11.508170,11.075920,10.196740,8.774543,7.017966"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("13.752030,13.772470,13.847250,13.837530,13.941000,13.880720,13.551590", \ - "13.467090,13.532960,13.605550,13.685220,13.855480,14.001980,14.048860", \ - "13.169700,13.176690,13.268380,13.297080,13.502710,13.598170,13.739070", \ - "13.279270,13.250740,13.310900,13.350870,13.413000,13.205260,13.190540", \ - "13.636330,13.709720,13.850880,14.125710,13.923060,13.667880,13.765300", \ - "15.639820,15.500450,15.377780,15.316340,15.458580,14.952030,14.479600", \ - "19.051080,18.793790,18.532510,18.181860,17.763760,17.269500,16.395190"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("2.551227,2.630988,2.691353,2.760071,2.864608,2.955286,3.045829", \ - "2.322983,2.409940,2.452171,2.570842,2.659426,2.773344,2.870814", \ - "2.339505,2.317977,2.393838,2.474570,2.576214,2.681136,2.782152", \ - "3.487040,3.386432,3.283264,3.133153,2.896866,2.894022,2.915531", \ - "5.448612,5.278789,5.137409,4.841451,4.421323,3.844969,3.494650", \ - "8.358856,8.206343,7.994437,7.619219,6.955239,5.987445,4.871303", \ - "12.113250,11.984880,11.819150,11.466500,10.657950,9.316645,7.529417"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("13.709250,13.769660,13.792660,13.846590,13.952800,13.899090,13.514130", \ - "13.395980,13.416480,13.522160,13.562320,13.741430,13.837560,13.968540", \ - "13.129940,13.162340,13.223010,13.236040,13.394560,13.293790,13.641320", \ - "13.270190,13.237630,13.311790,13.307920,13.294540,13.141150,13.120900", \ - "13.673470,13.740470,13.861410,14.058230,13.871280,13.695330,13.713670", \ - "15.815030,15.663640,15.598050,15.486480,15.517120,15.190830,14.496970", \ - "19.379330,19.110130,18.833480,18.476630,17.858250,17.683120,16.520930"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("2.922944,3.005271,3.089335,3.176292,3.262548,3.370840,3.456855", \ - "2.863462,2.997125,3.078541,3.190678,3.322228,3.472165,3.589301", \ - "2.627104,2.726189,2.809078,2.965010,3.171051,3.369495,3.562535", \ - "2.965546,2.993800,2.993784,2.972583,3.041205,3.255498,3.483351", \ - "4.049657,3.993093,3.913052,3.797494,3.661269,3.471963,3.586706", \ - "5.758733,5.686453,5.605015,5.411945,5.074248,4.606005,4.130575", \ - "8.242482,8.161508,8.046012,7.871049,7.387864,6.593589,5.597600"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("18.656920,18.748550,18.737830,18.818210,18.778950,19.087660,19.162350", \ - "18.393980,18.418300,18.530970,18.554740,18.552040,18.934570,18.957880", \ - "18.309310,18.394990,18.382240,18.510560,18.631150,18.349930,18.800840", \ - "19.297550,19.232620,19.258660,19.210460,18.922960,19.124900,19.024320", \ - "21.267190,21.229340,21.101090,20.955100,20.581110,19.944330,20.032980", \ - "23.876300,23.846580,23.825320,23.728930,23.337790,22.686730,21.851930", \ - "27.869470,27.759930,27.628430,27.323370,26.846940,26.046050,24.665260"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("1.110025,1.137634,1.145987,1.181664,1.213622,1.224551,1.240827", \ - "0.936479,1.027100,1.089334,1.177714,1.258494,1.318272,1.363033", \ - "0.567280,0.641807,0.737684,0.878310,1.056906,1.221572,1.331315", \ - "1.056846,1.039807,1.004674,0.946577,0.916938,1.095023,1.254897", \ - "2.241231,2.156036,2.047906,1.874741,1.626970,1.342969,1.358237", \ - "4.122536,3.979463,3.876954,3.584357,3.158478,2.540480,1.926644", \ - "6.675625,6.553233,6.395688,6.122699,5.589026,4.630990,3.462751"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("15.959870,16.003100,16.054550,16.166130,16.303280,16.267240,16.246840", \ - "15.604810,15.644340,15.798430,15.910940,16.074290,16.039320,16.161660", \ - "15.515420,15.652130,15.702310,15.675980,15.945940,15.854030,16.313410", \ - "16.535140,16.552800,16.552170,16.450010,16.378400,16.250160,16.509650", \ - "18.221760,18.345140,18.395040,18.178470,17.909820,17.604870,17.007170", \ - "20.783310,20.777010,20.823960,20.836810,20.574250,19.767160,19.050050", \ - "24.892070,24.810680,24.703110,24.498340,24.162590,23.366140,22.183860"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("1.109184,1.142027,1.159677,1.181208,1.213870,1.223189,1.235289", \ - "0.923766,1.028635,1.093028,1.179889,1.264546,1.320490,1.362221", \ - "0.594203,0.663168,0.737767,0.890053,1.054923,1.221079,1.334268", \ - "1.117927,1.089591,1.055754,1.012149,0.960531,1.102371,1.259736", \ - "2.333950,2.226895,2.113096,1.934138,1.719346,1.460105,1.387939", \ - "4.262221,4.137611,4.004721,3.730268,3.241443,2.662507,2.071187", \ - "6.899459,6.749599,6.575966,6.317219,5.759171,4.815158,3.640641"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("17.285520,17.282820,17.373540,17.452570,17.558660,17.538290,17.693240", \ - "16.931490,17.020410,17.056960,17.240500,17.284160,17.538910,17.729000", \ - "16.840860,16.934480,17.021050,17.114200,17.056660,17.242720,17.278010", \ - "17.847140,17.880100,17.828150,17.843010,17.652470,17.600000,17.717130", \ - "19.630600,19.689570,19.719900,19.635510,19.393200,19.010390,18.551580", \ - "22.124670,22.138750,22.174960,22.262300,22.001360,21.316190,20.370640", \ - "26.187780,26.124690,26.079350,25.878710,25.625720,24.826970,23.642620"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("4.587209,4.671568,4.746710,4.864838,4.978898,5.147303,5.302828", \ - "4.385567,4.483470,4.545107,4.673784,4.806727,4.968212,5.116595", \ - "4.161469,4.240139,4.349874,4.473987,4.633186,4.822927,5.007191", \ - "4.459999,4.446656,4.470699,4.441579,4.598499,4.783135,4.961996", \ - "5.427370,5.400877,5.350063,5.278504,5.178316,5.026705,5.134342", \ - "7.090310,7.054111,6.976564,6.839585,6.570370,6.180088,5.730005", \ - "9.638827,9.605069,9.512369,9.294902,8.888333,8.184135,7.279054"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("21.813000,21.900680,21.946910,22.002140,21.904460,22.219630,22.234340", \ - "21.640410,21.692690,21.750910,21.847110,21.701700,21.752580,22.159510", \ - "21.377810,21.478390,21.477510,21.633820,21.767740,21.924010,21.913230", \ - "21.451820,21.527110,21.564370,21.532840,21.446680,21.861180,21.980420", \ - "22.351690,22.292440,22.318120,22.201400,22.176250,22.221660,22.187010", \ - "23.738960,23.780060,23.848070,23.824500,23.673060,23.460880,22.850110", \ - "26.637320,26.580080,26.489080,26.365250,26.140040,25.839460,25.002510"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("2.674641,2.729631,2.760441,2.833746,2.918468,3.004457,3.080598", \ - "2.458382,2.520924,2.555613,2.633126,2.725237,2.823064,2.909135", \ - "2.134217,2.230468,2.322191,2.423270,2.542236,2.664969,2.781909", \ - "2.551055,2.519683,2.505317,2.459192,2.481849,2.616636,2.741369", \ - "3.602398,3.517799,3.455740,3.335846,3.154543,2.880368,2.925264", \ - "5.416292,5.291087,5.163738,4.998039,4.635738,4.123517,3.534088", \ - "8.042313,7.957324,7.806696,7.559987,7.061822,6.214786,5.148898"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("19.117110,19.115320,19.241570,19.313780,19.229100,19.534000,19.414880", \ - "18.896570,18.918130,19.006250,19.146880,19.272830,19.254920,19.243040", \ - "18.581160,18.693310,18.810520,18.891430,18.926390,18.985350,19.431430", \ - "18.708360,18.766710,18.827290,18.930190,18.971330,19.050240,19.059690", \ - "19.215640,19.405550,19.544590,19.545510,19.580980,19.350490,19.622030", \ - "20.694880,20.759040,20.831620,20.921740,20.918140,20.626280,20.360980", \ - "23.659320,23.627660,23.603010,23.476680,23.344940,22.847450,22.310360"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("2.609184,2.673625,2.735823,2.790044,2.865802,2.967581,3.044648", \ - "2.385569,2.464001,2.524739,2.592235,2.674435,2.785832,2.866360", \ - "2.157798,2.200129,2.277491,2.384847,2.504167,2.624878,2.755832", \ - "2.551646,2.580407,2.547847,2.501473,2.465221,2.603402,2.721562", \ - "3.655201,3.568589,3.531053,3.396803,3.227522,2.970545,2.920315", \ - "5.555131,5.443145,5.306260,5.108243,4.728370,4.227213,3.637370", \ - "8.224746,8.157757,8.012696,7.730662,7.205497,6.353422,5.316162"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); - values ("20.450020,20.443060,20.529530,20.597330,20.561890,20.827770,20.874180", \ - "20.128100,20.236600,20.348430,20.438220,20.554990,20.689470,20.628530", \ - "19.899700,20.038460,20.115810,20.207730,20.189640,20.378520,20.753210", \ - "20.052710,20.072560,20.131550,20.168260,20.200740,20.331170,20.504120", \ - "20.578130,20.772150,20.836850,20.796280,20.792210,20.735130,20.669210", \ - "22.075480,22.084060,22.133330,22.204080,22.337440,22.015890,21.679910", \ - "24.942320,24.968260,24.962180,24.852660,24.708170,24.393280,23.780960"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI33_X1 - Cell Description : Combinational cell (OAI33_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI33_X1) { - - drive_strength : 1; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 48.313952; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !B1 & !B2 & !B3"; - value : 10.446700; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & !B1 & !B2 & B3"; - value : 45.139380; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & !B1 & B2 & !B3"; - value : 45.139490; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & !B1 & B2 & B3"; - value : 59.764210; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & B1 & !B2 & !B3"; - value : 45.139600; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & B1 & !B2 & B3"; - value : 59.760470; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & B1 & B2 & !B3"; - value : 59.764320; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & B1 & B2 & B3"; - value : 74.386180; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !B1 & !B2 & !B3"; - value : 17.891543; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !B1 & !B2 & B3"; - value : 32.355543; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !B1 & B2 & !B3"; - value : 32.587390; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !B1 & B2 & B3"; - value : 45.729860; - } - leakage_power () { - when : "!A1 & !A2 & A3 & B1 & !B2 & !B3"; - value : 34.937100; - } - leakage_power () { - when : "!A1 & !A2 & A3 & B1 & !B2 & B3"; - value : 45.767348; - } - leakage_power () { - when : "!A1 & !A2 & A3 & B1 & B2 & !B3"; - value : 45.839508; - } - leakage_power () { - when : "!A1 & !A2 & A3 & B1 & B2 & B3"; - value : 60.383961; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !B1 & !B2 & !B3"; - value : 17.891541; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !B1 & !B2 & B3"; - value : 32.587401; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !B1 & B2 & !B3"; - value : 32.819248; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !B1 & B2 & B3"; - value : 45.961718; - } - leakage_power () { - when : "!A1 & A2 & !A3 & B1 & !B2 & !B3"; - value : 35.168958; - } - leakage_power () { - when : "!A1 & A2 & !A3 & B1 & !B2 & B3"; - value : 45.999206; - } - leakage_power () { - when : "!A1 & A2 & !A3 & B1 & B2 & !B3"; - value : 46.071355; - } - leakage_power () { - when : "!A1 & A2 & !A3 & B1 & B2 & B3"; - value : 60.615819; - } - leakage_power () { - when : "!A1 & A2 & A3 & !B1 & !B2 & !B3"; - value : 15.241493; - } - leakage_power () { - when : "!A1 & A2 & A3 & !B1 & !B2 & B3"; - value : 45.727000; - } - leakage_power () { - when : "!A1 & A2 & A3 & !B1 & B2 & !B3"; - value : 45.958847; - } - leakage_power () { - when : "!A1 & A2 & A3 & !B1 & B2 & B3"; - value : 59.102256; - } - leakage_power () { - when : "!A1 & A2 & A3 & B1 & !B2 & !B3"; - value : 48.308359; - } - leakage_power () { - when : "!A1 & A2 & A3 & B1 & !B2 & B3"; - value : 59.139775; - } - leakage_power () { - when : "!A1 & A2 & A3 & B1 & B2 & !B3"; - value : 59.211925; - } - leakage_power () { - when : "!A1 & A2 & A3 & B1 & B2 & B3"; - value : 73.756833; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !B1 & !B2 & !B3"; - value : 17.891651; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !B1 & !B2 & B3"; - value : 34.937100; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !B1 & B2 & !B3"; - value : 35.168958; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !B1 & B2 & B3"; - value : 48.311483; - } - leakage_power () { - when : "A1 & !A2 & !A3 & B1 & !B2 & !B3"; - value : 37.518602; - } - leakage_power () { - when : "A1 & !A2 & !A3 & B1 & !B2 & B3"; - value : 48.348949; - } - leakage_power () { - when : "A1 & !A2 & !A3 & B1 & B2 & !B3"; - value : 48.421153; - } - leakage_power () { - when : "A1 & !A2 & !A3 & B1 & B2 & B3"; - value : 62.965441; - } - leakage_power () { - when : "A1 & !A2 & A3 & !B1 & !B2 & !B3"; - value : 15.237753; - } - leakage_power () { - when : "A1 & !A2 & A3 & !B1 & !B2 & B3"; - value : 45.764488; - } - leakage_power () { - when : "A1 & !A2 & A3 & !B1 & B2 & !B3"; - value : 45.996445; - } - leakage_power () { - when : "A1 & !A2 & A3 & !B1 & B2 & B3"; - value : 59.139776; - } - leakage_power () { - when : "A1 & !A2 & A3 & B1 & !B2 & !B3"; - value : 48.345825; - } - leakage_power () { - when : "A1 & !A2 & A3 & B1 & !B2 & B3"; - value : 59.177199; - } - leakage_power () { - when : "A1 & !A2 & A3 & B1 & B2 & !B3"; - value : 59.248970; - } - leakage_power () { - when : "A1 & !A2 & A3 & B1 & B2 & B3"; - value : 73.793677; - } - leakage_power () { - when : "A1 & A2 & !A3 & !B1 & !B2 & !B3"; - value : 15.241493; - } - leakage_power () { - when : "A1 & A2 & !A3 & !B1 & !B2 & B3"; - value : 45.836637; - } - leakage_power () { - when : "A1 & A2 & !A3 & !B1 & B2 & !B3"; - value : 46.068605; - } - leakage_power () { - when : "A1 & A2 & !A3 & !B1 & B2 & B3"; - value : 59.211925; - } - leakage_power () { - when : "A1 & A2 & !A3 & B1 & !B2 & !B3"; - value : 48.418018; - } - leakage_power () { - when : "A1 & A2 & !A3 & B1 & !B2 & B3"; - value : 59.248969; - } - leakage_power () { - when : "A1 & A2 & !A3 & B1 & B2 & !B3"; - value : 59.321592; - } - leakage_power () { - when : "A1 & A2 & !A3 & B1 & B2 & B3"; - value : 73.866301; - } - leakage_power () { - when : "A1 & A2 & A3 & !B1 & !B2 & !B3"; - value : 12.254882; - } - leakage_power () { - when : "A1 & A2 & A3 & !B1 & !B2 & B3"; - value : 60.377251; - } - leakage_power () { - when : "A1 & A2 & A3 & !B1 & B2 & !B3"; - value : 60.609208; - } - leakage_power () { - when : "A1 & A2 & A3 & !B1 & B2 & B3"; - value : 73.754192; - } - leakage_power () { - when : "A1 & A2 & A3 & B1 & !B2 & !B3"; - value : 62.958236; - } - leakage_power () { - when : "A1 & A2 & A3 & B1 & !B2 & B3"; - value : 73.791146; - } - leakage_power () { - when : "A1 & A2 & A3 & B1 & B2 & !B3"; - value : 73.863770; - } - leakage_power () { - when : "A1 & A2 & A3 & B1 & B2 & B3"; - value : 88.408917; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.679872; - fall_capacitance : 1.399667; - rise_capacitance : 1.679872; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.617460; - fall_capacitance : 1.489542; - rise_capacitance : 1.617460; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.573439; - fall_capacitance : 1.567009; - rise_capacitance : 1.573439; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.651275; - fall_capacitance : 1.364427; - rise_capacitance : 1.651275; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.611999; - fall_capacitance : 1.468489; - rise_capacitance : 1.611999; - } - - pin (B3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.581480; - fall_capacitance : 1.550381; - rise_capacitance : 1.581480; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 11.482200; - function : "!(((A1 | A2) | A3) & ((B1 | B2) | B3))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & !B2 & B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011005,0.011733,0.012998,0.015182,0.018922,0.025317,0.036302", \ - "0.012493,0.013218,0.014483,0.016670,0.020421,0.026834,0.037837", \ - "0.018230,0.018954,0.020184,0.022205,0.025726,0.031999,0.042938", \ - "0.024003,0.025030,0.026797,0.029728,0.034454,0.041860,0.053038", \ - "0.027044,0.028405,0.030713,0.034602,0.040860,0.050675,0.065539", \ - "0.026845,0.028530,0.031448,0.036279,0.044130,0.056435,0.075107", \ - "0.023059,0.025090,0.028568,0.034342,0.043842,0.058725,0.081288"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.027967,0.030304,0.034419,0.041653,0.054359,0.076729,0.116266", \ - "0.028206,0.030571,0.034745,0.042083,0.054951,0.077548,0.117295", \ - "0.032688,0.034931,0.038926,0.046049,0.058712,0.081184,0.120979", \ - "0.044052,0.046408,0.050412,0.057000,0.069095,0.090936,0.130093", \ - "0.057735,0.060582,0.065448,0.073626,0.087021,0.108519,0.146645", \ - "0.073737,0.076979,0.082565,0.092033,0.107677,0.132776,0.171866", \ - "0.092344,0.095973,0.102302,0.112920,0.130512,0.158993,0.203689"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008344,0.008902,0.009885,0.011597,0.014570,0.019750,0.028832", \ - "0.008279,0.008861,0.009859,0.011583,0.014568,0.019749,0.028834", \ - "0.009125,0.009509,0.010243,0.011642,0.014340,0.019547,0.028782", \ - "0.014032,0.014533,0.015383,0.016799,0.019124,0.022864,0.029847", \ - "0.020431,0.021076,0.022172,0.023969,0.026895,0.031481,0.038575", \ - "0.028446,0.029261,0.030605,0.032838,0.036394,0.041945,0.050438", \ - "0.038067,0.039060,0.040734,0.043486,0.047774,0.054346,0.064263"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.034144,0.036404,0.040404,0.047468,0.059952,0.081933,0.120083", \ - "0.034109,0.036383,0.040391,0.047465,0.059946,0.081925,0.120079", \ - "0.033725,0.036101,0.040234,0.047408,0.059945,0.081933,0.120077", \ - "0.036815,0.038629,0.041938,0.048108,0.059790,0.081919,0.120080", \ - "0.045704,0.047424,0.050495,0.055110,0.064709,0.083504,0.120000", \ - "0.056198,0.057883,0.060974,0.066532,0.076264,0.092343,0.123805", \ - "0.069500,0.071084,0.074066,0.079627,0.089753,0.107192,0.135641"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & B2 & !B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010314,0.010971,0.012121,0.014124,0.017605,0.023664,0.034271", \ - "0.011775,0.012434,0.013589,0.015603,0.019099,0.025179,0.035806", \ - "0.016887,0.017616,0.018854,0.020909,0.024274,0.030292,0.040895", \ - "0.021169,0.022238,0.024064,0.027097,0.031959,0.039544,0.050927", \ - "0.022561,0.023980,0.026428,0.030492,0.037022,0.047171,0.062411", \ - "0.020609,0.022406,0.025502,0.030625,0.038879,0.051685,0.070893", \ - "0.014991,0.017178,0.020914,0.027106,0.037124,0.052675,0.075987"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.027965,0.030301,0.034416,0.041648,0.054356,0.076725,0.116261", \ - "0.028204,0.030567,0.034742,0.042078,0.054943,0.077543,0.117287", \ - "0.032687,0.034929,0.038923,0.046043,0.058707,0.081178,0.120971", \ - "0.044049,0.046404,0.050410,0.056996,0.069093,0.090930,0.130086", \ - "0.057727,0.060580,0.065443,0.073624,0.087019,0.108516,0.146641", \ - "0.073733,0.076981,0.082563,0.092022,0.107675,0.132772,0.171861", \ - "0.092355,0.095986,0.102300,0.112914,0.130507,0.158988,0.203683"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007047,0.007562,0.008474,0.010088,0.012954,0.018043,0.027084", \ - "0.007003,0.007530,0.008453,0.010080,0.012953,0.018043,0.027083", \ - "0.008306,0.008695,0.009334,0.010586,0.013060,0.017953,0.027081", \ - "0.013086,0.013600,0.014470,0.015925,0.018290,0.022072,0.028741", \ - "0.019501,0.020169,0.021277,0.023111,0.026067,0.030718,0.037876", \ - "0.027628,0.028458,0.029834,0.032099,0.035698,0.041279,0.049784", \ - "0.037428,0.038450,0.040156,0.042924,0.047256,0.053840,0.063720"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.032590,0.034721,0.038468,0.045045,0.056555,0.076697,0.112118", \ - "0.032557,0.034699,0.038458,0.045041,0.056553,0.076691,0.112117", \ - "0.032173,0.034419,0.038300,0.044988,0.056546,0.076690,0.112121", \ - "0.035273,0.036955,0.040015,0.045685,0.056390,0.076672,0.112123", \ - "0.043317,0.044980,0.047919,0.052570,0.061303,0.078262,0.112035", \ - "0.052483,0.054166,0.057198,0.062573,0.071835,0.087014,0.115839", \ - "0.063480,0.065147,0.068208,0.073747,0.083595,0.100341,0.127591"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & B2 & B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008251,0.008765,0.009663,0.011225,0.013934,0.018639,0.026859", \ - "0.009810,0.010321,0.011217,0.012780,0.015497,0.020215,0.028446", \ - "0.014655,0.015298,0.016385,0.018183,0.021060,0.025676,0.033856", \ - "0.017944,0.018897,0.020523,0.023211,0.027517,0.034174,0.044092", \ - "0.018228,0.019511,0.021714,0.025368,0.031203,0.040215,0.053657", \ - "0.014977,0.016610,0.019419,0.024076,0.031531,0.043025,0.060137", \ - "0.007808,0.009813,0.013230,0.018901,0.028019,0.042102,0.063044"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.027876,0.030215,0.034333,0.041570,0.054277,0.076668,0.116244", \ - "0.027924,0.030286,0.034453,0.041785,0.054656,0.077261,0.117064", \ - "0.032576,0.034802,0.038771,0.045855,0.058467,0.080888,0.120661", \ - "0.044187,0.046532,0.050521,0.057089,0.069161,0.090930,0.130001", \ - "0.058151,0.061009,0.065851,0.074000,0.087364,0.108823,0.146911", \ - "0.074518,0.077842,0.083344,0.092777,0.108388,0.133438,0.172469", \ - "0.093738,0.097380,0.103640,0.114184,0.131705,0.160134,0.204744"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005341,0.005742,0.006454,0.007712,0.009935,0.013879,0.020885", \ - "0.005275,0.005688,0.006417,0.007690,0.009928,0.013877,0.020883", \ - "0.007285,0.007602,0.008136,0.009007,0.010624,0.013997,0.020865", \ - "0.011884,0.012326,0.013069,0.014302,0.016299,0.019403,0.024198", \ - "0.018025,0.018614,0.019575,0.021165,0.023726,0.027679,0.033688", \ - "0.025843,0.026583,0.027802,0.029790,0.032937,0.037758,0.045032", \ - "0.035349,0.036262,0.037774,0.040233,0.044058,0.049811,0.058356"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.033789,0.035921,0.039675,0.046261,0.057777,0.077921,0.113327", \ - "0.033750,0.035898,0.039661,0.046256,0.057778,0.077920,0.113323", \ - "0.033356,0.035611,0.039502,0.046204,0.057771,0.077918,0.113331", \ - "0.036437,0.038125,0.041203,0.046894,0.057615,0.077902,0.113328", \ - "0.044511,0.046157,0.049091,0.053639,0.062425,0.079444,0.113256", \ - "0.053610,0.055327,0.058314,0.063662,0.072885,0.088019,0.116942", \ - "0.064519,0.066204,0.069225,0.074747,0.084553,0.101238,0.128455"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & !B2 & !B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007992,0.008595,0.009665,0.011553,0.014888,0.020779,0.031213", \ - "0.009408,0.010015,0.011095,0.013003,0.016363,0.022284,0.032743", \ - "0.013544,0.014365,0.015747,0.018006,0.021566,0.027399,0.037832", \ - "0.015766,0.016987,0.019064,0.022441,0.027765,0.035855,0.047779", \ - "0.014974,0.016647,0.019448,0.024014,0.031180,0.042064,0.058071", \ - "0.010775,0.012906,0.016472,0.022247,0.031337,0.045117,0.065331", \ - "0.002927,0.005485,0.009803,0.016807,0.027836,0.044600,0.069158"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.026792,0.029123,0.033227,0.040431,0.053085,0.075369,0.114742", \ - "0.027020,0.029379,0.033540,0.040851,0.053678,0.076184,0.115776", \ - "0.031553,0.033773,0.037747,0.044838,0.057443,0.079825,0.119455", \ - "0.042768,0.045155,0.049220,0.055846,0.067866,0.089589,0.128564", \ - "0.056059,0.058991,0.063903,0.072175,0.085669,0.107188,0.145155", \ - "0.071590,0.075000,0.080668,0.090214,0.105981,0.131209,0.170388", \ - "0.089861,0.093589,0.100005,0.110738,0.128463,0.157077,0.201915"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005197,0.005716,0.006635,0.008256,0.011131,0.016224,0.025256", \ - "0.005141,0.005670,0.006605,0.008245,0.011129,0.016223,0.025255", \ - "0.007401,0.007799,0.008488,0.009618,0.011758,0.016254,0.025255", \ - "0.012262,0.012786,0.013663,0.015130,0.017510,0.021314,0.027636", \ - "0.018836,0.019490,0.020598,0.022432,0.025373,0.030007,0.037161", \ - "0.027168,0.027969,0.029332,0.031588,0.035134,0.040652,0.049091", \ - "0.037176,0.038184,0.039876,0.042579,0.046833,0.053327,0.063093"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.021989,0.024020,0.027611,0.033968,0.045204,0.065155,0.100460", \ - "0.021951,0.023996,0.027600,0.033964,0.045210,0.065152,0.100469", \ - "0.021503,0.023673,0.027420,0.033897,0.045205,0.065140,0.100456", \ - "0.024926,0.026441,0.029293,0.034688,0.045075,0.065092,0.100458", \ - "0.030888,0.032749,0.035918,0.041408,0.050136,0.066780,0.100373", \ - "0.037856,0.039850,0.043288,0.049294,0.059373,0.075654,0.104275", \ - "0.046199,0.048301,0.051987,0.058443,0.069419,0.087494,0.116134"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & !B2 & B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006632,0.007100,0.007926,0.009382,0.011957,0.016507,0.024570", \ - "0.008141,0.008611,0.009444,0.010914,0.013505,0.018075,0.026154", \ - "0.011787,0.012511,0.013731,0.015713,0.018819,0.023535,0.031568", \ - "0.013197,0.014297,0.016149,0.019153,0.023870,0.030994,0.041401", \ - "0.011450,0.012961,0.015500,0.019618,0.026047,0.035737,0.049888", \ - "0.006088,0.008041,0.011288,0.016550,0.024796,0.037202,0.055241", \ - "-0.003162,-0.000808,0.003152,0.009562,0.019643,0.034861,0.056978"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.026672,0.029007,0.033107,0.040312,0.052973,0.075253,0.114633", \ - "0.026717,0.029065,0.033213,0.040512,0.053336,0.075839,0.115451", \ - "0.031417,0.033621,0.037567,0.044611,0.057159,0.079468,0.119050", \ - "0.042868,0.045253,0.049294,0.055899,0.067881,0.089541,0.128397", \ - "0.056404,0.059338,0.064249,0.072487,0.085965,0.107431,0.145327", \ - "0.072378,0.075740,0.081370,0.090881,0.106616,0.131788,0.170892", \ - "0.091214,0.094873,0.101235,0.111907,0.129568,0.158112,0.202860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.003945,0.004339,0.005043,0.006293,0.008515,0.012458,0.019459", \ - "0.003930,0.004329,0.005035,0.006292,0.008514,0.012458,0.019458", \ - "0.006603,0.006934,0.007485,0.008414,0.009898,0.012924,0.019458", \ - "0.011237,0.011693,0.012445,0.013694,0.015708,0.018855,0.023651", \ - "0.017549,0.018125,0.019090,0.020677,0.023223,0.027155,0.033171", \ - "0.025596,0.026318,0.027516,0.029476,0.032574,0.037336,0.044528", \ - "0.035358,0.036269,0.037737,0.040116,0.043854,0.049518,0.057946"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.021986,0.024022,0.027607,0.033962,0.045214,0.065151,0.100463", \ - "0.021941,0.023992,0.027596,0.033958,0.045215,0.065151,0.100465", \ - "0.021486,0.023658,0.027411,0.033895,0.045196,0.065132,0.100449", \ - "0.024885,0.026411,0.029265,0.034681,0.045073,0.065102,0.100461", \ - "0.030723,0.032584,0.035780,0.041286,0.050016,0.066738,0.100373", \ - "0.037485,0.039485,0.042955,0.048988,0.059130,0.075427,0.104171", \ - "0.045576,0.047665,0.051396,0.057876,0.068922,0.087072,0.115769"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & B2 & !B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006632,0.007100,0.007926,0.009382,0.011957,0.016507,0.024570", \ - "0.008141,0.008611,0.009444,0.010914,0.013505,0.018075,0.026154", \ - "0.011787,0.012511,0.013731,0.015713,0.018819,0.023535,0.031568", \ - "0.013197,0.014297,0.016149,0.019153,0.023870,0.030994,0.041401", \ - "0.011450,0.012961,0.015500,0.019618,0.026047,0.035737,0.049888", \ - "0.006088,0.008041,0.011288,0.016550,0.024796,0.037202,0.055241", \ - "-0.003162,-0.000808,0.003152,0.009562,0.019643,0.034861,0.056978"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.026672,0.029007,0.033107,0.040312,0.052973,0.075253,0.114633", \ - "0.026717,0.029065,0.033213,0.040512,0.053336,0.075839,0.115451", \ - "0.031417,0.033621,0.037567,0.044611,0.057159,0.079468,0.119050", \ - "0.042868,0.045253,0.049294,0.055899,0.067881,0.089541,0.128397", \ - "0.056404,0.059338,0.064249,0.072487,0.085965,0.107431,0.145327", \ - "0.072378,0.075740,0.081370,0.090881,0.106616,0.131788,0.170892", \ - "0.091214,0.094873,0.101235,0.111907,0.129568,0.158112,0.202860"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.003945,0.004339,0.005043,0.006293,0.008515,0.012458,0.019459", \ - "0.003930,0.004329,0.005035,0.006292,0.008514,0.012458,0.019458", \ - "0.006603,0.006934,0.007485,0.008414,0.009898,0.012924,0.019458", \ - "0.011237,0.011693,0.012445,0.013694,0.015708,0.018855,0.023651", \ - "0.017549,0.018125,0.019090,0.020677,0.023223,0.027155,0.033171", \ - "0.025596,0.026318,0.027516,0.029476,0.032574,0.037336,0.044528", \ - "0.035358,0.036269,0.037737,0.040116,0.043854,0.049518,0.057946"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.021986,0.024022,0.027607,0.033962,0.045214,0.065151,0.100463", \ - "0.021941,0.023992,0.027596,0.033958,0.045215,0.065151,0.100465", \ - "0.021486,0.023658,0.027411,0.033895,0.045196,0.065132,0.100449", \ - "0.024885,0.026411,0.029265,0.034681,0.045073,0.065102,0.100461", \ - "0.030723,0.032584,0.035780,0.041286,0.050016,0.066738,0.100373", \ - "0.037485,0.039485,0.042955,0.048988,0.059130,0.075427,0.104171", \ - "0.045576,0.047665,0.051396,0.057876,0.068922,0.087072,0.115769"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & B2 & B3"; - sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006173,0.006598,0.007351,0.008682,0.011029,0.015182,0.022537", \ - "0.007711,0.008138,0.008897,0.010238,0.012599,0.016768,0.024137", \ - "0.011145,0.011840,0.013002,0.014895,0.017857,0.022318,0.029636", \ - "0.012265,0.013321,0.015099,0.017981,0.022491,0.029298,0.039219", \ - "0.010187,0.011645,0.014091,0.018048,0.024232,0.033513,0.047043", \ - "0.004437,0.006312,0.009448,0.014527,0.022472,0.034402,0.051705", \ - "-0.005313,-0.003024,0.000804,0.007008,0.016746,0.031417,0.052696"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.026597,0.028930,0.033030,0.040234,0.052896,0.075179,0.114557", \ - "0.026584,0.028926,0.033065,0.040359,0.053179,0.075674,0.115286", \ - "0.031379,0.033576,0.037511,0.044538,0.057060,0.079338,0.118885", \ - "0.042905,0.045290,0.049328,0.055926,0.067894,0.089519,0.128344", \ - "0.056531,0.059469,0.064383,0.072602,0.086065,0.107524,0.145408", \ - "0.072644,0.076007,0.081617,0.091133,0.106838,0.131993,0.171077", \ - "0.091663,0.095341,0.101656,0.112313,0.129934,0.158471,0.203178"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.003579,0.003939,0.004579,0.005719,0.007745,0.011344,0.017736", \ - "0.003573,0.003935,0.004578,0.005719,0.007745,0.011344,0.017735", \ - "0.006344,0.006653,0.007174,0.008042,0.009417,0.012018,0.017749", \ - "0.010895,0.011323,0.012034,0.013221,0.015123,0.018080,0.022562", \ - "0.017104,0.017653,0.018566,0.020075,0.022477,0.026209,0.031881", \ - "0.025044,0.025732,0.026878,0.028739,0.031685,0.036200,0.043017", \ - "0.034713,0.035581,0.036985,0.039261,0.042811,0.048192,0.056178"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.021985,0.024022,0.027610,0.033963,0.045211,0.065150,0.100469", \ - "0.021940,0.023991,0.027593,0.033962,0.045203,0.065147,0.100466", \ - "0.021480,0.023653,0.027407,0.033891,0.045206,0.065116,0.100448", \ - "0.024871,0.026397,0.029260,0.034674,0.045082,0.065100,0.100462", \ - "0.030668,0.032548,0.035727,0.041240,0.049997,0.066736,0.100379", \ - "0.037352,0.039379,0.042859,0.048893,0.059041,0.075346,0.104129", \ - "0.045330,0.047442,0.051181,0.057685,0.068754,0.086931,0.115646"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & !B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.014090,0.014804,0.016050,0.018212,0.021940,0.028349,0.039381", \ - "0.015451,0.016167,0.017417,0.019583,0.023318,0.029734,0.040770", \ - "0.020883,0.021546,0.022686,0.024752,0.028405,0.034755,0.045750", \ - "0.028025,0.028968,0.030601,0.033326,0.037779,0.044813,0.055734", \ - "0.032609,0.033842,0.035976,0.039577,0.045464,0.054798,0.069099", \ - "0.034176,0.035712,0.038363,0.042830,0.050190,0.061846,0.079775", \ - "0.032473,0.034324,0.037496,0.042758,0.051615,0.065649,0.087235"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.038786,0.041086,0.045149,0.052312,0.064939,0.087255,0.126745", \ - "0.039490,0.041829,0.045951,0.053218,0.065996,0.088478,0.128138", \ - "0.043755,0.046062,0.050148,0.057375,0.070160,0.092747,0.132608", \ - "0.052307,0.054675,0.058749,0.065889,0.078521,0.100941,0.140690", \ - "0.062938,0.065734,0.070542,0.078757,0.092549,0.115145,0.154546", \ - "0.077115,0.080271,0.085651,0.094795,0.110068,0.135144,0.175825", \ - "0.094793,0.098364,0.104372,0.114569,0.131368,0.158772,0.202987"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010096,0.010647,0.011605,0.013301,0.016271,0.021466,0.030593", \ - "0.010102,0.010651,0.011612,0.013307,0.016273,0.021467,0.030593", \ - "0.010221,0.010693,0.011559,0.013129,0.016035,0.021368,0.030559", \ - "0.015052,0.015546,0.016372,0.017762,0.020065,0.023836,0.031270", \ - "0.021535,0.022174,0.023256,0.025030,0.027916,0.032475,0.039520", \ - "0.029416,0.030226,0.031592,0.033805,0.037368,0.042928,0.051414", \ - "0.038735,0.039739,0.041398,0.044171,0.048471,0.055109,0.065161"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.034183,0.036431,0.040415,0.047469,0.059949,0.081928,0.120080", \ - "0.034187,0.036431,0.040414,0.047467,0.059948,0.081937,0.120078", \ - "0.034202,0.036443,0.040421,0.047467,0.059953,0.081933,0.120081", \ - "0.036122,0.038047,0.041544,0.047960,0.060004,0.081937,0.120083", \ - "0.043703,0.045436,0.048280,0.053351,0.063549,0.083242,0.120084", \ - "0.052317,0.054017,0.057000,0.062506,0.072447,0.089619,0.122943", \ - "0.063111,0.064657,0.067469,0.072803,0.082719,0.100522,0.131152"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.012975,0.013642,0.014810,0.016845,0.020383,0.026531,0.037250", \ - "0.014333,0.015002,0.016174,0.018216,0.021761,0.027916,0.038640", \ - "0.019509,0.020179,0.021327,0.023278,0.026780,0.032913,0.043617", \ - "0.025310,0.026288,0.027962,0.030781,0.035358,0.042549,0.053554", \ - "0.028411,0.029702,0.031943,0.035703,0.041794,0.051408,0.066045", \ - "0.028434,0.030055,0.032860,0.037561,0.045228,0.057303,0.075710", \ - "0.025196,0.027151,0.030509,0.036092,0.045329,0.059902,0.082139"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.038783,0.041086,0.045147,0.052313,0.064941,0.087251,0.126739", \ - "0.039486,0.041826,0.045948,0.053217,0.065996,0.088473,0.128130", \ - "0.043752,0.046060,0.050147,0.057372,0.070160,0.092742,0.132600", \ - "0.052305,0.054673,0.058745,0.065890,0.078514,0.100936,0.140683", \ - "0.062936,0.065731,0.070536,0.078753,0.092545,0.115140,0.154538", \ - "0.077121,0.080268,0.085669,0.094801,0.110065,0.135140,0.175819", \ - "0.094807,0.098378,0.104368,0.114563,0.131364,0.158768,0.202980"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008749,0.009270,0.010183,0.011811,0.014696,0.019812,0.028887", \ - "0.008758,0.009276,0.010188,0.011814,0.014698,0.019813,0.028887", \ - "0.009333,0.009755,0.010535,0.011967,0.014669,0.019801,0.028889", \ - "0.014254,0.014746,0.015587,0.016992,0.019296,0.023035,0.030103", \ - "0.020727,0.021380,0.022465,0.024257,0.027190,0.031765,0.038843", \ - "0.028706,0.029527,0.030876,0.033110,0.036692,0.042276,0.050766", \ - "0.038123,0.039119,0.040806,0.043586,0.047894,0.054552,0.064578"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.032628,0.034745,0.038479,0.045044,0.056554,0.076692,0.112113", \ - "0.032631,0.034747,0.038479,0.045044,0.056556,0.076700,0.112118", \ - "0.032649,0.034759,0.038485,0.045046,0.056555,0.076696,0.112115", \ - "0.034560,0.036360,0.039608,0.045536,0.056609,0.076696,0.112128", \ - "0.041359,0.043029,0.045925,0.050798,0.060139,0.078004,0.112132", \ - "0.048928,0.050593,0.053500,0.058725,0.068092,0.084272,0.114978", \ - "0.058067,0.059639,0.062436,0.067619,0.077071,0.093885,0.123094"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010182,0.010708,0.011629,0.013227,0.015995,0.020784,0.029107", \ - "0.011643,0.012169,0.013090,0.014692,0.017463,0.022257,0.030583", \ - "0.016883,0.017471,0.018480,0.020158,0.022878,0.027607,0.035899", \ - "0.021532,0.022396,0.023895,0.026394,0.030430,0.036760,0.046310", \ - "0.023369,0.024535,0.026548,0.029916,0.035373,0.043895,0.056817", \ - "0.021933,0.023411,0.025949,0.030234,0.037147,0.047967,0.064355", \ - "0.016985,0.018775,0.021840,0.026959,0.035357,0.048538,0.068491"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.038703,0.041008,0.045069,0.052239,0.064875,0.087200,0.126718", \ - "0.039257,0.041582,0.045699,0.052959,0.065736,0.088234,0.127929", \ - "0.043639,0.045938,0.050001,0.057202,0.069942,0.092483,0.132317", \ - "0.052284,0.054640,0.058706,0.065833,0.078442,0.100817,0.140507", \ - "0.063028,0.065817,0.070617,0.078812,0.092587,0.115139,0.154506", \ - "0.077594,0.080732,0.086093,0.095182,0.110397,0.135417,0.176030", \ - "0.095863,0.099381,0.105344,0.115471,0.132178,0.159491,0.203604"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006706,0.007107,0.007817,0.009076,0.011306,0.015261,0.022289", \ - "0.006679,0.007085,0.007802,0.009068,0.011303,0.015260,0.022288", \ - "0.008082,0.008383,0.008879,0.009820,0.011652,0.015278,0.022287", \ - "0.012859,0.013285,0.014005,0.015199,0.017122,0.020149,0.025027", \ - "0.019044,0.019610,0.020556,0.022112,0.024629,0.028534,0.034476", \ - "0.026727,0.027453,0.028647,0.030600,0.033714,0.038553,0.045828", \ - "0.035871,0.036772,0.038280,0.040724,0.044526,0.050327,0.058988"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.033827,0.035948,0.039687,0.046262,0.057776,0.077924,0.113325", \ - "0.033830,0.035947,0.039687,0.046262,0.057776,0.077925,0.113324", \ - "0.033848,0.035964,0.039693,0.046262,0.057776,0.077925,0.113326", \ - "0.035776,0.037575,0.040831,0.046769,0.057835,0.077920,0.113332", \ - "0.042648,0.044308,0.047134,0.052001,0.061364,0.079238,0.113333", \ - "0.050197,0.051860,0.054724,0.059956,0.069292,0.085437,0.116175", \ - "0.059228,0.060748,0.063579,0.068767,0.078177,0.094989,0.124172"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010264,0.010915,0.012054,0.014043,0.017505,0.023546,0.034137", \ - "0.011610,0.012264,0.013410,0.015407,0.018878,0.024928,0.035525", \ - "0.016423,0.017163,0.018423,0.020508,0.023910,0.029930,0.040507", \ - "0.020412,0.021509,0.023374,0.026454,0.031399,0.039032,0.050477", \ - "0.021633,0.023087,0.025595,0.029722,0.036320,0.046550,0.061866", \ - "0.019787,0.021593,0.024733,0.029912,0.038219,0.051089,0.070358", \ - "0.014619,0.016816,0.020559,0.026767,0.036792,0.052327,0.075612"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.037616,0.039913,0.043960,0.051095,0.063675,0.085906,0.125218", \ - "0.038306,0.040640,0.044753,0.051989,0.064720,0.087129,0.126618", \ - "0.042586,0.044885,0.048956,0.056156,0.068894,0.091387,0.131078", \ - "0.051049,0.053456,0.057545,0.064677,0.077252,0.099576,0.139152", \ - "0.061387,0.064222,0.069081,0.077335,0.091170,0.113794,0.153017", \ - "0.075283,0.078493,0.083939,0.093163,0.108491,0.133614,0.174299", \ - "0.092607,0.096216,0.102354,0.112659,0.129552,0.157041,0.201261"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007110,0.007617,0.008513,0.010116,0.012966,0.018047,0.027084", \ - "0.007084,0.007596,0.008509,0.010115,0.012967,0.018045,0.027083", \ - "0.008533,0.008916,0.009560,0.010790,0.013215,0.018028,0.027090", \ - "0.013492,0.013991,0.014840,0.016251,0.018564,0.022303,0.028905", \ - "0.019975,0.020632,0.021717,0.023531,0.026463,0.031041,0.038137", \ - "0.027949,0.028790,0.030157,0.032411,0.035995,0.041576,0.050060", \ - "0.037368,0.038401,0.040131,0.042910,0.047250,0.053886,0.063877"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022026,0.024049,0.027623,0.033969,0.045206,0.065122,0.100472", \ - "0.022031,0.024049,0.027623,0.033970,0.045209,0.065122,0.100478", \ - "0.022050,0.024063,0.027630,0.033969,0.045220,0.065137,0.100461", \ - "0.024090,0.025770,0.028842,0.034519,0.045262,0.065115,0.100452", \ - "0.029416,0.031178,0.034296,0.039785,0.048902,0.066506,0.100444", \ - "0.035525,0.037350,0.040518,0.046129,0.055973,0.072871,0.103369", \ - "0.042868,0.044712,0.047956,0.053719,0.063867,0.081470,0.111586"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & !B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008208,0.008718,0.009611,0.011166,0.013864,0.018558,0.026765", \ - "0.009671,0.010180,0.011072,0.012629,0.015331,0.020029,0.028240", \ - "0.014228,0.014885,0.015999,0.017827,0.020734,0.025389,0.033564", \ - "0.017228,0.018208,0.019877,0.022623,0.026999,0.033727,0.043708", \ - "0.017334,0.018652,0.020912,0.024630,0.030555,0.039658,0.053179", \ - "0.014130,0.015797,0.018656,0.023374,0.030888,0.042468,0.059657", \ - "0.007377,0.009394,0.012830,0.018522,0.027658,0.041759,0.062698"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.037506,0.039804,0.043849,0.050984,0.063565,0.085794,0.125111", \ - "0.038046,0.040371,0.044474,0.051700,0.064419,0.086823,0.126315", \ - "0.042449,0.044737,0.048781,0.055944,0.068628,0.091068,0.130711", \ - "0.050993,0.053381,0.057478,0.064587,0.077131,0.099405,0.138887", \ - "0.061438,0.064268,0.069106,0.077342,0.091158,0.113730,0.152892", \ - "0.075715,0.078900,0.084321,0.093479,0.108764,0.133810,0.174418", \ - "0.093587,0.097177,0.103260,0.113472,0.130290,0.157662,0.201778"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005427,0.005818,0.006517,0.007759,0.009963,0.013892,0.020891", \ - "0.005379,0.005780,0.006493,0.007746,0.009958,0.013891,0.020891", \ - "0.007524,0.007826,0.008349,0.009211,0.010800,0.014116,0.020900", \ - "0.012289,0.012714,0.013440,0.014635,0.016581,0.019631,0.024388", \ - "0.018497,0.019070,0.020014,0.021577,0.024086,0.028013,0.033954", \ - "0.026222,0.026959,0.028164,0.030125,0.033240,0.038049,0.045299", \ - "0.035423,0.036346,0.037863,0.040317,0.044133,0.049906,0.058486"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022031,0.024056,0.027625,0.033967,0.045204,0.065122,0.100471", \ - "0.022034,0.024050,0.027626,0.033962,0.045202,0.065118,0.100476", \ - "0.022050,0.024065,0.027632,0.033969,0.045208,0.065119,0.100467", \ - "0.024109,0.025778,0.028856,0.034530,0.045264,0.065115,0.100457", \ - "0.029387,0.031159,0.034289,0.039782,0.048910,0.066491,0.100454", \ - "0.035339,0.037210,0.040376,0.046015,0.055891,0.072813,0.103354", \ - "0.042443,0.044301,0.047590,0.053398,0.063590,0.081274,0.111453"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008208,0.008718,0.009611,0.011166,0.013864,0.018558,0.026765", \ - "0.009671,0.010180,0.011072,0.012629,0.015331,0.020029,0.028240", \ - "0.014228,0.014885,0.015999,0.017827,0.020734,0.025389,0.033564", \ - "0.017228,0.018208,0.019877,0.022623,0.026999,0.033727,0.043708", \ - "0.017334,0.018652,0.020912,0.024630,0.030555,0.039658,0.053179", \ - "0.014130,0.015797,0.018656,0.023374,0.030888,0.042468,0.059657", \ - "0.007377,0.009394,0.012830,0.018522,0.027658,0.041759,0.062698"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.037506,0.039804,0.043849,0.050984,0.063565,0.085794,0.125111", \ - "0.038046,0.040371,0.044474,0.051700,0.064419,0.086823,0.126315", \ - "0.042449,0.044737,0.048781,0.055944,0.068628,0.091068,0.130711", \ - "0.050993,0.053381,0.057478,0.064587,0.077131,0.099405,0.138887", \ - "0.061438,0.064268,0.069106,0.077342,0.091158,0.113730,0.152892", \ - "0.075715,0.078900,0.084321,0.093479,0.108764,0.133810,0.174418", \ - "0.093587,0.097177,0.103260,0.113472,0.130290,0.157662,0.201778"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005427,0.005818,0.006517,0.007759,0.009963,0.013892,0.020891", \ - "0.005379,0.005780,0.006493,0.007746,0.009958,0.013891,0.020891", \ - "0.007524,0.007826,0.008349,0.009211,0.010800,0.014116,0.020900", \ - "0.012289,0.012714,0.013440,0.014635,0.016581,0.019631,0.024388", \ - "0.018497,0.019070,0.020014,0.021577,0.024086,0.028013,0.033954", \ - "0.026222,0.026959,0.028164,0.030125,0.033240,0.038049,0.045299", \ - "0.035423,0.036346,0.037863,0.040317,0.044133,0.049906,0.058486"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022031,0.024056,0.027625,0.033967,0.045204,0.065122,0.100471", \ - "0.022034,0.024050,0.027626,0.033962,0.045202,0.065118,0.100476", \ - "0.022050,0.024065,0.027632,0.033969,0.045208,0.065119,0.100467", \ - "0.024109,0.025778,0.028856,0.034530,0.045264,0.065115,0.100457", \ - "0.029387,0.031159,0.034289,0.039782,0.048910,0.066491,0.100454", \ - "0.035339,0.037210,0.040376,0.046015,0.055891,0.072813,0.103354", \ - "0.042443,0.044301,0.047590,0.053398,0.063590,0.081274,0.111453"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007544,0.008012,0.008832,0.010257,0.012728,0.017020,0.024520", \ - "0.009045,0.009510,0.010326,0.011750,0.014222,0.018518,0.026020", \ - "0.013445,0.014073,0.015137,0.016884,0.019659,0.023975,0.031432", \ - "0.016086,0.017029,0.018635,0.021264,0.025456,0.031884,0.041407", \ - "0.015798,0.017072,0.019248,0.022827,0.028508,0.037241,0.050174", \ - "0.012134,0.013744,0.016503,0.021062,0.028304,0.039429,0.055911", \ - "0.004823,0.006776,0.010113,0.015612,0.024439,0.038019,0.058166"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.037435,0.039732,0.043775,0.050909,0.063490,0.085713,0.125037", \ - "0.037933,0.040251,0.044347,0.051566,0.064276,0.086665,0.126172", \ - "0.042404,0.044686,0.048722,0.055871,0.068532,0.090938,0.130562", \ - "0.050972,0.053361,0.057455,0.064556,0.077091,0.099329,0.138794", \ - "0.061465,0.064288,0.069126,0.077351,0.091155,0.113723,0.152859", \ - "0.075866,0.079044,0.084455,0.093597,0.108860,0.133885,0.174464", \ - "0.093922,0.097503,0.103570,0.113780,0.130542,0.157888,0.201960"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.004934,0.005294,0.005933,0.007067,0.009080,0.012663,0.019048", \ - "0.004875,0.005246,0.005899,0.007048,0.009072,0.012661,0.019047", \ - "0.007215,0.007499,0.007985,0.008793,0.010169,0.013038,0.019057", \ - "0.011883,0.012288,0.012974,0.014109,0.015947,0.018815,0.023208", \ - "0.017991,0.018534,0.019432,0.020914,0.023295,0.027000,0.032617", \ - "0.025615,0.026323,0.027469,0.029330,0.032302,0.036868,0.043724", \ - "0.034743,0.035626,0.037064,0.039407,0.043032,0.048535,0.056673"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022031,0.024057,0.027625,0.033966,0.045213,0.065124,0.100470", \ - "0.022033,0.024051,0.027628,0.033962,0.045207,0.065136,0.100472", \ - "0.022050,0.024064,0.027632,0.033969,0.045206,0.065136,0.100470", \ - "0.024116,0.025784,0.028861,0.034529,0.045265,0.065117,0.100458", \ - "0.029382,0.031153,0.034282,0.039779,0.048921,0.066509,0.100444", \ - "0.035280,0.037154,0.040313,0.045968,0.055861,0.072792,0.103347", \ - "0.042299,0.044159,0.047463,0.053267,0.063496,0.081200,0.111410"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & !B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.015265,0.016026,0.017350,0.019633,0.023533,0.030161,0.041444", \ - "0.016485,0.017247,0.018571,0.020852,0.024751,0.031378,0.042665", \ - "0.021841,0.022507,0.023721,0.025900,0.029714,0.036281,0.047527", \ - "0.030008,0.030929,0.032528,0.035206,0.039587,0.046514,0.057460", \ - "0.035765,0.036964,0.039046,0.042550,0.048289,0.057420,0.071461", \ - "0.038788,0.040264,0.042801,0.047099,0.054212,0.065546,0.083065", \ - "0.038918,0.040668,0.043683,0.048673,0.057150,0.070669,0.091638"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.042268,0.044564,0.048624,0.055782,0.068417,0.090725,0.130213", \ - "0.043470,0.045807,0.049928,0.057192,0.069975,0.092454,0.132120", \ - "0.048067,0.050370,0.054457,0.061687,0.074477,0.097066,0.136928", \ - "0.055566,0.057871,0.061931,0.069078,0.081738,0.104178,0.143952", \ - "0.063472,0.066095,0.070651,0.078525,0.091983,0.114570,0.154109", \ - "0.072936,0.075805,0.080738,0.089278,0.103826,0.128301,0.169088", \ - "0.085585,0.088747,0.094176,0.103342,0.118889,0.144837,0.188003"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011933,0.012470,0.013416,0.015091,0.018032,0.023194,0.032292", \ - "0.011859,0.012408,0.013366,0.015052,0.018006,0.023180,0.032283", \ - "0.011401,0.011922,0.012856,0.014543,0.017610,0.023056,0.032253", \ - "0.016129,0.016612,0.017426,0.018800,0.021072,0.024909,0.032640", \ - "0.022835,0.023461,0.024498,0.026239,0.029063,0.033521,0.040482", \ - "0.030887,0.031667,0.032975,0.035150,0.038618,0.044076,0.052437", \ - "0.040364,0.041325,0.042948,0.045625,0.049787,0.056286,0.066170"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.034185,0.036431,0.040415,0.047467,0.059950,0.081938,0.120087", \ - "0.034186,0.036431,0.040414,0.047468,0.059950,0.081933,0.120084", \ - "0.034195,0.036436,0.040415,0.047467,0.059950,0.081929,0.120083", \ - "0.035112,0.037179,0.040896,0.047639,0.059963,0.081941,0.120082", \ - "0.040709,0.042578,0.045812,0.051538,0.062478,0.082890,0.120084", \ - "0.048381,0.050132,0.053312,0.059150,0.069720,0.088248,0.122652", \ - "0.058916,0.060421,0.063230,0.068579,0.078709,0.097347,0.129943"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013790,0.014517,0.015781,0.017969,0.021728,0.028160,0.039202", \ - "0.015032,0.015756,0.017016,0.019204,0.022954,0.029384,0.040422", \ - "0.020376,0.021044,0.022188,0.024244,0.027912,0.034285,0.045285", \ - "0.027273,0.028232,0.029881,0.032646,0.037156,0.044252,0.055215", \ - "0.031668,0.032922,0.035089,0.038731,0.044684,0.054083,0.068460", \ - "0.033286,0.034834,0.037510,0.042011,0.049404,0.061103,0.079076", \ - "0.032077,0.033919,0.037088,0.042339,0.051159,0.065136,0.086667"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.042268,0.044562,0.048622,0.055780,0.068415,0.090722,0.130208", \ - "0.043469,0.045804,0.049925,0.057189,0.069972,0.092449,0.132113", \ - "0.048064,0.050368,0.054457,0.061685,0.074476,0.097063,0.136921", \ - "0.055563,0.057872,0.061927,0.069076,0.081733,0.104173,0.143945", \ - "0.063465,0.066092,0.070647,0.078523,0.091981,0.114567,0.154102", \ - "0.072932,0.075803,0.080736,0.089272,0.103822,0.128296,0.169081", \ - "0.085594,0.088744,0.094171,0.103345,0.118885,0.144833,0.187996"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010408,0.010945,0.011880,0.013531,0.016447,0.021572,0.030632", \ - "0.010333,0.010873,0.011820,0.013487,0.016409,0.021548,0.030622", \ - "0.010404,0.010866,0.011718,0.013276,0.016156,0.021470,0.030610", \ - "0.015433,0.015913,0.016732,0.018112,0.020368,0.024093,0.031439", \ - "0.022158,0.022787,0.023842,0.025579,0.028419,0.032881,0.039853", \ - "0.030335,0.031117,0.032426,0.034586,0.038047,0.043503,0.051848", \ - "0.039992,0.040950,0.042537,0.045211,0.049343,0.055802,0.065639"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.032631,0.034746,0.038479,0.045046,0.056556,0.076692,0.112122", \ - "0.032632,0.034747,0.038480,0.045045,0.056556,0.076699,0.112119", \ - "0.032641,0.034752,0.038482,0.045046,0.056553,0.076691,0.112120", \ - "0.033557,0.035495,0.038960,0.045218,0.056574,0.076695,0.112118", \ - "0.038602,0.040400,0.043567,0.049014,0.059064,0.077647,0.112128", \ - "0.045283,0.046976,0.050025,0.055566,0.065496,0.082874,0.114697", \ - "0.054215,0.055723,0.058507,0.063670,0.073260,0.090776,0.121801"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010497,0.011071,0.012071,0.013800,0.016759,0.021802,0.030418", \ - "0.011900,0.012470,0.013461,0.015180,0.018126,0.023157,0.031766", \ - "0.017518,0.018109,0.019121,0.020801,0.023568,0.028466,0.037004", \ - "0.023116,0.023974,0.025444,0.027909,0.031894,0.038137,0.047585", \ - "0.026117,0.027250,0.029194,0.032473,0.037788,0.046136,0.058826", \ - "0.026123,0.027544,0.029977,0.034079,0.040732,0.051232,0.067228", \ - "0.023072,0.024757,0.027660,0.032477,0.040479,0.053132,0.072442"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.042182,0.044479,0.048541,0.055711,0.068346,0.090678,0.130184", \ - "0.043232,0.045566,0.049682,0.056941,0.069717,0.092217,0.131895", \ - "0.047952,0.050247,0.054310,0.061511,0.074255,0.096801,0.136639", \ - "0.055542,0.057842,0.061893,0.069028,0.081657,0.104056,0.143772", \ - "0.063482,0.066108,0.070659,0.078522,0.091974,0.114536,0.154041", \ - "0.073129,0.076004,0.080943,0.089448,0.103964,0.128400,0.169156", \ - "0.086472,0.089582,0.094941,0.104053,0.119485,0.145325,0.188393"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007880,0.008303,0.009049,0.010358,0.012644,0.016644,0.023682", \ - "0.007729,0.008169,0.008937,0.010270,0.012581,0.016606,0.023663", \ - "0.008825,0.009113,0.009639,0.010654,0.012621,0.016437,0.023609", \ - "0.013888,0.014302,0.014994,0.016149,0.018016,0.020981,0.025888", \ - "0.020330,0.020877,0.021784,0.023287,0.025721,0.029510,0.035316", \ - "0.028259,0.028942,0.030082,0.031946,0.034955,0.039633,0.046762", \ - "0.037698,0.038540,0.039935,0.042283,0.045898,0.051491,0.059929"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.033829,0.035947,0.039688,0.046263,0.057780,0.077919,0.113337", \ - "0.033829,0.035950,0.039686,0.046262,0.057780,0.077924,0.113331", \ - "0.033840,0.035954,0.039690,0.046262,0.057781,0.077918,0.113329", \ - "0.034761,0.036703,0.040178,0.046440,0.057799,0.077923,0.113324", \ - "0.039884,0.041667,0.044795,0.050232,0.060295,0.078882,0.113337", \ - "0.046627,0.048323,0.051344,0.056855,0.066764,0.084088,0.115908", \ - "0.055465,0.056989,0.059738,0.064892,0.074474,0.091973,0.122949"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010615,0.011352,0.012632,0.014833,0.018593,0.025003,0.035989", \ - "0.011897,0.012625,0.013893,0.016082,0.019830,0.026229,0.037209", \ - "0.017261,0.018005,0.019270,0.021358,0.024877,0.031163,0.042085", \ - "0.022491,0.023561,0.025391,0.028404,0.033265,0.040787,0.052093", \ - "0.025178,0.026571,0.028977,0.032964,0.039370,0.049338,0.064359", \ - "0.025133,0.026852,0.029818,0.034739,0.042686,0.055093,0.073855", \ - "0.022317,0.024357,0.027834,0.033606,0.043066,0.057869,0.080337"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.041095,0.043389,0.047436,0.054570,0.067150,0.089369,0.128687", \ - "0.042284,0.044618,0.048727,0.055970,0.068696,0.091096,0.130596", \ - "0.046893,0.049192,0.053262,0.060471,0.073206,0.095698,0.135400", \ - "0.054373,0.056687,0.060742,0.067865,0.080470,0.102818,0.142430", \ - "0.062060,0.064704,0.069277,0.077168,0.090635,0.113216,0.152569", \ - "0.071286,0.074195,0.079181,0.087755,0.102330,0.126793,0.167552", \ - "0.083706,0.086903,0.092379,0.101628,0.117224,0.143188,0.186302"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008759,0.009292,0.010238,0.011893,0.014789,0.019880,0.028885", \ - "0.008549,0.009117,0.010101,0.011800,0.014729,0.019849,0.028871", \ - "0.009582,0.009939,0.010637,0.011992,0.014613,0.019673,0.028846", \ - "0.014830,0.015306,0.016122,0.017485,0.019735,0.023387,0.030200", \ - "0.021597,0.022222,0.023251,0.024982,0.027792,0.032244,0.039175", \ - "0.029820,0.030604,0.031892,0.034020,0.037461,0.042860,0.051178", \ - "0.039501,0.040467,0.042061,0.044716,0.048808,0.055197,0.064964"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022028,0.024050,0.027625,0.033969,0.045203,0.065151,0.100453", \ - "0.022034,0.024049,0.027624,0.033962,0.045205,0.065157,0.100464", \ - "0.022040,0.024054,0.027627,0.033968,0.045207,0.065155,0.100469", \ - "0.023011,0.024848,0.028150,0.034157,0.045233,0.065120,0.100475", \ - "0.027002,0.028881,0.032167,0.037945,0.047787,0.066127,0.100445", \ - "0.032318,0.034164,0.037408,0.043215,0.053497,0.071397,0.103053", \ - "0.039685,0.041423,0.044536,0.050176,0.060317,0.078433,0.110165"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & !B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008184,0.008756,0.009753,0.011472,0.014412,0.019423,0.027986", \ - "0.009663,0.010218,0.011191,0.012884,0.015797,0.020786,0.029336", \ - "0.014840,0.015502,0.016615,0.018451,0.021369,0.026150,0.034591", \ - "0.018913,0.019871,0.021505,0.024202,0.028499,0.035140,0.045010", \ - "0.020328,0.021590,0.023769,0.027354,0.033102,0.041987,0.055257", \ - "0.018770,0.020365,0.023075,0.027558,0.034753,0.045905,0.062635", \ - "0.014201,0.016074,0.019271,0.024576,0.033196,0.046628,0.066831"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.040985,0.043279,0.047324,0.054460,0.067037,0.089258,0.128583", \ - "0.042025,0.044350,0.048450,0.055676,0.068397,0.090792,0.130296", \ - "0.046756,0.049043,0.053089,0.060255,0.072942,0.095380,0.135023", \ - "0.054321,0.056631,0.060673,0.067780,0.080349,0.102642,0.142166", \ - "0.062038,0.064683,0.069243,0.077126,0.090578,0.113123,0.152424", \ - "0.071454,0.074362,0.079332,0.087874,0.102405,0.126828,0.167533", \ - "0.084537,0.087686,0.093101,0.102275,0.117750,0.143602,0.186601"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006447,0.006889,0.007659,0.008998,0.011307,0.015311,0.022326", \ - "0.006228,0.006692,0.007495,0.008871,0.011218,0.015258,0.022300", \ - "0.008353,0.008650,0.009161,0.010012,0.011713,0.015222,0.022224", \ - "0.013452,0.013864,0.014558,0.015704,0.017569,0.020524,0.025235", \ - "0.019965,0.020509,0.021397,0.022884,0.025287,0.029059,0.034855", \ - "0.027990,0.028666,0.029782,0.031623,0.034586,0.039222,0.046290", \ - "0.037504,0.038355,0.039759,0.042068,0.045626,0.051149,0.059497"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022032,0.024049,0.027624,0.033965,0.045214,0.065150,0.100456", \ - "0.022034,0.024056,0.027622,0.033969,0.045208,0.065157,0.100464", \ - "0.022042,0.024055,0.027625,0.033969,0.045207,0.065139,0.100466", \ - "0.023017,0.024856,0.028157,0.034166,0.045232,0.065119,0.100471", \ - "0.027006,0.028882,0.032173,0.037955,0.047798,0.066130,0.100447", \ - "0.032274,0.034120,0.037361,0.043182,0.053474,0.071394,0.103057", \ - "0.039449,0.041197,0.044328,0.049973,0.060163,0.078332,0.110105"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008184,0.008756,0.009753,0.011472,0.014412,0.019423,0.027986", \ - "0.009663,0.010218,0.011191,0.012884,0.015797,0.020786,0.029336", \ - "0.014840,0.015502,0.016615,0.018451,0.021369,0.026150,0.034591", \ - "0.018913,0.019871,0.021505,0.024202,0.028499,0.035140,0.045010", \ - "0.020328,0.021590,0.023769,0.027354,0.033102,0.041987,0.055257", \ - "0.018770,0.020365,0.023075,0.027558,0.034753,0.045905,0.062635", \ - "0.014201,0.016074,0.019271,0.024576,0.033196,0.046628,0.066831"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.040985,0.043279,0.047324,0.054460,0.067037,0.089258,0.128583", \ - "0.042025,0.044350,0.048450,0.055676,0.068397,0.090792,0.130296", \ - "0.046756,0.049043,0.053089,0.060255,0.072942,0.095380,0.135023", \ - "0.054321,0.056631,0.060673,0.067780,0.080349,0.102642,0.142166", \ - "0.062038,0.064683,0.069243,0.077126,0.090578,0.113123,0.152424", \ - "0.071454,0.074362,0.079332,0.087874,0.102405,0.126828,0.167533", \ - "0.084537,0.087686,0.093101,0.102275,0.117750,0.143602,0.186601"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006447,0.006889,0.007659,0.008998,0.011307,0.015311,0.022326", \ - "0.006228,0.006692,0.007495,0.008871,0.011218,0.015258,0.022300", \ - "0.008353,0.008650,0.009161,0.010012,0.011713,0.015222,0.022224", \ - "0.013452,0.013864,0.014558,0.015704,0.017569,0.020524,0.025235", \ - "0.019965,0.020509,0.021397,0.022884,0.025287,0.029059,0.034855", \ - "0.027990,0.028666,0.029782,0.031623,0.034586,0.039222,0.046290", \ - "0.037504,0.038355,0.039759,0.042068,0.045626,0.051149,0.059497"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022032,0.024049,0.027624,0.033965,0.045214,0.065150,0.100456", \ - "0.022034,0.024056,0.027622,0.033969,0.045208,0.065157,0.100464", \ - "0.022042,0.024055,0.027625,0.033969,0.045207,0.065139,0.100466", \ - "0.023017,0.024856,0.028157,0.034166,0.045232,0.065119,0.100471", \ - "0.027006,0.028882,0.032173,0.037955,0.047798,0.066130,0.100447", \ - "0.032274,0.034120,0.037361,0.043182,0.053474,0.071394,0.103057", \ - "0.039449,0.041197,0.044328,0.049973,0.060163,0.078332,0.110105"); - } - } - - timing () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & B2 & B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007435,0.007957,0.008867,0.010438,0.013130,0.017718,0.025557", \ - "0.008977,0.009479,0.010362,0.011902,0.014561,0.019123,0.026946", \ - "0.013987,0.014619,0.015687,0.017438,0.020225,0.024616,0.032303", \ - "0.017646,0.018567,0.020133,0.022722,0.026846,0.033194,0.042609", \ - "0.018605,0.019826,0.021928,0.025387,0.030897,0.039422,0.052119", \ - "0.016528,0.018066,0.020686,0.025022,0.031951,0.042678,0.058725", \ - "0.011331,0.013144,0.016252,0.021385,0.029716,0.042657,0.062094"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.040911,0.043207,0.047251,0.054386,0.066964,0.089184,0.128509", \ - "0.041911,0.044231,0.048323,0.055542,0.068253,0.090646,0.130145", \ - "0.046714,0.048994,0.053033,0.060186,0.072847,0.095260,0.134875", \ - "0.054307,0.056611,0.060651,0.067754,0.080310,0.102580,0.142076", \ - "0.062032,0.064671,0.069233,0.077113,0.090558,0.113094,0.152378", \ - "0.071515,0.074416,0.079379,0.087919,0.102438,0.126847,0.167526", \ - "0.084830,0.087961,0.093357,0.102505,0.117948,0.143762,0.186710"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005772,0.006184,0.006898,0.008137,0.010267,0.013947,0.020365", \ - "0.005551,0.005984,0.006728,0.008002,0.010171,0.013887,0.020337", \ - "0.007988,0.008264,0.008739,0.009529,0.010957,0.014001,0.020239", \ - "0.012994,0.013385,0.014043,0.015130,0.016886,0.019670,0.023943", \ - "0.019411,0.019922,0.020768,0.022176,0.024443,0.028020,0.033480", \ - "0.027345,0.027993,0.029056,0.030806,0.033615,0.037987,0.044671", \ - "0.036803,0.037611,0.038946,0.041147,0.044533,0.049752,0.057642"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.022030,0.024048,0.027623,0.033968,0.045202,0.065148,0.100457", \ - "0.022033,0.024056,0.027622,0.033966,0.045201,0.065126,0.100464", \ - "0.022039,0.024056,0.027626,0.033969,0.045217,0.065126,0.100459", \ - "0.023024,0.024858,0.028159,0.034165,0.045224,0.065131,0.100472", \ - "0.027008,0.028886,0.032174,0.037958,0.047805,0.066137,0.100447", \ - "0.032257,0.034104,0.037348,0.043174,0.053473,0.071392,0.103048", \ - "0.039365,0.041130,0.044234,0.049911,0.060108,0.078291,0.110083"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & !A2 & A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.014863,0.015587,0.016848,0.019025,0.022760,0.029149,0.040128", \ - "0.016553,0.017283,0.018554,0.020747,0.024504,0.030919,0.041921", \ - "0.021296,0.022015,0.023250,0.025389,0.029096,0.035498,0.046521", \ - "0.026893,0.027776,0.029290,0.031849,0.036078,0.042984,0.054275", \ - "0.031013,0.032167,0.034135,0.037406,0.042728,0.051142,0.064248", \ - "0.032330,0.033793,0.036308,0.040454,0.047175,0.057730,0.073709", \ - "0.030141,0.031933,0.035081,0.040258,0.048513,0.061502,0.081005"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.047646,0.049978,0.054094,0.061360,0.074151,0.096688,0.136443", \ - "0.047898,0.050259,0.054418,0.061749,0.074615,0.097236,0.137096", \ - "0.051903,0.054229,0.058345,0.065619,0.078454,0.101060,0.140946", \ - "0.062786,0.065033,0.069006,0.076058,0.088560,0.110771,0.150171", \ - "0.080735,0.083085,0.087307,0.094488,0.106514,0.128067,0.166693", \ - "0.100930,0.103752,0.108668,0.117068,0.131088,0.154021,0.191762", \ - "0.123545,0.126735,0.132257,0.141886,0.157738,0.183873,0.225736"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008374,0.008926,0.009905,0.011610,0.014577,0.019748,0.028824", \ - "0.008375,0.008937,0.009911,0.011617,0.014585,0.019754,0.028826", \ - "0.008543,0.009045,0.009945,0.011552,0.014448,0.019675,0.028803", \ - "0.011140,0.011604,0.012416,0.013859,0.016423,0.020946,0.029220", \ - "0.015676,0.016173,0.017043,0.018519,0.021050,0.025394,0.033098", \ - "0.021695,0.022285,0.023291,0.025004,0.027838,0.032408,0.040036", \ - "0.029082,0.029782,0.030937,0.032925,0.036229,0.041404,0.049554"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.046097,0.048338,0.052317,0.059382,0.071908,0.093955,0.132115", \ - "0.046098,0.048338,0.052315,0.059383,0.071908,0.093954,0.132119", \ - "0.046083,0.048323,0.052308,0.059379,0.071911,0.093957,0.132106", \ - "0.046066,0.048236,0.052105,0.059246,0.071877,0.093953,0.132096", \ - "0.051249,0.053085,0.056357,0.062357,0.073397,0.094047,0.132092", \ - "0.061240,0.063167,0.066530,0.072365,0.081915,0.099886,0.133629", \ - "0.072472,0.074569,0.078237,0.084575,0.095337,0.113128,0.142962"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.014184,0.014838,0.015982,0.017979,0.021454,0.027509,0.038113", \ - "0.015866,0.016527,0.017683,0.019698,0.023196,0.029277,0.039905", \ - "0.020289,0.020982,0.022173,0.024198,0.027710,0.033824,0.044496", \ - "0.024964,0.025857,0.027379,0.029937,0.034137,0.040981,0.052169", \ - "0.027693,0.028891,0.030940,0.034337,0.039811,0.048401,0.061619", \ - "0.027340,0.028906,0.031565,0.035958,0.043001,0.053942,0.070340", \ - "0.023317,0.025268,0.028637,0.034146,0.042911,0.056499,0.076661"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.047644,0.049973,0.054087,0.061354,0.074143,0.096678,0.136436", \ - "0.047894,0.050253,0.054413,0.061738,0.074607,0.097228,0.137089", \ - "0.051901,0.054226,0.058341,0.065616,0.078447,0.101048,0.140940", \ - "0.062785,0.065031,0.069004,0.076055,0.088559,0.110761,0.150166", \ - "0.080720,0.083082,0.087304,0.094477,0.106513,0.128065,0.166693", \ - "0.100922,0.103744,0.108662,0.117043,0.131067,0.154010,0.191758", \ - "0.123535,0.126723,0.132248,0.141866,0.157729,0.183861,0.225731"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007041,0.007552,0.008464,0.010083,0.012952,0.018043,0.027086", \ - "0.007040,0.007553,0.008462,0.010080,0.012952,0.018041,0.027083", \ - "0.007413,0.007869,0.008696,0.010203,0.012950,0.018029,0.027080", \ - "0.010081,0.010532,0.011331,0.012736,0.015226,0.019679,0.027760", \ - "0.014690,0.015205,0.016081,0.017583,0.020104,0.024397,0.031969", \ - "0.020814,0.021419,0.022451,0.024198,0.027059,0.031649,0.039188", \ - "0.028404,0.029110,0.030284,0.032299,0.035622,0.040807,0.048938"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.043866,0.045961,0.049668,0.056214,0.067726,0.087956,0.123603", \ - "0.043862,0.045960,0.049667,0.056212,0.067725,0.087958,0.123606", \ - "0.043851,0.045948,0.049659,0.056210,0.067726,0.087958,0.123602", \ - "0.043833,0.045861,0.049461,0.056079,0.067698,0.087953,0.123587", \ - "0.048986,0.050690,0.053700,0.059184,0.069228,0.088060,0.123583", \ - "0.057942,0.059790,0.062992,0.068512,0.077596,0.093876,0.125120", \ - "0.067657,0.069692,0.073224,0.079288,0.089509,0.106371,0.134425"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011719,0.012225,0.013112,0.014655,0.017337,0.022000,0.030148", \ - "0.013422,0.013933,0.014829,0.016386,0.019085,0.023767,0.031933", \ - "0.018607,0.019179,0.020159,0.021810,0.024533,0.029233,0.037435", \ - "0.023670,0.024506,0.025931,0.028301,0.032127,0.038138,0.047401", \ - "0.026281,0.027438,0.029405,0.032666,0.037914,0.046064,0.058302", \ - "0.025675,0.027191,0.029763,0.034017,0.040840,0.051419,0.067167", \ - "0.021291,0.023185,0.026454,0.031818,0.040332,0.053553,0.073125"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.051461,0.053774,0.057868,0.065079,0.077805,0.100268,0.139986", \ - "0.051730,0.054068,0.058194,0.065483,0.078301,0.100870,0.140712", \ - "0.055737,0.058046,0.062125,0.069353,0.082119,0.104680,0.144488", \ - "0.066619,0.068838,0.072799,0.079825,0.092288,0.114439,0.153791", \ - "0.084820,0.087207,0.091310,0.098280,0.110259,0.131825,0.170441", \ - "0.105799,0.108541,0.113326,0.121547,0.135297,0.157941,0.195624", \ - "0.129088,0.132188,0.137581,0.146977,0.162603,0.188436,0.229859"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005748,0.006137,0.006835,0.008063,0.010243,0.014100,0.020940", \ - "0.005742,0.006135,0.006832,0.008065,0.010240,0.014099,0.020936", \ - "0.006562,0.006868,0.007416,0.008440,0.010379,0.014078,0.020930", \ - "0.010200,0.010563,0.011193,0.012264,0.014091,0.017168,0.022525", \ - "0.015291,0.015731,0.016496,0.017797,0.019953,0.023456,0.029112", \ - "0.021802,0.022326,0.023228,0.024773,0.027333,0.031417,0.037855", \ - "0.029776,0.030386,0.031420,0.033196,0.036191,0.040913,0.048275"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.047072,0.049184,0.052916,0.059491,0.071036,0.091304,0.126982", \ - "0.047067,0.049182,0.052917,0.059490,0.071031,0.091301,0.126970", \ - "0.047059,0.049172,0.052908,0.059485,0.071034,0.091306,0.126958", \ - "0.046917,0.048971,0.052684,0.059406,0.071021,0.091299,0.126951", \ - "0.051549,0.053283,0.056363,0.061971,0.072189,0.091295,0.126941", \ - "0.060725,0.062546,0.065708,0.071162,0.080129,0.096635,0.128200", \ - "0.070737,0.072730,0.076207,0.082179,0.092259,0.108936,0.137038"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011851,0.012456,0.013523,0.015408,0.018736,0.024623,0.035057", \ - "0.013466,0.014087,0.015179,0.017094,0.020459,0.026382,0.036843", \ - "0.017435,0.018148,0.019374,0.021458,0.024916,0.030902,0.041423", \ - "0.020716,0.021713,0.023401,0.026177,0.030617,0.037671,0.048995", \ - "0.021475,0.022861,0.025197,0.028996,0.035005,0.044156,0.057864", \ - "0.018875,0.020707,0.023787,0.028776,0.036573,0.048414,0.065648", \ - "0.012425,0.014729,0.018646,0.024951,0.034729,0.049520,0.070868"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.046311,0.048639,0.052740,0.059986,0.072728,0.095174,0.134817", \ - "0.046561,0.048907,0.053060,0.060364,0.073187,0.095725,0.135453", \ - "0.050571,0.052893,0.056995,0.064244,0.077021,0.099548,0.139317", \ - "0.061508,0.063728,0.067703,0.074728,0.087163,0.109263,0.148542", \ - "0.079222,0.081659,0.085882,0.093093,0.105163,0.126620,0.165060", \ - "0.099054,0.101906,0.106875,0.115318,0.129409,0.152451,0.190168", \ - "0.121300,0.124510,0.130091,0.139741,0.155700,0.181951,0.223930"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005233,0.005743,0.006650,0.008264,0.011134,0.016220,0.025253", \ - "0.005237,0.005745,0.006655,0.008265,0.011132,0.016221,0.025255", \ - "0.006069,0.006518,0.007287,0.008690,0.011314,0.016237,0.025256", \ - "0.009072,0.009517,0.010299,0.011655,0.014039,0.018356,0.026222", \ - "0.013886,0.014409,0.015289,0.016796,0.019274,0.023444,0.030802", \ - "0.020260,0.020851,0.021875,0.023604,0.026450,0.030958,0.038343", \ - "0.028159,0.028832,0.029978,0.031923,0.035178,0.040275,0.048280"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.032242,0.034309,0.037960,0.044429,0.055857,0.076069,0.111845", \ - "0.032236,0.034301,0.037957,0.044427,0.055856,0.076066,0.111848", \ - "0.032219,0.034287,0.037953,0.044417,0.055855,0.076076,0.111836", \ - "0.032228,0.034215,0.037761,0.044261,0.055828,0.076061,0.111829", \ - "0.037478,0.039230,0.042137,0.047531,0.057445,0.076157,0.111728", \ - "0.044348,0.046371,0.049847,0.055833,0.065794,0.082124,0.113303", \ - "0.051912,0.054219,0.058160,0.064869,0.075973,0.093949,0.122850"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010086,0.010551,0.011373,0.012820,0.015376,0.019892,0.027889", \ - "0.011738,0.012215,0.013054,0.014526,0.017109,0.021652,0.029672", \ - "0.016263,0.016879,0.017924,0.019656,0.022464,0.027088,0.035163", \ - "0.019676,0.020616,0.022212,0.024827,0.028958,0.035314,0.044883", \ - "0.020318,0.021649,0.023891,0.027544,0.033316,0.042065,0.054913", \ - "0.017499,0.019265,0.022239,0.027062,0.034620,0.046075,0.062697", \ - "0.010730,0.012968,0.016755,0.022870,0.032379,0.046764,0.067523"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.050080,0.052386,0.056463,0.063647,0.076319,0.098691,0.138253", \ - "0.050346,0.052670,0.056786,0.064039,0.076807,0.099287,0.138961", \ - "0.054364,0.056663,0.060728,0.067915,0.080620,0.103075,0.142791", \ - "0.065284,0.067506,0.071439,0.078428,0.090825,0.112849,0.152060", \ - "0.083375,0.085685,0.089862,0.096886,0.108818,0.130283,0.168701", \ - "0.103905,0.106675,0.111502,0.119770,0.133581,0.156291,0.193901", \ - "0.126782,0.129919,0.135359,0.144800,0.160507,0.186401,0.227968"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.004348,0.004739,0.005432,0.006664,0.008846,0.012709,0.019552", \ - "0.004352,0.004741,0.005433,0.006664,0.008845,0.012714,0.019551", \ - "0.005673,0.005991,0.006544,0.007493,0.009285,0.012797,0.019557", \ - "0.009412,0.009784,0.010432,0.011531,0.013376,0.016422,0.021653", \ - "0.014670,0.015107,0.015871,0.017174,0.019347,0.022846,0.028456", \ - "0.021455,0.021958,0.022829,0.024333,0.026850,0.030888,0.037301", \ - "0.029812,0.030361,0.031309,0.033003,0.035901,0.040511,0.047785"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.034189,0.036266,0.039943,0.046438,0.057908,0.078160,0.114028", \ - "0.034181,0.036272,0.039935,0.046433,0.057906,0.078169,0.114015", \ - "0.034168,0.036262,0.039932,0.046429,0.057909,0.078160,0.113968", \ - "0.034052,0.036067,0.039680,0.046339,0.057888,0.078138,0.113964", \ - "0.038837,0.040513,0.043518,0.049035,0.059138,0.078171,0.113869", \ - "0.045968,0.047983,0.051423,0.057342,0.067175,0.083626,0.115163", \ - "0.053811,0.056043,0.059904,0.066518,0.077528,0.095334,0.124189"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010086,0.010551,0.011373,0.012820,0.015376,0.019892,0.027889", \ - "0.011738,0.012215,0.013054,0.014526,0.017109,0.021652,0.029672", \ - "0.016263,0.016879,0.017924,0.019656,0.022464,0.027088,0.035163", \ - "0.019676,0.020616,0.022212,0.024827,0.028958,0.035314,0.044883", \ - "0.020318,0.021649,0.023891,0.027544,0.033316,0.042065,0.054913", \ - "0.017499,0.019265,0.022239,0.027062,0.034620,0.046075,0.062697", \ - "0.010730,0.012968,0.016755,0.022870,0.032379,0.046764,0.067523"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.050080,0.052386,0.056463,0.063647,0.076319,0.098691,0.138253", \ - "0.050346,0.052670,0.056786,0.064039,0.076807,0.099287,0.138961", \ - "0.054364,0.056663,0.060728,0.067915,0.080620,0.103075,0.142791", \ - "0.065284,0.067506,0.071439,0.078428,0.090825,0.112849,0.152060", \ - "0.083375,0.085685,0.089862,0.096886,0.108818,0.130283,0.168701", \ - "0.103905,0.106675,0.111502,0.119770,0.133581,0.156291,0.193901", \ - "0.126782,0.129919,0.135359,0.144800,0.160507,0.186401,0.227968"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.004348,0.004739,0.005432,0.006664,0.008846,0.012709,0.019552", \ - "0.004352,0.004741,0.005433,0.006664,0.008845,0.012714,0.019551", \ - "0.005673,0.005991,0.006544,0.007493,0.009285,0.012797,0.019557", \ - "0.009412,0.009784,0.010432,0.011531,0.013376,0.016422,0.021653", \ - "0.014670,0.015107,0.015871,0.017174,0.019347,0.022846,0.028456", \ - "0.021455,0.021958,0.022829,0.024333,0.026850,0.030888,0.037301", \ - "0.029812,0.030361,0.031309,0.033003,0.035901,0.040511,0.047785"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.034189,0.036266,0.039943,0.046438,0.057908,0.078160,0.114028", \ - "0.034181,0.036272,0.039935,0.046433,0.057906,0.078169,0.114015", \ - "0.034168,0.036262,0.039932,0.046429,0.057909,0.078160,0.113968", \ - "0.034052,0.036067,0.039680,0.046339,0.057888,0.078138,0.113964", \ - "0.038837,0.040513,0.043518,0.049035,0.059138,0.078171,0.113869", \ - "0.045968,0.047983,0.051423,0.057342,0.067175,0.083626,0.115163", \ - "0.053811,0.056043,0.059904,0.066518,0.077528,0.095334,0.124189"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & A3 & !B2 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.009671,0.010101,0.010861,0.012199,0.014561,0.018734,0.026123", \ - "0.011334,0.011774,0.012549,0.013910,0.016297,0.020495,0.027904", \ - "0.016043,0.016632,0.017633,0.019284,0.021939,0.026221,0.033680", \ - "0.019510,0.020431,0.021985,0.024537,0.028573,0.034768,0.043989", \ - "0.020155,0.021451,0.023646,0.027228,0.032898,0.041497,0.054113", \ - "0.017281,0.019011,0.021925,0.026664,0.034113,0.045394,0.061797", \ - "0.010433,0.012619,0.016323,0.022330,0.031685,0.045882,0.066391"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.053409,0.055698,0.059750,0.066911,0.079549,0.101890,0.141407", \ - "0.053684,0.055999,0.060094,0.067319,0.080048,0.102488,0.142128", \ - "0.057680,0.059966,0.064012,0.071176,0.083847,0.106255,0.145901", \ - "0.068512,0.070735,0.074662,0.081643,0.094024,0.116025,0.155191", \ - "0.086765,0.089087,0.093165,0.100002,0.111967,0.133437,0.171854", \ - "0.107948,0.110652,0.115379,0.123498,0.137084,0.159494,0.197052", \ - "0.131377,0.134431,0.139765,0.149018,0.164499,0.190099,0.231260"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.004325,0.004681,0.005318,0.006446,0.008442,0.011974,0.018231", \ - "0.004325,0.004683,0.005320,0.006446,0.008442,0.011975,0.018229", \ - "0.005841,0.006118,0.006603,0.007424,0.008985,0.012099,0.018229", \ - "0.009871,0.010209,0.010800,0.011811,0.013519,0.016292,0.020842", \ - "0.015377,0.015776,0.016474,0.017676,0.019700,0.023010,0.028274", \ - "0.022444,0.022890,0.023682,0.025065,0.027423,0.031261,0.037371", \ - "0.031110,0.031596,0.032455,0.034001,0.036696,0.041055,0.048034"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.036044,0.038136,0.041808,0.048317,0.059800,0.080064,0.115908", \ - "0.036037,0.038126,0.041804,0.048321,0.059788,0.080061,0.115895", \ - "0.036028,0.038118,0.041796,0.048307,0.059793,0.080071,0.115876", \ - "0.035817,0.037870,0.041638,0.048253,0.059778,0.080057,0.115818", \ - "0.040091,0.041804,0.044887,0.050460,0.060741,0.079992,0.115788", \ - "0.047516,0.049501,0.052898,0.058737,0.068394,0.085019,0.116863", \ - "0.055508,0.057739,0.061539,0.068081,0.078958,0.096654,0.125457"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & !A2 & A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.017892,0.018607,0.019855,0.022022,0.025755,0.032164,0.043194", \ - "0.019512,0.020230,0.021483,0.023654,0.027392,0.033807,0.044844", \ - "0.024065,0.024773,0.026009,0.028161,0.031887,0.038303,0.049352", \ - "0.030300,0.031129,0.032560,0.034999,0.039097,0.045864,0.057065", \ - "0.035594,0.036647,0.038463,0.041510,0.046531,0.054594,0.067399", \ - "0.038362,0.039700,0.042004,0.045845,0.052142,0.062152,0.077553", \ - "0.037894,0.039554,0.042415,0.047178,0.054863,0.067126,0.085815"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.058111,0.060437,0.064547,0.071802,0.084584,0.107104,0.146867", \ - "0.058915,0.061251,0.065381,0.072668,0.085487,0.108051,0.147861", \ - "0.063476,0.065820,0.069960,0.077265,0.090133,0.112776,0.152629", \ - "0.072154,0.074486,0.078604,0.085860,0.098679,0.121268,0.161131", \ - "0.085962,0.088475,0.092888,0.100348,0.113006,0.135408,0.175052", \ - "0.103105,0.105874,0.110705,0.119076,0.133153,0.156834,0.196261", \ - "0.124209,0.127246,0.132536,0.141797,0.157188,0.182823,0.225102"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010103,0.010655,0.011617,0.013315,0.016278,0.021467,0.030584", \ - "0.010108,0.010660,0.011623,0.013318,0.016283,0.021468,0.030584", \ - "0.010115,0.010641,0.011578,0.013234,0.016194,0.021421,0.030568", \ - "0.012282,0.012764,0.013623,0.015129,0.017767,0.022385,0.030884", \ - "0.016636,0.017143,0.018018,0.019536,0.022122,0.026584,0.034456", \ - "0.022528,0.023125,0.024138,0.025872,0.028742,0.033388,0.041152", \ - "0.029697,0.030414,0.031595,0.033614,0.036942,0.042185,0.050461"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.046104,0.048340,0.052319,0.059388,0.071916,0.093962,0.132124", \ - "0.046102,0.048342,0.052319,0.059386,0.071914,0.093964,0.132131", \ - "0.046097,0.048336,0.052316,0.059381,0.071916,0.093963,0.132110", \ - "0.046162,0.048378,0.052331,0.059381,0.071893,0.093948,0.132109", \ - "0.050205,0.052086,0.055518,0.061757,0.073183,0.094110,0.132094", \ - "0.058212,0.060126,0.063500,0.069470,0.079532,0.098431,0.133452", \ - "0.067069,0.069049,0.072548,0.078710,0.089475,0.108020,0.139881"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.016806,0.017472,0.018639,0.020674,0.024214,0.030361,0.041083", \ - "0.018427,0.019096,0.020265,0.022306,0.025852,0.032005,0.042732", \ - "0.022848,0.023524,0.024702,0.026750,0.030308,0.036486,0.047240", \ - "0.028357,0.029186,0.030620,0.033050,0.037111,0.043816,0.054898", \ - "0.032448,0.033544,0.035424,0.038566,0.043709,0.051913,0.064805", \ - "0.033744,0.035156,0.037574,0.041608,0.048149,0.058493,0.074269", \ - "0.031705,0.033445,0.036489,0.041520,0.049614,0.062362,0.081609"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.058101,0.060433,0.064542,0.071796,0.084578,0.107099,0.146860", \ - "0.058911,0.061246,0.065376,0.072665,0.085478,0.108045,0.147855", \ - "0.063470,0.065816,0.069953,0.077262,0.090126,0.112768,0.152622", \ - "0.072152,0.074482,0.078601,0.085855,0.098671,0.121260,0.161127", \ - "0.085940,0.088484,0.092876,0.100345,0.113003,0.135403,0.175051", \ - "0.103098,0.105865,0.110698,0.119081,0.133146,0.156829,0.196255", \ - "0.124198,0.127237,0.132529,0.141763,0.157180,0.182819,0.225098"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008724,0.009248,0.010167,0.011801,0.014691,0.019810,0.028888", \ - "0.008724,0.009247,0.010166,0.011800,0.014690,0.019809,0.028887", \ - "0.008910,0.009403,0.010280,0.011854,0.014690,0.019805,0.028887", \ - "0.011274,0.011735,0.012559,0.014014,0.016579,0.021108,0.029419", \ - "0.015748,0.016260,0.017143,0.018644,0.021200,0.025589,0.033308", \ - "0.021740,0.022346,0.023377,0.025123,0.027995,0.032626,0.040292", \ - "0.029049,0.029773,0.030966,0.032997,0.036329,0.041563,0.049806"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.043868,0.045962,0.049669,0.056216,0.067732,0.087964,0.123615", \ - "0.043869,0.045964,0.049669,0.056219,0.067731,0.087961,0.123620", \ - "0.043864,0.045959,0.049667,0.056215,0.067732,0.087964,0.123605", \ - "0.043931,0.046004,0.049687,0.056214,0.067718,0.087955,0.123597", \ - "0.047916,0.049689,0.052855,0.058587,0.069006,0.088124,0.123585", \ - "0.054972,0.056793,0.059996,0.065623,0.075183,0.092419,0.124945", \ - "0.062673,0.064568,0.067900,0.073739,0.083842,0.101269,0.131350"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013600,0.014118,0.015027,0.016609,0.019351,0.024098,0.032348", \ - "0.015253,0.015773,0.016685,0.018271,0.021016,0.025768,0.034023", \ - "0.020586,0.021131,0.022069,0.023665,0.026414,0.031178,0.039458", \ - "0.026797,0.027565,0.028883,0.031093,0.034706,0.040470,0.049513", \ - "0.030813,0.031863,0.033667,0.036676,0.041594,0.049319,0.061114", \ - "0.031845,0.033213,0.035549,0.039459,0.045796,0.055772,0.070873", \ - "0.029418,0.031125,0.034059,0.038956,0.046828,0.059237,0.077894"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.061954,0.064260,0.068331,0.075540,0.088262,0.110699,0.150397", \ - "0.062782,0.065101,0.069208,0.076446,0.089215,0.111722,0.151498", \ - "0.067293,0.069612,0.073723,0.080978,0.093795,0.116354,0.156215", \ - "0.075965,0.078271,0.082357,0.089558,0.102313,0.124824,0.164625", \ - "0.090137,0.092624,0.096912,0.104105,0.116693,0.139022,0.178606", \ - "0.107804,0.110513,0.115221,0.123446,0.137266,0.160681,0.199896", \ - "0.129491,0.132443,0.137600,0.146645,0.161844,0.187143,0.229097"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007071,0.007462,0.008161,0.009396,0.011581,0.015445,0.022303", \ - "0.007068,0.007458,0.008161,0.009395,0.011577,0.015444,0.022304", \ - "0.007510,0.007841,0.008449,0.009563,0.011621,0.015432,0.022301", \ - "0.011104,0.011463,0.012080,0.013145,0.014952,0.018055,0.023576", \ - "0.016197,0.016639,0.017390,0.018681,0.020826,0.024304,0.029945", \ - "0.022572,0.023099,0.024010,0.025558,0.028138,0.032234,0.038664", \ - "0.030244,0.030871,0.031939,0.033748,0.036778,0.041558,0.048990"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.047070,0.049183,0.052919,0.059486,0.071040,0.091301,0.126981", \ - "0.047072,0.049182,0.052914,0.059487,0.071038,0.091302,0.126967", \ - "0.047069,0.049179,0.052914,0.059485,0.071038,0.091300,0.126973", \ - "0.047113,0.049207,0.052922,0.059486,0.071033,0.091297,0.126968", \ - "0.050622,0.052455,0.055701,0.061525,0.072084,0.091381,0.126951", \ - "0.057770,0.059596,0.062801,0.068421,0.077918,0.095383,0.128131", \ - "0.065580,0.067470,0.070798,0.076611,0.086713,0.104083,0.134251"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.014110,0.014757,0.015891,0.017875,0.021334,0.027375,0.037969", \ - "0.015722,0.016372,0.017511,0.019501,0.022969,0.029018,0.039617", \ - "0.019957,0.020650,0.021852,0.023896,0.027401,0.033485,0.044118", \ - "0.024421,0.025327,0.026870,0.029445,0.033678,0.040531,0.051723", \ - "0.026835,0.028069,0.030163,0.033619,0.039174,0.047833,0.061097", \ - "0.026236,0.027835,0.030565,0.035032,0.042184,0.053244,0.069751", \ - "0.022145,0.024147,0.027570,0.033191,0.042059,0.055781,0.076050"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.056775,0.059095,0.063194,0.070427,0.083158,0.105591,0.145213", \ - "0.057577,0.059908,0.064025,0.071292,0.084058,0.106538,0.146203", \ - "0.062133,0.064473,0.068601,0.075884,0.088700,0.111250,0.150987", \ - "0.070834,0.073153,0.077265,0.084490,0.097253,0.119751,0.159481", \ - "0.084456,0.086998,0.091415,0.098945,0.111622,0.133923,0.173410", \ - "0.101391,0.104180,0.109029,0.117453,0.131539,0.155248,0.194637", \ - "0.122208,0.125282,0.130611,0.139865,0.155336,0.181046,0.223349"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.007043,0.007555,0.008467,0.010084,0.012952,0.018041,0.027085", \ - "0.007038,0.007553,0.008465,0.010084,0.012952,0.018043,0.027084", \ - "0.007530,0.007990,0.008816,0.010314,0.013044,0.018051,0.027086", \ - "0.010273,0.010722,0.011509,0.012900,0.015362,0.019774,0.027849", \ - "0.014958,0.015467,0.016342,0.017840,0.020334,0.024587,0.032111", \ - "0.021071,0.021671,0.022696,0.024447,0.027310,0.031884,0.039402", \ - "0.028521,0.029230,0.030420,0.032429,0.035757,0.040949,0.049086"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.032238,0.034305,0.037960,0.044428,0.055870,0.076097,0.111886", \ - "0.032243,0.034310,0.037960,0.044436,0.055863,0.076083,0.111864", \ - "0.032242,0.034302,0.037960,0.044433,0.055873,0.076086,0.111827", \ - "0.032306,0.034346,0.037974,0.044425,0.055852,0.076058,0.111840", \ - "0.036433,0.038194,0.041279,0.046892,0.057190,0.076239,0.111758", \ - "0.041990,0.043943,0.047326,0.053249,0.063392,0.080633,0.113108", \ - "0.048346,0.050418,0.054018,0.060258,0.070918,0.089036,0.119638"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011657,0.012158,0.013038,0.014572,0.017244,0.021897,0.030038", \ - "0.013304,0.013808,0.014691,0.016230,0.018908,0.023567,0.031713", \ - "0.018317,0.018892,0.019875,0.021531,0.024275,0.028966,0.037144", \ - "0.023141,0.023994,0.025441,0.027841,0.031705,0.037746,0.047034", \ - "0.025445,0.026629,0.028641,0.031962,0.037292,0.045526,0.057840", \ - "0.024572,0.026125,0.028773,0.033117,0.040050,0.050745,0.066602", \ - "0.020109,0.022050,0.025394,0.030861,0.039506,0.052857,0.072537"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.060582,0.062875,0.066928,0.074110,0.086773,0.109116,0.148670", \ - "0.061403,0.063715,0.067804,0.075012,0.087721,0.110137,0.149736", \ - "0.065913,0.068224,0.072317,0.079542,0.092294,0.114765,0.154477", \ - "0.074600,0.076892,0.080967,0.088133,0.100825,0.123234,0.162881", \ - "0.088622,0.091090,0.095410,0.102689,0.115233,0.137442,0.176847", \ - "0.106057,0.108774,0.113509,0.121754,0.135603,0.159035,0.198167", \ - "0.127473,0.130439,0.135632,0.144703,0.159922,0.185284,0.227246"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005755,0.006146,0.006840,0.008070,0.010244,0.014104,0.020942", \ - "0.005752,0.006142,0.006837,0.008067,0.010248,0.014101,0.020943", \ - "0.006673,0.006984,0.007532,0.008552,0.010475,0.014128,0.020941", \ - "0.010404,0.010763,0.011389,0.012453,0.014263,0.017313,0.022642", \ - "0.015573,0.016007,0.016766,0.018055,0.020213,0.023686,0.029284", \ - "0.022082,0.022605,0.023491,0.025024,0.027574,0.031666,0.038087", \ - "0.029954,0.030564,0.031582,0.033350,0.036331,0.041059,0.048439"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.034175,0.036262,0.039942,0.046436,0.057932,0.078159,0.114008", \ - "0.034179,0.036261,0.039944,0.046438,0.057910,0.078172,0.113993", \ - "0.034176,0.036255,0.039935,0.046438,0.057921,0.078167,0.113985", \ - "0.034223,0.036287,0.039951,0.046430,0.057907,0.078152,0.113950", \ - "0.037880,0.039653,0.042833,0.048549,0.059013,0.078247,0.113910", \ - "0.043599,0.045551,0.048932,0.054858,0.064963,0.082347,0.115073", \ - "0.049992,0.052053,0.055656,0.061897,0.072543,0.090674,0.121306"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011657,0.012158,0.013038,0.014572,0.017244,0.021897,0.030038", \ - "0.013304,0.013808,0.014691,0.016230,0.018908,0.023567,0.031713", \ - "0.018317,0.018892,0.019875,0.021531,0.024275,0.028966,0.037144", \ - "0.023141,0.023994,0.025441,0.027841,0.031705,0.037746,0.047034", \ - "0.025445,0.026629,0.028641,0.031962,0.037292,0.045526,0.057840", \ - "0.024572,0.026125,0.028773,0.033117,0.040050,0.050745,0.066602", \ - "0.020109,0.022050,0.025394,0.030861,0.039506,0.052857,0.072537"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.060582,0.062875,0.066928,0.074110,0.086773,0.109116,0.148670", \ - "0.061403,0.063715,0.067804,0.075012,0.087721,0.110137,0.149736", \ - "0.065913,0.068224,0.072317,0.079542,0.092294,0.114765,0.154477", \ - "0.074600,0.076892,0.080967,0.088133,0.100825,0.123234,0.162881", \ - "0.088622,0.091090,0.095410,0.102689,0.115233,0.137442,0.176847", \ - "0.106057,0.108774,0.113509,0.121754,0.135603,0.159035,0.198167", \ - "0.127473,0.130439,0.135632,0.144703,0.159922,0.185284,0.227246"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005755,0.006146,0.006840,0.008070,0.010244,0.014104,0.020942", \ - "0.005752,0.006142,0.006837,0.008067,0.010248,0.014101,0.020943", \ - "0.006673,0.006984,0.007532,0.008552,0.010475,0.014128,0.020941", \ - "0.010404,0.010763,0.011389,0.012453,0.014263,0.017313,0.022642", \ - "0.015573,0.016007,0.016766,0.018055,0.020213,0.023686,0.029284", \ - "0.022082,0.022605,0.023491,0.025024,0.027574,0.031666,0.038087", \ - "0.029954,0.030564,0.031582,0.033350,0.036331,0.041059,0.048439"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.034175,0.036262,0.039942,0.046436,0.057932,0.078159,0.114008", \ - "0.034179,0.036261,0.039944,0.046438,0.057910,0.078172,0.113993", \ - "0.034176,0.036255,0.039935,0.046438,0.057921,0.078167,0.113985", \ - "0.034223,0.036287,0.039951,0.046430,0.057907,0.078152,0.113950", \ - "0.037880,0.039653,0.042833,0.048549,0.059013,0.078247,0.113910", \ - "0.043599,0.045551,0.048932,0.054858,0.064963,0.082347,0.115073", \ - "0.049992,0.052053,0.055656,0.061897,0.072543,0.090674,0.121306"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & A3 & !B1 & !B3"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011080,0.011544,0.012357,0.013778,0.016250,0.020554,0.028079", \ - "0.012736,0.013202,0.014018,0.015443,0.017920,0.022230,0.029760", \ - "0.017994,0.018540,0.019473,0.021033,0.023589,0.027924,0.035480", \ - "0.022882,0.023714,0.025126,0.027469,0.031240,0.037111,0.046019", \ - "0.025157,0.026319,0.028292,0.031550,0.036786,0.044881,0.056965", \ - "0.024211,0.025733,0.028336,0.032607,0.039429,0.049972,0.065613", \ - "0.019632,0.021538,0.024816,0.030195,0.038714,0.051890,0.071338"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.063911,0.066195,0.070229,0.077380,0.090002,0.112306,0.151803", \ - "0.064739,0.067041,0.071105,0.078295,0.090975,0.113363,0.152926", \ - "0.069227,0.071529,0.075606,0.082798,0.095513,0.117960,0.157631", \ - "0.077895,0.080183,0.084230,0.091373,0.104026,0.126396,0.165995", \ - "0.092199,0.094611,0.098848,0.105932,0.118433,0.140598,0.179942", \ - "0.110014,0.112673,0.117324,0.125455,0.139130,0.162322,0.201321", \ - "0.131832,0.134751,0.139847,0.148748,0.163798,0.188909,0.230601"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.005640,0.005996,0.006629,0.007751,0.009735,0.013254,0.019498", \ - "0.005636,0.005994,0.006626,0.007750,0.009736,0.013258,0.019500", \ - "0.006735,0.006998,0.007466,0.008343,0.010027,0.013294,0.019502", \ - "0.010806,0.011134,0.011704,0.012676,0.014322,0.017062,0.021671", \ - "0.016214,0.016614,0.017309,0.018508,0.020534,0.023802,0.029021", \ - "0.022986,0.023457,0.024271,0.025699,0.028096,0.031986,0.038120", \ - "0.031145,0.031688,0.032628,0.034267,0.037069,0.041558,0.048663"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.036051,0.038133,0.041808,0.048316,0.059800,0.080062,0.115882", \ - "0.036045,0.038136,0.041809,0.048317,0.059784,0.080046,0.115857", \ - "0.036042,0.038131,0.041804,0.048307,0.059796,0.080069,0.115858", \ - "0.036060,0.038136,0.041803,0.048306,0.059792,0.080038,0.115837", \ - "0.039298,0.041095,0.044322,0.050122,0.060702,0.080103,0.115788", \ - "0.045146,0.047070,0.050460,0.056364,0.066386,0.083883,0.116859", \ - "0.051594,0.053642,0.057202,0.063406,0.074059,0.092154,0.122845"); - } - } - - timing () { - - related_pin : "B3"; - when : "!A1 & !A2 & A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.019120,0.019878,0.021196,0.023474,0.027371,0.033995,0.045281", \ - "0.020599,0.021359,0.022677,0.024953,0.028849,0.035476,0.046760", \ - "0.025089,0.025830,0.027131,0.029378,0.033255,0.039875,0.051169", \ - "0.031849,0.032679,0.034113,0.036557,0.040691,0.047529,0.058867", \ - "0.038006,0.039038,0.040815,0.043811,0.048762,0.056748,0.069528", \ - "0.041848,0.043161,0.045408,0.049120,0.055249,0.065050,0.080216", \ - "0.042784,0.044386,0.047124,0.051690,0.059106,0.071029,0.089296"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.061595,0.063920,0.068027,0.075279,0.088066,0.110578,0.150324", \ - "0.062897,0.065234,0.069362,0.076645,0.089467,0.112024,0.151819", \ - "0.067793,0.070134,0.074277,0.081587,0.094452,0.117090,0.156974", \ - "0.075378,0.077712,0.081837,0.089121,0.101959,0.124573,0.164497", \ - "0.085436,0.087918,0.092221,0.099699,0.112475,0.134967,0.174729", \ - "0.097065,0.099733,0.104359,0.112362,0.126203,0.149801,0.189625", \ - "0.111993,0.114841,0.119781,0.128399,0.143002,0.167911,0.209904"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011763,0.012319,0.013292,0.014997,0.017971,0.023161,0.032275", \ - "0.011734,0.012296,0.013270,0.014980,0.017960,0.023155,0.032273", \ - "0.011565,0.012122,0.013097,0.014819,0.017850,0.023112,0.032262", \ - "0.013444,0.013948,0.014827,0.016369,0.019084,0.023793,0.032468", \ - "0.017737,0.018249,0.019123,0.020645,0.023264,0.027794,0.035759", \ - "0.023705,0.024290,0.025286,0.026996,0.029835,0.034476,0.042295", \ - "0.030973,0.031667,0.032830,0.034805,0.038086,0.043244,0.051495"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.046101,0.048337,0.052319,0.059382,0.071909,0.093953,0.132110", \ - "0.046100,0.048340,0.052320,0.059385,0.071911,0.093957,0.132114", \ - "0.046099,0.048341,0.052314,0.059382,0.071904,0.093962,0.132123", \ - "0.046119,0.048348,0.052319,0.059374,0.071904,0.093957,0.132137", \ - "0.048970,0.050974,0.054566,0.061074,0.072837,0.094096,0.132094", \ - "0.055644,0.057633,0.061135,0.067367,0.078233,0.097810,0.133465", \ - "0.063514,0.065514,0.069063,0.075368,0.086522,0.105929,0.139274"); - } - } - - timing () { - - related_pin : "B3"; - when : "!A1 & A2 & !A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.017694,0.018414,0.019669,0.021846,0.025590,0.032013,0.043056", \ - "0.019184,0.019902,0.021158,0.023332,0.027075,0.033495,0.044537", \ - "0.023629,0.024338,0.025581,0.027740,0.031472,0.037894,0.048945", \ - "0.029787,0.030619,0.032053,0.034502,0.038605,0.045389,0.056610", \ - "0.034862,0.035934,0.037772,0.040858,0.045920,0.054032,0.066902", \ - "0.037365,0.038733,0.041092,0.044992,0.051354,0.061445,0.076946", \ - "0.036831,0.038531,0.041429,0.046244,0.054043,0.066392,0.085162"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.061589,0.063914,0.068022,0.075272,0.088062,0.110568,0.150318", \ - "0.062892,0.065229,0.069357,0.076639,0.089459,0.112017,0.151813", \ - "0.067787,0.070130,0.074272,0.081580,0.094442,0.117076,0.156967", \ - "0.075376,0.077709,0.081833,0.089115,0.101950,0.124560,0.164490", \ - "0.085434,0.087897,0.092214,0.099694,0.112468,0.134965,0.174722", \ - "0.097056,0.099714,0.104348,0.112365,0.126197,0.149791,0.189618", \ - "0.111980,0.114816,0.119773,0.128360,0.142994,0.167917,0.209897"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.010229,0.010777,0.011735,0.013419,0.016366,0.021528,0.030619", \ - "0.010195,0.010743,0.011708,0.013395,0.016347,0.021516,0.030614", \ - "0.010215,0.010745,0.011688,0.013353,0.016303,0.021502,0.030614", \ - "0.012425,0.012908,0.013754,0.015260,0.017888,0.022499,0.030998", \ - "0.016930,0.017438,0.018308,0.019808,0.022369,0.026807,0.034630", \ - "0.023047,0.023630,0.024623,0.026330,0.029151,0.033755,0.041449", \ - "0.030501,0.031183,0.032338,0.034307,0.037548,0.042689,0.050857"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.043868,0.045959,0.049669,0.056212,0.067724,0.087957,0.123600", \ - "0.043868,0.045963,0.049668,0.056213,0.067728,0.087960,0.123606", \ - "0.043865,0.045960,0.049668,0.056216,0.067725,0.087959,0.123613", \ - "0.043886,0.045973,0.049669,0.056209,0.067721,0.087956,0.123621", \ - "0.046697,0.048560,0.051907,0.057906,0.068665,0.088107,0.123581", \ - "0.052530,0.054412,0.057739,0.063597,0.073806,0.091789,0.124957", \ - "0.059287,0.061193,0.064566,0.070516,0.080958,0.099160,0.130724"); - } - } - - timing () { - - related_pin : "B3"; - when : "!A1 & A2 & A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.013985,0.014547,0.015526,0.017221,0.020136,0.025118,0.033654", \ - "0.015550,0.016110,0.017086,0.018779,0.021688,0.026666,0.035199", \ - "0.021042,0.021601,0.022561,0.024214,0.027077,0.032029,0.040560", \ - "0.028050,0.028813,0.030117,0.032316,0.035910,0.041660,0.050725", \ - "0.033080,0.034108,0.035867,0.038819,0.043642,0.051237,0.062887", \ - "0.035318,0.036630,0.038910,0.042691,0.048839,0.058581,0.073378", \ - "0.034365,0.036020,0.038836,0.043528,0.051096,0.063105,0.081312"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.065438,0.067743,0.071822,0.079023,0.091737,0.114229,0.153885", \ - "0.066762,0.069084,0.073186,0.080433,0.093196,0.115710,0.155474", \ - "0.071607,0.073932,0.078043,0.085295,0.098121,0.120675,0.160559", \ - "0.079173,0.081484,0.085577,0.092809,0.105581,0.128115,0.167936", \ - "0.089471,0.091889,0.096136,0.103427,0.116098,0.138530,0.178222", \ - "0.101514,0.104115,0.108662,0.116522,0.130175,0.153573,0.193164", \ - "0.116917,0.119677,0.124536,0.132994,0.147377,0.172054,0.213777"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008158,0.008571,0.009306,0.010589,0.012838,0.016756,0.023643", \ - "0.008114,0.008533,0.009266,0.010557,0.012811,0.016738,0.023634", \ - "0.008364,0.008724,0.009377,0.010566,0.012728,0.016666,0.023613", \ - "0.012040,0.012394,0.013003,0.014059,0.015863,0.018966,0.024616", \ - "0.017301,0.017723,0.018459,0.019713,0.021819,0.025226,0.030841", \ - "0.023816,0.024322,0.025196,0.026700,0.029216,0.033225,0.039583", \ - "0.031642,0.032238,0.033255,0.035002,0.037931,0.042597,0.049914"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.047070,0.049183,0.052918,0.059487,0.071041,0.091302,0.126974", \ - "0.047073,0.049183,0.052918,0.059496,0.071029,0.091300,0.126967", \ - "0.047068,0.049182,0.052917,0.059485,0.071040,0.091301,0.126965", \ - "0.047082,0.049189,0.052915,0.059483,0.071035,0.091301,0.126958", \ - "0.049564,0.051470,0.054878,0.060952,0.071812,0.091386,0.126946", \ - "0.055447,0.057337,0.060678,0.066544,0.076721,0.094861,0.128176", \ - "0.062231,0.064137,0.067527,0.073491,0.083944,0.102146,0.133733"); - } - } - - timing () { - - related_pin : "B3"; - when : "A1 & !A2 & !A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.014598,0.015317,0.016571,0.018742,0.022474,0.028862,0.039842", \ - "0.016099,0.016816,0.018067,0.020234,0.023960,0.030346,0.041324", \ - "0.020537,0.021264,0.022520,0.024669,0.028372,0.034749,0.045733", \ - "0.025848,0.026750,0.028288,0.030874,0.035130,0.042059,0.053382", \ - "0.029428,0.030621,0.032662,0.036031,0.041467,0.050010,0.063208", \ - "0.030201,0.031742,0.034369,0.038669,0.045568,0.056325,0.072518", \ - "0.027824,0.029760,0.033002,0.038345,0.046811,0.060031,0.079730"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.060260,0.062580,0.066674,0.073908,0.086633,0.109066,0.148676", \ - "0.061557,0.063891,0.068006,0.075271,0.088038,0.110515,0.150172", \ - "0.066453,0.068786,0.072920,0.080202,0.093017,0.115563,0.155326", \ - "0.074047,0.076377,0.080489,0.087745,0.100529,0.123047,0.162805", \ - "0.083994,0.086470,0.090791,0.098295,0.111086,0.133480,0.173083", \ - "0.095437,0.098118,0.102760,0.110785,0.124631,0.148215,0.187994", \ - "0.110183,0.113023,0.118011,0.126645,0.141268,0.166185,0.208143"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.008479,0.009034,0.010011,0.011710,0.014665,0.019814,0.028865", \ - "0.008428,0.008989,0.009967,0.011674,0.014639,0.019800,0.028858", \ - "0.008729,0.009233,0.010136,0.011748,0.014628,0.019777,0.028856", \ - "0.011464,0.011919,0.012725,0.014145,0.016673,0.021160,0.029417", \ - "0.016263,0.016755,0.017599,0.019063,0.021539,0.025811,0.033408", \ - "0.022526,0.023095,0.024065,0.025743,0.028515,0.033031,0.040548", \ - "0.030153,0.030814,0.031933,0.033845,0.037054,0.042090,0.050148"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.032242,0.034305,0.037960,0.044427,0.055861,0.076063,0.111848", \ - "0.032239,0.034315,0.037965,0.044429,0.055865,0.076083,0.111851", \ - "0.032237,0.034303,0.037955,0.044427,0.055858,0.076056,0.111859", \ - "0.032254,0.034316,0.037958,0.044423,0.055859,0.076071,0.111871", \ - "0.035125,0.036993,0.040282,0.046174,0.056827,0.076213,0.111763", \ - "0.039749,0.041730,0.045202,0.051293,0.061840,0.079984,0.113105", \ - "0.045296,0.047337,0.050930,0.057234,0.068118,0.086918,0.118988"); - } - } - - timing () { - - related_pin : "B3"; - when : "A1 & !A2 & A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011762,0.012313,0.013278,0.014952,0.017836,0.022777,0.031255", \ - "0.013340,0.013889,0.014849,0.016518,0.019394,0.024328,0.032801", \ - "0.018668,0.019257,0.020269,0.021972,0.024814,0.029705,0.038166", \ - "0.024417,0.025261,0.026695,0.029074,0.032913,0.038936,0.048234", \ - "0.027871,0.029022,0.030983,0.034219,0.039433,0.047512,0.059655", \ - "0.028370,0.029861,0.032412,0.036587,0.043269,0.053669,0.069191", \ - "0.025590,0.027488,0.030653,0.035836,0.044100,0.056947,0.076067"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.064065,0.066357,0.070425,0.077593,0.090250,0.112599,0.152131", \ - "0.065384,0.067696,0.071779,0.078994,0.091707,0.114117,0.153715", \ - "0.070227,0.072543,0.076637,0.083860,0.096618,0.119086,0.158792", \ - "0.077798,0.080104,0.084177,0.091378,0.104088,0.126522,0.166197", \ - "0.087993,0.090412,0.094661,0.102004,0.114637,0.136951,0.176465", \ - "0.099864,0.102465,0.107022,0.114894,0.128526,0.151897,0.191430", \ - "0.115072,0.117848,0.122711,0.131191,0.145583,0.170240,0.211917"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006721,0.007153,0.007906,0.009223,0.011496,0.015435,0.022315", \ - "0.006671,0.007104,0.007859,0.009179,0.011464,0.015413,0.022299", \ - "0.007505,0.007828,0.008411,0.009504,0.011540,0.015348,0.022270", \ - "0.011461,0.011804,0.012411,0.013446,0.015221,0.018249,0.023660", \ - "0.016822,0.017233,0.017947,0.019186,0.021269,0.024657,0.030203", \ - "0.023483,0.023966,0.024803,0.026270,0.028723,0.032706,0.039016", \ - "0.031516,0.032066,0.033027,0.034708,0.037559,0.042144,0.049388"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.034179,0.036261,0.039945,0.046438,0.057914,0.078159,0.114006", \ - "0.034179,0.036262,0.039939,0.046447,0.057909,0.078173,0.114004", \ - "0.034173,0.036260,0.039945,0.046442,0.057929,0.078160,0.113980", \ - "0.034197,0.036265,0.039934,0.046428,0.057918,0.078143,0.113966", \ - "0.036762,0.038621,0.041971,0.047951,0.058718,0.078238,0.113899", \ - "0.041454,0.043439,0.046939,0.053036,0.063585,0.081813,0.115113", \ - "0.046974,0.049026,0.052632,0.058948,0.069852,0.088676,0.120794"); - } - } - - timing () { - - related_pin : "B3"; - when : "A1 & A2 & !A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011762,0.012313,0.013278,0.014952,0.017836,0.022777,0.031255", \ - "0.013340,0.013889,0.014849,0.016518,0.019394,0.024328,0.032801", \ - "0.018668,0.019257,0.020269,0.021972,0.024814,0.029705,0.038166", \ - "0.024417,0.025261,0.026695,0.029074,0.032913,0.038936,0.048234", \ - "0.027871,0.029022,0.030983,0.034219,0.039433,0.047512,0.059655", \ - "0.028370,0.029861,0.032412,0.036587,0.043269,0.053669,0.069191", \ - "0.025590,0.027488,0.030653,0.035836,0.044100,0.056947,0.076067"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.064065,0.066357,0.070425,0.077593,0.090250,0.112599,0.152131", \ - "0.065384,0.067696,0.071779,0.078994,0.091707,0.114117,0.153715", \ - "0.070227,0.072543,0.076637,0.083860,0.096618,0.119086,0.158792", \ - "0.077798,0.080104,0.084177,0.091378,0.104088,0.126522,0.166197", \ - "0.087993,0.090412,0.094661,0.102004,0.114637,0.136951,0.176465", \ - "0.099864,0.102465,0.107022,0.114894,0.128526,0.151897,0.191430", \ - "0.115072,0.117848,0.122711,0.131191,0.145583,0.170240,0.211917"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006721,0.007153,0.007906,0.009223,0.011496,0.015435,0.022315", \ - "0.006671,0.007104,0.007859,0.009179,0.011464,0.015413,0.022299", \ - "0.007505,0.007828,0.008411,0.009504,0.011540,0.015348,0.022270", \ - "0.011461,0.011804,0.012411,0.013446,0.015221,0.018249,0.023660", \ - "0.016822,0.017233,0.017947,0.019186,0.021269,0.024657,0.030203", \ - "0.023483,0.023966,0.024803,0.026270,0.028723,0.032706,0.039016", \ - "0.031516,0.032066,0.033027,0.034708,0.037559,0.042144,0.049388"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.034179,0.036261,0.039945,0.046438,0.057914,0.078159,0.114006", \ - "0.034179,0.036262,0.039939,0.046447,0.057909,0.078173,0.114004", \ - "0.034173,0.036260,0.039945,0.046442,0.057929,0.078160,0.113980", \ - "0.034197,0.036265,0.039934,0.046428,0.057918,0.078143,0.113966", \ - "0.036762,0.038621,0.041971,0.047951,0.058718,0.078238,0.113899", \ - "0.041454,0.043439,0.046939,0.053036,0.063585,0.081813,0.115113", \ - "0.046974,0.049026,0.052632,0.058948,0.069852,0.088676,0.120794"); - } - } - - timing () { - - related_pin : "B3"; - when : "A1 & A2 & A3 & !B1 & !B2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.011112,0.011620,0.012509,0.014054,0.016719,0.021289,0.029134", \ - "0.012709,0.013214,0.014098,0.015638,0.018294,0.022857,0.030696", \ - "0.018306,0.018865,0.019819,0.021417,0.024045,0.028549,0.036362", \ - "0.024117,0.024939,0.026338,0.028664,0.032407,0.038242,0.047125", \ - "0.027531,0.028659,0.030581,0.033760,0.038879,0.046819,0.058728", \ - "0.027927,0.029401,0.031902,0.036010,0.042595,0.052850,0.068152", \ - "0.025018,0.026862,0.029987,0.035093,0.043238,0.055920,0.074821"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.067393,0.069676,0.073709,0.080861,0.093505,0.115792,0.155287", \ - "0.068720,0.071019,0.075090,0.082278,0.094961,0.117348,0.156927", \ - "0.073547,0.075846,0.079915,0.087113,0.099827,0.122267,0.161953", \ - "0.081101,0.083389,0.087448,0.094615,0.107290,0.129688,0.169290", \ - "0.091477,0.093861,0.098056,0.105252,0.117836,0.140108,0.179565", \ - "0.103635,0.106203,0.110680,0.118464,0.131966,0.155160,0.194546", \ - "0.119153,0.121894,0.126660,0.135038,0.149270,0.173745,0.215228"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.006515,0.006907,0.007591,0.008793,0.010870,0.014472,0.020757", \ - "0.006465,0.006858,0.007547,0.008748,0.010833,0.014450,0.020741", \ - "0.007508,0.007776,0.008261,0.009192,0.010972,0.014375,0.020704", \ - "0.011838,0.012149,0.012693,0.013632,0.015225,0.017905,0.022537", \ - "0.017445,0.017821,0.018476,0.019623,0.021558,0.024751,0.029850", \ - "0.024364,0.024798,0.025566,0.026927,0.029235,0.032996,0.039013", \ - "0.032668,0.033178,0.034046,0.035603,0.038284,0.042638,0.049593"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.036046,0.038131,0.041809,0.048318,0.059832,0.080076,0.115875", \ - "0.036044,0.038140,0.041807,0.048324,0.059805,0.080027,0.115866", \ - "0.036047,0.038136,0.041808,0.048308,0.059805,0.080054,0.115909", \ - "0.036038,0.038125,0.041802,0.048309,0.059790,0.080071,0.115839", \ - "0.038320,0.040212,0.043589,0.049614,0.060475,0.080104,0.115805", \ - "0.043086,0.045075,0.048566,0.054642,0.065178,0.083417,0.116901", \ - "0.048594,0.050658,0.054258,0.060553,0.071467,0.090269,0.122429"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.059877,1.074256,1.080749,1.121914,1.166934,1.218452,1.279729", \ - "1.079457,1.085561,1.101064,1.150942,1.192661,1.251306,1.314825", \ - "1.117378,1.141531,1.150533,1.185796,1.229136,1.284825,1.348223", \ - "1.337001,1.340335,1.325153,1.328980,1.322797,1.353791,1.392431", \ - "1.693203,1.686401,1.679299,1.657920,1.626281,1.587545,1.539518", \ - "2.205132,2.208564,2.192943,2.160782,2.118790,2.027278,1.910821", \ - "2.899715,2.886338,2.874633,2.867444,2.795821,2.682896,2.507832"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.130490,4.138088,4.151146,4.160612,4.194397,4.180062,4.203484", \ - "4.053772,4.063686,4.080973,4.100873,4.110453,4.143313,4.180518", \ - "4.047182,4.050419,4.058022,4.077054,4.089383,4.093353,4.135590", \ - "4.259156,4.255206,4.250802,4.231070,4.218354,4.192339,4.202012", \ - "4.693964,4.681572,4.662660,4.630057,4.569771,4.520469,4.388442", \ - "5.353560,5.333349,5.296427,5.233550,5.172240,5.040215,4.856133", \ - "6.198471,6.183823,6.140386,6.097393,6.006504,5.834663,5.576109"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.769941,0.769088,0.777946,0.789917,0.805239,0.824801,0.844106", \ - "0.769998,0.786047,0.790748,0.809090,0.830346,0.859587,0.888245", \ - "0.814726,0.815561,0.820448,0.828756,0.853493,0.877826,0.907494", \ - "1.002972,0.997731,0.986670,0.965456,0.941434,0.942266,0.951981", \ - "1.350756,1.341509,1.318407,1.290910,1.242994,1.175502,1.097437", \ - "1.864802,1.857425,1.841160,1.802157,1.735798,1.625626,1.477843", \ - "2.530497,2.542954,2.523350,2.507030,2.432629,2.303777,2.092061"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.548325,3.558480,3.563572,3.587646,3.574014,3.599001,3.607050", \ - "3.471931,3.479870,3.493686,3.526721,3.541389,3.561936,3.583317", \ - "3.465258,3.466633,3.471040,3.470535,3.479308,3.512887,3.538940", \ - "3.677082,3.671216,3.663880,3.639962,3.632572,3.612218,3.606335", \ - "4.107805,4.094633,4.074000,4.020714,3.969561,3.844534,3.790154", \ - "4.728023,4.731250,4.714819,4.654009,4.536281,4.460036,4.257742", \ - "5.540557,5.520699,5.482789,5.425795,5.401656,5.251622,4.977048"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & !B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.758657,0.763022,0.761130,0.776045,0.794684,0.814862,0.833507", \ - "0.765105,0.777568,0.778335,0.793525,0.823402,0.846693,0.871727", \ - "0.819533,0.820972,0.817313,0.835257,0.849025,0.875081,0.904640", \ - "1.039946,1.025013,1.024971,1.005287,0.982142,0.952041,0.957096", \ - "1.388702,1.383500,1.366642,1.341461,1.296012,1.230997,1.150490", \ - "1.902484,1.888572,1.876705,1.854763,1.802693,1.704019,1.556629", \ - "2.573210,2.550122,2.547602,2.541784,2.501688,2.391848,2.194930"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.567315,3.588586,3.598592,3.613667,3.618275,3.581917,3.606933", \ - "3.477703,3.485303,3.498531,3.529665,3.560270,3.521220,3.569897", \ - "3.481783,3.482067,3.484591,3.474102,3.500787,3.474038,3.520098", \ - "3.725468,3.719580,3.695776,3.673182,3.665401,3.635569,3.601924", \ - "4.170053,4.157060,4.120802,4.092370,4.039723,3.941952,3.807853", \ - "4.807004,4.813616,4.789673,4.737644,4.625132,4.511246,4.400932", \ - "5.632079,5.613097,5.592736,5.548145,5.490933,5.333603,5.143301"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.303585,0.306831,0.301993,0.303172,0.301575,0.307000,0.310931", \ - "0.299220,0.298380,0.308771,0.311674,0.324232,0.338124,0.348204", \ - "0.328159,0.321118,0.321242,0.329023,0.341860,0.356507,0.372966", \ - "0.551630,0.540260,0.519737,0.490167,0.447873,0.419514,0.417190", \ - "0.915541,0.904266,0.880796,0.830682,0.770196,0.679058,0.573565", \ - "1.440992,1.436030,1.413667,1.374882,1.282533,1.155548,0.973268", \ - "2.148335,2.128891,2.118014,2.090865,2.012521,1.850486,1.604565"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.891400,2.896734,2.905464,2.929312,2.910412,2.932598,2.936362", \ - "2.797672,2.822341,2.835231,2.866344,2.877015,2.894438,2.911713", \ - "2.810344,2.811270,2.814528,2.801982,2.825046,2.846554,2.868114", \ - "3.023238,3.017153,3.009170,2.990689,2.949354,2.947362,2.937334", \ - "3.466588,3.442211,3.422112,3.382510,3.314538,3.174252,3.149852", \ - "3.963958,3.976262,3.959011,3.964170,3.914861,3.797106,3.585825", \ - "4.823314,4.811096,4.775138,4.716557,4.646141,4.594702,4.306833"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.327148,0.321834,0.318998,0.309750,0.309134,0.305774,0.310039", \ - "0.319294,0.319864,0.318539,0.326578,0.333290,0.337458,0.349077", \ - "0.370078,0.361358,0.350523,0.349342,0.353912,0.362058,0.376775", \ - "0.588587,0.569170,0.558757,0.537243,0.499927,0.446898,0.432483", \ - "0.941551,0.937819,0.918790,0.884120,0.826505,0.741774,0.637577", \ - "1.474292,1.471231,1.446637,1.414751,1.346763,1.226681,1.063488", \ - "2.154267,2.147354,2.145989,2.116320,2.058472,1.928989,1.720021"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.883343,2.888922,2.897475,2.920512,2.941711,2.895728,2.929138", \ - "2.777091,2.783776,2.813614,2.834386,2.868427,2.906041,2.891991", \ - "2.787007,2.800176,2.801750,2.800565,2.817108,2.836046,2.842735", \ - "3.029087,3.022742,3.013674,2.989620,2.971636,2.913619,2.925673", \ - "3.487353,3.460725,3.440716,3.393364,3.337646,3.234596,3.128847", \ - "4.014699,4.009637,4.011510,3.998978,3.941845,3.838666,3.669045", \ - "4.890041,4.860586,4.840889,4.794108,4.748056,4.630084,4.362278"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.327148,0.321834,0.318998,0.309750,0.309134,0.305774,0.310039", \ - "0.319294,0.319864,0.318539,0.326578,0.333290,0.337458,0.349077", \ - "0.370078,0.361358,0.350523,0.349342,0.353912,0.362058,0.376775", \ - "0.588587,0.569170,0.558757,0.537243,0.499927,0.446898,0.432483", \ - "0.941551,0.937819,0.918790,0.884120,0.826505,0.741774,0.637577", \ - "1.474292,1.471231,1.446637,1.414751,1.346763,1.226681,1.063488", \ - "2.154267,2.147354,2.145989,2.116320,2.058472,1.928989,1.720021"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.883343,2.888922,2.897475,2.920512,2.941711,2.895728,2.929138", \ - "2.777091,2.783776,2.813614,2.834386,2.868427,2.906041,2.891991", \ - "2.787007,2.800176,2.801750,2.800565,2.817108,2.836046,2.842735", \ - "3.029087,3.022742,3.013674,2.989620,2.971636,2.913619,2.925673", \ - "3.487353,3.460725,3.440716,3.393364,3.337646,3.234596,3.128847", \ - "4.014699,4.009637,4.011510,3.998978,3.941845,3.838666,3.669045", \ - "4.890041,4.860586,4.840889,4.794108,4.748056,4.630084,4.362278"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !A3 & B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.330090,0.327408,0.325482,0.316927,0.308946,0.309631,0.309436", \ - "0.329491,0.325377,0.331362,0.330541,0.331237,0.338217,0.352366", \ - "0.386790,0.379016,0.364287,0.354902,0.359742,0.368121,0.381073", \ - "0.595034,0.590804,0.574982,0.545888,0.518240,0.464820,0.436998", \ - "0.963302,0.954273,0.934253,0.904030,0.849627,0.763137,0.658486", \ - "1.483545,1.482342,1.465740,1.432388,1.364924,1.261738,1.095055", \ - "2.149603,2.151493,2.138457,2.131929,2.073718,1.964371,1.752680"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("2.878278,2.883763,2.892486,2.913530,2.925912,2.890418,2.929791", \ - "2.768152,2.774529,2.803912,2.828182,2.857698,2.830103,2.887731", \ - "2.785318,2.779181,2.797855,2.777904,2.783604,2.741678,2.832504", \ - "3.031463,3.025170,3.015825,3.002102,2.968787,2.895622,2.921863", \ - "3.494066,3.485185,3.447934,3.423507,3.347885,3.274375,3.133544", \ - "4.026060,4.021641,4.022608,4.031626,3.953551,3.842711,3.688266", \ - "4.907032,4.894678,4.857804,4.812991,4.765334,4.624522,4.489417"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.552529,1.550010,1.585766,1.611418,1.654633,1.714538,1.770153", \ - "1.536879,1.541012,1.577703,1.603983,1.648396,1.700972,1.762498", \ - "1.533256,1.545055,1.565932,1.598248,1.641384,1.697540,1.756662", \ - "1.679385,1.668912,1.682601,1.683454,1.709086,1.741107,1.795018", \ - "2.032102,2.029337,2.018939,2.001285,1.983708,1.952069,1.930089", \ - "2.552376,2.547635,2.541521,2.519874,2.471928,2.402852,2.298195", \ - "3.269970,3.267220,3.257939,3.226175,3.171370,3.073362,2.905572"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.945101,4.950701,4.969901,4.960029,4.973776,4.961447,4.981842", \ - "4.880760,4.888847,4.912760,4.915204,4.955292,4.931332,4.961912", \ - "4.794447,4.800587,4.822925,4.816141,4.856967,4.847194,4.890367", \ - "4.794037,4.797185,4.800368,4.801718,4.801880,4.827610,4.866385", \ - "4.955300,4.951110,4.945310,4.921813,4.892232,4.889080,4.920238", \ - "5.380461,5.367741,5.346476,5.296106,5.260001,5.142269,5.096674", \ - "5.989722,5.982360,5.948889,5.925956,5.849897,5.670471,5.555525"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.221196,1.229156,1.229418,1.251903,1.274651,1.304532,1.339593", \ - "1.212303,1.212775,1.218490,1.244564,1.264654,1.302790,1.334586", \ - "1.181144,1.204502,1.209977,1.232632,1.260109,1.285013,1.325842", \ - "1.334690,1.321924,1.318336,1.315338,1.313949,1.329628,1.355921", \ - "1.679967,1.672265,1.659829,1.635773,1.597561,1.545423,1.496018", \ - "2.205999,2.188909,2.183409,2.149019,2.095627,2.001528,1.872096", \ - "2.931820,2.921480,2.904358,2.875737,2.809757,2.687316,2.492186"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.363126,4.366790,4.373470,4.375746,4.403120,4.379824,4.384883", \ - "4.298536,4.304804,4.315719,4.337670,4.327526,4.348986,4.363856", \ - "4.212410,4.216640,4.225400,4.225160,4.268920,4.264931,4.292393", \ - "4.212529,4.213877,4.216848,4.205575,4.212799,4.247335,4.270204", \ - "4.368900,4.368129,4.357310,4.332769,4.332249,4.308256,4.301738", \ - "4.743964,4.760393,4.756554,4.704973,4.673783,4.558976,4.499253", \ - "5.330603,5.307166,5.287418,5.248353,5.228181,5.147680,4.956804"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & !B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.181209,1.185226,1.203766,1.223775,1.249503,1.274281,1.313971", \ - "1.165821,1.174467,1.186239,1.212259,1.243420,1.275339,1.310112", \ - "1.162836,1.169349,1.179639,1.200582,1.226739,1.267137,1.302305", \ - "1.358191,1.355657,1.340678,1.333298,1.319233,1.319154,1.339818", \ - "1.710928,1.709550,1.696963,1.671248,1.632707,1.587406,1.520651", \ - "2.246931,2.235648,2.226466,2.205112,2.149526,2.056359,1.943643", \ - "2.959744,2.961647,2.943230,2.920429,2.868782,2.761568,2.584507"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.382542,4.386220,4.392896,4.404935,4.418536,4.436138,4.384586", \ - "4.307631,4.321152,4.325390,4.337422,4.360270,4.392533,4.351868", \ - "4.229861,4.233200,4.240130,4.258807,4.282554,4.304934,4.275970", \ - "4.235991,4.236695,4.238836,4.239017,4.251072,4.197316,4.260808", \ - "4.398840,4.393599,4.386277,4.372943,4.323438,4.294631,4.301184", \ - "4.808956,4.825626,4.817238,4.772114,4.717092,4.649008,4.512707", \ - "5.406936,5.383620,5.364702,5.330232,5.317629,5.143479,4.990285"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.728936,0.736450,0.736953,0.746184,0.763704,0.783474,0.799274", \ - "0.709793,0.722733,0.726452,0.736195,0.755685,0.774037,0.795696", \ - "0.689330,0.701564,0.710985,0.721124,0.740947,0.764653,0.787262", \ - "0.870072,0.866528,0.855432,0.832048,0.801597,0.808467,0.816566", \ - "1.240309,1.224439,1.207009,1.168677,1.121808,1.048710,0.966956", \ - "1.795026,1.776234,1.752512,1.717512,1.639458,1.524888,1.370488", \ - "2.520085,2.509414,2.490548,2.460885,2.373835,2.233085,2.000507"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.706871,3.710297,3.716062,3.710052,3.718143,3.711940,3.728815", \ - "3.641224,3.647065,3.657212,3.689214,3.697482,3.679689,3.691064", \ - "3.556047,3.559842,3.567550,3.582008,3.573846,3.595783,3.691345", \ - "3.540111,3.540616,3.542211,3.546262,3.564669,3.582159,3.600058", \ - "3.704549,3.702593,3.698399,3.690102,3.673930,3.642394,3.640109", \ - "3.997145,4.008646,4.020988,4.041505,4.022881,3.915212,3.847263", \ - "4.616358,4.604064,4.576248,4.529883,4.484766,4.497984,4.285540"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.709201,0.714978,0.716015,0.734502,0.744905,0.770678,0.785233", \ - "0.678350,0.690755,0.701753,0.720035,0.741703,0.763811,0.780780", \ - "0.684921,0.685398,0.696719,0.706280,0.722172,0.749583,0.772620", \ - "0.895112,0.899509,0.883460,0.865302,0.836107,0.802849,0.818515", \ - "1.269609,1.266183,1.244090,1.215322,1.162371,1.096485,1.008959", \ - "1.826612,1.809197,1.792410,1.754212,1.701493,1.593072,1.438878", \ - "2.552824,2.536896,2.531530,2.498579,2.436217,2.310701,2.105297"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.699366,3.702860,3.708641,3.699431,3.690717,3.681729,3.719122", \ - "3.623781,3.629214,3.638830,3.658642,3.646073,3.708175,3.672556", \ - "3.529458,3.549756,3.556001,3.575437,3.543786,3.614659,3.653740", \ - "3.536462,3.536132,3.537793,3.536499,3.521101,3.511978,3.583635", \ - "3.724169,3.704742,3.699812,3.689001,3.662986,3.636102,3.624006", \ - "4.013314,4.024644,4.036928,4.068969,4.010226,3.963941,3.853463", \ - "4.664409,4.635550,4.625210,4.573535,4.565679,4.454058,4.311433"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.709201,0.714978,0.716015,0.734502,0.744905,0.770678,0.785233", \ - "0.678350,0.690755,0.701753,0.720035,0.741703,0.763811,0.780780", \ - "0.684921,0.685398,0.696719,0.706280,0.722172,0.749583,0.772620", \ - "0.895112,0.899509,0.883460,0.865302,0.836107,0.802849,0.818515", \ - "1.269609,1.266183,1.244090,1.215322,1.162371,1.096485,1.008959", \ - "1.826612,1.809197,1.792410,1.754212,1.701493,1.593072,1.438878", \ - "2.552824,2.536896,2.531530,2.498579,2.436217,2.310701,2.105297"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.699366,3.702860,3.708641,3.699431,3.690717,3.681729,3.719122", \ - "3.623781,3.629214,3.638830,3.658642,3.646073,3.708175,3.672556", \ - "3.529458,3.549756,3.556001,3.575437,3.543786,3.614659,3.653740", \ - "3.536462,3.536132,3.537793,3.536499,3.521101,3.511978,3.583635", \ - "3.724169,3.704742,3.699812,3.689001,3.662986,3.636102,3.624006", \ - "4.013314,4.024644,4.036928,4.068969,4.010226,3.963941,3.853463", \ - "4.664409,4.635550,4.625210,4.573535,4.565679,4.454058,4.311433"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !A3 & B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.692055,0.690302,0.713586,0.728024,0.742654,0.763327,0.783314", \ - "0.677506,0.678336,0.687953,0.713338,0.733429,0.756623,0.778689", \ - "0.685712,0.687250,0.692750,0.699772,0.720229,0.747708,0.771369", \ - "0.914438,0.905037,0.886804,0.881477,0.849430,0.810264,0.818529", \ - "1.282031,1.275366,1.260845,1.234769,1.181648,1.118627,1.024843", \ - "1.830348,1.814852,1.804216,1.777518,1.718714,1.615045,1.464279", \ - "2.538946,2.553075,2.533321,2.516937,2.452328,2.337291,2.138203"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("3.677294,3.698066,3.703831,3.719412,3.726995,3.721660,3.711339", \ - "3.598900,3.621256,3.630573,3.642427,3.674188,3.644318,3.690186", \ - "3.526520,3.546484,3.552192,3.550008,3.561897,3.614806,3.637390", \ - "3.535492,3.536991,3.536349,3.545202,3.514819,3.568037,3.578098", \ - "3.725341,3.705654,3.700529,3.686813,3.649602,3.635646,3.639523", \ - "4.022811,4.030424,4.042460,4.068142,4.013447,3.937138,3.855563", \ - "4.675334,4.663554,4.636018,4.605945,4.576956,4.548086,4.320991"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.858139,1.883558,1.912521,1.948318,2.006087,2.069859,2.166301", \ - "1.816149,1.825789,1.842372,1.896073,1.950599,2.019102,2.109539", \ - "1.778845,1.783883,1.818024,1.854351,1.902397,1.985542,2.066631", \ - "1.882730,1.895184,1.900565,1.912141,1.953020,2.021299,2.088365", \ - "2.257069,2.255830,2.258082,2.250436,2.239137,2.215276,2.219639", \ - "2.824044,2.819435,2.809211,2.791491,2.746695,2.684656,2.598792", \ - "3.617410,3.596262,3.590241,3.567084,3.495211,3.400514,3.237516"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.684641,5.690448,5.688957,5.706881,5.679825,5.721119,5.743129", \ - "5.613064,5.621299,5.642012,5.668801,5.656306,5.681999,5.713452", \ - "5.536096,5.542557,5.541464,5.562642,5.552739,5.592029,5.636051", \ - "5.498517,5.502707,5.501797,5.509715,5.532277,5.489313,5.527054", \ - "5.551764,5.551955,5.558968,5.543784,5.530055,5.553510,5.578948", \ - "5.851438,5.843014,5.830880,5.781741,5.751938,5.714205,5.704176", \ - "6.384745,6.370712,6.348724,6.317471,6.295019,6.105826,6.062862"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.492328,1.514590,1.534566,1.565505,1.610672,1.661846,1.725075", \ - "1.444926,1.448848,1.478783,1.496515,1.553444,1.608588,1.668936", \ - "1.392483,1.395774,1.435685,1.468474,1.507960,1.552674,1.618541", \ - "1.526257,1.533136,1.533656,1.533700,1.558838,1.592734,1.642001", \ - "1.903279,1.891399,1.891462,1.870248,1.839828,1.806107,1.786014", \ - "2.475781,2.469146,2.453382,2.415887,2.369997,2.281448,2.167303", \ - "3.283558,3.276476,3.259620,3.220087,3.143828,3.021117,2.826079"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.088004,5.107345,5.102653,5.116611,5.114721,5.141139,5.147432", \ - "5.030925,5.037154,5.054703,5.079741,5.059247,5.100833,5.116581", \ - "4.939514,4.943826,4.965410,4.965446,5.008184,5.010404,5.038797", \ - "4.916849,4.919311,4.916843,4.933508,4.921670,4.905821,4.927855", \ - "4.965890,4.965002,4.959351,4.952515,4.961082,4.973433,4.982986", \ - "5.202911,5.197262,5.218512,5.190023,5.184172,5.132728,5.107994", \ - "5.723710,5.707178,5.689310,5.642399,5.599325,5.515849,5.429381"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & !B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.403416,1.421180,1.438871,1.479873,1.540288,1.597950,1.672829", \ - "1.351906,1.363286,1.390924,1.419577,1.475075,1.547224,1.618406", \ - "1.321693,1.340546,1.342305,1.394577,1.441479,1.499590,1.573758", \ - "1.514924,1.533388,1.525501,1.533928,1.523016,1.553491,1.604742", \ - "1.911041,1.912705,1.900323,1.894006,1.873248,1.827677,1.782752", \ - "2.518369,2.509948,2.484239,2.470510,2.423464,2.338569,2.229070", \ - "3.330325,3.327768,3.308607,3.271425,3.223225,3.098238,2.919790"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.122927,5.126696,5.133276,5.131428,5.133566,5.142231,5.147557", \ - "5.039939,5.045770,5.056088,5.085377,5.084543,5.089162,5.104585", \ - "4.956879,4.976014,4.983413,4.984693,4.994033,4.997598,5.022394", \ - "4.940611,4.942312,4.947026,4.950040,4.955372,4.903369,4.917848", \ - "4.992107,4.991266,4.990984,4.985615,4.996515,4.975302,4.980737", \ - "5.247039,5.255008,5.272753,5.255892,5.208492,5.142341,5.113795", \ - "5.801963,5.784761,5.760596,5.709378,5.683986,5.642346,5.451912"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.977756,0.982368,1.009660,1.038252,1.081686,1.121385,1.182305", \ - "0.903638,0.934445,0.938078,0.975462,1.019361,1.066037,1.117952", \ - "0.886024,0.888351,0.914363,0.937538,0.984283,1.021774,1.081881", \ - "1.065028,1.055587,1.055757,1.042477,1.037896,1.067075,1.106047", \ - "1.451761,1.453457,1.437317,1.407620,1.366935,1.308798,1.253137", \ - "2.073125,2.059008,2.034812,1.989715,1.905442,1.809662,1.667143", \ - "2.902096,2.890902,2.860119,2.812585,2.719639,2.571453,2.350809"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.434231,4.434027,4.453787,4.465433,4.444896,4.476139,4.481637", \ - "4.373589,4.379539,4.385841,4.410273,4.418274,4.439582,4.445440", \ - "4.282616,4.286470,4.291134,4.304765,4.316734,4.342849,4.388901", \ - "4.243958,4.245538,4.266845,4.262612,4.262712,4.285711,4.253602", \ - "4.318848,4.320948,4.307156,4.294122,4.283942,4.308062,4.312944", \ - "4.432530,4.436549,4.453442,4.508155,4.517455,4.466128,4.437919", \ - "5.010499,4.990940,4.972318,4.924380,4.910545,4.943171,4.757435"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & !B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.866175,0.905070,0.932832,0.968885,1.009163,1.078460,1.137854", \ - "0.818503,0.826571,0.867068,0.900585,0.966194,1.027333,1.083301", \ - "0.816099,0.830444,0.854898,0.880971,0.928452,0.985527,1.045510", \ - "1.066893,1.067603,1.061641,1.054722,1.040089,1.045009,1.081441", \ - "1.481180,1.476795,1.458647,1.427670,1.398404,1.340606,1.271732", \ - "2.103396,2.086863,2.069670,2.038914,1.967928,1.869585,1.730319", \ - "2.947441,2.922238,2.910511,2.879120,2.800272,2.659310,2.444842"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.423529,4.426647,4.432197,4.434990,4.470817,4.469012,4.484384", \ - "4.338847,4.361670,4.371134,4.388466,4.419741,4.419040,4.426846", \ - "4.273413,4.276445,4.282485,4.281552,4.323996,4.322473,4.343986", \ - "4.240605,4.241835,4.263485,4.268161,4.276272,4.223466,4.237367", \ - "4.317527,4.301912,4.303656,4.286807,4.302581,4.301881,4.303643", \ - "4.440140,4.464194,4.475486,4.516177,4.487544,4.467683,4.436310", \ - "5.043324,5.040402,5.010663,4.956139,4.937046,4.963619,4.772526"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.866175,0.905070,0.932832,0.968885,1.009163,1.078460,1.137854", \ - "0.818503,0.826571,0.867068,0.900585,0.966194,1.027333,1.083301", \ - "0.816099,0.830444,0.854898,0.880971,0.928452,0.985527,1.045510", \ - "1.066893,1.067603,1.061641,1.054722,1.040089,1.045009,1.081441", \ - "1.481180,1.476795,1.458647,1.427670,1.398404,1.340606,1.271732", \ - "2.103396,2.086863,2.069670,2.038914,1.967928,1.869585,1.730319", \ - "2.947441,2.922238,2.910511,2.879120,2.800272,2.659310,2.444842"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.423529,4.426647,4.432197,4.434990,4.470817,4.469012,4.484384", \ - "4.338847,4.361670,4.371134,4.388466,4.419741,4.419040,4.426846", \ - "4.273413,4.276445,4.282485,4.281552,4.323996,4.322473,4.343986", \ - "4.240605,4.241835,4.263485,4.268161,4.276272,4.223466,4.237367", \ - "4.317527,4.301912,4.303656,4.286807,4.302581,4.301881,4.303643", \ - "4.440140,4.464194,4.475486,4.516177,4.487544,4.467683,4.436310", \ - "5.043324,5.040402,5.010663,4.956139,4.937046,4.963619,4.772526"); - } - } - - internal_power () { - - related_pin : "A3"; - when : "!A1 & !A2 & B1 & B2 & B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.847015,0.866619,0.895207,0.936992,0.986110,1.062379,1.122200", \ - "0.784918,0.814156,0.847058,0.882373,0.934227,1.008505,1.071022", \ - "0.796555,0.807920,0.826581,0.860575,0.912462,0.963137,1.030893", \ - "1.066042,1.067089,1.067279,1.050854,1.044907,1.031265,1.066530", \ - "1.484313,1.472888,1.460287,1.446036,1.408083,1.355883,1.283366", \ - "2.108450,2.101330,2.082707,2.050329,1.993004,1.888288,1.745499", \ - "2.943915,2.944334,2.924138,2.893853,2.822879,2.680904,2.478676"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.418611,4.421780,4.427346,4.446755,4.419135,4.435720,4.466606", \ - "4.331254,4.353733,4.362921,4.362744,4.359462,4.422121,4.417565", \ - "4.270660,4.273307,4.278816,4.276116,4.307231,4.321471,4.334803", \ - "4.245285,4.240557,4.256717,4.268185,4.236367,4.208489,4.231914", \ - "4.317259,4.301632,4.303230,4.307959,4.277383,4.257995,4.300868", \ - "4.443115,4.466714,4.477836,4.511654,4.517238,4.486463,4.435909", \ - "5.072677,5.051904,5.021384,4.975615,4.974921,4.974851,4.778422"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & !A2 & A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.064221,1.074985,1.084779,1.131759,1.166622,1.224305,1.280166", \ - "1.068367,1.075618,1.094187,1.148229,1.197811,1.250916,1.319696", \ - "1.049520,1.056350,1.080602,1.129196,1.181500,1.248667,1.325231", \ - "1.133762,1.130989,1.147008,1.154041,1.176153,1.239831,1.308738", \ - "1.349338,1.342057,1.341703,1.348084,1.340798,1.344575,1.352041", \ - "1.689748,1.676876,1.682402,1.674101,1.658434,1.625906,1.579820", \ - "2.142869,2.153666,2.148137,2.140875,2.124473,2.061679,1.972400"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.088509,6.092695,6.101358,6.104306,6.128553,6.117793,6.104909", \ - "6.013872,6.020286,6.031899,6.048909,6.068282,6.054424,6.058813", \ - "5.967236,5.971504,5.981616,6.003855,5.984928,6.052750,6.023182", \ - "6.146915,6.146913,6.148221,6.130022,6.144654,6.067310,6.100294", \ - "6.545348,6.536610,6.516907,6.514984,6.449144,6.412808,6.387981", \ - "7.152795,7.141325,7.125085,7.104872,7.031052,6.978497,6.858604", \ - "8.012960,8.001121,7.981902,7.947000,7.843936,7.740918,7.585103"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.764721,0.775225,0.774908,0.790314,0.809425,0.826502,0.846092", \ - "0.766295,0.777080,0.782768,0.801325,0.831639,0.858731,0.887765", \ - "0.728079,0.735769,0.752087,0.775093,0.807047,0.849889,0.888296", \ - "0.780101,0.788760,0.784718,0.787948,0.794023,0.824989,0.867723", \ - "0.975746,0.968366,0.964950,0.957127,0.949322,0.931590,0.906200", \ - "1.301686,1.296773,1.281055,1.274101,1.251187,1.203105,1.134568", \ - "1.765759,1.754378,1.746459,1.746293,1.710482,1.649118,1.536850"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.462893,5.465976,5.483941,5.486098,5.511555,5.492865,5.495497", \ - "5.388593,5.393711,5.403491,5.429315,5.449370,5.482257,5.447939", \ - "5.357431,5.360741,5.368457,5.377399,5.400975,5.419283,5.413918", \ - "5.521357,5.520367,5.519994,5.510851,5.502248,5.517403,5.492085", \ - "5.918655,5.910160,5.904031,5.895461,5.867988,5.817941,5.782107", \ - "6.536510,6.525094,6.508440,6.470401,6.441854,6.365114,6.252219", \ - "7.309513,7.309604,7.301651,7.317326,7.262497,7.141486,6.978775"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.756666,0.765574,0.773273,0.787528,0.799345,0.813326,0.834955", \ - "0.758840,0.768538,0.779595,0.802074,0.826297,0.852471,0.877077", \ - "0.730723,0.735943,0.753644,0.767658,0.802946,0.840699,0.877419", \ - "0.794303,0.792510,0.802690,0.804502,0.804913,0.826866,0.863905", \ - "0.993480,0.983597,0.984059,0.980182,0.960250,0.954105,0.928316", \ - "1.334375,1.331631,1.318707,1.306860,1.270277,1.225664,1.165042", \ - "1.808162,1.807737,1.796611,1.786025,1.750717,1.689656,1.566654"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.839943,5.843131,5.850115,5.867942,5.854550,5.845891,5.849630", \ - "5.766733,5.771822,5.781065,5.808318,5.833246,5.859393,5.908606", \ - "5.736118,5.739718,5.746480,5.765041,5.769018,5.799791,5.857142", \ - "5.902015,5.900408,5.900930,5.896366,5.888485,5.898120,5.851811", \ - "6.294323,6.291729,6.286792,6.281902,6.250494,6.160028,6.159497", \ - "6.911308,6.900766,6.885732,6.857333,6.812847,6.700467,6.653442", \ - "7.713816,7.699639,7.696274,7.712669,7.652937,7.533445,7.364327"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.300268,0.300205,0.303378,0.304269,0.307612,0.310912,0.312771", \ - "0.284029,0.287947,0.296512,0.310829,0.325298,0.339616,0.348252", \ - "0.215071,0.220906,0.235787,0.255053,0.283186,0.315372,0.348715", \ - "0.276609,0.281980,0.278952,0.279381,0.274820,0.290295,0.325357", \ - "0.478855,0.482242,0.468569,0.457317,0.442077,0.412140,0.371461", \ - "0.835703,0.826299,0.817573,0.783881,0.757202,0.691127,0.613039", \ - "1.314042,1.304044,1.284818,1.276443,1.238465,1.152979,1.030217"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("4.774838,4.779188,4.787301,4.792943,4.835710,4.846928,4.894129", \ - "4.682576,4.706542,4.721195,4.734534,4.777491,4.776490,4.769727", \ - "4.652238,4.656673,4.665608,4.698688,4.727642,4.762431,4.798689", \ - "4.833915,4.833477,4.836025,4.841254,4.843873,4.821665,4.814713", \ - "5.233214,5.228734,5.221382,5.198861,5.181573,5.112129,5.103580", \ - "5.815015,5.810905,5.825302,5.811251,5.729542,5.682922,5.573673", \ - "6.546852,6.558809,6.558712,6.553504,6.570095,6.419764,6.303600"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.305836,0.306558,0.309259,0.308278,0.307862,0.306166,0.311965", \ - "0.286796,0.294275,0.303325,0.312950,0.323340,0.339819,0.350078", \ - "0.219761,0.228978,0.244108,0.261043,0.285477,0.319170,0.346930", \ - "0.292652,0.290691,0.293155,0.294275,0.295941,0.298477,0.331485", \ - "0.498378,0.497130,0.491774,0.478790,0.458808,0.434853,0.402062", \ - "0.864045,0.852485,0.840259,0.820212,0.784410,0.724050,0.646388", \ - "1.354292,1.342618,1.340783,1.308810,1.281295,1.186984,1.066669"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.125332,5.129338,5.140514,5.135546,5.160737,5.205466,5.162624", \ - "5.033953,5.039725,5.068524,5.074160,5.116419,5.164859,5.118806", \ - "5.004745,5.008976,5.016454,5.023698,5.065922,5.101480,5.107123", \ - "5.170168,5.188252,5.189855,5.189445,5.184191,5.207034,5.165928", \ - "5.585267,5.578040,5.577310,5.550985,5.518452,5.467118,5.464523", \ - "6.168455,6.185983,6.176721,6.142257,6.123924,6.052484,5.945203", \ - "6.918691,6.915439,6.919408,6.917421,6.942732,6.828411,6.679836"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.305836,0.306558,0.309259,0.308278,0.307862,0.306166,0.311965", \ - "0.286796,0.294275,0.303325,0.312950,0.323340,0.339819,0.350078", \ - "0.219761,0.228978,0.244108,0.261043,0.285477,0.319170,0.346930", \ - "0.292652,0.290691,0.293155,0.294275,0.295941,0.298477,0.331485", \ - "0.498378,0.497130,0.491774,0.478790,0.458808,0.434853,0.402062", \ - "0.864045,0.852485,0.840259,0.820212,0.784410,0.724050,0.646388", \ - "1.354292,1.342618,1.340783,1.308810,1.281295,1.186984,1.066669"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.125332,5.129338,5.140514,5.135546,5.160737,5.205466,5.162624", \ - "5.033953,5.039725,5.068524,5.074160,5.116419,5.164859,5.118806", \ - "5.004745,5.008976,5.016454,5.023698,5.065922,5.101480,5.107123", \ - "5.170168,5.188252,5.189855,5.189445,5.184191,5.207034,5.165928", \ - "5.585267,5.578040,5.577310,5.550985,5.518452,5.467118,5.464523", \ - "6.168455,6.185983,6.176721,6.142257,6.123924,6.052484,5.945203", \ - "6.918691,6.915439,6.919408,6.917421,6.942732,6.828411,6.679836"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & A3 & !B2 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.307877,0.309274,0.305212,0.307212,0.304828,0.310779,0.311864", \ - "0.289720,0.298255,0.306637,0.316434,0.326669,0.338537,0.350496", \ - "0.222971,0.229603,0.246565,0.261631,0.286850,0.322349,0.347497", \ - "0.297748,0.297239,0.297511,0.299757,0.297641,0.302110,0.331072", \ - "0.503838,0.506201,0.499692,0.478198,0.463446,0.442109,0.409510", \ - "0.879191,0.869008,0.844537,0.830254,0.787931,0.727657,0.654246", \ - "1.384157,1.370848,1.355813,1.333150,1.291497,1.202163,1.079060"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.435004,5.438974,5.445534,5.471495,5.487455,5.474328,5.489389", \ - "5.362465,5.367943,5.377669,5.410563,5.420948,5.435375,5.445843", \ - "5.313887,5.317538,5.343522,5.357022,5.389899,5.386284,5.408594", \ - "5.494226,5.494320,5.496219,5.492446,5.489668,5.526275,5.511537", \ - "5.884083,5.881316,5.880086,5.870135,5.819073,5.805898,5.793272", \ - "6.490784,6.487363,6.476168,6.472409,6.423382,6.379341,6.276146", \ - "7.221597,7.221128,7.228683,7.229986,7.247894,7.092114,7.026964"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & !A2 & A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.558347,1.558751,1.589978,1.618681,1.659596,1.705912,1.771524", \ - "1.534840,1.545296,1.576860,1.610343,1.646285,1.704890,1.767352", \ - "1.493584,1.498838,1.537885,1.570563,1.617378,1.674964,1.749513", \ - "1.534538,1.532103,1.540769,1.548818,1.598498,1.655412,1.727994", \ - "1.715716,1.725575,1.737651,1.741726,1.740463,1.750608,1.771968", \ - "2.050643,2.051125,2.043918,2.038414,2.026137,2.013987,1.985793", \ - "2.521926,2.515359,2.512998,2.508498,2.491607,2.447500,2.374820"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.866883,6.870858,6.878998,6.886766,6.876314,6.935217,6.879022", \ - "6.825087,6.829951,6.839707,6.846760,6.871836,6.879328,6.931121", \ - "6.760361,6.765604,6.776088,6.788238,6.778142,6.800385,6.880215", \ - "6.739044,6.743381,6.752228,6.753687,6.755429,6.820522,6.786132", \ - "6.862037,6.862774,6.867370,6.875795,6.853127,6.853743,6.821623", \ - "7.215652,7.210427,7.204000,7.199571,7.163976,7.118336,7.107288", \ - "7.822307,7.819544,7.814006,7.791784,7.727444,7.609657,7.558441"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.226644,1.226476,1.242541,1.260995,1.278839,1.303219,1.339878", \ - "1.211589,1.212255,1.218028,1.248412,1.273754,1.302926,1.335264", \ - "1.161242,1.164856,1.171478,1.207861,1.228613,1.275037,1.313750", \ - "1.179152,1.179348,1.187075,1.181735,1.208175,1.247884,1.292193", \ - "1.347244,1.352624,1.352968,1.352503,1.338409,1.328921,1.318247", \ - "1.670737,1.668120,1.656160,1.652598,1.624569,1.598741,1.548561", \ - "2.139864,2.131309,2.123886,2.116074,2.091040,2.033390,1.938568"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.256312,6.259881,6.266480,6.269471,6.285338,6.302012,6.269419", \ - "6.199866,6.203522,6.211363,6.227906,6.234572,6.283044,6.223184", \ - "6.134624,6.138994,6.160320,6.161664,6.176843,6.206661,6.274232", \ - "6.128952,6.132491,6.139757,6.136393,6.138515,6.192587,6.177062", \ - "6.250558,6.252534,6.254513,6.236235,6.258445,6.213914,6.212418", \ - "6.597778,6.592719,6.586162,6.572660,6.562311,6.520696,6.501081", \ - "7.127958,7.120757,7.130239,7.146764,7.135814,7.046769,6.951851"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.204737,1.199179,1.217075,1.240638,1.254800,1.291523,1.321965", \ - "1.186800,1.183854,1.213605,1.225500,1.255957,1.281366,1.316201", \ - "1.135478,1.143387,1.161614,1.184722,1.211273,1.256856,1.297977", \ - "1.190356,1.188816,1.194820,1.195567,1.198264,1.237677,1.279803", \ - "1.367807,1.370996,1.360529,1.367379,1.355065,1.344990,1.326795", \ - "1.691732,1.691300,1.682860,1.678379,1.655735,1.621036,1.565376", \ - "2.176634,2.168106,2.160557,2.153302,2.129315,2.068161,1.968054"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.635495,6.638457,6.644303,6.651410,6.640488,6.693673,6.725717", \ - "6.580059,6.584064,6.592077,6.611967,6.633359,6.646639,6.699038", \ - "6.511757,6.515674,6.523969,6.552365,6.554838,6.600638,6.631251", \ - "6.505029,6.508100,6.515096,6.513222,6.528498,6.570264,6.528549", \ - "6.627238,6.629098,6.630877,6.624301,6.599389,6.637160,6.636654", \ - "6.981286,6.967802,6.961069,6.954434,6.938897,6.902831,6.860192", \ - "7.520168,7.514813,7.527328,7.533397,7.491395,7.369902,7.317687"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.720933,0.739959,0.741642,0.752098,0.763784,0.782247,0.802192", \ - "0.708756,0.723164,0.726516,0.746691,0.761801,0.777323,0.795222", \ - "0.639932,0.659091,0.666953,0.683935,0.713578,0.743676,0.771268", \ - "0.685297,0.679681,0.685296,0.681743,0.683177,0.712346,0.751059", \ - "0.868351,0.862842,0.852301,0.850019,0.833038,0.816611,0.781226", \ - "1.194038,1.188528,1.171001,1.156843,1.128996,1.086235,1.018955", \ - "1.666363,1.668921,1.655283,1.638593,1.614723,1.543907,1.428828"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.551610,5.555678,5.582114,5.584604,5.604100,5.618016,5.698268", \ - "5.494182,5.516967,5.526382,5.541290,5.550428,5.555472,5.650944", \ - "5.446749,5.451777,5.461996,5.492136,5.482943,5.536799,5.598639", \ - "5.423704,5.428046,5.436712,5.450556,5.476678,5.526298,5.498080", \ - "5.545180,5.547277,5.551220,5.550927,5.572786,5.509365,5.530387", \ - "5.877077,5.885562,5.895245,5.903580,5.856259,5.786119,5.822911", \ - "6.375178,6.364677,6.387644,6.387806,6.441846,6.349820,6.274774"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.717388,0.722949,0.735111,0.747367,0.756355,0.769859,0.791956", \ - "0.698608,0.708501,0.719397,0.736361,0.747379,0.768746,0.784473", \ - "0.638402,0.646534,0.664148,0.673869,0.708729,0.736201,0.764088", \ - "0.698545,0.692976,0.691895,0.693685,0.690356,0.705710,0.745079", \ - "0.875894,0.879271,0.867804,0.859892,0.847231,0.827838,0.798219", \ - "1.222468,1.218085,1.203905,1.188822,1.161949,1.107897,1.044566", \ - "1.721599,1.710442,1.699318,1.682130,1.639550,1.572422,1.460912"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.903381,5.907092,5.914092,5.925040,5.961015,5.948384,5.935642", \ - "5.847067,5.851728,5.879603,5.879454,5.925128,5.886055,6.001660", \ - "5.778655,5.801316,5.811021,5.835083,5.865263,5.859818,5.947447", \ - "5.773207,5.776938,5.785026,5.787704,5.824218,5.797570,5.840212", \ - "5.894574,5.896282,5.900656,5.891023,5.919138,5.909525,5.967005", \ - "6.235028,6.252822,6.244816,6.251192,6.234454,6.201173,6.174153", \ - "6.715971,6.725168,6.732705,6.749858,6.804637,6.716446,6.632168"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.717388,0.722949,0.735111,0.747367,0.756355,0.769859,0.791956", \ - "0.698608,0.708501,0.719397,0.736361,0.747379,0.768746,0.784473", \ - "0.638402,0.646534,0.664148,0.673869,0.708729,0.736201,0.764088", \ - "0.698545,0.692976,0.691895,0.693685,0.690356,0.705710,0.745079", \ - "0.875894,0.879271,0.867804,0.859892,0.847231,0.827838,0.798219", \ - "1.222468,1.218085,1.203905,1.188822,1.161949,1.107897,1.044566", \ - "1.721599,1.710442,1.699318,1.682130,1.639550,1.572422,1.460912"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("5.903381,5.907092,5.914092,5.925040,5.961015,5.948384,5.935642", \ - "5.847067,5.851728,5.879603,5.879454,5.925128,5.886055,6.001660", \ - "5.778655,5.801316,5.811021,5.835083,5.865263,5.859818,5.947447", \ - "5.773207,5.776938,5.785026,5.787704,5.824218,5.797570,5.840212", \ - "5.894574,5.896282,5.900656,5.891023,5.919138,5.909525,5.967005", \ - "6.235028,6.252822,6.244816,6.251192,6.234454,6.201173,6.174153", \ - "6.715971,6.725168,6.732705,6.749858,6.804637,6.716446,6.632168"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & A3 & !B1 & !B3"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.726031,0.732252,0.730603,0.738038,0.757968,0.772444,0.787643", \ - "0.707671,0.714924,0.717446,0.730837,0.750293,0.763295,0.784322", \ - "0.645749,0.651285,0.666835,0.678051,0.706091,0.735416,0.764298", \ - "0.698563,0.697700,0.692094,0.694625,0.693059,0.706120,0.744418", \ - "0.885370,0.880485,0.878933,0.865043,0.854654,0.830819,0.806061", \ - "1.233222,1.229236,1.216363,1.200297,1.164397,1.118686,1.054898", \ - "1.736574,1.732339,1.716746,1.697246,1.661524,1.590536,1.473936"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.214334,6.235634,6.241973,6.261111,6.280567,6.271211,6.261178", \ - "6.176443,6.181135,6.188970,6.215299,6.227108,6.271283,6.223057", \ - "6.106120,6.110881,6.119675,6.141662,6.181152,6.136546,6.274453", \ - "6.081069,6.084643,6.110726,6.114170,6.134487,6.171007,6.165335", \ - "6.202182,6.220968,6.224205,6.208125,6.220601,6.204101,6.211513", \ - "6.566918,6.567386,6.567496,6.555957,6.516073,6.465398,6.500188", \ - "7.037020,7.040362,7.054751,7.062661,7.115411,7.001944,6.959209"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "!A1 & !A2 & A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.868130,1.890054,1.913426,1.937708,1.993596,2.069375,2.163846", \ - "1.800932,1.824378,1.859639,1.896454,1.953238,2.014872,2.108836", \ - "1.761361,1.776899,1.799936,1.842686,1.901190,1.970042,2.059873", \ - "1.769243,1.789761,1.799244,1.813319,1.887266,1.954450,2.043122", \ - "1.983521,1.996148,1.986421,2.011213,2.015040,2.041656,2.083258", \ - "2.321434,2.323763,2.319327,2.330080,2.327456,2.314240,2.298980", \ - "2.837108,2.828833,2.837015,2.829717,2.813505,2.765621,2.706618"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("7.607052,7.610824,7.619136,7.611466,7.634165,7.636023,7.639937", \ - "7.558686,7.562172,7.571850,7.566085,7.580018,7.577935,7.584147", \ - "7.487717,7.492874,7.503391,7.513158,7.535844,7.577398,7.529019", \ - "7.452598,7.457277,7.466767,7.480206,7.498211,7.494431,7.549044", \ - "7.501991,7.506375,7.497522,7.516409,7.493397,7.550298,7.510952", \ - "7.728583,7.728306,7.712227,7.696740,7.699520,7.698009,7.633521", \ - "8.198382,8.200575,8.206490,8.218214,8.174609,8.050081,8.040202"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "!A1 & A2 & !A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.500359,1.503979,1.534029,1.563827,1.607485,1.661560,1.714247", \ - "1.446284,1.449763,1.462368,1.494920,1.550910,1.605889,1.667406", \ - "1.393308,1.405503,1.409635,1.454193,1.492207,1.551261,1.618569", \ - "1.419301,1.415506,1.417218,1.435353,1.484100,1.538508,1.601498", \ - "1.609595,1.617726,1.606367,1.608299,1.619599,1.613767,1.631406", \ - "1.940524,1.947142,1.930095,1.934759,1.917548,1.897869,1.859516", \ - "2.457791,2.461104,2.445861,2.439785,2.414554,2.353603,2.268730"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.981378,6.984183,6.992803,6.995480,6.991861,7.011683,7.031672", \ - "6.931988,6.935903,6.949290,6.948216,6.983065,6.994390,6.974930", \ - "6.877748,6.882093,6.891032,6.888507,6.931724,6.944041,6.919072", \ - "6.842330,6.846236,6.854136,6.867881,6.880219,6.901076,6.941767", \ - "6.876545,6.878846,6.884491,6.894303,6.903052,6.917278,6.901973", \ - "7.111337,7.110884,7.094257,7.083027,7.076931,7.085613,7.019815", \ - "7.507301,7.506852,7.509600,7.516387,7.563039,7.469717,7.433527"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "!A1 & A2 & A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("1.459848,1.471642,1.492678,1.509400,1.550178,1.617078,1.680182", \ - "1.404617,1.416345,1.415423,1.458437,1.507332,1.559304,1.623425", \ - "1.346245,1.366562,1.366721,1.403268,1.459595,1.515945,1.575438", \ - "1.406266,1.405839,1.419799,1.431708,1.446230,1.494621,1.562221", \ - "1.630257,1.629944,1.613843,1.626777,1.629550,1.616664,1.615723", \ - "1.973992,1.967988,1.961537,1.958146,1.929914,1.909954,1.867997", \ - "2.505344,2.506229,2.486238,2.466726,2.451523,2.375921,2.295573"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("7.360114,7.362863,7.369066,7.390598,7.400661,7.421719,7.387060", \ - "7.312199,7.316159,7.324009,7.341426,7.342831,7.379670,7.434376", \ - "7.254658,7.258942,7.267665,7.285470,7.269738,7.323285,7.378052", \ - "7.217782,7.221236,7.229020,7.231642,7.229946,7.249116,7.290609", \ - "7.251944,7.254023,7.259719,7.259696,7.247380,7.252405,7.331264", \ - "7.488660,7.477914,7.471155,7.458176,7.448298,7.385950,7.373500", \ - "7.891340,7.891378,7.914755,7.917125,7.925714,7.849925,7.795965"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "A1 & !A2 & !A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.977426,0.991923,1.012962,1.039547,1.081807,1.122987,1.181492", \ - "0.923048,0.937217,0.948943,0.986829,1.025852,1.068352,1.126329", \ - "0.862969,0.878895,0.894702,0.929676,0.967816,1.022549,1.077831", \ - "0.917289,0.912068,0.924122,0.926784,0.955680,0.995617,1.058212", \ - "1.112705,1.121456,1.117376,1.121568,1.110215,1.105493,1.087923", \ - "1.469555,1.459994,1.464049,1.443880,1.417841,1.382318,1.334927", \ - "2.006298,2.009356,1.995564,1.977350,1.936734,1.861652,1.753462"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.293588,6.297559,6.305486,6.305994,6.340575,6.369432,6.353179", \ - "6.226363,6.249577,6.258775,6.256196,6.280387,6.299833,6.295822", \ - "6.172935,6.178097,6.188180,6.215160,6.220126,6.290126,6.347397", \ - "6.136614,6.141376,6.169208,6.180251,6.202171,6.234695,6.262805", \ - "6.169348,6.190867,6.198755,6.210474,6.199337,6.181650,6.220294", \ - "6.343201,6.359497,6.391072,6.416597,6.390944,6.354089,6.344748", \ - "6.748504,6.746307,6.771190,6.791415,6.819909,6.831845,6.755434"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "A1 & !A2 & A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.934715,0.935388,0.962397,0.994482,1.037735,1.089078,1.143488", \ - "0.880632,0.880289,0.912455,0.938521,0.988613,1.032693,1.094481", \ - "0.827061,0.838050,0.862670,0.898844,0.926290,0.982825,1.043480", \ - "0.914129,0.922206,0.931969,0.922058,0.935815,0.971365,1.031916", \ - "1.141231,1.131421,1.135322,1.123678,1.117142,1.108953,1.085159", \ - "1.500053,1.496937,1.484680,1.474964,1.443155,1.404715,1.351923", \ - "2.055800,2.049853,2.033480,2.017371,1.967988,1.894679,1.787514"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.627095,6.648953,6.656807,6.672655,6.690710,6.730708,6.699814", \ - "6.579311,6.585887,6.611502,6.620664,6.628916,6.661213,6.647880", \ - "6.522728,6.527783,6.537613,6.556804,6.595815,6.626286,6.694253", \ - "6.485413,6.489539,6.498330,6.515406,6.542218,6.529841,6.604284", \ - "6.518355,6.520977,6.533370,6.549837,6.538311,6.523194,6.562637", \ - "6.708163,6.725185,6.763525,6.743137,6.757760,6.714550,6.683892", \ - "7.099786,7.098380,7.119447,7.140090,7.192464,7.157773,7.110560"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "A1 & A2 & !A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.934715,0.935388,0.962397,0.994482,1.037735,1.089078,1.143488", \ - "0.880632,0.880289,0.912455,0.938521,0.988613,1.032693,1.094481", \ - "0.827061,0.838050,0.862670,0.898844,0.926290,0.982825,1.043480", \ - "0.914129,0.922206,0.931969,0.922058,0.935815,0.971365,1.031916", \ - "1.141231,1.131421,1.135322,1.123678,1.117142,1.108953,1.085159", \ - "1.500053,1.496937,1.484680,1.474964,1.443155,1.404715,1.351923", \ - "2.055800,2.049853,2.033480,2.017371,1.967988,1.894679,1.787514"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.627095,6.648953,6.656807,6.672655,6.690710,6.730708,6.699814", \ - "6.579311,6.585887,6.611502,6.620664,6.628916,6.661213,6.647880", \ - "6.522728,6.527783,6.537613,6.556804,6.595815,6.626286,6.694253", \ - "6.485413,6.489539,6.498330,6.515406,6.542218,6.529841,6.604284", \ - "6.518355,6.520977,6.533370,6.549837,6.538311,6.523194,6.562637", \ - "6.708163,6.725185,6.763525,6.743137,6.757760,6.714550,6.683892", \ - "7.099786,7.098380,7.119447,7.140090,7.192464,7.157773,7.110560"); - } - } - - internal_power () { - - related_pin : "B3"; - when : "A1 & A2 & A3 & !B1 & !B2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("0.937378,0.951015,0.952522,0.989485,1.023865,1.079655,1.135445", \ - "0.881972,0.888285,0.912750,0.932888,0.981259,1.031916,1.081982", \ - "0.834849,0.847077,0.852067,0.885482,0.926353,0.974540,1.038136", \ - "0.924427,0.932563,0.934867,0.934730,0.934613,0.970316,1.024922", \ - "1.139784,1.146755,1.137604,1.137488,1.122001,1.115701,1.092394", \ - "1.515867,1.512437,1.501320,1.482801,1.459815,1.403789,1.351905", \ - "2.088352,2.065712,2.054971,2.038158,1.985298,1.911889,1.791888"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); - values ("6.955596,6.958936,6.965206,6.971658,6.972598,7.003648,7.026799", \ - "6.908701,6.913483,6.929466,6.945929,6.959114,7.005968,6.977996", \ - "6.833081,6.837414,6.845345,6.877427,6.870907,6.929075,6.913246", \ - "6.808721,6.816004,6.823983,6.829871,6.874133,6.880342,6.928278", \ - "6.845001,6.847228,6.853876,6.871694,6.848463,6.846717,6.887221", \ - "7.027117,7.066552,7.075960,7.063432,7.054639,7.024074,7.012934", \ - "7.422010,7.422594,7.444408,7.474684,7.491175,7.435218,7.438096"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI211_X1 - Cell Description : Combinational cell (OAI211_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI211_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 22.039133; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 6.227474; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 4.756606; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 4.756602; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 1.363959; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 21.114467; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 22.167189; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 22.167198; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 19.004644; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 7.125569; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 7.174464; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 7.174464; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 3.818893; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 49.582390; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 53.532677; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 55.882200; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 66.777326; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.614241; - fall_capacitance : 1.530922; - rise_capacitance : 1.614241; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.657276; - fall_capacitance : 1.498318; - rise_capacitance : 1.657276; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.595175; - fall_capacitance : 1.441853; - rise_capacitance : 1.595175; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.555656; - fall_capacitance : 1.555656; - rise_capacitance : 1.524728; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.558500; - function : "!(((C1 | C2) & A) & B)"; - - timing () { - - related_pin : "A"; - when : "B & !C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.019239,0.020624,0.023137,0.028072,0.037765,0.056893,0.094870", \ - "0.020533,0.021932,0.024469,0.029439,0.039174,0.058341,0.096350", \ - "0.024702,0.026096,0.028637,0.033630,0.043422,0.062668,0.100744", \ - "0.030597,0.032310,0.035326,0.040972,0.051359,0.070690,0.108861", \ - "0.035642,0.037844,0.041692,0.048749,0.061191,0.082750,0.121559", \ - "0.039227,0.041930,0.046685,0.055317,0.070504,0.096038,0.138774", \ - "0.041412,0.044600,0.050233,0.060469,0.078410,0.108546,0.157455"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.013582,0.014672,0.016665,0.020606,0.028403,0.043879,0.074687", \ - "0.015113,0.016215,0.018225,0.022193,0.030025,0.045534,0.076373", \ - "0.021498,0.022552,0.024500,0.028405,0.036181,0.051654,0.082470", \ - "0.030490,0.032102,0.034919,0.040018,0.048811,0.064063,0.094613", \ - "0.039691,0.041788,0.045457,0.052148,0.063863,0.083202,0.114304", \ - "0.049459,0.051994,0.056472,0.064608,0.078993,0.103143,0.141441", \ - "0.059909,0.062885,0.068135,0.077703,0.094600,0.123181,0.169313"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.010440,0.011554,0.013608,0.017700,0.025888,0.042235,0.074922", \ - "0.010438,0.011556,0.013611,0.017702,0.025883,0.042238,0.074921", \ - "0.010572,0.011617,0.013578,0.017682,0.025884,0.042238,0.074928", \ - "0.013431,0.014392,0.016156,0.019710,0.026802,0.042278,0.074919", \ - "0.018594,0.019623,0.021449,0.024936,0.031685,0.045302,0.075315", \ - "0.025272,0.026464,0.028524,0.032399,0.039494,0.052797,0.079707", \ - "0.033269,0.034616,0.036957,0.041342,0.049237,0.063269,0.089490"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.010358,0.011441,0.013423,0.017387,0.025241,0.040557,0.070283", \ - "0.010359,0.011440,0.013424,0.017389,0.025232,0.040562,0.070284", \ - "0.011253,0.012122,0.013800,0.017430,0.025240,0.040563,0.070278", \ - "0.017752,0.018598,0.020050,0.022686,0.027970,0.040935,0.070289", \ - "0.025874,0.026961,0.028848,0.032323,0.038277,0.048180,0.071662", \ - "0.035337,0.036600,0.038829,0.043000,0.050370,0.062464,0.081993", \ - "0.046146,0.047525,0.050009,0.054757,0.063357,0.077883,0.100790"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & !C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.015535,0.016839,0.019229,0.023983,0.033448,0.052334,0.090071", \ - "0.016761,0.018088,0.020518,0.025325,0.034846,0.053778,0.091548", \ - "0.020675,0.022106,0.024599,0.029463,0.039065,0.058094,0.095946", \ - "0.025027,0.026918,0.030182,0.036117,0.046752,0.066107,0.104065", \ - "0.028017,0.030510,0.034798,0.042484,0.055657,0.077802,0.116773", \ - "0.029604,0.032703,0.038006,0.047455,0.063664,0.090238,0.133705", \ - "0.029919,0.033619,0.039872,0.051060,0.070217,0.101667,0.151771"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.013234,0.014325,0.016318,0.020257,0.028047,0.043499,0.074278", \ - "0.014762,0.015865,0.017877,0.021844,0.029667,0.045156,0.075956", \ - "0.021134,0.022214,0.024158,0.028059,0.035823,0.051272,0.082067", \ - "0.029924,0.031557,0.034408,0.039564,0.048425,0.063688,0.094193", \ - "0.038912,0.041038,0.044754,0.051507,0.063302,0.082749,0.113888", \ - "0.048435,0.051009,0.055542,0.063763,0.078248,0.102525,0.140949", \ - "0.058591,0.061623,0.066952,0.076640,0.093651,0.122383,0.168666"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.007886,0.008997,0.011047,0.015141,0.023308,0.039615,0.072205", \ - "0.007884,0.008999,0.011051,0.015145,0.023309,0.039620,0.072202", \ - "0.008563,0.009521,0.011344,0.015187,0.023315,0.039618,0.072201", \ - "0.011999,0.012926,0.014597,0.017967,0.024785,0.039820,0.072207", \ - "0.017488,0.018496,0.020286,0.023698,0.030218,0.043486,0.072793", \ - "0.024459,0.025569,0.027570,0.031360,0.038333,0.051330,0.077734", \ - "0.032687,0.033910,0.036163,0.040443,0.048204,0.062018,0.087872"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.008821,0.009807,0.011633,0.015291,0.022612,0.037244,0.066552", \ - "0.008820,0.009807,0.011635,0.015293,0.022611,0.037259,0.066535", \ - "0.009779,0.010550,0.012052,0.015351,0.022615,0.037253,0.066523", \ - "0.015270,0.016169,0.017701,0.020441,0.025414,0.037647,0.066530", \ - "0.021463,0.022689,0.024781,0.028543,0.034843,0.044936,0.067912", \ - "0.028470,0.030010,0.032641,0.037372,0.045430,0.058290,0.078313", \ - "0.036565,0.038406,0.041532,0.047184,0.056851,0.072553,0.096599"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.013948,0.015056,0.017090,0.021134,0.029186,0.045248,0.077341", \ - "0.015226,0.016357,0.018424,0.022513,0.030613,0.046716,0.078833", \ - "0.019788,0.021051,0.023223,0.027374,0.035551,0.051742,0.083934", \ - "0.024487,0.026302,0.029427,0.035037,0.044710,0.061413,0.093708", \ - "0.027428,0.029849,0.034011,0.041479,0.054226,0.074988,0.109019", \ - "0.028858,0.031871,0.037031,0.046260,0.062095,0.087921,0.128594", \ - "0.028905,0.032500,0.038620,0.049561,0.068323,0.099118,0.147672"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.014340,0.015422,0.017402,0.021325,0.029096,0.044532,0.075285", \ - "0.015877,0.016971,0.018971,0.022923,0.030734,0.046212,0.077007", \ - "0.022228,0.023280,0.025227,0.029118,0.036870,0.052313,0.083095", \ - "0.031599,0.033162,0.035903,0.040897,0.049538,0.064727,0.095224", \ - "0.041133,0.043180,0.046760,0.053322,0.064858,0.083989,0.114944", \ - "0.051242,0.053713,0.058077,0.066068,0.080259,0.104177,0.142225", \ - "0.062005,0.064914,0.070061,0.079450,0.096141,0.124476,0.170329"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.007241,0.008179,0.009906,0.013353,0.020222,0.033909,0.061274", \ - "0.007240,0.008179,0.009906,0.013352,0.020214,0.033915,0.061267", \ - "0.008128,0.008871,0.010317,0.013437,0.020219,0.033916,0.061268", \ - "0.012391,0.013174,0.014577,0.017269,0.022490,0.034288,0.061272", \ - "0.018293,0.019198,0.020810,0.023866,0.029474,0.039889,0.062431", \ - "0.025629,0.026615,0.028424,0.031916,0.038336,0.049671,0.070357", \ - "0.034230,0.035331,0.037351,0.041291,0.048553,0.061335,0.083426"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.009288,0.010289,0.012132,0.015817,0.023165,0.037825,0.067121", \ - "0.009288,0.010288,0.012132,0.015814,0.023164,0.037834,0.067116", \ - "0.010036,0.010842,0.012421,0.015819,0.023167,0.037837,0.067126", \ - "0.015493,0.016378,0.017895,0.020614,0.025701,0.038156,0.067128", \ - "0.021758,0.022971,0.025041,0.028761,0.035034,0.045143,0.068391", \ - "0.028784,0.030308,0.032908,0.037606,0.045618,0.058432,0.078537", \ - "0.036902,0.038702,0.041795,0.047395,0.057008,0.072654,0.096675"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.020386,0.021769,0.024283,0.029218,0.038910,0.058038,0.096016", \ - "0.021581,0.022980,0.025517,0.030486,0.040221,0.059387,0.097396", \ - "0.024545,0.025942,0.028482,0.033477,0.043266,0.062509,0.100588", \ - "0.028100,0.029662,0.032462,0.037823,0.048035,0.067385,0.105524", \ - "0.031246,0.033100,0.036359,0.042448,0.053634,0.074342,0.113093", \ - "0.032737,0.035033,0.039050,0.046358,0.059307,0.081983,0.123021", \ - "0.032211,0.034969,0.039829,0.048592,0.063877,0.089635,0.133773"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.015115,0.016243,0.018294,0.022325,0.030239,0.045839,0.076782", \ - "0.016666,0.017801,0.019862,0.023907,0.031836,0.047451,0.078403", \ - "0.023078,0.024162,0.026169,0.030159,0.038036,0.053607,0.084532", \ - "0.033336,0.034853,0.037513,0.042368,0.050820,0.066065,0.096709", \ - "0.043903,0.045849,0.049318,0.055667,0.066888,0.085638,0.116449", \ - "0.055266,0.057614,0.061806,0.069484,0.083207,0.106551,0.144011", \ - "0.067759,0.070470,0.075335,0.084286,0.100280,0.127792,0.172775"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.010444,0.011556,0.013604,0.017706,0.025888,0.042242,0.074928", \ - "0.010442,0.011553,0.013607,0.017701,0.025883,0.042240,0.074923", \ - "0.010532,0.011597,0.013588,0.017685,0.025880,0.042238,0.074930", \ - "0.012107,0.013172,0.015117,0.019007,0.026628,0.042350,0.074920", \ - "0.015537,0.016517,0.018320,0.021966,0.029395,0.044543,0.075432", \ - "0.021246,0.022249,0.024026,0.027492,0.034400,0.048740,0.078656", \ - "0.028632,0.029736,0.031640,0.035279,0.042110,0.055601,0.084240"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.011890,0.012951,0.014907,0.018824,0.026616,0.041888,0.071603", \ - "0.011886,0.012949,0.014906,0.018825,0.026616,0.041890,0.071601", \ - "0.012244,0.013189,0.014977,0.018775,0.026614,0.041881,0.071598", \ - "0.018248,0.019075,0.020498,0.023053,0.028744,0.042093,0.071597", \ - "0.026164,0.027264,0.029152,0.032622,0.038570,0.048659,0.072721", \ - "0.035093,0.036413,0.038711,0.042996,0.050474,0.062658,0.082482", \ - "0.045010,0.046521,0.049146,0.054134,0.063023,0.077833,0.100936"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.016681,0.017985,0.020374,0.025127,0.034594,0.053478,0.091215", \ - "0.017805,0.019133,0.021562,0.026369,0.035891,0.054825,0.092593", \ - "0.020585,0.021980,0.024450,0.029304,0.038904,0.057929,0.095778", \ - "0.023383,0.024988,0.027836,0.033243,0.043478,0.062799,0.100719", \ - "0.025069,0.027130,0.030683,0.037125,0.048618,0.069491,0.108296", \ - "0.024665,0.027292,0.031793,0.039803,0.053497,0.076719,0.118011", \ - "0.022276,0.025446,0.030925,0.040596,0.056974,0.083742,0.128457"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.014744,0.015876,0.017932,0.021964,0.029873,0.045453,0.076342", \ - "0.016294,0.017433,0.019497,0.023544,0.031469,0.047064,0.077961", \ - "0.022726,0.023808,0.025811,0.029798,0.037670,0.053221,0.084090", \ - "0.032797,0.034332,0.037026,0.041931,0.050446,0.065684,0.096277", \ - "0.043162,0.045133,0.048642,0.055047,0.066341,0.085189,0.116029", \ - "0.054319,0.056688,0.060932,0.068675,0.082486,0.105935,0.143532", \ - "0.066561,0.069307,0.074231,0.083255,0.099367,0.126993,0.172123"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.007885,0.009000,0.011046,0.015142,0.023315,0.039613,0.072206", \ - "0.007883,0.008999,0.011050,0.015142,0.023308,0.039612,0.072207", \ - "0.008298,0.009321,0.011241,0.015170,0.023315,0.039614,0.072202", \ - "0.010170,0.011167,0.013037,0.016832,0.024393,0.039856,0.072195", \ - "0.014284,0.015202,0.016894,0.020311,0.027411,0.042314,0.072890", \ - "0.020543,0.021480,0.023173,0.026438,0.032956,0.046794,0.076344", \ - "0.028395,0.029395,0.031174,0.034639,0.041150,0.054094,0.082128"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.010154,0.011139,0.012956,0.016600,0.023896,0.038530,0.067804", \ - "0.010148,0.011137,0.012953,0.016597,0.023897,0.038531,0.067804", \ - "0.010563,0.011411,0.013050,0.016543,0.023895,0.038520,0.067799", \ - "0.015827,0.016703,0.018195,0.020883,0.026085,0.038745,0.067807", \ - "0.021980,0.023196,0.025251,0.028955,0.035199,0.045376,0.068943", \ - "0.028677,0.030223,0.032839,0.037582,0.045653,0.058536,0.078782", \ - "0.036190,0.038043,0.041173,0.046888,0.056702,0.072581,0.096785"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.015122,0.016229,0.018263,0.022307,0.030359,0.046422,0.078512", \ - "0.016293,0.017424,0.019491,0.023580,0.031680,0.047783,0.079902", \ - "0.019321,0.020534,0.022690,0.026833,0.035002,0.051187,0.083377", \ - "0.022610,0.024091,0.026689,0.031544,0.040533,0.057197,0.089458", \ - "0.024433,0.026415,0.029824,0.035964,0.046643,0.065293,0.098963", \ - "0.023998,0.026551,0.030925,0.038710,0.051932,0.073707,0.110599", \ - "0.021486,0.024581,0.029922,0.039378,0.055375,0.081259,0.122778"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.016080,0.017184,0.019201,0.023179,0.031028,0.046550,0.077389", \ - "0.017641,0.018751,0.020777,0.024770,0.032637,0.048177,0.079027", \ - "0.024018,0.025101,0.027089,0.031035,0.038848,0.054343,0.085166", \ - "0.034592,0.036054,0.038641,0.043368,0.051644,0.066830,0.097364", \ - "0.045496,0.047394,0.050765,0.056982,0.068003,0.086514,0.117169", \ - "0.057181,0.059483,0.063573,0.071094,0.084622,0.107698,0.144881", \ - "0.069980,0.072649,0.077410,0.086189,0.101986,0.129221,0.173896"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.007241,0.008182,0.009907,0.013355,0.020223,0.033908,0.061267", \ - "0.007243,0.008180,0.009908,0.013355,0.020223,0.033916,0.061267", \ - "0.007760,0.008604,0.010189,0.013439,0.020219,0.033906,0.061274", \ - "0.010117,0.010931,0.012442,0.015499,0.021698,0.034339,0.061272", \ - "0.014779,0.015569,0.016994,0.019830,0.025562,0.037526,0.062401", \ - "0.021400,0.022209,0.023682,0.026555,0.032103,0.043298,0.067017", \ - "0.029567,0.030428,0.031975,0.035068,0.040904,0.051920,0.074468"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.010495,0.011501,0.013347,0.017033,0.024392,0.039091,0.068403", \ - "0.010494,0.011500,0.013346,0.017034,0.024395,0.039086,0.068419", \ - "0.010820,0.011711,0.013408,0.017028,0.024391,0.039087,0.068416", \ - "0.015952,0.016826,0.018311,0.020960,0.026360,0.039262,0.068401", \ - "0.022193,0.023399,0.025437,0.029122,0.035358,0.045578,0.069451", \ - "0.028925,0.030446,0.033052,0.037770,0.045815,0.058674,0.079019", \ - "0.036403,0.038230,0.041346,0.047035,0.056832,0.072678,0.096858"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0113724,0.0126800,0.0150779,0.0198408,0.0293160,0.0482054,0.0859391", \ - "0.0125999,0.0139291,0.0163581,0.0211677,0.0306949,0.0496325,0.0873991", \ - "0.0170720,0.0185492,0.0210836,0.0257760,0.0352828,0.0542326,0.0920222", \ - "0.0209675,0.0230942,0.0267593,0.0333191,0.0444674,0.0634069,0.100995", \ - "0.0228050,0.0255551,0.0303129,0.0388326,0.0534135,0.0772081,0.115629", \ - "0.0223694,0.0257851,0.0316373,0.0420845,0.0599536,0.0893043,0.135657", \ - "0.0195134,0.0235442,0.0304892,0.0428951,0.0640468,0.0987708,0.153966"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0196550,0.0219439,0.0261068,0.0343274,0.0506052,0.0829614,0.147525", \ - "0.0204927,0.0228074,0.0270290,0.0353625,0.0517902,0.0843002,0.148974", \ - "0.0256929,0.0278898,0.0319622,0.0401389,0.0564790,0.0890270,0.153807", \ - "0.0350864,0.0378995,0.0427462,0.0514298,0.0672000,0.0992369,0.163698", \ - "0.0453336,0.0488356,0.0548438,0.0657819,0.0847511,0.116808,0.180335", \ - "0.0570805,0.0611943,0.0682201,0.0811273,0.103794,0.141714,0.205200", \ - "0.0703325,0.0750731,0.0831927,0.0979566,0.123941,0.167970,0.239256"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.00787215,0.00898939,0.0110484,0.0151454,0.0233154,0.0396130,0.0721994", \ - "0.00784806,0.00897737,0.0110420,0.0151450,0.0233067,0.0396204,0.0722032", \ - "0.00925582,0.0100220,0.0116108,0.0151950,0.0233034,0.0396127,0.0722113", \ - "0.0143086,0.0152924,0.0170139,0.0202265,0.0261706,0.0398975,0.0721967", \ - "0.0211218,0.0223328,0.0244220,0.0282604,0.0350731,0.0470295,0.0732226", \ - "0.0296605,0.0311093,0.0335814,0.0381071,0.0460611,0.0596933,0.0831105", \ - "0.0398350,0.0415935,0.0445271,0.0498240,0.0589584,0.0745087,0.100461"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0143529,0.0163664,0.0200715,0.0274813,0.0422931,0.0718939,0.131058", \ - "0.0143571,0.0163630,0.0200674,0.0274759,0.0422837,0.0718857,0.131068", \ - "0.0146419,0.0164262,0.0200449,0.0274796,0.0422778,0.0718705,0.131067", \ - "0.0200298,0.0216370,0.0242388,0.0296375,0.0425136,0.0718763,0.131060", \ - "0.0264430,0.0283506,0.0317273,0.0379664,0.0488109,0.0730513,0.131053", \ - "0.0341603,0.0362941,0.0400999,0.0473307,0.0602618,0.0822077,0.132084", \ - "0.0434608,0.0457846,0.0499626,0.0579269,0.0725377,0.0976909,0.141694"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0147757,0.0161488,0.0186467,0.0235603,0.0332295,0.0523372,0.0902971", \ - "0.0158185,0.0171994,0.0197069,0.0246334,0.0343155,0.0534330,0.0914006", \ - "0.0205201,0.0218503,0.0242838,0.0291909,0.0388536,0.0579496,0.0959030", \ - "0.0265185,0.0284299,0.0317604,0.0378039,0.0483123,0.0671748,0.104911", \ - "0.0305597,0.0329979,0.0373050,0.0451540,0.0588396,0.0816172,0.119604", \ - "0.0327591,0.0357200,0.0409244,0.0504380,0.0671477,0.0951518,0.140208", \ - "0.0330919,0.0365668,0.0426381,0.0537597,0.0733195,0.106270,0.159742"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0238004,0.0260499,0.0301662,0.0383348,0.0545609,0.0868817,0.151421", \ - "0.0253361,0.0276301,0.0318149,0.0400925,0.0564502,0.0888955,0.153524", \ - "0.0303446,0.0326137,0.0367834,0.0450795,0.0615460,0.0941671,0.158989", \ - "0.0381084,0.0407416,0.0453839,0.0540498,0.0703967,0.102978,0.167867", \ - "0.0468690,0.0500295,0.0555303,0.0657333,0.0842042,0.117284,0.181984", \ - "0.0573212,0.0610148,0.0674379,0.0791822,0.100174,0.137097,0.202571", \ - "0.0695063,0.0737989,0.0812094,0.0946156,0.118212,0.159172,0.230044"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0104684,0.0115807,0.0136202,0.0177067,0.0258889,0.0422359,0.0749242", \ - "0.0104837,0.0115874,0.0136251,0.0177120,0.0258845,0.0422324,0.0749309", \ - "0.0109807,0.0119284,0.0137551,0.0176764,0.0258949,0.0422392,0.0749288", \ - "0.0159310,0.0168958,0.0185808,0.0217867,0.0279191,0.0423550,0.0749155", \ - "0.0225907,0.0238204,0.0259190,0.0297618,0.0365497,0.0485607,0.0756525", \ - "0.0305387,0.0320296,0.0345981,0.0392680,0.0474073,0.0611138,0.0847673", \ - "0.0397924,0.0415993,0.0446761,0.0502145,0.0597663,0.0757254,0.101886"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.0143581,0.0163706,0.0200724,0.0274768,0.0422841,0.0719044,0.131065", \ - "0.0143564,0.0163649,0.0200724,0.0274756,0.0422900,0.0718957,0.131062", \ - "0.0143962,0.0163824,0.0200714,0.0274788,0.0422850,0.0718711,0.131068", \ - "0.0176620,0.0193666,0.0223220,0.0286114,0.0423656,0.0719001,0.131051", \ - "0.0225776,0.0243529,0.0276027,0.0340125,0.0462949,0.0726775,0.131053", \ - "0.0289343,0.0307469,0.0340781,0.0407265,0.0537154,0.0784677,0.131906", \ - "0.0365372,0.0384565,0.0419525,0.0488310,0.0622797,0.0882424,0.138050"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("1.037902,1.048112,1.056419,1.075547,1.091835,1.115630,1.136795", \ - "0.985932,0.991458,1.019224,1.031017,1.070825,1.100797,1.126604", \ - "0.905176,0.916985,0.933617,0.971285,1.014097,1.059933,1.102559", \ - "0.925614,0.918978,0.928466,0.950077,0.980726,1.025657,1.075332", \ - "1.194953,1.185114,1.162375,1.120760,1.068366,1.073066,1.092558", \ - "1.729445,1.704516,1.647157,1.561436,1.433589,1.287153,1.222813", \ - "2.543227,2.512760,2.429251,2.299432,2.087292,1.803250,1.531579"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("4.697690,4.685316,4.715855,4.734406,4.744563,4.646998,4.723209", \ - "4.631662,4.622873,4.658754,4.649654,4.658849,4.705705,4.631805", \ - "4.621764,4.609967,4.642072,4.631029,4.625352,4.718380,4.562479", \ - "4.858840,4.873980,4.835320,4.786949,4.775046,4.830880,4.754714", \ - "5.281818,5.272304,5.287366,5.230719,5.239822,5.162189,4.912927", \ - "6.103235,6.090651,6.070138,5.992623,5.878749,5.694957,5.499670", \ - "7.325920,7.287904,7.246451,7.131877,6.921885,6.745129,6.280679"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.528669,0.535783,0.550562,0.554700,0.558854,0.561378,0.564239", \ - "0.469015,0.486313,0.500442,0.510202,0.534639,0.542541,0.557444", \ - "0.377215,0.390013,0.404582,0.428405,0.464683,0.498452,0.530315", \ - "0.439209,0.425647,0.408567,0.407102,0.434601,0.466172,0.500530", \ - "0.762715,0.734105,0.689346,0.628218,0.542789,0.512700,0.520573", \ - "1.361240,1.328837,1.248181,1.122163,0.949447,0.758120,0.660149", \ - "2.243607,2.187254,2.084748,1.924615,1.644246,1.299172,0.977623"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("4.016343,4.030922,4.061347,4.068609,4.061724,4.106142,4.137367", \ - "3.958038,3.967928,4.003670,4.008479,4.051700,4.097258,4.152570", \ - "3.924479,3.958149,3.939240,3.977156,4.022551,3.997822,4.051016", \ - "4.151784,4.185839,4.203740,4.166976,4.185887,4.105129,4.070437", \ - "4.554529,4.571185,4.561519,4.593183,4.555306,4.459922,4.388268", \ - "5.367913,5.376879,5.331904,5.297407,5.236672,5.095158,4.890937", \ - "6.560416,6.548460,6.516867,6.438990,6.215356,6.060391,5.683076"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.538952,0.544627,0.550130,0.554634,0.559620,0.564783,0.562873", \ - "0.479097,0.483221,0.500971,0.514558,0.530442,0.545520,0.552251", \ - "0.377874,0.387718,0.407949,0.434686,0.468288,0.502032,0.525773", \ - "0.442698,0.433241,0.416197,0.415569,0.431601,0.463575,0.500328", \ - "0.773527,0.742241,0.705485,0.636361,0.560186,0.526590,0.525134", \ - "1.399984,1.351720,1.270666,1.149568,0.965957,0.783458,0.670456", \ - "2.291195,2.237478,2.138345,1.969402,1.682722,1.339121,1.006542"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("4.360405,4.349893,4.376248,4.400742,4.406779,4.429752,4.478634", \ - "4.295053,4.309271,4.328574,4.356597,4.368959,4.381580,4.492133", \ - "4.281162,4.265116,4.299761,4.319298,4.311010,4.370643,4.457274", \ - "4.475127,4.514526,4.527163,4.499546,4.463781,4.472420,4.352840", \ - "4.884406,4.906370,4.903892,4.900823,4.891697,4.789670,4.742739", \ - "5.721666,5.700569,5.699052,5.618799,5.585739,5.514793,5.087221", \ - "6.913089,6.899078,6.879230,6.774804,6.629077,6.387565,6.090722"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("1.035209,1.045598,1.057231,1.070002,1.091252,1.115526,1.135655", \ - "0.992917,1.001136,1.020480,1.042624,1.065722,1.100441,1.127303", \ - "0.903292,0.920479,0.932406,0.971879,1.009273,1.059031,1.101968", \ - "0.910842,0.907208,0.905693,0.926922,0.968639,1.016707,1.069566", \ - "1.153284,1.139367,1.122194,1.089480,1.037641,1.040380,1.076612", \ - "1.643431,1.628740,1.588695,1.509140,1.394346,1.259641,1.192942", \ - "2.431784,2.386863,2.337867,2.239687,2.042632,1.780059,1.499479"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("5.275133,5.266233,5.301343,5.302034,5.261433,5.382560,5.281040", \ - "5.216165,5.209691,5.246719,5.211485,5.246198,5.344088,5.383860", \ - "5.199919,5.212412,5.201174,5.221774,5.214971,5.254368,5.374263", \ - "5.467371,5.484450,5.471066,5.469748,5.394483,5.435000,5.313682", \ - "5.962987,5.942831,5.924311,5.955489,5.900358,5.689926,5.694093", \ - "6.808876,6.802194,6.754890,6.719121,6.578326,6.452052,6.060392", \ - "8.101778,8.066606,8.001157,7.927354,7.750317,7.432564,6.972427"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.541010,0.534475,0.548731,0.552334,0.552922,0.557643,0.565409", \ - "0.476202,0.485782,0.498609,0.512856,0.534302,0.542089,0.555096", \ - "0.373895,0.387197,0.402240,0.427574,0.464116,0.497978,0.526858", \ - "0.414603,0.406111,0.395564,0.382660,0.420896,0.454937,0.494286", \ - "0.696720,0.673553,0.642093,0.583236,0.516416,0.490549,0.500521", \ - "1.248746,1.207415,1.161049,1.054493,0.902075,0.728790,0.622982", \ - "2.083404,2.044775,1.955788,1.838024,1.607237,1.277840,0.955374"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("4.549778,4.588152,4.607506,4.637636,4.656978,4.706385,4.712488", \ - "4.512080,4.530770,4.542091,4.596742,4.647862,4.669510,4.677641", \ - "4.499725,4.536238,4.523007,4.560589,4.585343,4.649953,4.746161", \ - "4.766569,4.803247,4.776873,4.783575,4.778121,4.696213,4.745289", \ - "5.202987,5.213871,5.220251,5.215961,5.214361,5.100371,4.935425", \ - "6.080575,6.062722,6.030911,6.007062,5.844292,5.750472,5.598805", \ - "7.345260,7.301330,7.284138,7.208852,6.987783,6.733619,6.336796"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.536104,0.537342,0.547922,0.554247,0.560595,0.556700,0.564733", \ - "0.478398,0.482288,0.497260,0.517026,0.535145,0.545646,0.555565", \ - "0.373420,0.385163,0.400758,0.432697,0.465980,0.503767,0.525346", \ - "0.422728,0.411998,0.401990,0.390153,0.421216,0.458252,0.494057", \ - "0.699126,0.680362,0.646985,0.599364,0.531941,0.489650,0.505232", \ - "1.266675,1.228704,1.173399,1.063791,0.921780,0.752563,0.628878", \ - "2.087095,2.060318,1.990005,1.842510,1.626246,1.303375,0.985225"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("4.921634,4.935354,4.954592,4.955835,5.008833,5.038251,4.946066", \ - "4.836683,4.879740,4.901456,4.910618,4.984962,5.010923,4.953292", \ - "4.866424,4.874890,4.905818,4.935631,4.956665,4.990155,5.045077", \ - "5.127314,5.141422,5.109976,5.110287,5.117613,5.088435,4.989364", \ - "5.544713,5.574759,5.587150,5.574075,5.599591,5.501989,5.207981", \ - "6.422488,6.419226,6.422031,6.363886,6.234652,6.118352,5.811602", \ - "7.686213,7.677083,7.666750,7.559819,7.388243,7.199872,6.825935"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("0.574103,0.581722,0.587129,0.601890,0.604943,0.608182,0.612797", \ - "0.561690,0.573133,0.584115,0.602030,0.623337,0.637279,0.647016", \ - "0.532326,0.540389,0.551263,0.574776,0.599063,0.625918,0.649875", \ - "0.733754,0.705000,0.672898,0.637314,0.635463,0.648861,0.659122", \ - "1.178478,1.137911,1.084155,0.983984,0.856992,0.780869,0.745578", \ - "1.845574,1.803969,1.737722,1.583078,1.373392,1.127111,0.963732", \ - "2.723134,2.675533,2.610124,2.469993,2.183639,1.780858,1.387045"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("2.809865,2.819312,2.843946,2.870159,2.877321,2.838497,2.881906", \ - "2.738932,2.772851,2.784119,2.787669,2.844964,2.900827,2.848846", \ - "2.740370,2.741766,2.764090,2.785111,2.798674,2.787900,2.785345", \ - "2.965411,2.953966,2.930642,2.884330,2.849575,2.806521,2.827908", \ - "3.305622,3.311613,3.337139,3.279836,3.208531,3.071255,2.989063", \ - "3.956509,3.940799,3.906841,3.868033,3.794842,3.551400,3.346386", \ - "4.943752,4.919869,4.852832,4.740991,4.531803,4.323809,3.967124"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("1.007880,1.008320,1.018216,1.026898,1.050100,1.063471,1.085259", \ - "0.955282,0.960760,0.970540,0.983245,0.995752,1.015733,1.044189", \ - "0.913279,0.923190,0.936152,0.951972,0.970115,0.995920,1.015664", \ - "1.058834,1.038297,1.021579,1.022120,1.022097,1.028834,1.034909", \ - "1.487560,1.464913,1.423175,1.342088,1.233435,1.174599,1.131094", \ - "2.167698,2.122863,2.066309,1.952954,1.766210,1.531037,1.381570", \ - "3.072912,3.031469,2.960077,2.829953,2.581709,2.216842,1.832730"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); - values ("3.617482,3.620084,3.628983,3.649602,3.659479,3.658415,3.661798", \ - "3.564755,3.577464,3.591741,3.623949,3.665178,3.677020,3.615126", \ - "3.478169,3.484497,3.513365,3.536126,3.582129,3.559013,3.589927", \ - "3.488876,3.488753,3.498404,3.504341,3.528777,3.545423,3.563727", \ - "3.588595,3.597847,3.638320,3.675633,3.646545,3.560992,3.540514", \ - "3.981091,3.971721,3.946040,3.934324,3.935247,3.782018,3.768498", \ - "4.721663,4.704934,4.645495,4.568559,4.470484,4.315485,4.086047"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI211_X2 - Cell Description : Combinational cell (OAI211_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI211_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 44.078200; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 12.454970; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 9.513219; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 9.513228; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 2.727880; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 42.228890; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 44.334333; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 44.334443; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 38.009285; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 14.251193; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 14.348929; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 14.348929; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 7.637778; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 99.164340; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 107.065200; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 111.764290; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 133.554300; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.331182; - fall_capacitance : 3.166977; - rise_capacitance : 3.331182; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.207926; - fall_capacitance : 2.892750; - rise_capacitance : 3.207926; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.995510; - fall_capacitance : 2.699580; - rise_capacitance : 2.995510; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.220988; - fall_capacitance : 3.220988; - rise_capacitance : 3.158626; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 50.811800; - function : "!(((C1 | C2) & A) & B)"; - - timing () { - - related_pin : "A"; - when : "B & !C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.018996,0.020950,0.023447,0.028352,0.037992,0.057025,0.094815", \ - "0.020286,0.022260,0.024779,0.029718,0.039399,0.058468,0.096286", \ - "0.024442,0.026410,0.028930,0.033893,0.043633,0.062780,0.100672", \ - "0.030289,0.032705,0.035683,0.041263,0.051570,0.070802,0.108787", \ - "0.035297,0.038401,0.042193,0.049151,0.061463,0.082873,0.121489", \ - "0.038845,0.042675,0.047337,0.055865,0.070883,0.096203,0.138694", \ - "0.041011,0.045555,0.051069,0.061145,0.078893,0.108778,0.157359"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.013346,0.014882,0.016859,0.020771,0.028520,0.043906,0.074530", \ - "0.014877,0.016429,0.018423,0.022363,0.030144,0.045563,0.076215", \ - "0.021257,0.022760,0.024698,0.028576,0.036302,0.051685,0.082327", \ - "0.030120,0.032405,0.035176,0.040218,0.048931,0.064092,0.094469", \ - "0.039210,0.042184,0.045785,0.052402,0.064014,0.083222,0.114164", \ - "0.048868,0.052481,0.056849,0.064908,0.079167,0.103155,0.141266", \ - "0.059206,0.063457,0.068590,0.078042,0.094790,0.123184,0.169084"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.010330,0.011905,0.013939,0.018018,0.026155,0.042429,0.074961", \ - "0.010327,0.011901,0.013940,0.018017,0.026157,0.042427,0.074957", \ - "0.010474,0.011943,0.013915,0.017996,0.026152,0.042429,0.074962", \ - "0.013338,0.014693,0.016452,0.019994,0.027050,0.042472,0.074953", \ - "0.018481,0.019922,0.021725,0.025178,0.031902,0.045469,0.075354", \ - "0.025115,0.026769,0.028807,0.032647,0.039687,0.052935,0.079742", \ - "0.033048,0.034908,0.037234,0.041593,0.049420,0.063390,0.089501"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.010154,0.011677,0.013655,0.017596,0.025405,0.040654,0.070224", \ - "0.010158,0.011677,0.013655,0.017599,0.025407,0.040656,0.070215", \ - "0.011115,0.012333,0.014016,0.017636,0.025407,0.040656,0.070219", \ - "0.017637,0.018813,0.020246,0.022828,0.028114,0.041027,0.070228", \ - "0.025725,0.027235,0.029105,0.032536,0.038429,0.048262,0.071619", \ - "0.035186,0.036929,0.039135,0.043263,0.050555,0.062559,0.081974", \ - "0.046012,0.047911,0.050376,0.055075,0.063585,0.077991,0.100772"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & !C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.015334,0.017175,0.019556,0.024292,0.033726,0.052549,0.090155", \ - "0.016552,0.018430,0.020847,0.025633,0.035120,0.053988,0.091626", \ - "0.020416,0.022431,0.024904,0.029745,0.039314,0.058277,0.095998", \ - "0.024698,0.027358,0.030569,0.036429,0.046988,0.066267,0.104103", \ - "0.027642,0.031147,0.035354,0.042924,0.055948,0.077953,0.116785", \ - "0.029236,0.033562,0.038741,0.048049,0.064063,0.090418,0.133676", \ - "0.029544,0.034682,0.040807,0.051804,0.070731,0.101907,0.151721"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.013001,0.014538,0.016515,0.020424,0.028164,0.043524,0.074123", \ - "0.014529,0.016083,0.018077,0.022015,0.029787,0.045181,0.075804", \ - "0.020893,0.022424,0.024358,0.028231,0.035946,0.051305,0.081918", \ - "0.029548,0.031874,0.034676,0.039766,0.048551,0.063719,0.094053", \ - "0.038429,0.041449,0.045086,0.051764,0.063458,0.082776,0.113747", \ - "0.047842,0.051511,0.055934,0.064070,0.078431,0.102543,0.140770", \ - "0.057887,0.062215,0.067415,0.076973,0.093850,0.122389,0.168432"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.007778,0.009353,0.011396,0.015477,0.023619,0.039875,0.072365", \ - "0.007781,0.009357,0.011396,0.015476,0.023618,0.039875,0.072364", \ - "0.008489,0.009841,0.011677,0.015518,0.023621,0.039876,0.072361", \ - "0.011916,0.013213,0.014885,0.018245,0.025067,0.040072,0.072366", \ - "0.017369,0.018790,0.020562,0.023940,0.030445,0.043701,0.072966", \ - "0.024268,0.025854,0.027843,0.031603,0.038529,0.051502,0.077874", \ - "0.032399,0.034171,0.036426,0.040673,0.048395,0.062154,0.087949"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.008653,0.010047,0.011862,0.015499,0.022777,0.037343,0.066474", \ - "0.008651,0.010046,0.011863,0.015497,0.022778,0.037339,0.066460", \ - "0.009685,0.010757,0.012262,0.015551,0.022780,0.037348,0.066451", \ - "0.015160,0.016410,0.017918,0.020614,0.025563,0.037734,0.066453", \ - "0.021308,0.023007,0.025074,0.028776,0.035005,0.045037,0.067864", \ - "0.028279,0.030409,0.032993,0.037668,0.045627,0.058391,0.078283", \ - "0.036362,0.038887,0.041962,0.047532,0.057091,0.072668,0.096565"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.013758,0.015325,0.017348,0.021374,0.029392,0.045388,0.077344", \ - "0.015034,0.016631,0.018686,0.022755,0.030820,0.046855,0.078837", \ - "0.019561,0.021342,0.023483,0.027610,0.035751,0.051873,0.083931", \ - "0.024182,0.026739,0.029810,0.035342,0.044919,0.061532,0.093697", \ - "0.027077,0.030477,0.034564,0.041923,0.054520,0.075123,0.108989", \ - "0.028507,0.032712,0.037766,0.046857,0.062500,0.088107,0.128545", \ - "0.028542,0.033554,0.039534,0.050299,0.068843,0.099362,0.147615"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.014096,0.015622,0.017588,0.021484,0.029208,0.044553,0.075136", \ - "0.015632,0.017174,0.019159,0.023085,0.030848,0.046233,0.076842", \ - "0.021996,0.023480,0.025415,0.029281,0.036986,0.052340,0.082946", \ - "0.031225,0.033450,0.036148,0.041079,0.049652,0.064750,0.095075", \ - "0.040651,0.043548,0.047061,0.053555,0.064999,0.084002,0.114797", \ - "0.050639,0.054163,0.058430,0.066349,0.080419,0.104182,0.142041", \ - "0.061305,0.065460,0.070481,0.079771,0.096320,0.124474,0.170098"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.007138,0.008465,0.010184,0.013615,0.020452,0.034093,0.061349", \ - "0.007136,0.008466,0.010184,0.013612,0.020458,0.034098,0.061350", \ - "0.008063,0.009110,0.010566,0.013683,0.020459,0.034094,0.061350", \ - "0.012306,0.013413,0.014796,0.017475,0.022679,0.034471,0.061354", \ - "0.018167,0.019443,0.021043,0.024072,0.029634,0.040026,0.062520", \ - "0.025427,0.026847,0.028656,0.032125,0.038495,0.049784,0.070420", \ - "0.033962,0.035520,0.037561,0.041493,0.048729,0.061454,0.083452"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.009125,0.010536,0.012367,0.016025,0.023333,0.037911,0.067044", \ - "0.009124,0.010538,0.012369,0.016028,0.023334,0.037925,0.067044", \ - "0.009923,0.011064,0.012641,0.016031,0.023336,0.037919,0.067044", \ - "0.015380,0.016621,0.018112,0.020792,0.025843,0.038238,0.067049", \ - "0.021598,0.023283,0.025323,0.028991,0.035189,0.045226,0.068333", \ - "0.028601,0.030705,0.033255,0.037893,0.045814,0.058526,0.078505", \ - "0.036687,0.039175,0.042210,0.047731,0.057241,0.072758,0.096643"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.020186,0.022140,0.024635,0.029540,0.039181,0.058213,0.096002", \ - "0.021379,0.023353,0.025871,0.030810,0.040490,0.059561,0.097380", \ - "0.024331,0.026302,0.028824,0.033787,0.043524,0.062668,0.100563", \ - "0.027855,0.030057,0.032823,0.038139,0.048287,0.067536,0.105488", \ - "0.030967,0.033580,0.036794,0.042815,0.053913,0.074494,0.113051", \ - "0.032437,0.035672,0.039620,0.046837,0.059651,0.082162,0.122980", \ - "0.031884,0.035807,0.040566,0.049201,0.064308,0.089851,0.133738"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.014944,0.016534,0.018569,0.022572,0.030437,0.045953,0.076732", \ - "0.016492,0.018091,0.020135,0.024151,0.032032,0.047561,0.078356", \ - "0.022914,0.024445,0.026438,0.030402,0.038234,0.053720,0.084481", \ - "0.033067,0.035218,0.037836,0.042633,0.051009,0.066174,0.096663", \ - "0.043537,0.046324,0.049712,0.055986,0.067112,0.085746,0.116402", \ - "0.054819,0.058181,0.062259,0.069854,0.083465,0.106663,0.143941", \ - "0.067216,0.071124,0.075878,0.084703,0.100573,0.127894,0.172661"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.010329,0.011899,0.013941,0.018016,0.026156,0.042431,0.074961", \ - "0.010330,0.011903,0.013938,0.018017,0.026157,0.042430,0.074963", \ - "0.010428,0.011933,0.013926,0.017999,0.026154,0.042425,0.074962", \ - "0.012007,0.013503,0.015442,0.019310,0.026892,0.042543,0.074957", \ - "0.015458,0.016837,0.018633,0.022253,0.029653,0.044728,0.075477", \ - "0.021162,0.022561,0.024321,0.027761,0.034636,0.048911,0.078698", \ - "0.028524,0.030043,0.031936,0.035549,0.042316,0.055752,0.084277"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.011706,0.013203,0.015154,0.019051,0.026806,0.042002,0.071573", \ - "0.011700,0.013206,0.015153,0.019053,0.026807,0.042007,0.071580", \ - "0.012104,0.013428,0.015216,0.019009,0.026805,0.042005,0.071576", \ - "0.018140,0.019294,0.020695,0.023222,0.028902,0.042210,0.071577", \ - "0.026016,0.027546,0.029417,0.032842,0.038731,0.048774,0.072702", \ - "0.034933,0.036757,0.039043,0.043276,0.050684,0.062775,0.082488", \ - "0.044866,0.046919,0.049537,0.054467,0.063278,0.077965,0.100941"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.016521,0.018364,0.020744,0.025480,0.034914,0.053736,0.091344", \ - "0.017641,0.019520,0.021937,0.026724,0.036212,0.055081,0.092719", \ - "0.020393,0.022356,0.024811,0.029644,0.039208,0.058169,0.095889", \ - "0.023146,0.025409,0.028221,0.033589,0.043771,0.063017,0.100810", \ - "0.024775,0.027676,0.031168,0.037525,0.048927,0.069700,0.108365", \ - "0.024327,0.028032,0.032449,0.040332,0.053870,0.076941,0.118061", \ - "0.021917,0.026412,0.031757,0.041265,0.057449,0.083994,0.128498"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.014577,0.016172,0.018210,0.022213,0.030073,0.045568,0.076296", \ - "0.016124,0.017727,0.019774,0.023791,0.031667,0.047178,0.077917", \ - "0.022566,0.024092,0.026083,0.030045,0.037870,0.053336,0.084045", \ - "0.032523,0.034708,0.037355,0.042200,0.050638,0.065795,0.096227", \ - "0.042790,0.045613,0.049040,0.055374,0.066572,0.085294,0.115982", \ - "0.053855,0.057266,0.061389,0.069052,0.082750,0.106047,0.143456", \ - "0.066016,0.069973,0.074779,0.083682,0.099650,0.127101,0.172018"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.007779,0.009355,0.011397,0.015483,0.023621,0.039880,0.072367", \ - "0.007779,0.009352,0.011397,0.015476,0.023621,0.039873,0.072366", \ - "0.008211,0.009657,0.011576,0.015502,0.023621,0.039879,0.072364", \ - "0.010084,0.011497,0.013364,0.017143,0.024687,0.040116,0.072359", \ - "0.014216,0.015515,0.017189,0.020589,0.027695,0.042551,0.073053", \ - "0.020469,0.021786,0.023452,0.026703,0.033190,0.047021,0.076497", \ - "0.028289,0.029671,0.031448,0.034885,0.041376,0.054291,0.082259"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.010002,0.011391,0.013201,0.016822,0.024082,0.038635,0.067768", \ - "0.009999,0.011391,0.013198,0.016823,0.024083,0.038642,0.067766", \ - "0.010454,0.011653,0.013284,0.016772,0.024081,0.038638,0.067769", \ - "0.015733,0.016948,0.018416,0.021049,0.026249,0.038860,0.067769", \ - "0.021841,0.023516,0.025547,0.029202,0.035378,0.045475,0.068921", \ - "0.028509,0.030624,0.033211,0.037888,0.045876,0.058656,0.078791", \ - "0.035989,0.038514,0.041609,0.047249,0.056969,0.072707,0.096785"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.014975,0.016540,0.018564,0.022590,0.030608,0.046604,0.078560", \ - "0.016143,0.017741,0.019796,0.023865,0.031929,0.047965,0.079949", \ - "0.019151,0.020862,0.022994,0.027113,0.035245,0.051362,0.083416", \ - "0.022397,0.024484,0.027048,0.031852,0.040783,0.057365,0.089491", \ - "0.024159,0.026948,0.030300,0.036358,0.046930,0.065466,0.098990", \ - "0.023677,0.027276,0.031571,0.039231,0.052301,0.073910,0.110614", \ - "0.021134,0.025524,0.030740,0.040042,0.055847,0.081512,0.122791"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.015897,0.017457,0.019459,0.023412,0.031217,0.046659,0.077338", \ - "0.017457,0.019024,0.021035,0.025002,0.032824,0.048284,0.078977", \ - "0.023840,0.025367,0.027344,0.031267,0.039036,0.054451,0.085117", \ - "0.034313,0.036398,0.038937,0.043614,0.051821,0.066930,0.097317", \ - "0.045122,0.047831,0.051134,0.057281,0.068222,0.086611,0.117114", \ - "0.056727,0.060005,0.063987,0.071446,0.084860,0.107792,0.144796", \ - "0.069439,0.073261,0.077912,0.086578,0.102239,0.129313,0.173772"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.007138,0.008465,0.010184,0.013613,0.020451,0.034092,0.061350", \ - "0.007136,0.008466,0.010184,0.013612,0.020458,0.034097,0.061349", \ - "0.007678,0.008867,0.010448,0.013689,0.020451,0.034097,0.061352", \ - "0.010042,0.011191,0.012693,0.015743,0.021915,0.034519,0.061352", \ - "0.014717,0.015825,0.017244,0.020053,0.025756,0.037693,0.062489", \ - "0.021316,0.022458,0.023916,0.026770,0.032289,0.043447,0.067099", \ - "0.029441,0.030634,0.032197,0.035269,0.041082,0.052051,0.074530"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.010347,0.011763,0.013600,0.017268,0.024587,0.039202,0.068378", \ - "0.010345,0.011763,0.013598,0.017267,0.024585,0.039201,0.068373", \ - "0.010706,0.011958,0.013653,0.017261,0.024587,0.039195,0.068389", \ - "0.015861,0.017069,0.018527,0.021138,0.026535,0.039371,0.068368", \ - "0.022053,0.023715,0.025731,0.029366,0.035528,0.045680,0.069435", \ - "0.028743,0.030848,0.033412,0.038075,0.046037,0.058787,0.079006", \ - "0.036195,0.038700,0.041775,0.047398,0.057087,0.072802,0.096847"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0113805,0.0132295,0.0156162,0.0203613,0.0298026,0.0486297,0.0862388", \ - "0.0126021,0.0144817,0.0168991,0.0216888,0.0311815,0.0500540,0.0876894", \ - "0.0170496,0.0191294,0.0216130,0.0262922,0.0357673,0.0546530,0.0923152", \ - "0.0208959,0.0238934,0.0274928,0.0339519,0.0449810,0.0638264,0.101295", \ - "0.0226947,0.0265888,0.0312505,0.0396330,0.0540563,0.0776814,0.115929", \ - "0.0222214,0.0270470,0.0327820,0.0430508,0.0607298,0.0898765,0.135998", \ - "0.0193258,0.0250357,0.0318434,0.0440294,0.0649645,0.0994387,0.154362"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0194463,0.0226699,0.0268032,0.0349701,0.0511493,0.0833183,0.147509", \ - "0.0202754,0.0235399,0.0277333,0.0360082,0.0523330,0.0846551,0.148957", \ - "0.0254821,0.0285845,0.0326393,0.0407677,0.0570158,0.0893775,0.153787", \ - "0.0347898,0.0387532,0.0434936,0.0520266,0.0677273,0.0995882,0.163683", \ - "0.0449560,0.0498653,0.0557708,0.0665565,0.0853102,0.117146,0.180316", \ - "0.0566024,0.0623719,0.0692973,0.0820289,0.104456,0.142059,0.205174", \ - "0.0698244,0.0764726,0.0844040,0.0989668,0.124683,0.168362,0.239207"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.00776804,0.00934883,0.0113968,0.0154758,0.0236233,0.0398780,0.0723638", \ - "0.00774745,0.00933873,0.0113918,0.0154798,0.0236191,0.0398785,0.0723617", \ - "0.00919241,0.0102876,0.0119026,0.0155114,0.0236107,0.0398722,0.0723685", \ - "0.0142103,0.0155918,0.0172954,0.0204871,0.0263995,0.0401451,0.0723624", \ - "0.0209943,0.0226898,0.0247462,0.0285445,0.0353067,0.0472156,0.0733746", \ - "0.0294965,0.0315073,0.0339576,0.0384180,0.0463209,0.0598793,0.0832129", \ - "0.0396528,0.0420701,0.0449455,0.0501571,0.0592380,0.0746966,0.100563"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0141502,0.0169845,0.0206640,0.0280353,0.0427672,0.0721842,0.131024", \ - "0.0141457,0.0169818,0.0206644,0.0280316,0.0427555,0.0721784,0.131034", \ - "0.0144727,0.0169961,0.0206483,0.0280339,0.0427514,0.0721833,0.131037", \ - "0.0198649,0.0221168,0.0246409,0.0300911,0.0429398,0.0721812,0.131025", \ - "0.0262421,0.0289057,0.0322449,0.0384056,0.0491561,0.0733324,0.131024", \ - "0.0339281,0.0368864,0.0406792,0.0478459,0.0606440,0.0824383,0.132050", \ - "0.0432531,0.0464507,0.0505847,0.0584874,0.0729590,0.0979189,0.141681"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0147040,0.0166426,0.0191236,0.0240080,0.0336257,0.0526377,0.0904121", \ - "0.0157453,0.0176933,0.0201839,0.0250800,0.0347100,0.0537321,0.0915105", \ - "0.0204393,0.0223177,0.0247564,0.0296358,0.0392450,0.0582452,0.0960087", \ - "0.0263732,0.0290719,0.0323522,0.0383176,0.0487001,0.0674641,0.105018", \ - "0.0303607,0.0338339,0.0380719,0.0458080,0.0593342,0.0819347,0.119708", \ - "0.0325101,0.0367162,0.0418503,0.0512278,0.0677397,0.0955220,0.140315", \ - "0.0327948,0.0377107,0.0437072,0.0546765,0.0740311,0.106706,0.159878"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0235580,0.0267339,0.0308205,0.0389341,0.0550659,0.0872032,0.151371", \ - "0.0250852,0.0283203,0.0324772,0.0406996,0.0569590,0.0892140,0.153475", \ - "0.0300943,0.0332939,0.0374375,0.0456853,0.0620491,0.0944873,0.158930", \ - "0.0378061,0.0414975,0.0460799,0.0546445,0.0708973,0.103292,0.167813", \ - "0.0465030,0.0509147,0.0563326,0.0664196,0.0847364,0.117599,0.181926", \ - "0.0568779,0.0620861,0.0683754,0.0799648,0.100763,0.137421,0.202521", \ - "0.0689926,0.0750507,0.0822950,0.0954992,0.118866,0.159515,0.229966"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0103583,0.0119204,0.0139550,0.0180173,0.0261579,0.0424275,0.0749619", \ - "0.0103722,0.0119347,0.0139569,0.0180206,0.0261569,0.0424261,0.0749569", \ - "0.0108942,0.0122340,0.0140692,0.0179912,0.0261666,0.0424294,0.0749574", \ - "0.0158377,0.0171846,0.0188492,0.0220250,0.0281426,0.0425475,0.0749496", \ - "0.0224560,0.0241584,0.0262237,0.0300233,0.0367462,0.0487039,0.0756916", \ - "0.0303485,0.0324351,0.0349483,0.0395705,0.0476281,0.0612528,0.0847782", \ - "0.0395674,0.0420836,0.0450866,0.0505425,0.0600097,0.0758589,0.101893"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("0.0141464,0.0169836,0.0206632,0.0280335,0.0427740,0.0722086,0.131032", \ - "0.0141475,0.0169803,0.0206634,0.0280288,0.0427523,0.0721850,0.131028", \ - "0.0142009,0.0169978,0.0206688,0.0280306,0.0427536,0.0721852,0.131036", \ - "0.0174921,0.0198711,0.0228173,0.0291083,0.0428463,0.0721921,0.131022", \ - "0.0224040,0.0248819,0.0281167,0.0344808,0.0466877,0.0729708,0.131019", \ - "0.0287370,0.0312636,0.0345918,0.0412080,0.0541193,0.0787326,0.131895", \ - "0.0363307,0.0390061,0.0424564,0.0493133,0.0626906,0.0884924,0.138041"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("2.234204,2.257954,2.304011,2.326981,2.372950,2.405523,2.450525", \ - "2.146837,2.177656,2.217706,2.261283,2.324424,2.378318,2.428306", \ - "2.000200,2.032221,2.065979,2.128605,2.214270,2.294992,2.381958", \ - "2.028421,2.030420,2.046397,2.085360,2.137536,2.229263,2.327347", \ - "2.601621,2.556773,2.501833,2.424701,2.317198,2.328679,2.363148", \ - "3.686440,3.580959,3.476372,3.308980,3.058267,2.763284,2.635252", \ - "5.288146,5.201736,5.033372,4.790833,4.353358,3.786061,3.251856"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("9.408014,9.441726,9.457015,9.478459,9.413529,9.571322,9.503602", \ - "9.273507,9.340123,9.375998,9.372474,9.478960,9.449980,9.358334", \ - "9.249841,9.293654,9.287348,9.336686,9.354531,9.438735,9.365078", \ - "9.794703,9.726356,9.742565,9.608239,9.562874,9.591721,9.551424", \ - "10.647850,10.606470,10.639330,10.634660,10.561750,10.320550,9.984934", \ - "12.296200,12.224610,12.181410,12.011110,11.734260,11.520620,11.040750", \ - "14.689600,14.606170,14.522390,14.342160,13.815870,13.497780,12.821140"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.266028,1.259523,1.275305,1.282068,1.301853,1.303927,1.303058", \ - "1.129316,1.154560,1.186619,1.204320,1.251125,1.266760,1.294783", \ - "0.925092,0.957839,1.002600,1.051393,1.119625,1.178630,1.241599", \ - "1.061753,1.036880,1.000503,1.004236,1.057858,1.121743,1.177526", \ - "1.733700,1.654081,1.558115,1.441339,1.267382,1.220328,1.224353", \ - "2.955740,2.833583,2.676259,2.427651,2.084834,1.704074,1.508996", \ - "4.694911,4.527556,4.368592,4.030004,3.482330,2.792425,2.147248"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("8.098293,8.141615,8.104756,8.145275,8.161425,8.119839,8.337342", \ - "7.946818,7.967804,8.013893,8.073397,8.079358,8.251504,8.130081", \ - "7.930873,7.948740,7.964818,7.994759,8.098059,8.200805,8.143493", \ - "8.300016,8.365948,8.422778,8.392668,8.335562,8.327396,8.284375", \ - "9.124097,9.200091,9.184380,9.157681,9.253691,9.045280,8.739510", \ - "10.832700,10.792730,10.702410,10.638050,10.485700,10.285590,9.739720", \ - "13.162800,13.154540,13.058880,12.845400,12.630230,12.115000,11.405860"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.242310,1.270093,1.269493,1.282974,1.294982,1.304132,1.305049", \ - "1.121278,1.163336,1.187430,1.216596,1.249011,1.276150,1.286903", \ - "0.917212,0.966170,1.009436,1.058105,1.125666,1.184002,1.233749", \ - "1.071239,1.038273,1.006656,1.023148,1.058390,1.119127,1.187554", \ - "1.739155,1.668685,1.591009,1.463135,1.305321,1.239418,1.242739", \ - "3.008535,2.869313,2.723027,2.478757,2.129057,1.753835,1.531776", \ - "4.786996,4.628619,4.453038,4.097644,3.552131,2.863615,2.204994"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("8.753750,8.755372,8.814308,8.785671,8.882619,8.854102,8.813598", \ - "8.601596,8.658467,8.704778,8.759015,8.826334,8.713907,8.880684", \ - "8.580361,8.607162,8.624415,8.701129,8.728766,8.664373,8.613418", \ - "9.007347,9.090377,9.037240,9.048619,8.987371,8.942030,8.645474", \ - "9.779396,9.782279,9.866979,9.862239,9.892824,9.538316,9.461279", \ - "11.469900,11.437140,11.433210,11.308050,11.141840,10.952320,10.523710", \ - "13.863040,13.823820,13.780170,13.611190,13.288380,12.797010,12.175780"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("2.227188,2.283603,2.299244,2.334875,2.368424,2.406156,2.448025", \ - "2.144006,2.175274,2.202437,2.269634,2.322099,2.375744,2.430860", \ - "1.994577,2.027411,2.060387,2.124167,2.208187,2.295885,2.386047", \ - "2.012565,1.985242,2.000945,2.049148,2.107787,2.211888,2.316177", \ - "2.486305,2.467030,2.428131,2.363895,2.265026,2.275865,2.337633", \ - "3.507053,3.444828,3.356335,3.206226,2.978898,2.708316,2.569396", \ - "5.057180,4.960627,4.863675,4.650474,4.294205,3.746534,3.190780"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("10.533450,10.603390,10.603420,10.635100,10.763230,10.771290,10.915440", \ - "10.451540,10.489960,10.495990,10.439650,10.686690,10.653120,10.853120", \ - "10.431650,10.497930,10.479090,10.442870,10.651780,10.598700,10.627340", \ - "11.008350,10.951280,10.975160,10.908760,10.920830,10.861240,10.741080", \ - "11.975300,11.951100,11.949110,11.965910,11.864330,11.591640,11.436620", \ - "13.703930,13.649320,13.550050,13.482270,13.281320,13.044830,12.186860", \ - "16.234110,16.163740,16.052700,15.893070,15.511190,14.848230,14.017060"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.244565,1.256973,1.267199,1.283858,1.295545,1.304144,1.312152", \ - "1.127138,1.152589,1.177577,1.214423,1.241518,1.274482,1.289640", \ - "0.908373,0.943830,0.998129,1.043366,1.109108,1.182975,1.240600", \ - "1.025795,0.996690,0.967985,0.969455,1.025226,1.098423,1.167177", \ - "1.577952,1.531864,1.470288,1.364467,1.219836,1.164926,1.195665", \ - "2.697313,2.622247,2.504650,2.289842,1.998622,1.648942,1.434355", \ - "4.363284,4.271326,4.108062,3.853909,3.391565,2.745376,2.103170"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("9.176746,9.235289,9.236392,9.282196,9.382412,9.392793,9.551524", \ - "9.057761,9.078615,9.144897,9.215818,9.269301,9.320558,9.523198", \ - "9.077638,9.075426,9.132248,9.171787,9.279533,9.399504,9.428608", \ - "9.505974,9.634340,9.632538,9.636489,9.626262,9.486259,9.592508", \ - "10.465780,10.487850,10.500140,10.430400,10.486010,10.225000,9.869163", \ - "12.186250,12.176780,12.100830,12.020060,11.888380,11.693380,11.193700", \ - "14.724690,14.691150,14.590410,14.461850,13.992520,13.511040,12.597600"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.263440,1.262156,1.283158,1.288959,1.297004,1.302554,1.304617", \ - "1.126215,1.160711,1.187576,1.217644,1.248863,1.269878,1.287318", \ - "0.920326,0.944186,0.992903,1.050811,1.113909,1.183591,1.233926", \ - "1.021432,1.009341,0.992188,0.961251,1.022557,1.104738,1.168941", \ - "1.607144,1.548550,1.482927,1.384017,1.255310,1.167529,1.197623", \ - "2.725097,2.643287,2.510386,2.327525,2.033834,1.693123,1.454413", \ - "4.414393,4.294074,4.131683,3.863118,3.436668,2.803985,2.161881"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("9.841740,9.914138,9.965805,10.013810,9.987864,10.088720,10.276470", \ - "9.747876,9.803674,9.813663,9.919105,9.956833,10.122990,10.164340", \ - "9.788955,9.817776,9.785137,9.907177,9.876132,9.944050,10.193160", \ - "10.280980,10.310930,10.294280,10.297680,10.214670,10.240500,10.008070", \ - "11.173220,11.206800,11.175470,11.159200,11.140180,10.989560,10.504770", \ - "12.866620,12.853590,12.842450,12.748060,12.574120,12.368360,11.982830", \ - "15.462340,15.376830,15.353590,15.119380,14.819490,14.399860,13.672970"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("1.332233,1.345937,1.367981,1.386718,1.397823,1.402368,1.411263", \ - "1.307250,1.332137,1.364799,1.389475,1.429306,1.453790,1.477079", \ - "1.260404,1.264592,1.288506,1.340772,1.386321,1.441946,1.477061", \ - "1.650306,1.584142,1.512402,1.463469,1.457126,1.474501,1.504033", \ - "2.556360,2.441606,2.316189,2.129192,1.880063,1.742537,1.669902", \ - "3.877679,3.772682,3.606439,3.339723,2.916985,2.423676,2.109184", \ - "5.600566,5.519558,5.387161,5.048209,4.499699,3.726373,2.947376"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("5.757891,5.773628,5.826347,5.855690,5.890297,5.815249,5.915377", \ - "5.615627,5.640332,5.706670,5.700703,5.760367,5.699416,5.693354", \ - "5.620371,5.621184,5.617465,5.694346,5.638821,5.682037,5.617340", \ - "6.069421,6.036389,5.994148,5.917700,5.813722,5.743859,5.679369", \ - "6.755023,6.747713,6.833880,6.682708,6.558898,6.217429,6.081827", \ - "8.039317,7.975908,7.919785,7.858476,7.734200,7.142571,6.794108", \ - "10.038510,9.922750,9.790690,9.491392,9.228683,8.866488,8.119288"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("2.187520,2.203488,2.232190,2.237243,2.284214,2.309749,2.349577", \ - "2.082164,2.109770,2.126679,2.141203,2.186713,2.228166,2.267216", \ - "2.014979,2.034849,2.058611,2.090016,2.118345,2.168142,2.211305", \ - "2.304964,2.247902,2.210507,2.218148,2.227417,2.228536,2.252187", \ - "3.172905,3.096263,3.001505,2.856767,2.634938,2.538587,2.440666", \ - "4.501646,4.413669,4.286321,4.059984,3.704534,3.237526,2.937047", \ - "6.310342,6.223360,6.072128,5.798926,5.321585,4.606177,3.837546"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); - values ("7.374848,7.393533,7.398926,7.414746,7.426360,7.376733,7.395213", \ - "7.267580,7.287389,7.303675,7.316144,7.417320,7.304511,7.299689", \ - "7.048887,7.109820,7.145999,7.138744,7.237256,7.294324,7.167104", \ - "7.117031,7.113647,7.113280,7.088633,7.203424,7.113996,7.158915", \ - "7.297189,7.342235,7.425977,7.455723,7.392456,7.180535,7.210505", \ - "8.062877,8.013758,7.994617,8.044596,8.099371,7.654296,7.440447", \ - "9.592729,9.466173,9.376423,9.188819,8.973348,8.683968,8.278203"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI211_X4 - Cell Description : Combinational cell (OAI211_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI211_X4) { - - drive_strength : 4; - - area : 4.522000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 88.156278; - - leakage_power () { - when : "!A & !B & !C1 & !C2"; - value : 24.909830; - } - leakage_power () { - when : "!A & !B & !C1 & C2"; - value : 19.026412; - } - leakage_power () { - when : "!A & !B & C1 & !C2"; - value : 19.026439; - } - leakage_power () { - when : "!A & !B & C1 & C2"; - value : 5.455756; - } - leakage_power () { - when : "!A & B & !C1 & !C2"; - value : 84.457780; - } - leakage_power () { - when : "!A & B & !C1 & C2"; - value : 88.668646; - } - leakage_power () { - when : "!A & B & C1 & !C2"; - value : 88.668673; - } - leakage_power () { - when : "!A & B & C1 & C2"; - value : 76.018470; - } - leakage_power () { - when : "A & !B & !C1 & !C2"; - value : 28.502276; - } - leakage_power () { - when : "A & !B & !C1 & C2"; - value : 28.697834; - } - leakage_power () { - when : "A & !B & C1 & !C2"; - value : 28.697859; - } - leakage_power () { - when : "A & !B & C1 & C2"; - value : 15.275527; - } - leakage_power () { - when : "A & B & !C1 & !C2"; - value : 198.328240; - } - leakage_power () { - when : "A & B & !C1 & C2"; - value : 214.130180; - } - leakage_power () { - when : "A & B & C1 & !C2"; - value : 223.528470; - } - leakage_power () { - when : "A & B & C1 & C2"; - value : 267.108050; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.364038; - fall_capacitance : 6.047561; - rise_capacitance : 6.364038; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.550739; - fall_capacitance : 5.929605; - rise_capacitance : 6.550739; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.206207; - fall_capacitance : 5.612683; - rise_capacitance : 6.206207; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.423357; - fall_capacitance : 6.423357; - rise_capacitance : 6.304077; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 101.624000; - function : "!(((C1 | C2) & A) & B)"; - - timing () { - - related_pin : "A"; - when : "B & !C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.018967,0.021229,0.023738,0.028668,0.038357,0.057483,0.095457", \ - "0.020255,0.022541,0.025072,0.030036,0.039765,0.058929,0.096930", \ - "0.024391,0.026669,0.029203,0.034195,0.043985,0.063226,0.101301", \ - "0.030182,0.032966,0.035937,0.041530,0.051866,0.071194,0.109366", \ - "0.035123,0.038706,0.042490,0.049437,0.061739,0.083197,0.121982", \ - "0.038593,0.043020,0.047663,0.056185,0.071177,0.096473,0.139083", \ - "0.040658,0.045939,0.051428,0.061482,0.079204,0.109054,0.157666"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.013379,0.015162,0.017154,0.021097,0.028900,0.044389,0.075217", \ - "0.014904,0.016705,0.018715,0.022684,0.030520,0.046041,0.076892", \ - "0.021274,0.023019,0.024974,0.028885,0.036667,0.052153,0.082996", \ - "0.030108,0.032745,0.035511,0.040556,0.049285,0.064537,0.095116", \ - "0.039170,0.042600,0.046191,0.052808,0.064433,0.083675,0.114774", \ - "0.048815,0.052975,0.057333,0.065384,0.079650,0.103673,0.141881", \ - "0.059157,0.064036,0.069140,0.078586,0.095331,0.123763,0.169762"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.010296,0.012110,0.014161,0.018257,0.026446,0.042815,0.075543", \ - "0.010295,0.012113,0.014158,0.018256,0.026446,0.042813,0.075541", \ - "0.010445,0.012146,0.014128,0.018237,0.026442,0.042815,0.075542", \ - "0.013282,0.014848,0.016619,0.020182,0.027319,0.042855,0.075536", \ - "0.018405,0.020058,0.021860,0.025324,0.032091,0.045792,0.075932", \ - "0.025046,0.026922,0.028963,0.032787,0.039837,0.053161,0.080242", \ - "0.032980,0.035087,0.037414,0.041757,0.049582,0.063548,0.089875"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.010156,0.011921,0.013921,0.017905,0.025794,0.041162,0.070996", \ - "0.010153,0.011923,0.013920,0.017904,0.025795,0.041173,0.070992", \ - "0.011124,0.012539,0.014250,0.017928,0.025795,0.041173,0.070988", \ - "0.017632,0.018992,0.020429,0.023024,0.028436,0.041521,0.070995", \ - "0.025711,0.027446,0.029327,0.032765,0.038698,0.048651,0.072333", \ - "0.035165,0.037158,0.039375,0.043517,0.050834,0.062900,0.082564", \ - "0.045946,0.048149,0.050625,0.055341,0.063869,0.078342,0.101283"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & !C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.015297,0.017428,0.019823,0.024586,0.034070,0.052990,0.090790", \ - "0.016510,0.018685,0.021117,0.025929,0.035466,0.054431,0.092260", \ - "0.020347,0.022675,0.025158,0.030027,0.039646,0.058707,0.096620", \ - "0.024571,0.027637,0.030839,0.036693,0.047279,0.066644,0.104668", \ - "0.027440,0.031480,0.035674,0.043225,0.056224,0.078265,0.117263", \ - "0.028935,0.033930,0.039091,0.048381,0.064360,0.090702,0.134058", \ - "0.029139,0.035084,0.041174,0.052148,0.071049,0.102201,0.152029"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.013027,0.014812,0.016804,0.020744,0.028537,0.044003,0.074791", \ - "0.014549,0.016353,0.018364,0.022330,0.030157,0.045653,0.076465", \ - "0.020903,0.022678,0.024629,0.028534,0.036304,0.051765,0.082572", \ - "0.029526,0.032203,0.035009,0.040105,0.048897,0.064154,0.094684", \ - "0.038378,0.041854,0.045490,0.052168,0.063873,0.083221,0.114345", \ - "0.047779,0.052004,0.056413,0.064539,0.078906,0.103051,0.141369", \ - "0.057833,0.062790,0.067961,0.077511,0.094392,0.122963,0.169091"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.007718,0.009535,0.011594,0.015697,0.023890,0.040248,0.072946", \ - "0.007718,0.009537,0.011595,0.015695,0.023890,0.040252,0.072948", \ - "0.008436,0.010002,0.011852,0.015732,0.023891,0.040252,0.072948", \ - "0.011835,0.013332,0.015013,0.018409,0.025299,0.040437,0.072947", \ - "0.017279,0.018910,0.020676,0.024063,0.030607,0.043996,0.073531", \ - "0.024192,0.025997,0.027977,0.031737,0.038670,0.051712,0.078348", \ - "0.032341,0.034347,0.036590,0.040842,0.048537,0.062321,0.088308"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.008618,0.010233,0.012065,0.015735,0.023083,0.037775,0.067180", \ - "0.008619,0.010233,0.012064,0.015732,0.023084,0.037778,0.067163", \ - "0.009655,0.010902,0.012432,0.015771,0.023085,0.037782,0.067164", \ - "0.015106,0.016550,0.018060,0.020770,0.025785,0.038151,0.067170", \ - "0.021223,0.023179,0.025246,0.028953,0.035210,0.045344,0.068524", \ - "0.028176,0.030604,0.033198,0.037877,0.045854,0.058679,0.078823", \ - "0.036212,0.039105,0.042190,0.047764,0.057350,0.072966,0.097033"); - } - } - - timing () { - - related_pin : "A"; - when : "B & C1 & C2"; - sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.013726,0.015538,0.017573,0.021619,0.029673,0.045737,0.077826", \ - "0.014998,0.016847,0.018913,0.023001,0.031101,0.047203,0.079318", \ - "0.019494,0.021551,0.023693,0.027839,0.036016,0.052205,0.084394", \ - "0.024056,0.027001,0.030062,0.035579,0.045152,0.061819,0.094116", \ - "0.026874,0.030797,0.034872,0.042210,0.054777,0.075369,0.109330", \ - "0.028206,0.033066,0.038100,0.047174,0.062785,0.088366,0.128822", \ - "0.028153,0.033941,0.039894,0.050632,0.069149,0.099641,0.147883"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.014135,0.015904,0.017885,0.021811,0.029588,0.045033,0.075804", \ - "0.015665,0.017454,0.019454,0.023408,0.031224,0.046709,0.077514", \ - "0.022021,0.023743,0.025696,0.029592,0.037352,0.052807,0.083606", \ - "0.031215,0.033786,0.036482,0.041419,0.050001,0.065191,0.095714", \ - "0.040615,0.043954,0.047470,0.053963,0.065413,0.084451,0.115400", \ - "0.050599,0.054643,0.058906,0.066822,0.080897,0.104693,0.142636", \ - "0.061245,0.066014,0.071020,0.080311,0.096859,0.125042,0.170756"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.007096,0.008629,0.010357,0.013805,0.020683,0.034413,0.061840", \ - "0.007096,0.008628,0.010357,0.013804,0.020685,0.034414,0.061839", \ - "0.008031,0.009244,0.010717,0.013868,0.020683,0.034412,0.061839", \ - "0.012241,0.013518,0.014904,0.017596,0.022854,0.034773,0.061837", \ - "0.018105,0.019560,0.021154,0.024178,0.029766,0.040236,0.062974", \ - "0.025381,0.026991,0.028792,0.032253,0.038615,0.049936,0.070750", \ - "0.033934,0.035699,0.037741,0.041648,0.048866,0.061593,0.083688"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.009095,0.010729,0.012578,0.016271,0.023645,0.038363,0.067766", \ - "0.009094,0.010729,0.012579,0.016271,0.023647,0.038369,0.067747", \ - "0.009896,0.011224,0.012827,0.016268,0.023646,0.038362,0.067772", \ - "0.015335,0.016766,0.018262,0.020947,0.026090,0.038658,0.067765", \ - "0.021528,0.023457,0.025505,0.029182,0.035407,0.045548,0.069005", \ - "0.028502,0.030899,0.033468,0.038112,0.046054,0.058826,0.079044", \ - "0.036565,0.039394,0.042445,0.047979,0.057509,0.073076,0.097117"); - } - } - - timing () { - - related_pin : "B"; - when : "A & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.020139,0.022400,0.024909,0.029839,0.039528,0.058654,0.096630", \ - "0.021328,0.023612,0.026144,0.031108,0.040837,0.060001,0.098002", \ - "0.024272,0.026552,0.029089,0.034080,0.043867,0.063105,0.101177", \ - "0.027755,0.030300,0.033066,0.038408,0.048601,0.067940,0.106074", \ - "0.030816,0.033822,0.037039,0.043068,0.054187,0.074847,0.113574", \ - "0.032215,0.035950,0.039885,0.047092,0.059902,0.082459,0.123433", \ - "0.031570,0.036116,0.040855,0.049476,0.064561,0.090103,0.134117"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.014948,0.016794,0.018844,0.022876,0.030798,0.046416,0.077387", \ - "0.016492,0.018348,0.020408,0.024454,0.032390,0.048022,0.079002", \ - "0.022907,0.024684,0.026696,0.030691,0.038580,0.054170,0.085122", \ - "0.033032,0.035515,0.038132,0.042936,0.051328,0.066598,0.097279", \ - "0.043476,0.046684,0.050068,0.056351,0.067492,0.086163,0.116987", \ - "0.054748,0.058609,0.062684,0.070275,0.083899,0.107144,0.144514", \ - "0.067134,0.071638,0.076353,0.085174,0.101049,0.128422,0.173292"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.010297,0.012109,0.014161,0.018257,0.026443,0.042814,0.075548", \ - "0.010298,0.012113,0.014162,0.018257,0.026446,0.042814,0.075542", \ - "0.010396,0.012139,0.014141,0.018243,0.026444,0.042815,0.075539", \ - "0.011967,0.013693,0.015639,0.019532,0.027165,0.042924,0.075541", \ - "0.015399,0.016984,0.018791,0.022437,0.029899,0.045085,0.076059", \ - "0.021100,0.022698,0.024461,0.027905,0.034822,0.049230,0.079235", \ - "0.028468,0.030190,0.032086,0.035687,0.042475,0.056000,0.084762"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.011733,0.013471,0.015440,0.019379,0.027208,0.042530,0.072337", \ - "0.011732,0.013469,0.015439,0.019379,0.027208,0.042532,0.072337", \ - "0.012128,0.013666,0.015484,0.019334,0.027205,0.042530,0.072343", \ - "0.018155,0.019483,0.020892,0.023449,0.029240,0.042716,0.072337", \ - "0.026020,0.027770,0.029650,0.033091,0.039012,0.049179,0.073427", \ - "0.034923,0.037006,0.039296,0.043548,0.050975,0.063131,0.083090", \ - "0.044839,0.047188,0.049805,0.054754,0.063576,0.078330,0.101463"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.016466,0.018599,0.020994,0.025757,0.035241,0.054161,0.091962", \ - "0.017582,0.019756,0.022187,0.027000,0.036538,0.055503,0.093333", \ - "0.020317,0.022587,0.025055,0.029916,0.039531,0.058588,0.096498", \ - "0.023033,0.025643,0.028461,0.033847,0.044071,0.063403,0.101385", \ - "0.024600,0.027941,0.031429,0.037774,0.049196,0.070041,0.108877", \ - "0.024069,0.028338,0.032734,0.040601,0.054126,0.077234,0.118501", \ - "0.021557,0.026748,0.032066,0.041553,0.057714,0.084256,0.128870"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.014573,0.016425,0.018478,0.022511,0.030425,0.046021,0.076942", \ - "0.016116,0.017978,0.020042,0.024087,0.032017,0.047627,0.078558", \ - "0.022552,0.024324,0.026334,0.030327,0.038207,0.053776,0.084678", \ - "0.032479,0.035000,0.037649,0.042500,0.050951,0.066206,0.096837", \ - "0.042720,0.045970,0.049398,0.055737,0.066949,0.085705,0.116550", \ - "0.053775,0.057689,0.061807,0.069470,0.083174,0.106515,0.144011", \ - "0.065908,0.070483,0.075258,0.084161,0.100137,0.127621,0.172626"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.007719,0.009535,0.011596,0.015699,0.023887,0.040252,0.072948", \ - "0.007723,0.009540,0.011591,0.015699,0.023890,0.040250,0.072950", \ - "0.008152,0.009830,0.011762,0.015721,0.023888,0.040252,0.072948", \ - "0.010012,0.011648,0.013527,0.017339,0.024932,0.040484,0.072947", \ - "0.014135,0.015634,0.017316,0.020742,0.027909,0.042889,0.073617", \ - "0.020394,0.021901,0.023568,0.026830,0.033359,0.047309,0.077026", \ - "0.028232,0.029803,0.031577,0.035015,0.041503,0.054517,0.082732"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.009985,0.011596,0.013417,0.017070,0.024399,0.039084,0.068471", \ - "0.009981,0.011593,0.013418,0.017072,0.024399,0.039084,0.068479", \ - "0.010435,0.011824,0.013481,0.017022,0.024394,0.039087,0.068486", \ - "0.015693,0.017099,0.018566,0.021210,0.026494,0.039288,0.068469", \ - "0.021774,0.023692,0.025731,0.029394,0.035597,0.045803,0.069600", \ - "0.028419,0.030831,0.033425,0.038114,0.046118,0.058956,0.079317", \ - "0.035882,0.038753,0.041852,0.047506,0.057237,0.073024,0.097250"); - } - } - - timing () { - - related_pin : "B"; - when : "A & C1 & C2"; - sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.014925,0.016737,0.018772,0.022818,0.030872,0.046936,0.079025", \ - "0.016088,0.017937,0.020003,0.024092,0.032192,0.048294,0.080410", \ - "0.019080,0.021057,0.023195,0.027333,0.035502,0.051685,0.083871", \ - "0.022283,0.024689,0.027250,0.032063,0.041017,0.057654,0.089910", \ - "0.023982,0.027196,0.030541,0.036576,0.047148,0.065720,0.099347", \ - "0.023417,0.027561,0.031835,0.039479,0.052523,0.074132,0.110915", \ - "0.020773,0.025833,0.031031,0.040309,0.056089,0.081725,0.123046"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.015912,0.017721,0.019739,0.023722,0.031579,0.047121,0.077991", \ - "0.017467,0.019286,0.021313,0.025309,0.033184,0.048743,0.079627", \ - "0.023839,0.025614,0.027607,0.031559,0.039383,0.054899,0.085756", \ - "0.034287,0.036695,0.039234,0.043919,0.052135,0.067349,0.097932", \ - "0.045073,0.048188,0.051495,0.057649,0.068596,0.087024,0.117689", \ - "0.056666,0.060420,0.064412,0.071871,0.085296,0.108265,0.145355", \ - "0.069375,0.073756,0.078385,0.087061,0.102736,0.129835,0.174388"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.007095,0.008627,0.010356,0.013806,0.020683,0.034415,0.061839", \ - "0.007096,0.008629,0.010357,0.013805,0.020686,0.034412,0.061836", \ - "0.007644,0.009017,0.010611,0.013876,0.020686,0.034410,0.061837", \ - "0.009993,0.011318,0.012831,0.015905,0.022121,0.034822,0.061838", \ - "0.014656,0.015930,0.017348,0.020174,0.025929,0.037964,0.062951", \ - "0.021264,0.022572,0.024032,0.026889,0.032430,0.043667,0.067517", \ - "0.029430,0.030777,0.032333,0.035403,0.041204,0.052235,0.074885"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.010336,0.011972,0.013825,0.017524,0.024910,0.039658,0.069096", \ - "0.010334,0.011972,0.013825,0.017525,0.024909,0.039657,0.069093", \ - "0.010690,0.012145,0.013866,0.017517,0.024910,0.039655,0.069097", \ - "0.015830,0.017221,0.018690,0.021312,0.026797,0.039803,0.069096", \ - "0.021995,0.023900,0.025924,0.029568,0.035762,0.046029,0.070102", \ - "0.028659,0.031064,0.033642,0.038313,0.046290,0.059102,0.079559", \ - "0.036089,0.038945,0.042037,0.047665,0.057373,0.073137,0.097333"); - } - } - - timing () { - - related_pin : "C1"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0112607,0.0134037,0.0158073,0.0205809,0.0300751,0.0490023,0.0868049", \ - "0.0124836,0.0146602,0.0170938,0.0219118,0.0314568,0.0504289,0.0882609", \ - "0.0169265,0.0193301,0.0218042,0.0265207,0.0360514,0.0550378,0.0928962", \ - "0.0207355,0.0242057,0.0278002,0.0342606,0.0452990,0.0642270,0.101893", \ - "0.0225070,0.0270121,0.0316590,0.0400412,0.0544873,0.0781550,0.116551", \ - "0.0220098,0.0275940,0.0332964,0.0435751,0.0612742,0.0904761,0.136716", \ - "0.0190944,0.0257071,0.0324662,0.0446675,0.0656281,0.100169,0.155234"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0191917,0.0229059,0.0270446,0.0352260,0.0514252,0.0836364,0.147907", \ - "0.0200236,0.0237861,0.0279853,0.0362745,0.0526217,0.0849816,0.149362", \ - "0.0252484,0.0288236,0.0328871,0.0410319,0.0573057,0.0897085,0.154196", \ - "0.0344640,0.0390230,0.0437564,0.0522678,0.0680012,0.0999113,0.164092", \ - "0.0445462,0.0501815,0.0560796,0.0668528,0.0855904,0.117450,0.180713", \ - "0.0561245,0.0627185,0.0696382,0.0823540,0.104767,0.142362,0.205542", \ - "0.0692275,0.0768275,0.0847672,0.0993128,0.125012,0.168683,0.239552"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.00770910,0.00953116,0.0115895,0.0156962,0.0238880,0.0402494,0.0729480", \ - "0.00768696,0.00952233,0.0115886,0.0156988,0.0238885,0.0402481,0.0729496", \ - "0.00914099,0.0104253,0.0120601,0.0157152,0.0238820,0.0402491,0.0729468", \ - "0.0141405,0.0157309,0.0174389,0.0206397,0.0266009,0.0404944,0.0729459", \ - "0.0209131,0.0228476,0.0249077,0.0287174,0.0355039,0.0474815,0.0739113", \ - "0.0293941,0.0316805,0.0341253,0.0386108,0.0465341,0.0601619,0.0836516", \ - "0.0395135,0.0422602,0.0451285,0.0503656,0.0594772,0.0749942,0.100985"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0139313,0.0171861,0.0208785,0.0282580,0.0429996,0.0724712,0.131408", \ - "0.0139337,0.0171889,0.0208763,0.0282628,0.0430058,0.0724721,0.131418", \ - "0.0142854,0.0171835,0.0208619,0.0282528,0.0430120,0.0724782,0.131408", \ - "0.0196877,0.0222730,0.0247868,0.0302660,0.0431782,0.0724731,0.131413", \ - "0.0260372,0.0290833,0.0324272,0.0385858,0.0493472,0.0735956,0.131405", \ - "0.0336993,0.0370820,0.0408899,0.0480556,0.0608479,0.0826670,0.132421", \ - "0.0430285,0.0466608,0.0508191,0.0587253,0.0731930,0.0981593,0.142004"); - } - } - - timing () { - - related_pin : "C2"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0146084,0.0168535,0.0193491,0.0242613,0.0339309,0.0530404,0.0910030", \ - "0.0156487,0.0179068,0.0204115,0.0253350,0.0350159,0.0541351,0.0921024", \ - "0.0203518,0.0225305,0.0249895,0.0298965,0.0395576,0.0586567,0.0966097", \ - "0.0262693,0.0293993,0.0326758,0.0386361,0.0490293,0.0678886,0.105637", \ - "0.0302421,0.0342910,0.0385149,0.0462422,0.0597861,0.0824205,0.120349", \ - "0.0323937,0.0372928,0.0424048,0.0517885,0.0682985,0.0961422,0.141030", \ - "0.0326868,0.0383952,0.0443727,0.0553495,0.0747057,0.107454,0.160755"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0233387,0.0269956,0.0310925,0.0392207,0.0553716,0.0875512,0.151801", \ - "0.0248715,0.0285962,0.0327603,0.0409928,0.0572715,0.0895703,0.153908", \ - "0.0298836,0.0335716,0.0377254,0.0459874,0.0623728,0.0948480,0.159371", \ - "0.0375497,0.0417962,0.0463803,0.0549407,0.0712202,0.103657,0.168257", \ - "0.0461780,0.0512496,0.0566651,0.0667532,0.0850710,0.117962,0.182368", \ - "0.0564722,0.0624546,0.0687408,0.0803241,0.101114,0.137785,0.202956", \ - "0.0684901,0.0754594,0.0826854,0.0958776,0.119228,0.159888,0.230386"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0103298,0.0121274,0.0141737,0.0182606,0.0264461,0.0428144,0.0755457", \ - "0.0103424,0.0121367,0.0141767,0.0182624,0.0264463,0.0428130,0.0755424", \ - "0.0108604,0.0124162,0.0142699,0.0182306,0.0264581,0.0428138,0.0755398", \ - "0.0157899,0.0173362,0.0190080,0.0222003,0.0283715,0.0429173,0.0755393", \ - "0.0223931,0.0243312,0.0264032,0.0302039,0.0369571,0.0489841,0.0762420", \ - "0.0302604,0.0326280,0.0351573,0.0397797,0.0478537,0.0615302,0.0852227", \ - "0.0394595,0.0423055,0.0453131,0.0507790,0.0602630,0.0761657,0.102318"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("0.0139299,0.0171867,0.0208753,0.0282550,0.0430008,0.0724826,0.131408", \ - "0.0139320,0.0171841,0.0208754,0.0282658,0.0430080,0.0724709,0.131413", \ - "0.0139858,0.0172070,0.0208797,0.0282557,0.0430095,0.0724768,0.131417", \ - "0.0173019,0.0200380,0.0229876,0.0293140,0.0430711,0.0724730,0.131413", \ - "0.0222128,0.0250565,0.0282952,0.0346664,0.0468811,0.0732460,0.131404", \ - "0.0285521,0.0314432,0.0347857,0.0414030,0.0543246,0.0789823,0.132266", \ - "0.0361468,0.0391657,0.0426585,0.0495304,0.0629221,0.0887447,0.138395"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("4.460558,4.536998,4.595611,4.645836,4.711598,4.813441,4.887444", \ - "4.286320,4.379136,4.439084,4.539523,4.624146,4.756782,4.851679", \ - "3.993327,4.061604,4.144390,4.257298,4.409442,4.589407,4.754833", \ - "4.067480,4.051289,4.093128,4.159445,4.289886,4.450088,4.653927", \ - "5.199174,5.057856,4.988760,4.815351,4.622860,4.646735,4.734796", \ - "7.308459,7.139974,6.927168,6.574738,6.063760,5.482722,5.231164", \ - "10.582470,10.306140,10.031280,9.532316,8.629322,7.527091,6.454661"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("18.995740,19.117140,19.132500,19.270600,19.201040,19.290550,18.855940", \ - "18.743530,18.928960,18.910940,19.008620,19.062230,19.286560,19.516380", \ - "18.802230,18.733040,18.845920,18.979500,18.845140,19.168010,19.020350", \ - "19.807890,19.804400,19.776730,19.719810,19.459840,19.601390,19.134340", \ - "21.529120,21.493940,21.488220,21.552540,21.094420,20.891680,19.872030", \ - "24.824670,24.689810,24.567350,24.157740,24.009790,23.334730,21.752550", \ - "29.607650,29.422780,29.251170,28.819270,28.093200,26.888780,25.551710"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("2.492816,2.535925,2.540934,2.559878,2.590402,2.598277,2.599558", \ - "2.254077,2.320444,2.363685,2.425355,2.486609,2.541727,2.560788", \ - "1.829697,1.921494,1.998478,2.107961,2.233651,2.370440,2.462664", \ - "2.120946,2.040348,1.985422,2.020906,2.090921,2.228967,2.365431", \ - "3.467359,3.249070,3.106910,2.855157,2.526938,2.439663,2.440026", \ - "5.918119,5.584272,5.275520,4.791087,4.121554,3.379022,2.984145", \ - "9.404975,9.021793,8.649885,8.004364,6.906088,5.534987,4.271497"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("16.417660,16.452190,16.524210,16.606070,16.717990,16.662850,16.820510", \ - "16.144670,16.268020,16.309730,16.439640,16.604290,16.431990,16.456800", \ - "16.096920,16.149890,16.240600,16.229900,16.404190,16.591330,16.335290", \ - "16.809730,17.060040,17.055500,16.953840,16.975360,16.930540,16.955250", \ - "18.491380,18.509640,18.581540,18.661470,18.695740,17.913890,17.595810", \ - "21.834490,21.823000,21.643710,21.539500,21.074880,20.700740,19.882320", \ - "26.561540,26.437130,26.321830,25.901550,25.272600,24.519540,22.483640"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("2.496369,2.542718,2.555001,2.575930,2.590960,2.591714,2.603443", \ - "2.233508,2.306308,2.373509,2.434074,2.496104,2.544473,2.569809", \ - "1.857712,1.946733,2.006860,2.117195,2.230067,2.363148,2.474030", \ - "2.130484,2.076888,2.015708,2.030213,2.110079,2.232598,2.359942", \ - "3.491202,3.324548,3.157988,2.900774,2.588386,2.466687,2.466244", \ - "6.022146,5.709051,5.371304,4.904763,4.220328,3.484816,3.031353", \ - "9.588974,9.225470,8.817184,8.170030,7.061370,5.680716,4.365885"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("17.730350,17.694570,17.822290,17.882990,17.991300,18.105760,18.068830", \ - "17.441620,17.485620,17.622940,17.686010,17.868740,17.916550,17.444620", \ - "17.403200,17.385190,17.457920,17.576690,17.732860,17.946770,17.364610", \ - "18.240160,18.446620,18.371910,18.353270,18.176500,18.310770,18.132990", \ - "19.855070,19.846450,19.832580,19.994690,19.909450,19.316600,18.711910", \ - "23.179420,23.110630,22.951820,22.814570,22.450150,22.035420,20.985840", \ - "27.954510,27.851860,27.763680,27.230440,26.776690,25.782940,24.726230"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("4.495335,4.533972,4.596246,4.645864,4.720494,4.812846,4.870655", \ - "4.297285,4.382351,4.422857,4.508218,4.621803,4.755181,4.854160", \ - "3.984261,4.058880,4.138786,4.245724,4.418919,4.586466,4.752578", \ - "4.021680,3.971070,3.973770,4.094232,4.239350,4.432090,4.615504", \ - "4.986631,4.912213,4.839579,4.697475,4.510806,4.543606,4.667274", \ - "7.011275,6.819412,6.649019,6.386525,5.931210,5.391101,5.130120", \ - "10.112360,9.904621,9.704421,9.290988,8.514655,7.443916,6.356707"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("21.376980,21.357400,21.515480,21.597440,21.796940,21.887090,22.049110", \ - "21.059960,21.155150,21.301890,21.373890,21.464970,21.507380,21.402540", \ - "21.091730,21.204790,21.264370,21.329520,21.462140,21.721170,21.371680", \ - "22.245140,22.200760,22.062090,22.061140,21.986040,21.726970,21.801690", \ - "24.180490,24.183330,24.112140,24.112110,23.888200,23.267150,22.697500", \ - "27.532180,27.398050,27.341490,26.927670,26.773660,26.068170,24.940650", \ - "32.689460,32.537470,32.264130,31.955370,31.231140,30.168450,28.610050"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("2.505578,2.541837,2.536108,2.556250,2.591522,2.602121,2.600347", \ - "2.254574,2.288071,2.360728,2.410275,2.491308,2.530856,2.562127", \ - "1.817240,1.925287,1.981584,2.096668,2.237360,2.365369,2.455838", \ - "2.050584,1.995986,1.928700,1.937995,2.048761,2.191361,2.329231", \ - "3.156917,3.024194,2.899507,2.708451,2.419356,2.319825,2.381726", \ - "5.400449,5.183702,4.963980,4.568069,3.959800,3.268068,2.869653", \ - "8.732143,8.472417,8.185361,7.663296,6.749500,5.453379,4.174673"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("18.608740,18.669650,18.796570,18.795780,19.100600,19.004190,18.968360", \ - "18.348310,18.458600,18.581300,18.608980,18.745050,19.009010,19.194840", \ - "18.387040,18.443150,18.512360,18.584130,18.655150,18.939140,19.003670", \ - "19.249890,19.514940,19.460750,19.395810,19.499040,19.126940,19.181810", \ - "21.009760,21.083750,21.213860,21.222770,21.127720,20.819220,20.563020", \ - "24.609410,24.567910,24.434590,24.220370,23.792730,23.201860,22.373390", \ - "29.672640,29.464120,29.384810,29.083760,28.420390,27.382060,25.827300"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("2.521305,2.546070,2.547590,2.564291,2.582679,2.603266,2.608484", \ - "2.226097,2.323670,2.369509,2.429938,2.493712,2.534434,2.573895", \ - "1.835970,1.929363,1.988910,2.104542,2.228787,2.359696,2.459870", \ - "2.040366,2.019829,1.968163,1.950702,2.048363,2.191838,2.346540", \ - "3.214100,3.051801,2.931008,2.748453,2.496433,2.338844,2.390339", \ - "5.449656,5.216749,5.006904,4.606084,4.020399,3.364613,2.894916", \ - "8.833068,8.565093,8.243561,7.737023,6.829116,5.558626,4.295373"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("19.921210,19.967270,20.089680,20.230920,20.320310,20.587860,20.793290", \ - "19.690230,19.759250,19.911700,20.106270,20.184780,20.368750,20.493840", \ - "19.729930,19.811020,19.897200,20.078390,20.133460,20.112390,20.425610", \ - "20.855240,20.857920,20.880100,20.759580,20.815810,20.846250,20.555600", \ - "22.584420,22.615040,22.590160,22.746860,22.659710,22.218050,21.914870", \ - "25.970130,25.944250,25.934570,25.748890,25.428460,25.000580,23.736680", \ - "31.125790,30.959490,30.909240,30.615620,29.943550,29.113780,27.294140"); - } - } - - internal_power () { - - related_pin : "C1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("2.680834,2.720637,2.722526,2.764015,2.793406,2.805779,2.812408", \ - "2.614977,2.643447,2.717447,2.792369,2.858737,2.909828,2.937268", \ - "2.497816,2.554784,2.602949,2.683857,2.780117,2.882958,2.961897", \ - "3.290164,3.145051,3.004711,2.912921,2.920510,2.956030,2.993465", \ - "5.114276,4.850810,4.595554,4.224552,3.745101,3.485430,3.323548", \ - "7.778805,7.508004,7.202273,6.636570,5.799141,4.814130,4.190504", \ - "11.245830,11.000700,10.697010,10.113770,8.982745,7.393025,5.864944"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("11.644630,11.767720,11.823490,11.898460,11.829680,11.841100,11.450340", \ - "11.365370,11.507410,11.589490,11.750050,11.820530,11.975550,12.063110", \ - "11.374190,11.466120,11.424160,11.472150,11.480020,11.730500,11.915890", \ - "12.302920,12.189330,12.165390,12.023980,12.034890,11.821610,12.004430", \ - "13.647410,13.702680,13.798280,13.569920,13.195930,12.482750,12.187240", \ - "16.273150,16.053130,15.993460,15.878310,15.610140,14.519390,13.604310", \ - "20.235630,19.907650,19.720940,19.155980,18.554260,17.893280,16.073950"); - } - } - - internal_power () { - - related_pin : "C2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("4.388740,4.436866,4.454684,4.517335,4.569586,4.635747,4.708169", \ - "4.162875,4.188655,4.240098,4.286566,4.384133,4.458955,4.533665", \ - "4.030333,4.059502,4.114923,4.168368,4.261361,4.348950,4.436394", \ - "4.602267,4.488709,4.427058,4.456637,4.449913,4.463695,4.500202", \ - "6.342087,6.148477,5.990936,5.672121,5.267190,5.061336,4.875357", \ - "9.015406,8.755099,8.527465,8.072534,7.368166,6.446107,5.858102", \ - "12.630720,12.411040,12.120640,11.546130,10.600110,9.162166,7.657181"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); - values ("14.875010,14.976650,15.025280,15.021190,14.960790,15.023360,14.727580", \ - "14.663920,14.796480,14.889530,14.979230,14.848520,15.195140,14.688890", \ - "14.324590,14.357710,14.487720,14.554920,14.611620,14.976010,15.011190", \ - "14.367050,14.351320,14.341310,14.445020,14.425960,14.591490,14.379750", \ - "14.756950,14.910280,15.090800,15.073650,14.915840,14.627000,14.992870", \ - "16.268040,16.222110,16.228370,16.230500,16.205590,15.935190,15.556310", \ - "19.334160,19.118950,18.902870,18.497290,18.311480,17.561160,16.567800"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI221_X1 - Cell Description : Combinational cell (OAI221_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI221_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 33.937672; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 6.394245; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 5.155874; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 5.155878; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 1.777160; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 21.193315; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 22.246035; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 22.246037; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 19.083492; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 21.193315; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 22.246035; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 22.246048; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 19.083492; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 35.813657; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 36.866487; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 36.866489; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 33.703946; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 7.987694; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 10.484580; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 10.484591; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 7.224065; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 49.661150; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 51.261100; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 53.610733; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 64.505991; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 49.661260; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 53.610843; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 55.960300; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 66.855382; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 64.286200; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 64.514461; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 66.864369; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 77.761266; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.630225; - fall_capacitance : 1.539664; - rise_capacitance : 1.630225; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.655378; - fall_capacitance : 1.410660; - rise_capacitance : 1.655378; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.605417; - fall_capacitance : 1.543518; - rise_capacitance : 1.605417; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.569676; - fall_capacitance : 1.415435; - rise_capacitance : 1.569676; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.583349; - fall_capacitance : 1.583349; - rise_capacitance : 1.562054; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 22.163400; - function : "!(((C1 | C2) & A) & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.022958,0.024129,0.026421,0.030867,0.039493,0.056257,0.089062", \ - "0.024289,0.025469,0.027771,0.032244,0.040897,0.057693,0.090528", \ - "0.028456,0.029634,0.031946,0.036438,0.045133,0.061990,0.094889", \ - "0.035360,0.036698,0.039266,0.044136,0.053150,0.070037,0.103015", \ - "0.042094,0.043769,0.046950,0.052844,0.063452,0.082167,0.115745", \ - "0.047435,0.049470,0.053408,0.060599,0.073455,0.095514,0.132706", \ - "0.051339,0.053762,0.058394,0.066923,0.082088,0.108045,0.150839"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.013665,0.014565,0.016338,0.019820,0.026653,0.040098,0.066617", \ - "0.015233,0.016143,0.017932,0.021437,0.028303,0.041782,0.068326", \ - "0.021633,0.022502,0.024235,0.027678,0.034484,0.047920,0.074452", \ - "0.030706,0.032036,0.034555,0.039120,0.047022,0.060399,0.086645", \ - "0.040007,0.041729,0.045012,0.050990,0.061490,0.078922,0.106473", \ - "0.049900,0.051990,0.055985,0.063252,0.076110,0.097796,0.132399", \ - "0.060537,0.062972,0.067663,0.076186,0.091274,0.116877,0.158393"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012798,0.013736,0.015583,0.019232,0.026433,0.040651,0.068778", \ - "0.012800,0.013735,0.015584,0.019236,0.026435,0.040653,0.068780", \ - "0.012715,0.013663,0.015537,0.019215,0.026430,0.040652,0.068789", \ - "0.014983,0.015825,0.017484,0.020692,0.027119,0.040689,0.068777", \ - "0.019818,0.020683,0.022337,0.025509,0.031623,0.043662,0.069353", \ - "0.026391,0.027373,0.029225,0.032721,0.039094,0.050956,0.074224", \ - "0.034208,0.035366,0.037490,0.041455,0.048564,0.061096,0.084093"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012761,0.013747,0.015692,0.019478,0.026728,0.040377,0.066178", \ - "0.012762,0.013749,0.015690,0.019479,0.026729,0.040371,0.066188", \ - "0.013659,0.014467,0.016133,0.019570,0.026731,0.040370,0.066176", \ - "0.021621,0.022160,0.023222,0.025207,0.029942,0.041098,0.066185", \ - "0.032089,0.032741,0.034011,0.036523,0.041155,0.049302,0.068620", \ - "0.043388,0.044148,0.045642,0.048635,0.054268,0.064177,0.080514", \ - "0.055835,0.056712,0.058361,0.061756,0.068283,0.080075,0.099622"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.018854,0.020001,0.022243,0.026608,0.035100,0.051695,0.084313", \ - "0.020141,0.021299,0.023563,0.027960,0.036493,0.053127,0.085779", \ - "0.024274,0.025431,0.027696,0.032111,0.040696,0.057405,0.090126", \ - "0.030151,0.031576,0.034284,0.039330,0.048550,0.065425,0.098235", \ - "0.035210,0.037041,0.040509,0.046841,0.057986,0.077155,0.110944", \ - "0.038839,0.041082,0.045354,0.053109,0.066730,0.089651,0.127524", \ - "0.041070,0.043715,0.048765,0.057952,0.074053,0.101114,0.144942"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.013315,0.014217,0.015989,0.019469,0.026295,0.039722,0.066213", \ - "0.014881,0.015791,0.017581,0.021085,0.027945,0.041405,0.067924", \ - "0.021276,0.022162,0.023892,0.027331,0.034127,0.047544,0.074046", \ - "0.030134,0.031485,0.034041,0.038658,0.046627,0.060029,0.086237", \ - "0.039223,0.040967,0.044299,0.050339,0.060924,0.078454,0.106065", \ - "0.048877,0.050999,0.055048,0.062398,0.075358,0.097161,0.131879", \ - "0.059225,0.061723,0.066465,0.075109,0.090324,0.116064,0.157709"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010429,0.011351,0.013170,0.016786,0.023937,0.038091,0.066133", \ - "0.010423,0.011344,0.013172,0.016782,0.023936,0.038091,0.066134", \ - "0.010580,0.011448,0.013171,0.016762,0.023925,0.038088,0.066141", \ - "0.013428,0.014230,0.015796,0.018929,0.025073,0.038268,0.066127", \ - "0.018578,0.019431,0.021065,0.024171,0.030091,0.041868,0.066935", \ - "0.025226,0.026204,0.028065,0.031537,0.037850,0.049474,0.072377", \ - "0.033134,0.034274,0.036390,0.040334,0.047416,0.059827,0.082475"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010200,0.011089,0.012863,0.016368,0.023259,0.036642,0.062330", \ - "0.010196,0.011087,0.012862,0.016373,0.023266,0.036632,0.062329", \ - "0.011170,0.011871,0.013339,0.016478,0.023264,0.036643,0.062325", \ - "0.017711,0.018411,0.019727,0.022097,0.026562,0.037394,0.062332", \ - "0.025820,0.026730,0.028424,0.031552,0.036937,0.045689,0.064810", \ - "0.035307,0.036346,0.038329,0.042072,0.048698,0.059643,0.076774", \ - "0.046130,0.047283,0.049481,0.053712,0.061388,0.074463,0.095216"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.016612,0.017593,0.019510,0.023238,0.030484,0.044627,0.072406", \ - "0.017952,0.018944,0.020878,0.024635,0.031915,0.046092,0.073895", \ - "0.022862,0.023846,0.025775,0.029543,0.036870,0.051113,0.078983", \ - "0.029437,0.030793,0.033346,0.038013,0.046276,0.060804,0.088756", \ - "0.034475,0.036257,0.039628,0.045769,0.056507,0.074445,0.104070", \ - "0.037913,0.040103,0.044277,0.051863,0.065170,0.087427,0.123075", \ - "0.039865,0.042450,0.047400,0.056399,0.072168,0.098672,0.141246"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.014398,0.015294,0.017058,0.020525,0.027337,0.040752,0.067233", \ - "0.015971,0.016875,0.018656,0.022151,0.029001,0.042457,0.068968", \ - "0.022337,0.023208,0.024941,0.028374,0.035162,0.048576,0.075087", \ - "0.031770,0.033067,0.035527,0.039996,0.047765,0.061056,0.087264", \ - "0.041389,0.043079,0.046286,0.052159,0.062501,0.079738,0.107118", \ - "0.051599,0.053658,0.057555,0.064696,0.077390,0.098865,0.133215", \ - "0.062531,0.064941,0.069525,0.077934,0.092838,0.118210,0.159453"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.009423,0.010198,0.011725,0.014753,0.020755,0.032653,0.056201", \ - "0.009423,0.010195,0.011724,0.014755,0.020757,0.032650,0.056204", \ - "0.009648,0.010347,0.011767,0.014712,0.020750,0.032644,0.056199", \ - "0.013485,0.014135,0.015395,0.017837,0.022553,0.032991,0.056196", \ - "0.019181,0.019952,0.021418,0.024172,0.029192,0.038461,0.057702", \ - "0.026179,0.027086,0.028778,0.031991,0.037777,0.047924,0.066099", \ - "0.034437,0.035477,0.037425,0.041085,0.047739,0.059245,0.078861"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010700,0.011601,0.013390,0.016918,0.023835,0.037230,0.062934", \ - "0.010696,0.011601,0.013389,0.016922,0.023837,0.037224,0.062925", \ - "0.011445,0.012189,0.013724,0.016966,0.023839,0.037230,0.062935", \ - "0.017818,0.018531,0.019845,0.022230,0.026837,0.037865,0.062927", \ - "0.025901,0.026819,0.028537,0.031673,0.037068,0.045849,0.065223", \ - "0.035290,0.036349,0.038374,0.042152,0.048795,0.059752,0.076916", \ - "0.046017,0.047209,0.049444,0.053712,0.061426,0.074511,0.095266"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.018854,0.020001,0.022243,0.026608,0.035100,0.051695,0.084313", \ - "0.020141,0.021299,0.023563,0.027960,0.036493,0.053127,0.085779", \ - "0.024274,0.025431,0.027696,0.032111,0.040696,0.057405,0.090126", \ - "0.030151,0.031576,0.034284,0.039330,0.048550,0.065425,0.098235", \ - "0.035210,0.037041,0.040509,0.046841,0.057986,0.077155,0.110944", \ - "0.038839,0.041082,0.045354,0.053109,0.066730,0.089651,0.127524", \ - "0.041070,0.043715,0.048765,0.057952,0.074053,0.101114,0.144942"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.013315,0.014217,0.015989,0.019469,0.026295,0.039722,0.066213", \ - "0.014881,0.015791,0.017581,0.021085,0.027945,0.041405,0.067924", \ - "0.021276,0.022162,0.023892,0.027331,0.034127,0.047544,0.074046", \ - "0.030134,0.031485,0.034041,0.038658,0.046627,0.060029,0.086237", \ - "0.039223,0.040967,0.044299,0.050339,0.060924,0.078454,0.106065", \ - "0.048877,0.050999,0.055048,0.062398,0.075358,0.097161,0.131879", \ - "0.059225,0.061723,0.066465,0.075109,0.090324,0.116064,0.157709"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010429,0.011351,0.013170,0.016786,0.023937,0.038091,0.066133", \ - "0.010423,0.011344,0.013172,0.016782,0.023936,0.038091,0.066134", \ - "0.010580,0.011448,0.013171,0.016762,0.023925,0.038088,0.066141", \ - "0.013428,0.014230,0.015796,0.018929,0.025073,0.038268,0.066127", \ - "0.018578,0.019431,0.021065,0.024171,0.030091,0.041868,0.066935", \ - "0.025226,0.026204,0.028065,0.031537,0.037850,0.049474,0.072377", \ - "0.033134,0.034274,0.036390,0.040334,0.047416,0.059827,0.082475"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010200,0.011089,0.012863,0.016368,0.023259,0.036642,0.062330", \ - "0.010196,0.011087,0.012862,0.016373,0.023266,0.036632,0.062329", \ - "0.011170,0.011871,0.013339,0.016478,0.023264,0.036643,0.062325", \ - "0.017711,0.018411,0.019727,0.022097,0.026562,0.037394,0.062332", \ - "0.025820,0.026730,0.028424,0.031552,0.036937,0.045689,0.064810", \ - "0.035307,0.036346,0.038329,0.042072,0.048698,0.059643,0.076774", \ - "0.046130,0.047283,0.049481,0.053712,0.061388,0.074463,0.095216"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.015185,0.016267,0.018400,0.022603,0.030897,0.047290,0.079738", \ - "0.016401,0.017505,0.019674,0.023927,0.032276,0.048716,0.081203", \ - "0.020241,0.021447,0.023694,0.028007,0.036433,0.052966,0.085541", \ - "0.024581,0.026156,0.029103,0.034441,0.043932,0.060945,0.093628", \ - "0.027602,0.029677,0.033546,0.040473,0.052346,0.072150,0.106298", \ - "0.029256,0.031833,0.036600,0.045116,0.059728,0.083680,0.122416", \ - "0.029592,0.032680,0.038334,0.048403,0.065657,0.094019,0.139083"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012968,0.013870,0.015643,0.019120,0.025939,0.039348,0.065811", \ - "0.014531,0.015443,0.017233,0.020736,0.027589,0.041032,0.067517", \ - "0.020908,0.021826,0.023551,0.026986,0.033772,0.047172,0.073632", \ - "0.029564,0.030935,0.033524,0.038189,0.046226,0.059662,0.085827", \ - "0.038436,0.040205,0.043578,0.049682,0.060345,0.077974,0.105661", \ - "0.047846,0.049999,0.054104,0.061532,0.074594,0.096513,0.131360", \ - "0.057912,0.060443,0.065255,0.074008,0.089340,0.115223,0.157024"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007889,0.008816,0.010642,0.014260,0.021422,0.035566,0.063597", \ - "0.007890,0.008814,0.010641,0.014265,0.021422,0.035572,0.063596", \ - "0.008603,0.009390,0.011011,0.014356,0.021420,0.035574,0.063594", \ - "0.012018,0.012780,0.014277,0.017248,0.023214,0.035971,0.063601", \ - "0.017484,0.018321,0.019927,0.022961,0.028701,0.040152,0.064682", \ - "0.024391,0.025328,0.027122,0.030521,0.036714,0.048119,0.070642", \ - "0.032543,0.033578,0.035602,0.039439,0.046405,0.058657,0.081025"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.008676,0.009498,0.011124,0.014355,0.020763,0.033474,0.058644", \ - "0.008677,0.009500,0.011124,0.014355,0.020759,0.033455,0.058624", \ - "0.009719,0.010342,0.011647,0.014488,0.020768,0.033470,0.058628", \ - "0.015220,0.015969,0.017357,0.019831,0.024131,0.034259,0.058619", \ - "0.021386,0.022419,0.024310,0.027712,0.033431,0.042553,0.061134", \ - "0.028396,0.029679,0.032045,0.036324,0.043619,0.055317,0.073159", \ - "0.036483,0.038033,0.040849,0.045947,0.054673,0.068903,0.090805"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.013579,0.014499,0.016311,0.019882,0.026929,0.040854,0.068419", \ - "0.014859,0.015797,0.017640,0.021254,0.028347,0.042315,0.069910", \ - "0.019391,0.020443,0.022416,0.026096,0.033263,0.047317,0.074989", \ - "0.024076,0.025591,0.028415,0.033476,0.042184,0.056973,0.084750", \ - "0.027043,0.029061,0.032817,0.039547,0.051057,0.069801,0.100036", \ - "0.028528,0.031039,0.035691,0.044001,0.058264,0.081598,0.118288", \ - "0.028618,0.031605,0.037127,0.046976,0.063879,0.091654,0.135501"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.014051,0.014946,0.016711,0.020175,0.026981,0.040375,0.066812", \ - "0.015621,0.016526,0.018307,0.021800,0.028643,0.042078,0.068550", \ - "0.022000,0.022868,0.024598,0.028027,0.034807,0.048199,0.074664", \ - "0.031221,0.032537,0.035028,0.039539,0.047374,0.060687,0.086850", \ - "0.040640,0.042348,0.045594,0.051520,0.061937,0.079267,0.106710", \ - "0.050629,0.052709,0.056657,0.063860,0.076640,0.098221,0.132700", \ - "0.061287,0.063732,0.068376,0.076859,0.091880,0.117389,0.158777"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007221,0.008003,0.009537,0.012584,0.018592,0.030473,0.053971", \ - "0.007223,0.008000,0.009541,0.012580,0.018589,0.030459,0.053960", \ - "0.008138,0.008747,0.010020,0.012735,0.018596,0.030460,0.053959", \ - "0.012379,0.013032,0.014286,0.016686,0.021281,0.031146,0.053962", \ - "0.018253,0.019001,0.020444,0.023178,0.028173,0.037302,0.055939", \ - "0.025519,0.026348,0.027972,0.031105,0.036828,0.046925,0.064895", \ - "0.034035,0.034968,0.036790,0.040309,0.046805,0.058250,0.077774"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.009153,0.009988,0.011625,0.014875,0.021311,0.034024,0.059210", \ - "0.009153,0.009986,0.011626,0.014875,0.021314,0.034031,0.059216", \ - "0.009958,0.010623,0.011999,0.014943,0.021312,0.034033,0.059229", \ - "0.015443,0.016185,0.017553,0.020012,0.024380,0.034695,0.059202", \ - "0.021681,0.022693,0.024564,0.027933,0.033617,0.042701,0.061545", \ - "0.028704,0.029965,0.032319,0.036560,0.043803,0.055462,0.073284", \ - "0.036805,0.038334,0.041105,0.046153,0.054825,0.068999,0.090875"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.015834,0.016809,0.018711,0.022409,0.029592,0.043608,0.071141", \ - "0.017214,0.018198,0.020118,0.023844,0.031060,0.045111,0.072666", \ - "0.021374,0.022374,0.024293,0.028020,0.035274,0.049386,0.077005", \ - "0.026593,0.027864,0.030271,0.034722,0.042780,0.057319,0.085029", \ - "0.030727,0.032385,0.035513,0.041196,0.051162,0.068109,0.097503", \ - "0.033206,0.035255,0.039148,0.046193,0.058481,0.079007,0.112538", \ - "0.033959,0.036395,0.041055,0.049477,0.064134,0.088560,0.127800"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.013256,0.014157,0.015930,0.019408,0.026234,0.039662,0.066150", \ - "0.014778,0.015688,0.017476,0.020980,0.027838,0.041297,0.067815", \ - "0.021220,0.022106,0.023828,0.027254,0.034037,0.047441,0.073935", \ - "0.030217,0.031554,0.034094,0.038685,0.046623,0.059993,0.086170", \ - "0.039600,0.041331,0.044620,0.050609,0.061118,0.078562,0.106099", \ - "0.049779,0.051859,0.055854,0.063096,0.075925,0.097565,0.132111", \ - "0.060918,0.063355,0.068036,0.076492,0.091483,0.116948,0.158306"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.008693,0.009468,0.011008,0.014055,0.020089,0.032049,0.055747", \ - "0.008689,0.009466,0.011007,0.014053,0.020090,0.032050,0.055754", \ - "0.009027,0.009733,0.011152,0.014050,0.020073,0.032046,0.055749", \ - "0.011914,0.012593,0.013914,0.016529,0.021725,0.032514,0.055739", \ - "0.016843,0.017592,0.019009,0.021682,0.026741,0.036652,0.057249", \ - "0.023169,0.024042,0.025672,0.028714,0.034183,0.044139,0.063486", \ - "0.030729,0.031753,0.033623,0.037111,0.043320,0.054076,0.073457"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010202,0.011092,0.012863,0.016371,0.023266,0.036642,0.062333", \ - "0.010198,0.011092,0.012863,0.016371,0.023265,0.036637,0.062326", \ - "0.011199,0.011894,0.013358,0.016490,0.023264,0.036643,0.062326", \ - "0.017658,0.018369,0.019694,0.022086,0.026564,0.037403,0.062335", \ - "0.025563,0.026482,0.028211,0.031376,0.036821,0.045629,0.064801", \ - "0.034718,0.035788,0.037813,0.041605,0.048331,0.059398,0.076652", \ - "0.045169,0.046335,0.048567,0.052850,0.060646,0.073903,0.094861"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012887,0.013799,0.015596,0.019141,0.026137,0.039969,0.067347", \ - "0.014208,0.015137,0.016965,0.020551,0.027594,0.041464,0.068873", \ - "0.017917,0.018958,0.020957,0.024655,0.031764,0.045718,0.073198", \ - "0.021696,0.023110,0.025745,0.030491,0.038831,0.053598,0.081197", \ - "0.023921,0.025809,0.029314,0.035557,0.046200,0.063766,0.093558", \ - "0.024510,0.026875,0.031248,0.039012,0.052234,0.073735,0.108090", \ - "0.023475,0.026300,0.031527,0.040796,0.056569,0.082235,0.122619"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012908,0.013810,0.015583,0.019060,0.025879,0.039287,0.065743", \ - "0.014429,0.015339,0.017129,0.020630,0.027482,0.040924,0.067410", \ - "0.020851,0.021771,0.023488,0.026910,0.033682,0.047070,0.073524", \ - "0.029648,0.031004,0.033578,0.038220,0.046225,0.059627,0.085761", \ - "0.038823,0.040576,0.043904,0.049953,0.060545,0.078083,0.105695", \ - "0.048767,0.050885,0.054924,0.062242,0.075168,0.096918,0.131593", \ - "0.059635,0.062108,0.066844,0.075403,0.090517,0.116122,0.157621"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.006552,0.007326,0.008868,0.011921,0.017965,0.029932,0.053631", \ - "0.006551,0.007326,0.008867,0.011921,0.017965,0.029931,0.053634", \ - "0.007473,0.008121,0.009429,0.012175,0.017977,0.029931,0.053634", \ - "0.010782,0.011433,0.012711,0.015211,0.020244,0.030682,0.053627", \ - "0.015980,0.016711,0.018110,0.020726,0.025635,0.035284,0.055492", \ - "0.022571,0.023385,0.024967,0.027943,0.033313,0.043070,0.062115", \ - "0.030321,0.031268,0.033067,0.036471,0.042554,0.053173,0.072290"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.008677,0.009499,0.011125,0.014353,0.020763,0.033475,0.058632", \ - "0.008677,0.009497,0.011125,0.014354,0.020763,0.033460,0.058624", \ - "0.009744,0.010363,0.011668,0.014499,0.020765,0.033472,0.058618", \ - "0.015174,0.015931,0.017328,0.019822,0.024131,0.034264,0.058620", \ - "0.021172,0.022210,0.024119,0.027549,0.033321,0.042498,0.061124", \ - "0.027917,0.029208,0.031599,0.035916,0.043280,0.055080,0.073032", \ - "0.035722,0.037257,0.040068,0.045185,0.053994,0.068361,0.090456"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.011230,0.011970,0.013429,0.016306,0.021981,0.033200,0.055407", \ - "0.012643,0.013399,0.014882,0.017793,0.023506,0.034757,0.056987", \ - "0.017116,0.018026,0.019738,0.022858,0.028647,0.039972,0.062269", \ - "0.021120,0.022472,0.024988,0.029484,0.037151,0.049869,0.072346", \ - "0.023263,0.025086,0.028480,0.034523,0.044796,0.061417,0.087652", \ - "0.023639,0.025941,0.030193,0.037754,0.050618,0.071489,0.103990", \ - "0.022292,0.025043,0.030134,0.039185,0.054589,0.079650,0.118800"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.013991,0.014886,0.016651,0.020115,0.026920,0.040313,0.066753", \ - "0.015513,0.016418,0.018198,0.021690,0.028532,0.041968,0.068443", \ - "0.021944,0.022808,0.024531,0.027948,0.034712,0.048091,0.074549", \ - "0.031309,0.032620,0.035084,0.039577,0.047374,0.060655,0.086782", \ - "0.041024,0.042713,0.045929,0.051801,0.062150,0.079395,0.106757", \ - "0.051518,0.053570,0.057454,0.064574,0.077238,0.098663,0.132967", \ - "0.062974,0.065367,0.069944,0.078261,0.093062,0.118319,0.159430"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.005680,0.006309,0.007547,0.010003,0.014849,0.024436,0.043412", \ - "0.005680,0.006308,0.007547,0.010002,0.014848,0.024435,0.043409", \ - "0.006988,0.007479,0.008412,0.010429,0.014881,0.024440,0.043409", \ - "0.011064,0.011620,0.012686,0.014687,0.018433,0.025861,0.043419", \ - "0.016620,0.017264,0.018514,0.020864,0.025111,0.032678,0.047033", \ - "0.023533,0.024261,0.025673,0.028376,0.033310,0.041904,0.056843", \ - "0.031666,0.032477,0.034073,0.037180,0.042829,0.052660,0.069259"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.009152,0.009988,0.011625,0.014877,0.021306,0.034029,0.059209", \ - "0.009153,0.009987,0.011625,0.014876,0.021311,0.034022,0.059215", \ - "0.009986,0.010641,0.012016,0.014946,0.021309,0.034026,0.059225", \ - "0.015393,0.016140,0.017520,0.019990,0.024375,0.034705,0.059204", \ - "0.021465,0.022486,0.024376,0.027772,0.033506,0.042632,0.061530", \ - "0.028237,0.029503,0.031870,0.036145,0.043457,0.055211,0.073140", \ - "0.036041,0.037569,0.040332,0.045389,0.054142,0.068448,0.090493"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.021013,0.022159,0.024401,0.028766,0.037259,0.053854,0.086472", \ - "0.022537,0.023696,0.025960,0.030359,0.038893,0.055528,0.088176", \ - "0.025766,0.026934,0.029220,0.033659,0.042263,0.058982,0.091708", \ - "0.029429,0.030733,0.033247,0.038028,0.047048,0.063936,0.096744", \ - "0.032468,0.034018,0.036965,0.042432,0.052407,0.070617,0.104284", \ - "0.033332,0.035289,0.038972,0.045648,0.057390,0.077649,0.113609", \ - "0.031206,0.033613,0.038186,0.046383,0.060533,0.084107,0.123371"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.034087,0.036024,0.039830,0.047280,0.061843,0.090374,0.146355", \ - "0.034893,0.036843,0.040672,0.048152,0.062754,0.091313,0.147335", \ - "0.039744,0.041679,0.045482,0.052937,0.067520,0.096085,0.152146", \ - "0.051393,0.053185,0.056816,0.064041,0.078287,0.106494,0.162234", \ - "0.066841,0.069186,0.073662,0.081944,0.096841,0.124241,0.179184", \ - "0.083729,0.086462,0.091774,0.101602,0.119427,0.150363,0.204467", \ - "0.102457,0.105581,0.111595,0.122900,0.143355,0.179212,0.239169"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010425,0.011346,0.013176,0.016785,0.023936,0.038087,0.066143", \ - "0.010425,0.011352,0.013171,0.016787,0.023937,0.038091,0.066141", \ - "0.010452,0.011340,0.013158,0.016774,0.023927,0.038091,0.066139", \ - "0.011977,0.012856,0.014596,0.018031,0.024707,0.038258,0.066135", \ - "0.015347,0.016161,0.017769,0.020983,0.027457,0.040576,0.066900", \ - "0.021064,0.021902,0.023514,0.026610,0.032612,0.044897,0.070453", \ - "0.028520,0.029469,0.031219,0.034519,0.040571,0.052166,0.076279"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.028540,0.030353,0.033919,0.040872,0.054364,0.080532,0.131714", \ - "0.028544,0.030353,0.033918,0.040870,0.054365,0.080529,0.131725", \ - "0.028537,0.030348,0.033911,0.040868,0.054357,0.080531,0.131723", \ - "0.030096,0.031650,0.034769,0.041153,0.054349,0.080522,0.131718", \ - "0.038174,0.039620,0.042350,0.047333,0.057909,0.081013,0.131710", \ - "0.047546,0.049224,0.052412,0.058384,0.069094,0.088559,0.132707", \ - "0.057514,0.059420,0.063080,0.069939,0.082303,0.103605,0.142100"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.017339,0.018421,0.020554,0.024761,0.033055,0.049449,0.081901", \ - "0.018781,0.019889,0.022063,0.026320,0.034673,0.051118,0.083602", \ - "0.021814,0.022965,0.025198,0.029536,0.037991,0.054545,0.087124", \ - "0.024684,0.026029,0.028602,0.033449,0.042533,0.059464,0.092141", \ - "0.026228,0.027961,0.031196,0.037033,0.047349,0.065804,0.099650", \ - "0.024987,0.027248,0.031429,0.038832,0.051399,0.072319,0.108656", \ - "0.020556,0.023383,0.028630,0.037825,0.053210,0.077940,0.118026"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.033256,0.035194,0.038999,0.046440,0.060965,0.089397,0.145335", \ - "0.034058,0.036012,0.039838,0.047308,0.061873,0.090338,0.146305", \ - "0.038917,0.040849,0.044652,0.052096,0.066644,0.095113,0.151089", \ - "0.050568,0.052398,0.056007,0.063224,0.077426,0.105530,0.161168", \ - "0.065729,0.068086,0.072614,0.080966,0.095950,0.123320,0.178101", \ - "0.082303,0.085081,0.090444,0.100347,0.118267,0.149306,0.203401", \ - "0.100730,0.103890,0.109963,0.121342,0.141906,0.177872,0.238056"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007889,0.008812,0.010645,0.014265,0.021419,0.035571,0.063604", \ - "0.007889,0.008812,0.010646,0.014259,0.021421,0.035569,0.063601", \ - "0.008206,0.009060,0.010783,0.014287,0.021418,0.035569,0.063600", \ - "0.010022,0.010854,0.012527,0.015878,0.022539,0.035892,0.063598", \ - "0.014054,0.014829,0.016354,0.019375,0.025558,0.038440,0.064563", \ - "0.020224,0.021043,0.022613,0.025585,0.031283,0.043112,0.068323", \ - "0.028125,0.029008,0.030693,0.033879,0.039712,0.050834,0.074400"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.022048,0.023744,0.027102,0.033730,0.046835,0.072748,0.124037", \ - "0.022048,0.023748,0.027099,0.033732,0.046839,0.072726,0.124021", \ - "0.022038,0.023739,0.027096,0.033728,0.046832,0.072726,0.123991", \ - "0.023704,0.025127,0.028017,0.034020,0.046824,0.072715,0.123945", \ - "0.030464,0.032027,0.034953,0.040322,0.050487,0.073253,0.123915", \ - "0.037692,0.039575,0.043110,0.049614,0.061067,0.080880,0.124914", \ - "0.045361,0.047565,0.051716,0.059347,0.072768,0.095342,0.134445"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.015726,0.016645,0.018458,0.022031,0.029078,0.043004,0.070569", \ - "0.017190,0.018132,0.019979,0.023596,0.030693,0.044662,0.072256", \ - "0.020449,0.021465,0.023387,0.027083,0.034270,0.048336,0.076011", \ - "0.023790,0.025027,0.027369,0.031723,0.039709,0.054349,0.082119", \ - "0.025466,0.027133,0.030234,0.035802,0.045435,0.062011,0.091464", \ - "0.024194,0.026390,0.030450,0.037649,0.049809,0.069586,0.102301", \ - "0.019660,0.022418,0.027536,0.036526,0.051558,0.075570,0.113202"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.035882,0.037789,0.041545,0.048908,0.063346,0.091678,0.147542", \ - "0.036700,0.038621,0.042397,0.049795,0.064276,0.092640,0.148520", \ - "0.041574,0.043476,0.047228,0.054598,0.069055,0.097442,0.153324", \ - "0.053141,0.054951,0.058585,0.065727,0.079870,0.107885,0.163418", \ - "0.069041,0.071311,0.075696,0.083815,0.098462,0.125743,0.180425", \ - "0.086230,0.088890,0.094117,0.103796,0.121361,0.151972,0.205853", \ - "0.105237,0.108296,0.114214,0.125352,0.145553,0.181098,0.240741"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007223,0.008002,0.009540,0.012584,0.018592,0.030463,0.053958", \ - "0.007223,0.008002,0.009538,0.012582,0.018592,0.030474,0.053967", \ - "0.007633,0.008340,0.009761,0.012643,0.018589,0.030469,0.053967", \ - "0.009935,0.010612,0.011956,0.014662,0.020099,0.030988,0.053960", \ - "0.014541,0.015208,0.016497,0.019004,0.023993,0.034312,0.055485", \ - "0.021099,0.021808,0.023186,0.025796,0.030697,0.040295,0.060430", \ - "0.029335,0.030097,0.031565,0.034399,0.039655,0.049254,0.068248"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.023037,0.024760,0.028155,0.034838,0.048008,0.073985,0.125313", \ - "0.023035,0.024757,0.028151,0.034836,0.048006,0.073981,0.125341", \ - "0.023033,0.024755,0.028144,0.034833,0.048006,0.073998,0.125295", \ - "0.024374,0.025852,0.028817,0.035017,0.048003,0.073977,0.125265", \ - "0.031136,0.032679,0.035577,0.040852,0.051259,0.074390,0.125221", \ - "0.038512,0.040389,0.043866,0.050315,0.061684,0.081606,0.126091", \ - "0.046296,0.048481,0.052579,0.060139,0.073472,0.095927,0.135216"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.024752,0.025916,0.028192,0.032623,0.041229,0.057976,0.090770", \ - "0.026069,0.027235,0.029515,0.033955,0.042568,0.059323,0.092123", \ - "0.029376,0.030548,0.032838,0.037291,0.045928,0.062716,0.095542", \ - "0.033548,0.034816,0.037276,0.041976,0.050902,0.067774,0.100638", \ - "0.037493,0.038947,0.041738,0.046996,0.056735,0.074748,0.108262", \ - "0.039871,0.041652,0.045036,0.051269,0.062479,0.082264,0.117891", \ - "0.039761,0.041937,0.046092,0.053624,0.066880,0.089543,0.128115"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.037966,0.039901,0.043704,0.051149,0.065706,0.094226,0.150221", \ - "0.039532,0.041473,0.045283,0.052740,0.067309,0.095854,0.151832", \ - "0.044863,0.046810,0.050634,0.058112,0.072715,0.101300,0.157341", \ - "0.054120,0.056053,0.059835,0.067267,0.081849,0.110415,0.166458", \ - "0.066304,0.068586,0.072943,0.081141,0.096442,0.124880,0.180830", \ - "0.080588,0.083158,0.088101,0.097385,0.114521,0.145519,0.201653", \ - "0.097430,0.100276,0.105791,0.116234,0.135151,0.169195,0.229536"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012797,0.013734,0.015582,0.019230,0.026431,0.040652,0.068787", \ - "0.012797,0.013735,0.015583,0.019236,0.026433,0.040655,0.068783", \ - "0.012803,0.013732,0.015574,0.019228,0.026429,0.040651,0.068784", \ - "0.014111,0.015009,0.016789,0.020262,0.027054,0.040788,0.068782", \ - "0.016983,0.017847,0.019553,0.022920,0.029597,0.042858,0.069452", \ - "0.022208,0.023079,0.024744,0.027968,0.034293,0.046954,0.072780", \ - "0.029225,0.030186,0.031980,0.035363,0.041632,0.053735,0.078408"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.028543,0.030352,0.033919,0.040872,0.054360,0.080532,0.131727", \ - "0.028543,0.030353,0.033916,0.040870,0.054363,0.080532,0.131711", \ - "0.028535,0.030348,0.033912,0.040868,0.054362,0.080529,0.131727", \ - "0.029377,0.031042,0.034362,0.040990,0.054350,0.080520,0.131707", \ - "0.034768,0.036296,0.039276,0.044972,0.056631,0.080921,0.131716", \ - "0.041573,0.043191,0.046313,0.052375,0.063878,0.085779,0.132585", \ - "0.049141,0.050840,0.054140,0.060543,0.072685,0.095389,0.138658"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.020699,0.021838,0.024068,0.028420,0.036907,0.053514,0.086185", \ - "0.022005,0.023148,0.025385,0.029746,0.038243,0.054861,0.087536", \ - "0.025256,0.026412,0.028669,0.033058,0.041591,0.058244,0.090950", \ - "0.028853,0.030152,0.032657,0.037416,0.046394,0.063277,0.096032", \ - "0.031722,0.033296,0.036274,0.041769,0.051760,0.069962,0.103618", \ - "0.032408,0.034400,0.038154,0.044909,0.056720,0.077021,0.112966", \ - "0.030436,0.032881,0.037523,0.045786,0.059987,0.083591,0.122827"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.037134,0.039071,0.042873,0.050309,0.064828,0.093254,0.149204", \ - "0.038699,0.040641,0.044450,0.051898,0.066431,0.094874,0.150830", \ - "0.044032,0.045978,0.049801,0.057268,0.071838,0.100319,0.156309", \ - "0.053288,0.055232,0.059015,0.066432,0.080977,0.109447,0.165411", \ - "0.065289,0.067584,0.071967,0.080198,0.095535,0.123947,0.179743", \ - "0.079380,0.081956,0.086944,0.096283,0.113460,0.144519,0.200577", \ - "0.095988,0.098869,0.104430,0.114933,0.133926,0.168051,0.228402"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010447,0.011369,0.013197,0.016818,0.023985,0.038166,0.066269", \ - "0.010443,0.011369,0.013197,0.016822,0.023986,0.038170,0.066270", \ - "0.010616,0.011503,0.013266,0.016834,0.023983,0.038169,0.066270", \ - "0.012117,0.012991,0.014725,0.018151,0.024866,0.038417,0.066268", \ - "0.015542,0.016359,0.017962,0.021175,0.027626,0.040718,0.067105", \ - "0.021206,0.022048,0.023657,0.026757,0.032792,0.045080,0.070633", \ - "0.028502,0.029437,0.031186,0.034496,0.040594,0.052268,0.076472"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.022047,0.023743,0.027106,0.033731,0.046827,0.072747,0.124050", \ - "0.022047,0.023743,0.027102,0.033734,0.046835,0.072749,0.124047", \ - "0.022037,0.023738,0.027098,0.033729,0.046827,0.072720,0.124027", \ - "0.022928,0.024481,0.027585,0.033876,0.046819,0.072719,0.123946", \ - "0.027442,0.029016,0.032056,0.037877,0.049161,0.073147,0.123924", \ - "0.032807,0.034519,0.037818,0.044127,0.055969,0.078087,0.124783", \ - "0.038872,0.040743,0.044326,0.051150,0.063845,0.087252,0.130914"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.018456,0.019428,0.021331,0.025042,0.032269,0.046397,0.074166", \ - "0.019800,0.020776,0.022685,0.026403,0.033640,0.047776,0.075552", \ - "0.023300,0.024295,0.026226,0.029971,0.037241,0.051410,0.079210", \ - "0.027523,0.028692,0.030932,0.035153,0.042984,0.057513,0.085370", \ - "0.030706,0.032210,0.035040,0.040209,0.049403,0.065612,0.094822", \ - "0.031385,0.033322,0.036964,0.043523,0.054871,0.073884,0.106052", \ - "0.029290,0.031691,0.036218,0.044304,0.058151,0.080937,0.117546"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.039770,0.041677,0.045426,0.052784,0.067209,0.095541,0.151367", \ - "0.041357,0.043269,0.047028,0.054400,0.068845,0.097196,0.153038", \ - "0.046682,0.048601,0.052371,0.059764,0.074244,0.102642,0.158527", \ - "0.055954,0.057861,0.061580,0.068935,0.083383,0.111755,0.167623", \ - "0.068450,0.070672,0.074901,0.082960,0.098043,0.126285,0.181981", \ - "0.083040,0.085533,0.090376,0.099488,0.116355,0.147081,0.202903", \ - "0.100182,0.102968,0.108380,0.118618,0.137277,0.171022,0.230957"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.009426,0.010198,0.011725,0.014757,0.020757,0.032644,0.056198", \ - "0.009425,0.010195,0.011727,0.014757,0.020758,0.032651,0.056200", \ - "0.009665,0.010393,0.011851,0.014792,0.020760,0.032643,0.056195", \ - "0.011610,0.012315,0.013711,0.016484,0.021966,0.033064,0.056202", \ - "0.015773,0.016455,0.017779,0.020383,0.025589,0.036108,0.057537", \ - "0.021905,0.022633,0.024042,0.026741,0.031827,0.041761,0.062241", \ - "0.029534,0.030352,0.031908,0.034879,0.040318,0.050257,0.069783"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.023039,0.024757,0.028154,0.034837,0.048020,0.073979,0.125309", \ - "0.023037,0.024755,0.028154,0.034834,0.048009,0.073983,0.125335", \ - "0.023032,0.024753,0.028147,0.034833,0.048009,0.073986,0.125311", \ - "0.023752,0.025348,0.028512,0.034908,0.048011,0.073978,0.125272", \ - "0.028217,0.029795,0.032844,0.038665,0.050132,0.074321,0.125235", \ - "0.033608,0.035324,0.038627,0.044946,0.056822,0.079058,0.126019", \ - "0.039651,0.041522,0.045104,0.051945,0.064673,0.088144,0.131970"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.015085,0.016239,0.018491,0.022871,0.031387,0.048019,0.080703", \ - "0.016387,0.017548,0.019817,0.024225,0.032778,0.049446,0.082159", \ - "0.021255,0.022325,0.024492,0.028847,0.037382,0.054057,0.086786", \ - "0.027400,0.028972,0.031942,0.037350,0.046769,0.063238,0.095777", \ - "0.031359,0.033402,0.037240,0.044287,0.056586,0.077104,0.110476", \ - "0.033079,0.035559,0.040288,0.048883,0.063971,0.089269,0.129930", \ - "0.032378,0.035323,0.040889,0.051037,0.068879,0.098799,0.147183"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.020412,0.022312,0.026038,0.033334,0.047632,0.075796,0.131449", \ - "0.021240,0.023167,0.026942,0.034337,0.048780,0.077094,0.132874", \ - "0.026418,0.028247,0.031890,0.039129,0.053472,0.081806,0.137681", \ - "0.036087,0.038393,0.042698,0.050442,0.064286,0.092101,0.147628", \ - "0.046674,0.049520,0.054856,0.064589,0.081539,0.109859,0.164425", \ - "0.058745,0.062098,0.068341,0.079801,0.100011,0.133982,0.189578", \ - "0.072451,0.076264,0.083459,0.096549,0.119687,0.159035,0.222999"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010457,0.011379,0.013201,0.016822,0.023983,0.038167,0.066270", \ - "0.010454,0.011381,0.013209,0.016818,0.023985,0.038166,0.066273", \ - "0.010682,0.011496,0.013161,0.016670,0.023972,0.038166,0.066269", \ - "0.015512,0.016328,0.017875,0.020781,0.026140,0.038384,0.066268", \ - "0.022235,0.023241,0.025142,0.028594,0.034690,0.045377,0.067677", \ - "0.030610,0.031843,0.034080,0.038194,0.045415,0.057647,0.078341", \ - "0.040609,0.042066,0.044769,0.049620,0.057962,0.072020,0.095226"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.019574,0.021474,0.025175,0.032316,0.045943,0.072099,0.123110", \ - "0.019572,0.021472,0.025178,0.032315,0.045940,0.072101,0.123106", \ - "0.019796,0.021520,0.025151,0.032312,0.045943,0.072097,0.123104", \ - "0.026197,0.027156,0.029457,0.034718,0.046367,0.072100,0.123100", \ - "0.035051,0.036403,0.039071,0.044180,0.053324,0.074056,0.123104", \ - "0.045478,0.046880,0.049677,0.055308,0.065860,0.084349,0.125464", \ - "0.057746,0.059103,0.061966,0.067843,0.079406,0.100388,0.137167"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.011381,0.012466,0.014605,0.018819,0.027121,0.043518,0.075974", \ - "0.012612,0.013714,0.015881,0.020140,0.028494,0.044941,0.077426", \ - "0.017094,0.018324,0.020613,0.024763,0.033087,0.049540,0.082049", \ - "0.020998,0.022773,0.026074,0.031996,0.042070,0.058769,0.091058", \ - "0.022848,0.025141,0.029424,0.037113,0.050266,0.071784,0.105822", \ - "0.022424,0.025269,0.030563,0.039985,0.056097,0.082594,0.124496", \ - "0.019583,0.022939,0.029209,0.040393,0.059486,0.090828,0.140636"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.019690,0.021587,0.025305,0.032579,0.046848,0.074934,0.130438", \ - "0.020513,0.022433,0.026202,0.033578,0.047993,0.076235,0.131863", \ - "0.025715,0.027532,0.031159,0.038376,0.052681,0.080934,0.136664", \ - "0.035110,0.037457,0.041822,0.049656,0.063512,0.091236,0.146604", \ - "0.045368,0.048277,0.053697,0.063531,0.080607,0.109014,0.163406", \ - "0.057115,0.060519,0.066871,0.078448,0.098810,0.132944,0.188574", \ - "0.070371,0.074302,0.081642,0.094900,0.118200,0.157724,0.221854"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.007874,0.008807,0.010637,0.014266,0.021423,0.035575,0.063599", \ - "0.007844,0.008787,0.010632,0.014265,0.021415,0.035568,0.063603", \ - "0.009261,0.009894,0.011277,0.014385,0.021386,0.035569,0.063600", \ - "0.014321,0.015140,0.016690,0.019558,0.024811,0.036208,0.063590", \ - "0.021139,0.022147,0.024032,0.027474,0.033574,0.044204,0.065625", \ - "0.029682,0.030883,0.033120,0.037191,0.044318,0.056517,0.077152", \ - "0.039857,0.041316,0.043985,0.048779,0.056976,0.070892,0.094039"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.014371,0.016041,0.019345,0.025895,0.038841,0.064512,0.115383", \ - "0.014375,0.016042,0.019342,0.025892,0.038841,0.064523,0.115385", \ - "0.014659,0.016125,0.019309,0.025880,0.038858,0.064516,0.115387", \ - "0.020042,0.021382,0.023752,0.028410,0.039309,0.064505,0.115377", \ - "0.026451,0.028050,0.031076,0.036679,0.046378,0.066531,0.115370", \ - "0.034174,0.035970,0.039359,0.045831,0.057424,0.076933,0.117773", \ - "0.043493,0.045421,0.049164,0.056258,0.069282,0.091831,0.129554"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010100,0.011016,0.012819,0.016372,0.023376,0.037214,0.064596", \ - "0.011389,0.012318,0.014144,0.017733,0.024780,0.038655,0.066066", \ - "0.015712,0.016838,0.018928,0.022616,0.029611,0.043474,0.070892", \ - "0.018929,0.020567,0.023617,0.029058,0.038274,0.053158,0.080337", \ - "0.019938,0.022078,0.026068,0.033202,0.045321,0.065036,0.095754", \ - "0.018423,0.021103,0.026078,0.034892,0.049878,0.074299,0.112632", \ - "0.014270,0.017435,0.023351,0.033874,0.051737,0.080835,0.126641"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.019678,0.021576,0.025294,0.032568,0.046835,0.074926,0.130433", \ - "0.020416,0.022336,0.026105,0.033487,0.047903,0.076160,0.131792", \ - "0.025626,0.027437,0.031050,0.038246,0.052535,0.080787,0.136522", \ - "0.035177,0.037513,0.041858,0.049661,0.063475,0.091147,0.146484", \ - "0.045723,0.048608,0.053985,0.063774,0.080782,0.109099,0.163418", \ - "0.057928,0.061285,0.067565,0.079072,0.099321,0.133317,0.188810", \ - "0.071845,0.075725,0.082957,0.096049,0.119196,0.158523,0.222426"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.006543,0.007326,0.008866,0.011924,0.017969,0.029933,0.053629", \ - "0.006535,0.007317,0.008867,0.011923,0.017969,0.029932,0.053628", \ - "0.008398,0.008996,0.010053,0.012425,0.017940,0.029933,0.053629", \ - "0.013408,0.014144,0.015522,0.018032,0.022542,0.031374,0.053628", \ - "0.020079,0.020998,0.022691,0.025775,0.031202,0.040414,0.057370", \ - "0.028475,0.029575,0.031601,0.035296,0.041676,0.052525,0.070438", \ - "0.038493,0.039803,0.042276,0.046662,0.054092,0.066548,0.087052"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.014374,0.016042,0.019341,0.025890,0.038858,0.064514,0.115381", \ - "0.014374,0.016040,0.019343,0.025888,0.038864,0.064536,0.115381", \ - "0.014677,0.016141,0.019306,0.025882,0.038856,0.064537,0.115384", \ - "0.020011,0.021356,0.023734,0.028408,0.039317,0.064514,0.115377", \ - "0.026263,0.027878,0.030923,0.036556,0.046296,0.066507,0.115371", \ - "0.033773,0.035558,0.038980,0.045496,0.057165,0.076756,0.117733", \ - "0.042825,0.044751,0.048490,0.055635,0.068763,0.091449,0.129327"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.018827,0.019988,0.022266,0.026695,0.035300,0.052047,0.084838", \ - "0.019888,0.021055,0.023335,0.027772,0.036385,0.053144,0.085943", \ - "0.024471,0.025626,0.027902,0.032330,0.040925,0.057662,0.090442", \ - "0.032284,0.033725,0.036467,0.041497,0.050405,0.066889,0.099473", \ - "0.038171,0.040014,0.043576,0.050125,0.061723,0.081346,0.114229", \ - "0.042127,0.044369,0.048653,0.056598,0.070767,0.094911,0.134335", \ - "0.044160,0.046791,0.051789,0.061022,0.077619,0.106050,0.152804"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.024538,0.026412,0.030091,0.037329,0.051581,0.079706,0.135340", \ - "0.026072,0.027979,0.031725,0.039062,0.053440,0.081692,0.137424", \ - "0.031081,0.032969,0.036693,0.044049,0.058514,0.086931,0.142846", \ - "0.038997,0.041170,0.045309,0.053026,0.067383,0.095740,0.151707", \ - "0.047982,0.050573,0.055471,0.064549,0.080966,0.110098,0.165856", \ - "0.058691,0.061723,0.067436,0.077872,0.096553,0.129320,0.186533", \ - "0.071215,0.074717,0.081303,0.093205,0.114220,0.150635,0.213305"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012805,0.013737,0.015583,0.019234,0.026433,0.040653,0.068783", \ - "0.012809,0.013743,0.015588,0.019235,0.026429,0.040651,0.068790", \ - "0.012780,0.013675,0.015493,0.019219,0.026439,0.040649,0.068783", \ - "0.017089,0.017884,0.019409,0.022288,0.027914,0.040741,0.068776", \ - "0.023845,0.024849,0.026708,0.030116,0.036156,0.046807,0.069869", \ - "0.031860,0.033083,0.035379,0.039539,0.046791,0.059006,0.079769", \ - "0.041197,0.042668,0.045390,0.050359,0.058899,0.073190,0.096508"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.019574,0.021477,0.025175,0.032315,0.045942,0.072102,0.123113", \ - "0.019577,0.021475,0.025178,0.032316,0.045940,0.072098,0.123115", \ - "0.019616,0.021492,0.025179,0.032313,0.045947,0.072104,0.123101", \ - "0.023333,0.024659,0.027509,0.033586,0.046152,0.072099,0.123110", \ - "0.030041,0.031441,0.034238,0.039800,0.050490,0.073377,0.123099", \ - "0.038280,0.039588,0.042260,0.047747,0.058754,0.079924,0.124822", \ - "0.048006,0.049286,0.051802,0.057191,0.068249,0.090161,0.132246"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.014739,0.015877,0.018105,0.022449,0.030919,0.047493,0.080093", \ - "0.015783,0.016928,0.019164,0.023521,0.032004,0.048589,0.081195", \ - "0.020493,0.021604,0.023754,0.028082,0.036548,0.053110,0.085699", \ - "0.026478,0.028064,0.031062,0.036495,0.045938,0.062380,0.094746", \ - "0.030511,0.032542,0.036406,0.043449,0.055747,0.076231,0.109560", \ - "0.032697,0.035158,0.039828,0.048371,0.063353,0.088510,0.129036", \ - "0.033013,0.035900,0.041351,0.051320,0.068879,0.098443,0.146466"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.023823,0.025690,0.029362,0.036581,0.050796,0.078838,0.134324", \ - "0.025347,0.027250,0.030987,0.038308,0.052655,0.080828,0.136412", \ - "0.030358,0.032241,0.035958,0.043293,0.057725,0.086061,0.141829", \ - "0.038125,0.040317,0.044483,0.052257,0.066594,0.094871,0.150688", \ - "0.046893,0.049517,0.054469,0.063600,0.080072,0.109231,0.164831", \ - "0.057349,0.060421,0.066215,0.076742,0.095504,0.128329,0.185509", \ - "0.069553,0.073117,0.079806,0.091850,0.112996,0.149491,0.212178"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.010458,0.011372,0.013189,0.016792,0.023937,0.038088,0.066142", \ - "0.010465,0.011387,0.013194,0.016791,0.023933,0.038090,0.066141", \ - "0.010961,0.011745,0.013353,0.016765,0.023947,0.038091,0.066139", \ - "0.015926,0.016722,0.018239,0.021076,0.026413,0.038451,0.066128", \ - "0.022583,0.023608,0.025494,0.028940,0.035010,0.045619,0.067739", \ - "0.030533,0.031770,0.034084,0.038268,0.045585,0.057859,0.078520", \ - "0.039788,0.041293,0.044068,0.049050,0.057642,0.071960,0.095318"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.014376,0.016040,0.019344,0.025894,0.038852,0.064513,0.115395", \ - "0.014371,0.016038,0.019343,0.025893,0.038862,0.064533,0.115384", \ - "0.014413,0.016061,0.019344,0.025886,0.038847,0.064522,0.115384", \ - "0.017676,0.019097,0.021740,0.027210,0.039069,0.064508,0.115378", \ - "0.022592,0.024068,0.026963,0.032641,0.043478,0.065843,0.115359", \ - "0.028944,0.030456,0.033430,0.039307,0.050741,0.072437,0.117108", \ - "0.036548,0.038166,0.041244,0.047361,0.059189,0.081892,0.124570"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.012790,0.013758,0.015649,0.019329,0.026493,0.040492,0.068007", \ - "0.013920,0.014892,0.016789,0.020481,0.027655,0.041662,0.069180", \ - "0.018746,0.019763,0.021668,0.025291,0.032436,0.046412,0.073909", \ - "0.023890,0.025355,0.028117,0.033109,0.041746,0.056111,0.083378", \ - "0.026961,0.028850,0.032450,0.038966,0.050287,0.069041,0.098839", \ - "0.027990,0.030285,0.034664,0.042627,0.056510,0.079680,0.116726", \ - "0.026876,0.029593,0.034728,0.044071,0.060438,0.087828,0.131940"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.023812,0.025678,0.029350,0.036573,0.050782,0.078834,0.134313", \ - "0.025266,0.027167,0.030905,0.038229,0.052579,0.080759,0.136342", \ - "0.030276,0.032151,0.035854,0.043172,0.057589,0.085928,0.141705", \ - "0.038078,0.040264,0.044419,0.052180,0.066493,0.094735,0.150538", \ - "0.046970,0.049582,0.054503,0.063615,0.080055,0.109168,0.164722", \ - "0.057806,0.060851,0.066588,0.077035,0.095713,0.128437,0.185531", \ - "0.070629,0.074145,0.080730,0.092647,0.113610,0.149924,0.212433"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.008724,0.009493,0.011025,0.014062,0.020090,0.032046,0.055746", \ - "0.008726,0.009500,0.011032,0.014067,0.020091,0.032046,0.055746", \ - "0.009743,0.010335,0.011577,0.014243,0.020103,0.032046,0.055747", \ - "0.014821,0.015527,0.016857,0.019303,0.023732,0.033073,0.055749", \ - "0.021316,0.022240,0.023930,0.027023,0.032399,0.041565,0.058934", \ - "0.029140,0.030276,0.032359,0.036137,0.042699,0.053628,0.071544", \ - "0.038306,0.039686,0.042218,0.046750,0.054498,0.067344,0.088075"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.014376,0.016039,0.019343,0.025888,0.038842,0.064523,0.115383", \ - "0.014370,0.016039,0.019343,0.025890,0.038864,0.064513,0.115377", \ - "0.014416,0.016063,0.019342,0.025882,0.038865,0.064540,0.115386", \ - "0.017691,0.019110,0.021759,0.027229,0.039088,0.064508,0.115380", \ - "0.022564,0.024048,0.026950,0.032645,0.043481,0.065851,0.115359", \ - "0.028785,0.030289,0.033280,0.039193,0.050666,0.072411,0.117108", \ - "0.036197,0.037800,0.040916,0.047038,0.058939,0.081746,0.124510"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.553847,1.555950,1.575696,1.592340,1.614434,1.654464,1.693107", \ - "1.501127,1.522694,1.535998,1.554539,1.602593,1.639393,1.687962", \ - "1.439294,1.443836,1.469140,1.500747,1.550227,1.595759,1.661412", \ - "1.440643,1.442861,1.461174,1.484541,1.515651,1.568198,1.627747", \ - "1.685391,1.668171,1.661320,1.636134,1.611764,1.625718,1.651903", \ - "2.160006,2.138279,2.102746,2.048335,1.964136,1.859300,1.800886", \ - "2.930156,2.894218,2.845807,2.761768,2.594748,2.368100,2.134042"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("5.267420,5.270592,5.277135,5.296318,5.311533,5.320940,5.144781", \ - "5.210549,5.216543,5.227659,5.194543,5.227310,5.302960,5.308422", \ - "5.204769,5.208394,5.216234,5.226866,5.164307,5.305468,5.184000", \ - "5.439613,5.429330,5.457726,5.410031,5.417005,5.382428,5.176932", \ - "5.930240,5.950237,5.918466,5.947893,5.855841,5.711987,5.609621", \ - "6.765495,6.751739,6.701662,6.658483,6.545503,6.349728,6.077848", \ - "7.958922,7.950476,7.888919,7.767999,7.561454,7.336871,7.027761"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.027545,1.045000,1.054664,1.063862,1.091076,1.106775,1.133086", \ - "0.983622,0.994518,1.009009,1.031052,1.061932,1.090873,1.122250", \ - "0.912414,0.924273,0.937819,0.964751,1.002529,1.045016,1.085631", \ - "0.928433,0.929873,0.934268,0.951723,0.972255,1.019962,1.065485", \ - "1.218429,1.205145,1.174041,1.137067,1.085457,1.071392,1.092526", \ - "1.763897,1.732599,1.679451,1.598687,1.476637,1.330839,1.236388", \ - "2.566422,2.539140,2.473632,2.362052,2.157989,1.878362,1.585586"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.586809,4.583938,4.614064,4.619857,4.631577,4.686465,4.635397", \ - "4.523227,4.559169,4.572913,4.566262,4.565715,4.586826,4.659055", \ - "4.518557,4.521795,4.558074,4.549723,4.508513,4.651639,4.653221", \ - "4.797151,4.799647,4.774471,4.778258,4.744009,4.748685,4.664353", \ - "5.226070,5.198909,5.235524,5.233662,5.130627,5.038163,4.980338", \ - "6.049821,6.028416,5.997827,5.896239,5.845789,5.732060,5.507276", \ - "7.246968,7.234275,7.190439,7.078176,6.949016,6.650128,6.299036"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.035923,1.042289,1.053531,1.067689,1.082200,1.105413,1.120086", \ - "0.991355,0.991553,1.003925,1.036160,1.060609,1.084843,1.117585", \ - "0.906820,0.920920,0.935552,0.956254,1.003814,1.047228,1.082719", \ - "0.941166,0.933816,0.930396,0.939521,0.976155,1.013654,1.056791", \ - "1.232755,1.218236,1.191452,1.147736,1.099503,1.081272,1.090960", \ - "1.788053,1.753819,1.704397,1.617117,1.497615,1.351978,1.250309", \ - "2.618904,2.593173,2.521771,2.393290,2.193356,1.908557,1.620702"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.929983,4.934240,4.941766,4.973126,4.876623,5.023303,4.929192", \ - "4.873968,4.880634,4.893147,4.870028,4.851844,4.973714,4.923651", \ - "4.866853,4.871163,4.879755,4.886735,4.850431,4.871044,4.980680", \ - "5.098812,5.090212,5.085940,5.102061,4.977365,4.939542,5.038557", \ - "5.560160,5.535465,5.535742,5.574029,5.498544,5.370983,5.297265", \ - "6.372787,6.355020,6.328780,6.283632,6.229987,6.043824,5.856852", \ - "7.598930,7.560039,7.520269,7.455416,7.214539,7.086037,6.735327"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.027545,1.045000,1.054664,1.063862,1.091076,1.106775,1.133086", \ - "0.983622,0.994518,1.009009,1.031052,1.061932,1.090873,1.122250", \ - "0.912414,0.924273,0.937819,0.964751,1.002529,1.045016,1.085631", \ - "0.928433,0.929873,0.934268,0.951723,0.972255,1.019962,1.065485", \ - "1.218429,1.205145,1.174041,1.137067,1.085457,1.071392,1.092526", \ - "1.763897,1.732599,1.679451,1.598687,1.476637,1.330839,1.236388", \ - "2.566422,2.539140,2.473632,2.362052,2.157989,1.878362,1.585586"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.586809,4.583938,4.614064,4.619857,4.631577,4.686465,4.635397", \ - "4.523227,4.559169,4.572913,4.566262,4.565715,4.586826,4.659055", \ - "4.518557,4.521795,4.558074,4.549723,4.508513,4.651639,4.653221", \ - "4.797151,4.799647,4.774471,4.778258,4.744009,4.748685,4.664353", \ - "5.226070,5.198909,5.235524,5.233662,5.130627,5.038163,4.980338", \ - "6.049821,6.028416,5.997827,5.896239,5.845789,5.732060,5.507276", \ - "7.246968,7.234275,7.190439,7.078176,6.949016,6.650128,6.299036"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.533612,0.545906,0.545598,0.551380,0.553604,0.562967,0.562702", \ - "0.474042,0.477268,0.495847,0.514836,0.526462,0.542250,0.550877", \ - "0.370003,0.388232,0.407558,0.425102,0.460392,0.496024,0.520208", \ - "0.444814,0.439727,0.419358,0.410293,0.434557,0.461934,0.497035", \ - "0.786887,0.759610,0.718917,0.648538,0.572527,0.525658,0.524115", \ - "1.399110,1.354783,1.289352,1.173468,1.000672,0.809700,0.679324", \ - "2.269456,2.228326,2.137345,1.985595,1.726947,1.386310,1.050986"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.930060,3.931753,3.959318,3.972802,4.013861,3.924685,4.004312", \ - "3.884797,3.876289,3.911756,3.923833,3.927749,4.011805,3.969409", \ - "3.869776,3.889192,3.898403,3.915248,3.914227,3.961505,4.028124", \ - "4.053509,4.098886,4.133545,4.100233,4.056014,4.023873,4.098805", \ - "4.501714,4.501033,4.482776,4.501658,4.475228,4.380702,4.345332", \ - "5.317806,5.319134,5.267258,5.197705,5.182240,5.104798,4.818771", \ - "6.512238,6.493309,6.465873,6.384770,6.262042,5.986719,5.756992"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.534423,0.535214,0.545752,0.555006,0.559086,0.556936,0.561693", \ - "0.470085,0.478595,0.495690,0.515289,0.532535,0.545364,0.550566", \ - "0.381409,0.393635,0.407304,0.432561,0.462083,0.492005,0.520391", \ - "0.448438,0.444323,0.426224,0.415462,0.434363,0.461595,0.493474", \ - "0.788417,0.767014,0.731620,0.666441,0.587938,0.529335,0.531899", \ - "1.425831,1.387138,1.310572,1.189452,1.024931,0.835370,0.692927", \ - "2.327216,2.279479,2.180619,2.032273,1.776348,1.423912,1.083235"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.235494,4.280317,4.289372,4.295885,4.337018,4.319205,4.356985", \ - "4.178538,4.219585,4.241822,4.272490,4.274159,4.305285,4.325023", \ - "4.175111,4.189145,4.227720,4.245287,4.234334,4.277021,4.243446", \ - "4.401919,4.405956,4.459679,4.420181,4.383022,4.378351,4.242201", \ - "4.828341,4.832081,4.810030,4.801661,4.877473,4.771491,4.632850", \ - "5.636070,5.639813,5.629974,5.582266,5.497021,5.390752,5.233251", \ - "6.831845,6.845250,6.790550,6.729150,6.563771,6.389175,5.978609"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.012433,1.021075,1.035781,1.059951,1.077860,1.104371,1.123948", \ - "0.968093,0.978811,0.997340,1.029560,1.055527,1.088706,1.116343", \ - "0.901164,0.910309,0.933300,0.956236,0.995627,1.046250,1.088594", \ - "0.965023,0.957555,0.953899,0.951552,0.978742,1.018072,1.061523", \ - "1.273297,1.250273,1.229894,1.191684,1.134136,1.086487,1.100225", \ - "1.831235,1.819338,1.767692,1.690059,1.556877,1.395587,1.266316", \ - "2.680340,2.644283,2.578992,2.474452,2.284290,1.990159,1.680122"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.585741,4.603665,4.602382,4.599910,4.548553,4.662032,4.690607", \ - "4.510815,4.502695,4.525860,4.552016,4.500940,4.632629,4.577419", \ - "4.518632,4.507857,4.519049,4.564139,4.590965,4.621953,4.635264", \ - "4.823118,4.807787,4.782377,4.787520,4.759742,4.677084,4.703782", \ - "5.271466,5.282321,5.277381,5.244710,5.248955,5.015386,4.989231", \ - "6.139547,6.115691,6.119684,6.059339,5.919064,5.792548,5.539721", \ - "7.422277,7.408464,7.365366,7.273590,7.076211,6.801171,6.422349"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.531478,0.541944,0.542557,0.546991,0.559920,0.559063,0.560726", \ - "0.471525,0.485101,0.493963,0.507615,0.528135,0.546726,0.555468", \ - "0.386171,0.394681,0.410396,0.431630,0.463247,0.492798,0.526493", \ - "0.489971,0.475657,0.459061,0.429584,0.444585,0.464381,0.497552", \ - "0.847617,0.824071,0.782684,0.711762,0.626888,0.545780,0.537748", \ - "1.483311,1.450303,1.382549,1.257216,1.087804,0.884612,0.714748", \ - "2.354748,2.328197,2.239679,2.097176,1.866099,1.512105,1.148999"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.925660,3.939006,3.939336,3.927775,3.933616,3.902181,4.030711", \ - "3.852247,3.850525,3.878650,3.904193,3.890092,3.985196,3.940851", \ - "3.854959,3.854038,3.872716,3.900633,3.921479,3.935500,4.004902", \ - "4.070614,4.109325,4.140911,4.091735,4.055484,4.007899,3.873560", \ - "4.554877,4.545164,4.558976,4.526140,4.507605,4.387502,4.347696", \ - "5.418257,5.405673,5.388667,5.317440,5.256599,5.170552,4.894840", \ - "6.685161,6.665559,6.638133,6.545006,6.386551,6.098910,5.792872"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.535745,0.532464,0.548131,0.553058,0.556305,0.562958,0.561479", \ - "0.474748,0.472187,0.498915,0.512024,0.528994,0.541235,0.551743", \ - "0.382859,0.388730,0.408952,0.434493,0.460632,0.497623,0.525720", \ - "0.497721,0.488038,0.472525,0.444600,0.447211,0.470553,0.503618", \ - "0.857287,0.841005,0.790279,0.719788,0.642153,0.556628,0.548012", \ - "1.512122,1.480753,1.412383,1.293541,1.119751,0.910922,0.733647", \ - "2.416030,2.369658,2.302043,2.139473,1.909523,1.557205,1.191428"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.250898,4.221465,4.274898,4.275655,4.269895,4.291206,4.266856", \ - "4.180686,4.195786,4.213215,4.204976,4.225560,4.274496,4.301174", \ - "4.156717,4.175557,4.196766,4.201238,4.203057,4.246318,4.171854", \ - "4.414783,4.418028,4.469230,4.435779,4.391787,4.367955,4.217557", \ - "4.875545,4.878145,4.864417,4.888854,4.929448,4.804870,4.695409", \ - "5.757848,5.763251,5.715439,5.701192,5.591751,5.457934,5.124008", \ - "7.038065,7.021788,7.000868,6.928980,6.762698,6.512842,6.081253"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.079449,1.092173,1.107675,1.115824,1.137794,1.154873,1.182774", \ - "1.070299,1.088074,1.108131,1.124938,1.154825,1.179210,1.213370", \ - "0.990564,1.015000,1.025691,1.065581,1.106910,1.154219,1.205453", \ - "0.968595,0.970195,0.975138,1.004767,1.051310,1.111713,1.171826", \ - "1.152817,1.152554,1.142328,1.120796,1.097711,1.112019,1.166404", \ - "1.524365,1.515987,1.488092,1.452183,1.382872,1.300087,1.254883", \ - "2.087245,2.072029,2.044982,2.001454,1.900064,1.729409,1.527530"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("5.578613,5.580580,5.608227,5.627890,5.631872,5.580342,5.655996", \ - "5.506536,5.532908,5.539819,5.560063,5.612413,5.520131,5.564472", \ - "5.484965,5.487703,5.492827,5.517976,5.524017,5.627316,5.683090", \ - "5.656984,5.652126,5.670376,5.672024,5.630957,5.687591,5.722921", \ - "6.093546,6.102227,6.081018,6.035803,5.995705,5.822377,5.801886", \ - "6.759443,6.738653,6.757883,6.720628,6.588481,6.462974,6.200270", \ - "7.671332,7.671864,7.639996,7.580785,7.493597,7.285473,6.897653"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.580646,0.593133,0.598571,0.600165,0.608938,0.609037,0.611245", \ - "0.557050,0.574753,0.579984,0.605424,0.616676,0.631380,0.647309", \ - "0.453683,0.457983,0.485903,0.521479,0.561200,0.605899,0.636045", \ - "0.451544,0.453361,0.450142,0.458762,0.498340,0.547018,0.598172", \ - "0.668316,0.661830,0.640730,0.612701,0.567878,0.556565,0.595273", \ - "1.064178,1.050248,1.026474,0.973457,0.883454,0.765986,0.690442", \ - "1.651702,1.629554,1.607837,1.546311,1.417795,1.224628,0.982272"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.884788,4.888426,4.893671,4.913329,4.987468,4.949287,5.086826", \ - "4.811613,4.816648,4.850850,4.878879,4.861972,4.888252,4.944377", \ - "4.766616,4.796247,4.803223,4.834025,4.853614,4.858090,4.900285", \ - "4.965094,4.961433,4.969746,4.952213,4.967624,4.949920,4.938174", \ - "5.403637,5.388735,5.395880,5.333463,5.316750,5.222762,5.176171", \ - "5.985513,5.982305,5.987406,5.987255,5.907032,5.687462,5.574082", \ - "6.928434,6.922883,6.899113,6.852728,6.801225,6.647109,6.258572"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.591611,0.594884,0.595386,0.603835,0.609860,0.613938,0.610188", \ - "0.553882,0.563363,0.582084,0.603553,0.620656,0.635742,0.645992", \ - "0.446283,0.464390,0.490099,0.519743,0.568823,0.601264,0.637895", \ - "0.461904,0.461337,0.457767,0.459257,0.500067,0.554384,0.601202", \ - "0.670048,0.667733,0.651408,0.620825,0.588850,0.563228,0.596943", \ - "1.081635,1.070188,1.038784,0.981737,0.895010,0.786483,0.698097", \ - "1.672884,1.653447,1.620295,1.557773,1.441389,1.242502,1.010574"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("5.205125,5.232841,5.256712,5.276975,5.315973,5.346473,5.398339", \ - "5.158618,5.162501,5.194425,5.191648,5.274421,5.288472,5.342960", \ - "5.115911,5.122260,5.148829,5.184209,5.220857,5.277667,5.300945", \ - "5.288303,5.309951,5.308602,5.323947,5.319706,5.309157,5.341898", \ - "5.756374,5.734623,5.742967,5.696004,5.646941,5.610315,5.586848", \ - "6.345048,6.344286,6.355127,6.347849,6.312176,6.103490,6.001177", \ - "7.272496,7.270845,7.251528,7.225800,7.139475,6.920100,6.704083"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.523653,1.528154,1.531001,1.559878,1.583062,1.605822,1.646265", \ - "1.462704,1.481092,1.488785,1.497832,1.526229,1.571250,1.600635", \ - "1.412696,1.423525,1.430179,1.459331,1.485732,1.528830,1.572707", \ - "1.377986,1.380679,1.401969,1.420733,1.454653,1.502955,1.554018", \ - "1.543957,1.547765,1.542892,1.526560,1.503414,1.533714,1.572847", \ - "1.886516,1.868887,1.861711,1.836115,1.791343,1.712018,1.677067", \ - "2.432996,2.420538,2.398562,2.355775,2.280242,2.147375,1.960552"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("6.362693,6.364680,6.392317,6.384474,6.445557,6.395144,6.438325", \ - "6.314426,6.316879,6.345293,6.352022,6.362683,6.321695,6.393704", \ - "6.266825,6.270099,6.300115,6.313899,6.368208,6.409315,6.461837", \ - "6.273087,6.274678,6.300358,6.310486,6.351325,6.274958,6.372415", \ - "6.439624,6.445668,6.454108,6.444621,6.464597,6.475690,6.506463", \ - "6.786827,6.783510,6.806083,6.844486,6.737136,6.713265,6.672590", \ - "7.422394,7.417332,7.394126,7.371739,7.377900,7.272299,7.129591"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.003421,1.018028,1.018603,1.031261,1.046660,1.059583,1.085163", \ - "0.953376,0.955989,0.971848,0.981163,1.003566,1.021738,1.039466", \ - "0.886313,0.888634,0.909228,0.927436,0.956312,0.979279,1.008903", \ - "0.875542,0.867452,0.869090,0.894029,0.924370,0.950121,0.988766", \ - "1.053956,1.046854,1.040194,1.017940,0.984811,0.983124,1.005772", \ - "1.410167,1.401118,1.374343,1.346723,1.279705,1.178895,1.108573", \ - "1.983347,1.966182,1.948742,1.894517,1.800542,1.629588,1.414285"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("5.643829,5.672810,5.678270,5.723051,5.719338,5.765497,5.822276", \ - "5.595420,5.624887,5.648207,5.657462,5.664194,5.690506,5.745684", \ - "5.547927,5.578139,5.585858,5.637349,5.661732,5.623158,5.680866", \ - "5.554373,5.582754,5.596124,5.612191,5.630958,5.644560,5.698100", \ - "5.748902,5.750048,5.765495,5.735068,5.765925,5.751266,5.753257", \ - "6.017645,6.008754,6.052121,6.105013,6.098097,6.089777,5.884015", \ - "6.664055,6.656854,6.674307,6.664507,6.662449,6.593103,6.343890"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.011447,1.015531,1.013685,1.025428,1.036084,1.062140,1.077824", \ - "0.945111,0.954028,0.963451,0.979258,0.991369,1.016158,1.035510", \ - "0.877606,0.883372,0.908730,0.919763,0.944697,0.977544,1.005933", \ - "0.878606,0.872297,0.869719,0.890637,0.918932,0.951269,0.983472", \ - "1.058474,1.049783,1.045589,1.029631,0.996628,0.977535,0.999332", \ - "1.418432,1.412093,1.390172,1.357476,1.293428,1.199585,1.117404", \ - "2.008180,1.993100,1.966024,1.909759,1.812959,1.644572,1.441698"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("5.990306,6.017922,6.034988,6.057988,6.091963,6.029732,6.212279", \ - "5.943951,5.972252,5.994131,5.986003,6.019145,6.091310,6.142901", \ - "5.895440,5.924605,5.939530,5.970725,6.013867,6.025374,6.134050", \ - "5.901289,5.928877,5.930724,5.940298,6.011271,6.043939,6.096926", \ - "6.091192,6.091630,6.108109,6.091028,6.079467,6.096324,6.121103", \ - "6.360483,6.379231,6.398236,6.433310,6.470468,6.392955,6.292798", \ - "7.014268,7.009030,7.006755,7.020464,6.994534,6.906883,6.763062"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.083936,1.085541,1.101901,1.110546,1.129381,1.153721,1.179919", \ - "1.069765,1.089330,1.106850,1.128095,1.157781,1.178987,1.213395", \ - "1.063684,1.068349,1.085901,1.098667,1.135890,1.172870,1.214850", \ - "1.189062,1.171365,1.162908,1.161123,1.178831,1.193553,1.220945", \ - "1.578236,1.555083,1.525645,1.466968,1.379009,1.329975,1.313314", \ - "2.200992,2.177480,2.122007,2.033636,1.876637,1.683065,1.545347", \ - "3.060560,3.027978,2.984952,2.872235,2.659280,2.339055,1.984994"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.479865,3.484578,3.490017,3.521966,3.488413,3.418991,3.430483", \ - "3.409122,3.421158,3.451066,3.475551,3.449157,3.547210,3.576299", \ - "3.408517,3.409123,3.411087,3.437084,3.442473,3.495596,3.536337", \ - "3.611622,3.600202,3.604612,3.564837,3.470051,3.540352,3.560802", \ - "4.094075,4.076503,4.037473,3.969393,3.802183,3.662434,3.620125", \ - "4.683460,4.664854,4.616836,4.603267,4.447975,4.278758,4.062749", \ - "5.659281,5.621959,5.544656,5.442822,5.296999,5.096936,4.727528"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.573543,0.586810,0.589933,0.597673,0.607408,0.609603,0.611066", \ - "0.560981,0.564123,0.581647,0.604590,0.618885,0.630775,0.643185", \ - "0.534039,0.545076,0.549522,0.569350,0.600616,0.620206,0.644198", \ - "0.733040,0.712979,0.679017,0.644957,0.634974,0.643813,0.657481", \ - "1.176892,1.151103,1.091707,1.003515,0.881936,0.792074,0.752414", \ - "1.845828,1.821689,1.752698,1.619596,1.421079,1.172921,0.993001", \ - "2.720502,2.696855,2.630522,2.490729,2.241003,1.857891,1.452354"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("2.813110,2.814722,2.842212,2.858819,2.883778,2.821149,2.810519", \ - "2.740660,2.769481,2.776573,2.824381,2.858509,2.829777,2.886094", \ - "2.742351,2.751248,2.767237,2.742741,2.779317,2.781259,2.755938", \ - "2.966876,2.954088,2.939474,2.904217,2.910704,2.821611,2.780429", \ - "3.307345,3.312398,3.337653,3.331265,3.203496,3.073435,3.067490", \ - "3.957680,3.948485,3.907981,3.874013,3.854711,3.565681,3.375137", \ - "4.944447,4.932004,4.857372,4.745216,4.609828,4.414385,4.020705"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.572903,0.583618,0.591730,0.596132,0.602873,0.607253,0.612088", \ - "0.558923,0.575489,0.587187,0.601653,0.617350,0.635084,0.647112", \ - "0.546105,0.551503,0.562657,0.579777,0.603846,0.625571,0.644575", \ - "0.769965,0.743477,0.714620,0.668170,0.646110,0.657525,0.663523", \ - "1.228289,1.195762,1.143014,1.047217,0.929500,0.811708,0.762673", \ - "1.896702,1.872614,1.811541,1.684301,1.487997,1.241052,1.026763", \ - "2.774591,2.740798,2.700953,2.583508,2.342597,1.966540,1.542256"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("2.811657,2.836687,2.838973,2.850313,2.837715,2.820410,2.817454", \ - "2.729772,2.758636,2.766076,2.814716,2.812248,2.800396,2.811849", \ - "2.731313,2.741579,2.755733,2.755256,2.763043,2.816464,2.742171", \ - "2.971576,2.958135,2.934181,2.921269,2.908825,2.810619,2.768059", \ - "3.330080,3.360648,3.372210,3.345075,3.233128,3.081265,3.068482", \ - "4.022977,3.991395,3.967304,3.929062,3.887163,3.701543,3.398563", \ - "5.035418,5.001725,4.947557,4.833046,4.699744,4.525485,4.179558"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.515198,1.524826,1.541699,1.556580,1.583812,1.609155,1.648916", \ - "1.461594,1.473806,1.489460,1.506008,1.536677,1.559490,1.603777", \ - "1.427306,1.442022,1.449311,1.474542,1.498834,1.531898,1.577644", \ - "1.526797,1.529686,1.523649,1.537121,1.553897,1.570000,1.600126", \ - "1.918130,1.901749,1.873014,1.824872,1.756221,1.731141,1.701230", \ - "2.539377,2.521988,2.478242,2.404918,2.274088,2.086292,1.953027", \ - "3.414135,3.401409,3.348356,3.254966,3.065957,2.771862,2.431540"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("4.286719,4.288618,4.290013,4.292012,4.274296,4.238348,4.249562", \ - "4.233874,4.239783,4.248420,4.259094,4.307165,4.203301,4.303855", \ - "4.125507,4.129219,4.164229,4.192372,4.193913,4.267240,4.310839", \ - "4.138251,4.135996,4.154930,4.135917,4.162733,4.101694,4.143577", \ - "4.355905,4.358962,4.340274,4.312107,4.217417,4.295240,4.149120", \ - "4.685922,4.684714,4.671492,4.671183,4.661146,4.534148,4.464847", \ - "5.444050,5.395687,5.351607,5.237662,5.154700,5.088667,4.784858"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("1.007925,1.015561,1.014258,1.031911,1.047491,1.059361,1.084581", \ - "0.952292,0.952518,0.967436,0.973378,0.994503,1.012644,1.031019", \ - "0.914091,0.926740,0.931864,0.943871,0.963996,0.991901,1.014528", \ - "1.059881,1.047286,1.023960,1.019576,1.026575,1.026399,1.026884", \ - "1.495334,1.474675,1.427952,1.362264,1.251829,1.193955,1.143645", \ - "2.154970,2.129565,2.079197,1.975349,1.811573,1.583100,1.408610", \ - "3.075194,3.038550,2.984949,2.865865,2.642835,2.301244,1.899238"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.620192,3.643203,3.640500,3.624621,3.660174,3.623644,3.630581", \ - "3.566342,3.593326,3.607197,3.587930,3.602401,3.675658,3.625214", \ - "3.480038,3.481650,3.509766,3.514503,3.527215,3.562575,3.590832", \ - "3.490862,3.487332,3.505288,3.500242,3.539466,3.495483,3.567651", \ - "3.590672,3.593705,3.637619,3.680332,3.565665,3.631243,3.528697", \ - "3.982845,3.975434,3.948252,3.923310,3.941002,3.826733,3.754165", \ - "4.724234,4.692328,4.662064,4.559459,4.473568,4.378091,4.131271"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("0.989186,0.991497,1.007950,1.021597,1.035524,1.053276,1.074473", \ - "0.938763,0.945789,0.956319,0.975004,0.986885,1.011634,1.031070", \ - "0.895813,0.911304,0.916940,0.940210,0.957677,0.978028,1.003121", \ - "1.087286,1.067818,1.047300,1.020145,1.020954,1.021985,1.032760", \ - "1.534553,1.511484,1.465476,1.399796,1.294730,1.205936,1.145491", \ - "2.221797,2.195380,2.136618,2.042790,1.867327,1.639931,1.437339", \ - "3.140312,3.112074,3.067404,2.948984,2.728291,2.396310,1.981079"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); - values ("3.618780,3.641798,3.639162,3.662487,3.634422,3.622517,3.629565", \ - "3.557353,3.580409,3.596744,3.609300,3.607463,3.603941,3.593478", \ - "3.470767,3.471753,3.498710,3.520187,3.527762,3.481837,3.564827", \ - "3.488821,3.482358,3.499593,3.501666,3.480707,3.477062,3.545374", \ - "3.595435,3.598091,3.640471,3.683713,3.564837,3.620924,3.580840", \ - "4.007332,3.998437,3.995210,3.978752,4.030054,3.834089,3.759142", \ - "4.795182,4.762192,4.707472,4.602851,4.542911,4.479735,4.159355"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI221_X2 - Cell Description : Combinational cell (OAI221_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI221_X2) { - - drive_strength : 2; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 67.875298; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 12.788490; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 10.311764; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 10.311775; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 3.554356; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 42.386630; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 44.492075; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 44.492076; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 38.166998; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 42.386740; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 44.492185; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 44.492186; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 38.167009; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 71.627490; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 73.733045; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 73.733156; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 67.408023; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 15.975399; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 20.969346; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 20.969347; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 14.448180; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 99.322080; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 102.522090; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 107.221180; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 129.011740; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 99.322300; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 107.221400; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 111.920490; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 133.710390; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 128.571960; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 129.028790; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 133.728650; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 155.522202; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.536380; - fall_capacitance : 3.364119; - rise_capacitance : 3.536380; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.477443; - fall_capacitance : 2.972908; - rise_capacitance : 3.477443; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.124702; - fall_capacitance : 2.984026; - rise_capacitance : 3.124702; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.996374; - fall_capacitance : 2.696664; - rise_capacitance : 2.996374; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.245202; - fall_capacitance : 3.245202; - rise_capacitance : 3.192793; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 43.869000; - function : "!(((C1 | C2) & A) & (B1 | B2))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.023300,0.024942,0.027145,0.031473,0.039944,0.056568,0.089393", \ - "0.024639,0.026291,0.028510,0.032857,0.041359,0.058014,0.090867", \ - "0.028827,0.030484,0.032705,0.037074,0.045619,0.062336,0.095251", \ - "0.035745,0.037606,0.040068,0.044789,0.053606,0.070357,0.103363", \ - "0.042514,0.044838,0.047870,0.053565,0.063936,0.082447,0.116054", \ - "0.047862,0.050715,0.054453,0.061411,0.073972,0.095767,0.132961", \ - "0.051744,0.055124,0.059569,0.067784,0.082621,0.108297,0.151034"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.013923,0.015188,0.016901,0.020294,0.027013,0.040350,0.066878", \ - "0.015483,0.016763,0.018490,0.021906,0.028656,0.042024,0.068577", \ - "0.021865,0.023092,0.024772,0.028132,0.034828,0.048159,0.074705", \ - "0.031076,0.032929,0.035308,0.039690,0.047387,0.060623,0.086883", \ - "0.040493,0.042912,0.046003,0.051744,0.061974,0.079178,0.106702", \ - "0.050496,0.053439,0.057176,0.064161,0.076697,0.098110,0.132652", \ - "0.061222,0.064669,0.069075,0.077247,0.091951,0.117241,0.158684"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.012893,0.014208,0.015989,0.019538,0.026613,0.040719,0.068875", \ - "0.012892,0.014205,0.015985,0.019541,0.026613,0.040720,0.068874", \ - "0.012820,0.014143,0.015946,0.019524,0.026612,0.040717,0.068873", \ - "0.015035,0.016215,0.017811,0.020927,0.027268,0.040750,0.068868", \ - "0.019862,0.021058,0.022640,0.025719,0.031723,0.043684,0.069431", \ - "0.026465,0.027805,0.029581,0.032954,0.039185,0.050924,0.074266", \ - "0.034354,0.035907,0.037913,0.041737,0.048685,0.061070,0.084067"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.013008,0.014389,0.016255,0.019928,0.027037,0.040553,0.066365", \ - "0.013011,0.014390,0.016253,0.019928,0.027035,0.040553,0.066368", \ - "0.013833,0.014984,0.016608,0.019989,0.027037,0.040557,0.066361", \ - "0.021701,0.022448,0.023470,0.025404,0.030141,0.041252,0.066369", \ - "0.032162,0.033055,0.034296,0.036735,0.041295,0.049382,0.068760", \ - "0.043455,0.044490,0.045967,0.048882,0.054419,0.064239,0.080605", \ - "0.055894,0.057039,0.058685,0.062005,0.068437,0.080133,0.099697"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.019190,0.020797,0.022955,0.027201,0.035544,0.051999,0.084638", \ - "0.020486,0.022109,0.024287,0.028564,0.036947,0.053440,0.086110", \ - "0.024641,0.026262,0.028441,0.032738,0.041173,0.057741,0.090486", \ - "0.030556,0.032536,0.035117,0.039989,0.049014,0.065738,0.098576", \ - "0.035639,0.038188,0.041485,0.047588,0.058486,0.077440,0.111245", \ - "0.039249,0.042389,0.046446,0.053938,0.067259,0.089920,0.127779", \ - "0.041456,0.045183,0.049992,0.058848,0.074588,0.101376,0.145148"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.013569,0.014837,0.016549,0.019940,0.026653,0.039969,0.066464", \ - "0.015128,0.016408,0.018136,0.021551,0.028295,0.041644,0.068161", \ - "0.021522,0.022748,0.024425,0.027782,0.034468,0.047778,0.074282", \ - "0.030512,0.032392,0.034801,0.039231,0.046992,0.060249,0.086468", \ - "0.039717,0.042165,0.045293,0.051097,0.061408,0.078708,0.106287", \ - "0.049475,0.052458,0.056255,0.063318,0.075952,0.097471,0.132125", \ - "0.059917,0.063422,0.067894,0.076176,0.091010,0.116419,0.157992"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010502,0.011798,0.013558,0.017075,0.024103,0.038148,0.066221", \ - "0.010502,0.011793,0.013555,0.017076,0.024104,0.038148,0.066223", \ - "0.010640,0.011852,0.013536,0.017051,0.024096,0.038146,0.066226", \ - "0.013452,0.014567,0.016088,0.019143,0.025197,0.038319,0.066213", \ - "0.018603,0.019791,0.021355,0.024360,0.030174,0.041873,0.067000", \ - "0.025279,0.026640,0.028407,0.031759,0.037926,0.049441,0.072403", \ - "0.033266,0.034796,0.036804,0.040613,0.047511,0.059793,0.082454"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010388,0.011646,0.013353,0.016765,0.023539,0.036797,0.062496", \ - "0.010390,0.011644,0.013353,0.016766,0.023538,0.036793,0.062494", \ - "0.011285,0.012296,0.013748,0.016843,0.023537,0.036801,0.062497", \ - "0.017793,0.018759,0.020006,0.022298,0.026730,0.037524,0.062502", \ - "0.025923,0.027161,0.028787,0.031809,0.037080,0.045749,0.064939", \ - "0.035410,0.036828,0.038745,0.042368,0.048853,0.059693,0.076853", \ - "0.046222,0.047782,0.049922,0.054031,0.061565,0.074513,0.095278"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.016917,0.018290,0.020135,0.023761,0.030877,0.044897,0.072685", \ - "0.018259,0.019647,0.021508,0.025160,0.032311,0.046363,0.074177", \ - "0.023162,0.024541,0.026400,0.030066,0.037262,0.051383,0.079262", \ - "0.029790,0.031666,0.034092,0.038586,0.046664,0.061047,0.089014", \ - "0.034855,0.037332,0.040537,0.046459,0.056941,0.074671,0.104291", \ - "0.038288,0.041355,0.045317,0.052636,0.065638,0.087653,0.123256", \ - "0.040191,0.043859,0.048573,0.057241,0.072666,0.098883,0.141413"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.014677,0.015934,0.017637,0.021014,0.027710,0.041008,0.067495", \ - "0.016245,0.017515,0.019233,0.022636,0.029368,0.042706,0.069232", \ - "0.022592,0.023820,0.025499,0.028847,0.035523,0.048824,0.075335", \ - "0.032166,0.033965,0.036285,0.040573,0.048136,0.061291,0.087513", \ - "0.041900,0.044264,0.047286,0.052921,0.062997,0.080007,0.107349", \ - "0.052222,0.055097,0.058758,0.065630,0.077998,0.099198,0.133472", \ - "0.063266,0.066635,0.070955,0.079007,0.093532,0.118586,0.159745"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.009521,0.010602,0.012075,0.015027,0.020928,0.032715,0.056300", \ - "0.009516,0.010601,0.012076,0.015031,0.020930,0.032720,0.056298", \ - "0.009718,0.010705,0.012084,0.014988,0.020916,0.032715,0.056291", \ - "0.013521,0.014431,0.015640,0.018017,0.022664,0.033048,0.056287", \ - "0.019247,0.020310,0.021709,0.024361,0.029271,0.038474,0.057771", \ - "0.026282,0.027520,0.029137,0.032227,0.037891,0.047926,0.066119", \ - "0.034628,0.036010,0.037856,0.041392,0.047866,0.059241,0.078859"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010892,0.012166,0.013892,0.017329,0.024127,0.037402,0.063108", \ - "0.010894,0.012165,0.013892,0.017329,0.024127,0.037397,0.063111", \ - "0.011580,0.012644,0.014163,0.017348,0.024126,0.037404,0.063110", \ - "0.017920,0.018892,0.020139,0.022422,0.027014,0.038018,0.063113", \ - "0.026026,0.027277,0.028918,0.031943,0.037228,0.045921,0.065368", \ - "0.035422,0.036873,0.038817,0.042470,0.048976,0.059824,0.077002", \ - "0.046151,0.047738,0.049907,0.054064,0.061626,0.074585,0.095339"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.019190,0.020797,0.022955,0.027201,0.035544,0.051999,0.084638", \ - "0.020486,0.022109,0.024287,0.028564,0.036947,0.053440,0.086110", \ - "0.024641,0.026262,0.028441,0.032738,0.041173,0.057741,0.090486", \ - "0.030556,0.032536,0.035117,0.039989,0.049014,0.065738,0.098576", \ - "0.035639,0.038188,0.041485,0.047588,0.058486,0.077440,0.111245", \ - "0.039249,0.042389,0.046446,0.053938,0.067259,0.089920,0.127779", \ - "0.041456,0.045183,0.049992,0.058848,0.074588,0.101376,0.145148"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.013569,0.014837,0.016549,0.019940,0.026653,0.039969,0.066464", \ - "0.015128,0.016408,0.018136,0.021551,0.028295,0.041644,0.068161", \ - "0.021522,0.022748,0.024425,0.027782,0.034468,0.047778,0.074282", \ - "0.030512,0.032392,0.034801,0.039231,0.046992,0.060249,0.086468", \ - "0.039717,0.042165,0.045293,0.051097,0.061408,0.078708,0.106287", \ - "0.049475,0.052458,0.056255,0.063318,0.075952,0.097471,0.132125", \ - "0.059917,0.063422,0.067894,0.076176,0.091010,0.116419,0.157992"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010502,0.011798,0.013558,0.017075,0.024103,0.038148,0.066221", \ - "0.010502,0.011793,0.013555,0.017076,0.024104,0.038148,0.066223", \ - "0.010640,0.011852,0.013536,0.017051,0.024096,0.038146,0.066226", \ - "0.013452,0.014567,0.016088,0.019143,0.025197,0.038319,0.066213", \ - "0.018603,0.019791,0.021355,0.024360,0.030174,0.041873,0.067000", \ - "0.025279,0.026640,0.028407,0.031759,0.037926,0.049441,0.072403", \ - "0.033266,0.034796,0.036804,0.040613,0.047511,0.059793,0.082454"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010388,0.011646,0.013353,0.016765,0.023539,0.036797,0.062496", \ - "0.010390,0.011644,0.013353,0.016766,0.023538,0.036793,0.062494", \ - "0.011285,0.012296,0.013748,0.016843,0.023537,0.036801,0.062497", \ - "0.017793,0.018759,0.020006,0.022298,0.026730,0.037524,0.062502", \ - "0.025923,0.027161,0.028787,0.031809,0.037080,0.045749,0.064939", \ - "0.035410,0.036828,0.038745,0.042368,0.048853,0.059693,0.076853", \ - "0.046222,0.047782,0.049922,0.054031,0.061565,0.074513,0.095278"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.015517,0.017033,0.019089,0.023181,0.031326,0.047573,0.080025", \ - "0.016743,0.018287,0.020377,0.024516,0.032715,0.049009,0.081494", \ - "0.020631,0.022285,0.024429,0.028621,0.036896,0.053285,0.085859", \ - "0.025011,0.027190,0.029981,0.035115,0.044397,0.061245,0.093934", \ - "0.028045,0.030920,0.034586,0.041248,0.052836,0.072431,0.106572", \ - "0.029691,0.033244,0.037763,0.045969,0.060240,0.083939,0.122654", \ - "0.030025,0.034273,0.039621,0.049317,0.066190,0.094246,0.139288"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.013222,0.014490,0.016203,0.019592,0.026297,0.039593,0.066057", \ - "0.014778,0.016060,0.017788,0.021202,0.027939,0.041268,0.067758", \ - "0.021160,0.022410,0.024084,0.027437,0.034114,0.047402,0.073879", \ - "0.029948,0.031853,0.034292,0.038767,0.046594,0.059879,0.086059", \ - "0.038932,0.041420,0.044587,0.050443,0.060831,0.078235,0.105881", \ - "0.048456,0.051483,0.055328,0.062464,0.075192,0.096830,0.131604", \ - "0.058615,0.062174,0.066709,0.075085,0.090034,0.115592,0.157311"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007957,0.009252,0.011013,0.014537,0.021570,0.035604,0.063642", \ - "0.007956,0.009249,0.011014,0.014538,0.021574,0.035606,0.063643", \ - "0.008624,0.009741,0.011323,0.014604,0.021577,0.035607,0.063646", \ - "0.012015,0.013085,0.014528,0.017432,0.023306,0.035991,0.063648", \ - "0.017490,0.018656,0.020190,0.023135,0.028770,0.040139,0.064722", \ - "0.024438,0.025738,0.027451,0.030730,0.036804,0.048082,0.070645", \ - "0.032662,0.034086,0.036010,0.039723,0.046507,0.058628,0.080999"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.008855,0.010003,0.011575,0.014717,0.021008,0.033602,0.058787", \ - "0.008854,0.010003,0.011575,0.014718,0.021006,0.033594,0.058782", \ - "0.009817,0.010705,0.012005,0.014810,0.021009,0.033601,0.058786", \ - "0.015316,0.016342,0.017661,0.020044,0.024276,0.034357,0.058778", \ - "0.021523,0.022916,0.024714,0.027979,0.033569,0.042597,0.061252", \ - "0.028553,0.030282,0.032540,0.036656,0.043781,0.055356,0.073211", \ - "0.036664,0.038728,0.041409,0.046318,0.054858,0.068940,0.090847"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.013881,0.015168,0.016917,0.020394,0.027316,0.041120,0.068690", \ - "0.015162,0.016475,0.018251,0.021769,0.028737,0.042582,0.070180", \ - "0.019717,0.021175,0.023036,0.026611,0.033651,0.047582,0.075260", \ - "0.024463,0.026555,0.029225,0.034087,0.042580,0.057214,0.085002", \ - "0.027449,0.030239,0.033798,0.040273,0.051499,0.070033,0.100251", \ - "0.028927,0.032388,0.036794,0.044805,0.058743,0.081817,0.118476", \ - "0.029007,0.033138,0.038362,0.047845,0.064370,0.091849,0.135666"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.014327,0.015585,0.017287,0.020662,0.027351,0.040629,0.067067", \ - "0.015893,0.017163,0.018881,0.022282,0.029008,0.042327,0.068801", \ - "0.022253,0.023477,0.025152,0.028497,0.035164,0.048445,0.074914", \ - "0.031621,0.033445,0.035793,0.040122,0.047746,0.060919,0.087089", \ - "0.041153,0.043546,0.046599,0.052290,0.062436,0.079533,0.106937", \ - "0.051250,0.054168,0.057860,0.064793,0.077252,0.098552,0.132958", \ - "0.062024,0.065443,0.069812,0.077950,0.092576,0.117766,0.159074"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007298,0.008391,0.009878,0.012838,0.018746,0.030525,0.054046", \ - "0.007298,0.008389,0.009876,0.012838,0.018748,0.030528,0.054046", \ - "0.008161,0.009030,0.010284,0.012959,0.018747,0.030527,0.054044", \ - "0.012396,0.013303,0.014506,0.016839,0.021359,0.031183,0.054046", \ - "0.018284,0.019331,0.020715,0.023357,0.028247,0.037302,0.055997", \ - "0.025604,0.026759,0.028314,0.031327,0.036923,0.046905,0.064907", \ - "0.034211,0.035469,0.037223,0.040612,0.046964,0.058245,0.077762"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.009328,0.010491,0.012075,0.015241,0.021562,0.034180,0.059366", \ - "0.009330,0.010493,0.012074,0.015240,0.021562,0.034181,0.059359", \ - "0.010076,0.011019,0.012371,0.015278,0.021562,0.034181,0.059380", \ - "0.015536,0.016556,0.017854,0.020214,0.024525,0.034823,0.059365", \ - "0.021818,0.023195,0.024968,0.028212,0.033760,0.042747,0.061664", \ - "0.028875,0.030593,0.032813,0.036896,0.043973,0.055514,0.073361", \ - "0.037004,0.039030,0.041671,0.046538,0.055024,0.069054,0.090933"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.016107,0.017473,0.019305,0.022904,0.029964,0.043871,0.071432", \ - "0.017502,0.018881,0.020729,0.024354,0.031447,0.045386,0.072972", \ - "0.021692,0.023078,0.024922,0.028551,0.035683,0.049685,0.077334", \ - "0.026947,0.028715,0.031005,0.035300,0.043183,0.057594,0.085336", \ - "0.031115,0.033416,0.036389,0.041871,0.051603,0.068362,0.097774", \ - "0.033582,0.036448,0.040145,0.046939,0.058947,0.079264,0.112772", \ - "0.034332,0.037765,0.042185,0.050299,0.064621,0.088799,0.127990"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.013507,0.014774,0.016486,0.019877,0.026589,0.039907,0.066398", \ - "0.015024,0.016303,0.018030,0.021444,0.028187,0.041536,0.068057", \ - "0.021465,0.022686,0.024357,0.027704,0.034378,0.047674,0.074170", \ - "0.030595,0.032456,0.034847,0.039255,0.046988,0.060212,0.086401", \ - "0.040095,0.042510,0.045604,0.051359,0.061598,0.078813,0.106318", \ - "0.050375,0.053297,0.057039,0.064008,0.076510,0.097871,0.132354", \ - "0.061611,0.065034,0.069412,0.077544,0.092155,0.117302,0.158584"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.008757,0.009847,0.011334,0.014305,0.020239,0.032108,0.055848", \ - "0.008755,0.009844,0.011333,0.014302,0.020239,0.032108,0.055848", \ - "0.009066,0.010063,0.011449,0.014286,0.020226,0.032105,0.055844", \ - "0.011933,0.012873,0.014151,0.016707,0.021824,0.032563,0.055837", \ - "0.016862,0.017895,0.019252,0.021841,0.026799,0.036652,0.057326", \ - "0.023225,0.024419,0.025970,0.028899,0.034248,0.044120,0.063518", \ - "0.030830,0.032200,0.033987,0.037347,0.043406,0.054036,0.073433"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010393,0.011647,0.013353,0.016766,0.023538,0.036802,0.062506", \ - "0.010388,0.011647,0.013354,0.016764,0.023535,0.036796,0.062493", \ - "0.011310,0.012314,0.013765,0.016851,0.023537,0.036793,0.062498", \ - "0.017736,0.018721,0.019979,0.022283,0.026734,0.037530,0.062504", \ - "0.025668,0.026927,0.028583,0.031637,0.036961,0.045693,0.064932", \ - "0.034826,0.036288,0.038229,0.041919,0.048493,0.059456,0.076725", \ - "0.045253,0.046843,0.049013,0.053190,0.060832,0.073959,0.094929"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.013158,0.014434,0.016169,0.019621,0.026495,0.040209,0.067603", \ - "0.014492,0.015795,0.017557,0.021047,0.027967,0.041720,0.069139", \ - "0.018250,0.019698,0.021605,0.025176,0.032161,0.045995,0.073489", \ - "0.022079,0.024031,0.026525,0.031087,0.039236,0.053857,0.081475", \ - "0.024328,0.026938,0.030253,0.036257,0.046637,0.064013,0.093800", \ - "0.024910,0.028176,0.032313,0.039791,0.052703,0.073971,0.108310", \ - "0.023865,0.027784,0.032719,0.041640,0.057052,0.082449,0.122806"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.013160,0.014427,0.016140,0.019529,0.026233,0.039530,0.065996", \ - "0.014674,0.015954,0.017682,0.021095,0.027830,0.041159,0.067648", \ - "0.021102,0.022351,0.024018,0.027358,0.034023,0.047300,0.073764", \ - "0.030032,0.031918,0.034340,0.038796,0.046590,0.059844,0.085992", \ - "0.039321,0.041767,0.044901,0.050713,0.061021,0.078341,0.105913", \ - "0.049375,0.052337,0.056122,0.063161,0.075750,0.097233,0.131835", \ - "0.060342,0.063819,0.068252,0.076469,0.091201,0.116484,0.157905"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.006603,0.007692,0.009185,0.012160,0.018099,0.029969,0.053691", \ - "0.006606,0.007696,0.009185,0.012160,0.018102,0.029969,0.053692", \ - "0.007485,0.008393,0.009675,0.012375,0.018110,0.029971,0.053696", \ - "0.010773,0.011688,0.012909,0.015348,0.020319,0.030704,0.053692", \ - "0.015972,0.016992,0.018327,0.020867,0.025699,0.035272,0.055533", \ - "0.022593,0.023736,0.025248,0.028116,0.033363,0.043043,0.062117", \ - "0.030419,0.031705,0.033428,0.036696,0.042636,0.053139,0.072273"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.008857,0.010003,0.011576,0.014716,0.021010,0.033604,0.058793", \ - "0.008854,0.010002,0.011575,0.014717,0.021010,0.033599,0.058785", \ - "0.009840,0.010728,0.012022,0.014817,0.021009,0.033595,0.058786", \ - "0.015268,0.016307,0.017632,0.020029,0.024273,0.034372,0.058783", \ - "0.021311,0.022721,0.024535,0.027830,0.033463,0.042544,0.061243", \ - "0.028071,0.029828,0.032099,0.036257,0.043447,0.055128,0.073088", \ - "0.035879,0.037947,0.040635,0.045566,0.054179,0.068410,0.090504"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.011469,0.012507,0.013916,0.016719,0.022298,0.033425,0.055648", \ - "0.012887,0.013945,0.015376,0.018211,0.023827,0.034986,0.057232", \ - "0.017392,0.018650,0.020275,0.023277,0.028963,0.040197,0.062509", \ - "0.021458,0.023326,0.025704,0.030019,0.037491,0.050070,0.072565", \ - "0.023627,0.026152,0.029362,0.035173,0.045192,0.061613,0.087832", \ - "0.024010,0.027182,0.031205,0.038484,0.051051,0.071687,0.104161", \ - "0.022644,0.026465,0.031275,0.039985,0.055037,0.079834,0.118956"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.014264,0.015522,0.017223,0.020598,0.027286,0.040564,0.067004", \ - "0.015783,0.017054,0.018770,0.022171,0.028896,0.042215,0.068694", \ - "0.022195,0.023415,0.025082,0.028417,0.035070,0.048337,0.074796", \ - "0.031706,0.033514,0.035847,0.040152,0.047747,0.060885,0.087021", \ - "0.041534,0.043888,0.046918,0.052564,0.062640,0.079658,0.106984", \ - "0.052142,0.055005,0.058650,0.065498,0.077833,0.098983,0.133219", \ - "0.063719,0.067063,0.071328,0.079325,0.093760,0.118693,0.159721"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.005741,0.006624,0.007822,0.010214,0.014987,0.024501,0.043507", \ - "0.005741,0.006624,0.007822,0.010212,0.014986,0.024501,0.043509", \ - "0.007007,0.007672,0.008591,0.010594,0.015008,0.024500,0.043509", \ - "0.011068,0.011846,0.012862,0.014804,0.018478,0.025889,0.043520", \ - "0.016637,0.017541,0.018736,0.020999,0.025163,0.032666,0.047087", \ - "0.023599,0.024608,0.025960,0.028566,0.033389,0.041900,0.056848", \ - "0.031809,0.032918,0.034450,0.037426,0.042939,0.052642,0.069239"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.009329,0.010492,0.012076,0.015241,0.021561,0.034176,0.059366", \ - "0.009328,0.010493,0.012074,0.015239,0.021562,0.034183,0.059372", \ - "0.010096,0.011034,0.012385,0.015282,0.021562,0.034174,0.059380", \ - "0.015492,0.016517,0.017824,0.020205,0.024526,0.034827,0.059366", \ - "0.021608,0.022997,0.024792,0.028056,0.033651,0.042682,0.061659", \ - "0.028402,0.030142,0.032375,0.036496,0.043644,0.055261,0.073218", \ - "0.036209,0.038254,0.040898,0.045788,0.054343,0.068504,0.090556"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.021204,0.022811,0.024969,0.029215,0.037559,0.054014,0.086655", \ - "0.022712,0.024335,0.026515,0.030792,0.039176,0.055672,0.088344", \ - "0.025916,0.027553,0.029751,0.034070,0.042522,0.059101,0.091850", \ - "0.029586,0.031404,0.033812,0.038447,0.047302,0.064046,0.096876", \ - "0.032637,0.034796,0.037607,0.042894,0.052654,0.070713,0.104390", \ - "0.033525,0.036251,0.039756,0.046197,0.057681,0.077727,0.113695", \ - "0.031421,0.034803,0.039141,0.047025,0.060859,0.084175,0.123425"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.034298,0.037010,0.040671,0.047912,0.062200,0.090458,0.146506", \ - "0.035112,0.037843,0.041525,0.048795,0.063119,0.091405,0.147466", \ - "0.039963,0.042672,0.046334,0.053582,0.067895,0.096184,0.152261", \ - "0.051588,0.054130,0.057641,0.064667,0.078664,0.106592,0.162347", \ - "0.067109,0.070381,0.074625,0.082625,0.097190,0.124357,0.179280", \ - "0.084025,0.087904,0.092893,0.102397,0.119832,0.150432,0.204555", \ - "0.102805,0.107177,0.112947,0.123784,0.143816,0.179302,0.239233"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010503,0.011798,0.013557,0.017075,0.024101,0.038145,0.066223", \ - "0.010504,0.011799,0.013556,0.017073,0.024103,0.038147,0.066223", \ - "0.010525,0.011780,0.013539,0.017065,0.024101,0.038146,0.066223", \ - "0.012044,0.013275,0.014961,0.018301,0.024861,0.038314,0.066215", \ - "0.015389,0.016532,0.018085,0.021225,0.027599,0.040620,0.066979", \ - "0.021095,0.022262,0.023801,0.026800,0.032714,0.044916,0.070519", \ - "0.028573,0.029842,0.031520,0.034720,0.040642,0.052122,0.076320"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.028734,0.031270,0.034695,0.041456,0.054693,0.080626,0.131867", \ - "0.028735,0.031271,0.034694,0.041455,0.054695,0.080623,0.131858", \ - "0.028730,0.031266,0.034692,0.041453,0.054694,0.080619,0.131851", \ - "0.030259,0.032436,0.035453,0.041680,0.054688,0.080616,0.131842", \ - "0.038313,0.040299,0.042913,0.047746,0.058174,0.081111,0.131818", \ - "0.047707,0.050018,0.053063,0.058839,0.069330,0.088624,0.132833", \ - "0.057689,0.060341,0.063817,0.070452,0.082563,0.103662,0.142186"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.017527,0.019043,0.021100,0.025193,0.033341,0.049590,0.082041", \ - "0.018954,0.020504,0.022598,0.026740,0.034943,0.051240,0.083727", \ - "0.021965,0.023570,0.025716,0.029934,0.038238,0.054646,0.087224", \ - "0.024849,0.026719,0.029173,0.033871,0.042779,0.059559,0.092240", \ - "0.026428,0.028827,0.031890,0.037509,0.047601,0.065881,0.099731", \ - "0.025214,0.028343,0.032308,0.039420,0.051691,0.072389,0.108722", \ - "0.020799,0.024734,0.029693,0.038525,0.053548,0.077993,0.118063"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.033471,0.036184,0.039846,0.047079,0.061337,0.089501,0.145379", \ - "0.034279,0.037013,0.040696,0.047959,0.062253,0.090452,0.146354", \ - "0.039136,0.041846,0.045508,0.052748,0.067030,0.095234,0.151155", \ - "0.050780,0.053343,0.056841,0.063847,0.077809,0.105651,0.161269", \ - "0.066003,0.069316,0.073602,0.081664,0.096314,0.123439,0.178204", \ - "0.082620,0.086535,0.091587,0.101162,0.118690,0.149404,0.203491", \ - "0.101088,0.105512,0.111339,0.122255,0.142380,0.177972,0.238137"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007954,0.009252,0.011018,0.014537,0.021573,0.035602,0.063644", \ - "0.007954,0.009253,0.011015,0.014539,0.021573,0.035606,0.063649", \ - "0.008261,0.009463,0.011131,0.014563,0.021568,0.035603,0.063643", \ - "0.010070,0.011239,0.012853,0.016129,0.022672,0.035925,0.063648", \ - "0.014063,0.015153,0.016620,0.019577,0.025673,0.038467,0.064610", \ - "0.020234,0.021375,0.022870,0.025753,0.031362,0.043112,0.068361", \ - "0.028146,0.029363,0.030967,0.034046,0.039770,0.050798,0.074413"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.022221,0.024583,0.027813,0.034268,0.047133,0.072796,0.124014", \ - "0.022214,0.024582,0.027815,0.034268,0.047137,0.072795,0.123992", \ - "0.022211,0.024580,0.027813,0.034265,0.047138,0.072785,0.123998", \ - "0.023836,0.025837,0.028637,0.034546,0.047124,0.072782,0.124005", \ - "0.030606,0.032730,0.035525,0.040702,0.050722,0.073312,0.123970", \ - "0.037851,0.040421,0.043795,0.050082,0.061281,0.080920,0.125007", \ - "0.045550,0.048572,0.052508,0.059880,0.073020,0.095357,0.134501"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.015881,0.017169,0.018917,0.022396,0.029319,0.043124,0.070694", \ - "0.017329,0.018647,0.020427,0.023947,0.030917,0.044764,0.072364", \ - "0.020566,0.021973,0.023815,0.027408,0.034467,0.048410,0.076090", \ - "0.023924,0.025639,0.027872,0.032078,0.039903,0.054413,0.082190", \ - "0.025641,0.027948,0.030884,0.036241,0.045650,0.062058,0.091516", \ - "0.024406,0.027442,0.031296,0.038208,0.050079,0.069632,0.102335", \ - "0.019893,0.023729,0.028567,0.037206,0.051879,0.075611,0.113216"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.036114,0.038788,0.042400,0.049562,0.063729,0.091799,0.147576", \ - "0.036941,0.039629,0.043265,0.050456,0.064665,0.092778,0.148586", \ - "0.041812,0.044483,0.048093,0.055262,0.069452,0.097564,0.153406", \ - "0.053362,0.055925,0.059419,0.066382,0.080264,0.108019,0.163541", \ - "0.069335,0.072516,0.076667,0.084510,0.098826,0.125874,0.180549", \ - "0.086548,0.090343,0.095254,0.104605,0.121806,0.152069,0.205959", \ - "0.105610,0.109902,0.115576,0.126265,0.146053,0.181208,0.240794"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007299,0.008391,0.009875,0.012841,0.018749,0.030529,0.054045", \ - "0.007299,0.008392,0.009878,0.012840,0.018749,0.030531,0.054045", \ - "0.007699,0.008694,0.010073,0.012890,0.018749,0.030532,0.054046", \ - "0.009986,0.010931,0.012241,0.014886,0.020245,0.031056,0.054050", \ - "0.014577,0.015498,0.016744,0.019187,0.024101,0.034354,0.055565", \ - "0.021137,0.022127,0.023434,0.025958,0.030774,0.040308,0.060491", \ - "0.029394,0.030438,0.031847,0.034585,0.039719,0.049232,0.068280"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.023224,0.025623,0.028890,0.035397,0.048342,0.074078,0.125338", \ - "0.023224,0.025623,0.028886,0.035395,0.048344,0.074074,0.125354", \ - "0.023215,0.025615,0.028885,0.035398,0.048333,0.074079,0.125344", \ - "0.024531,0.026600,0.029494,0.035553,0.048336,0.074070,0.125330", \ - "0.031296,0.033392,0.036168,0.041257,0.051528,0.074480,0.125316", \ - "0.038701,0.041241,0.044575,0.050809,0.061930,0.081663,0.126198", \ - "0.046511,0.049498,0.053392,0.060699,0.073745,0.095971,0.135255"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.024996,0.026628,0.028820,0.033130,0.041585,0.058194,0.091007", \ - "0.026295,0.027928,0.030126,0.034444,0.042906,0.059523,0.092341", \ - "0.029579,0.031219,0.033425,0.037758,0.046246,0.062893,0.095740", \ - "0.033759,0.035531,0.037887,0.042448,0.051212,0.067945,0.100830", \ - "0.037713,0.039741,0.042407,0.047503,0.057041,0.074894,0.108431", \ - "0.040119,0.042601,0.045834,0.051855,0.062803,0.082397,0.118035", \ - "0.040021,0.043079,0.047033,0.054297,0.067255,0.089663,0.128216"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.038237,0.040948,0.044606,0.051843,0.066128,0.094379,0.150398", \ - "0.039809,0.042525,0.046191,0.053438,0.067734,0.095993,0.152015", \ - "0.045144,0.047869,0.051548,0.058815,0.073147,0.101447,0.157508", \ - "0.054400,0.057095,0.060733,0.067966,0.082280,0.110568,0.166665", \ - "0.066627,0.069785,0.073903,0.081869,0.096867,0.125043,0.181015", \ - "0.080949,0.084546,0.089265,0.098197,0.114975,0.145673,0.201814", \ - "0.097817,0.101848,0.107131,0.117127,0.135630,0.169340,0.229668"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.012892,0.014204,0.015986,0.019538,0.026612,0.040718,0.068871", \ - "0.012897,0.014203,0.015986,0.019537,0.026616,0.040718,0.068874", \ - "0.012900,0.014202,0.015982,0.019534,0.026612,0.040717,0.068876", \ - "0.014199,0.015457,0.017171,0.020552,0.027226,0.040854,0.068873", \ - "0.017041,0.018255,0.019899,0.023186,0.029748,0.042910,0.069547", \ - "0.022263,0.023459,0.025054,0.028182,0.034393,0.046986,0.072854", \ - "0.029300,0.030603,0.032308,0.035584,0.041719,0.053723,0.078459"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.028736,0.031271,0.034696,0.041454,0.054695,0.080627,0.131843", \ - "0.028732,0.031270,0.034695,0.041454,0.054694,0.080622,0.131840", \ - "0.028728,0.031265,0.034695,0.041455,0.054694,0.080622,0.131846", \ - "0.029544,0.031887,0.035098,0.041559,0.054687,0.080615,0.131842", \ - "0.034920,0.037057,0.039913,0.045449,0.056932,0.081017,0.131845", \ - "0.041735,0.043972,0.046976,0.052856,0.064144,0.085854,0.132702", \ - "0.049301,0.051660,0.054819,0.061029,0.072943,0.095451,0.138758"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.020938,0.022532,0.024680,0.028912,0.037248,0.053710,0.086385", \ - "0.022226,0.023825,0.025979,0.030221,0.038567,0.055038,0.087719", \ - "0.025454,0.027072,0.029242,0.033511,0.041892,0.058400,0.091113", \ - "0.029066,0.030878,0.033275,0.037887,0.046694,0.063429,0.096189", \ - "0.031962,0.034148,0.036980,0.042292,0.052064,0.070093,0.103757", \ - "0.032676,0.035449,0.039013,0.045520,0.057060,0.077141,0.113089", \ - "0.030725,0.034159,0.038546,0.046490,0.060373,0.083694,0.122911"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.037410,0.040120,0.043780,0.051008,0.065261,0.093422,0.149292", \ - "0.038980,0.041697,0.045365,0.052603,0.066869,0.095041,0.150918", \ - "0.044314,0.047040,0.050719,0.057980,0.072281,0.100498,0.156423", \ - "0.053572,0.056277,0.059911,0.067132,0.081415,0.109623,0.165562", \ - "0.065618,0.068790,0.072932,0.080929,0.095965,0.124114,0.179896", \ - "0.079744,0.083368,0.088120,0.097093,0.113922,0.144681,0.200739", \ - "0.096394,0.100460,0.105783,0.115830,0.134421,0.168208,0.228534"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010526,0.011818,0.013582,0.017107,0.024147,0.038210,0.066329", \ - "0.010528,0.011820,0.013583,0.017110,0.024149,0.038214,0.066332", \ - "0.010694,0.011936,0.013642,0.017123,0.024149,0.038210,0.066332", \ - "0.012186,0.013413,0.015078,0.018414,0.025016,0.038461,0.066326", \ - "0.015574,0.016717,0.018270,0.021404,0.027754,0.040749,0.067160", \ - "0.021232,0.022405,0.023945,0.026955,0.032884,0.045090,0.070682", \ - "0.028555,0.029818,0.031496,0.034703,0.040663,0.052230,0.076497"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.022219,0.024583,0.027814,0.034272,0.047135,0.072793,0.123998", \ - "0.022211,0.024582,0.027814,0.034270,0.047133,0.072796,0.124005", \ - "0.022204,0.024579,0.027814,0.034267,0.047135,0.072787,0.124006", \ - "0.023074,0.025248,0.028255,0.034391,0.047133,0.072790,0.124010", \ - "0.027587,0.029768,0.032674,0.038323,0.049425,0.073212,0.123972", \ - "0.032963,0.035332,0.038473,0.044580,0.056208,0.078128,0.124850", \ - "0.039059,0.041611,0.045012,0.051604,0.064063,0.087287,0.130971"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.018660,0.020022,0.021855,0.025465,0.032565,0.046570,0.074349", \ - "0.019986,0.021353,0.023191,0.026808,0.033917,0.047931,0.075715", \ - "0.023461,0.024851,0.026707,0.030349,0.037490,0.051538,0.079346", \ - "0.027701,0.029328,0.031470,0.035548,0.043231,0.057632,0.085498", \ - "0.030923,0.033008,0.035699,0.040684,0.049673,0.065709,0.094931", \ - "0.031635,0.034334,0.037793,0.044103,0.055187,0.073971,0.106141", \ - "0.029565,0.032922,0.037207,0.044988,0.058522,0.081041,0.117604"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.040063,0.042732,0.046343,0.053499,0.067660,0.095721,0.151500", \ - "0.041654,0.044332,0.047951,0.055120,0.069301,0.097383,0.153179", \ - "0.046986,0.049671,0.053298,0.060489,0.074702,0.102828,0.158688", \ - "0.056247,0.058901,0.062489,0.069648,0.083837,0.111948,0.167810", \ - "0.068781,0.071864,0.075873,0.083694,0.098485,0.126473,0.182145", \ - "0.083422,0.086920,0.091516,0.100293,0.116834,0.147248,0.203071", \ - "0.100608,0.104532,0.109723,0.119514,0.137770,0.171179,0.231098"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.009519,0.010602,0.012077,0.015031,0.020932,0.032716,0.056294", \ - "0.009518,0.010601,0.012079,0.015028,0.020930,0.032720,0.056301", \ - "0.009750,0.010775,0.012191,0.015058,0.020933,0.032717,0.056292", \ - "0.011685,0.012669,0.014019,0.016722,0.022117,0.033139,0.056289", \ - "0.015830,0.016774,0.018049,0.020592,0.025702,0.036160,0.057623", \ - "0.021953,0.022974,0.024323,0.026931,0.031924,0.041786,0.062314", \ - "0.029619,0.030735,0.032224,0.035090,0.040410,0.050258,0.069823"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.023226,0.025621,0.028891,0.035396,0.048342,0.074075,0.125346", \ - "0.023221,0.025625,0.028889,0.035397,0.048345,0.074077,0.125350", \ - "0.023219,0.025619,0.028885,0.035396,0.048337,0.074085,0.125370", \ - "0.023923,0.026146,0.029213,0.035454,0.048337,0.074072,0.125350", \ - "0.028376,0.030570,0.033485,0.039124,0.050409,0.074427,0.125319", \ - "0.033783,0.036145,0.039316,0.045428,0.057083,0.079106,0.126125", \ - "0.039844,0.042411,0.045828,0.052434,0.064935,0.088182,0.132044"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.015103,0.016717,0.018885,0.023144,0.031508,0.047993,0.080681", \ - "0.016407,0.018034,0.020218,0.024504,0.032902,0.049423,0.082143", \ - "0.021286,0.022788,0.024888,0.029129,0.037511,0.054037,0.086774", \ - "0.027486,0.029677,0.032507,0.037722,0.046917,0.063223,0.095769", \ - "0.031500,0.034331,0.038016,0.044796,0.056812,0.077115,0.110469", \ - "0.033261,0.036743,0.041240,0.049533,0.064273,0.089306,0.129956", \ - "0.032593,0.036694,0.042020,0.051834,0.069267,0.098868,0.147233"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.020453,0.023113,0.026690,0.033774,0.047802,0.075679,0.131277", \ - "0.021295,0.023985,0.027618,0.034794,0.048963,0.076992,0.132706", \ - "0.026482,0.029048,0.032555,0.039592,0.053667,0.081712,0.137524", \ - "0.036154,0.039360,0.043438,0.050909,0.064478,0.092011,0.147470", \ - "0.046716,0.050691,0.055766,0.065161,0.081738,0.109768,0.164275", \ - "0.058791,0.063437,0.069383,0.080454,0.100233,0.133862,0.189433", \ - "0.072480,0.077836,0.084637,0.097285,0.119926,0.158874,0.222815"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010537,0.011831,0.013589,0.017111,0.024145,0.038212,0.066331", \ - "0.010536,0.011827,0.013589,0.017113,0.024146,0.038209,0.066330", \ - "0.010729,0.011876,0.013510,0.016949,0.024136,0.038210,0.066330", \ - "0.015549,0.016681,0.018154,0.020968,0.026251,0.038418,0.066325", \ - "0.022270,0.023669,0.025457,0.028800,0.034779,0.045379,0.067721", \ - "0.030643,0.032310,0.034449,0.038426,0.045491,0.057621,0.078348", \ - "0.040644,0.042672,0.045214,0.049877,0.058048,0.071965,0.095202"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.019624,0.022278,0.025834,0.032757,0.046116,0.072013,0.122972", \ - "0.019621,0.022279,0.025834,0.032755,0.046121,0.072009,0.122972", \ - "0.019840,0.022263,0.025814,0.032755,0.046116,0.072008,0.122971", \ - "0.026230,0.027617,0.029904,0.035063,0.046530,0.072010,0.122970", \ - "0.035096,0.036983,0.039553,0.044490,0.053452,0.073976,0.122968", \ - "0.045558,0.047470,0.050202,0.055666,0.065998,0.084289,0.125337", \ - "0.057851,0.059736,0.062493,0.068226,0.079559,0.100327,0.137060"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.011394,0.012916,0.014979,0.019079,0.027234,0.043487,0.075943", \ - "0.012632,0.014175,0.016265,0.020406,0.028611,0.044912,0.077398", \ - "0.017145,0.018850,0.021014,0.025033,0.033209,0.049517,0.082029", \ - "0.021098,0.023564,0.026700,0.032390,0.042227,0.058751,0.091046", \ - "0.022999,0.026190,0.030262,0.037650,0.050498,0.071792,0.105813", \ - "0.022612,0.026593,0.031595,0.040651,0.056396,0.082624,0.124513", \ - "0.019813,0.024503,0.030451,0.041195,0.059861,0.090879,0.140683"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.019731,0.022383,0.025957,0.033026,0.047015,0.074818,0.130258", \ - "0.020567,0.023251,0.026876,0.034042,0.048170,0.076128,0.131691", \ - "0.025780,0.028331,0.031829,0.038844,0.052878,0.080846,0.136506", \ - "0.035177,0.038440,0.042579,0.050128,0.063703,0.091152,0.146453", \ - "0.045433,0.049478,0.054619,0.064112,0.080811,0.108916,0.163259", \ - "0.057161,0.061909,0.067941,0.079126,0.099040,0.132822,0.188421", \ - "0.070460,0.075943,0.082854,0.095651,0.118454,0.157568,0.221673"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.007936,0.009240,0.011008,0.014536,0.021569,0.035606,0.063645", \ - "0.007902,0.009219,0.011000,0.014536,0.021573,0.035602,0.063641", \ - "0.009280,0.010178,0.011554,0.014616,0.021536,0.035603,0.063647", \ - "0.014343,0.015472,0.016949,0.019740,0.024891,0.036221,0.063646", \ - "0.021158,0.022552,0.024344,0.027668,0.033643,0.044194,0.065652", \ - "0.029717,0.031345,0.033485,0.037393,0.044385,0.056481,0.077158", \ - "0.039897,0.041886,0.044396,0.048967,0.057036,0.070830,0.094011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.014417,0.016747,0.019926,0.026289,0.038998,0.064406,0.115213", \ - "0.014418,0.016746,0.019928,0.026287,0.039009,0.064414,0.115204", \ - "0.014702,0.016777,0.019903,0.026287,0.038996,0.064420,0.115207", \ - "0.020088,0.021935,0.024143,0.028719,0.039454,0.064417,0.115205", \ - "0.026511,0.028686,0.031592,0.037000,0.046483,0.066459,0.115211", \ - "0.034224,0.036653,0.039935,0.046203,0.057540,0.076851,0.117634", \ - "0.043591,0.046218,0.049768,0.056664,0.069419,0.091737,0.129446"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010132,0.011417,0.013157,0.016616,0.023499,0.037219,0.064613", \ - "0.011425,0.012728,0.014489,0.017983,0.024906,0.038663,0.066085", \ - "0.015775,0.017340,0.019317,0.022865,0.029739,0.043485,0.070917", \ - "0.019040,0.021317,0.024214,0.029444,0.038446,0.053172,0.080366", \ - "0.020091,0.023076,0.026868,0.033715,0.045559,0.065074,0.095787", \ - "0.018620,0.022379,0.027073,0.035536,0.050178,0.074358,0.112692", \ - "0.014510,0.018948,0.024544,0.034636,0.052111,0.080916,0.126728"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.019720,0.022370,0.025945,0.033015,0.047007,0.074806,0.130253", \ - "0.020474,0.023156,0.026781,0.033948,0.048087,0.076045,0.131621", \ - "0.025691,0.028232,0.031716,0.038713,0.052733,0.080697,0.136363", \ - "0.035244,0.038490,0.042609,0.050130,0.063665,0.091066,0.146332", \ - "0.045785,0.049801,0.054912,0.064355,0.080984,0.109005,0.163271", \ - "0.057966,0.062658,0.068635,0.079739,0.099549,0.133193,0.188656", \ - "0.071941,0.077324,0.084138,0.096800,0.119439,0.158362,0.222239"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.006599,0.007691,0.009185,0.012160,0.018101,0.029971,0.053694", \ - "0.006584,0.007686,0.009180,0.012159,0.018100,0.029970,0.053691", \ - "0.008419,0.009235,0.010260,0.012609,0.018070,0.029971,0.053691", \ - "0.013430,0.014445,0.015754,0.018192,0.022603,0.031398,0.053691", \ - "0.020108,0.021366,0.022977,0.025951,0.031259,0.040411,0.057414", \ - "0.028512,0.029989,0.031934,0.035481,0.041746,0.052501,0.070449", \ - "0.038537,0.040344,0.042655,0.046846,0.054157,0.066502,0.087041"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.014419,0.016746,0.019924,0.026292,0.039004,0.064411,0.115214", \ - "0.014420,0.016743,0.019928,0.026288,0.038999,0.064416,0.115207", \ - "0.014722,0.016789,0.019900,0.026292,0.038997,0.064423,0.115211", \ - "0.020056,0.021909,0.024129,0.028720,0.039463,0.064417,0.115205", \ - "0.026323,0.028522,0.031443,0.036888,0.046404,0.066441,0.115213", \ - "0.033814,0.036252,0.039564,0.045880,0.057288,0.076679,0.117589", \ - "0.042930,0.045516,0.049106,0.056048,0.068908,0.091355,0.129215"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.018814,0.020445,0.022637,0.026949,0.035404,0.052010,0.084820", \ - "0.019882,0.021515,0.023713,0.028033,0.036496,0.053111,0.085927", \ - "0.024467,0.026090,0.028285,0.032594,0.041039,0.057636,0.090438", \ - "0.032327,0.034338,0.036957,0.041819,0.050523,0.066869,0.099474", \ - "0.038275,0.040865,0.044276,0.050592,0.061924,0.081347,0.114232", \ - "0.042263,0.045395,0.049521,0.057192,0.071051,0.094947,0.134371", \ - "0.044349,0.048001,0.052792,0.061761,0.077988,0.106116,0.152884"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.024554,0.027174,0.030714,0.037747,0.051726,0.079565,0.135137", \ - "0.026102,0.028770,0.032370,0.039496,0.053600,0.081565,0.137232", \ - "0.031118,0.033758,0.037345,0.044493,0.058680,0.086809,0.142664", \ - "0.039038,0.042049,0.046004,0.053466,0.067551,0.095621,0.151526", \ - "0.048021,0.051613,0.056275,0.065056,0.081138,0.109979,0.165675", \ - "0.058725,0.062953,0.068365,0.078450,0.096735,0.129174,0.186356", \ - "0.071220,0.076122,0.082360,0.093851,0.114417,0.150452,0.213087"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.012898,0.014210,0.015986,0.019540,0.026616,0.040718,0.068876", \ - "0.012908,0.014219,0.015992,0.019542,0.026614,0.040718,0.068874", \ - "0.012855,0.014121,0.015884,0.019530,0.026620,0.040718,0.068875", \ - "0.017133,0.018236,0.019694,0.022490,0.028052,0.040798,0.068873", \ - "0.023890,0.025263,0.027023,0.030312,0.036246,0.046821,0.069948", \ - "0.031907,0.033602,0.035756,0.039768,0.046881,0.058999,0.079800", \ - "0.041249,0.043286,0.045854,0.050616,0.059003,0.073158,0.096513"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.019622,0.022277,0.025834,0.032755,0.046116,0.072011,0.122962", \ - "0.019623,0.022278,0.025834,0.032755,0.046120,0.072009,0.122973", \ - "0.019664,0.022293,0.025837,0.032756,0.046119,0.072008,0.122965", \ - "0.023369,0.025251,0.028044,0.033978,0.046321,0.072010,0.122967", \ - "0.030082,0.032035,0.034735,0.040148,0.050631,0.073297,0.122965", \ - "0.038320,0.040151,0.042733,0.048088,0.058896,0.079851,0.124694", \ - "0.048094,0.049773,0.052273,0.057533,0.068383,0.090081,0.132120"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.014722,0.016317,0.018463,0.022690,0.031012,0.047447,0.080071", \ - "0.015772,0.017375,0.019530,0.023769,0.032103,0.048548,0.081178", \ - "0.020496,0.022033,0.024120,0.028336,0.036652,0.053076,0.085686", \ - "0.026532,0.028745,0.031600,0.036840,0.046064,0.062352,0.094740", \ - "0.030603,0.033463,0.037146,0.043941,0.055948,0.076232,0.109557", \ - "0.032830,0.036279,0.040754,0.048996,0.063637,0.088541,0.129071", \ - "0.033187,0.037220,0.042444,0.052082,0.069245,0.098495,0.146530"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.023841,0.026450,0.029984,0.037001,0.050943,0.078700,0.134114", \ - "0.025379,0.028038,0.031631,0.038744,0.052811,0.080696,0.136214", \ - "0.030396,0.033029,0.036608,0.043736,0.057887,0.085936,0.141638", \ - "0.038169,0.041203,0.045183,0.052700,0.066758,0.094749,0.150504", \ - "0.046940,0.050573,0.055279,0.064113,0.080248,0.109110,0.164656", \ - "0.057393,0.061678,0.067158,0.077323,0.095689,0.128183,0.185330", \ - "0.069578,0.074568,0.080894,0.092501,0.113191,0.149309,0.211960"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.010540,0.011821,0.013570,0.017081,0.024106,0.038144,0.066220", \ - "0.010547,0.011830,0.013584,0.017087,0.024104,0.038145,0.066224", \ - "0.011003,0.012115,0.013694,0.017036,0.024118,0.038147,0.066219", \ - "0.015959,0.017065,0.018507,0.021274,0.026516,0.038490,0.066211", \ - "0.022623,0.024015,0.025812,0.029148,0.035086,0.045631,0.067797", \ - "0.030558,0.032273,0.034456,0.038515,0.045669,0.057838,0.078542", \ - "0.039821,0.041888,0.044502,0.049301,0.057722,0.071921,0.095312"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.014420,0.016744,0.019928,0.026291,0.039003,0.064406,0.115209", \ - "0.014417,0.016749,0.019928,0.026290,0.038994,0.064414,0.115209", \ - "0.014460,0.016766,0.019929,0.026291,0.039005,0.064420,0.115213", \ - "0.017723,0.019683,0.022214,0.027569,0.039227,0.064407,0.115208", \ - "0.022644,0.024675,0.027466,0.032990,0.043608,0.065726,0.115197", \ - "0.028985,0.031067,0.033928,0.039645,0.050865,0.072353,0.116963", \ - "0.036614,0.038757,0.041764,0.047704,0.059313,0.081792,0.124446"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.012792,0.014148,0.015970,0.019553,0.026596,0.040485,0.068031", \ - "0.013926,0.015288,0.017117,0.020710,0.027761,0.041658,0.069209", \ - "0.018767,0.020181,0.021988,0.025522,0.032546,0.046414,0.073941", \ - "0.023958,0.025998,0.028635,0.033446,0.041884,0.056113,0.083416", \ - "0.027060,0.029729,0.033155,0.039437,0.050506,0.069077,0.098874", \ - "0.028135,0.031369,0.035550,0.043229,0.056809,0.079748,0.116807", \ - "0.027067,0.030862,0.035773,0.044808,0.060810,0.087921,0.132059"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.023828,0.026439,0.029973,0.036993,0.050931,0.078691,0.134109", \ - "0.025300,0.027958,0.031551,0.038663,0.052735,0.080627,0.136149", \ - "0.030312,0.032934,0.036503,0.043616,0.057754,0.085799,0.141510", \ - "0.038119,0.041147,0.045119,0.052623,0.066654,0.094613,0.150355", \ - "0.047012,0.050631,0.055318,0.064128,0.080229,0.109048,0.164543", \ - "0.057847,0.062085,0.067514,0.077615,0.095890,0.128288,0.185347", \ - "0.070660,0.075571,0.081801,0.093282,0.113805,0.149741,0.212216"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.008798,0.009876,0.011352,0.014312,0.020241,0.032106,0.055842", \ - "0.008799,0.009882,0.011357,0.014314,0.020240,0.032106,0.055845", \ - "0.009782,0.010626,0.011839,0.014462,0.020252,0.032108,0.055847", \ - "0.014852,0.015832,0.017098,0.019473,0.023825,0.033122,0.055846", \ - "0.021359,0.022608,0.024220,0.027204,0.032481,0.041582,0.059008", \ - "0.029161,0.030718,0.032698,0.036352,0.042787,0.053623,0.071583", \ - "0.038352,0.040233,0.042622,0.046985,0.054585,0.067322,0.088092"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("0.014415,0.016748,0.019927,0.026284,0.038994,0.064407,0.115221", \ - "0.014416,0.016747,0.019928,0.026288,0.038993,0.064422,0.115211", \ - "0.014463,0.016768,0.019928,0.026293,0.039004,0.064418,0.115212", \ - "0.017736,0.019699,0.022232,0.027581,0.039232,0.064412,0.115211", \ - "0.022614,0.024654,0.027453,0.032980,0.043614,0.065736,0.115198", \ - "0.028811,0.030897,0.033788,0.039539,0.050789,0.072324,0.116961", \ - "0.036224,0.038435,0.041408,0.047394,0.059072,0.081645,0.124385"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("3.269491,3.282814,3.326633,3.377183,3.431856,3.486234,3.570987", \ - "3.200798,3.219804,3.265821,3.321589,3.374491,3.458940,3.550757", \ - "3.074413,3.092861,3.143199,3.185700,3.270082,3.376968,3.498491", \ - "3.042662,3.076897,3.099900,3.150111,3.222713,3.312353,3.443615", \ - "3.507411,3.489247,3.460255,3.429578,3.380616,3.410065,3.489693", \ - "4.464274,4.418936,4.341356,4.219740,4.062405,3.859136,3.760678", \ - "5.993852,5.921086,5.803219,5.639893,5.316502,4.871402,4.408398"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("10.897230,10.920870,10.943300,10.977880,10.925440,10.962450,11.125620", \ - "10.842670,10.818490,10.843250,10.923100,10.979580,10.913540,10.960210", \ - "10.765050,10.790340,10.815550,10.878120,10.776530,10.856780,10.785040", \ - "11.304350,11.306190,11.286060,11.222340,11.235690,11.059200,11.208130", \ - "12.281480,12.247810,12.288970,12.266050,12.107470,11.858730,11.559510", \ - "13.864600,13.821210,13.732860,13.684320,13.401450,13.094360,12.775420", \ - "16.300040,16.254210,16.150490,15.958980,15.637960,15.144100,14.399040"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.252753,2.265033,2.296391,2.324407,2.356461,2.403638,2.437089", \ - "2.168864,2.186729,2.213076,2.258035,2.307211,2.372453,2.412836", \ - "1.990126,2.033530,2.051295,2.119259,2.198130,2.269553,2.360143", \ - "2.030727,2.022929,2.034503,2.060686,2.130676,2.210369,2.303867", \ - "2.566766,2.530010,2.500748,2.432420,2.327749,2.314839,2.348856", \ - "3.636536,3.576765,3.489888,3.315408,3.081885,2.810280,2.633700", \ - "5.262597,5.166325,5.050120,4.816862,4.436510,3.894363,3.324088"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("9.599297,9.626970,9.654475,9.574562,9.713117,9.632985,9.721471", \ - "9.482152,9.517354,9.553351,9.547980,9.676112,9.637443,9.672475", \ - "9.467989,9.502290,9.526687,9.511081,9.616371,9.569902,9.785150", \ - "9.941420,9.931190,9.924117,9.885581,9.912457,9.831904,9.918135", \ - "10.789290,10.812100,10.822260,10.739930,10.733190,10.588570,10.241090", \ - "12.473650,12.430310,12.388610,12.289220,12.063180,11.869920,11.235820", \ - "14.871750,14.810650,14.744630,14.522110,14.196310,13.637090,13.116780"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.237178,2.266954,2.285445,2.313012,2.352133,2.392615,2.432596", \ - "2.146811,2.180058,2.211577,2.252843,2.292094,2.353158,2.413326", \ - "1.991660,2.019071,2.054993,2.117521,2.180649,2.266225,2.358435", \ - "2.044609,2.029402,2.030895,2.075066,2.134071,2.204912,2.292782", \ - "2.615158,2.559059,2.517861,2.448578,2.348409,2.322872,2.352309", \ - "3.704176,3.621785,3.537456,3.377240,3.138240,2.856947,2.654684", \ - "5.368644,5.276388,5.135604,4.906712,4.494922,3.953847,3.384926"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("10.236780,10.250530,10.296430,10.320900,10.384590,10.357400,10.318070", \ - "10.154280,10.144680,10.196970,10.270900,10.317870,10.331720,10.483590", \ - "10.102240,10.149150,10.148440,10.181750,10.176460,10.245690,10.410080", \ - "10.630840,10.628880,10.620360,10.523630,10.512520,10.572910,10.377900", \ - "11.465550,11.500050,11.522560,11.488370,11.436940,11.171920,11.085110", \ - "13.146360,13.092790,13.059700,12.962560,12.771930,12.648390,12.192960", \ - "15.528790,15.472020,15.413730,15.205660,14.951470,14.455120,13.877850"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.252753,2.265033,2.296391,2.324407,2.356461,2.403638,2.437089", \ - "2.168864,2.186729,2.213076,2.258035,2.307211,2.372453,2.412836", \ - "1.990126,2.033530,2.051295,2.119259,2.198130,2.269553,2.360143", \ - "2.030727,2.022929,2.034503,2.060686,2.130676,2.210369,2.303867", \ - "2.566766,2.530010,2.500748,2.432420,2.327749,2.314839,2.348856", \ - "3.636536,3.576765,3.489888,3.315408,3.081885,2.810280,2.633700", \ - "5.262597,5.166325,5.050120,4.816862,4.436510,3.894363,3.324088"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("9.599297,9.626970,9.654475,9.574562,9.713117,9.632985,9.721471", \ - "9.482152,9.517354,9.553351,9.547980,9.676112,9.637443,9.672475", \ - "9.467989,9.502290,9.526687,9.511081,9.616371,9.569902,9.785150", \ - "9.941420,9.931190,9.924117,9.885581,9.912457,9.831904,9.918135", \ - "10.789290,10.812100,10.822260,10.739930,10.733190,10.588570,10.241090", \ - "12.473650,12.430310,12.388610,12.289220,12.063180,11.869920,11.235820", \ - "14.871750,14.810650,14.744630,14.522110,14.196310,13.637090,13.116780"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.262693,1.271727,1.268342,1.284514,1.299301,1.301522,1.305135", \ - "1.113360,1.155714,1.170240,1.197185,1.239563,1.271137,1.284330", \ - "0.932561,0.957292,0.993366,1.031630,1.102984,1.169053,1.229405", \ - "1.058272,1.034927,0.999633,0.996672,1.039672,1.094659,1.170450", \ - "1.692907,1.646636,1.564645,1.448913,1.299890,1.217741,1.225329", \ - "2.929788,2.804654,2.690393,2.467456,2.140740,1.767419,1.528089", \ - "4.663013,4.528169,4.367673,4.073892,3.583810,2.908426,2.248319"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("8.266090,8.255244,8.326359,8.343171,8.418020,8.332927,8.297245", \ - "8.147756,8.169401,8.227513,8.209503,8.272002,8.431261,8.510919", \ - "8.120947,8.151513,8.131111,8.241097,8.273093,8.220952,8.424363", \ - "8.529486,8.571245,8.611340,8.608490,8.563525,8.588126,8.239961", \ - "9.355422,9.324786,9.373994,9.407551,9.474090,9.281180,9.015885", \ - "11.022570,10.998470,10.917360,10.882860,10.748590,10.422740,10.022820", \ - "13.342260,13.319440,13.286970,13.155730,12.815360,12.308310,11.714440"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.254047,1.268903,1.282153,1.278368,1.299359,1.303697,1.301325", \ - "1.135712,1.136640,1.165868,1.212767,1.239586,1.270773,1.290576", \ - "0.934368,0.964676,0.998575,1.044306,1.108811,1.178105,1.228545", \ - "1.065883,1.042926,1.016665,1.012007,1.048810,1.106674,1.171169", \ - "1.725279,1.664335,1.588753,1.483583,1.330880,1.239061,1.231268", \ - "2.984825,2.868935,2.736138,2.513453,2.178584,1.817693,1.549048", \ - "4.757518,4.640271,4.447531,4.153326,3.656591,2.983073,2.321319"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("8.919324,8.943421,8.963114,8.975112,8.995049,8.972822,9.149973", \ - "8.808121,8.835829,8.864483,8.943677,8.917311,9.067821,9.075499", \ - "8.788309,8.813048,8.836214,8.863268,8.957457,8.884765,9.067216", \ - "9.193087,9.296831,9.301849,9.235454,9.152749,9.085585,9.242232", \ - "9.993241,9.992175,10.057820,10.057040,10.117110,9.800196,9.656214", \ - "11.663940,11.647850,11.571520,11.549390,11.426300,11.222850,10.863760", \ - "14.046870,14.031130,13.942530,13.825240,13.559330,13.117020,12.672180"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.237468,2.235549,2.271215,2.292313,2.340237,2.391949,2.432912", \ - "2.143387,2.156320,2.189331,2.233364,2.285619,2.359970,2.412681", \ - "1.971260,2.015290,2.041245,2.090146,2.183191,2.266573,2.355994", \ - "2.083748,2.066158,2.062210,2.082116,2.135355,2.201943,2.304239", \ - "2.674847,2.651391,2.586115,2.516832,2.415054,2.351092,2.372892", \ - "3.808580,3.752718,3.638831,3.503316,3.248280,2.954228,2.704015", \ - "5.485546,5.388453,5.276875,5.073629,4.682521,4.116232,3.503879"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("9.568155,9.595869,9.623529,9.657570,9.677609,9.651460,9.680458", \ - "9.427826,9.463467,9.499930,9.544647,9.464219,9.530312,9.606464", \ - "9.435559,9.461382,9.400589,9.419461,9.533752,9.500126,9.733731", \ - "10.012000,9.992125,9.930255,9.913274,9.873840,9.720481,9.719008", \ - "10.956650,10.935710,10.904410,10.867240,10.818880,10.658380,10.328900", \ - "12.672690,12.604720,12.592640,12.399180,12.149670,11.981420,11.541980", \ - "15.223220,15.169700,15.090320,14.822870,14.447560,14.099780,13.429660"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.266066,1.273867,1.276986,1.286108,1.298507,1.307672,1.306220", \ - "1.107654,1.140256,1.182176,1.204426,1.237557,1.273175,1.287278", \ - "0.933859,0.966304,1.002800,1.049743,1.101248,1.178950,1.229011", \ - "1.139094,1.116294,1.082581,1.025783,1.063926,1.109103,1.176277", \ - "1.816539,1.762244,1.697917,1.574654,1.409003,1.268576,1.247852", \ - "3.065006,2.998434,2.863579,2.644322,2.306345,1.923305,1.596308", \ - "4.840875,4.747285,4.596623,4.325246,3.833101,3.166238,2.443615"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("8.201737,8.241866,8.290726,8.324966,8.382974,8.381960,8.425383", \ - "8.087214,8.103020,8.167303,8.207669,8.273203,8.311633,8.237947", \ - "8.092357,8.111744,8.128039,8.194216,8.252313,8.248978,8.410261", \ - "8.552853,8.634295,8.626719,8.619272,8.591228,8.568768,8.443707", \ - "9.422831,9.489621,9.454376,9.506608,9.554560,9.189394,8.771737", \ - "11.200810,11.169650,11.157380,11.035120,10.805030,10.729030,10.241820", \ - "13.713990,13.664290,13.629150,13.467600,13.061970,12.531970,11.903000"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.255643,1.272798,1.278771,1.274478,1.288540,1.304950,1.307992", \ - "1.117593,1.148466,1.183366,1.208389,1.246292,1.265653,1.290693", \ - "0.948364,0.965031,0.993863,1.044338,1.111190,1.176395,1.235135", \ - "1.143006,1.125438,1.105653,1.054614,1.068674,1.119401,1.183930", \ - "1.868661,1.799926,1.706566,1.607691,1.440473,1.278611,1.264690", \ - "3.151742,3.058961,2.918626,2.686405,2.376353,1.982390,1.628049", \ - "4.966066,4.827263,4.693657,4.405044,3.938320,3.248507,2.528547"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("8.888495,8.912619,8.946342,8.990194,9.015159,8.932655,9.121901", \ - "8.749457,8.781373,8.810427,8.872947,8.853449,8.993248,9.109249", \ - "8.755101,8.778175,8.798771,8.810117,8.901273,8.900347,8.985041", \ - "9.172028,9.318725,9.319209,9.280950,9.154664,9.210463,9.209633", \ - "10.086710,10.165910,10.143320,10.161250,10.235350,10.019370,9.622847", \ - "11.910140,11.893730,11.819940,11.764690,11.625640,11.407810,10.966020", \ - "14.445630,14.385240,14.292810,14.159470,13.818400,13.482130,12.817630"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.351868,2.361338,2.395700,2.423957,2.464057,2.496262,2.546568", \ - "2.339791,2.364146,2.398620,2.435609,2.495701,2.554171,2.601491", \ - "2.186460,2.209538,2.263035,2.320185,2.405116,2.503255,2.588504", \ - "2.107131,2.120845,2.126584,2.184548,2.289810,2.394327,2.520953", \ - "2.470260,2.466863,2.452938,2.417629,2.374379,2.400905,2.504390", \ - "3.207390,3.198921,3.157175,3.067299,2.948167,2.772253,2.684924", \ - "4.326719,4.312342,4.267152,4.149966,3.980687,3.633499,3.230459"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("11.471900,11.489470,11.508830,11.523970,11.581310,11.695750,11.824370", \ - "11.323750,11.351260,11.374840,11.376250,11.351020,11.606210,11.709040", \ - "11.263180,11.261290,11.282300,11.362690,11.347520,11.517450,11.626540", \ - "11.622690,11.585350,11.585870,11.588380,11.628760,11.646450,11.706010", \ - "12.491380,12.476640,12.444180,12.329330,12.190460,12.159810,11.863180", \ - "13.776770,13.794220,13.793030,13.714760,13.455660,13.183930,12.657790", \ - "15.639010,15.584540,15.542750,15.446100,15.352990,14.901490,14.136870"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.361719,1.369475,1.379503,1.388568,1.390199,1.396880,1.411562", \ - "1.315540,1.334217,1.354295,1.392489,1.417394,1.446324,1.472703", \ - "1.070826,1.127676,1.164008,1.224275,1.315103,1.390685,1.446881", \ - "1.091756,1.076883,1.074276,1.087284,1.182643,1.277914,1.382212", \ - "1.496620,1.478886,1.447423,1.391102,1.321170,1.288404,1.372457", \ - "2.317740,2.271231,2.204648,2.112839,1.932909,1.701230,1.557712", \ - "3.453360,3.426343,3.356115,3.250861,3.027416,2.616092,2.136552"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("10.032470,10.060330,10.118180,10.129590,10.252840,10.161290,10.457150", \ - "9.888260,9.920830,10.002260,10.050100,10.091080,10.068370,10.189320", \ - "9.848576,9.879136,9.905740,9.961054,10.050790,10.015380,10.319780", \ - "10.195200,10.205670,10.211810,10.192400,10.208990,10.143850,10.411350", \ - "11.111060,11.052490,11.061600,11.024400,10.944790,10.695690,10.766730", \ - "12.238430,12.272720,12.247050,12.305950,12.192420,11.712490,11.404780", \ - "14.110930,14.106950,14.054400,14.014400,13.824960,13.552590,12.773800"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.353539,1.368242,1.381463,1.389565,1.391119,1.400495,1.412678", \ - "1.308831,1.337087,1.358344,1.389958,1.422651,1.454075,1.470278", \ - "1.088074,1.130262,1.160363,1.237805,1.318987,1.395916,1.453539", \ - "1.094873,1.094021,1.095235,1.099094,1.182333,1.289389,1.386833", \ - "1.529739,1.499123,1.461974,1.411112,1.350698,1.305090,1.380552", \ - "2.328879,2.286424,2.231226,2.143088,1.969369,1.746394,1.569791", \ - "3.496640,3.472468,3.415820,3.267412,3.058170,2.665181,2.196326"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("10.727580,10.751350,10.770540,10.816290,10.917730,11.007850,11.042400", \ - "10.586150,10.637080,10.689870,10.727200,10.821970,10.871160,10.935060", \ - "10.550800,10.578700,10.600100,10.674870,10.636740,10.882950,10.856180", \ - "10.893580,10.906740,10.911860,10.958810,10.914060,10.888240,10.944810", \ - "11.807040,11.773950,11.720880,11.718150,11.542140,11.490240,11.436410", \ - "12.950320,12.951810,12.988810,13.024510,12.777900,12.514820,12.262140", \ - "14.848000,14.821010,14.812090,14.750020,14.654450,14.330900,13.659930"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("3.222301,3.230464,3.268061,3.305596,3.343644,3.412465,3.479187", \ - "3.128636,3.137586,3.146892,3.189467,3.260999,3.314046,3.390238", \ - "2.993647,3.028565,3.040777,3.104639,3.154637,3.233864,3.327518", \ - "2.936861,2.967746,2.982428,3.046892,3.100992,3.194537,3.289965", \ - "3.278058,3.256604,3.258662,3.239908,3.195647,3.245066,3.322245", \ - "3.930549,3.913046,3.882807,3.844360,3.740871,3.602367,3.526744", \ - "5.017295,5.007244,4.948647,4.889745,4.727992,4.465583,4.091407"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("13.030430,13.053930,13.073460,13.058640,13.164490,13.228690,13.132410", \ - "12.935820,12.961040,12.982020,12.986970,13.096240,13.141440,12.992390", \ - "12.841070,12.823080,12.893130,12.883540,13.005590,13.085530,13.178700", \ - "12.853370,12.828730,12.891580,12.906400,12.871820,13.062200,13.220290", \ - "13.184940,13.193860,13.187380,13.133990,13.196700,13.212860,13.271700", \ - "13.855920,13.895100,13.905880,13.932800,13.877950,13.775630,13.600990", \ - "15.142590,15.093130,15.112290,15.082710,14.979150,14.853690,14.510040"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.207480,2.209704,2.218899,2.243245,2.281129,2.306828,2.348358", \ - "2.099435,2.112630,2.114927,2.139273,2.175601,2.222729,2.264664", \ - "1.942340,1.985320,1.999314,2.043886,2.094890,2.147325,2.200041", \ - "1.920520,1.909038,1.920138,1.966886,2.020626,2.084541,2.160419", \ - "2.283217,2.258496,2.237839,2.207970,2.138871,2.143330,2.182990", \ - "2.977244,2.966814,2.928303,2.846323,2.725149,2.541919,2.411571", \ - "4.147854,4.093842,4.041973,3.959259,3.757110,3.434257,2.999917"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("11.597530,11.625260,11.648390,11.713340,11.736780,11.879630,12.021980", \ - "11.502670,11.531920,11.560240,11.635320,11.642190,11.640530,11.933090", \ - "11.408050,11.439730,11.467610,11.536770,11.645220,11.592720,11.603110", \ - "11.420320,11.446810,11.466730,11.501930,11.616720,11.728560,11.859530", \ - "11.785360,11.800820,11.759060,11.811000,11.750380,11.819110,11.692080", \ - "12.294970,12.346230,12.394840,12.419750,12.450830,12.438700,12.351030", \ - "13.629310,13.614230,13.620400,13.628350,13.613370,13.516790,12.938640"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.190154,2.209419,2.227829,2.246259,2.267658,2.307214,2.341050", \ - "2.090990,2.111547,2.104840,2.144932,2.176271,2.211477,2.252038", \ - "1.949903,1.977145,1.977231,2.020581,2.082300,2.140306,2.193069", \ - "1.942940,1.914771,1.924404,1.961501,2.008783,2.079192,2.147524", \ - "2.280378,2.285430,2.263338,2.221897,2.172549,2.138400,2.180854", \ - "3.021188,2.991129,2.938021,2.879746,2.747794,2.570515,2.408362", \ - "4.168579,4.150344,4.079343,3.984618,3.777076,3.464871,3.054068"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("12.293930,12.317160,12.336570,12.358510,12.348810,12.585290,12.671160", \ - "12.203240,12.236530,12.249510,12.337850,12.422320,12.474820,12.533390", \ - "12.107190,12.140550,12.158330,12.196060,12.285210,12.314710,12.420850", \ - "12.116790,12.139530,12.155780,12.160290,12.158330,12.410030,12.451410", \ - "12.467710,12.459070,12.460860,12.447510,12.486730,12.458450,12.497820", \ - "13.034950,13.037180,13.097290,13.171350,13.186120,12.996310,12.843530", \ - "14.333400,14.323310,14.341800,14.330160,14.340280,14.177580,13.779000"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.353419,2.361422,2.388961,2.403511,2.457303,2.489306,2.546332", \ - "2.348286,2.366770,2.394479,2.422541,2.492309,2.549006,2.605201", \ - "2.302414,2.327917,2.358358,2.397474,2.467494,2.538945,2.608517", \ - "2.553893,2.523584,2.502945,2.502689,2.528644,2.575784,2.627781", \ - "3.331681,3.288835,3.210978,3.098704,2.930566,2.845489,2.803549", \ - "4.604657,4.518131,4.424171,4.229651,3.916347,3.534996,3.261672", \ - "6.287306,6.210456,6.108548,5.896647,5.481432,4.847643,4.141816"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("7.185675,7.219302,7.237684,7.271835,7.236621,7.162429,7.110506", \ - "7.047479,7.084734,7.118929,7.161223,7.094114,7.337389,7.161661", \ - "7.052741,7.067407,7.084438,7.119297,7.124302,7.236973,7.324871", \ - "7.458093,7.440554,7.438453,7.385768,7.373296,7.327571,7.373955", \ - "8.421867,8.354503,8.274213,8.103649,7.953002,7.655990,7.673365", \ - "9.594222,9.542879,9.469948,9.436840,9.112957,8.802689,8.242181", \ - "11.559680,11.437480,11.325180,11.135120,10.759960,10.381150,9.657704"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.352407,1.353879,1.359953,1.373166,1.394436,1.405321,1.412295", \ - "1.315536,1.338502,1.354876,1.390335,1.419977,1.444410,1.465626", \ - "1.243782,1.272533,1.299562,1.337073,1.379450,1.425364,1.474090", \ - "1.648978,1.583248,1.527370,1.465819,1.453105,1.469539,1.501110", \ - "2.547462,2.446599,2.350445,2.172223,1.934982,1.763036,1.684922", \ - "3.884515,3.788849,3.657376,3.410220,3.005491,2.521055,2.164567", \ - "5.606875,5.541958,5.416330,5.136411,4.639102,3.893472,3.081206"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("5.857790,5.874471,5.938680,5.958246,5.918318,5.848240,5.867080", \ - "5.755889,5.788761,5.816688,5.886957,5.941090,5.962012,5.929958", \ - "5.732209,5.728126,5.751327,5.802216,5.767894,5.813181,6.011985", \ - "6.163822,6.154028,6.120032,6.037562,5.970360,5.949957,5.813007", \ - "6.843727,6.909253,6.932003,6.874675,6.705525,6.407648,6.254049", \ - "8.166749,8.097538,8.026414,7.925777,7.898637,7.508083,7.001809", \ - "10.155180,10.047340,9.922606,9.699172,9.477906,9.115429,8.291963"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("1.353884,1.340804,1.369195,1.375599,1.395863,1.408004,1.406303", \ - "1.291290,1.322011,1.352244,1.386355,1.420946,1.455894,1.467672", \ - "1.270578,1.289305,1.306762,1.332850,1.381966,1.440267,1.480529", \ - "1.714571,1.660632,1.594553,1.502602,1.480155,1.484809,1.506277", \ - "2.635186,2.554523,2.441178,2.267698,2.030532,1.803963,1.709971", \ - "3.959995,3.872137,3.766711,3.524749,3.155739,2.660990,2.228503", \ - "5.725462,5.630451,5.537663,5.309320,4.829842,4.102835,3.260811"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("5.849001,5.892788,5.938359,5.965207,5.958866,5.846423,6.048257", \ - "5.716037,5.767642,5.796056,5.857996,5.848267,5.812942,5.827831", \ - "5.712359,5.704090,5.722716,5.770667,5.730463,5.761883,5.735231", \ - "6.173293,6.154187,6.124039,6.031195,6.047147,5.928477,5.788042", \ - "6.937743,6.953336,6.974423,6.912285,6.752896,6.421171,6.254743", \ - "8.270613,8.227660,8.157147,8.073210,8.033405,7.547190,7.048706", \ - "10.337110,10.227460,10.101580,9.892817,9.620657,9.292070,8.399969"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("3.223757,3.242494,3.269333,3.297011,3.340662,3.395453,3.475260", \ - "3.097961,3.113213,3.151253,3.204271,3.255538,3.304888,3.386810", \ - "3.041373,3.075797,3.085134,3.125115,3.182900,3.268861,3.338171", \ - "3.232243,3.236299,3.237906,3.258401,3.290288,3.317379,3.377947", \ - "4.007973,3.954766,3.920733,3.819943,3.696521,3.635234,3.583795", \ - "5.250363,5.181551,5.114526,4.969722,4.700841,4.347549,4.096840", \ - "7.026579,6.957553,6.857509,6.663185,6.279270,5.719414,5.033428"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("8.800900,8.822481,8.838057,8.828248,8.754117,8.729741,8.749154", \ - "8.698286,8.730894,8.759680,8.790964,8.881289,8.717094,8.950470", \ - "8.484299,8.511899,8.537956,8.587587,8.718711,8.812377,8.874796", \ - "8.509484,8.519377,8.532101,8.554465,8.474886,8.453805,8.543332", \ - "8.944459,8.969967,8.935077,8.864435,8.744969,8.683259,8.564987", \ - "9.646259,9.627415,9.594790,9.592327,9.568075,9.315864,9.185253", \ - "11.117100,11.041520,10.933200,10.765800,10.567990,10.421960,9.770612"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.187359,2.212298,2.213116,2.252613,2.272190,2.302372,2.340068", \ - "2.080879,2.087164,2.125226,2.147046,2.171242,2.213864,2.259490", \ - "2.003765,2.018902,2.058804,2.077843,2.122382,2.157306,2.198930", \ - "2.294563,2.256023,2.220543,2.227334,2.227268,2.226144,2.243532", \ - "3.169774,3.095880,3.017808,2.891642,2.685216,2.560164,2.457718", \ - "4.508892,4.414236,4.318093,4.104383,3.782704,3.338122,2.990137", \ - "6.316525,6.225881,6.107537,5.883247,5.448651,4.767162,3.967893"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("7.467619,7.491153,7.539640,7.543842,7.546061,7.539274,7.508237", \ - "7.383989,7.388966,7.459092,7.466144,7.450937,7.420365,7.449175", \ - "7.192283,7.215359,7.245985,7.310100,7.385336,7.299378,7.311829", \ - "7.214329,7.246701,7.222682,7.222919,7.235526,7.219969,7.299608", \ - "7.413122,7.444092,7.563969,7.574671,7.493748,7.318047,7.313470", \ - "8.195001,8.175165,8.143627,8.156586,8.263736,7.856134,7.624036", \ - "9.701672,9.631296,9.547232,9.362524,9.151945,8.988178,8.509765"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("2.146529,2.190580,2.195173,2.231778,2.259141,2.288398,2.331725", \ - "2.049520,2.076579,2.103693,2.118333,2.156860,2.196120,2.250741", \ - "1.981507,2.016526,2.025174,2.063481,2.105473,2.146567,2.202295", \ - "2.348523,2.311026,2.266560,2.225147,2.232029,2.224069,2.244807", \ - "3.236535,3.169574,3.107607,2.973719,2.763494,2.588055,2.478167", \ - "4.598917,4.525607,4.437286,4.237793,3.917097,3.455404,3.047868", \ - "6.469021,6.380206,6.291367,6.056533,5.616950,4.959101,4.140427"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); - values ("7.471614,7.508666,7.537195,7.531943,7.561643,7.488829,7.594194", \ - "7.366242,7.371542,7.441674,7.432768,7.431774,7.434476,7.435770", \ - "7.173701,7.194909,7.223465,7.281161,7.225225,7.263710,7.286222", \ - "7.205661,7.236166,7.210615,7.219069,7.256499,7.193405,7.269893", \ - "7.422771,7.452217,7.557478,7.587918,7.459931,7.317295,7.290066", \ - "8.244596,8.221155,8.184939,8.152250,8.296441,7.878138,7.625337", \ - "9.817547,9.727272,9.657409,9.515238,9.287118,9.148443,8.551995"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI221_X4 - Cell Description : Combinational cell (OAI221_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI221_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 116.346072; - - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & !C2"; - value : 84.017120; - } - leakage_power () { - when : "!A & !B1 & !B2 & !C1 & C2"; - value : 82.779026; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & !C2"; - value : 82.779136; - } - leakage_power () { - when : "!A & !B1 & !B2 & C1 & C2"; - value : 79.400762; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & !C2"; - value : 98.816080; - } - leakage_power () { - when : "!A & !B1 & B2 & !C1 & C2"; - value : 99.869462; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & !C2"; - value : 99.869462; - } - leakage_power () { - when : "!A & !B1 & B2 & C1 & C2"; - value : 96.707413; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & !C2"; - value : 98.816080; - } - leakage_power () { - when : "!A & B1 & !B2 & !C1 & C2"; - value : 99.869462; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & !C2"; - value : 99.869462; - } - leakage_power () { - when : "!A & B1 & !B2 & C1 & C2"; - value : 96.707413; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & !C2"; - value : 113.436510; - } - leakage_power () { - when : "!A & B1 & B2 & !C1 & C2"; - value : 114.489894; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & !C2"; - value : 114.489893; - } - leakage_power () { - when : "!A & B1 & B2 & C1 & C2"; - value : 111.327843; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & !C2"; - value : 85.610459; - } - leakage_power () { - when : "A & !B1 & !B2 & !C1 & C2"; - value : 88.108713; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & !C2"; - value : 88.108821; - } - leakage_power () { - when : "A & !B1 & !B2 & C1 & C2"; - value : 84.849386; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & !C2"; - value : 127.281440; - } - leakage_power () { - when : "A & !B1 & B2 & !C1 & C2"; - value : 145.898500; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & !C2"; - value : 148.249200; - } - leakage_power () { - when : "A & !B1 & B2 & C1 & C2"; - value : 159.146130; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & !C2"; - value : 127.281550; - } - leakage_power () { - when : "A & B1 & !B2 & !C1 & C2"; - value : 148.249090; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & !C2"; - value : 150.599680; - } - leakage_power () { - when : "A & B1 & !B2 & C1 & C2"; - value : 161.496390; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & !C2"; - value : 141.906600; - } - leakage_power () { - when : "A & B1 & B2 & !C1 & C2"; - value : 159.147890; - } - leakage_power () { - when : "A & B1 & B2 & C1 & !C2"; - value : 161.498810; - } - leakage_power () { - when : "A & B1 & B2 & C1 & C2"; - value : 172.396620; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.643414; - fall_capacitance : 1.555228; - rise_capacitance : 1.643414; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.672754; - fall_capacitance : 1.417488; - rise_capacitance : 1.672754; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.653010; - fall_capacitance : 1.572588; - rise_capacitance : 1.653010; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.548184; - fall_capacitance : 1.396085; - rise_capacitance : 1.548184; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.584488; - fall_capacitance : 1.584488; - rise_capacitance : 1.560630; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "!(!(!(((C1 | C2) & A) & (B1 | B2))))"; - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.061248,0.065412,0.068811,0.074517,0.084476,0.103157,0.139988", \ - "0.062630,0.066793,0.070192,0.075898,0.085858,0.104539,0.141371", \ - "0.066846,0.071008,0.074408,0.080114,0.090073,0.108755,0.145585", \ - "0.074716,0.078885,0.082283,0.087987,0.097947,0.116629,0.153457", \ - "0.084964,0.089153,0.092573,0.098294,0.108277,0.126965,0.163795", \ - "0.095249,0.099489,0.102942,0.108696,0.118680,0.137376,0.174202", \ - "0.104780,0.109118,0.112635,0.118425,0.128415,0.147104,0.183923"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043691,0.048962,0.053709,0.062925,0.081251,0.117759,0.190626", \ - "0.045312,0.050583,0.055329,0.064544,0.082868,0.119378,0.192249", \ - "0.051508,0.056780,0.061525,0.070740,0.089065,0.125572,0.198436", \ - "0.063658,0.068953,0.073691,0.082891,0.101196,0.137708,0.210576", \ - "0.078276,0.083822,0.088583,0.097730,0.115980,0.152457,0.225318", \ - "0.093079,0.098979,0.103841,0.112953,0.131092,0.167525,0.240365", \ - "0.108333,0.114590,0.119636,0.128778,0.146822,0.183170,0.255976"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004782,0.006500,0.008208,0.011634,0.018843,0.034206,0.065991", \ - "0.004780,0.006499,0.008209,0.011634,0.018843,0.034206,0.065991", \ - "0.004780,0.006500,0.008209,0.011634,0.018843,0.034206,0.065991", \ - "0.004784,0.006502,0.008210,0.011635,0.018844,0.034206,0.065991", \ - "0.004901,0.006607,0.008303,0.011706,0.018887,0.034222,0.065993", \ - "0.005106,0.006786,0.008456,0.011821,0.018950,0.034246,0.066000", \ - "0.005450,0.007098,0.008731,0.012030,0.019073,0.034296,0.066012"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004952,0.008120,0.011983,0.020360,0.037591,0.072169,0.141421", \ - "0.004952,0.008121,0.011982,0.020364,0.037582,0.072164,0.141427", \ - "0.004953,0.008121,0.011983,0.020364,0.037589,0.072172,0.141434", \ - "0.005025,0.008168,0.012004,0.020370,0.037588,0.072171,0.141423", \ - "0.005554,0.008562,0.012205,0.020436,0.037614,0.072177,0.141427", \ - "0.006160,0.009164,0.012562,0.020556,0.037653,0.072211,0.141429", \ - "0.006772,0.009886,0.013079,0.020756,0.037713,0.072236,0.141443"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.056196,0.060337,0.063722,0.069408,0.079354,0.098030,0.134862", \ - "0.057555,0.061697,0.065081,0.070767,0.080714,0.099389,0.136222", \ - "0.061726,0.065867,0.069251,0.074938,0.084884,0.103560,0.140388", \ - "0.069397,0.073542,0.076927,0.082615,0.092563,0.111238,0.148069", \ - "0.078745,0.082920,0.086331,0.092044,0.102014,0.120696,0.157524", \ - "0.087856,0.092095,0.095545,0.101300,0.111284,0.129977,0.166798", \ - "0.096132,0.100485,0.104009,0.109810,0.119847,0.138554,0.175371"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042379,0.047524,0.052264,0.061498,0.079836,0.116350,0.189217", \ - "0.043998,0.049144,0.053883,0.063116,0.081455,0.117969,0.190840", \ - "0.050199,0.055345,0.060083,0.069316,0.087652,0.124165,0.197038", \ - "0.062353,0.067514,0.072242,0.081456,0.099779,0.136289,0.209160", \ - "0.076725,0.082076,0.086806,0.095972,0.114244,0.150731,0.223599", \ - "0.091290,0.096935,0.101712,0.110826,0.128997,0.165450,0.238303", \ - "0.106304,0.112283,0.117172,0.126270,0.144361,0.180728,0.253555"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004700,0.006426,0.008142,0.011583,0.018811,0.034192,0.065985", \ - "0.004698,0.006425,0.008143,0.011583,0.018811,0.034191,0.065985", \ - "0.004699,0.006425,0.008142,0.011582,0.018811,0.034192,0.065985", \ - "0.004715,0.006439,0.008153,0.011590,0.018815,0.034192,0.065984", \ - "0.004858,0.006565,0.008264,0.011674,0.018867,0.034214,0.065988", \ - "0.005100,0.006777,0.008447,0.011810,0.018942,0.034241,0.065996", \ - "0.005495,0.007136,0.008765,0.012054,0.019085,0.034298,0.066009"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004659,0.007944,0.011894,0.020328,0.037567,0.072171,0.141430", \ - "0.004659,0.007944,0.011894,0.020327,0.037564,0.072158,0.141418", \ - "0.004659,0.007943,0.011894,0.020330,0.037565,0.072160,0.141429", \ - "0.004733,0.007983,0.011913,0.020334,0.037562,0.072171,0.141431", \ - "0.005216,0.008282,0.012059,0.020384,0.037584,0.072165,0.141418", \ - "0.005770,0.008743,0.012304,0.020465,0.037621,0.072178,0.141433", \ - "0.006338,0.009334,0.012669,0.020595,0.037669,0.072204,0.141432"); - } - } - - timing () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051735,0.055840,0.059199,0.064856,0.074780,0.093444,0.130275", \ - "0.053134,0.057240,0.060599,0.066256,0.076179,0.094843,0.131674", \ - "0.058040,0.062147,0.065507,0.071164,0.081087,0.099752,0.136582", \ - "0.067199,0.071309,0.074671,0.080330,0.090255,0.108919,0.145751", \ - "0.077464,0.081611,0.085002,0.090690,0.100638,0.119316,0.156143", \ - "0.086770,0.091000,0.094440,0.100190,0.110162,0.128845,0.165664", \ - "0.094934,0.099299,0.102829,0.108634,0.118668,0.137364,0.174172"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043484,0.048635,0.053372,0.062604,0.080940,0.117453,0.190320", \ - "0.045116,0.050265,0.055002,0.064234,0.082570,0.119088,0.191954", \ - "0.051300,0.056450,0.061187,0.070418,0.088753,0.125266,0.198134", \ - "0.063577,0.068740,0.073469,0.082684,0.101008,0.137519,0.210390", \ - "0.078382,0.083725,0.088453,0.097621,0.115892,0.152382,0.225249", \ - "0.093392,0.099024,0.103798,0.112921,0.131094,0.167545,0.240400", \ - "0.108874,0.114836,0.119716,0.128816,0.146905,0.183283,0.256104"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004587,0.006321,0.008047,0.011505,0.018761,0.034168,0.065979", \ - "0.004588,0.006321,0.008047,0.011505,0.018761,0.034167,0.065979", \ - "0.004587,0.006321,0.008046,0.011505,0.018761,0.034168,0.065979", \ - "0.004611,0.006340,0.008064,0.011517,0.018766,0.034170,0.065977", \ - "0.004792,0.006497,0.008199,0.011618,0.018826,0.034194,0.065982", \ - "0.005095,0.006761,0.008425,0.011784,0.018918,0.034225,0.065990", \ - "0.005557,0.007183,0.008799,0.012070,0.019086,0.034290,0.066004"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004674,0.007951,0.011899,0.020328,0.037563,0.072174,0.141424", \ - "0.004674,0.007951,0.011897,0.020329,0.037561,0.072153,0.141417", \ - "0.004673,0.007951,0.011898,0.020333,0.037562,0.072172,0.141428", \ - "0.004737,0.007984,0.011914,0.020334,0.037567,0.072155,0.141424", \ - "0.005200,0.008272,0.012053,0.020382,0.037584,0.072157,0.141422", \ - "0.005750,0.008722,0.012291,0.020462,0.037624,0.072193,0.141426", \ - "0.006309,0.009304,0.012647,0.020587,0.037667,0.072203,0.141437"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.056196,0.060337,0.063722,0.069408,0.079354,0.098030,0.134862", \ - "0.057555,0.061697,0.065081,0.070767,0.080714,0.099389,0.136222", \ - "0.061726,0.065867,0.069251,0.074938,0.084884,0.103560,0.140388", \ - "0.069397,0.073542,0.076927,0.082615,0.092563,0.111238,0.148069", \ - "0.078745,0.082920,0.086331,0.092044,0.102014,0.120696,0.157524", \ - "0.087856,0.092095,0.095545,0.101300,0.111284,0.129977,0.166798", \ - "0.096132,0.100485,0.104009,0.109810,0.119847,0.138554,0.175371"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042379,0.047524,0.052264,0.061498,0.079836,0.116350,0.189217", \ - "0.043998,0.049144,0.053883,0.063116,0.081455,0.117969,0.190840", \ - "0.050199,0.055345,0.060083,0.069316,0.087652,0.124165,0.197038", \ - "0.062353,0.067514,0.072242,0.081456,0.099779,0.136289,0.209160", \ - "0.076725,0.082076,0.086806,0.095972,0.114244,0.150731,0.223599", \ - "0.091290,0.096935,0.101712,0.110826,0.128997,0.165450,0.238303", \ - "0.106304,0.112283,0.117172,0.126270,0.144361,0.180728,0.253555"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004700,0.006426,0.008142,0.011583,0.018811,0.034192,0.065985", \ - "0.004698,0.006425,0.008143,0.011583,0.018811,0.034191,0.065985", \ - "0.004699,0.006425,0.008142,0.011582,0.018811,0.034192,0.065985", \ - "0.004715,0.006439,0.008153,0.011590,0.018815,0.034192,0.065984", \ - "0.004858,0.006565,0.008264,0.011674,0.018867,0.034214,0.065988", \ - "0.005100,0.006777,0.008447,0.011810,0.018942,0.034241,0.065996", \ - "0.005495,0.007136,0.008765,0.012054,0.019085,0.034298,0.066009"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004659,0.007944,0.011894,0.020328,0.037567,0.072171,0.141430", \ - "0.004659,0.007944,0.011894,0.020327,0.037564,0.072158,0.141418", \ - "0.004659,0.007943,0.011894,0.020330,0.037565,0.072160,0.141429", \ - "0.004733,0.007983,0.011913,0.020334,0.037562,0.072171,0.141431", \ - "0.005216,0.008282,0.012059,0.020384,0.037584,0.072165,0.141418", \ - "0.005770,0.008743,0.012304,0.020465,0.037621,0.072178,0.141433", \ - "0.006338,0.009334,0.012669,0.020595,0.037669,0.072204,0.141432"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051293,0.055410,0.058777,0.064444,0.074376,0.093045,0.129877", \ - "0.052623,0.056740,0.060108,0.065774,0.075706,0.094375,0.131204", \ - "0.056727,0.060843,0.064211,0.069877,0.079809,0.098479,0.135309", \ - "0.064009,0.068132,0.071506,0.077179,0.087116,0.105786,0.142617", \ - "0.072263,0.076427,0.079829,0.085531,0.095495,0.114176,0.151005", \ - "0.080118,0.084361,0.087811,0.093562,0.103539,0.122229,0.159051", \ - "0.087081,0.091459,0.094999,0.100836,0.110890,0.129596,0.166409"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040998,0.046042,0.050781,0.060030,0.078375,0.114895,0.187762", \ - "0.042616,0.047660,0.052398,0.061648,0.079994,0.116514,0.189380", \ - "0.048822,0.053865,0.058603,0.067852,0.086196,0.122713,0.195583", \ - "0.060972,0.066022,0.070746,0.079975,0.098308,0.134822,0.207700", \ - "0.075082,0.080252,0.084964,0.094153,0.112445,0.148943,0.221816", \ - "0.089405,0.094787,0.099507,0.108635,0.126839,0.163307,0.236171", \ - "0.104189,0.109851,0.114619,0.123702,0.141833,0.178223,0.251067"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004613,0.006346,0.008072,0.011529,0.018778,0.034177,0.065979", \ - "0.004611,0.006346,0.008072,0.011528,0.018778,0.034177,0.065980", \ - "0.004612,0.006346,0.008073,0.011529,0.018778,0.034176,0.065979", \ - "0.004657,0.006383,0.008103,0.011550,0.018789,0.034180,0.065980", \ - "0.004824,0.006532,0.008234,0.011649,0.018848,0.034208,0.065985", \ - "0.005115,0.006789,0.008454,0.011814,0.018942,0.034238,0.065993", \ - "0.005575,0.007208,0.008828,0.012102,0.019111,0.034307,0.066011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004362,0.007791,0.011819,0.020295,0.037537,0.072149,0.141425", \ - "0.004366,0.007791,0.011817,0.020295,0.037537,0.072156,0.141432", \ - "0.004366,0.007791,0.011817,0.020293,0.037539,0.072147,0.141421", \ - "0.004438,0.007825,0.011835,0.020297,0.037539,0.072142,0.141425", \ - "0.004848,0.008034,0.011935,0.020340,0.037561,0.072151,0.141433", \ - "0.005338,0.008350,0.012090,0.020395,0.037587,0.072162,0.141424", \ - "0.005859,0.008790,0.012324,0.020471,0.037622,0.072178,0.141428"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047585,0.051670,0.055015,0.060654,0.070565,0.089223,0.126056", \ - "0.048960,0.053045,0.056389,0.062028,0.071940,0.090598,0.127429", \ - "0.053805,0.057890,0.061234,0.066874,0.076785,0.095444,0.132276", \ - "0.062416,0.066509,0.069861,0.075510,0.085427,0.104088,0.140918", \ - "0.071308,0.075451,0.078837,0.084523,0.094467,0.113140,0.149967", \ - "0.079275,0.083513,0.086958,0.092699,0.102679,0.121360,0.158177", \ - "0.086078,0.090475,0.094026,0.099876,0.109931,0.128642,0.165448"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042113,0.047159,0.051896,0.061145,0.079490,0.116010,0.188876", \ - "0.043743,0.048789,0.053526,0.062774,0.081120,0.117637,0.190506", \ - "0.049933,0.054979,0.059715,0.068964,0.087308,0.123822,0.196696", \ - "0.062219,0.067271,0.071996,0.081224,0.099556,0.136071,0.208947", \ - "0.076776,0.081941,0.086652,0.095841,0.114130,0.150624,0.223504", \ - "0.091552,0.096924,0.101644,0.110781,0.128985,0.165450,0.238322", \ - "0.106810,0.112457,0.117222,0.126315,0.144444,0.180852,0.253695"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004510,0.006250,0.007985,0.011457,0.018732,0.034154,0.065973", \ - "0.004510,0.006250,0.007985,0.011458,0.018732,0.034155,0.065975", \ - "0.004508,0.006251,0.007985,0.011458,0.018732,0.034155,0.065973", \ - "0.004568,0.006299,0.008025,0.011485,0.018747,0.034160,0.065976", \ - "0.004777,0.006481,0.008183,0.011603,0.018814,0.034189,0.065980", \ - "0.005133,0.006790,0.008448,0.011799,0.018924,0.034224,0.065987", \ - "0.005653,0.007270,0.008877,0.012130,0.019120,0.034302,0.066006"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004377,0.007797,0.011820,0.020294,0.037539,0.072150,0.141431", \ - "0.004377,0.007797,0.011821,0.020297,0.037544,0.072166,0.141426", \ - "0.004380,0.007797,0.011822,0.020294,0.037543,0.072141,0.141421", \ - "0.004440,0.007825,0.011835,0.020299,0.037544,0.072144,0.141427", \ - "0.004837,0.008028,0.011933,0.020340,0.037562,0.072151,0.141420", \ - "0.005323,0.008339,0.012085,0.020391,0.037583,0.072173,0.141425", \ - "0.005839,0.008771,0.012310,0.020467,0.037618,0.072177,0.141424"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.050235,0.054304,0.057641,0.063272,0.073176,0.091832,0.128662", \ - "0.051678,0.055748,0.059085,0.064716,0.074620,0.093276,0.130108", \ - "0.055866,0.059936,0.063273,0.068904,0.078808,0.097464,0.134296", \ - "0.063231,0.067305,0.070645,0.076281,0.086189,0.104845,0.141679", \ - "0.071629,0.075735,0.079098,0.084761,0.094688,0.113353,0.150183", \ - "0.079464,0.083633,0.087037,0.092746,0.102692,0.121364,0.158187", \ - "0.086143,0.090429,0.093904,0.099664,0.109653,0.128361,0.165177"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042318,0.047464,0.052203,0.061437,0.079776,0.116289,0.189156", \ - "0.043891,0.049037,0.053776,0.063009,0.081347,0.117860,0.190733", \ - "0.050119,0.055266,0.060004,0.069236,0.087574,0.124085,0.196957", \ - "0.062375,0.067536,0.072264,0.081480,0.099802,0.136311,0.209184", \ - "0.076965,0.082314,0.087043,0.096206,0.114480,0.150966,0.223837", \ - "0.091908,0.097545,0.102320,0.111437,0.129617,0.166072,0.238923", \ - "0.107545,0.113505,0.118386,0.127495,0.145593,0.181997,0.254818"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004471,0.006217,0.007954,0.011434,0.018717,0.034147,0.065970", \ - "0.004472,0.006217,0.007955,0.011434,0.018716,0.034147,0.065971", \ - "0.004470,0.006217,0.007955,0.011434,0.018716,0.034147,0.065971", \ - "0.004502,0.006241,0.007975,0.011447,0.018723,0.034149,0.065971", \ - "0.004644,0.006365,0.008083,0.011528,0.018769,0.034169,0.065976", \ - "0.004891,0.006579,0.008265,0.011662,0.018845,0.034193,0.065980", \ - "0.005288,0.006932,0.008575,0.011897,0.018983,0.034249,0.065993"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004658,0.007943,0.011894,0.020330,0.037567,0.072158,0.141424", \ - "0.004659,0.007943,0.011894,0.020327,0.037563,0.072161,0.141422", \ - "0.004661,0.007944,0.011894,0.020330,0.037565,0.072154,0.141429", \ - "0.004734,0.007983,0.011913,0.020333,0.037570,0.072160,0.141422", \ - "0.005210,0.008278,0.012057,0.020383,0.037586,0.072170,0.141421", \ - "0.005755,0.008728,0.012296,0.020464,0.037621,0.072179,0.141431", \ - "0.006305,0.009299,0.012646,0.020585,0.037661,0.072201,0.141426"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.046142,0.050193,0.053516,0.059130,0.069022,0.087674,0.124505", \ - "0.047564,0.051613,0.054935,0.060550,0.070443,0.089094,0.125927", \ - "0.051685,0.055735,0.059057,0.064673,0.074565,0.093217,0.130051", \ - "0.058578,0.062637,0.065968,0.071593,0.081491,0.100143,0.136973", \ - "0.065934,0.070033,0.073388,0.079041,0.088962,0.107625,0.144455", \ - "0.072593,0.076769,0.080173,0.085875,0.095819,0.114489,0.151310", \ - "0.078007,0.082319,0.085810,0.091612,0.101619,0.120303,0.157114"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.040937,0.045981,0.050719,0.059970,0.078314,0.114831,0.187700", \ - "0.042509,0.047553,0.052291,0.061541,0.079886,0.116406,0.189273", \ - "0.048743,0.053787,0.058523,0.067772,0.086118,0.122633,0.195510", \ - "0.060997,0.066047,0.070771,0.080002,0.098332,0.134847,0.207726", \ - "0.075323,0.080490,0.085201,0.094393,0.112682,0.149179,0.222052", \ - "0.090032,0.095406,0.100126,0.109264,0.127471,0.163937,0.236803", \ - "0.105444,0.111088,0.115853,0.124943,0.143090,0.179510,0.252355"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004396,0.006150,0.007896,0.011389,0.018689,0.034135,0.065968", \ - "0.004394,0.006150,0.007896,0.011389,0.018689,0.034135,0.065968", \ - "0.004396,0.006150,0.007896,0.011389,0.018690,0.034135,0.065969", \ - "0.004459,0.006202,0.007938,0.011418,0.018704,0.034139,0.065971", \ - "0.004620,0.006343,0.008060,0.011509,0.018756,0.034161,0.065975", \ - "0.004914,0.006595,0.008278,0.011670,0.018848,0.034192,0.065981", \ - "0.005370,0.007007,0.008640,0.011946,0.019011,0.034258,0.065992"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004364,0.007791,0.011818,0.020292,0.037538,0.072164,0.141425", \ - "0.004365,0.007791,0.011819,0.020292,0.037538,0.072148,0.141424", \ - "0.004364,0.007791,0.011817,0.020295,0.037542,0.072162,0.141420", \ - "0.004439,0.007824,0.011834,0.020298,0.037542,0.072149,0.141432", \ - "0.004844,0.008031,0.011935,0.020340,0.037555,0.072156,0.141426", \ - "0.005320,0.008339,0.012086,0.020390,0.037588,0.072157,0.141429", \ - "0.005829,0.008761,0.012308,0.020464,0.037618,0.072174,0.141435"); - } - } - - timing () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042086,0.046100,0.049396,0.054981,0.064850,0.083490,0.120326", \ - "0.043576,0.047590,0.050887,0.056472,0.066340,0.084982,0.121817", \ - "0.048637,0.052650,0.055947,0.061531,0.071401,0.090041,0.126876", \ - "0.056899,0.060926,0.064234,0.069830,0.079706,0.098349,0.135181", \ - "0.064821,0.068894,0.072231,0.077863,0.087763,0.106414,0.143246", \ - "0.071547,0.075715,0.079110,0.084806,0.094735,0.113400,0.150217", \ - "0.076770,0.081095,0.084594,0.090401,0.100418,0.119093,0.155900"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042052,0.047098,0.051834,0.061084,0.079430,0.115944,0.188816", \ - "0.043632,0.048677,0.053414,0.062663,0.081008,0.117526,0.190397", \ - "0.049850,0.054896,0.059631,0.068879,0.087223,0.123740,0.196612", \ - "0.062244,0.067295,0.072028,0.081259,0.099589,0.136097,0.208974", \ - "0.077040,0.082203,0.086915,0.096091,0.114392,0.150883,0.223761", \ - "0.092190,0.097555,0.102274,0.111404,0.129626,0.166091,0.238960", \ - "0.108061,0.113694,0.118454,0.127560,0.145712,0.182134,0.254984"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004273,0.006038,0.007796,0.011309,0.018639,0.034113,0.065965", \ - "0.004273,0.006038,0.007795,0.011310,0.018638,0.034114,0.065963", \ - "0.004273,0.006039,0.007797,0.011311,0.018639,0.034113,0.065962", \ - "0.004358,0.006106,0.007851,0.011348,0.018658,0.034119,0.065965", \ - "0.004558,0.006280,0.007999,0.011455,0.018717,0.034142,0.065968", \ - "0.004917,0.006585,0.008259,0.011645,0.018824,0.034175,0.065974", \ - "0.005436,0.007059,0.008677,0.011964,0.019009,0.034247,0.065989"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004377,0.007797,0.011820,0.020294,0.037547,0.072154,0.141420", \ - "0.004379,0.007797,0.011821,0.020296,0.037541,0.072147,0.141423", \ - "0.004380,0.007798,0.011821,0.020297,0.037541,0.072149,0.141420", \ - "0.004439,0.007825,0.011835,0.020299,0.037541,0.072144,0.141426", \ - "0.004832,0.008024,0.011930,0.020335,0.037563,0.072149,0.141422", \ - "0.005307,0.008328,0.012079,0.020391,0.037587,0.072170,0.141425", \ - "0.005811,0.008743,0.012297,0.020460,0.037620,0.072172,0.141427"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.058330,0.062470,0.065854,0.071540,0.081487,0.100162,0.136991", \ - "0.059925,0.064064,0.067448,0.073134,0.083080,0.101756,0.138586", \ - "0.063237,0.067376,0.070760,0.076447,0.086393,0.105068,0.141901", \ - "0.067965,0.072110,0.075495,0.081182,0.091129,0.109805,0.146638", \ - "0.073446,0.077618,0.081023,0.086739,0.096702,0.115385,0.152212", \ - "0.078697,0.082917,0.086358,0.092101,0.102080,0.120771,0.157597", \ - "0.082453,0.086768,0.090269,0.096079,0.106083,0.124781,0.161604"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.076776,0.082588,0.087431,0.096576,0.114790,0.151257,0.224115", \ - "0.077657,0.083469,0.088313,0.097457,0.115670,0.152137,0.224997", \ - "0.082441,0.088251,0.093096,0.102239,0.120454,0.156925,0.229780", \ - "0.093437,0.099249,0.104094,0.113241,0.131449,0.167915,0.240774", \ - "0.111767,0.117605,0.122453,0.131595,0.149761,0.186211,0.259064", \ - "0.133739,0.139836,0.144788,0.153900,0.171942,0.208336,0.281150", \ - "0.157162,0.163556,0.168685,0.177840,0.195752,0.232071,0.304857"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004700,0.006426,0.008142,0.011583,0.018811,0.034192,0.065985", \ - "0.004698,0.006426,0.008142,0.011582,0.018811,0.034192,0.065985", \ - "0.004699,0.006426,0.008142,0.011583,0.018811,0.034192,0.065982", \ - "0.004713,0.006436,0.008152,0.011589,0.018814,0.034193,0.065985", \ - "0.004826,0.006538,0.008240,0.011657,0.018856,0.034211,0.065989", \ - "0.005012,0.006705,0.008389,0.011770,0.018922,0.034236,0.065994", \ - "0.005334,0.007000,0.008651,0.011975,0.019047,0.034291,0.066012"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005975,0.009002,0.012478,0.020536,0.037653,0.072227,0.141439", \ - "0.005976,0.009001,0.012478,0.020535,0.037654,0.072228,0.141444", \ - "0.005975,0.009002,0.012477,0.020537,0.037659,0.072216,0.141440", \ - "0.005977,0.009002,0.012477,0.020536,0.037657,0.072217,0.141436", \ - "0.006044,0.009062,0.012512,0.020548,0.037659,0.072225,0.141444", \ - "0.006527,0.009571,0.012844,0.020670,0.037691,0.072238,0.141444", \ - "0.007072,0.010215,0.013333,0.020872,0.037751,0.072264,0.141458"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.053425,0.057541,0.060908,0.066575,0.076508,0.095176,0.132009", \ - "0.054987,0.059103,0.062471,0.068137,0.078069,0.096739,0.133568", \ - "0.058218,0.062336,0.065703,0.071370,0.081302,0.099972,0.136803", \ - "0.062723,0.066846,0.070218,0.075890,0.085825,0.104496,0.141326", \ - "0.067622,0.071779,0.075173,0.080866,0.090828,0.109507,0.146335", \ - "0.071943,0.076163,0.079600,0.085341,0.095316,0.114006,0.150833", \ - "0.074384,0.078717,0.082228,0.088048,0.098044,0.116754,0.153577"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.074769,0.080275,0.085027,0.094171,0.112423,0.148908,0.221772", \ - "0.075647,0.081153,0.085907,0.095051,0.113301,0.149786,0.222651", \ - "0.080432,0.085936,0.090690,0.099835,0.118086,0.154574,0.227435", \ - "0.091447,0.096952,0.101705,0.110850,0.129095,0.165578,0.238444", \ - "0.109771,0.115306,0.120058,0.129198,0.147397,0.183869,0.256734", \ - "0.131457,0.137228,0.142030,0.151117,0.169214,0.205627,0.278471", \ - "0.154606,0.160652,0.165555,0.174636,0.192575,0.228930,0.301736"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004611,0.006346,0.008072,0.011528,0.018778,0.034177,0.065977", \ - "0.004611,0.006347,0.008072,0.011529,0.018778,0.034177,0.065981", \ - "0.004613,0.006347,0.008072,0.011529,0.018778,0.034177,0.065981", \ - "0.004646,0.006375,0.008096,0.011544,0.018786,0.034180,0.065981", \ - "0.004774,0.006489,0.008198,0.011623,0.018833,0.034201,0.065986", \ - "0.005004,0.006697,0.008379,0.011761,0.018916,0.034232,0.065992", \ - "0.005391,0.007049,0.008693,0.012006,0.019063,0.034297,0.066014"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005508,0.008521,0.012186,0.020428,0.037622,0.072191,0.141429", \ - "0.005508,0.008522,0.012187,0.020428,0.037619,0.072195,0.141431", \ - "0.005507,0.008520,0.012188,0.020431,0.037622,0.072196,0.141433", \ - "0.005507,0.008520,0.012188,0.020428,0.037615,0.072186,0.141441", \ - "0.005586,0.008579,0.012218,0.020437,0.037616,0.072192,0.141437", \ - "0.006031,0.008974,0.012436,0.020507,0.037642,0.072206,0.141436", \ - "0.006521,0.009487,0.012760,0.020619,0.037670,0.072230,0.141451"); - } - } - - timing () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.049707,0.053792,0.057137,0.062776,0.072687,0.091347,0.128175", \ - "0.051274,0.055359,0.058703,0.064343,0.074254,0.092912,0.129743", \ - "0.054768,0.058854,0.062198,0.067838,0.077749,0.096408,0.133238", \ - "0.060154,0.064248,0.067599,0.073245,0.083161,0.101820,0.138650", \ - "0.065992,0.070123,0.073501,0.079174,0.089115,0.107784,0.144616", \ - "0.070797,0.075004,0.078430,0.084159,0.094117,0.112799,0.149620", \ - "0.073363,0.077696,0.081206,0.087015,0.097009,0.115712,0.152528"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.077251,0.082770,0.087523,0.096664,0.114911,0.151395,0.224259", \ - "0.078150,0.083667,0.088419,0.097560,0.115807,0.152292,0.225158", \ - "0.082949,0.088465,0.093220,0.102361,0.120607,0.157091,0.229961", \ - "0.093991,0.099509,0.104264,0.113403,0.131649,0.168129,0.240997", \ - "0.112449,0.117990,0.122744,0.131877,0.150089,0.186557,0.259423", \ - "0.134690,0.140457,0.145258,0.154331,0.172437,0.208872,0.281702", \ - "0.158368,0.164410,0.169310,0.178381,0.196315,0.232699,0.305506"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004510,0.006250,0.007985,0.011457,0.018731,0.034155,0.065975", \ - "0.004510,0.006250,0.007985,0.011458,0.018731,0.034155,0.065972", \ - "0.004509,0.006251,0.007985,0.011458,0.018732,0.034154,0.065972", \ - "0.004555,0.006290,0.008016,0.011480,0.018744,0.034158,0.065971", \ - "0.004708,0.006425,0.008135,0.011570,0.018795,0.034181,0.065978", \ - "0.004983,0.006668,0.008348,0.011729,0.018890,0.034216,0.065986", \ - "0.005424,0.007070,0.008702,0.012004,0.019055,0.034286,0.066005"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005535,0.008541,0.012199,0.020433,0.037624,0.072195,0.141438", \ - "0.005534,0.008541,0.012198,0.020433,0.037616,0.072197,0.141435", \ - "0.005535,0.008542,0.012198,0.020432,0.037617,0.072201,0.141431", \ - "0.005536,0.008541,0.012198,0.020434,0.037621,0.072205,0.141427", \ - "0.005596,0.008587,0.012221,0.020441,0.037619,0.072200,0.141428", \ - "0.006031,0.008970,0.012433,0.020506,0.037641,0.072204,0.141443", \ - "0.006521,0.009480,0.012755,0.020619,0.037668,0.072229,0.141447"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.062979,0.067143,0.070542,0.076248,0.086209,0.104889,0.141718", \ - "0.064310,0.068472,0.071871,0.077577,0.087537,0.106217,0.143047", \ - "0.067658,0.071818,0.075218,0.080923,0.090884,0.109565,0.146394", \ - "0.072576,0.076744,0.080143,0.085848,0.095810,0.114490,0.151322", \ - "0.078524,0.082712,0.086130,0.091848,0.101826,0.120512,0.157340", \ - "0.084450,0.088678,0.092124,0.097874,0.107856,0.126551,0.163376", \ - "0.089355,0.093657,0.097150,0.102947,0.112952,0.131636,0.168462"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.080649,0.086460,0.091304,0.100448,0.118662,0.155129,0.227985", \ - "0.082243,0.088054,0.092897,0.102042,0.120255,0.156720,0.229579", \ - "0.087626,0.093438,0.098281,0.107426,0.125640,0.162107,0.234965", \ - "0.096827,0.102638,0.107483,0.116628,0.134839,0.171302,0.244158", \ - "0.111173,0.117009,0.121858,0.130993,0.149183,0.185632,0.258484", \ - "0.128681,0.134691,0.139606,0.148751,0.166926,0.203343,0.276160", \ - "0.148808,0.155004,0.160015,0.169124,0.187260,0.223637,0.296446"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004781,0.006500,0.008208,0.011634,0.018843,0.034206,0.065989", \ - "0.004781,0.006499,0.008208,0.011634,0.018843,0.034206,0.065990", \ - "0.004781,0.006499,0.008209,0.011634,0.018843,0.034205,0.065990", \ - "0.004791,0.006507,0.008216,0.011639,0.018846,0.034207,0.065991", \ - "0.004884,0.006591,0.008289,0.011695,0.018879,0.034221,0.065994", \ - "0.005038,0.006729,0.008410,0.011789,0.018935,0.034243,0.065997", \ - "0.005295,0.006964,0.008617,0.011949,0.019031,0.034284,0.066011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005973,0.009001,0.012478,0.020535,0.037656,0.072222,0.141440", \ - "0.005974,0.009002,0.012478,0.020536,0.037658,0.072226,0.141448", \ - "0.005973,0.009002,0.012478,0.020537,0.037654,0.072220,0.141444", \ - "0.005973,0.009002,0.012478,0.020538,0.037655,0.072222,0.141438", \ - "0.006034,0.009054,0.012507,0.020547,0.037661,0.072221,0.141439", \ - "0.006343,0.009384,0.012722,0.020624,0.037678,0.072247,0.141455", \ - "0.006678,0.009769,0.012995,0.020739,0.037718,0.072256,0.141464"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.057986,0.062127,0.065512,0.071198,0.081146,0.099822,0.136650", \ - "0.059310,0.063451,0.066836,0.072523,0.082469,0.101144,0.137973", \ - "0.062633,0.066775,0.070160,0.075846,0.085793,0.104468,0.141298", \ - "0.067389,0.071536,0.074923,0.080613,0.090562,0.109238,0.146068", \ - "0.072832,0.077006,0.080413,0.086123,0.096088,0.114770,0.151598", \ - "0.077981,0.082202,0.085642,0.091386,0.101362,0.120053,0.156878", \ - "0.081786,0.086094,0.089590,0.095395,0.105394,0.124084,0.160908"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.078640,0.084146,0.088899,0.098044,0.116293,0.152781,0.225647", \ - "0.080234,0.085738,0.090491,0.099637,0.117886,0.154374,0.227244", \ - "0.085616,0.091121,0.095875,0.105019,0.123271,0.159754,0.232624", \ - "0.094818,0.100323,0.105076,0.114221,0.132468,0.168956,0.241815", \ - "0.109142,0.114674,0.119428,0.128564,0.146789,0.183258,0.256123", \ - "0.126497,0.132186,0.136972,0.146098,0.164311,0.200755,0.273584", \ - "0.146466,0.152328,0.157166,0.166228,0.184397,0.220811,0.293644"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004700,0.006428,0.008144,0.011584,0.018812,0.034192,0.065985", \ - "0.004702,0.006427,0.008144,0.011584,0.018812,0.034192,0.065983", \ - "0.004701,0.006428,0.008144,0.011584,0.018812,0.034192,0.065985", \ - "0.004722,0.006446,0.008159,0.011595,0.018818,0.034194,0.065986", \ - "0.004830,0.006542,0.008244,0.011659,0.018856,0.034211,0.065989", \ - "0.005010,0.006704,0.008386,0.011768,0.018922,0.034236,0.065994", \ - "0.005317,0.006980,0.008632,0.011958,0.019035,0.034283,0.066009"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005508,0.008521,0.012186,0.020430,0.037614,0.072188,0.141429", \ - "0.005508,0.008521,0.012187,0.020428,0.037615,0.072185,0.141432", \ - "0.005508,0.008521,0.012186,0.020428,0.037616,0.072193,0.141438", \ - "0.005508,0.008522,0.012187,0.020428,0.037614,0.072187,0.141428", \ - "0.005576,0.008569,0.012212,0.020439,0.037623,0.072191,0.141433", \ - "0.005866,0.008829,0.012356,0.020483,0.037633,0.072200,0.141436", \ - "0.006173,0.009133,0.012539,0.020547,0.037652,0.072210,0.141439"); - } - } - - timing () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.053515,0.057620,0.060979,0.066636,0.076560,0.095223,0.132054", \ - "0.054873,0.058980,0.062339,0.067995,0.077919,0.096582,0.133412", \ - "0.058446,0.062551,0.065910,0.071567,0.081491,0.100156,0.136987", \ - "0.064136,0.068250,0.071614,0.077276,0.087202,0.105868,0.142696", \ - "0.070669,0.074813,0.078199,0.083885,0.093830,0.112503,0.149332", \ - "0.076483,0.080683,0.084108,0.089840,0.099800,0.118483,0.155306", \ - "0.080482,0.084787,0.088279,0.094091,0.104077,0.122753,0.159570"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.081129,0.086646,0.091402,0.100542,0.118788,0.155275,0.228138", \ - "0.082750,0.088266,0.093021,0.102163,0.120410,0.156893,0.229758", \ - "0.088126,0.093643,0.098396,0.107538,0.125784,0.162267,0.235137", \ - "0.097336,0.102854,0.107608,0.116749,0.134996,0.171476,0.244343", \ - "0.111839,0.117378,0.122133,0.131234,0.149473,0.185937,0.258796", \ - "0.129568,0.135261,0.140047,0.149183,0.167419,0.203863,0.276664", \ - "0.149980,0.155843,0.160680,0.169742,0.187923,0.224344,0.297159"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004589,0.006321,0.008047,0.011506,0.018761,0.034167,0.065979", \ - "0.004589,0.006322,0.008047,0.011505,0.018761,0.034167,0.065979", \ - "0.004587,0.006321,0.008047,0.011505,0.018761,0.034167,0.065976", \ - "0.004617,0.006347,0.008069,0.011520,0.018769,0.034171,0.065979", \ - "0.004749,0.006461,0.008169,0.011596,0.018813,0.034189,0.065983", \ - "0.004972,0.006659,0.008341,0.011726,0.018889,0.034217,0.065987", \ - "0.005332,0.006985,0.008627,0.011945,0.019019,0.034270,0.066001"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005536,0.008541,0.012199,0.020432,0.037616,0.072197,0.141441", \ - "0.005532,0.008541,0.012199,0.020434,0.037620,0.072203,0.141439", \ - "0.005534,0.008541,0.012199,0.020433,0.037619,0.072189,0.141431", \ - "0.005535,0.008542,0.012198,0.020433,0.037621,0.072205,0.141434", \ - "0.005589,0.008582,0.012219,0.020440,0.037620,0.072191,0.141432", \ - "0.005876,0.008836,0.012358,0.020484,0.037635,0.072199,0.141443", \ - "0.006179,0.009139,0.012542,0.020547,0.037658,0.072219,0.141448"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.052267,0.056408,0.059793,0.065479,0.075427,0.094102,0.130931", \ - "0.053626,0.057767,0.061152,0.066839,0.076785,0.095461,0.132293", \ - "0.058246,0.062386,0.065770,0.071458,0.081405,0.100079,0.136911", \ - "0.067446,0.071594,0.074980,0.080667,0.090615,0.109291,0.146124", \ - "0.077301,0.081482,0.084896,0.090591,0.100568,0.119259,0.156089", \ - "0.085739,0.090009,0.093477,0.099210,0.109174,0.127870,0.164688", \ - "0.092376,0.096813,0.100393,0.106260,0.116131,0.134851,0.171659"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.061728,0.067411,0.072221,0.081382,0.099631,0.136120,0.208979", \ - "0.062782,0.068463,0.073273,0.082435,0.100685,0.137173,0.210033", \ - "0.067575,0.073256,0.078066,0.087227,0.105476,0.141960,0.214824", \ - "0.078689,0.084374,0.089186,0.098344,0.116588,0.153070,0.225933", \ - "0.095100,0.100946,0.105794,0.114919,0.133110,0.169561,0.242411", \ - "0.112861,0.119020,0.124008,0.133174,0.151264,0.187655,0.260469", \ - "0.132041,0.138526,0.143729,0.152911,0.170932,0.207280,0.280062"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004702,0.006428,0.008144,0.011584,0.018812,0.034192,0.065985", \ - "0.004700,0.006428,0.008144,0.011584,0.018812,0.034192,0.065983", \ - "0.004700,0.006428,0.008144,0.011584,0.018812,0.034192,0.065986", \ - "0.004720,0.006444,0.008157,0.011593,0.018817,0.034194,0.065984", \ - "0.004897,0.006599,0.008292,0.011696,0.018879,0.034222,0.065990", \ - "0.005237,0.006892,0.008543,0.011878,0.018976,0.034252,0.065999", \ - "0.005798,0.007410,0.009001,0.012229,0.019180,0.034328,0.066014"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005726,0.008764,0.012334,0.020484,0.037637,0.072203,0.141433", \ - "0.005726,0.008763,0.012335,0.020487,0.037640,0.072210,0.141434", \ - "0.005725,0.008764,0.012334,0.020485,0.037637,0.072212,0.141443", \ - "0.005736,0.008773,0.012339,0.020489,0.037644,0.072210,0.141434", \ - "0.006058,0.009070,0.012511,0.020540,0.037653,0.072215,0.141450", \ - "0.006613,0.009682,0.012927,0.020699,0.037697,0.072236,0.141443", \ - "0.007215,0.010411,0.013501,0.020949,0.037770,0.072258,0.141461"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047316,0.051431,0.054799,0.060466,0.070398,0.089067,0.125899", \ - "0.048642,0.052758,0.056125,0.061792,0.071723,0.090392,0.127225", \ - "0.053249,0.057367,0.060734,0.066401,0.076333,0.095003,0.131834", \ - "0.061902,0.066027,0.069401,0.075079,0.085018,0.103689,0.140520", \ - "0.070250,0.074426,0.077833,0.083520,0.093483,0.112168,0.149001", \ - "0.077275,0.081557,0.085032,0.090768,0.100689,0.119385,0.156200", \ - "0.082448,0.086925,0.090533,0.096428,0.106312,0.125024,0.161830"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.059695,0.065085,0.069827,0.078999,0.097285,0.133785,0.206657", \ - "0.060742,0.066131,0.070874,0.080046,0.098331,0.134833,0.207703", \ - "0.065542,0.070929,0.075672,0.084842,0.103129,0.139630,0.212499", \ - "0.076683,0.082075,0.086817,0.095984,0.114265,0.150761,0.223628", \ - "0.092911,0.098447,0.103201,0.112333,0.130556,0.167026,0.239890", \ - "0.110370,0.116179,0.120997,0.130114,0.148249,0.184669,0.257500", \ - "0.129238,0.135359,0.140304,0.149389,0.167448,0.203826,0.276630"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004610,0.006347,0.008072,0.011529,0.018778,0.034177,0.065981", \ - "0.004610,0.006346,0.008072,0.011528,0.018778,0.034177,0.065977", \ - "0.004613,0.006347,0.008072,0.011528,0.018778,0.034177,0.065978", \ - "0.004672,0.006396,0.008114,0.011558,0.018793,0.034182,0.065981", \ - "0.004876,0.006576,0.008271,0.011676,0.018862,0.034214,0.065989", \ - "0.005285,0.006932,0.008573,0.011897,0.018985,0.034251,0.065997", \ - "0.005912,0.007521,0.009104,0.012309,0.019228,0.034345,0.066016"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005255,0.008328,0.012088,0.020397,0.037604,0.072175,0.141429", \ - "0.005253,0.008328,0.012087,0.020396,0.037605,0.072182,0.141432", \ - "0.005255,0.008329,0.012089,0.020400,0.037610,0.072189,0.141434", \ - "0.005266,0.008336,0.012093,0.020397,0.037601,0.072185,0.141437", \ - "0.005587,0.008576,0.012214,0.020437,0.037613,0.072184,0.141422", \ - "0.006081,0.009032,0.012472,0.020520,0.037643,0.072205,0.141435", \ - "0.006626,0.009622,0.012856,0.020659,0.037681,0.072222,0.141440"); - } - } - - timing () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043228,0.047277,0.050599,0.056213,0.066106,0.084758,0.121592", \ - "0.044592,0.048641,0.051964,0.057578,0.067471,0.086122,0.122955", \ - "0.049440,0.053490,0.056812,0.062427,0.072320,0.090971,0.127802", \ - "0.057808,0.061869,0.065203,0.070832,0.080735,0.099389,0.136221", \ - "0.065291,0.069404,0.072769,0.078421,0.088344,0.107007,0.143838", \ - "0.071193,0.075425,0.078862,0.084569,0.094470,0.113145,0.149958", \ - "0.074933,0.079363,0.082936,0.088788,0.098682,0.117362,0.154160"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.059685,0.065073,0.069816,0.078987,0.097272,0.133774,0.206644", \ - "0.060651,0.066039,0.070782,0.079954,0.098240,0.134741,0.207612", \ - "0.065409,0.070798,0.075540,0.084712,0.102997,0.139497,0.212368", \ - "0.076684,0.082077,0.086819,0.095986,0.114266,0.150764,0.223630", \ - "0.093130,0.098664,0.103418,0.112545,0.130774,0.167244,0.240105", \ - "0.110931,0.116733,0.121550,0.130661,0.148791,0.185215,0.258058", \ - "0.130284,0.136392,0.141330,0.150428,0.168520,0.204867,0.277685"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004395,0.006150,0.007895,0.011389,0.018689,0.034135,0.065966", \ - "0.004395,0.006150,0.007896,0.011389,0.018689,0.034135,0.065967", \ - "0.004397,0.006150,0.007896,0.011389,0.018690,0.034135,0.065967", \ - "0.004487,0.006224,0.007957,0.011431,0.018711,0.034142,0.065968", \ - "0.004701,0.006409,0.008116,0.011549,0.018777,0.034171,0.065975", \ - "0.005137,0.006783,0.008432,0.011778,0.018903,0.034208,0.065983", \ - "0.005775,0.007382,0.008970,0.012192,0.019146,0.034299,0.065999"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005255,0.008327,0.012088,0.020400,0.037601,0.072193,0.141426", \ - "0.005253,0.008327,0.012087,0.020397,0.037606,0.072189,0.141433", \ - "0.005256,0.008328,0.012088,0.020397,0.037606,0.072181,0.141435", \ - "0.005267,0.008336,0.012093,0.020399,0.037607,0.072177,0.141437", \ - "0.005582,0.008574,0.012213,0.020434,0.037621,0.072198,0.141424", \ - "0.006071,0.009020,0.012465,0.020518,0.037645,0.072213,0.141435", \ - "0.006602,0.009594,0.012837,0.020651,0.037677,0.072214,0.141436"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.056894,0.061055,0.064456,0.070160,0.080120,0.098802,0.135632", \ - "0.057973,0.062135,0.065534,0.071240,0.081200,0.099881,0.136712", \ - "0.062523,0.066685,0.070085,0.075790,0.085750,0.104431,0.141261", \ - "0.071952,0.076121,0.079521,0.085223,0.095184,0.113864,0.150698", \ - "0.083225,0.087416,0.090838,0.096554,0.106539,0.125232,0.162061", \ - "0.093281,0.097547,0.101013,0.106746,0.116681,0.135380,0.172201", \ - "0.101753,0.106154,0.109711,0.115550,0.125386,0.144075,0.180893"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.065730,0.071412,0.076223,0.085384,0.103633,0.140119,0.212978", \ - "0.067499,0.073182,0.077992,0.087154,0.105402,0.141889,0.214749", \ - "0.072520,0.078201,0.083011,0.092172,0.110421,0.146908,0.219772", \ - "0.081467,0.087152,0.091962,0.101120,0.119365,0.155847,0.228705", \ - "0.094458,0.100252,0.105090,0.114220,0.132435,0.168897,0.241736", \ - "0.109397,0.115391,0.120304,0.129449,0.147603,0.184033,0.256876", \ - "0.126443,0.132659,0.137687,0.146876,0.164980,0.201389,0.274213"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004781,0.006500,0.008209,0.011634,0.018843,0.034206,0.065991", \ - "0.004781,0.006500,0.008208,0.011634,0.018843,0.034206,0.065991", \ - "0.004781,0.006499,0.008209,0.011634,0.018843,0.034205,0.065991", \ - "0.004790,0.006506,0.008214,0.011638,0.018845,0.034206,0.065989", \ - "0.004930,0.006633,0.008324,0.011722,0.018896,0.034227,0.065993", \ - "0.005217,0.006878,0.008533,0.011874,0.018977,0.034255,0.066003", \ - "0.005691,0.007308,0.008911,0.012158,0.019139,0.034313,0.066013"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005724,0.008764,0.012334,0.020486,0.037639,0.072206,0.141434", \ - "0.005727,0.008764,0.012333,0.020486,0.037637,0.072210,0.141445", \ - "0.005725,0.008764,0.012333,0.020488,0.037642,0.072203,0.141431", \ - "0.005734,0.008769,0.012339,0.020486,0.037642,0.072214,0.141434", \ - "0.005948,0.008970,0.012454,0.020524,0.037649,0.072214,0.141438", \ - "0.006293,0.009342,0.012694,0.020616,0.037675,0.072243,0.141449", \ - "0.006694,0.009800,0.013025,0.020748,0.037720,0.072247,0.141446"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051855,0.055994,0.059379,0.065065,0.075011,0.093686,0.130515", \ - "0.052928,0.057068,0.060451,0.066138,0.076084,0.094760,0.131592", \ - "0.057486,0.061625,0.065009,0.070695,0.080642,0.099317,0.136147", \ - "0.066695,0.070843,0.074231,0.079919,0.089869,0.108546,0.145377", \ - "0.076571,0.080754,0.084168,0.089907,0.099855,0.118544,0.155374", \ - "0.085288,0.089556,0.093022,0.098774,0.108731,0.127429,0.164246", \ - "0.092453,0.096877,0.100447,0.106300,0.116194,0.134895,0.171705"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.063698,0.069087,0.073830,0.083002,0.101287,0.137789,0.210658", \ - "0.065463,0.070853,0.075596,0.084767,0.103053,0.139554,0.212423", \ - "0.070481,0.075870,0.080612,0.089783,0.108070,0.144572,0.217441", \ - "0.079433,0.084824,0.089566,0.098733,0.117014,0.153513,0.226387", \ - "0.092308,0.097797,0.102548,0.111696,0.129939,0.166416,0.239275", \ - "0.107086,0.112747,0.117531,0.126661,0.144852,0.181307,0.254163", \ - "0.123932,0.129806,0.134651,0.143768,0.161939,0.198378,0.271214"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004698,0.006425,0.008143,0.011583,0.018811,0.034192,0.065984", \ - "0.004700,0.006426,0.008142,0.011583,0.018811,0.034192,0.065982", \ - "0.004700,0.006426,0.008143,0.011583,0.018811,0.034192,0.065985", \ - "0.004729,0.006451,0.008164,0.011598,0.018819,0.034195,0.065985", \ - "0.004899,0.006601,0.008295,0.011697,0.018878,0.034221,0.065990", \ - "0.005234,0.006889,0.008539,0.011874,0.018974,0.034251,0.066000", \ - "0.005756,0.007368,0.008962,0.012197,0.019160,0.034319,0.066011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005255,0.008328,0.012088,0.020398,0.037602,0.072186,0.141425", \ - "0.005254,0.008327,0.012087,0.020396,0.037607,0.072183,0.141428", \ - "0.005254,0.008328,0.012088,0.020396,0.037609,0.072185,0.141437", \ - "0.005260,0.008332,0.012090,0.020400,0.037603,0.072192,0.141422", \ - "0.005480,0.008495,0.012172,0.020424,0.037612,0.072199,0.141427", \ - "0.005798,0.008774,0.012327,0.020474,0.037631,0.072208,0.141433", \ - "0.006171,0.009143,0.012547,0.020550,0.037655,0.072209,0.141443"); - } - } - - timing () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047014,0.051085,0.054422,0.060052,0.069957,0.088613,0.125447", \ - "0.048166,0.052237,0.055572,0.061203,0.071108,0.089764,0.126598", \ - "0.052961,0.057032,0.060369,0.066000,0.075905,0.094561,0.131393", \ - "0.062074,0.066152,0.069496,0.075134,0.085044,0.103701,0.140534", \ - "0.070997,0.075116,0.078487,0.084165,0.094079,0.112747,0.149581", \ - "0.078492,0.082703,0.086129,0.091839,0.101762,0.120438,0.157254", \ - "0.084161,0.088538,0.092071,0.097878,0.107775,0.126465,0.163269"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.063690,0.069078,0.073820,0.082992,0.101276,0.137778,0.210652", \ - "0.065383,0.070772,0.075516,0.084687,0.102972,0.139475,0.212342", \ - "0.070358,0.075746,0.080488,0.089660,0.107945,0.144447,0.217316", \ - "0.079354,0.084747,0.089489,0.098657,0.116936,0.153439,0.226303", \ - "0.092329,0.097818,0.102569,0.111707,0.129949,0.166432,0.239293", \ - "0.107341,0.113000,0.117784,0.126915,0.145126,0.181570,0.254424", \ - "0.124654,0.130519,0.135363,0.144494,0.162660,0.199073,0.271915"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004472,0.006217,0.007955,0.011434,0.018717,0.034148,0.065971", \ - "0.004471,0.006217,0.007955,0.011434,0.018717,0.034148,0.065970", \ - "0.004472,0.006218,0.007955,0.011435,0.018716,0.034147,0.065972", \ - "0.004522,0.006259,0.007990,0.011459,0.018729,0.034151,0.065974", \ - "0.004713,0.006423,0.008130,0.011561,0.018787,0.034177,0.065979", \ - "0.005073,0.006728,0.008388,0.011747,0.018888,0.034206,0.065984", \ - "0.005609,0.007217,0.008819,0.012073,0.019075,0.034271,0.065993"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005255,0.008328,0.012088,0.020397,0.037601,0.072178,0.141424", \ - "0.005256,0.008328,0.012088,0.020398,0.037603,0.072193,0.141427", \ - "0.005252,0.008328,0.012088,0.020398,0.037605,0.072186,0.141436", \ - "0.005261,0.008333,0.012089,0.020396,0.037605,0.072191,0.141421", \ - "0.005480,0.008495,0.012172,0.020427,0.037612,0.072186,0.141426", \ - "0.005795,0.008772,0.012323,0.020473,0.037628,0.072207,0.141432", \ - "0.006159,0.009132,0.012540,0.020550,0.037652,0.072210,0.141440"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.250410,11.969930,12.560820,13.140680,13.536400,13.749350,13.853730", \ - "11.231210,11.956560,12.516940,13.107080,13.506850,13.722520,13.825650", \ - "11.178870,11.910330,12.463920,13.047430,13.448700,13.660900,13.767060", \ - "11.122790,11.926060,12.492130,13.075820,13.465510,13.678430,13.783240", \ - "11.485920,12.156260,12.732240,13.306210,13.719380,13.935270,14.046560", \ - "12.080190,12.774570,13.340730,13.937500,14.332300,14.541630,14.647700", \ - "13.132050,13.787130,14.352780,14.956870,15.413030,15.613890,15.692530"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.414240,16.351220,16.904370,17.243670,17.449780,17.910260,17.543290", \ - "15.386130,16.311970,16.761430,17.221470,17.639950,17.946180,17.231750", \ - "15.378140,16.276260,16.798370,17.208350,17.712040,17.164840,17.763980", \ - "15.655650,16.547840,17.033130,17.612080,17.889150,17.338030,17.526010", \ - "16.046040,17.265450,17.825820,18.395080,18.399550,18.528980,18.438830", \ - "16.979340,17.997290,18.705260,19.461760,19.882330,20.008960,19.337640", \ - "18.230660,19.555140,20.126370,20.972020,21.784620,21.933120,22.202920"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.658260,11.372870,11.975810,12.534490,12.910600,13.096840,13.185600", \ - "10.623840,11.368860,11.917080,12.496990,12.874230,13.057200,13.147610", \ - "10.563130,11.253850,11.857200,12.434500,12.805090,12.994850,13.082760", \ - "10.570480,11.354120,11.898740,12.459650,12.844190,13.034720,13.119000", \ - "10.867950,11.614470,12.149210,12.746820,13.133160,13.332210,13.415690", \ - "11.586710,12.270760,12.858840,13.430800,13.810750,13.976710,14.082050", \ - "12.723300,13.343580,13.908410,14.529160,14.958560,15.156920,15.208480"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.080920,15.932410,16.331340,16.704320,16.892330,16.963920,16.814900", \ - "15.059340,15.919670,16.276560,16.590880,16.864190,17.007980,16.872950", \ - "15.015690,15.798080,16.206610,16.588700,16.775950,16.836270,16.894370", \ - "15.312430,16.152070,16.442980,16.767430,17.077640,17.167480,17.072740", \ - "15.804530,16.700600,17.215100,17.606470,17.803900,17.994410,18.089710", \ - "16.663780,17.654940,18.115790,18.702120,19.084540,19.195820,18.938130", \ - "18.054970,18.993610,19.575470,20.278880,20.804690,20.738440,20.464260"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.618390,11.350750,11.955110,12.497200,12.868150,13.036950,13.120470", \ - "10.590540,11.317840,11.900910,12.464070,12.825250,13.003810,13.087530", \ - "10.534780,11.292830,11.858590,12.397620,12.772160,12.935340,13.018520", \ - "10.598660,11.323220,11.884700,12.447590,12.826020,12.993370,13.079730", \ - "10.890700,11.636820,12.215740,12.763370,13.139360,13.328890,13.415240", \ - "11.627250,12.367540,12.922280,13.480420,13.848560,14.012110,14.107220", \ - "12.757300,13.403110,13.960090,14.607820,15.042500,15.222850,15.264180"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.390590,16.286000,16.632700,17.047210,17.189480,17.085680,16.856530", \ - "15.355210,16.249140,16.585960,16.956890,17.243230,17.055160,17.345410", \ - "15.344590,16.207780,16.594730,16.976410,17.109470,16.900940,17.357590", \ - "15.605310,16.447970,16.854750,17.241560,17.441990,17.430640,17.080340", \ - "16.136980,17.133150,17.602520,17.834600,18.033760,18.245820,17.620470", \ - "16.989460,17.957850,18.531000,19.120700,19.433300,19.512450,19.155830", \ - "18.384240,19.331750,19.958330,20.591390,21.118770,21.393860,21.470130"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.658260,11.372870,11.975810,12.534490,12.910600,13.096840,13.185600", \ - "10.623840,11.368860,11.917080,12.496990,12.874230,13.057200,13.147610", \ - "10.563130,11.253850,11.857200,12.434500,12.805090,12.994850,13.082760", \ - "10.570480,11.354120,11.898740,12.459650,12.844190,13.034720,13.119000", \ - "10.867950,11.614470,12.149210,12.746820,13.133160,13.332210,13.415690", \ - "11.586710,12.270760,12.858840,13.430800,13.810750,13.976710,14.082050", \ - "12.723300,13.343580,13.908410,14.529160,14.958560,15.156920,15.208480"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.080920,15.932410,16.331340,16.704320,16.892330,16.963920,16.814900", \ - "15.059340,15.919670,16.276560,16.590880,16.864190,17.007980,16.872950", \ - "15.015690,15.798080,16.206610,16.588700,16.775950,16.836270,16.894370", \ - "15.312430,16.152070,16.442980,16.767430,17.077640,17.167480,17.072740", \ - "15.804530,16.700600,17.215100,17.606470,17.803900,17.994410,18.089710", \ - "16.663780,17.654940,18.115790,18.702120,19.084540,19.195820,18.938130", \ - "18.054970,18.993610,19.575470,20.278880,20.804690,20.738440,20.464260"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.085790,10.804750,11.368620,11.929170,12.285940,12.451100,12.518350", \ - "10.045920,10.789510,11.335180,11.894260,12.247910,12.411730,12.480940", \ - "9.947781,10.711300,11.257370,11.809100,12.171950,12.325560,12.392810", \ - "10.020210,10.773020,11.318190,11.860800,12.218470,12.392120,12.451630", \ - "10.408370,11.082600,11.623800,12.166800,12.559700,12.734620,12.797420", \ - "11.123930,11.819080,12.374950,12.934820,13.319590,13.457380,13.537510", \ - "12.285590,12.952630,13.501460,14.099990,14.573090,14.750300,14.770510"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.771890,15.372440,15.670890,15.960300,16.106180,16.269810,16.392690", \ - "14.716170,15.371910,15.594430,15.896080,15.996660,16.248270,16.070390", \ - "14.694160,15.340300,15.554150,15.845640,16.064080,15.476460,15.546210", \ - "14.992100,15.551940,15.852100,16.026180,16.162570,15.597380,16.645390", \ - "15.475190,16.263610,16.555940,16.709760,16.755270,16.439180,17.236240", \ - "16.268690,17.142760,17.458830,17.724290,18.167250,18.375870,17.223390", \ - "17.653960,18.588830,18.971980,19.269820,19.797500,19.661660,20.116230"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.059890,10.798270,11.381920,11.910830,12.252200,12.404570,12.473100", \ - "10.022820,10.758490,11.345590,11.868980,12.212840,12.371370,12.436360", \ - "9.891210,10.725060,11.238940,11.796600,12.131820,12.296340,12.352800", \ - "10.038500,10.758530,11.335800,11.863120,12.209410,12.369680,12.433080", \ - "10.370090,11.122180,11.656560,12.209630,12.564870,12.729620,12.797580", \ - "11.240670,11.913920,12.434720,13.000020,13.359440,13.488600,13.567730", \ - "12.379250,13.007240,13.591990,14.183580,14.644810,14.817220,14.826120"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.100320,15.681790,15.978430,16.273830,16.472720,15.899870,16.433560", \ - "15.059050,15.712310,15.954890,16.075090,16.471740,16.501170,16.109520", \ - "15.020520,15.654750,15.835360,16.189990,16.131880,15.647220,15.637870", \ - "15.294870,15.946020,16.194490,16.434170,16.267540,15.916730,16.651120", \ - "15.739620,16.590660,16.929240,16.990710,17.364450,17.455660,17.310910", \ - "16.672490,17.491530,17.885330,18.229710,18.401900,18.550740,18.351000", \ - "18.114320,18.894610,19.363590,19.699780,19.901520,20.119880,19.995660"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.591990,11.411810,11.981200,12.518400,12.854430,13.026980,13.112940", \ - "10.604250,11.357780,11.941170,12.493590,12.829560,12.990890,13.079990", \ - "10.488310,11.280470,11.875030,12.401140,12.757740,12.922610,13.007340", \ - "10.596570,11.333680,11.912530,12.448460,12.797960,12.973220,13.057150", \ - "10.894420,11.683480,12.200510,12.749260,13.100370,13.285440,13.358620", \ - "11.610550,12.328550,12.928520,13.468260,13.826100,13.962700,14.058260", \ - "12.717000,13.391010,13.985330,14.607600,15.027050,15.216030,15.245240"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.055420,15.941500,16.329410,16.693090,16.944660,16.368850,16.814650", \ - "15.006430,15.889380,16.273110,16.562180,16.736710,16.769870,16.488200", \ - "14.984700,15.795530,16.133780,16.553740,16.827680,16.061750,16.363070", \ - "15.302420,16.087760,16.418820,16.721380,16.963970,17.233020,17.071250", \ - "15.848210,16.778780,17.286870,17.620170,17.872340,17.745400,17.711510", \ - "16.719470,17.653790,18.220480,18.770470,19.134390,18.645700,19.224020", \ - "18.130190,19.129020,19.656060,20.401280,20.862310,20.359280,20.244300"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.074460,10.819870,11.392540,11.942580,12.249940,12.406060,12.463290", \ - "10.038960,10.777480,11.352020,11.901030,12.210700,12.355980,12.423230", \ - "9.952091,10.730570,11.282990,11.818900,12.131240,12.288840,12.344700", \ - "9.998612,10.795360,11.341930,11.866140,12.196340,12.339830,12.410210", \ - "10.428700,11.150610,11.687000,12.197600,12.531970,12.691020,12.762490", \ - "11.156520,11.922910,12.443930,13.010860,13.351570,13.462660,13.541290", \ - "12.393060,12.990420,13.589740,14.220300,14.649080,14.831400,14.844130"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.758140,15.355080,15.615880,15.723240,16.019620,16.253340,16.391390", \ - "14.713640,15.355200,15.592530,15.866120,15.999670,16.189640,16.068280", \ - "14.582230,15.272760,15.522830,15.828860,15.935000,15.717610,15.914800", \ - "14.979400,15.596520,15.854080,16.129590,16.223650,16.395730,16.642960", \ - "15.452500,16.242040,16.604600,16.909300,17.014820,16.610710,17.213520", \ - "16.432070,17.217080,17.613620,17.987390,18.044330,18.393230,18.487080", \ - "17.890440,18.696630,19.073290,19.532110,19.868030,20.159580,19.901540"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.049350,10.856330,11.412120,11.920110,12.224790,12.365540,12.426550", \ - "10.010000,10.823600,11.373170,11.876670,12.190820,12.331640,12.380660", \ - "9.942144,10.737990,11.290680,11.812800,12.116180,12.254150,12.311130", \ - "10.024970,10.842440,11.374660,11.880060,12.183590,12.326600,12.389970", \ - "10.457210,11.218610,11.733070,12.237030,12.551550,12.700680,12.769400", \ - "11.221560,12.013520,12.541230,13.072760,13.392630,13.505700,13.571060", \ - "12.420300,13.114800,13.683470,14.308820,14.728230,14.891310,14.913500"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.058550,15.715580,16.006540,16.253820,16.487300,15.905080,16.605880", \ - "15.001310,15.680390,15.854490,16.199910,16.379290,16.019420,16.106750", \ - "14.950120,15.591930,15.875110,16.012900,16.363700,16.105540,15.608600", \ - "15.278010,15.910790,16.172460,16.364850,16.491940,16.738800,16.649700", \ - "15.859400,16.672750,16.935120,17.118980,17.035120,17.591510,17.104650", \ - "16.763310,17.549200,17.896730,18.346110,18.508460,18.332300,18.262990", \ - "18.246340,19.068600,19.441020,19.850690,19.928900,20.034600,20.400580"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.707620,11.411020,12.026290,12.579250,12.966260,13.149830,13.236240", \ - "10.646020,11.413860,12.026060,12.589520,12.975190,13.154700,13.243490", \ - "10.619890,11.421700,11.969200,12.535320,12.909090,13.096260,13.177480", \ - "10.579230,11.324820,11.943430,12.507940,12.878450,13.067990,13.149730", \ - "10.776040,11.480640,12.066620,12.621030,13.005640,13.198340,13.290810", \ - "11.284220,11.992080,12.553590,13.123330,13.515340,13.660860,13.755240", \ - "12.060610,12.797990,13.318200,13.980340,14.439690,14.644530,14.683680"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.864210,16.857870,17.287180,17.901540,18.526260,18.874030,19.080060", \ - "15.794150,16.825630,17.232850,17.897280,18.393480,18.630300,18.557940", \ - "15.751380,16.759150,17.234950,17.887230,18.473820,18.488700,18.419380", \ - "15.896750,16.892290,17.387310,17.922810,18.185620,18.765270,18.764040", \ - "16.584520,17.550790,17.956250,18.510200,19.245460,19.246620,19.248180", \ - "17.625560,18.624590,19.130220,19.769840,20.469500,20.431490,20.711090", \ - "18.778890,19.967320,20.436190,21.251690,22.038000,22.350540,21.768950"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.127720,10.850700,11.443730,11.987600,12.347790,12.501080,12.564410", \ - "10.118380,10.879460,11.438350,11.993360,12.337160,12.502500,12.572540", \ - "10.063220,10.807500,11.368220,11.907470,12.267570,12.424880,12.493380", \ - "10.022800,10.777250,11.329390,11.874830,12.230660,12.391300,12.466050", \ - "10.207590,10.924230,11.473710,12.019560,12.398470,12.568020,12.632190", \ - "10.742680,11.465650,12.018070,12.604040,12.973670,13.101450,13.173180", \ - "11.622370,12.269410,12.879290,13.497040,13.961670,14.167490,14.193910"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.647930,16.420690,16.719380,17.278930,17.580810,17.669240,16.975230", \ - "15.588360,16.363290,16.703900,17.210040,17.533880,17.217030,16.831900", \ - "15.525290,16.245320,16.719760,17.104870,17.301730,17.014450,16.690520", \ - "15.662600,16.390580,16.797790,17.316580,17.498530,17.017610,17.973060", \ - "16.335740,17.063640,17.491200,17.954630,18.336310,18.003480,18.658680", \ - "17.263110,18.083150,18.511770,19.058030,19.460540,19.469690,19.303260", \ - "18.573440,19.442080,19.863020,20.235480,20.980770,21.028670,21.113970"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.111780,10.847520,11.427380,11.962730,12.311940,12.454630,12.526450", \ - "10.112080,10.851850,11.422540,11.962690,12.310220,12.462980,12.527570", \ - "10.037770,10.799590,11.354410,11.883580,12.226870,12.379410,12.449640", \ - "9.938619,10.728640,11.330930,11.856300,12.201390,12.356750,12.424980", \ - "10.229840,10.932830,11.501850,12.045270,12.391320,12.557090,12.619120", \ - "10.846530,11.532560,12.083510,12.639400,12.991490,13.113540,13.175130", \ - "11.634160,12.333410,12.878320,13.544510,14.000240,14.196260,14.215200"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.943280,16.716120,17.155360,17.541880,17.917540,17.565090,18.034710", \ - "15.933340,16.659970,17.137530,17.604290,17.961300,18.099630,17.889780", \ - "15.932110,16.713660,17.066770,17.378510,17.995380,17.738360,17.748790", \ - "16.004250,16.818320,17.261910,17.706060,17.813980,17.891060,18.293320", \ - "16.683680,17.467460,17.761870,18.253310,18.659510,18.720120,18.310250", \ - "17.618890,18.491850,18.969180,19.435470,19.527290,19.738790,20.154620", \ - "18.958980,19.863590,20.275200,20.800300,21.382080,21.544810,21.221730"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.194620,11.935800,12.524040,13.102620,13.511840,13.706020,13.809320", \ - "11.130770,11.883190,12.474770,13.060520,13.450600,13.664830,13.768180", \ - "11.054510,11.828730,12.407960,13.006750,13.401830,13.616020,13.708130", \ - "11.067990,11.838720,12.400850,12.988690,13.406970,13.606230,13.717870", \ - "11.286540,11.973750,12.518760,13.122100,13.526510,13.748140,13.850150", \ - "11.681130,12.418840,12.959180,13.561960,13.954360,14.137420,14.255560", \ - "12.461360,13.156790,13.705620,14.344790,14.780570,14.996760,15.037660"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.684420,17.554510,18.069080,18.738700,18.892720,19.704450,19.812930", \ - "16.628190,17.537290,18.095620,18.625300,19.046910,19.323830,19.462000", \ - "16.593420,17.490390,18.034400,18.687350,19.215470,19.346030,19.157210", \ - "16.603030,17.568170,17.993210,18.551900,19.021900,19.261600,19.725710", \ - "16.847130,17.790100,18.340870,18.987830,19.120150,19.788780,19.678900", \ - "17.338170,18.361540,18.896190,19.572650,20.227040,20.153000,20.014880", \ - "18.036230,19.213670,19.745390,20.459950,21.116070,21.573630,21.671540"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.624370,11.368540,11.931890,12.501950,12.874300,13.060580,13.151300", \ - "10.569020,11.278060,11.875840,12.446490,12.831630,13.014530,13.092700", \ - "10.524180,11.282360,11.828940,12.396400,12.781600,12.957130,13.034130", \ - "10.487890,11.213290,11.831400,12.390530,12.774630,12.958980,13.047890", \ - "10.705440,11.415850,11.963290,12.513180,12.912030,13.098160,13.200020", \ - "11.165760,11.882160,12.449300,13.021430,13.400590,13.543510,13.649280", \ - "12.028390,12.644980,13.248080,13.826720,14.276210,14.466800,14.503800"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.426260,17.201110,17.496040,17.961540,18.386390,18.517230,18.064920", \ - "16.297110,17.169160,17.423850,18.003140,18.317450,18.432000,18.175180", \ - "16.336660,17.132900,17.408890,17.756590,18.100330,18.572930,18.781280", \ - "16.339810,17.114520,17.529430,17.963740,18.172850,18.429790,17.712500", \ - "16.641580,17.325460,17.716200,18.223880,18.619640,17.982290,18.662390", \ - "17.078400,17.949630,18.336150,18.683800,19.054740,19.330170,19.127170", \ - "17.913150,18.765740,19.171330,19.756090,20.180590,20.284740,19.971680"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.602320,11.323530,11.919200,12.468380,12.822440,12.998350,13.084540", \ - "10.551990,11.317940,11.850390,12.408790,12.779280,12.948170,13.040540", \ - "10.467530,11.235120,11.820890,12.364520,12.718060,12.896290,12.977910", \ - "10.482010,11.202370,11.823040,12.359900,12.727070,12.907950,12.992120", \ - "10.671820,11.382750,11.952000,12.518190,12.883470,13.076470,13.163390", \ - "11.153140,11.930450,12.452290,13.046880,13.404370,13.545050,13.639770", \ - "12.006860,12.737380,13.263330,13.881330,14.300280,14.494450,14.509150"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.760820,17.490730,17.936810,18.222140,18.840460,18.969700,19.115990", \ - "16.752540,17.450010,17.932860,18.370520,18.412800,18.540500,18.790900", \ - "16.676710,17.499270,17.842650,18.273870,18.315070,18.953160,18.487390", \ - "16.705010,17.500940,17.809950,18.340860,18.478430,18.512140,18.762530", \ - "17.008240,17.753520,18.125180,18.430930,19.044130,18.913890,18.804680", \ - "17.435860,18.281940,18.705290,19.207840,19.728350,19.607580,20.019810", \ - "18.214000,19.053430,19.479970,19.928300,20.727950,20.524750,20.753780"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.684020,11.400520,12.006330,12.578840,12.953260,13.145710,13.220530", \ - "10.700820,11.440780,12.016540,12.592010,12.970800,13.155370,13.234770", \ - "10.642220,11.398730,12.002320,12.566170,12.956440,13.126690,13.218860", \ - "10.851450,11.566410,12.180230,12.745200,13.121200,13.297880,13.388490", \ - "11.401550,12.124160,12.630970,13.216850,13.622130,13.818010,13.911200", \ - "12.331870,13.014810,13.582720,14.131480,14.534580,14.694990,14.798310", \ - "13.741700,14.264800,14.848230,15.460230,15.922730,16.112430,16.163610"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.701330,14.516810,14.959720,15.522940,16.089380,16.105580,16.094610", \ - "13.665500,14.461550,14.863080,15.561500,16.044820,15.953000,15.907840", \ - "13.506710,14.481530,14.915740,15.318050,15.926150,16.205830,15.760220", \ - "13.680700,14.636260,14.984370,15.702380,16.192170,16.391540,15.704300", \ - "14.363620,15.430970,15.879290,16.305590,16.881610,16.620390,17.020850", \ - "15.327970,16.371570,16.892220,17.557600,18.125780,17.971080,17.388310", \ - "16.661870,17.758030,18.274840,18.806340,19.664190,19.536850,19.529010"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.071210,10.866580,11.439200,11.986030,12.336640,12.502860,12.566020", \ - "10.055020,10.874190,11.442680,11.979190,12.338220,12.500610,12.564540", \ - "10.061350,10.862550,11.415700,11.956800,12.308020,12.475240,12.535050", \ - "10.294050,11.031840,11.594580,12.162190,12.529060,12.696870,12.762490", \ - "10.911900,11.603550,12.133650,12.667550,13.067280,13.250590,13.318570", \ - "11.900180,12.595520,13.128180,13.694910,14.070120,14.205320,14.287840", \ - "13.336270,13.881140,14.452630,15.067110,15.538810,15.728260,15.743200"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.405370,14.107670,14.465660,14.687080,15.079070,15.341160,14.588300", \ - "13.323460,14.087200,14.408280,14.732430,14.705330,15.001530,15.336890", \ - "13.331720,14.023780,14.385290,14.801870,15.031450,14.938330,15.409900", \ - "13.504960,14.185670,14.576770,14.895230,15.158660,15.486230,15.395880", \ - "14.186870,14.985040,15.285210,15.525460,15.811940,15.278330,15.427160", \ - "15.037430,15.794620,16.221810,16.686550,17.068230,16.929440,17.091980", \ - "16.321650,17.198900,17.622720,18.148080,18.327040,18.531870,18.690950"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.069560,10.916230,11.439780,11.985610,12.311710,12.452490,12.510440", \ - "10.088940,10.868830,11.449750,11.991510,12.298940,12.456600,12.512280", \ - "10.100470,10.863010,11.423440,11.972120,12.280030,12.437200,12.498490", \ - "10.356820,11.086920,11.653520,12.179920,12.503870,12.660330,12.723730", \ - "10.897620,11.641750,12.194320,12.716550,13.047370,13.213090,13.289820", \ - "11.930970,12.624040,13.177850,13.749770,14.096440,14.207410,14.284120", \ - "13.303830,13.904450,14.486150,15.124650,15.595250,15.770170,15.785940"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.399460,14.058480,14.463770,14.914850,15.122660,14.920300,15.005290", \ - "13.319930,14.028000,14.453290,14.620160,15.035070,14.704300,15.112110", \ - "13.259690,13.956230,14.369370,14.692090,14.783160,14.345400,15.236290", \ - "13.526250,14.184500,14.576070,14.892980,15.010790,15.451910,15.353800", \ - "14.142290,14.957580,15.358360,15.645690,15.772420,16.036280,15.825110", \ - "15.046200,15.920760,16.296940,16.716120,16.921860,16.860490,16.979000", \ - "16.372740,17.266510,17.667710,18.074110,18.675150,18.697990,18.931400"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.145520,11.955330,12.527840,13.083720,13.497780,13.709970,13.815080", \ - "11.094210,11.872570,12.478270,13.053140,13.446300,13.659440,13.765100", \ - "11.085650,11.853290,12.447920,13.010180,13.417390,13.627940,13.733970", \ - "11.268330,11.987920,12.570880,13.172790,13.570870,13.775170,13.885650", \ - "11.765240,12.538840,13.055200,13.670580,14.082970,14.301750,14.418380", \ - "12.747100,13.473830,13.982710,14.572080,14.963760,15.171260,15.292080", \ - "14.101510,14.713560,15.226340,15.846040,16.298100,16.497890,16.578330"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.496700,15.291050,15.755380,16.397490,16.846560,17.023870,17.135740", \ - "14.412820,15.246180,15.674580,16.258390,16.872620,17.013490,16.790020", \ - "14.379980,15.190900,15.689070,16.216270,16.703420,16.830530,16.726220", \ - "14.248440,15.173770,15.624410,16.131070,16.753460,17.011180,17.071860", \ - "14.679840,15.539820,15.982630,16.580480,17.114970,16.450750,17.393470", \ - "15.063800,16.013510,16.471520,17.156270,17.665920,17.858570,18.090180", \ - "15.950770,16.998700,17.347980,18.134620,18.825600,18.668430,18.528460"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.633110,11.344980,11.915070,12.497530,12.882980,13.062090,13.139660", \ - "10.572220,11.266750,11.878800,12.448060,12.825280,13.009600,13.095170", \ - "10.537450,11.236980,11.846200,12.417130,12.799970,12.975070,13.063690", \ - "10.747810,11.444320,12.053300,12.609740,12.986710,13.183310,13.263270", \ - "11.360580,12.040880,12.553260,13.115200,13.538730,13.737120,13.827710", \ - "12.284130,12.994930,13.514000,14.097620,14.480630,14.643620,14.751920", \ - "13.712910,14.298710,14.832600,15.424720,15.862940,16.044170,16.088820"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.206480,14.834300,15.276260,15.636270,15.911900,15.994110,15.942730", \ - "14.186020,14.896760,15.249420,15.518400,15.870930,16.094920,15.298280", \ - "14.041590,14.780750,15.049670,15.458050,15.753360,15.435260,15.354560", \ - "14.090410,14.763660,15.105380,15.409000,15.802530,15.653930,15.430640", \ - "14.314870,15.155710,15.432660,15.849710,16.127560,16.209430,16.143440", \ - "14.701630,15.570170,15.992670,16.388240,16.765470,16.769320,16.523570", \ - "15.594290,16.402510,16.804850,17.280210,17.791590,17.569290,18.145210"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.518240,11.342210,11.930810,12.488060,12.816680,12.995220,13.075460", \ - "10.504630,11.290500,11.884670,12.431400,12.765270,12.944210,13.023880", \ - "10.525240,11.264650,11.863270,12.401800,12.751860,12.914800,12.989730", \ - "10.671560,11.497240,12.079280,12.624890,12.958370,13.131990,13.218500", \ - "11.340010,12.052670,12.603560,13.134930,13.496450,13.681600,13.777490", \ - "12.305060,13.038260,13.556470,14.125580,14.476410,14.616130,14.725710", \ - "13.720610,14.359090,14.880850,15.471260,15.898220,16.065520,16.090360"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.202140,14.844490,15.274410,15.711170,15.917000,15.298790,15.447350", \ - "14.071820,14.865570,15.239290,15.642020,15.906920,15.967960,15.289350", \ - "14.043190,14.800830,15.050590,15.345670,15.863760,15.303960,15.243840", \ - "14.075120,14.803940,15.116610,15.474940,15.566650,16.056510,16.053410", \ - "14.312270,15.153220,15.530840,15.694990,15.909830,16.328150,16.144580", \ - "14.834880,15.577710,16.046000,16.422880,16.929650,16.842190,16.639070", \ - "15.649620,16.520700,16.856970,17.263510,17.909920,18.018160,17.998130"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI222_X1 - Cell Description : Combinational cell (OAI222_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OAI222_X1) { - - drive_strength : 1; - - area : 2.128000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 43.177867; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 6.637521; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 21.742732; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 21.742743; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 36.363085; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 8.086001; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 49.739910; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 49.740020; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 64.364960; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 8.086012; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 49.739910; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 49.740020; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 64.364960; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 8.417607; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 64.355170; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 64.355280; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 78.983410; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 5.860395; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 25.865066; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 25.865066; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 40.485826; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 11.377416; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 48.989204; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 51.339156; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 62.242532; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 11.377416; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 51.339035; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 53.688888; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 64.592517; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 10.707794; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 62.237461; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 64.587292; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 75.492428; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 5.860406; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 25.865066; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 25.865066; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 40.485826; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 11.377416; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 51.339046; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 53.688778; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 64.592407; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 11.377416; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 53.688778; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 56.038290; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 66.942425; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 10.707816; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 64.587182; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 66.936936; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 77.842457; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 2.574427; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 22.946309; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 22.946320; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 37.567069; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 8.203131; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 62.234590; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 64.584047; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 75.489557; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 8.203142; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 64.584047; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 66.933427; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 77.839322; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 7.520958; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 75.482847; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 77.832227; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 88.739895; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.576587; - fall_capacitance : 1.419922; - rise_capacitance : 1.576587; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.594615; - fall_capacitance : 1.594615; - rise_capacitance : 1.576413; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.617471; - fall_capacitance : 1.423623; - rise_capacitance : 1.617471; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.620241; - fall_capacitance : 1.601183; - rise_capacitance : 1.620241; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.596415; - fall_capacitance : 1.361443; - rise_capacitance : 1.596415; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.571112; - fall_capacitance : 1.510626; - rise_capacitance : 1.571112; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 20.065300; - function : "!(((A1 | A2) & (B1 | B2)) & (C1 | C2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018688,0.019826,0.022012,0.026191,0.034161,0.049389,0.078673", \ - "0.020062,0.021204,0.023398,0.027593,0.035587,0.050845,0.080153", \ - "0.024757,0.025876,0.028058,0.032243,0.040230,0.055488,0.084809", \ - "0.032839,0.034215,0.036801,0.041501,0.049732,0.064673,0.093824", \ - "0.038782,0.040572,0.043919,0.050047,0.060827,0.078904,0.108554", \ - "0.042424,0.044598,0.048705,0.056178,0.069405,0.091741,0.127890", \ - "0.043678,0.046242,0.051065,0.059857,0.075464,0.101904,0.144924"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.021088,0.022927,0.026472,0.033311,0.046515,0.072083,0.121773", \ - "0.021954,0.023817,0.027408,0.034337,0.047677,0.073394,0.123203", \ - "0.027172,0.028951,0.032417,0.039202,0.052429,0.078144,0.128034", \ - "0.037106,0.039302,0.043338,0.050553,0.063307,0.088511,0.138045", \ - "0.047994,0.050710,0.055717,0.064787,0.080469,0.106379,0.154975", \ - "0.060426,0.063610,0.069441,0.080104,0.098794,0.130035,0.180332", \ - "0.074511,0.078131,0.084852,0.097018,0.118399,0.154525,0.213000"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012976,0.013880,0.015639,0.019066,0.025711,0.038606,0.063699", \ - "0.012982,0.013886,0.015650,0.019066,0.025709,0.038608,0.063693", \ - "0.012672,0.013577,0.015363,0.018970,0.025707,0.038609,0.063692", \ - "0.016758,0.017536,0.019015,0.021771,0.026982,0.038623,0.063691", \ - "0.023531,0.024490,0.026264,0.029468,0.035119,0.044947,0.065124", \ - "0.031896,0.033064,0.035191,0.039036,0.045723,0.056960,0.075817", \ - "0.041897,0.043282,0.045823,0.050393,0.058131,0.071085,0.092260"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.028254,0.029983,0.033334,0.039786,0.052132,0.075751,0.121168", \ - "0.028258,0.029985,0.033335,0.039784,0.052137,0.075747,0.121175", \ - "0.028407,0.029984,0.033313,0.039783,0.052130,0.075745,0.121183", \ - "0.034100,0.035171,0.037415,0.042191,0.052664,0.075747,0.121175", \ - "0.044877,0.045898,0.047957,0.052013,0.059817,0.078199,0.121169", \ - "0.056958,0.057985,0.060156,0.064582,0.073237,0.089050,0.124628", \ - "0.071047,0.072005,0.074127,0.078694,0.088072,0.105829,0.137563"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014571,0.015688,0.017834,0.021940,0.029791,0.044863,0.073977", \ - "0.015897,0.017023,0.019186,0.023318,0.031203,0.046313,0.075455", \ - "0.020870,0.021906,0.023918,0.027971,0.035837,0.050949,0.080109", \ - "0.027110,0.028621,0.031444,0.036510,0.045246,0.060177,0.089136", \ - "0.031137,0.033104,0.036748,0.043364,0.054795,0.073703,0.103929", \ - "0.032900,0.035295,0.039792,0.047853,0.061885,0.085213,0.122469", \ - "0.032239,0.035079,0.040367,0.049912,0.066496,0.094107,0.138390"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.020349,0.022188,0.025729,0.032557,0.045723,0.071223,0.120768", \ - "0.021210,0.023068,0.026659,0.033578,0.046881,0.072529,0.122198", \ - "0.026457,0.028225,0.031683,0.038448,0.051638,0.077276,0.127033", \ - "0.036130,0.038366,0.042474,0.049762,0.062524,0.087650,0.137041", \ - "0.046681,0.049459,0.054551,0.063717,0.079520,0.105534,0.153968", \ - "0.058721,0.061998,0.067965,0.078753,0.097576,0.128966,0.179337", \ - "0.072393,0.076110,0.083005,0.095343,0.116880,0.153179,0.211814"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010595,0.011487,0.013227,0.016618,0.023218,0.036057,0.061087", \ - "0.010584,0.011485,0.013227,0.016617,0.023218,0.036065,0.061084", \ - "0.010668,0.011457,0.013069,0.016379,0.023182,0.036056,0.061090", \ - "0.015510,0.016293,0.017759,0.020485,0.025456,0.036372,0.061076", \ - "0.022248,0.023223,0.025023,0.028265,0.033941,0.043747,0.063111", \ - "0.030621,0.031814,0.033962,0.037826,0.044525,0.055797,0.074614", \ - "0.040632,0.042056,0.044636,0.049217,0.056978,0.069916,0.091091"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019600,0.021439,0.024961,0.031646,0.044223,0.067964,0.113410", \ - "0.019598,0.021438,0.024963,0.031648,0.044229,0.067964,0.113409", \ - "0.019816,0.021483,0.024934,0.031645,0.044221,0.067961,0.113411", \ - "0.026200,0.027123,0.029304,0.034186,0.044811,0.067959,0.113409", \ - "0.035091,0.036366,0.038912,0.043702,0.052132,0.070497,0.113400", \ - "0.045528,0.046817,0.049513,0.054776,0.064566,0.081464,0.116942", \ - "0.057783,0.059091,0.061796,0.067287,0.077965,0.097217,0.129957"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012150,0.013098,0.014918,0.018390,0.025014,0.037707,0.062200", \ - "0.013551,0.014503,0.016335,0.019829,0.026481,0.039203,0.063719", \ - "0.018806,0.019760,0.021528,0.024806,0.031389,0.044103,0.068622", \ - "0.024292,0.025680,0.028255,0.032887,0.040829,0.053868,0.078152", \ - "0.027436,0.029252,0.032625,0.038718,0.049214,0.066471,0.093705", \ - "0.028088,0.030320,0.034503,0.042026,0.055005,0.076447,0.110466", \ - "0.026032,0.028704,0.033673,0.042616,0.058076,0.083663,0.124348"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.020278,0.022117,0.025656,0.032486,0.045656,0.071159,0.120705", \ - "0.021077,0.022933,0.026521,0.033437,0.046746,0.072405,0.122081", \ - "0.026378,0.028137,0.031579,0.038324,0.051494,0.077121,0.126883", \ - "0.036211,0.038426,0.042513,0.049776,0.062502,0.087581,0.136924", \ - "0.047044,0.049785,0.054838,0.063961,0.079699,0.105636,0.154003", \ - "0.059542,0.062751,0.068637,0.079347,0.098084,0.129351,0.179596", \ - "0.073869,0.077495,0.084277,0.096471,0.117853,0.153982,0.212409"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008866,0.009634,0.011102,0.013967,0.019529,0.030364,0.051466", \ - "0.008810,0.009596,0.011084,0.013964,0.019529,0.030367,0.051471", \ - "0.009372,0.009957,0.011201,0.013815,0.019379,0.030362,0.051467", \ - "0.014388,0.015075,0.016363,0.018692,0.022890,0.031335,0.051429", \ - "0.020987,0.021867,0.023482,0.026373,0.031405,0.039868,0.055203", \ - "0.029225,0.030309,0.032262,0.035745,0.041760,0.051770,0.068109", \ - "0.039077,0.040382,0.042756,0.046923,0.053964,0.065551,0.084329"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019601,0.021440,0.024961,0.031649,0.044230,0.067963,0.113403", \ - "0.019597,0.021436,0.024961,0.031648,0.044230,0.067965,0.113402", \ - "0.019831,0.021491,0.024931,0.031645,0.044223,0.067963,0.113410", \ - "0.026145,0.027085,0.029279,0.034182,0.044811,0.067962,0.113402", \ - "0.034844,0.036169,0.038739,0.043569,0.052047,0.070473,0.113397", \ - "0.045030,0.046353,0.049086,0.054426,0.064293,0.081281,0.116888", \ - "0.056942,0.058285,0.061020,0.066591,0.077400,0.096798,0.129703"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014571,0.015688,0.017834,0.021940,0.029791,0.044863,0.073977", \ - "0.015897,0.017023,0.019186,0.023318,0.031203,0.046313,0.075455", \ - "0.020870,0.021906,0.023918,0.027971,0.035837,0.050949,0.080109", \ - "0.027110,0.028621,0.031444,0.036510,0.045246,0.060177,0.089136", \ - "0.031137,0.033104,0.036748,0.043364,0.054795,0.073703,0.103929", \ - "0.032900,0.035295,0.039792,0.047853,0.061885,0.085213,0.122469", \ - "0.032239,0.035079,0.040367,0.049912,0.066496,0.094107,0.138390"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.020349,0.022188,0.025729,0.032557,0.045723,0.071223,0.120768", \ - "0.021210,0.023068,0.026659,0.033578,0.046881,0.072529,0.122198", \ - "0.026457,0.028225,0.031683,0.038448,0.051638,0.077276,0.127033", \ - "0.036130,0.038366,0.042474,0.049762,0.062524,0.087650,0.137041", \ - "0.046681,0.049459,0.054551,0.063717,0.079520,0.105534,0.153968", \ - "0.058721,0.061998,0.067965,0.078753,0.097576,0.128966,0.179337", \ - "0.072393,0.076110,0.083005,0.095343,0.116880,0.153179,0.211814"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010595,0.011487,0.013227,0.016618,0.023218,0.036057,0.061087", \ - "0.010584,0.011485,0.013227,0.016617,0.023218,0.036065,0.061084", \ - "0.010668,0.011457,0.013069,0.016379,0.023182,0.036056,0.061090", \ - "0.015510,0.016293,0.017759,0.020485,0.025456,0.036372,0.061076", \ - "0.022248,0.023223,0.025023,0.028265,0.033941,0.043747,0.063111", \ - "0.030621,0.031814,0.033962,0.037826,0.044525,0.055797,0.074614", \ - "0.040632,0.042056,0.044636,0.049217,0.056978,0.069916,0.091091"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019600,0.021439,0.024961,0.031646,0.044223,0.067964,0.113410", \ - "0.019598,0.021438,0.024963,0.031648,0.044229,0.067964,0.113409", \ - "0.019816,0.021483,0.024934,0.031645,0.044221,0.067961,0.113411", \ - "0.026200,0.027123,0.029304,0.034186,0.044811,0.067959,0.113409", \ - "0.035091,0.036366,0.038912,0.043702,0.052132,0.070497,0.113400", \ - "0.045528,0.046817,0.049513,0.054776,0.064566,0.081464,0.116942", \ - "0.057783,0.059091,0.061796,0.067287,0.077965,0.097217,0.129957"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010883,0.011933,0.013971,0.017924,0.025581,0.040452,0.069385", \ - "0.012150,0.013213,0.015274,0.019268,0.026976,0.041894,0.070860", \ - "0.016784,0.017961,0.020121,0.023961,0.031600,0.046522,0.075512", \ - "0.020785,0.022487,0.025626,0.031174,0.040551,0.055807,0.084561", \ - "0.022710,0.024915,0.028987,0.036211,0.048459,0.068360,0.099436", \ - "0.022336,0.025081,0.030124,0.038978,0.054003,0.078506,0.117009", \ - "0.019548,0.022789,0.028756,0.039303,0.057103,0.086092,0.131829"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019628,0.021462,0.025001,0.031808,0.044941,0.070371,0.119786", \ - "0.020484,0.022339,0.025922,0.032824,0.046102,0.071683,0.121215", \ - "0.025755,0.027512,0.030957,0.037706,0.050854,0.076432,0.126049", \ - "0.035162,0.037430,0.041592,0.048970,0.061755,0.086806,0.136047", \ - "0.045394,0.048228,0.053385,0.062646,0.078576,0.104686,0.152987", \ - "0.057126,0.060459,0.066485,0.077386,0.096357,0.127900,0.178356", \ - "0.070368,0.074155,0.081170,0.093669,0.115365,0.151835,0.210640"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007954,0.008873,0.010645,0.014068,0.020684,0.033520,0.058512", \ - "0.007877,0.008815,0.010614,0.014061,0.020682,0.033517,0.058512", \ - "0.009220,0.009844,0.011167,0.014100,0.020556,0.033525,0.058519", \ - "0.014323,0.015118,0.016584,0.019282,0.024192,0.034320,0.058508", \ - "0.021167,0.022146,0.023944,0.027174,0.032847,0.042602,0.061279", \ - "0.029740,0.030900,0.033026,0.036857,0.043482,0.054721,0.073487", \ - "0.039933,0.041336,0.043887,0.048406,0.056025,0.068847,0.089985"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014395,0.016006,0.019146,0.025268,0.037220,0.060419,0.105698", \ - "0.014399,0.016009,0.019150,0.025269,0.037194,0.060428,0.105682", \ - "0.014676,0.016098,0.019109,0.025258,0.037199,0.060435,0.105685", \ - "0.020053,0.021351,0.023620,0.027932,0.037851,0.060419,0.105672", \ - "0.026483,0.028017,0.030901,0.036170,0.045240,0.063027,0.105684", \ - "0.034207,0.035914,0.039164,0.045244,0.056020,0.074074,0.109290", \ - "0.043530,0.045411,0.048954,0.055617,0.067709,0.088480,0.122389"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.009199,0.010077,0.011783,0.015100,0.021535,0.034025,0.058321", \ - "0.010571,0.011452,0.013169,0.016512,0.022986,0.035514,0.059839", \ - "0.015157,0.016225,0.018180,0.021610,0.027901,0.040411,0.064742", \ - "0.018523,0.020091,0.022970,0.028045,0.036583,0.050263,0.074292", \ - "0.019633,0.021690,0.025472,0.032148,0.043412,0.061589,0.089729", \ - "0.018203,0.020778,0.025501,0.033771,0.047713,0.070267,0.105433", \ - "0.014102,0.017146,0.022778,0.032667,0.049308,0.076204,0.118234"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019554,0.021388,0.024926,0.031735,0.044878,0.070308,0.119719", \ - "0.020350,0.022202,0.025784,0.032686,0.045959,0.071551,0.121094", \ - "0.025676,0.027426,0.030857,0.037577,0.050711,0.076276,0.125896", \ - "0.035238,0.037492,0.041635,0.048987,0.061731,0.086736,0.135934", \ - "0.045761,0.048560,0.053681,0.062898,0.078759,0.104792,0.153009", \ - "0.057923,0.061200,0.067179,0.078003,0.096875,0.128293,0.178614", \ - "0.071819,0.075548,0.082468,0.094814,0.116359,0.152655,0.211248"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006572,0.007351,0.008859,0.011771,0.017365,0.028187,0.049250", \ - "0.006452,0.007266,0.008808,0.011747,0.017356,0.028191,0.049256", \ - "0.008309,0.008875,0.009896,0.012126,0.017217,0.028178,0.049257", \ - "0.013397,0.014101,0.015400,0.017748,0.021914,0.029843,0.049185", \ - "0.020111,0.020995,0.022600,0.025492,0.030507,0.038973,0.053891", \ - "0.028531,0.029601,0.031519,0.034987,0.040921,0.050877,0.067189", \ - "0.038580,0.039853,0.042194,0.046307,0.053231,0.064693,0.083391"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014397,0.016012,0.019146,0.025270,0.037199,0.060412,0.105700", \ - "0.014396,0.016007,0.019148,0.025270,0.037193,0.060415,0.105684", \ - "0.014690,0.016109,0.019108,0.025261,0.037197,0.060428,0.105688", \ - "0.020020,0.021320,0.023600,0.027925,0.037820,0.060421,0.105673", \ - "0.026297,0.027842,0.030749,0.036054,0.045144,0.063002,0.105674", \ - "0.033785,0.035505,0.038785,0.044911,0.055768,0.073890,0.109227", \ - "0.042865,0.044740,0.048278,0.054998,0.067176,0.088075,0.122125"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012150,0.013098,0.014918,0.018390,0.025014,0.037707,0.062200", \ - "0.013551,0.014503,0.016335,0.019829,0.026481,0.039203,0.063719", \ - "0.018806,0.019760,0.021528,0.024806,0.031389,0.044103,0.068622", \ - "0.024292,0.025680,0.028255,0.032887,0.040829,0.053868,0.078152", \ - "0.027436,0.029252,0.032625,0.038718,0.049214,0.066471,0.093705", \ - "0.028088,0.030320,0.034503,0.042026,0.055005,0.076447,0.110466", \ - "0.026032,0.028704,0.033673,0.042616,0.058076,0.083663,0.124348"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.020278,0.022117,0.025656,0.032486,0.045656,0.071159,0.120705", \ - "0.021077,0.022933,0.026521,0.033437,0.046746,0.072405,0.122081", \ - "0.026378,0.028137,0.031579,0.038324,0.051494,0.077121,0.126883", \ - "0.036211,0.038426,0.042513,0.049776,0.062502,0.087581,0.136924", \ - "0.047044,0.049785,0.054838,0.063961,0.079699,0.105636,0.154003", \ - "0.059542,0.062751,0.068637,0.079347,0.098084,0.129351,0.179596", \ - "0.073869,0.077495,0.084277,0.096471,0.117853,0.153982,0.212409"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008866,0.009634,0.011102,0.013967,0.019529,0.030364,0.051466", \ - "0.008810,0.009596,0.011084,0.013964,0.019529,0.030367,0.051471", \ - "0.009372,0.009957,0.011201,0.013815,0.019379,0.030362,0.051467", \ - "0.014388,0.015075,0.016363,0.018692,0.022890,0.031335,0.051429", \ - "0.020987,0.021867,0.023482,0.026373,0.031405,0.039868,0.055203", \ - "0.029225,0.030309,0.032262,0.035745,0.041760,0.051770,0.068109", \ - "0.039077,0.040382,0.042756,0.046923,0.053964,0.065551,0.084329"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019601,0.021440,0.024961,0.031649,0.044230,0.067963,0.113403", \ - "0.019597,0.021436,0.024961,0.031648,0.044230,0.067965,0.113402", \ - "0.019831,0.021491,0.024931,0.031645,0.044223,0.067963,0.113410", \ - "0.026145,0.027085,0.029279,0.034182,0.044811,0.067962,0.113402", \ - "0.034844,0.036169,0.038739,0.043569,0.052047,0.070473,0.113397", \ - "0.045030,0.046353,0.049086,0.054426,0.064293,0.081281,0.116888", \ - "0.056942,0.058285,0.061020,0.066591,0.077400,0.096798,0.129703"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.009199,0.010077,0.011783,0.015100,0.021535,0.034025,0.058321", \ - "0.010571,0.011452,0.013169,0.016512,0.022986,0.035514,0.059839", \ - "0.015157,0.016225,0.018180,0.021610,0.027901,0.040411,0.064742", \ - "0.018523,0.020091,0.022970,0.028045,0.036583,0.050263,0.074292", \ - "0.019633,0.021690,0.025472,0.032148,0.043412,0.061589,0.089729", \ - "0.018203,0.020778,0.025501,0.033771,0.047713,0.070267,0.105433", \ - "0.014102,0.017146,0.022778,0.032667,0.049308,0.076204,0.118234"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019554,0.021388,0.024926,0.031735,0.044878,0.070308,0.119719", \ - "0.020350,0.022202,0.025784,0.032686,0.045959,0.071551,0.121094", \ - "0.025676,0.027426,0.030857,0.037577,0.050711,0.076276,0.125896", \ - "0.035238,0.037492,0.041635,0.048987,0.061731,0.086736,0.135934", \ - "0.045761,0.048560,0.053681,0.062898,0.078759,0.104792,0.153009", \ - "0.057923,0.061200,0.067179,0.078003,0.096875,0.128293,0.178614", \ - "0.071819,0.075548,0.082468,0.094814,0.116359,0.152655,0.211248"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006572,0.007351,0.008859,0.011771,0.017365,0.028187,0.049250", \ - "0.006452,0.007266,0.008808,0.011747,0.017356,0.028191,0.049256", \ - "0.008309,0.008875,0.009896,0.012126,0.017217,0.028178,0.049257", \ - "0.013397,0.014101,0.015400,0.017748,0.021914,0.029843,0.049185", \ - "0.020111,0.020995,0.022600,0.025492,0.030507,0.038973,0.053891", \ - "0.028531,0.029601,0.031519,0.034987,0.040921,0.050877,0.067189", \ - "0.038580,0.039853,0.042194,0.046307,0.053231,0.064693,0.083391"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014397,0.016012,0.019146,0.025270,0.037199,0.060412,0.105700", \ - "0.014396,0.016007,0.019148,0.025270,0.037193,0.060415,0.105684", \ - "0.014690,0.016109,0.019108,0.025261,0.037197,0.060428,0.105688", \ - "0.020020,0.021320,0.023600,0.027925,0.037820,0.060421,0.105673", \ - "0.026297,0.027842,0.030749,0.036054,0.045144,0.063002,0.105674", \ - "0.033785,0.035505,0.038785,0.044911,0.055768,0.073890,0.109227", \ - "0.042865,0.044740,0.048278,0.054998,0.067176,0.088075,0.122125"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008203,0.008919,0.010309,0.013000,0.018217,0.028349,0.048064", \ - "0.009620,0.010344,0.011745,0.014459,0.019707,0.029868,0.049603", \ - "0.013813,0.014783,0.016556,0.019649,0.024899,0.035026,0.054754", \ - "0.016385,0.017821,0.020447,0.025066,0.032778,0.045044,0.064845", \ - "0.016534,0.018439,0.021930,0.028060,0.038321,0.054763,0.079986", \ - "0.013922,0.016316,0.020696,0.028363,0.041185,0.061746,0.093490", \ - "0.008368,0.011213,0.016469,0.025671,0.041090,0.065802,0.104001"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019542,0.021377,0.024916,0.031725,0.044865,0.070304,0.119713", \ - "0.020249,0.022099,0.025680,0.032582,0.045868,0.071458,0.121016", \ - "0.025580,0.027323,0.030738,0.037435,0.050543,0.076090,0.125723", \ - "0.035311,0.037559,0.041681,0.049002,0.061704,0.086646,0.135795", \ - "0.046136,0.048911,0.054008,0.063179,0.078975,0.104919,0.153052", \ - "0.058793,0.062024,0.067931,0.078690,0.097455,0.128744,0.178917", \ - "0.073397,0.077071,0.083883,0.096072,0.117452,0.153575,0.211943"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.005274,0.005890,0.007085,0.009415,0.013936,0.022736,0.039872", \ - "0.005231,0.005855,0.007069,0.009408,0.013935,0.022736,0.039871", \ - "0.007537,0.008004,0.008871,0.010460,0.014157,0.022729,0.039873", \ - "0.012443,0.013060,0.014201,0.016239,0.019761,0.025817,0.040125", \ - "0.018959,0.019748,0.021169,0.023719,0.028112,0.035393,0.047273", \ - "0.027170,0.028124,0.029861,0.032953,0.038198,0.046899,0.060930", \ - "0.036982,0.038200,0.040290,0.043996,0.050189,0.060303,0.076583"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014394,0.016013,0.019146,0.025273,0.037206,0.060419,0.105700", \ - "0.014394,0.016009,0.019148,0.025268,0.037196,0.060424,0.105693", \ - "0.014711,0.016130,0.019109,0.025263,0.037183,0.060414,0.105692", \ - "0.019984,0.021290,0.023574,0.027927,0.037827,0.060418,0.105679", \ - "0.026092,0.027664,0.030580,0.035918,0.045047,0.062969,0.105685", \ - "0.033349,0.035085,0.038384,0.044556,0.055483,0.073674,0.109153", \ - "0.042143,0.044019,0.047565,0.054319,0.066601,0.087638,0.121823"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022625,0.023761,0.025953,0.030155,0.038166,0.053477,0.082853", \ - "0.023718,0.024859,0.027053,0.031258,0.039285,0.054596,0.083980", \ - "0.028299,0.029439,0.031635,0.035836,0.043845,0.059144,0.088512", \ - "0.037252,0.038531,0.040955,0.045384,0.053249,0.068364,0.097559", \ - "0.044990,0.046622,0.049767,0.055523,0.065720,0.083086,0.112343", \ - "0.050627,0.052632,0.056425,0.063412,0.075909,0.097296,0.132371", \ - "0.054296,0.056642,0.061061,0.069145,0.083824,0.109063,0.150645"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025216,0.027023,0.030528,0.037322,0.050470,0.076008,0.125662", \ - "0.026789,0.028631,0.032194,0.039078,0.052348,0.078001,0.127767", \ - "0.031843,0.033671,0.037219,0.044114,0.057455,0.083262,0.133194", \ - "0.039915,0.041997,0.045906,0.053109,0.066354,0.092085,0.142063", \ - "0.049128,0.051601,0.056223,0.064689,0.079867,0.106487,0.156248", \ - "0.060097,0.062979,0.068346,0.078091,0.095351,0.125362,0.176988", \ - "0.072923,0.076243,0.082405,0.093512,0.112936,0.146306,0.203132"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.015260,0.016182,0.017973,0.021431,0.028126,0.041085,0.066226", \ - "0.015259,0.016186,0.017970,0.021432,0.028130,0.041086,0.066227", \ - "0.015074,0.016036,0.017925,0.021449,0.028134,0.041085,0.066221", \ - "0.018322,0.019104,0.020575,0.023310,0.028937,0.041050,0.066224", \ - "0.025198,0.026140,0.027866,0.031006,0.036587,0.046445,0.067321", \ - "0.033386,0.034546,0.036696,0.040540,0.047179,0.058383,0.077218", \ - "0.042864,0.044244,0.046788,0.051399,0.059256,0.072366,0.093588"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.028256,0.029983,0.033335,0.039785,0.052131,0.075741,0.121173", \ - "0.028256,0.029983,0.033334,0.039785,0.052138,0.075746,0.121169", \ - "0.028287,0.029999,0.033338,0.039786,0.052135,0.075743,0.121181", \ - "0.031532,0.032859,0.035551,0.041063,0.052401,0.075747,0.121166", \ - "0.039222,0.040400,0.042751,0.047482,0.056853,0.077323,0.121170", \ - "0.048382,0.049459,0.051675,0.056291,0.065730,0.084236,0.123582", \ - "0.059276,0.060282,0.062272,0.066692,0.076040,0.095036,0.131768"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018329,0.019455,0.021623,0.025775,0.033709,0.048897,0.078128", \ - "0.019412,0.020543,0.022716,0.026877,0.034817,0.050015,0.079255", \ - "0.024025,0.025135,0.027298,0.031460,0.039387,0.054565,0.083787", \ - "0.031979,0.033363,0.035961,0.040667,0.048932,0.063833,0.092857", \ - "0.037934,0.039718,0.043106,0.049239,0.060008,0.078071,0.107701", \ - "0.041952,0.044115,0.048186,0.055633,0.068781,0.091029,0.127075", \ - "0.044036,0.046576,0.051321,0.059979,0.075399,0.101570,0.144299"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.024480,0.026289,0.029792,0.036568,0.049683,0.075145,0.124654", \ - "0.026048,0.027890,0.031449,0.038316,0.051557,0.077141,0.126756", \ - "0.031107,0.032932,0.036473,0.043349,0.056659,0.082394,0.132192", \ - "0.039036,0.041136,0.045076,0.052335,0.065556,0.091216,0.141058", \ - "0.048032,0.050543,0.055212,0.063729,0.078968,0.105620,0.155239", \ - "0.058729,0.061662,0.067116,0.076942,0.094283,0.124357,0.175985", \ - "0.071224,0.074610,0.080900,0.092131,0.111681,0.145142,0.201990"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012957,0.013864,0.015622,0.019039,0.025677,0.038554,0.063619", \ - "0.012971,0.013871,0.015627,0.019043,0.025674,0.038558,0.063616", \ - "0.012812,0.013700,0.015438,0.018961,0.025679,0.038555,0.063610", \ - "0.017074,0.017848,0.019304,0.022023,0.027214,0.038690,0.063616", \ - "0.023862,0.024829,0.026597,0.029793,0.035409,0.045195,0.065223", \ - "0.031891,0.033074,0.035271,0.039164,0.045913,0.057177,0.076012", \ - "0.041235,0.042655,0.045275,0.049946,0.057909,0.071074,0.092382"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019600,0.021440,0.024963,0.031648,0.044224,0.067961,0.113400", \ - "0.019600,0.021439,0.024963,0.031646,0.044224,0.067966,0.113413", \ - "0.019642,0.021458,0.024967,0.031648,0.044222,0.067963,0.113408", \ - "0.023346,0.024627,0.027332,0.032995,0.044519,0.067961,0.113398", \ - "0.030053,0.031407,0.034062,0.039275,0.049108,0.069586,0.113399", \ - "0.038281,0.039545,0.042093,0.047211,0.057347,0.076612,0.115853", \ - "0.048008,0.049250,0.051642,0.056674,0.066816,0.086696,0.124115"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.015219,0.016176,0.018016,0.021533,0.028232,0.041030,0.065630", \ - "0.016405,0.017366,0.019213,0.022738,0.029445,0.042250,0.066856", \ - "0.021482,0.022356,0.024115,0.027598,0.034290,0.047072,0.071658", \ - "0.028660,0.029929,0.032303,0.036605,0.044101,0.056831,0.081218", \ - "0.033622,0.035254,0.038384,0.044034,0.053902,0.070403,0.096826", \ - "0.036431,0.038443,0.042240,0.049154,0.061290,0.081726,0.114624", \ - "0.037047,0.039431,0.043892,0.051981,0.066314,0.090505,0.129715"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.024413,0.026224,0.029721,0.036497,0.049616,0.075079,0.124589", \ - "0.025939,0.027776,0.031330,0.038196,0.051435,0.077024,0.126644", \ - "0.031025,0.032841,0.036369,0.043227,0.056520,0.082248,0.132049", \ - "0.038990,0.041084,0.045017,0.052264,0.065459,0.091088,0.140904", \ - "0.048111,0.050610,0.055261,0.063753,0.078958,0.105568,0.155135", \ - "0.059185,0.062088,0.067486,0.077233,0.094505,0.124479,0.176011", \ - "0.072281,0.075626,0.081808,0.092907,0.112299,0.145593,0.202273"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010896,0.011656,0.013135,0.016014,0.021597,0.032454,0.053578", \ - "0.010908,0.011661,0.013143,0.016013,0.021597,0.032455,0.053577", \ - "0.010922,0.011625,0.013012,0.015828,0.021566,0.032456,0.053581", \ - "0.015738,0.016409,0.017656,0.019953,0.024129,0.033099,0.053573", \ - "0.022357,0.023241,0.024824,0.027682,0.032629,0.041052,0.056769", \ - "0.030266,0.031361,0.033306,0.036821,0.042890,0.052915,0.069228", \ - "0.039495,0.040802,0.043188,0.047425,0.054610,0.066452,0.085368"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019599,0.021439,0.024961,0.031650,0.044230,0.067962,0.113401", \ - "0.019602,0.021438,0.024960,0.031650,0.044226,0.067966,0.113414", \ - "0.019639,0.021455,0.024965,0.031648,0.044224,0.067963,0.113402", \ - "0.023364,0.024647,0.027350,0.033010,0.044528,0.067962,0.113399", \ - "0.030017,0.031369,0.034041,0.039267,0.049111,0.069594,0.113399", \ - "0.038070,0.039344,0.041917,0.047084,0.057270,0.076575,0.115844", \ - "0.047576,0.048807,0.051259,0.056307,0.066562,0.086537,0.124043"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018329,0.019455,0.021623,0.025775,0.033709,0.048897,0.078128", \ - "0.019412,0.020543,0.022716,0.026877,0.034817,0.050015,0.079255", \ - "0.024025,0.025135,0.027298,0.031460,0.039387,0.054565,0.083787", \ - "0.031979,0.033363,0.035961,0.040667,0.048932,0.063833,0.092857", \ - "0.037934,0.039718,0.043106,0.049239,0.060008,0.078071,0.107701", \ - "0.041952,0.044115,0.048186,0.055633,0.068781,0.091029,0.127075", \ - "0.044036,0.046576,0.051321,0.059979,0.075399,0.101570,0.144299"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.024480,0.026289,0.029792,0.036568,0.049683,0.075145,0.124654", \ - "0.026048,0.027890,0.031449,0.038316,0.051557,0.077141,0.126756", \ - "0.031107,0.032932,0.036473,0.043349,0.056659,0.082394,0.132192", \ - "0.039036,0.041136,0.045076,0.052335,0.065556,0.091216,0.141058", \ - "0.048032,0.050543,0.055212,0.063729,0.078968,0.105620,0.155239", \ - "0.058729,0.061662,0.067116,0.076942,0.094283,0.124357,0.175985", \ - "0.071224,0.074610,0.080900,0.092131,0.111681,0.145142,0.201990"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012957,0.013864,0.015622,0.019039,0.025677,0.038554,0.063619", \ - "0.012971,0.013871,0.015627,0.019043,0.025674,0.038558,0.063616", \ - "0.012812,0.013700,0.015438,0.018961,0.025679,0.038555,0.063610", \ - "0.017074,0.017848,0.019304,0.022023,0.027214,0.038690,0.063616", \ - "0.023862,0.024829,0.026597,0.029793,0.035409,0.045195,0.065223", \ - "0.031891,0.033074,0.035271,0.039164,0.045913,0.057177,0.076012", \ - "0.041235,0.042655,0.045275,0.049946,0.057909,0.071074,0.092382"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019600,0.021440,0.024963,0.031648,0.044224,0.067961,0.113400", \ - "0.019600,0.021439,0.024963,0.031646,0.044224,0.067966,0.113413", \ - "0.019642,0.021458,0.024967,0.031648,0.044222,0.067963,0.113408", \ - "0.023346,0.024627,0.027332,0.032995,0.044519,0.067961,0.113398", \ - "0.030053,0.031407,0.034062,0.039275,0.049108,0.069586,0.113399", \ - "0.038281,0.039545,0.042093,0.047211,0.057347,0.076612,0.115853", \ - "0.048008,0.049250,0.051642,0.056674,0.066816,0.086696,0.124115"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014252,0.015357,0.017484,0.021563,0.029383,0.044428,0.073520", \ - "0.015317,0.016429,0.018566,0.022658,0.030491,0.045547,0.074646", \ - "0.020139,0.021208,0.023213,0.027247,0.035065,0.050100,0.079184", \ - "0.026234,0.027767,0.030607,0.035709,0.044475,0.059416,0.088275", \ - "0.030349,0.032311,0.035988,0.042593,0.054037,0.072950,0.103179", \ - "0.032606,0.034980,0.039426,0.047458,0.061389,0.084617,0.121777", \ - "0.032983,0.035773,0.040963,0.050336,0.066675,0.093955,0.137942"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.023768,0.025571,0.029065,0.035820,0.048904,0.074290,0.123670", \ - "0.025325,0.027163,0.030716,0.037568,0.050777,0.076295,0.125776", \ - "0.030385,0.032206,0.035739,0.042600,0.055872,0.081544,0.131206", \ - "0.038167,0.040285,0.044249,0.051560,0.064772,0.090364,0.140068", \ - "0.046954,0.049487,0.054202,0.062777,0.078074,0.104765,0.154245", \ - "0.057399,0.060371,0.065893,0.075796,0.093231,0.123364,0.174992", \ - "0.069578,0.073038,0.079403,0.090751,0.110433,0.143982,0.200865"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010618,0.011513,0.013241,0.016624,0.023209,0.036057,0.061083", \ - "0.010618,0.011511,0.013242,0.016627,0.023211,0.036055,0.061083", \ - "0.010949,0.011722,0.013285,0.016506,0.023202,0.036060,0.061073", \ - "0.015931,0.016703,0.018145,0.020817,0.025761,0.036530,0.061075", \ - "0.022623,0.023609,0.025401,0.028641,0.034281,0.044048,0.063312", \ - "0.030595,0.031790,0.033992,0.037930,0.044743,0.056064,0.074886", \ - "0.039869,0.041325,0.043967,0.048662,0.056654,0.069897,0.091261"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014399,0.016009,0.019150,0.025274,0.037209,0.060419,0.105698", \ - "0.014395,0.016008,0.019147,0.025266,0.037187,0.060419,0.105689", \ - "0.014436,0.016030,0.019153,0.025270,0.037195,0.060436,0.105679", \ - "0.017695,0.019072,0.021588,0.026682,0.037511,0.060416,0.105691", \ - "0.022610,0.024037,0.026789,0.032111,0.042150,0.062077,0.105670", \ - "0.028956,0.030408,0.033251,0.038744,0.049290,0.069068,0.108142", \ - "0.036565,0.038118,0.041063,0.046783,0.057685,0.078333,0.116488"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.011863,0.012801,0.014603,0.018050,0.024639,0.037292,0.061731", \ - "0.013041,0.013982,0.015791,0.019249,0.025849,0.038511,0.062957", \ - "0.018112,0.019078,0.020867,0.024156,0.030702,0.043337,0.067761", \ - "0.023422,0.024830,0.027437,0.032099,0.040082,0.053157,0.077341", \ - "0.026614,0.028432,0.031842,0.037936,0.048441,0.065715,0.092945", \ - "0.027736,0.029944,0.034107,0.041575,0.054469,0.075812,0.109730", \ - "0.026698,0.029315,0.034197,0.042971,0.058179,0.083433,0.123813"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.023697,0.025501,0.028995,0.035751,0.048835,0.074223,0.123604", \ - "0.025211,0.027046,0.030596,0.037447,0.050654,0.076176,0.125663", \ - "0.030304,0.032116,0.035636,0.042479,0.055735,0.081396,0.131060", \ - "0.038120,0.040234,0.044188,0.051489,0.064673,0.090231,0.139913", \ - "0.047025,0.049560,0.054247,0.062813,0.078063,0.104711,0.154140", \ - "0.057859,0.060796,0.066264,0.076100,0.093453,0.123485,0.175020", \ - "0.070642,0.074045,0.080331,0.091547,0.111064,0.144448,0.201152"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008898,0.009648,0.011109,0.013956,0.019505,0.030320,0.051386", \ - "0.008848,0.009609,0.011098,0.013956,0.019503,0.030316,0.051387", \ - "0.009664,0.010235,0.011428,0.013964,0.019388,0.030322,0.051390", \ - "0.014803,0.015481,0.016740,0.019036,0.023153,0.031489,0.051362", \ - "0.021346,0.022237,0.023838,0.026730,0.031735,0.040142,0.055379", \ - "0.029209,0.030304,0.032280,0.035830,0.041924,0.051988,0.068316", \ - "0.038404,0.039738,0.042142,0.046406,0.053617,0.065464,0.084419"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014397,0.016011,0.019146,0.025266,0.037189,0.060426,0.105699", \ - "0.014393,0.016010,0.019146,0.025270,0.037192,0.060417,0.105696", \ - "0.014440,0.016032,0.019154,0.025264,0.037192,0.060433,0.105678", \ - "0.017707,0.019080,0.021604,0.026688,0.037520,0.060418,0.105693", \ - "0.022577,0.024007,0.026774,0.032103,0.042150,0.062085,0.105672", \ - "0.028789,0.030247,0.033086,0.038625,0.049220,0.069033,0.108137", \ - "0.036215,0.037757,0.040728,0.046469,0.057440,0.078175,0.116408"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.015219,0.016176,0.018016,0.021533,0.028232,0.041030,0.065630", \ - "0.016405,0.017366,0.019213,0.022738,0.029445,0.042250,0.066856", \ - "0.021482,0.022356,0.024115,0.027598,0.034290,0.047072,0.071658", \ - "0.028660,0.029929,0.032303,0.036605,0.044101,0.056831,0.081218", \ - "0.033622,0.035254,0.038384,0.044034,0.053902,0.070403,0.096826", \ - "0.036431,0.038443,0.042240,0.049154,0.061290,0.081726,0.114624", \ - "0.037047,0.039431,0.043892,0.051981,0.066314,0.090505,0.129715"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.024413,0.026224,0.029721,0.036497,0.049616,0.075079,0.124589", \ - "0.025939,0.027776,0.031330,0.038196,0.051435,0.077024,0.126644", \ - "0.031025,0.032841,0.036369,0.043227,0.056520,0.082248,0.132049", \ - "0.038990,0.041084,0.045017,0.052264,0.065459,0.091088,0.140904", \ - "0.048111,0.050610,0.055261,0.063753,0.078958,0.105568,0.155135", \ - "0.059185,0.062088,0.067486,0.077233,0.094505,0.124479,0.176011", \ - "0.072281,0.075626,0.081808,0.092907,0.112299,0.145593,0.202273"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010896,0.011656,0.013135,0.016014,0.021597,0.032454,0.053578", \ - "0.010908,0.011661,0.013143,0.016013,0.021597,0.032455,0.053577", \ - "0.010922,0.011625,0.013012,0.015828,0.021566,0.032456,0.053581", \ - "0.015738,0.016409,0.017656,0.019953,0.024129,0.033099,0.053573", \ - "0.022357,0.023241,0.024824,0.027682,0.032629,0.041052,0.056769", \ - "0.030266,0.031361,0.033306,0.036821,0.042890,0.052915,0.069228", \ - "0.039495,0.040802,0.043188,0.047425,0.054610,0.066452,0.085368"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019599,0.021439,0.024961,0.031650,0.044230,0.067962,0.113401", \ - "0.019602,0.021438,0.024960,0.031650,0.044226,0.067966,0.113414", \ - "0.019639,0.021455,0.024965,0.031648,0.044224,0.067963,0.113402", \ - "0.023364,0.024647,0.027350,0.033010,0.044528,0.067962,0.113399", \ - "0.030017,0.031369,0.034041,0.039267,0.049111,0.069594,0.113399", \ - "0.038070,0.039344,0.041917,0.047084,0.057270,0.076575,0.115844", \ - "0.047576,0.048807,0.051259,0.056307,0.066562,0.086537,0.124043"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.011863,0.012801,0.014603,0.018050,0.024639,0.037292,0.061731", \ - "0.013041,0.013982,0.015791,0.019249,0.025849,0.038511,0.062957", \ - "0.018112,0.019078,0.020867,0.024156,0.030702,0.043337,0.067761", \ - "0.023422,0.024830,0.027437,0.032099,0.040082,0.053157,0.077341", \ - "0.026614,0.028432,0.031842,0.037936,0.048441,0.065715,0.092945", \ - "0.027736,0.029944,0.034107,0.041575,0.054469,0.075812,0.109730", \ - "0.026698,0.029315,0.034197,0.042971,0.058179,0.083433,0.123813"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.023697,0.025501,0.028995,0.035751,0.048835,0.074223,0.123604", \ - "0.025211,0.027046,0.030596,0.037447,0.050654,0.076176,0.125663", \ - "0.030304,0.032116,0.035636,0.042479,0.055735,0.081396,0.131060", \ - "0.038120,0.040234,0.044188,0.051489,0.064673,0.090231,0.139913", \ - "0.047025,0.049560,0.054247,0.062813,0.078063,0.104711,0.154140", \ - "0.057859,0.060796,0.066264,0.076100,0.093453,0.123485,0.175020", \ - "0.070642,0.074045,0.080331,0.091547,0.111064,0.144448,0.201152"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008898,0.009648,0.011109,0.013956,0.019505,0.030320,0.051386", \ - "0.008848,0.009609,0.011098,0.013956,0.019503,0.030316,0.051387", \ - "0.009664,0.010235,0.011428,0.013964,0.019388,0.030322,0.051390", \ - "0.014803,0.015481,0.016740,0.019036,0.023153,0.031489,0.051362", \ - "0.021346,0.022237,0.023838,0.026730,0.031735,0.040142,0.055379", \ - "0.029209,0.030304,0.032280,0.035830,0.041924,0.051988,0.068316", \ - "0.038404,0.039738,0.042142,0.046406,0.053617,0.065464,0.084419"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014397,0.016011,0.019146,0.025266,0.037189,0.060426,0.105699", \ - "0.014393,0.016010,0.019146,0.025270,0.037192,0.060417,0.105696", \ - "0.014440,0.016032,0.019154,0.025264,0.037192,0.060433,0.105678", \ - "0.017707,0.019080,0.021604,0.026688,0.037520,0.060418,0.105693", \ - "0.022577,0.024007,0.026774,0.032103,0.042150,0.062085,0.105672", \ - "0.028789,0.030247,0.033086,0.038625,0.049220,0.069033,0.108137", \ - "0.036215,0.037757,0.040728,0.046469,0.057440,0.078175,0.116408"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010221,0.010988,0.012460,0.015272,0.020636,0.030919,0.050763", \ - "0.011493,0.012262,0.013738,0.016558,0.021931,0.032220,0.052068", \ - "0.016418,0.017298,0.018913,0.021775,0.027065,0.037319,0.057136", \ - "0.020760,0.022041,0.024419,0.028659,0.035869,0.047559,0.067246", \ - "0.022861,0.024542,0.027672,0.033262,0.042811,0.058423,0.082809", \ - "0.022661,0.024722,0.028572,0.035468,0.047293,0.066720,0.097307", \ - "0.020039,0.022479,0.027027,0.035183,0.049233,0.072375,0.109016"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.023686,0.025491,0.028984,0.035740,0.048824,0.074215,0.123599", \ - "0.025126,0.026961,0.030506,0.037354,0.050559,0.076082,0.125582", \ - "0.030218,0.032023,0.035526,0.042345,0.055582,0.081225,0.130892", \ - "0.038071,0.040179,0.044128,0.051413,0.064568,0.090085,0.139738", \ - "0.047110,0.049629,0.054301,0.062832,0.078054,0.104653,0.154030", \ - "0.058359,0.061284,0.066679,0.076443,0.093699,0.123634,0.175066", \ - "0.071825,0.075167,0.081353,0.092433,0.111773,0.144966,0.201484"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007100,0.007706,0.008885,0.011185,0.015676,0.024455,0.041587", \ - "0.007067,0.007685,0.008876,0.011185,0.015679,0.024455,0.041589", \ - "0.008650,0.009097,0.009919,0.011728,0.015737,0.024463,0.041583", \ - "0.013656,0.014255,0.015349,0.017317,0.020750,0.026928,0.041735", \ - "0.019989,0.020776,0.022196,0.024740,0.029119,0.036331,0.048239", \ - "0.027678,0.028668,0.030437,0.033580,0.038957,0.047778,0.061811", \ - "0.036768,0.037973,0.040139,0.043958,0.050374,0.060806,0.077366"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014397,0.016011,0.019146,0.025264,0.037185,0.060427,0.105701", \ - "0.014392,0.016009,0.019145,0.025271,0.037210,0.060417,0.105696", \ - "0.014443,0.016033,0.019155,0.025271,0.037189,0.060426,0.105681", \ - "0.017722,0.019096,0.021622,0.026703,0.037532,0.060420,0.105694", \ - "0.022547,0.023984,0.026753,0.032096,0.042154,0.062088,0.105680", \ - "0.028579,0.030048,0.032923,0.038497,0.049134,0.068994,0.108130", \ - "0.035835,0.037361,0.040318,0.046101,0.057163,0.077995,0.116327"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.024050,0.025187,0.027369,0.031544,0.039506,0.054726,0.084007", \ - "0.025604,0.026747,0.028943,0.033136,0.041131,0.056384,0.085691", \ - "0.029938,0.031087,0.033300,0.037519,0.045557,0.060872,0.090246", \ - "0.036884,0.038173,0.040626,0.045190,0.053518,0.068895,0.098365", \ - "0.043517,0.045137,0.048186,0.053728,0.063594,0.080757,0.110979", \ - "0.048140,0.050170,0.053984,0.060837,0.072945,0.093413,0.127318", \ - "0.050374,0.052824,0.057424,0.065746,0.080276,0.104701,0.144265"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.030763,0.032593,0.036144,0.043012,0.056289,0.081977,0.131788", \ - "0.031638,0.033493,0.037081,0.044012,0.057368,0.083123,0.132991", \ - "0.036403,0.038238,0.041797,0.048694,0.062038,0.087827,0.137792", \ - "0.047791,0.049660,0.053121,0.059758,0.072742,0.098168,0.147822", \ - "0.061836,0.064181,0.068629,0.076708,0.091002,0.115954,0.164769", \ - "0.077205,0.079973,0.085264,0.094841,0.111952,0.141181,0.190131", \ - "0.094320,0.097496,0.103476,0.114491,0.134137,0.168045,0.223972"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012958,0.013867,0.015635,0.019064,0.025708,0.038609,0.063692", \ - "0.012959,0.013876,0.015638,0.019057,0.025704,0.038605,0.063692", \ - "0.012909,0.013827,0.015609,0.019045,0.025701,0.038608,0.063688", \ - "0.014950,0.015772,0.017362,0.020369,0.026341,0.038654,0.063694", \ - "0.019815,0.020645,0.022206,0.025170,0.030807,0.041761,0.064436", \ - "0.026675,0.027612,0.029362,0.032608,0.038436,0.049112,0.069825", \ - "0.035018,0.036111,0.038122,0.041784,0.048282,0.059533,0.079951"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.034210,0.035904,0.039200,0.045580,0.057884,0.081508,0.127070", \ - "0.034211,0.035903,0.039198,0.045582,0.057882,0.081508,0.127075", \ - "0.034204,0.035901,0.039195,0.045579,0.057881,0.081508,0.127070", \ - "0.036821,0.038162,0.040839,0.046332,0.057862,0.081506,0.127061", \ - "0.046200,0.047381,0.049548,0.053738,0.062835,0.082736,0.127055", \ - "0.057444,0.058778,0.061323,0.066211,0.075214,0.091814,0.129335", \ - "0.069707,0.071194,0.074056,0.079541,0.089773,0.107995,0.140777"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019942,0.021053,0.023191,0.027289,0.035131,0.050197,0.079308", \ - "0.021447,0.022571,0.024730,0.028860,0.036742,0.051848,0.080990", \ - "0.025707,0.026842,0.029021,0.033187,0.041131,0.056318,0.085537", \ - "0.031692,0.033065,0.035644,0.040377,0.048929,0.064303,0.093633", \ - "0.036571,0.038363,0.041689,0.047674,0.058084,0.075722,0.106216", \ - "0.039243,0.041482,0.045678,0.053148,0.066069,0.087447,0.122088", \ - "0.039395,0.042105,0.047225,0.056300,0.071870,0.097524,0.138224"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.029969,0.031799,0.035345,0.042200,0.055452,0.081080,0.130750", \ - "0.030837,0.032693,0.036279,0.043194,0.056521,0.082235,0.131964", \ - "0.035614,0.037443,0.040996,0.047879,0.061197,0.086942,0.136768", \ - "0.046944,0.048829,0.052353,0.058964,0.071917,0.097276,0.146784", \ - "0.060691,0.063055,0.067561,0.075708,0.090099,0.115061,0.163739", \ - "0.075730,0.078542,0.083884,0.093557,0.110786,0.140180,0.189101", \ - "0.092498,0.095714,0.101768,0.112912,0.132706,0.166744,0.222809"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010576,0.011479,0.013214,0.016612,0.023215,0.036061,0.061083", \ - "0.010576,0.011478,0.013220,0.016609,0.023207,0.036061,0.061087", \ - "0.010642,0.011488,0.013204,0.016597,0.023206,0.036063,0.061079", \ - "0.013345,0.014117,0.015629,0.018591,0.024281,0.036257,0.061078", \ - "0.018588,0.019405,0.020941,0.023840,0.029287,0.039962,0.062095", \ - "0.025578,0.026515,0.028254,0.031481,0.037244,0.047706,0.068009", \ - "0.034022,0.035113,0.037105,0.040763,0.047238,0.058371,0.078427"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025494,0.027286,0.030738,0.037345,0.049873,0.073653,0.119257", \ - "0.025493,0.027287,0.030739,0.037343,0.049875,0.073649,0.119258", \ - "0.025487,0.027280,0.030735,0.037341,0.049871,0.073648,0.119253", \ - "0.028248,0.029666,0.032477,0.038154,0.049860,0.073642,0.119247", \ - "0.037020,0.038428,0.041051,0.045825,0.054960,0.074921,0.119241", \ - "0.046658,0.048277,0.051289,0.056900,0.066872,0.084123,0.121569", \ - "0.057179,0.058970,0.062368,0.068699,0.080077,0.099619,0.133089"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016784,0.017728,0.019543,0.023015,0.029649,0.042378,0.066954", \ - "0.018363,0.019317,0.021150,0.024648,0.031315,0.044078,0.068679", \ - "0.022682,0.023644,0.025486,0.029004,0.035717,0.048546,0.073212", \ - "0.028028,0.029252,0.031545,0.035721,0.043193,0.056458,0.081229", \ - "0.031968,0.033586,0.036598,0.041989,0.051308,0.066926,0.093521", \ - "0.033488,0.035539,0.039378,0.046191,0.057873,0.077065,0.107827", \ - "0.032241,0.034742,0.039468,0.047817,0.062021,0.085277,0.121823"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.029862,0.031692,0.035239,0.042092,0.055344,0.080979,0.130647", \ - "0.030616,0.032470,0.036054,0.042969,0.056295,0.082003,0.131741", \ - "0.035461,0.037284,0.040825,0.047688,0.060981,0.086711,0.136509", \ - "0.046978,0.048865,0.052368,0.058955,0.071860,0.097168,0.146615", \ - "0.060975,0.063347,0.067817,0.075940,0.090273,0.115150,0.163743", \ - "0.076461,0.079251,0.084519,0.094153,0.111302,0.140544,0.189358", \ - "0.093809,0.096985,0.102991,0.114037,0.133717,0.167596,0.223445"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008793,0.009544,0.011017,0.013875,0.019445,0.030295,0.051440", \ - "0.008791,0.009544,0.011014,0.013874,0.019442,0.030293,0.051443", \ - "0.009014,0.009708,0.011088,0.013855,0.019433,0.030294,0.051441", \ - "0.011805,0.012464,0.013734,0.016204,0.021015,0.030793,0.051430", \ - "0.016827,0.017539,0.018878,0.021374,0.026015,0.035013,0.053222", \ - "0.023419,0.024258,0.025800,0.028633,0.033651,0.042628,0.059779", \ - "0.031398,0.032395,0.034164,0.037429,0.043145,0.052832,0.069990"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025494,0.027285,0.030738,0.037343,0.049875,0.073657,0.119257", \ - "0.025494,0.027284,0.030739,0.037343,0.049876,0.073652,0.119253", \ - "0.025487,0.027280,0.030736,0.037340,0.049870,0.073649,0.119254", \ - "0.028236,0.029651,0.032474,0.038148,0.049863,0.073641,0.119248", \ - "0.036861,0.038278,0.040922,0.045708,0.054904,0.074903,0.119242", \ - "0.046272,0.047879,0.050932,0.056586,0.066618,0.083944,0.121525", \ - "0.056456,0.058266,0.061689,0.068082,0.079559,0.099211,0.132842"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019942,0.021053,0.023191,0.027289,0.035131,0.050197,0.079308", \ - "0.021447,0.022571,0.024730,0.028860,0.036742,0.051848,0.080990", \ - "0.025707,0.026842,0.029021,0.033187,0.041131,0.056318,0.085537", \ - "0.031692,0.033065,0.035644,0.040377,0.048929,0.064303,0.093633", \ - "0.036571,0.038363,0.041689,0.047674,0.058084,0.075722,0.106216", \ - "0.039243,0.041482,0.045678,0.053148,0.066069,0.087447,0.122088", \ - "0.039395,0.042105,0.047225,0.056300,0.071870,0.097524,0.138224"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.029969,0.031799,0.035345,0.042200,0.055452,0.081080,0.130750", \ - "0.030837,0.032693,0.036279,0.043194,0.056521,0.082235,0.131964", \ - "0.035614,0.037443,0.040996,0.047879,0.061197,0.086942,0.136768", \ - "0.046944,0.048829,0.052353,0.058964,0.071917,0.097276,0.146784", \ - "0.060691,0.063055,0.067561,0.075708,0.090099,0.115061,0.163739", \ - "0.075730,0.078542,0.083884,0.093557,0.110786,0.140180,0.189101", \ - "0.092498,0.095714,0.101768,0.112912,0.132706,0.166744,0.222809"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010576,0.011479,0.013214,0.016612,0.023215,0.036061,0.061083", \ - "0.010576,0.011478,0.013220,0.016609,0.023207,0.036061,0.061087", \ - "0.010642,0.011488,0.013204,0.016597,0.023206,0.036063,0.061079", \ - "0.013345,0.014117,0.015629,0.018591,0.024281,0.036257,0.061078", \ - "0.018588,0.019405,0.020941,0.023840,0.029287,0.039962,0.062095", \ - "0.025578,0.026515,0.028254,0.031481,0.037244,0.047706,0.068009", \ - "0.034022,0.035113,0.037105,0.040763,0.047238,0.058371,0.078427"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025494,0.027286,0.030738,0.037345,0.049873,0.073653,0.119257", \ - "0.025493,0.027287,0.030739,0.037343,0.049875,0.073649,0.119258", \ - "0.025487,0.027280,0.030735,0.037341,0.049871,0.073648,0.119253", \ - "0.028248,0.029666,0.032477,0.038154,0.049860,0.073642,0.119247", \ - "0.037020,0.038428,0.041051,0.045825,0.054960,0.074921,0.119241", \ - "0.046658,0.048277,0.051289,0.056900,0.066872,0.084123,0.121569", \ - "0.057179,0.058970,0.062368,0.068699,0.080077,0.099619,0.133089"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016243,0.017294,0.019329,0.023275,0.030926,0.045789,0.074721", \ - "0.017667,0.018743,0.020817,0.024813,0.032519,0.047435,0.076400", \ - "0.021689,0.022822,0.024971,0.029050,0.036858,0.051880,0.080941", \ - "0.026137,0.027656,0.030456,0.035469,0.044283,0.059816,0.089016", \ - "0.028832,0.030869,0.034606,0.041200,0.052351,0.070645,0.101560", \ - "0.029130,0.031747,0.036508,0.044822,0.058815,0.081303,0.116872", \ - "0.026876,0.030103,0.035924,0.046053,0.062983,0.090103,0.132118"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.029186,0.031015,0.034555,0.041395,0.054609,0.080177,0.129766", \ - "0.030050,0.031903,0.035485,0.042386,0.055679,0.081324,0.130983", \ - "0.034835,0.036662,0.040206,0.047073,0.060353,0.086033,0.135762", \ - "0.046079,0.047999,0.051594,0.058182,0.071092,0.096366,0.145796", \ - "0.059545,0.061940,0.066490,0.074705,0.089183,0.114182,0.162724", \ - "0.074268,0.077123,0.082509,0.092259,0.109595,0.139104,0.188081", \ - "0.090703,0.093949,0.100072,0.111317,0.131211,0.165415,0.221681"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008032,0.008931,0.010677,0.014077,0.020678,0.033516,0.058519", \ - "0.008033,0.008933,0.010677,0.014077,0.020685,0.033520,0.058513", \ - "0.008554,0.009342,0.010923,0.014130,0.020683,0.033522,0.058523", \ - "0.011870,0.012614,0.014050,0.016869,0.022393,0.033953,0.058511", \ - "0.017470,0.018279,0.019799,0.022627,0.027907,0.038260,0.059871", \ - "0.024749,0.025652,0.027358,0.030520,0.036172,0.046402,0.066311", \ - "0.033517,0.034496,0.036404,0.039983,0.046320,0.057295,0.077029"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019469,0.021101,0.024289,0.030496,0.042549,0.065997,0.111532", \ - "0.019467,0.021105,0.024286,0.030486,0.042552,0.065990,0.111558", \ - "0.019455,0.021100,0.024284,0.030482,0.042535,0.065982,0.111540", \ - "0.022355,0.023604,0.026128,0.031363,0.042542,0.065956,0.111536", \ - "0.029180,0.030718,0.033550,0.038722,0.047766,0.067277,0.111465", \ - "0.036481,0.038324,0.041725,0.047911,0.058658,0.076592,0.113818", \ - "0.044532,0.046644,0.050593,0.057760,0.070269,0.091139,0.125483"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.013812,0.014696,0.016412,0.019739,0.026193,0.038733,0.063145", \ - "0.015322,0.016228,0.017976,0.021345,0.027846,0.040429,0.064871", \ - "0.019256,0.020265,0.022154,0.025617,0.032202,0.044876,0.069396", \ - "0.023147,0.024510,0.027014,0.031475,0.039219,0.052723,0.077391", \ - "0.025037,0.026886,0.030281,0.036239,0.046249,0.062512,0.089524", \ - "0.024295,0.026705,0.031075,0.038688,0.051386,0.071631,0.103269", \ - "0.020750,0.023737,0.029118,0.038472,0.053979,0.078620,0.116395"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.029080,0.030908,0.034449,0.041288,0.054502,0.080081,0.129683", \ - "0.029827,0.031680,0.035261,0.042162,0.055454,0.081098,0.130751", \ - "0.034683,0.036504,0.040037,0.046884,0.060140,0.085805,0.135514", \ - "0.046127,0.048037,0.051613,0.058167,0.071041,0.096258,0.145632", \ - "0.059837,0.062235,0.066749,0.074942,0.089358,0.114271,0.162729", \ - "0.075010,0.077841,0.083161,0.092870,0.110115,0.139498,0.188346", \ - "0.092038,0.095255,0.101322,0.112456,0.132229,0.166258,0.222315"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006641,0.007394,0.008863,0.011728,0.017305,0.028156,0.049293", \ - "0.006641,0.007393,0.008866,0.011728,0.017305,0.028162,0.049293", \ - "0.007380,0.008012,0.009296,0.011907,0.017320,0.028159,0.049293", \ - "0.010621,0.011256,0.012475,0.014830,0.019498,0.028956,0.049294", \ - "0.015914,0.016627,0.017959,0.020415,0.024929,0.033646,0.051493", \ - "0.022792,0.023590,0.025104,0.027887,0.032828,0.041597,0.058432", \ - "0.031046,0.031960,0.033657,0.036847,0.042463,0.052019,0.068907"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019466,0.021102,0.024287,0.030488,0.042541,0.066006,0.111576", \ - "0.019469,0.021103,0.024289,0.030486,0.042546,0.065980,0.111535", \ - "0.019457,0.021102,0.024286,0.030488,0.042537,0.065995,0.111532", \ - "0.022337,0.023589,0.026121,0.031369,0.042551,0.065952,0.111537", \ - "0.029051,0.030592,0.033437,0.038626,0.047700,0.067254,0.111465", \ - "0.036136,0.037981,0.041405,0.047626,0.058416,0.076417,0.113775", \ - "0.043889,0.046031,0.049998,0.057199,0.069777,0.090731,0.125229"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017524,0.018474,0.020302,0.023801,0.030489,0.043326,0.068110", \ - "0.019041,0.020002,0.021848,0.025373,0.032096,0.044967,0.069777", \ - "0.023981,0.024944,0.026800,0.030357,0.037135,0.050079,0.074958", \ - "0.030751,0.032050,0.034466,0.038829,0.046473,0.059724,0.084705", \ - "0.035628,0.037364,0.040597,0.046404,0.056422,0.072963,0.099908", \ - "0.038095,0.040282,0.044390,0.051694,0.064309,0.085095,0.117919", \ - "0.037954,0.040618,0.045631,0.054524,0.069799,0.094918,0.134525"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.032299,0.034117,0.037642,0.044471,0.057685,0.083286,0.132909", \ - "0.033189,0.035031,0.038600,0.045493,0.058794,0.084486,0.134187", \ - "0.037944,0.039763,0.043295,0.050149,0.063440,0.089173,0.138954", \ - "0.049397,0.051223,0.054602,0.061211,0.074153,0.099487,0.148970", \ - "0.063790,0.066105,0.070464,0.078405,0.092497,0.117294,0.165986", \ - "0.079457,0.082182,0.087385,0.096838,0.113756,0.142734,0.191404", \ - "0.096844,0.099947,0.105840,0.116749,0.136215,0.169861,0.225438"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.009653,0.010402,0.011860,0.014705,0.020243,0.031029,0.052041", \ - "0.009651,0.010404,0.011860,0.014703,0.020245,0.031029,0.052043", \ - "0.009759,0.010451,0.011838,0.014674,0.020240,0.031042,0.052043", \ - "0.013443,0.014068,0.015265,0.017564,0.021932,0.031408,0.052033", \ - "0.019315,0.020044,0.021408,0.023949,0.028561,0.036995,0.053900", \ - "0.026709,0.027559,0.029135,0.032079,0.037348,0.046513,0.062763", \ - "0.035539,0.036529,0.038334,0.041713,0.047726,0.058071,0.075569"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.026677,0.028482,0.031955,0.038579,0.051138,0.074936,0.120569", \ - "0.026675,0.028481,0.031950,0.038583,0.051138,0.074940,0.120567", \ - "0.026671,0.028478,0.031951,0.038578,0.051133,0.074939,0.120555", \ - "0.028954,0.030430,0.033339,0.039164,0.051117,0.074929,0.120560", \ - "0.037598,0.039018,0.041634,0.046335,0.055731,0.075992,0.120553", \ - "0.047275,0.048887,0.051913,0.057510,0.067457,0.084783,0.122625", \ - "0.057796,0.059599,0.063006,0.069331,0.080687,0.100163,0.133760"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014476,0.015368,0.017099,0.020453,0.026956,0.039589,0.064174", \ - "0.015924,0.016838,0.018601,0.021998,0.028548,0.041224,0.065840", \ - "0.020564,0.021574,0.023419,0.026897,0.033541,0.046315,0.071013", \ - "0.025428,0.026884,0.029558,0.034300,0.042375,0.055909,0.080745", \ - "0.028078,0.030060,0.033680,0.040087,0.050891,0.068266,0.095857", \ - "0.028223,0.030763,0.035403,0.043520,0.057186,0.079115,0.113018", \ - "0.025721,0.028851,0.034530,0.044443,0.061022,0.087610,0.128591"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.031522,0.033334,0.036853,0.043661,0.056839,0.082364,0.131952", \ - "0.032400,0.034243,0.037804,0.044677,0.057940,0.083566,0.133238", \ - "0.037164,0.038978,0.042504,0.049340,0.062583,0.088242,0.137984", \ - "0.048574,0.050427,0.053839,0.060429,0.073315,0.098566,0.148001", \ - "0.062716,0.065013,0.069433,0.077421,0.091607,0.116411,0.164954", \ - "0.078060,0.080810,0.086055,0.095570,0.112582,0.141679,0.190385", \ - "0.095121,0.098262,0.104207,0.115198,0.134758,0.168532,0.224303"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007433,0.008191,0.009661,0.012519,0.018077,0.028865,0.049835", \ - "0.007431,0.008191,0.009662,0.012522,0.018077,0.028865,0.049823", \ - "0.008099,0.008721,0.009990,0.012604,0.018074,0.028863,0.049827", \ - "0.012309,0.012932,0.014120,0.016366,0.020656,0.029594,0.049821", \ - "0.018389,0.019101,0.020455,0.022980,0.027552,0.035841,0.052237", \ - "0.026072,0.026869,0.028392,0.031267,0.036452,0.045542,0.061613", \ - "0.035216,0.036117,0.037818,0.041062,0.046959,0.057179,0.074549"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.020604,0.022256,0.025458,0.031686,0.043772,0.067256,0.112915", \ - "0.020605,0.022248,0.025458,0.031685,0.043774,0.067244,0.112906", \ - "0.020602,0.022251,0.025457,0.031686,0.043770,0.067227,0.112857", \ - "0.022991,0.024308,0.026929,0.032324,0.043752,0.067212,0.112875", \ - "0.029976,0.031494,0.034289,0.039405,0.048494,0.068331,0.112799", \ - "0.037411,0.039220,0.042577,0.048687,0.059333,0.077222,0.114885", \ - "0.045539,0.047632,0.051528,0.058618,0.070998,0.091731,0.126131"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012027,0.012745,0.014139,0.016841,0.022080,0.032259,0.052071", \ - "0.013577,0.014313,0.015734,0.018469,0.023746,0.033959,0.053796", \ - "0.018208,0.019079,0.020696,0.023593,0.028956,0.039251,0.059156", \ - "0.022376,0.023677,0.026062,0.030276,0.037387,0.049058,0.069207", \ - "0.024184,0.025980,0.029261,0.035022,0.044689,0.060117,0.084144", \ - "0.023252,0.025592,0.029839,0.037244,0.049612,0.069281,0.099397", \ - "0.019409,0.022309,0.027559,0.036675,0.051832,0.075917,0.112627"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.031412,0.033226,0.036744,0.043554,0.056729,0.082255,0.131834", \ - "0.032172,0.034011,0.037571,0.044445,0.057712,0.083339,0.133017", \ - "0.037004,0.038812,0.042324,0.049140,0.062360,0.087986,0.137721", \ - "0.048617,0.050464,0.053858,0.060429,0.073266,0.098455,0.147824", \ - "0.063002,0.065322,0.069699,0.077665,0.091795,0.116527,0.164981", \ - "0.078791,0.081539,0.086720,0.096191,0.113130,0.142113,0.190705", \ - "0.096429,0.099543,0.105443,0.116337,0.135788,0.169445,0.225003"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.005818,0.006428,0.007614,0.009921,0.014403,0.023107,0.040045", \ - "0.005818,0.006428,0.007614,0.009922,0.014399,0.023111,0.040042", \ - "0.006912,0.007363,0.008293,0.010247,0.014426,0.023112,0.040047", \ - "0.010970,0.011504,0.012509,0.014379,0.017851,0.024606,0.040096", \ - "0.016689,0.017304,0.018487,0.020658,0.024564,0.031445,0.044214", \ - "0.023936,0.024631,0.025973,0.028477,0.032965,0.040755,0.054134", \ - "0.032595,0.033396,0.034885,0.037753,0.042908,0.051734,0.066574"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.020606,0.022249,0.025462,0.031683,0.043774,0.067261,0.112879", \ - "0.020600,0.022253,0.025458,0.031690,0.043775,0.067249,0.112916", \ - "0.020602,0.022250,0.025458,0.031685,0.043769,0.067227,0.112855", \ - "0.022975,0.024295,0.026923,0.032317,0.043754,0.067219,0.112868", \ - "0.029846,0.031359,0.034176,0.039301,0.048428,0.068301,0.112807", \ - "0.037076,0.038900,0.042272,0.048406,0.059093,0.077047,0.114836", \ - "0.044933,0.047038,0.050946,0.058060,0.070510,0.091335,0.125886"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.027961,0.029100,0.031293,0.035494,0.043508,0.058817,0.088195", \ - "0.029266,0.030406,0.032600,0.036805,0.044828,0.060141,0.089528", \ - "0.033620,0.034766,0.036969,0.041184,0.049228,0.064568,0.093981", \ - "0.041115,0.042355,0.044717,0.049169,0.057322,0.072693,0.102165", \ - "0.049098,0.050603,0.053442,0.058674,0.068136,0.084920,0.114896", \ - "0.055461,0.057331,0.060854,0.067287,0.078748,0.098430,0.131693", \ - "0.059775,0.062008,0.066236,0.073950,0.087636,0.110994,0.149432"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.034694,0.036518,0.040061,0.046920,0.060182,0.085853,0.135652", \ - "0.036345,0.038188,0.041759,0.048653,0.061968,0.087675,0.137524", \ - "0.041408,0.043263,0.046856,0.053796,0.067191,0.093013,0.142972", \ - "0.050443,0.052352,0.055920,0.062793,0.076137,0.101956,0.151964", \ - "0.061751,0.063990,0.068226,0.076068,0.090432,0.116367,0.166226", \ - "0.075030,0.077581,0.082425,0.091356,0.107529,0.136333,0.187016", \ - "0.090484,0.093362,0.098874,0.108960,0.126966,0.158761,0.214056"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.015255,0.016180,0.017972,0.021431,0.028128,0.041087,0.066223", \ - "0.015254,0.016178,0.017967,0.021433,0.028131,0.041087,0.066225", \ - "0.015239,0.016162,0.017956,0.021425,0.028127,0.041083,0.066225", \ - "0.016817,0.017664,0.019277,0.022381,0.028588,0.041107,0.066223", \ - "0.021391,0.022220,0.023803,0.026826,0.032590,0.043739,0.066835", \ - "0.028088,0.029022,0.030784,0.034033,0.039936,0.050788,0.071748", \ - "0.036071,0.037176,0.039225,0.042937,0.049511,0.060936,0.081638"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.034209,0.035903,0.039198,0.045583,0.057884,0.081513,0.127062", \ - "0.034211,0.035900,0.039197,0.045582,0.057883,0.081509,0.127072", \ - "0.034207,0.035899,0.039196,0.045580,0.057881,0.081504,0.127071", \ - "0.035606,0.037100,0.040070,0.045969,0.057884,0.081508,0.127071", \ - "0.041860,0.043179,0.045743,0.050673,0.060963,0.082360,0.127068", \ - "0.049940,0.051301,0.053922,0.059077,0.069015,0.088132,0.128744", \ - "0.059115,0.060519,0.063177,0.068491,0.078793,0.098506,0.136031"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.023663,0.024788,0.026956,0.031110,0.039042,0.054231,0.083466", \ - "0.024960,0.026088,0.028260,0.032420,0.040363,0.055558,0.084799", \ - "0.029290,0.030424,0.032606,0.036779,0.044748,0.059976,0.089247", \ - "0.036165,0.037452,0.039893,0.044450,0.052770,0.068086,0.097422", \ - "0.042686,0.044327,0.047382,0.052950,0.062814,0.079963,0.110130", \ - "0.047306,0.049337,0.053162,0.060069,0.072208,0.092664,0.126522", \ - "0.049839,0.052275,0.056876,0.065194,0.079714,0.104099,0.143534"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.033903,0.035726,0.039262,0.046109,0.059342,0.084961,0.134625", \ - "0.035550,0.037391,0.040955,0.047840,0.061127,0.086798,0.136497", \ - "0.040612,0.042463,0.046050,0.052981,0.066342,0.092125,0.141954", \ - "0.049597,0.051526,0.055125,0.061986,0.075293,0.101055,0.150933", \ - "0.060725,0.062987,0.067244,0.075134,0.089540,0.115460,0.165178", \ - "0.073800,0.076369,0.081258,0.090251,0.106488,0.135329,0.185972", \ - "0.088991,0.091909,0.097476,0.107658,0.125753,0.157604,0.212912"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012942,0.013850,0.015616,0.019039,0.025672,0.038559,0.063618", \ - "0.012946,0.013849,0.015614,0.019037,0.025672,0.038559,0.063622", \ - "0.012942,0.013847,0.015603,0.019027,0.025673,0.038561,0.063616", \ - "0.015109,0.015917,0.017489,0.020522,0.026451,0.038692,0.063616", \ - "0.020076,0.020902,0.022457,0.025399,0.030990,0.041886,0.064455", \ - "0.026829,0.027774,0.029545,0.032803,0.038646,0.049325,0.069906", \ - "0.034805,0.035918,0.037963,0.041706,0.048305,0.059666,0.080075"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025492,0.027285,0.030737,0.037344,0.049875,0.073655,0.119256", \ - "0.025494,0.027285,0.030739,0.037342,0.049876,0.073655,0.119255", \ - "0.025489,0.027281,0.030736,0.037342,0.049872,0.073648,0.119251", \ - "0.026973,0.028550,0.031660,0.037764,0.049873,0.073644,0.119248", \ - "0.032968,0.034445,0.037289,0.042685,0.053035,0.074518,0.119233", \ - "0.040130,0.041659,0.044584,0.050211,0.060774,0.080380,0.120944", \ - "0.048263,0.049856,0.052871,0.058714,0.069755,0.090261,0.128290"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019824,0.020784,0.022628,0.026153,0.032872,0.045717,0.070405", \ - "0.021227,0.022189,0.024036,0.027566,0.034293,0.047144,0.071838", \ - "0.025585,0.026549,0.028402,0.031938,0.038691,0.051567,0.076288", \ - "0.031892,0.033035,0.035190,0.039189,0.046451,0.059574,0.084371", \ - "0.037367,0.038840,0.041606,0.046615,0.055431,0.070584,0.096804", \ - "0.040718,0.042576,0.046067,0.052351,0.063308,0.081650,0.111671", \ - "0.041733,0.043972,0.048217,0.055848,0.069087,0.091133,0.126509"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.033797,0.035619,0.039156,0.046001,0.059235,0.084854,0.134518", \ - "0.035343,0.037182,0.040745,0.047626,0.060910,0.086577,0.136288", \ - "0.040463,0.042308,0.045884,0.052798,0.066139,0.091901,0.141707", \ - "0.049525,0.051450,0.055040,0.061888,0.075164,0.100889,0.150731", \ - "0.060768,0.063020,0.067270,0.075129,0.089513,0.115390,0.165049", \ - "0.074167,0.076722,0.081587,0.090515,0.106691,0.135447,0.185994", \ - "0.089942,0.092816,0.098320,0.108410,0.126383,0.158080,0.213208"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010789,0.011549,0.013037,0.015915,0.021515,0.032392,0.053557", \ - "0.010789,0.011550,0.013033,0.015920,0.021510,0.032391,0.053558", \ - "0.010884,0.011613,0.013049,0.015905,0.021509,0.032391,0.053558", \ - "0.013253,0.013935,0.015247,0.017794,0.022711,0.032765,0.053561", \ - "0.018068,0.018786,0.020135,0.022669,0.027426,0.036590,0.055114", \ - "0.024443,0.025288,0.026844,0.029704,0.034798,0.043935,0.061332", \ - "0.032030,0.033030,0.034840,0.038152,0.043955,0.053851,0.071335"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025493,0.027284,0.030738,0.037343,0.049874,0.073656,0.119256", \ - "0.025493,0.027284,0.030739,0.037341,0.049874,0.073651,0.119260", \ - "0.025490,0.027281,0.030736,0.037341,0.049872,0.073649,0.119253", \ - "0.026987,0.028564,0.031669,0.037775,0.049875,0.073644,0.119250", \ - "0.032953,0.034433,0.037282,0.042684,0.053040,0.074528,0.119234", \ - "0.039976,0.041507,0.044458,0.050108,0.060710,0.080351,0.120944", \ - "0.047873,0.049470,0.052519,0.058422,0.069516,0.090115,0.128223"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.023663,0.024788,0.026956,0.031110,0.039042,0.054231,0.083466", \ - "0.024960,0.026088,0.028260,0.032420,0.040363,0.055558,0.084799", \ - "0.029290,0.030424,0.032606,0.036779,0.044748,0.059976,0.089247", \ - "0.036165,0.037452,0.039893,0.044450,0.052770,0.068086,0.097422", \ - "0.042686,0.044327,0.047382,0.052950,0.062814,0.079963,0.110130", \ - "0.047306,0.049337,0.053162,0.060069,0.072208,0.092664,0.126522", \ - "0.049839,0.052275,0.056876,0.065194,0.079714,0.104099,0.143534"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.033903,0.035726,0.039262,0.046109,0.059342,0.084961,0.134625", \ - "0.035550,0.037391,0.040955,0.047840,0.061127,0.086798,0.136497", \ - "0.040612,0.042463,0.046050,0.052981,0.066342,0.092125,0.141954", \ - "0.049597,0.051526,0.055125,0.061986,0.075293,0.101055,0.150933", \ - "0.060725,0.062987,0.067244,0.075134,0.089540,0.115460,0.165178", \ - "0.073800,0.076369,0.081258,0.090251,0.106488,0.135329,0.185972", \ - "0.088991,0.091909,0.097476,0.107658,0.125753,0.157604,0.212912"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012942,0.013850,0.015616,0.019039,0.025672,0.038559,0.063618", \ - "0.012946,0.013849,0.015614,0.019037,0.025672,0.038559,0.063622", \ - "0.012942,0.013847,0.015603,0.019027,0.025673,0.038561,0.063616", \ - "0.015109,0.015917,0.017489,0.020522,0.026451,0.038692,0.063616", \ - "0.020076,0.020902,0.022457,0.025399,0.030990,0.041886,0.064455", \ - "0.026829,0.027774,0.029545,0.032803,0.038646,0.049325,0.069906", \ - "0.034805,0.035918,0.037963,0.041706,0.048305,0.059666,0.080075"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025492,0.027285,0.030737,0.037344,0.049875,0.073655,0.119256", \ - "0.025494,0.027285,0.030739,0.037342,0.049876,0.073655,0.119255", \ - "0.025489,0.027281,0.030736,0.037342,0.049872,0.073648,0.119251", \ - "0.026973,0.028550,0.031660,0.037764,0.049873,0.073644,0.119248", \ - "0.032968,0.034445,0.037289,0.042685,0.053035,0.074518,0.119233", \ - "0.040130,0.041659,0.044584,0.050211,0.060774,0.080380,0.120944", \ - "0.048263,0.049856,0.052871,0.058714,0.069755,0.090261,0.128290"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019597,0.020698,0.022821,0.026898,0.034719,0.049767,0.078865", \ - "0.020884,0.021989,0.024119,0.028205,0.036036,0.051093,0.080198", \ - "0.025150,0.026270,0.028422,0.032536,0.040404,0.055501,0.084639", \ - "0.031013,0.032392,0.034968,0.039692,0.048207,0.063575,0.092801", \ - "0.035709,0.037526,0.040888,0.046919,0.057355,0.074994,0.105467", \ - "0.038335,0.040612,0.044843,0.052378,0.065353,0.086757,0.121372", \ - "0.038938,0.041663,0.046765,0.055842,0.071401,0.096992,0.137588"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.033123,0.034944,0.038475,0.045304,0.058499,0.084053,0.133661", \ - "0.034764,0.036604,0.040165,0.047034,0.060284,0.085886,0.135513", \ - "0.039824,0.041675,0.045257,0.052171,0.065499,0.091210,0.140957", \ - "0.048759,0.050702,0.054335,0.061188,0.074459,0.100147,0.149937", \ - "0.059702,0.061987,0.066274,0.074198,0.088642,0.114566,0.164164", \ - "0.072561,0.075164,0.080089,0.089133,0.105442,0.134332,0.184948", \ - "0.087515,0.090459,0.096073,0.106331,0.124530,0.156468,0.211784"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010581,0.011473,0.013216,0.016610,0.023218,0.036058,0.061088", \ - "0.010578,0.011476,0.013218,0.016616,0.023210,0.036066,0.061081", \ - "0.010811,0.011656,0.013304,0.016630,0.023214,0.036063,0.061086", \ - "0.013572,0.014339,0.015822,0.018761,0.024452,0.036356,0.061082", \ - "0.018885,0.019699,0.021236,0.024117,0.029532,0.040126,0.062202", \ - "0.025719,0.026677,0.028436,0.031694,0.037474,0.047935,0.068168", \ - "0.033774,0.034871,0.036909,0.040638,0.047216,0.058505,0.078624"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019465,0.021099,0.024287,0.030491,0.042550,0.065974,0.111575", \ - "0.019466,0.021099,0.024288,0.030492,0.042548,0.065983,0.111541", \ - "0.019460,0.021098,0.024283,0.030489,0.042550,0.065970,0.111542", \ - "0.021007,0.022433,0.025258,0.030945,0.042550,0.065952,0.111524", \ - "0.025730,0.027237,0.030127,0.035588,0.045777,0.066850,0.111478", \ - "0.031318,0.032953,0.036058,0.041928,0.052818,0.072784,0.113169", \ - "0.037797,0.039570,0.042903,0.049206,0.060814,0.081997,0.120583"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016497,0.017433,0.019235,0.022689,0.029305,0.042019,0.066584", \ - "0.017892,0.018831,0.020638,0.024100,0.030725,0.043446,0.068016", \ - "0.022148,0.023118,0.024957,0.028446,0.035104,0.047860,0.072461", \ - "0.027383,0.028619,0.030915,0.035094,0.042551,0.055818,0.080522", \ - "0.031123,0.032775,0.035819,0.041265,0.050622,0.066270,0.092841", \ - "0.032594,0.034680,0.038560,0.045433,0.057194,0.076431,0.107185", \ - "0.031771,0.034289,0.039003,0.047355,0.061574,0.084779,0.121244"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.033015,0.034838,0.038367,0.045197,0.058392,0.083946,0.133528", \ - "0.034559,0.036396,0.039954,0.046820,0.060069,0.085666,0.135293", \ - "0.039677,0.041521,0.045092,0.051988,0.065297,0.090985,0.140724", \ - "0.048687,0.050626,0.054252,0.061089,0.074331,0.099978,0.149727", \ - "0.059757,0.062024,0.066297,0.074194,0.088616,0.114498,0.164035", \ - "0.072952,0.075523,0.080425,0.089411,0.105644,0.134450,0.184970", \ - "0.088468,0.091392,0.096942,0.107120,0.125169,0.156938,0.212082"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008801,0.009550,0.011021,0.013881,0.019449,0.030299,0.051441", \ - "0.008797,0.009550,0.011016,0.013880,0.019448,0.030295,0.051441", \ - "0.009181,0.009872,0.011237,0.013957,0.019453,0.030294,0.051445", \ - "0.012042,0.012685,0.013938,0.016380,0.021166,0.030907,0.051447", \ - "0.017108,0.017821,0.019158,0.021646,0.026268,0.035180,0.053345", \ - "0.023578,0.024420,0.025974,0.028828,0.033878,0.042858,0.059954", \ - "0.031235,0.032223,0.034032,0.037329,0.043121,0.052939,0.070190"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019466,0.021102,0.024287,0.030490,0.042544,0.065969,0.111526", \ - "0.019465,0.021098,0.024286,0.030490,0.042553,0.065982,0.111538", \ - "0.019463,0.021098,0.024285,0.030486,0.042549,0.065970,0.111560", \ - "0.021023,0.022448,0.025271,0.030952,0.042555,0.065954,0.111518", \ - "0.025713,0.027225,0.030122,0.035587,0.045781,0.066860,0.111477", \ - "0.031187,0.032820,0.035938,0.041833,0.052759,0.072756,0.113169", \ - "0.037454,0.039243,0.042587,0.048937,0.060591,0.081854,0.120519"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.020596,0.021561,0.023417,0.026969,0.033747,0.046701,0.071605", \ - "0.021930,0.022897,0.024757,0.028314,0.035099,0.048060,0.072970", \ - "0.026910,0.027881,0.029751,0.033325,0.040136,0.053127,0.078066", \ - "0.034902,0.036093,0.038329,0.042424,0.049762,0.062877,0.087880", \ - "0.041543,0.043128,0.046088,0.051474,0.060896,0.076788,0.103182", \ - "0.045960,0.047946,0.051690,0.058447,0.070284,0.090090,0.121958", \ - "0.048197,0.050587,0.055090,0.063252,0.077466,0.101335,0.139606"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.036246,0.038062,0.041577,0.048390,0.061588,0.087165,0.136793", \ - "0.037915,0.039745,0.043293,0.050157,0.063420,0.089059,0.138725", \ - "0.042938,0.044776,0.048341,0.055241,0.068577,0.094346,0.144146", \ - "0.052027,0.053885,0.057402,0.064228,0.077497,0.103222,0.153081", \ - "0.063638,0.065837,0.069974,0.077687,0.091895,0.117636,0.167329", \ - "0.077198,0.079695,0.084459,0.093235,0.109224,0.137772,0.188172", \ - "0.092980,0.095795,0.101187,0.111165,0.128948,0.160443,0.215375"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.011683,0.012440,0.013906,0.016765,0.022332,0.033133,0.054162", \ - "0.011685,0.012439,0.013907,0.016767,0.022329,0.033132,0.054170", \ - "0.011681,0.012417,0.013879,0.016751,0.022329,0.033134,0.054163", \ - "0.014802,0.015434,0.016645,0.018977,0.023555,0.033376,0.054164", \ - "0.020625,0.021349,0.022711,0.025240,0.029845,0.038373,0.055708", \ - "0.027792,0.028657,0.030271,0.033257,0.038566,0.047759,0.064133", \ - "0.036144,0.037160,0.039050,0.042515,0.048690,0.059178,0.076829"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.026676,0.028481,0.031954,0.038578,0.051137,0.074934,0.120562", \ - "0.026675,0.028481,0.031953,0.038583,0.051139,0.074930,0.120564", \ - "0.026673,0.028479,0.031951,0.038577,0.051137,0.074933,0.120555", \ - "0.027897,0.029522,0.032691,0.038878,0.051132,0.074927,0.120567", \ - "0.033733,0.035235,0.038100,0.043490,0.054017,0.075680,0.120556", \ - "0.040828,0.042375,0.045346,0.051014,0.061630,0.081311,0.122112", \ - "0.048882,0.050491,0.053558,0.059468,0.070558,0.091118,0.129263"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.017201,0.018142,0.019955,0.023433,0.030097,0.042904,0.067650", \ - "0.018527,0.019472,0.021290,0.024776,0.031448,0.044263,0.069013", \ - "0.023451,0.024408,0.026245,0.029759,0.036468,0.049322,0.074103", \ - "0.030058,0.031364,0.033792,0.038159,0.045796,0.059042,0.083908", \ - "0.034736,0.036498,0.039763,0.045615,0.055673,0.072242,0.099161", \ - "0.037188,0.039404,0.043531,0.050899,0.063568,0.084360,0.117173", \ - "0.037497,0.040160,0.045153,0.054046,0.069287,0.094347,0.133845"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.035468,0.037276,0.040788,0.047582,0.060737,0.086250,0.135826", \ - "0.037132,0.038959,0.042500,0.049345,0.062567,0.088143,0.137782", \ - "0.042151,0.043987,0.047546,0.054428,0.067724,0.093420,0.143182", \ - "0.051211,0.053091,0.056613,0.063426,0.076652,0.102299,0.152094", \ - "0.062634,0.064839,0.069014,0.076761,0.091000,0.116738,0.166297", \ - "0.076016,0.078529,0.083319,0.092145,0.108182,0.136781,0.187134", \ - "0.091562,0.094405,0.099843,0.109861,0.127728,0.159307,0.214257"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.009643,0.010389,0.011846,0.014686,0.020226,0.030995,0.051973", \ - "0.009640,0.010387,0.011849,0.014689,0.020219,0.030998,0.051975", \ - "0.009911,0.010597,0.011954,0.014703,0.020226,0.030994,0.051970", \ - "0.013699,0.014318,0.015499,0.017764,0.022130,0.031483,0.051979", \ - "0.019631,0.020355,0.021718,0.024244,0.028821,0.037187,0.053999", \ - "0.026860,0.027719,0.029322,0.032296,0.037603,0.046764,0.062948", \ - "0.035299,0.036295,0.038144,0.041575,0.047713,0.058197,0.075774"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.020603,0.022257,0.025456,0.031689,0.043790,0.067264,0.112908", \ - "0.020602,0.022255,0.025455,0.031686,0.043775,0.067253,0.112919", \ - "0.020603,0.022248,0.025457,0.031684,0.043774,0.067235,0.112863", \ - "0.021887,0.023346,0.026240,0.032018,0.043769,0.067214,0.112855", \ - "0.026623,0.028129,0.031016,0.036486,0.046742,0.067996,0.112796", \ - "0.032208,0.033837,0.036957,0.042819,0.053723,0.073714,0.114335", \ - "0.038669,0.040442,0.043777,0.050100,0.061681,0.082891,0.121551"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014059,0.014825,0.016298,0.019118,0.024509,0.034850,0.054805", \ - "0.015513,0.016281,0.017758,0.020584,0.025982,0.036329,0.056289", \ - "0.020578,0.021398,0.022928,0.025783,0.031212,0.041594,0.061583", \ - "0.026380,0.027549,0.029714,0.033591,0.040282,0.051577,0.071693", \ - "0.030053,0.031646,0.034597,0.039857,0.048852,0.063547,0.086930", \ - "0.031310,0.033339,0.037113,0.043816,0.055256,0.073881,0.103011", \ - "0.030153,0.032607,0.037208,0.045374,0.059263,0.081918,0.117274"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.035365,0.037173,0.040680,0.047476,0.060630,0.086143,0.135721", \ - "0.036921,0.038746,0.042286,0.049127,0.062348,0.087935,0.137565", \ - "0.041994,0.043824,0.047371,0.054235,0.067509,0.093184,0.142945", \ - "0.051136,0.053015,0.056522,0.063324,0.076519,0.102125,0.151892", \ - "0.062680,0.064907,0.069049,0.076763,0.090975,0.116668,0.166166", \ - "0.076398,0.078907,0.083661,0.092433,0.108401,0.136912,0.187168", \ - "0.092502,0.095317,0.100701,0.110647,0.128373,0.159809,0.214588"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007600,0.008203,0.009383,0.011676,0.016132,0.024823,0.041759", \ - "0.007597,0.008201,0.009383,0.011676,0.016133,0.024822,0.041760", \ - "0.008194,0.008698,0.009726,0.011815,0.016140,0.024822,0.041757", \ - "0.012143,0.012656,0.013645,0.015496,0.018993,0.025984,0.041780", \ - "0.017717,0.018348,0.019527,0.021711,0.025599,0.032513,0.045440", \ - "0.024549,0.025302,0.026701,0.029286,0.033879,0.041731,0.055180", \ - "0.032580,0.033463,0.035084,0.038094,0.043452,0.052513,0.067536"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.020602,0.022251,0.025462,0.031681,0.043786,0.067271,0.112875", \ - "0.020605,0.022256,0.025457,0.031686,0.043778,0.067261,0.112912", \ - "0.020603,0.022253,0.025459,0.031686,0.043771,0.067235,0.112894", \ - "0.021900,0.023361,0.026247,0.032031,0.043779,0.067218,0.112878", \ - "0.026607,0.028124,0.031012,0.036482,0.046749,0.068006,0.112797", \ - "0.032076,0.033725,0.036835,0.042729,0.053659,0.073681,0.114331", \ - "0.038333,0.040104,0.043457,0.049801,0.061458,0.082746,0.121475"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.026550,0.027684,0.029862,0.034034,0.041985,0.057190,0.086439", \ - "0.028177,0.029318,0.031509,0.035701,0.043682,0.058920,0.088194", \ - "0.031732,0.032883,0.035093,0.039310,0.047344,0.062643,0.091986", \ - "0.036203,0.037429,0.039776,0.044193,0.052444,0.067783,0.097196", \ - "0.040383,0.041764,0.044398,0.049294,0.058265,0.074681,0.104746", \ - "0.043058,0.044733,0.047894,0.053631,0.063896,0.081957,0.114015", \ - "0.042935,0.044998,0.048864,0.055828,0.067996,0.088770,0.123687"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.038292,0.040197,0.043864,0.050926,0.064479,0.090532,0.140701", \ - "0.039115,0.041027,0.044716,0.051800,0.065379,0.091480,0.141613", \ - "0.043882,0.045784,0.049454,0.056524,0.070107,0.096213,0.146407", \ - "0.055249,0.057064,0.060611,0.067479,0.080784,0.106565,0.156482", \ - "0.072009,0.074170,0.078300,0.085836,0.099281,0.124300,0.173481", \ - "0.090030,0.092600,0.097483,0.106481,0.122608,0.150527,0.198882", \ - "0.109869,0.112787,0.118317,0.128678,0.147209,0.179524,0.233561"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012947,0.013851,0.015613,0.019038,0.025673,0.038561,0.063618", \ - "0.012949,0.013850,0.015616,0.019039,0.025671,0.038559,0.063611", \ - "0.012920,0.013837,0.015604,0.019030,0.025669,0.038559,0.063614", \ - "0.014061,0.014939,0.016642,0.019884,0.026137,0.038627,0.063617", \ - "0.016762,0.017607,0.019243,0.022434,0.028648,0.040718,0.064330", \ - "0.021951,0.022782,0.024361,0.027402,0.033253,0.044776,0.067811", \ - "0.029169,0.030096,0.031784,0.034941,0.040716,0.051623,0.073503"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.039594,0.041238,0.044443,0.050698,0.062853,0.086385,0.131931", \ - "0.039592,0.041237,0.044444,0.050696,0.062848,0.086388,0.131924", \ - "0.039587,0.041228,0.044437,0.050692,0.062847,0.086379,0.131919", \ - "0.040421,0.041887,0.044792,0.050746,0.062836,0.086373,0.131924", \ - "0.047885,0.049014,0.051320,0.056043,0.065842,0.086835,0.131927", \ - "0.058967,0.060336,0.062926,0.067843,0.076806,0.094253,0.133299", \ - "0.070643,0.072218,0.075219,0.080882,0.091245,0.109533,0.143333"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022465,0.023577,0.025715,0.029811,0.037654,0.052720,0.081832", \ - "0.024043,0.025167,0.027327,0.031455,0.039337,0.054443,0.083586", \ - "0.027520,0.028656,0.030839,0.035011,0.042961,0.058149,0.087369", \ - "0.031506,0.032758,0.035136,0.039604,0.047924,0.063263,0.092564", \ - "0.034755,0.036239,0.039010,0.044099,0.053288,0.069864,0.100091", \ - "0.035814,0.037674,0.041129,0.047332,0.058149,0.076680,0.109058", \ - "0.033767,0.036085,0.040373,0.047997,0.061073,0.082753,0.118324"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.037418,0.039320,0.042991,0.050045,0.063580,0.089559,0.139618", \ - "0.038237,0.040150,0.043838,0.050918,0.064473,0.090490,0.140586", \ - "0.043007,0.044909,0.048581,0.055647,0.069202,0.095230,0.145329", \ - "0.054412,0.056221,0.059762,0.066627,0.079900,0.105597,0.155439", \ - "0.070902,0.073091,0.077257,0.084860,0.098406,0.123367,0.172425", \ - "0.088614,0.091210,0.096149,0.105209,0.121429,0.149475,0.197798", \ - "0.108121,0.111078,0.116667,0.127120,0.145764,0.178233,0.232381"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010576,0.011471,0.013215,0.016611,0.023208,0.036063,0.061074", \ - "0.010575,0.011472,0.013214,0.016609,0.023207,0.036058,0.061089", \ - "0.010569,0.011461,0.013204,0.016601,0.023211,0.036060,0.061079", \ - "0.012004,0.012867,0.014528,0.017763,0.023923,0.036237,0.061073", \ - "0.015211,0.016010,0.017560,0.020605,0.026627,0.038555,0.061971", \ - "0.020829,0.021649,0.023200,0.026128,0.031726,0.042877,0.065643", \ - "0.028357,0.029247,0.030920,0.034036,0.039663,0.050189,0.071585"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.030760,0.032494,0.035853,0.042318,0.054714,0.078423,0.124062", \ - "0.030759,0.032493,0.035849,0.042317,0.054711,0.078427,0.124070", \ - "0.030751,0.032484,0.035842,0.042314,0.054709,0.078420,0.124055", \ - "0.031652,0.033202,0.036227,0.042397,0.054696,0.078409,0.124062", \ - "0.039207,0.040576,0.043072,0.047824,0.057803,0.078901,0.124053", \ - "0.048666,0.050271,0.053267,0.058821,0.068693,0.086442,0.125466", \ - "0.058708,0.060541,0.064022,0.070418,0.081817,0.101319,0.135580"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019528,0.020469,0.022276,0.025733,0.032337,0.045006,0.069461", \ - "0.021165,0.022117,0.023941,0.027425,0.034063,0.046765,0.071247", \ - "0.025409,0.026369,0.028212,0.031727,0.038417,0.051186,0.075729", \ - "0.030285,0.031402,0.033507,0.037423,0.044590,0.057652,0.082273", \ - "0.033889,0.035307,0.037946,0.042716,0.051132,0.065784,0.091802", \ - "0.034843,0.036659,0.040032,0.046057,0.056453,0.073803,0.102674", \ - "0.032543,0.034803,0.039013,0.046507,0.059283,0.080215,0.113468"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.039145,0.041054,0.044735,0.051809,0.065367,0.091380,0.141515", \ - "0.039967,0.041888,0.045590,0.052694,0.066285,0.092337,0.142519", \ - "0.044709,0.046617,0.050302,0.057389,0.070977,0.097041,0.147241", \ - "0.056097,0.057931,0.061498,0.068385,0.081701,0.107435,0.157347", \ - "0.073125,0.075296,0.079387,0.086885,0.100245,0.125275,0.174412", \ - "0.091409,0.093965,0.098834,0.107779,0.123831,0.151621,0.199877", \ - "0.111424,0.114345,0.119876,0.130219,0.148699,0.180924,0.234766"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008871,0.009617,0.011087,0.013940,0.019500,0.030317,0.051391", \ - "0.008869,0.009615,0.011086,0.013942,0.019496,0.030320,0.051395", \ - "0.008923,0.009642,0.011090,0.013937,0.019493,0.030314,0.051388", \ - "0.010791,0.011486,0.012828,0.015461,0.020547,0.030665,0.051380", \ - "0.014731,0.015415,0.016728,0.019234,0.024103,0.033703,0.052834", \ - "0.020697,0.021462,0.022890,0.025519,0.030325,0.039405,0.057661", \ - "0.028426,0.029269,0.030849,0.033764,0.038948,0.048049,0.065382"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.031712,0.033440,0.036793,0.043247,0.055624,0.079320,0.124964", \ - "0.031715,0.033440,0.036792,0.043245,0.055622,0.079320,0.124967", \ - "0.031705,0.033435,0.036784,0.043241,0.055622,0.079311,0.124970", \ - "0.032345,0.033916,0.037017,0.043210,0.055611,0.079306,0.124965", \ - "0.039539,0.040914,0.043314,0.048207,0.058334,0.079669,0.124947", \ - "0.048939,0.050539,0.053530,0.059057,0.068898,0.086795,0.126176", \ - "0.058928,0.060766,0.064226,0.070604,0.081961,0.101427,0.135900"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022465,0.023577,0.025715,0.029811,0.037654,0.052720,0.081832", \ - "0.024043,0.025167,0.027327,0.031455,0.039337,0.054443,0.083586", \ - "0.027520,0.028656,0.030839,0.035011,0.042961,0.058149,0.087369", \ - "0.031506,0.032758,0.035136,0.039604,0.047924,0.063263,0.092564", \ - "0.034755,0.036239,0.039010,0.044099,0.053288,0.069864,0.100091", \ - "0.035814,0.037674,0.041129,0.047332,0.058149,0.076680,0.109058", \ - "0.033767,0.036085,0.040373,0.047997,0.061073,0.082753,0.118324"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.037418,0.039320,0.042991,0.050045,0.063580,0.089559,0.139618", \ - "0.038237,0.040150,0.043838,0.050918,0.064473,0.090490,0.140586", \ - "0.043007,0.044909,0.048581,0.055647,0.069202,0.095230,0.145329", \ - "0.054412,0.056221,0.059762,0.066627,0.079900,0.105597,0.155439", \ - "0.070902,0.073091,0.077257,0.084860,0.098406,0.123367,0.172425", \ - "0.088614,0.091210,0.096149,0.105209,0.121429,0.149475,0.197798", \ - "0.108121,0.111078,0.116667,0.127120,0.145764,0.178233,0.232381"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010576,0.011471,0.013215,0.016611,0.023208,0.036063,0.061074", \ - "0.010575,0.011472,0.013214,0.016609,0.023207,0.036058,0.061089", \ - "0.010569,0.011461,0.013204,0.016601,0.023211,0.036060,0.061079", \ - "0.012004,0.012867,0.014528,0.017763,0.023923,0.036237,0.061073", \ - "0.015211,0.016010,0.017560,0.020605,0.026627,0.038555,0.061971", \ - "0.020829,0.021649,0.023200,0.026128,0.031726,0.042877,0.065643", \ - "0.028357,0.029247,0.030920,0.034036,0.039663,0.050189,0.071585"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.030760,0.032494,0.035853,0.042318,0.054714,0.078423,0.124062", \ - "0.030759,0.032493,0.035849,0.042317,0.054711,0.078427,0.124070", \ - "0.030751,0.032484,0.035842,0.042314,0.054709,0.078420,0.124055", \ - "0.031652,0.033202,0.036227,0.042397,0.054696,0.078409,0.124062", \ - "0.039207,0.040576,0.043072,0.047824,0.057803,0.078901,0.124053", \ - "0.048666,0.050271,0.053267,0.058821,0.068693,0.086442,0.125466", \ - "0.058708,0.060541,0.064022,0.070418,0.081817,0.101319,0.135580"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.018764,0.019815,0.021851,0.025797,0.033447,0.048312,0.077242", \ - "0.020259,0.021335,0.023411,0.027407,0.035114,0.050029,0.078994", \ - "0.023559,0.024671,0.026799,0.030875,0.038684,0.053708,0.082769", \ - "0.026819,0.028104,0.030526,0.035043,0.043407,0.058787,0.087948", \ - "0.028678,0.030313,0.033331,0.038734,0.048229,0.065030,0.095412", \ - "0.027665,0.029801,0.033705,0.040558,0.052145,0.071300,0.104052", \ - "0.023284,0.025975,0.030900,0.039454,0.053704,0.076507,0.112898"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.036554,0.038456,0.042131,0.049184,0.062688,0.088582,0.138522", \ - "0.037370,0.039286,0.042978,0.050052,0.063583,0.089512,0.139492", \ - "0.042142,0.044045,0.047721,0.054785,0.068309,0.094260,0.144238", \ - "0.053593,0.055397,0.058930,0.065776,0.079021,0.104640,0.154336", \ - "0.069799,0.072004,0.076235,0.083895,0.097541,0.122436,0.171339", \ - "0.087205,0.089823,0.094833,0.103952,0.120281,0.148392,0.196743", \ - "0.106395,0.109381,0.115041,0.125563,0.144300,0.176889,0.231225"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008036,0.008927,0.010672,0.014068,0.020676,0.033525,0.058510", \ - "0.008036,0.008928,0.010672,0.014068,0.020674,0.033518,0.058514", \ - "0.008250,0.009082,0.010744,0.014098,0.020682,0.033526,0.058510", \ - "0.009985,0.010799,0.012409,0.015570,0.021730,0.033847,0.058507", \ - "0.013785,0.014554,0.016032,0.018928,0.024694,0.036398,0.059641", \ - "0.019857,0.020660,0.022182,0.025019,0.030359,0.041095,0.063513", \ - "0.027849,0.028700,0.030314,0.033324,0.038770,0.048883,0.069734"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.023914,0.025570,0.028776,0.035001,0.047085,0.070564,0.116224", \ - "0.023913,0.025573,0.028771,0.034997,0.047084,0.070562,0.116228", \ - "0.023904,0.025560,0.028765,0.034995,0.047083,0.070568,0.116220", \ - "0.024881,0.026342,0.029198,0.035063,0.047075,0.070556,0.116205", \ - "0.031507,0.032994,0.035748,0.040680,0.050264,0.071074,0.116186", \ - "0.038923,0.040720,0.044021,0.050076,0.060631,0.078721,0.117627", \ - "0.046738,0.048852,0.052768,0.059881,0.072254,0.092935,0.127897"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016547,0.017434,0.019149,0.022468,0.028896,0.041381,0.065676", \ - "0.018115,0.019023,0.020771,0.024132,0.030607,0.043133,0.067459", \ - "0.022159,0.023110,0.024919,0.028356,0.034916,0.047533,0.071934", \ - "0.026075,0.027261,0.029470,0.033502,0.040785,0.053950,0.078457", \ - "0.028035,0.029624,0.032538,0.037713,0.046579,0.061603,0.087846", \ - "0.026891,0.028976,0.032796,0.039482,0.050717,0.068860,0.098316", \ - "0.022270,0.024909,0.029733,0.038140,0.052072,0.074221,0.108518"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.038264,0.040176,0.043864,0.050936,0.064468,0.090412,0.140379", \ - "0.039088,0.041008,0.044717,0.051819,0.065388,0.091372,0.141360", \ - "0.043829,0.045742,0.049433,0.056514,0.070081,0.096071,0.146107", \ - "0.055262,0.057084,0.060651,0.067529,0.080813,0.106475,0.156214", \ - "0.072029,0.074210,0.078373,0.085934,0.099379,0.124334,0.173277", \ - "0.090004,0.092596,0.097530,0.106554,0.122692,0.150553,0.198812", \ - "0.109709,0.112668,0.118261,0.128686,0.147258,0.179593,0.233563"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006749,0.007489,0.008955,0.011803,0.017365,0.028194,0.049251", \ - "0.006748,0.007490,0.008955,0.011805,0.017370,0.028193,0.049255", \ - "0.007090,0.007767,0.009124,0.011865,0.017379,0.028192,0.049253", \ - "0.009302,0.009970,0.011252,0.013797,0.018824,0.028738,0.049255", \ - "0.013599,0.014282,0.015585,0.018035,0.022708,0.032074,0.050995", \ - "0.019878,0.020630,0.022045,0.024665,0.029366,0.038153,0.056062", \ - "0.028071,0.028867,0.030381,0.033205,0.038273,0.047165,0.064100"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.024781,0.026442,0.029645,0.035879,0.047978,0.071447,0.117096", \ - "0.024786,0.026432,0.029646,0.035877,0.047968,0.071442,0.117092", \ - "0.024771,0.026428,0.029633,0.035873,0.047963,0.071442,0.117091", \ - "0.025466,0.026958,0.029890,0.035855,0.047955,0.071429,0.117093", \ - "0.031905,0.033381,0.036105,0.040994,0.050759,0.071830,0.117063", \ - "0.039334,0.041099,0.044383,0.050396,0.060886,0.079021,0.118328", \ - "0.047165,0.049239,0.053114,0.060179,0.072484,0.093087,0.128149"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019528,0.020469,0.022276,0.025733,0.032337,0.045006,0.069461", \ - "0.021165,0.022117,0.023941,0.027425,0.034063,0.046765,0.071247", \ - "0.025409,0.026369,0.028212,0.031727,0.038417,0.051186,0.075729", \ - "0.030285,0.031402,0.033507,0.037423,0.044590,0.057652,0.082273", \ - "0.033889,0.035307,0.037946,0.042716,0.051132,0.065784,0.091802", \ - "0.034843,0.036659,0.040032,0.046057,0.056453,0.073803,0.102674", \ - "0.032543,0.034803,0.039013,0.046507,0.059283,0.080215,0.113468"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.039145,0.041054,0.044735,0.051809,0.065367,0.091380,0.141515", \ - "0.039967,0.041888,0.045590,0.052694,0.066285,0.092337,0.142519", \ - "0.044709,0.046617,0.050302,0.057389,0.070977,0.097041,0.147241", \ - "0.056097,0.057931,0.061498,0.068385,0.081701,0.107435,0.157347", \ - "0.073125,0.075296,0.079387,0.086885,0.100245,0.125275,0.174412", \ - "0.091409,0.093965,0.098834,0.107779,0.123831,0.151621,0.199877", \ - "0.111424,0.114345,0.119876,0.130219,0.148699,0.180924,0.234766"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008871,0.009617,0.011087,0.013940,0.019500,0.030317,0.051391", \ - "0.008869,0.009615,0.011086,0.013942,0.019496,0.030320,0.051395", \ - "0.008923,0.009642,0.011090,0.013937,0.019493,0.030314,0.051388", \ - "0.010791,0.011486,0.012828,0.015461,0.020547,0.030665,0.051380", \ - "0.014731,0.015415,0.016728,0.019234,0.024103,0.033703,0.052834", \ - "0.020697,0.021462,0.022890,0.025519,0.030325,0.039405,0.057661", \ - "0.028426,0.029269,0.030849,0.033764,0.038948,0.048049,0.065382"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.031712,0.033440,0.036793,0.043247,0.055624,0.079320,0.124964", \ - "0.031715,0.033440,0.036792,0.043245,0.055622,0.079320,0.124967", \ - "0.031705,0.033435,0.036784,0.043241,0.055622,0.079311,0.124970", \ - "0.032345,0.033916,0.037017,0.043210,0.055611,0.079306,0.124965", \ - "0.039539,0.040914,0.043314,0.048207,0.058334,0.079669,0.124947", \ - "0.048939,0.050539,0.053530,0.059057,0.068898,0.086795,0.126176", \ - "0.058928,0.060766,0.064226,0.070604,0.081961,0.101427,0.135900"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016547,0.017434,0.019149,0.022468,0.028896,0.041381,0.065676", \ - "0.018115,0.019023,0.020771,0.024132,0.030607,0.043133,0.067459", \ - "0.022159,0.023110,0.024919,0.028356,0.034916,0.047533,0.071934", \ - "0.026075,0.027261,0.029470,0.033502,0.040785,0.053950,0.078457", \ - "0.028035,0.029624,0.032538,0.037713,0.046579,0.061603,0.087846", \ - "0.026891,0.028976,0.032796,0.039482,0.050717,0.068860,0.098316", \ - "0.022270,0.024909,0.029733,0.038140,0.052072,0.074221,0.108518"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.038264,0.040176,0.043864,0.050936,0.064468,0.090412,0.140379", \ - "0.039088,0.041008,0.044717,0.051819,0.065388,0.091372,0.141360", \ - "0.043829,0.045742,0.049433,0.056514,0.070081,0.096071,0.146107", \ - "0.055262,0.057084,0.060651,0.067529,0.080813,0.106475,0.156214", \ - "0.072029,0.074210,0.078373,0.085934,0.099379,0.124334,0.173277", \ - "0.090004,0.092596,0.097530,0.106554,0.122692,0.150553,0.198812", \ - "0.109709,0.112668,0.118261,0.128686,0.147258,0.179593,0.233563"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.006749,0.007489,0.008955,0.011803,0.017365,0.028194,0.049251", \ - "0.006748,0.007490,0.008955,0.011805,0.017370,0.028193,0.049255", \ - "0.007090,0.007767,0.009124,0.011865,0.017379,0.028192,0.049253", \ - "0.009302,0.009970,0.011252,0.013797,0.018824,0.028738,0.049255", \ - "0.013599,0.014282,0.015585,0.018035,0.022708,0.032074,0.050995", \ - "0.019878,0.020630,0.022045,0.024665,0.029366,0.038153,0.056062", \ - "0.028071,0.028867,0.030381,0.033205,0.038273,0.047165,0.064100"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.024781,0.026442,0.029645,0.035879,0.047978,0.071447,0.117096", \ - "0.024786,0.026432,0.029646,0.035877,0.047968,0.071442,0.117092", \ - "0.024771,0.026428,0.029633,0.035873,0.047963,0.071442,0.117091", \ - "0.025466,0.026958,0.029890,0.035855,0.047955,0.071429,0.117093", \ - "0.031905,0.033381,0.036105,0.040994,0.050759,0.071830,0.117063", \ - "0.039334,0.041099,0.044383,0.050396,0.060886,0.079021,0.118328", \ - "0.047165,0.049239,0.053114,0.060179,0.072484,0.093087,0.128149"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.014554,0.015268,0.016649,0.019321,0.024496,0.034542,0.054086", \ - "0.016144,0.016875,0.018283,0.020989,0.026202,0.036281,0.055848", \ - "0.020482,0.021286,0.022783,0.025575,0.030863,0.041017,0.060648", \ - "0.024772,0.025864,0.027886,0.031523,0.037884,0.048952,0.068793", \ - "0.026752,0.028269,0.031055,0.035995,0.044384,0.058098,0.080634", \ - "0.025510,0.027524,0.031222,0.037702,0.048569,0.065962,0.093067", \ - "0.020695,0.023262,0.027967,0.036161,0.049736,0.071285,0.104167"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.041398,0.043263,0.046876,0.053835,0.067223,0.093003,0.142861", \ - "0.042229,0.044108,0.047740,0.054733,0.068164,0.093986,0.143846", \ - "0.046999,0.048867,0.052484,0.059453,0.072874,0.098712,0.148611", \ - "0.058379,0.060180,0.063704,0.070501,0.083659,0.109166,0.158741", \ - "0.075695,0.077784,0.081789,0.089097,0.102225,0.127101,0.175898", \ - "0.094302,0.096799,0.101566,0.110352,0.126144,0.153532,0.201568", \ - "0.114627,0.117483,0.122908,0.133082,0.151294,0.183140,0.236559"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.005909,0.006514,0.007693,0.009983,0.014436,0.023079,0.039862", \ - "0.005911,0.006513,0.007694,0.009983,0.014437,0.023082,0.039854", \ - "0.006421,0.006946,0.007998,0.010116,0.014453,0.023077,0.039854", \ - "0.009368,0.009901,0.010921,0.012875,0.016662,0.024108,0.039924", \ - "0.014308,0.014894,0.016005,0.018075,0.021872,0.028963,0.042966", \ - "0.021106,0.021739,0.022945,0.025222,0.029336,0.036628,0.050219", \ - "0.029778,0.030431,0.031689,0.034127,0.038644,0.046544,0.060453"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025751,0.027426,0.030683,0.036984,0.049178,0.072770,0.118540", \ - "0.025750,0.027435,0.030676,0.036984,0.049183,0.072768,0.118534", \ - "0.025738,0.027425,0.030674,0.036981,0.049171,0.072786,0.118547", \ - "0.026272,0.027811,0.030860,0.036939,0.049168,0.072762,0.118527", \ - "0.032588,0.034036,0.036733,0.041614,0.051648,0.073079,0.118495", \ - "0.040202,0.041947,0.045196,0.051147,0.061576,0.079844,0.119587", \ - "0.048201,0.050275,0.054086,0.061073,0.073288,0.093781,0.129016"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.030491,0.031627,0.033818,0.038018,0.046031,0.061341,0.090721", \ - "0.031866,0.033004,0.035200,0.039406,0.047422,0.062736,0.092123", \ - "0.035464,0.036608,0.038809,0.043029,0.051067,0.066407,0.095816", \ - "0.040295,0.041496,0.043797,0.048144,0.056301,0.071655,0.101101", \ - "0.045151,0.046488,0.049015,0.053796,0.062581,0.078816,0.108749", \ - "0.048975,0.050547,0.053506,0.058951,0.068877,0.086572,0.118347", \ - "0.050521,0.052429,0.056008,0.062522,0.074068,0.094127,0.128483"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.042165,0.044065,0.047733,0.054789,0.068339,0.094392,0.144551", \ - "0.043730,0.045633,0.049307,0.056370,0.069927,0.095991,0.146195", \ - "0.049044,0.050954,0.054640,0.061725,0.075311,0.101411,0.151680", \ - "0.058251,0.060151,0.063796,0.070849,0.084419,0.110521,0.160777", \ - "0.071268,0.073419,0.077496,0.085055,0.099051,0.124945,0.175075", \ - "0.086301,0.088715,0.093317,0.101913,0.117516,0.145628,0.195922", \ - "0.103923,0.106599,0.111705,0.121339,0.138562,0.169398,0.223634"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.015254,0.016181,0.017969,0.021430,0.028126,0.041088,0.066223", \ - "0.015256,0.016182,0.017972,0.021437,0.028128,0.041083,0.066230", \ - "0.015245,0.016173,0.017963,0.021429,0.028125,0.041088,0.066221", \ - "0.016211,0.017105,0.018816,0.022099,0.028498,0.041132,0.066220", \ - "0.018620,0.019500,0.021200,0.024492,0.030812,0.042989,0.066851", \ - "0.023324,0.024182,0.025819,0.028978,0.035051,0.046873,0.070120", \ - "0.030153,0.031067,0.032795,0.036014,0.041981,0.053296,0.075623"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.039592,0.041236,0.044445,0.050695,0.062848,0.086385,0.131914", \ - "0.039589,0.041236,0.044443,0.050694,0.062850,0.086385,0.131930", \ - "0.039586,0.041228,0.044440,0.050694,0.062848,0.086380,0.131929", \ - "0.040040,0.041581,0.044628,0.050685,0.062836,0.086376,0.131938", \ - "0.044921,0.046207,0.048808,0.054076,0.064793,0.086756,0.131922", \ - "0.052531,0.053934,0.056645,0.061898,0.071946,0.091547,0.133045", \ - "0.060942,0.062424,0.065287,0.070833,0.081411,0.101371,0.139510"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.026214,0.027343,0.029513,0.033669,0.041614,0.056819,0.086091", \ - "0.027583,0.028714,0.030888,0.035052,0.043004,0.058219,0.087495", \ - "0.031156,0.032292,0.034478,0.038655,0.046634,0.061877,0.091181", \ - "0.035634,0.036853,0.039182,0.043575,0.051800,0.067118,0.096457", \ - "0.039754,0.041148,0.043789,0.048686,0.057669,0.074030,0.104075", \ - "0.042291,0.043992,0.047181,0.052965,0.063285,0.081351,0.113396", \ - "0.042219,0.044308,0.048210,0.055226,0.067461,0.088250,0.123164"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.041292,0.043193,0.046861,0.053913,0.067437,0.093421,0.143477", \ - "0.042856,0.044760,0.048434,0.055494,0.069029,0.095018,0.145115", \ - "0.048168,0.050080,0.053767,0.060848,0.074413,0.100441,0.150594", \ - "0.057394,0.059285,0.062935,0.069978,0.083530,0.109548,0.159679", \ - "0.070246,0.072400,0.076505,0.084091,0.098141,0.124000,0.174015", \ - "0.085084,0.087513,0.092147,0.100770,0.116461,0.144615,0.194838", \ - "0.102484,0.105186,0.110333,0.120038,0.137342,0.168240,0.222484"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012961,0.013875,0.015640,0.019061,0.025711,0.038610,0.063697", \ - "0.012964,0.013871,0.015639,0.019067,0.025709,0.038606,0.063701", \ - "0.012953,0.013867,0.015632,0.019057,0.025709,0.038611,0.063694", \ - "0.014163,0.015042,0.016736,0.019998,0.026282,0.038747,0.063692", \ - "0.016917,0.017757,0.019391,0.022587,0.028771,0.040828,0.064484", \ - "0.022053,0.022892,0.024490,0.027543,0.033408,0.044915,0.067946", \ - "0.029133,0.030034,0.031749,0.034936,0.040760,0.051732,0.073657"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.030763,0.032500,0.035857,0.042320,0.054713,0.078423,0.124062", \ - "0.030763,0.032495,0.035854,0.042322,0.054713,0.078420,0.124072", \ - "0.030758,0.032492,0.035851,0.042321,0.054713,0.078416,0.124066", \ - "0.031240,0.032872,0.036061,0.042322,0.054699,0.078413,0.124058", \ - "0.036187,0.037648,0.040483,0.045825,0.056718,0.078811,0.124046", \ - "0.042904,0.044458,0.047445,0.053119,0.063764,0.083676,0.125191", \ - "0.050365,0.052018,0.055181,0.061211,0.072459,0.093187,0.131714"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022586,0.023542,0.025378,0.028890,0.035592,0.048391,0.072992", \ - "0.024049,0.025007,0.026847,0.030366,0.037074,0.049879,0.074485", \ - "0.028369,0.029330,0.031176,0.034706,0.041431,0.054259,0.078888", \ - "0.033860,0.034933,0.036967,0.040777,0.047829,0.060826,0.085498", \ - "0.038614,0.039922,0.042384,0.046890,0.055014,0.069364,0.095157", \ - "0.041124,0.042776,0.045872,0.051476,0.061290,0.078056,0.106441", \ - "0.040792,0.042838,0.046667,0.053548,0.065465,0.085421,0.117844"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.043022,0.044929,0.048608,0.055679,0.069231,0.095235,0.145376", \ - "0.044604,0.046515,0.050201,0.057282,0.070849,0.096865,0.147017", \ - "0.049868,0.051787,0.055486,0.062592,0.076196,0.102268,0.152488", \ - "0.059080,0.060981,0.064638,0.071702,0.085286,0.111344,0.161571", \ - "0.072266,0.074436,0.078479,0.086002,0.099944,0.125820,0.175889", \ - "0.087546,0.089948,0.094531,0.103066,0.118645,0.146649,0.196763", \ - "0.105423,0.108092,0.113162,0.122789,0.139944,0.170651,0.224659"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010874,0.011630,0.013119,0.015998,0.021593,0.032453,0.053579", \ - "0.010870,0.011634,0.013116,0.015999,0.021592,0.032458,0.053582", \ - "0.010881,0.011638,0.013116,0.015998,0.021594,0.032452,0.053578", \ - "0.012460,0.013176,0.014565,0.017255,0.022425,0.032733,0.053577", \ - "0.016068,0.016770,0.018116,0.020708,0.025729,0.035500,0.054860", \ - "0.021752,0.022514,0.023962,0.026633,0.031559,0.040930,0.059458", \ - "0.029059,0.029934,0.031542,0.034511,0.039809,0.049158,0.066924"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.031712,0.033445,0.036795,0.043246,0.055622,0.079311,0.124955", \ - "0.031711,0.033440,0.036791,0.043245,0.055623,0.079315,0.124975", \ - "0.031706,0.033436,0.036788,0.043244,0.055623,0.079316,0.124970", \ - "0.032054,0.033697,0.036910,0.043210,0.055612,0.079307,0.124971", \ - "0.036761,0.038243,0.041035,0.046442,0.057426,0.079630,0.124949", \ - "0.043386,0.044944,0.047941,0.053634,0.064290,0.084296,0.125981", \ - "0.050722,0.052382,0.055568,0.061633,0.072893,0.093658,0.132309"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.026214,0.027343,0.029513,0.033669,0.041614,0.056819,0.086091", \ - "0.027583,0.028714,0.030888,0.035052,0.043004,0.058219,0.087495", \ - "0.031156,0.032292,0.034478,0.038655,0.046634,0.061877,0.091181", \ - "0.035634,0.036853,0.039182,0.043575,0.051800,0.067118,0.096457", \ - "0.039754,0.041148,0.043789,0.048686,0.057669,0.074030,0.104075", \ - "0.042291,0.043992,0.047181,0.052965,0.063285,0.081351,0.113396", \ - "0.042219,0.044308,0.048210,0.055226,0.067461,0.088250,0.123164"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.041292,0.043193,0.046861,0.053913,0.067437,0.093421,0.143477", \ - "0.042856,0.044760,0.048434,0.055494,0.069029,0.095018,0.145115", \ - "0.048168,0.050080,0.053767,0.060848,0.074413,0.100441,0.150594", \ - "0.057394,0.059285,0.062935,0.069978,0.083530,0.109548,0.159679", \ - "0.070246,0.072400,0.076505,0.084091,0.098141,0.124000,0.174015", \ - "0.085084,0.087513,0.092147,0.100770,0.116461,0.144615,0.194838", \ - "0.102484,0.105186,0.110333,0.120038,0.137342,0.168240,0.222484"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.012961,0.013875,0.015640,0.019061,0.025711,0.038610,0.063697", \ - "0.012964,0.013871,0.015639,0.019067,0.025709,0.038606,0.063701", \ - "0.012953,0.013867,0.015632,0.019057,0.025709,0.038611,0.063694", \ - "0.014163,0.015042,0.016736,0.019998,0.026282,0.038747,0.063692", \ - "0.016917,0.017757,0.019391,0.022587,0.028771,0.040828,0.064484", \ - "0.022053,0.022892,0.024490,0.027543,0.033408,0.044915,0.067946", \ - "0.029133,0.030034,0.031749,0.034936,0.040760,0.051732,0.073657"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.030763,0.032500,0.035857,0.042320,0.054713,0.078423,0.124062", \ - "0.030763,0.032495,0.035854,0.042322,0.054713,0.078420,0.124072", \ - "0.030758,0.032492,0.035851,0.042321,0.054713,0.078416,0.124066", \ - "0.031240,0.032872,0.036061,0.042322,0.054699,0.078413,0.124058", \ - "0.036187,0.037648,0.040483,0.045825,0.056718,0.078811,0.124046", \ - "0.042904,0.044458,0.047445,0.053119,0.063764,0.083676,0.125191", \ - "0.050365,0.052018,0.055181,0.061211,0.072459,0.093187,0.131714"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022142,0.023244,0.025370,0.029450,0.037280,0.052346,0.081477", \ - "0.023501,0.024608,0.026739,0.030830,0.038669,0.053743,0.082881", \ - "0.027027,0.028145,0.030294,0.034410,0.042284,0.057396,0.086564", \ - "0.030982,0.032225,0.034591,0.039028,0.047293,0.062614,0.091833", \ - "0.034101,0.035597,0.038390,0.043498,0.052693,0.069240,0.099414", \ - "0.034946,0.036843,0.040358,0.046636,0.057531,0.076080,0.108435", \ - "0.032953,0.035303,0.039673,0.047377,0.060535,0.082230,0.117809"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.040425,0.042328,0.046000,0.053047,0.066545,0.092444,0.142402", \ - "0.041987,0.043893,0.047572,0.054627,0.068137,0.094042,0.144002", \ - "0.047298,0.049211,0.052903,0.059981,0.073520,0.099468,0.149483", \ - "0.056536,0.058437,0.062083,0.069112,0.082636,0.108583,0.158577", \ - "0.069215,0.071393,0.075513,0.083140,0.097228,0.123054,0.172913", \ - "0.083876,0.086328,0.090991,0.099653,0.115389,0.143594,0.193770", \ - "0.101052,0.103783,0.108972,0.118714,0.136105,0.167070,0.221352"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010589,0.011490,0.013240,0.016629,0.023244,0.036107,0.061156", \ - "0.010587,0.011485,0.013237,0.016631,0.023240,0.036110,0.061153", \ - "0.010682,0.011554,0.013268,0.016635,0.023239,0.036107,0.061150", \ - "0.012133,0.012978,0.014636,0.017857,0.024067,0.036360,0.061146", \ - "0.015371,0.016172,0.017728,0.020770,0.026773,0.038662,0.062131", \ - "0.020950,0.021770,0.023327,0.026267,0.031886,0.043042,0.065789", \ - "0.028338,0.029223,0.030894,0.034021,0.039671,0.050282,0.071738"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.023913,0.025568,0.028774,0.034998,0.047086,0.070554,0.116241", \ - "0.023911,0.025563,0.028770,0.035002,0.047097,0.070566,0.116243", \ - "0.023903,0.025559,0.028767,0.034999,0.047094,0.070561,0.116234", \ - "0.024418,0.025973,0.029003,0.035014,0.047071,0.070562,0.116209", \ - "0.028744,0.030264,0.033156,0.038596,0.049153,0.070990,0.116190", \ - "0.034104,0.035760,0.038901,0.044818,0.055792,0.075956,0.117336", \ - "0.040142,0.041949,0.045359,0.051762,0.063550,0.084971,0.123965"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019265,0.020198,0.021995,0.025440,0.032035,0.044708,0.069185", \ - "0.020720,0.021657,0.023459,0.026911,0.033515,0.046195,0.070681", \ - "0.024987,0.025937,0.027756,0.031228,0.037859,0.050567,0.075076", \ - "0.029808,0.030923,0.033023,0.036917,0.044049,0.057102,0.081674", \ - "0.033247,0.034680,0.037346,0.042146,0.050590,0.065234,0.091220", \ - "0.033982,0.035833,0.039265,0.045370,0.055848,0.073246,0.102126", \ - "0.031714,0.034037,0.038318,0.045875,0.058719,0.079708,0.112986"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.042141,0.044051,0.047738,0.054805,0.068334,0.094268,0.144253", \ - "0.043721,0.045635,0.049331,0.056408,0.069950,0.095897,0.145874", \ - "0.048984,0.050906,0.054614,0.061719,0.075297,0.101295,0.151369", \ - "0.058206,0.060119,0.063775,0.070831,0.084389,0.110378,0.160422", \ - "0.071243,0.073414,0.077494,0.085047,0.099039,0.124866,0.174759", \ - "0.086342,0.088762,0.093391,0.101948,0.117567,0.145612,0.195696", \ - "0.104000,0.106693,0.111809,0.121451,0.138694,0.169468,0.223517"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008877,0.009633,0.011100,0.013963,0.019528,0.030368,0.051471", \ - "0.008878,0.009632,0.011100,0.013960,0.019531,0.030365,0.051468", \ - "0.009052,0.009776,0.011181,0.013988,0.019531,0.030366,0.051467", \ - "0.010942,0.011627,0.012968,0.015581,0.020683,0.030798,0.051466", \ - "0.014913,0.015604,0.016919,0.019429,0.024263,0.033848,0.053001", \ - "0.020832,0.021592,0.023032,0.025686,0.030509,0.039595,0.057830", \ - "0.028429,0.029262,0.030836,0.033771,0.038987,0.048176,0.065571"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.024774,0.026433,0.029644,0.035879,0.047979,0.071450,0.117118", \ - "0.024772,0.026431,0.029641,0.035875,0.047976,0.071448,0.117088", \ - "0.024765,0.026425,0.029639,0.035873,0.047967,0.071445,0.117118", \ - "0.025144,0.026713,0.029784,0.035845,0.047955,0.071435,0.117091", \ - "0.029339,0.030871,0.033754,0.039171,0.049818,0.071778,0.117064", \ - "0.034628,0.036282,0.039442,0.045350,0.056318,0.076516,0.118115", \ - "0.040594,0.042399,0.045804,0.052221,0.064003,0.085442,0.124539"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.022586,0.023542,0.025378,0.028890,0.035592,0.048391,0.072992", \ - "0.024049,0.025007,0.026847,0.030366,0.037074,0.049879,0.074485", \ - "0.028369,0.029330,0.031176,0.034706,0.041431,0.054259,0.078888", \ - "0.033860,0.034933,0.036967,0.040777,0.047829,0.060826,0.085498", \ - "0.038614,0.039922,0.042384,0.046890,0.055014,0.069364,0.095157", \ - "0.041124,0.042776,0.045872,0.051476,0.061290,0.078056,0.106441", \ - "0.040792,0.042838,0.046667,0.053548,0.065465,0.085421,0.117844"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.043022,0.044929,0.048608,0.055679,0.069231,0.095235,0.145376", \ - "0.044604,0.046515,0.050201,0.057282,0.070849,0.096865,0.147017", \ - "0.049868,0.051787,0.055486,0.062592,0.076196,0.102268,0.152488", \ - "0.059080,0.060981,0.064638,0.071702,0.085286,0.111344,0.161571", \ - "0.072266,0.074436,0.078479,0.086002,0.099944,0.125820,0.175889", \ - "0.087546,0.089948,0.094531,0.103066,0.118645,0.146649,0.196763", \ - "0.105423,0.108092,0.113162,0.122789,0.139944,0.170651,0.224659"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.010874,0.011630,0.013119,0.015998,0.021593,0.032453,0.053579", \ - "0.010870,0.011634,0.013116,0.015999,0.021592,0.032458,0.053582", \ - "0.010881,0.011638,0.013116,0.015998,0.021594,0.032452,0.053578", \ - "0.012460,0.013176,0.014565,0.017255,0.022425,0.032733,0.053577", \ - "0.016068,0.016770,0.018116,0.020708,0.025729,0.035500,0.054860", \ - "0.021752,0.022514,0.023962,0.026633,0.031559,0.040930,0.059458", \ - "0.029059,0.029934,0.031542,0.034511,0.039809,0.049158,0.066924"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.031712,0.033445,0.036795,0.043246,0.055622,0.079311,0.124955", \ - "0.031711,0.033440,0.036791,0.043245,0.055623,0.079315,0.124975", \ - "0.031706,0.033436,0.036788,0.043244,0.055623,0.079316,0.124970", \ - "0.032054,0.033697,0.036910,0.043210,0.055612,0.079307,0.124971", \ - "0.036761,0.038243,0.041035,0.046442,0.057426,0.079630,0.124949", \ - "0.043386,0.044944,0.047941,0.053634,0.064290,0.084296,0.125981", \ - "0.050722,0.052382,0.055568,0.061633,0.072893,0.093658,0.132309"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.019265,0.020198,0.021995,0.025440,0.032035,0.044708,0.069185", \ - "0.020720,0.021657,0.023459,0.026911,0.033515,0.046195,0.070681", \ - "0.024987,0.025937,0.027756,0.031228,0.037859,0.050567,0.075076", \ - "0.029808,0.030923,0.033023,0.036917,0.044049,0.057102,0.081674", \ - "0.033247,0.034680,0.037346,0.042146,0.050590,0.065234,0.091220", \ - "0.033982,0.035833,0.039265,0.045370,0.055848,0.073246,0.102126", \ - "0.031714,0.034037,0.038318,0.045875,0.058719,0.079708,0.112986"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.042141,0.044051,0.047738,0.054805,0.068334,0.094268,0.144253", \ - "0.043721,0.045635,0.049331,0.056408,0.069950,0.095897,0.145874", \ - "0.048984,0.050906,0.054614,0.061719,0.075297,0.101295,0.151369", \ - "0.058206,0.060119,0.063775,0.070831,0.084389,0.110378,0.160422", \ - "0.071243,0.073414,0.077494,0.085047,0.099039,0.124866,0.174759", \ - "0.086342,0.088762,0.093391,0.101948,0.117567,0.145612,0.195696", \ - "0.104000,0.106693,0.111809,0.121451,0.138694,0.169468,0.223517"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.008877,0.009633,0.011100,0.013963,0.019528,0.030368,0.051471", \ - "0.008878,0.009632,0.011100,0.013960,0.019531,0.030365,0.051468", \ - "0.009052,0.009776,0.011181,0.013988,0.019531,0.030366,0.051467", \ - "0.010942,0.011627,0.012968,0.015581,0.020683,0.030798,0.051466", \ - "0.014913,0.015604,0.016919,0.019429,0.024263,0.033848,0.053001", \ - "0.020832,0.021592,0.023032,0.025686,0.030509,0.039595,0.057830", \ - "0.028429,0.029262,0.030836,0.033771,0.038987,0.048176,0.065571"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.024774,0.026433,0.029644,0.035879,0.047979,0.071450,0.117118", \ - "0.024772,0.026431,0.029641,0.035875,0.047976,0.071448,0.117088", \ - "0.024765,0.026425,0.029639,0.035873,0.047967,0.071445,0.117118", \ - "0.025144,0.026713,0.029784,0.035845,0.047955,0.071435,0.117091", \ - "0.029339,0.030871,0.033754,0.039171,0.049818,0.071778,0.117064", \ - "0.034628,0.036282,0.039442,0.045350,0.056318,0.076516,0.118115", \ - "0.040594,0.042399,0.045804,0.052221,0.064003,0.085442,0.124539"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.016595,0.017353,0.018809,0.021597,0.026926,0.037145,0.056858", \ - "0.018091,0.018851,0.020312,0.023106,0.028442,0.038666,0.058384", \ - "0.022692,0.023473,0.024957,0.027772,0.033130,0.043380,0.063120", \ - "0.028129,0.029137,0.031021,0.034459,0.040592,0.051465,0.071322", \ - "0.031693,0.033064,0.035606,0.040167,0.048056,0.061263,0.083404", \ - "0.032334,0.034131,0.037454,0.043368,0.053488,0.070061,0.096411", \ - "0.029887,0.032139,0.036311,0.043694,0.056204,0.076575,0.108361"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.045284,0.047150,0.050760,0.057711,0.071094,0.096870,0.146704", \ - "0.046888,0.048757,0.052376,0.059347,0.072746,0.098539,0.148386", \ - "0.052145,0.054023,0.057655,0.064644,0.078085,0.103932,0.153825", \ - "0.061369,0.063233,0.066824,0.073773,0.087178,0.113007,0.162918", \ - "0.074857,0.076932,0.080842,0.088208,0.101889,0.127544,0.177263", \ - "0.090414,0.092745,0.097226,0.105556,0.120846,0.148502,0.198295", \ - "0.108623,0.111213,0.116172,0.125549,0.142446,0.172789,0.226394"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.007688,0.008293,0.009465,0.011744,0.016187,0.024823,0.041613", \ - "0.007684,0.008288,0.009464,0.011744,0.016183,0.024815,0.041622", \ - "0.007974,0.008529,0.009623,0.011805,0.016193,0.024818,0.041620", \ - "0.010675,0.011199,0.012222,0.014195,0.018028,0.025633,0.041659", \ - "0.015447,0.016025,0.017124,0.019182,0.022998,0.030213,0.044384", \ - "0.021875,0.022528,0.023760,0.026074,0.030245,0.037671,0.051447", \ - "0.029925,0.030625,0.031981,0.034548,0.039227,0.047317,0.061485"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.025752,0.027433,0.030681,0.036984,0.049176,0.072769,0.118534", \ - "0.025744,0.027424,0.030680,0.036981,0.049177,0.072783,0.118533", \ - "0.025742,0.027425,0.030673,0.036984,0.049172,0.072791,0.118528", \ - "0.026028,0.027639,0.030763,0.036971,0.049169,0.072763,0.118524", \ - "0.030114,0.031637,0.034551,0.039989,0.050847,0.073061,0.118509", \ - "0.035477,0.037139,0.040293,0.046219,0.057217,0.077559,0.119475", \ - "0.041464,0.043269,0.046681,0.053114,0.064918,0.086389,0.125677"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.593060,1.596992,1.619577,1.629976,1.672469,1.706132,1.737139", \ - "1.604542,1.609041,1.625872,1.644737,1.694659,1.726533,1.772405", \ - "1.588992,1.608741,1.616344,1.645756,1.684925,1.729888,1.774773", \ - "1.670984,1.674448,1.678539,1.696700,1.714254,1.748139,1.789531", \ - "2.024974,2.011723,1.989020,1.950200,1.899871,1.882945,1.880881", \ - "2.605704,2.577882,2.551225,2.479348,2.370975,2.218999,2.115524", \ - "3.422642,3.401462,3.360583,3.287510,3.117732,2.860047,2.563748"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.123088,4.129855,4.140993,4.159125,4.171208,4.197310,4.198376", \ - "4.056655,4.076078,4.084200,4.131945,4.105766,4.176153,4.187838", \ - "4.062413,4.065366,4.078713,4.087244,4.033836,4.129264,4.151135", \ - "4.282960,4.275786,4.259232,4.233787,4.178277,4.180770,4.179581", \ - "4.745973,4.726831,4.689379,4.625309,4.529863,4.458919,4.403520", \ - "5.399205,5.371574,5.356199,5.290870,5.150842,4.935514,4.789549", \ - "6.348542,6.316817,6.256601,6.159788,6.024020,5.736290,5.453013"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.082509,1.087808,1.103800,1.114853,1.132642,1.155008,1.177615", \ - "1.084897,1.089137,1.099996,1.123409,1.149626,1.178700,1.210497", \ - "1.063226,1.067086,1.088811,1.106585,1.138475,1.172725,1.208335", \ - "1.191863,1.182944,1.167485,1.167189,1.176775,1.193425,1.224320", \ - "1.583222,1.560474,1.531150,1.470287,1.393143,1.336146,1.319017", \ - "2.209111,2.185056,2.139465,2.050001,1.900259,1.711772,1.560815", \ - "3.065791,3.036347,2.986250,2.878012,2.681072,2.384347,2.037942"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.473901,3.481358,3.496028,3.510359,3.480929,3.475993,3.419230", \ - "3.406609,3.416705,3.436801,3.439363,3.514261,3.477846,3.565222", \ - "3.414170,3.417428,3.428009,3.421493,3.433158,3.508158,3.527536", \ - "3.617042,3.609284,3.600788,3.557673,3.559709,3.559029,3.556320", \ - "4.099716,4.082726,4.035148,3.972683,3.876069,3.751895,3.625327", \ - "4.685718,4.672092,4.636507,4.602608,4.466224,4.316075,4.010946", \ - "5.649516,5.628512,5.565377,5.459673,5.289461,5.123184,4.674839"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.076739,1.079428,1.095181,1.109800,1.124521,1.143917,1.172534", \ - "1.073689,1.083798,1.086937,1.115927,1.141623,1.171476,1.199951", \ - "1.065487,1.066985,1.080650,1.098714,1.137435,1.172974,1.205749", \ - "1.222235,1.212337,1.197942,1.175210,1.181659,1.199558,1.223586", \ - "1.634595,1.608577,1.579829,1.520880,1.437898,1.360358,1.327297", \ - "2.272993,2.242935,2.208475,2.120616,1.964332,1.775875,1.598314", \ - "3.125786,3.106989,3.057398,2.982812,2.789450,2.482676,2.125242"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.457308,3.473004,3.487812,3.497373,3.504618,3.435879,3.535136", \ - "3.391105,3.400998,3.421139,3.420063,3.417573,3.411660,3.553133", \ - "3.403807,3.406227,3.392575,3.423243,3.383895,3.491748,3.511998", \ - "3.622849,3.613810,3.603788,3.556963,3.516985,3.550749,3.544068", \ - "4.130226,4.108017,4.058658,3.978296,3.888861,3.762537,3.627226", \ - "4.730383,4.715512,4.678789,4.642551,4.564115,4.350949,4.036630", \ - "5.753503,5.698524,5.634044,5.522482,5.421534,5.185910,4.888838"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.082509,1.087808,1.103800,1.114853,1.132642,1.155008,1.177615", \ - "1.084897,1.089137,1.099996,1.123409,1.149626,1.178700,1.210497", \ - "1.063226,1.067086,1.088811,1.106585,1.138475,1.172725,1.208335", \ - "1.191863,1.182944,1.167485,1.167189,1.176775,1.193425,1.224320", \ - "1.583222,1.560474,1.531150,1.470287,1.393143,1.336146,1.319017", \ - "2.209111,2.185056,2.139465,2.050001,1.900259,1.711772,1.560815", \ - "3.065791,3.036347,2.986250,2.878012,2.681072,2.384347,2.037942"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.473901,3.481358,3.496028,3.510359,3.480929,3.475993,3.419230", \ - "3.406609,3.416705,3.436801,3.439363,3.514261,3.477846,3.565222", \ - "3.414170,3.417428,3.428009,3.421493,3.433158,3.508158,3.527536", \ - "3.617042,3.609284,3.600788,3.557673,3.559709,3.559029,3.556320", \ - "4.099716,4.082726,4.035148,3.972683,3.876069,3.751895,3.625327", \ - "4.685718,4.672092,4.636507,4.602608,4.466224,4.316075,4.010946", \ - "5.649516,5.628512,5.565377,5.459673,5.289461,5.123184,4.674839"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.584498,0.588141,0.591177,0.596058,0.604058,0.610572,0.615000", \ - "0.568928,0.575290,0.582109,0.598119,0.614648,0.632469,0.645628", \ - "0.543175,0.545039,0.550630,0.567984,0.596757,0.617406,0.644116", \ - "0.736748,0.716915,0.680905,0.644055,0.637603,0.646370,0.653604", \ - "1.184952,1.157122,1.102525,1.012633,0.897201,0.797669,0.757599", \ - "1.860561,1.822293,1.760899,1.636720,1.441737,1.209156,1.010733", \ - "2.722861,2.703053,2.636607,2.510576,2.281668,1.908730,1.514399"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.808804,2.822543,2.822372,2.844800,2.855196,2.820744,2.799682", \ - "2.738229,2.769923,2.786921,2.796347,2.812770,2.821259,2.907586", \ - "2.747740,2.769439,2.754410,2.778297,2.800422,2.788277,2.801659", \ - "2.972326,2.972554,2.952202,2.912738,2.904243,2.889487,2.776987", \ - "3.310496,3.320088,3.357232,3.334544,3.193383,3.074656,3.006161", \ - "3.959590,3.955649,3.919616,3.842146,3.872958,3.605903,3.391617", \ - "4.965333,4.917239,4.856940,4.768391,4.580660,4.457182,4.057762"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.585811,0.588733,0.591441,0.599373,0.605603,0.605836,0.615611", \ - "0.568774,0.574992,0.586197,0.597369,0.620574,0.629234,0.643159", \ - "0.547102,0.554669,0.565895,0.576299,0.603550,0.626996,0.643680", \ - "0.777785,0.754914,0.723215,0.677045,0.655181,0.657073,0.664880", \ - "1.238276,1.207986,1.148138,1.068569,0.948319,0.824541,0.774183", \ - "1.906063,1.885193,1.825367,1.704140,1.523936,1.280715,1.050807", \ - "2.777435,2.748785,2.703769,2.596569,2.374826,2.019679,1.607643"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.806484,2.803912,2.818208,2.817507,2.848419,2.807197,2.793050", \ - "2.723269,2.730348,2.771649,2.775411,2.790811,2.796327,2.905467", \ - "2.737973,2.755303,2.742645,2.762234,2.777253,2.724586,2.785704", \ - "2.977967,2.968067,2.955371,2.920389,2.824638,2.825153,2.764978", \ - "3.358591,3.368255,3.378692,3.356507,3.265066,3.085012,3.007882", \ - "4.024127,3.998235,3.961050,3.914842,3.913180,3.645200,3.507916", \ - "5.055733,5.007135,4.946402,4.850340,4.715209,4.580117,4.123832"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.076739,1.079428,1.095181,1.109800,1.124521,1.143917,1.172534", \ - "1.073689,1.083798,1.086937,1.115927,1.141623,1.171476,1.199951", \ - "1.065487,1.066985,1.080650,1.098714,1.137435,1.172974,1.205749", \ - "1.222235,1.212337,1.197942,1.175210,1.181659,1.199558,1.223586", \ - "1.634595,1.608577,1.579829,1.520880,1.437898,1.360358,1.327297", \ - "2.272993,2.242935,2.208475,2.120616,1.964332,1.775875,1.598314", \ - "3.125786,3.106989,3.057398,2.982812,2.789450,2.482676,2.125242"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.457308,3.473004,3.487812,3.497373,3.504618,3.435879,3.535136", \ - "3.391105,3.400998,3.421139,3.420063,3.417573,3.411660,3.553133", \ - "3.403807,3.406227,3.392575,3.423243,3.383895,3.491748,3.511998", \ - "3.622849,3.613810,3.603788,3.556963,3.516985,3.550749,3.544068", \ - "4.130226,4.108017,4.058658,3.978296,3.888861,3.762537,3.627226", \ - "4.730383,4.715512,4.678789,4.642551,4.564115,4.350949,4.036630", \ - "5.753503,5.698524,5.634044,5.522482,5.421534,5.185910,4.888838"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.585811,0.588733,0.591441,0.599373,0.605603,0.605836,0.615611", \ - "0.568774,0.574992,0.586197,0.597369,0.620574,0.629234,0.643159", \ - "0.547102,0.554669,0.565895,0.576299,0.603550,0.626996,0.643680", \ - "0.777785,0.754914,0.723215,0.677045,0.655181,0.657073,0.664880", \ - "1.238276,1.207986,1.148138,1.068569,0.948319,0.824541,0.774183", \ - "1.906063,1.885193,1.825367,1.704140,1.523936,1.280715,1.050807", \ - "2.777435,2.748785,2.703769,2.596569,2.374826,2.019679,1.607643"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.806484,2.803912,2.818208,2.817507,2.848419,2.807197,2.793050", \ - "2.723269,2.730348,2.771649,2.775411,2.790811,2.796327,2.905467", \ - "2.737973,2.755303,2.742645,2.762234,2.777253,2.724586,2.785704", \ - "2.977967,2.968067,2.955371,2.920389,2.824638,2.825153,2.764978", \ - "3.358591,3.368255,3.378692,3.356507,3.265066,3.085012,3.007882", \ - "4.024127,3.998235,3.961050,3.914842,3.913180,3.645200,3.507916", \ - "5.055733,5.007135,4.946402,4.850340,4.715209,4.580117,4.123832"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.583258,0.588724,0.587515,0.601434,0.607789,0.611239,0.615525", \ - "0.570507,0.576107,0.587236,0.600991,0.619432,0.633970,0.641725", \ - "0.558859,0.561986,0.568170,0.586124,0.606519,0.629249,0.644163", \ - "0.816050,0.796392,0.764785,0.719374,0.668436,0.667888,0.669166", \ - "1.276086,1.255851,1.207728,1.122580,1.007285,0.870104,0.797027", \ - "1.946456,1.932399,1.887306,1.783558,1.593092,1.360808,1.106493", \ - "2.830688,2.815096,2.770617,2.683335,2.486922,2.146011,1.716170"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.797674,2.802610,2.813181,2.820577,2.833172,2.838979,2.794123", \ - "2.711957,2.719101,2.760356,2.786064,2.811176,2.767453,2.787452", \ - "2.726180,2.725312,2.728776,2.745362,2.765623,2.730014,2.746375", \ - "2.983437,2.981276,2.963788,2.908440,2.909340,2.870664,2.861348", \ - "3.388393,3.393164,3.413903,3.353913,3.228622,3.097473,3.010982", \ - "4.072209,4.067351,4.031204,3.990872,3.971502,3.780920,3.509447", \ - "5.152689,5.103608,5.042379,4.938643,4.786153,4.651268,4.215316"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.039595,2.042697,2.064702,2.070918,2.118691,2.151412,2.198551", \ - "1.996267,1.999509,2.008963,2.037440,2.065843,2.116242,2.154294", \ - "1.964849,1.968095,1.989423,1.996340,2.038007,2.089266,2.137774", \ - "2.037750,2.041924,2.054172,2.069965,2.080920,2.116312,2.160470", \ - "2.371980,2.362054,2.348142,2.309779,2.283306,2.272701,2.264830", \ - "2.957972,2.941705,2.907308,2.854076,2.761825,2.619640,2.526644", \ - "3.805704,3.786763,3.740466,3.655801,3.527404,3.301637,3.003340"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.930166,4.942303,4.955354,4.948356,4.962636,4.928397,4.934776", \ - "4.882090,4.889802,4.899713,4.931930,4.892587,4.982397,4.990720", \ - "4.803181,4.806555,4.810620,4.855086,4.891173,4.900287,4.932878", \ - "4.812109,4.813309,4.816115,4.822815,4.765541,4.885209,4.915368", \ - "5.028425,5.019148,5.009383,4.975882,4.955377,4.935431,4.927115", \ - "5.426299,5.412177,5.401585,5.394760,5.312848,5.214158,5.096020", \ - "6.125200,6.105569,6.044696,5.970440,5.857171,5.751257,5.552760"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.526647,1.524781,1.539895,1.556891,1.579419,1.607061,1.638264", \ - "1.471322,1.479436,1.490420,1.508450,1.534734,1.561503,1.600921", \ - "1.433701,1.446320,1.457189,1.475514,1.507107,1.536420,1.566995", \ - "1.531568,1.531481,1.536778,1.548219,1.546855,1.565551,1.591924", \ - "1.921314,1.906536,1.883235,1.837322,1.767051,1.729472,1.706009", \ - "2.547999,2.528267,2.481419,2.408220,2.291536,2.113569,1.972822", \ - "3.418557,3.408182,3.360105,3.265890,3.080337,2.820408,2.476559"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.281631,4.286357,4.295611,4.291424,4.289599,4.248786,4.238042", \ - "4.232485,4.240972,4.259406,4.261598,4.260556,4.288144,4.210472", \ - "4.129636,4.136274,4.162643,4.153158,4.143960,4.278897,4.301809", \ - "4.143080,4.156015,4.159965,4.155034,4.104958,4.141682,4.135245", \ - "4.361180,4.369242,4.346708,4.331713,4.257298,4.229787,4.303815", \ - "4.712055,4.692529,4.670702,4.687999,4.655685,4.563910,4.473177", \ - "5.446764,5.402276,5.357012,5.271712,5.153524,5.129543,4.773621"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.503307,1.511766,1.520889,1.541373,1.563810,1.590131,1.632570", \ - "1.446110,1.457239,1.473203,1.489467,1.511449,1.555489,1.586467", \ - "1.420356,1.432568,1.447440,1.460392,1.493187,1.530092,1.560291", \ - "1.544986,1.546583,1.535674,1.542041,1.546745,1.566262,1.588125", \ - "1.956821,1.949038,1.915619,1.875553,1.803336,1.739230,1.717178", \ - "2.609143,2.584802,2.543946,2.474509,2.347723,2.176699,2.006004", \ - "3.514152,3.490672,3.444350,3.356930,3.189451,2.914773,2.564128"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.273661,4.278411,4.289266,4.294161,4.276815,4.242021,4.231495", \ - "4.219606,4.227779,4.244869,4.262550,4.283693,4.275097,4.199216", \ - "4.120296,4.125962,4.140496,4.155626,4.216155,4.263755,4.290616", \ - "4.138716,4.139001,4.143215,4.165060,4.183389,4.133361,4.120201", \ - "4.366335,4.372707,4.362268,4.342522,4.289326,4.210709,4.293135", \ - "4.736230,4.715570,4.711998,4.691730,4.635450,4.581342,4.475431", \ - "5.495957,5.471271,5.416777,5.335951,5.190987,5.162018,4.796762"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.526647,1.524781,1.539895,1.556891,1.579419,1.607061,1.638264", \ - "1.471322,1.479436,1.490420,1.508450,1.534734,1.561503,1.600921", \ - "1.433701,1.446320,1.457189,1.475514,1.507107,1.536420,1.566995", \ - "1.531568,1.531481,1.536778,1.548219,1.546855,1.565551,1.591924", \ - "1.921314,1.906536,1.883235,1.837322,1.767051,1.729472,1.706009", \ - "2.547999,2.528267,2.481419,2.408220,2.291536,2.113569,1.972822", \ - "3.418557,3.408182,3.360105,3.265890,3.080337,2.820408,2.476559"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.281631,4.286357,4.295611,4.291424,4.289599,4.248786,4.238042", \ - "4.232485,4.240972,4.259406,4.261598,4.260556,4.288144,4.210472", \ - "4.129636,4.136274,4.162643,4.153158,4.143960,4.278897,4.301809", \ - "4.143080,4.156015,4.159965,4.155034,4.104958,4.141682,4.135245", \ - "4.361180,4.369242,4.346708,4.331713,4.257298,4.229787,4.303815", \ - "4.712055,4.692529,4.670702,4.687999,4.655685,4.563910,4.473177", \ - "5.446764,5.402276,5.357012,5.271712,5.153524,5.129543,4.773621"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.013878,1.014081,1.019820,1.033158,1.039944,1.061021,1.080019", \ - "0.951473,0.951281,0.962442,0.981860,0.995081,1.019222,1.034447", \ - "0.912350,0.928829,0.938083,0.949708,0.958766,0.984670,1.010244", \ - "1.064674,1.049267,1.028327,1.029958,1.022022,1.027722,1.034702", \ - "1.500121,1.478983,1.435381,1.370967,1.270965,1.196550,1.148411", \ - "2.172392,2.137163,2.082858,1.984585,1.834059,1.612625,1.435030", \ - "3.077300,3.043958,2.992112,2.883925,2.674616,2.352041,1.957567"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.615130,3.617078,3.634044,3.618591,3.672579,3.634987,3.619740", \ - "3.564969,3.570602,3.594799,3.592738,3.593305,3.616653,3.687901", \ - "3.484028,3.488756,3.514635,3.532098,3.576225,3.592830,3.660601", \ - "3.497930,3.495405,3.490138,3.507596,3.520025,3.502154,3.534053", \ - "3.595672,3.602478,3.655758,3.681061,3.657345,3.601259,3.651155", \ - "3.986765,3.983025,3.969992,3.970725,3.990972,3.917360,3.787780", \ - "4.726039,4.699006,4.660937,4.580159,4.493566,4.448422,4.153388"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.002773,1.008761,1.009903,1.024993,1.036803,1.055593,1.076348", \ - "0.936966,0.943091,0.957682,0.976852,0.984862,1.005080,1.031273", \ - "0.916700,0.921432,0.920606,0.938883,0.965013,0.984305,1.006098", \ - "1.093818,1.074591,1.056275,1.021935,1.029280,1.028899,1.032832", \ - "1.541291,1.521452,1.476098,1.409532,1.309129,1.214631,1.160997", \ - "2.226154,2.191100,2.149226,2.057156,1.894165,1.677054,1.462924", \ - "3.148318,3.132015,3.079843,2.973492,2.765330,2.450438,2.049981"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.607404,3.609471,3.624790,3.633765,3.655455,3.628347,3.613218", \ - "3.562677,3.558019,3.574386,3.585050,3.561008,3.621931,3.589251", \ - "3.475150,3.479118,3.494505,3.512067,3.552232,3.523205,3.649909", \ - "3.492520,3.490662,3.492564,3.507434,3.529074,3.489054,3.514711", \ - "3.600792,3.607077,3.660771,3.682557,3.662339,3.603530,3.634300", \ - "4.011247,4.006069,3.989702,3.981706,4.015904,3.926275,3.767117", \ - "4.796529,4.775319,4.727703,4.643774,4.512646,4.467189,4.176516"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.503307,1.511766,1.520889,1.541373,1.563810,1.590131,1.632570", \ - "1.446110,1.457239,1.473203,1.489467,1.511449,1.555489,1.586467", \ - "1.420356,1.432568,1.447440,1.460392,1.493187,1.530092,1.560291", \ - "1.544986,1.546583,1.535674,1.542041,1.546745,1.566262,1.588125", \ - "1.956821,1.949038,1.915619,1.875553,1.803336,1.739230,1.717178", \ - "2.609143,2.584802,2.543946,2.474509,2.347723,2.176699,2.006004", \ - "3.514152,3.490672,3.444350,3.356930,3.189451,2.914773,2.564128"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.273661,4.278411,4.289266,4.294161,4.276815,4.242021,4.231495", \ - "4.219606,4.227779,4.244869,4.262550,4.283693,4.275097,4.199216", \ - "4.120296,4.125962,4.140496,4.155626,4.216155,4.263755,4.290616", \ - "4.138716,4.139001,4.143215,4.165060,4.183389,4.133361,4.120201", \ - "4.366335,4.372707,4.362268,4.342522,4.289326,4.210709,4.293135", \ - "4.736230,4.715570,4.711998,4.691730,4.635450,4.581342,4.475431", \ - "5.495957,5.471271,5.416777,5.335951,5.190987,5.162018,4.796762"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.002773,1.008761,1.009903,1.024993,1.036803,1.055593,1.076348", \ - "0.936966,0.943091,0.957682,0.976852,0.984862,1.005080,1.031273", \ - "0.916700,0.921432,0.920606,0.938883,0.965013,0.984305,1.006098", \ - "1.093818,1.074591,1.056275,1.021935,1.029280,1.028899,1.032832", \ - "1.541291,1.521452,1.476098,1.409532,1.309129,1.214631,1.160997", \ - "2.226154,2.191100,2.149226,2.057156,1.894165,1.677054,1.462924", \ - "3.148318,3.132015,3.079843,2.973492,2.765330,2.450438,2.049981"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.607404,3.609471,3.624790,3.633765,3.655455,3.628347,3.613218", \ - "3.562677,3.558019,3.574386,3.585050,3.561008,3.621931,3.589251", \ - "3.475150,3.479118,3.494505,3.512067,3.552232,3.523205,3.649909", \ - "3.492520,3.490662,3.492564,3.507434,3.529074,3.489054,3.514711", \ - "3.600792,3.607077,3.660771,3.682557,3.662339,3.603530,3.634300", \ - "4.011247,4.006069,3.989702,3.981706,4.015904,3.926275,3.767117", \ - "4.796529,4.775319,4.727703,4.643774,4.512646,4.467189,4.176516"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.967077,0.984157,0.990902,1.005419,1.030394,1.045946,1.066872", \ - "0.918654,0.933051,0.944938,0.963159,0.975675,0.999527,1.024675", \ - "0.895289,0.905055,0.917336,0.933829,0.954074,0.971996,0.999141", \ - "1.121489,1.107902,1.086790,1.042464,1.022805,1.026887,1.029977", \ - "1.578665,1.562421,1.510767,1.459727,1.361510,1.230591,1.171942", \ - "2.272893,2.247718,2.206143,2.127600,1.973473,1.752294,1.499550", \ - "3.220212,3.206076,3.145577,3.063519,2.870101,2.558353,2.155688"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("3.606195,3.608176,3.625472,3.608882,3.656175,3.627344,3.612318", \ - "3.552081,3.550353,3.561120,3.573296,3.625153,3.588718,3.578940", \ - "3.465462,3.468846,3.478705,3.511037,3.534333,3.479673,3.491014", \ - "3.487104,3.485882,3.486954,3.482250,3.500686,3.474622,3.492764", \ - "3.606372,3.611938,3.664614,3.682388,3.655904,3.569522,3.521176", \ - "4.037497,4.032397,4.013418,4.009583,4.023725,3.931597,3.760204", \ - "4.872706,4.849211,4.777793,4.713190,4.554654,4.498561,4.203687"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.592997,1.613224,1.620300,1.648628,1.668882,1.697470,1.739031", \ - "1.596081,1.617675,1.635049,1.652604,1.695180,1.733599,1.775098", \ - "1.539934,1.562956,1.585493,1.606780,1.659414,1.710754,1.759214", \ - "1.515270,1.519785,1.540779,1.569184,1.607977,1.661895,1.738406", \ - "1.686854,1.674831,1.678297,1.663973,1.653287,1.685661,1.740404", \ - "2.050070,2.045137,2.017872,1.984647,1.935571,1.864516,1.845140", \ - "2.646455,2.622175,2.596753,2.532219,2.433094,2.281715,2.112267"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.615201,5.618144,5.627212,5.632160,5.597909,5.617481,5.602859", \ - "5.551397,5.556762,5.570027,5.587983,5.603202,5.575665,5.694904", \ - "5.496856,5.500673,5.512132,5.514144,5.561848,5.586246,5.654393", \ - "5.681271,5.677774,5.657195,5.630342,5.579675,5.675788,5.686595", \ - "6.108021,6.095704,6.076002,6.007372,5.919177,5.853433,5.764153", \ - "6.767051,6.774799,6.757011,6.666479,6.550260,6.449200,6.159022", \ - "7.656976,7.641636,7.600975,7.561759,7.488741,7.234832,6.881754"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.083025,1.099905,1.104075,1.120462,1.137198,1.153731,1.177100", \ - "1.076129,1.081156,1.104175,1.130244,1.148386,1.178095,1.206027", \ - "1.003021,1.016361,1.041369,1.068212,1.105768,1.151563,1.197902", \ - "0.986482,0.985036,0.996012,1.023983,1.053915,1.108807,1.168564", \ - "1.192654,1.187154,1.170289,1.150395,1.118451,1.137217,1.170346", \ - "1.611540,1.593386,1.561255,1.508494,1.421170,1.333442,1.277024", \ - "2.229595,2.199893,2.167555,2.086334,1.966721,1.774709,1.563436"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.929501,4.935344,4.942781,4.949798,4.956694,4.941624,4.946904", \ - "4.864302,4.878399,4.884397,4.901852,4.959082,4.911420,4.913149", \ - "4.832028,4.836889,4.849942,4.861092,4.907078,4.874468,4.871474", \ - "4.997836,4.994401,4.996713,5.001028,4.994637,4.925200,4.932524", \ - "5.429343,5.416172,5.401523,5.346847,5.303000,5.194312,5.136300", \ - "6.032787,6.035220,6.032612,6.034448,5.883350,5.663366,5.531074", \ - "6.929117,6.928425,6.899092,6.831401,6.728488,6.562488,6.206958"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.077038,1.085290,1.083878,1.107602,1.127891,1.148361,1.168455", \ - "1.069052,1.079894,1.091892,1.114983,1.138608,1.173041,1.206270", \ - "0.999060,1.011681,1.028218,1.058653,1.104733,1.148915,1.192599", \ - "1.016522,1.014849,1.011801,1.018151,1.062775,1.111820,1.162547", \ - "1.244378,1.232387,1.219977,1.197812,1.164926,1.142756,1.178185", \ - "1.665102,1.652825,1.619453,1.570795,1.497182,1.392678,1.300719", \ - "2.281354,2.267214,2.231491,2.173684,2.063567,1.861295,1.648670"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.917657,4.921166,4.931321,4.946254,4.907249,4.931201,4.936379", \ - "4.839660,4.845726,4.859935,4.889151,4.876420,4.880084,4.890989", \ - "4.813805,4.818131,4.830312,4.829232,4.842874,4.835653,4.846067", \ - "5.000558,4.996996,4.997619,4.983421,4.982710,4.992918,4.909426", \ - "5.452787,5.440346,5.423641,5.398093,5.271768,5.193743,5.135940", \ - "6.091372,6.084697,6.079218,6.085332,5.921549,5.745636,5.557244", \ - "7.027542,7.005994,6.998083,6.916531,6.871322,6.685562,6.268382"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.083025,1.099905,1.104075,1.120462,1.137198,1.153731,1.177100", \ - "1.076129,1.081156,1.104175,1.130244,1.148386,1.178095,1.206027", \ - "1.003021,1.016361,1.041369,1.068212,1.105768,1.151563,1.197902", \ - "0.986482,0.985036,0.996012,1.023983,1.053915,1.108807,1.168564", \ - "1.192654,1.187154,1.170289,1.150395,1.118451,1.137217,1.170346", \ - "1.611540,1.593386,1.561255,1.508494,1.421170,1.333442,1.277024", \ - "2.229595,2.199893,2.167555,2.086334,1.966721,1.774709,1.563436"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.929501,4.935344,4.942781,4.949798,4.956694,4.941624,4.946904", \ - "4.864302,4.878399,4.884397,4.901852,4.959082,4.911420,4.913149", \ - "4.832028,4.836889,4.849942,4.861092,4.907078,4.874468,4.871474", \ - "4.997836,4.994401,4.996713,5.001028,4.994637,4.925200,4.932524", \ - "5.429343,5.416172,5.401523,5.346847,5.303000,5.194312,5.136300", \ - "6.032787,6.035220,6.032612,6.034448,5.883350,5.663366,5.531074", \ - "6.929117,6.928425,6.899092,6.831401,6.728488,6.562488,6.206958"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.592505,0.586352,0.597653,0.599160,0.601581,0.610849,0.615674", \ - "0.564483,0.574375,0.586736,0.599205,0.618407,0.630809,0.643309", \ - "0.460359,0.469262,0.492916,0.525982,0.565069,0.602795,0.631095", \ - "0.468009,0.466819,0.462246,0.473365,0.507871,0.557061,0.595087", \ - "0.722264,0.707863,0.678407,0.643166,0.596838,0.583930,0.605294", \ - "1.181766,1.165536,1.117830,1.039438,0.925354,0.799956,0.720905", \ - "1.833433,1.810073,1.756835,1.665349,1.509390,1.268385,1.022550"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.230710,4.259292,4.269861,4.250928,4.274642,4.320843,4.388576", \ - "4.188921,4.195298,4.209968,4.246962,4.251020,4.312972,4.373713", \ - "4.134080,4.138680,4.151249,4.195813,4.186241,4.228989,4.345231", \ - "4.299766,4.322703,4.325527,4.322479,4.291394,4.279412,4.283955", \ - "4.706690,4.734352,4.729061,4.669987,4.613927,4.564661,4.548422", \ - "5.265279,5.283246,5.288500,5.260384,5.243275,5.039670,4.961727", \ - "6.191982,6.183746,6.161445,6.108914,6.057394,5.854503,5.587366"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.592842,0.592411,0.597911,0.596019,0.607346,0.610844,0.611354", \ - "0.552079,0.571040,0.586496,0.596062,0.616337,0.633804,0.645215", \ - "0.452107,0.474390,0.495205,0.526997,0.567568,0.601579,0.633284", \ - "0.514320,0.508083,0.504608,0.492652,0.518026,0.560378,0.600184", \ - "0.777359,0.762077,0.733548,0.691249,0.645687,0.595933,0.623047", \ - "1.242051,1.221628,1.185755,1.102964,1.002647,0.869820,0.752579", \ - "1.878503,1.867932,1.816498,1.746511,1.602594,1.368030,1.107045"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.219265,4.247773,4.258570,4.241356,4.298754,4.311018,4.377943", \ - "4.164763,4.171321,4.186148,4.204806,4.206127,4.258793,4.341565", \ - "4.116556,4.120606,4.132362,4.173794,4.157162,4.205274,4.315479", \ - "4.328383,4.325330,4.326417,4.307024,4.259744,4.267128,4.267679", \ - "4.757180,4.757934,4.750854,4.730684,4.675561,4.573092,4.548305", \ - "5.337250,5.331218,5.343668,5.352080,5.302724,5.082885,4.934740", \ - "6.289120,6.281496,6.259330,6.204127,6.128963,5.918652,5.647766"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.090761,1.097297,1.102942,1.112205,1.135861,1.148802,1.171610", \ - "1.084126,1.093444,1.102109,1.123611,1.148133,1.175775,1.203367", \ - "1.002221,1.014485,1.036611,1.067349,1.104205,1.151942,1.195865", \ - "0.991386,0.993576,0.999107,1.021124,1.063632,1.108421,1.167571", \ - "1.209350,1.203364,1.188733,1.160855,1.132950,1.144074,1.172319", \ - "1.632062,1.614665,1.576424,1.530654,1.443679,1.353295,1.292333", \ - "2.259867,2.248067,2.199382,2.132331,1.994369,1.800383,1.598753"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.261805,5.265298,5.275220,5.299244,5.269721,5.333906,5.341721", \ - "5.198827,5.212731,5.219211,5.246994,5.266452,5.300892,5.315386", \ - "5.165376,5.170310,5.183229,5.177454,5.181025,5.246876,5.271034", \ - "5.328574,5.326637,5.330128,5.316503,5.297672,5.321258,5.302719", \ - "5.755334,5.745095,5.732411,5.683602,5.664106,5.585339,5.541008", \ - "6.378988,6.361266,6.363595,6.366853,6.262634,6.072779,5.945507", \ - "7.262789,7.264490,7.240699,7.167414,7.125566,6.881441,6.660589"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.582269,0.593936,0.599559,0.603735,0.603974,0.607739,0.610038", \ - "0.558715,0.568344,0.588541,0.596016,0.616316,0.634479,0.643727", \ - "0.462926,0.476004,0.488799,0.523554,0.567299,0.602241,0.635178", \ - "0.481579,0.475878,0.467999,0.470991,0.515222,0.558407,0.600438", \ - "0.738717,0.717216,0.695349,0.658655,0.612833,0.587089,0.615346", \ - "1.206281,1.180426,1.145122,1.066145,0.956560,0.822193,0.733415", \ - "1.889367,1.854287,1.801706,1.701111,1.546184,1.301323,1.056510"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.582646,4.592086,4.602449,4.620197,4.607130,4.555178,4.728106", \ - "4.524096,4.530179,4.544764,4.583536,4.596781,4.519730,4.701644", \ - "4.468071,4.472266,4.484150,4.499991,4.553190,4.624269,4.654573", \ - "4.631809,4.655762,4.635356,4.650406,4.639176,4.674743,4.686841", \ - "5.071798,5.069054,5.061154,5.021062,4.965799,4.838317,4.757245", \ - "5.605690,5.626669,5.612763,5.635871,5.535181,5.450578,5.159836", \ - "6.541241,6.514358,6.496868,6.479741,6.414287,6.303736,6.015991"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.585312,0.593186,0.594022,0.602247,0.603671,0.608819,0.616531", \ - "0.556858,0.573106,0.582399,0.604710,0.618485,0.630164,0.644256", \ - "0.460300,0.479615,0.499053,0.525217,0.567325,0.602464,0.635857", \ - "0.514396,0.511746,0.511377,0.503738,0.522917,0.563078,0.605291", \ - "0.788480,0.773083,0.753184,0.712693,0.664666,0.614707,0.623994", \ - "1.269580,1.242312,1.200707,1.142769,1.034177,0.896034,0.769407", \ - "1.938910,1.911435,1.871933,1.789653,1.649066,1.410936,1.148628"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("4.552207,4.555132,4.590840,4.593603,4.617282,4.628003,4.716071", \ - "4.499099,4.505241,4.520026,4.551911,4.580596,4.588123,4.679734", \ - "4.449790,4.453414,4.464265,4.499827,4.525321,4.565405,4.628199", \ - "4.635326,4.658533,4.659941,4.625836,4.652412,4.662516,4.668335", \ - "5.095714,5.094534,5.084443,5.055276,4.979967,4.817851,4.759171", \ - "5.679441,5.677106,5.694344,5.700490,5.644016,5.493530,5.355841", \ - "6.640087,6.613751,6.597223,6.563611,6.526031,6.337074,6.084744"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.036810,2.057281,2.063513,2.079707,2.116021,2.164193,2.208959", \ - "1.990767,1.994406,2.017802,2.045180,2.074862,2.114492,2.167483", \ - "1.949065,1.954352,1.970543,1.998870,2.027932,2.078318,2.136569", \ - "1.929984,1.934068,1.958040,1.977998,2.008022,2.060440,2.120576", \ - "2.070664,2.080380,2.069984,2.067164,2.071347,2.108085,2.139786", \ - "2.427018,2.421091,2.404732,2.370944,2.343342,2.282486,2.260010", \ - "2.995443,2.988173,2.959190,2.904835,2.827215,2.705091,2.552924"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.403295,6.405862,6.394784,6.383522,6.375347,6.384637,6.393812", \ - "6.364498,6.368549,6.380091,6.383260,6.378196,6.376745,6.372370", \ - "6.265247,6.270546,6.291014,6.298349,6.324431,6.402286,6.431026", \ - "6.258954,6.261658,6.269542,6.286537,6.323852,6.293836,6.309248", \ - "6.430458,6.427196,6.437194,6.393672,6.392255,6.348719,6.452467", \ - "6.802872,6.825071,6.832477,6.789382,6.760592,6.688321,6.621956", \ - "7.418341,7.416080,7.403467,7.346150,7.326436,7.245274,7.057643"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.527897,1.532222,1.546582,1.562550,1.571526,1.605907,1.646073", \ - "1.472615,1.483399,1.495425,1.508781,1.532781,1.561671,1.592764", \ - "1.417172,1.420872,1.434887,1.457804,1.480850,1.523334,1.565013", \ - "1.386733,1.408663,1.413948,1.430274,1.468146,1.501436,1.551328", \ - "1.580759,1.580135,1.569279,1.553551,1.533734,1.552129,1.582602", \ - "1.956430,1.943976,1.931383,1.895087,1.826411,1.752703,1.710009", \ - "2.560942,2.550746,2.521998,2.455255,2.356239,2.197580,2.007690"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.717912,5.721427,5.730269,5.752415,5.746838,5.757472,5.763573", \ - "5.678308,5.685715,5.695378,5.704459,5.746561,5.705921,5.714026", \ - "5.599958,5.606405,5.621609,5.644533,5.681480,5.628927,5.648474", \ - "5.594350,5.598206,5.608152,5.624059,5.627522,5.628292,5.693107", \ - "5.766717,5.763731,5.763700,5.763529,5.721125,5.673799,5.769451", \ - "6.079568,6.078713,6.100871,6.122176,6.018082,5.952684,5.837462", \ - "6.703169,6.698369,6.680571,6.652026,6.608321,6.547533,6.314383"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.505629,1.514069,1.514238,1.541099,1.569871,1.594962,1.628900", \ - "1.439076,1.445623,1.464432,1.486230,1.522739,1.544733,1.589370", \ - "1.385897,1.407706,1.422159,1.444117,1.476720,1.511122,1.557868", \ - "1.399243,1.395227,1.405743,1.430856,1.465132,1.492348,1.537345", \ - "1.616685,1.606965,1.603100,1.583722,1.551777,1.554879,1.578987", \ - "2.012662,1.991069,1.983301,1.944589,1.884681,1.803790,1.724491", \ - "2.623557,2.611605,2.579003,2.540000,2.441986,2.281236,2.081390"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.714355,5.709186,5.718812,5.721203,5.740586,5.746566,5.753098", \ - "5.661927,5.660364,5.672702,5.686191,5.706697,5.683351,5.692887", \ - "5.585410,5.589361,5.603657,5.606568,5.660763,5.622337,5.650711", \ - "5.586948,5.590275,5.598945,5.607654,5.577046,5.611758,5.633012", \ - "5.768189,5.766315,5.765705,5.752922,5.722662,5.669475,5.760337", \ - "6.103894,6.097961,6.123025,6.131451,6.124198,5.955655,5.838850", \ - "6.776648,6.749097,6.752625,6.717476,6.650337,6.542394,6.388792"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.527897,1.532222,1.546582,1.562550,1.571526,1.605907,1.646073", \ - "1.472615,1.483399,1.495425,1.508781,1.532781,1.561671,1.592764", \ - "1.417172,1.420872,1.434887,1.457804,1.480850,1.523334,1.565013", \ - "1.386733,1.408663,1.413948,1.430274,1.468146,1.501436,1.551328", \ - "1.580759,1.580135,1.569279,1.553551,1.533734,1.552129,1.582602", \ - "1.956430,1.943976,1.931383,1.895087,1.826411,1.752703,1.710009", \ - "2.560942,2.550746,2.521998,2.455255,2.356239,2.197580,2.007690"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.717912,5.721427,5.730269,5.752415,5.746838,5.757472,5.763573", \ - "5.678308,5.685715,5.695378,5.704459,5.746561,5.705921,5.714026", \ - "5.599958,5.606405,5.621609,5.644533,5.681480,5.628927,5.648474", \ - "5.594350,5.598206,5.608152,5.624059,5.627522,5.628292,5.693107", \ - "5.766717,5.763731,5.763700,5.763529,5.721125,5.673799,5.769451", \ - "6.079568,6.078713,6.100871,6.122176,6.018082,5.952684,5.837462", \ - "6.703169,6.698369,6.680571,6.652026,6.608321,6.547533,6.314383"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.012135,1.017101,1.026839,1.034255,1.044330,1.061037,1.081058", \ - "0.961559,0.962411,0.967834,0.978862,0.996922,1.014773,1.036746", \ - "0.881843,0.898984,0.910798,0.931133,0.950617,0.971297,1.001721", \ - "0.877961,0.878081,0.890803,0.899477,0.925047,0.957025,0.986446", \ - "1.093564,1.086985,1.077594,1.049585,1.004921,0.999708,1.010722", \ - "1.509409,1.501732,1.469747,1.411682,1.328680,1.222074,1.146128", \ - "2.154479,2.136766,2.098930,2.017842,1.896825,1.696192,1.462265"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.019422,5.047507,5.057672,5.054938,5.052464,5.137535,5.149879", \ - "5.003789,5.011478,5.021960,5.050328,5.040559,5.084630,5.160454", \ - "4.901736,4.920656,4.922469,4.930761,4.962873,5.006469,5.127526", \ - "4.896621,4.900464,4.909662,4.950142,4.922417,5.007043,5.089379", \ - "5.041480,5.067606,5.086305,5.089333,5.059926,5.052172,5.123037", \ - "5.307768,5.322779,5.339851,5.357046,5.431853,5.378679,5.213093", \ - "5.965338,5.957133,5.954413,5.933103,5.935313,5.880615,5.722364"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.986298,0.993587,1.015903,1.023858,1.037332,1.055940,1.069215", \ - "0.935015,0.942829,0.957656,0.977476,0.992975,1.012451,1.032995", \ - "0.873810,0.881902,0.903685,0.912881,0.940438,0.974618,1.000673", \ - "0.901576,0.896625,0.894784,0.902609,0.921108,0.957179,0.982569", \ - "1.134305,1.123183,1.109684,1.087621,1.039843,1.014017,1.019033", \ - "1.567399,1.553978,1.519772,1.475477,1.390939,1.281708,1.164689", \ - "2.221005,2.204270,2.163695,2.091570,1.980915,1.784448,1.539620"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.008173,5.010788,5.046194,5.042108,5.054927,4.966314,5.153126", \ - "4.957066,4.961530,4.999751,5.004102,5.017977,5.062803,5.131840", \ - "4.885983,4.905195,4.905307,4.912448,4.961482,4.984237,5.005474", \ - "4.889581,4.892933,4.901234,4.930538,4.943528,4.990468,5.013655", \ - "5.050425,5.070430,5.087958,5.094185,5.057135,5.045032,5.106677", \ - "5.357208,5.345924,5.361684,5.381329,5.456010,5.390548,5.214494", \ - "6.038142,6.030062,6.027161,6.015136,5.949081,5.921876,5.700085"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.525185,1.531254,1.538174,1.547405,1.573266,1.599022,1.631764", \ - "1.473209,1.466930,1.484159,1.505121,1.526504,1.556518,1.591842", \ - "1.410249,1.419121,1.436021,1.450125,1.481953,1.516725,1.562175", \ - "1.393568,1.401215,1.416096,1.429806,1.465168,1.500973,1.544207", \ - "1.597882,1.587362,1.581643,1.564206,1.530108,1.548020,1.573549", \ - "1.978965,1.969076,1.953414,1.915107,1.846912,1.763189,1.707172", \ - "2.610610,2.588778,2.551099,2.495753,2.395544,2.228388,2.032354"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.051540,6.054959,6.064144,6.081240,6.106220,6.021971,6.158518", \ - "6.014147,6.019482,6.031663,6.052665,6.058723,6.104088,6.116447", \ - "5.934512,5.937697,5.952992,5.966289,5.996955,6.040563,6.069110", \ - "5.923701,5.927718,5.936873,5.933995,5.970123,6.016029,6.046962", \ - "6.094324,6.093386,6.092838,6.083076,6.066847,6.062648,6.063187", \ - "6.408304,6.422670,6.434434,6.474062,6.410707,6.313152,6.309935", \ - "7.040568,7.015800,7.023892,7.000149,6.974747,6.870973,6.704119"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.003593,1.008973,1.019484,1.033964,1.040165,1.053624,1.073655", \ - "0.958185,0.958787,0.970342,0.978285,0.996445,1.015512,1.029679", \ - "0.893688,0.890562,0.907164,0.929343,0.946257,0.972671,0.996958", \ - "0.884747,0.876727,0.888586,0.905648,0.922438,0.956861,0.985713", \ - "1.111157,1.100487,1.085913,1.061934,1.019024,1.008293,1.019489", \ - "1.538709,1.521919,1.493421,1.436037,1.352003,1.239617,1.153401", \ - "2.199979,2.182856,2.132235,2.050704,1.927828,1.728053,1.496807"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.353791,5.381757,5.391379,5.392029,5.389044,5.373720,5.382947", \ - "5.340285,5.345401,5.358304,5.380253,5.404413,5.398967,5.504160", \ - "5.246599,5.239253,5.253521,5.271921,5.332249,5.401297,5.431890", \ - "5.226607,5.229940,5.238020,5.263554,5.273453,5.237279,5.429569", \ - "5.392169,5.412459,5.420845,5.397455,5.424914,5.286889,5.441268", \ - "5.658286,5.675660,5.696895,5.693469,5.773663,5.686405,5.611458", \ - "6.300991,6.294655,6.299489,6.276067,6.261905,6.249256,6.082116"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.982760,1.003382,1.004915,1.021642,1.032593,1.049852,1.071222", \ - "0.930589,0.950052,0.954609,0.967706,0.982028,1.007397,1.023304", \ - "0.879497,0.884801,0.891850,0.917002,0.931565,0.966672,0.991913", \ - "0.907187,0.907159,0.902827,0.899920,0.921837,0.954536,0.978961", \ - "1.150818,1.139121,1.121431,1.094274,1.059852,1.011085,1.021336", \ - "1.591397,1.580354,1.550463,1.501055,1.415996,1.299539,1.179647", \ - "2.267548,2.245439,2.208173,2.140040,2.016794,1.817214,1.580472"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.342782,5.368350,5.380008,5.384666,5.397219,5.402239,5.371455", \ - "5.293028,5.297317,5.335670,5.338848,5.375683,5.344549,5.481814", \ - "5.217059,5.222164,5.235378,5.246474,5.259037,5.377663,5.408934", \ - "5.218934,5.222131,5.228791,5.232781,5.278566,5.220336,5.410046", \ - "5.398217,5.417462,5.418993,5.410870,5.391591,5.288361,5.428110", \ - "5.682665,5.700207,5.719930,5.759910,5.774417,5.697211,5.614227", \ - "6.352452,6.368497,6.345555,6.349692,6.342437,6.287120,6.110323"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.601387,1.604914,1.626998,1.637344,1.674284,1.699958,1.742817", \ - "1.603661,1.608792,1.633625,1.648190,1.693740,1.732858,1.769672", \ - "1.543661,1.557147,1.574795,1.605989,1.653166,1.711334,1.765723", \ - "1.476684,1.486972,1.511461,1.540800,1.589490,1.652040,1.726103", \ - "1.614091,1.614291,1.611284,1.614977,1.605215,1.646533,1.710790", \ - "1.927974,1.913375,1.912316,1.887024,1.841752,1.799335,1.785881", \ - "2.438490,2.431670,2.404590,2.379216,2.304729,2.194305,2.047796"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.815939,6.820999,6.833542,6.860083,6.852014,6.943194,6.965316", \ - "6.746123,6.766205,6.766321,6.790139,6.822140,6.871694,6.904267", \ - "6.718152,6.723038,6.737253,6.757555,6.737079,6.828006,6.862051", \ - "6.873129,6.872992,6.878031,6.858907,6.831131,6.878620,6.899918", \ - "7.289870,7.281388,7.274294,7.245219,7.179669,7.163860,7.137824", \ - "8.001513,7.973803,7.948200,7.893753,7.753831,7.656458,7.544536", \ - "8.890245,8.882535,8.855690,8.805300,8.714475,8.462179,8.240431"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.090017,1.092146,1.105894,1.116201,1.139829,1.159313,1.176091", \ - "1.082587,1.094165,1.109304,1.126767,1.155466,1.177917,1.210837", \ - "0.999368,1.015988,1.035152,1.061717,1.106489,1.154722,1.196628", \ - "0.947066,0.951217,0.965667,0.986872,1.031110,1.097397,1.156822", \ - "1.103611,1.105852,1.095845,1.088875,1.064576,1.081884,1.142141", \ - "1.454550,1.435669,1.416177,1.384590,1.335677,1.258789,1.218744", \ - "1.986292,1.974152,1.955370,1.912051,1.814410,1.672816,1.497244"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.108294,6.114776,6.130258,6.172268,6.150133,6.159031,6.334203", \ - "6.060461,6.068764,6.086642,6.098856,6.117273,6.116724,6.277234", \ - "6.009748,6.017202,6.034454,6.054904,6.103229,6.058312,6.230848", \ - "6.167045,6.168508,6.176713,6.173552,6.172711,6.242702,6.271154", \ - "6.588007,6.580457,6.574734,6.562277,6.480115,6.383314,6.352040", \ - "7.243522,7.256681,7.244590,7.202206,7.086239,7.021085,6.774029", \ - "8.147303,8.135491,8.131022,8.101305,7.991041,7.833336,7.608856"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.073700,1.090315,1.094704,1.107113,1.131767,1.144830,1.174157", \ - "1.061731,1.082976,1.093402,1.113563,1.142033,1.175695,1.204262", \ - "0.983578,0.997581,1.023130,1.057942,1.093911,1.147927,1.192917", \ - "0.956674,0.959119,0.964550,0.988884,1.026002,1.086721,1.154415", \ - "1.113645,1.108398,1.102487,1.100531,1.079087,1.084094,1.140193", \ - "1.458406,1.442386,1.429233,1.398176,1.340937,1.275031,1.224567", \ - "1.987591,1.988587,1.967083,1.923109,1.838974,1.697014,1.522419"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.376374,6.383591,6.400851,6.417160,6.409562,6.481621,6.521951", \ - "6.306291,6.314687,6.332826,6.350391,6.362123,6.423771,6.467301", \ - "6.252976,6.260440,6.277607,6.301191,6.369231,6.371517,6.419432", \ - "6.413495,6.415823,6.424447,6.431182,6.422039,6.431296,6.481984", \ - "6.838537,6.833347,6.827748,6.824441,6.733779,6.729518,6.707826", \ - "7.523239,7.524628,7.530298,7.480874,7.426672,7.231290,7.144824", \ - "8.418243,8.408722,8.400818,8.370246,8.348603,8.083874,7.840166"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.090017,1.092146,1.105894,1.116201,1.139829,1.159313,1.176091", \ - "1.082587,1.094165,1.109304,1.126767,1.155466,1.177917,1.210837", \ - "0.999368,1.015988,1.035152,1.061717,1.106489,1.154722,1.196628", \ - "0.947066,0.951217,0.965667,0.986872,1.031110,1.097397,1.156822", \ - "1.103611,1.105852,1.095845,1.088875,1.064576,1.081884,1.142141", \ - "1.454550,1.435669,1.416177,1.384590,1.335677,1.258789,1.218744", \ - "1.986292,1.974152,1.955370,1.912051,1.814410,1.672816,1.497244"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.108294,6.114776,6.130258,6.172268,6.150133,6.159031,6.334203", \ - "6.060461,6.068764,6.086642,6.098856,6.117273,6.116724,6.277234", \ - "6.009748,6.017202,6.034454,6.054904,6.103229,6.058312,6.230848", \ - "6.167045,6.168508,6.176713,6.173552,6.172711,6.242702,6.271154", \ - "6.588007,6.580457,6.574734,6.562277,6.480115,6.383314,6.352040", \ - "7.243522,7.256681,7.244590,7.202206,7.086239,7.021085,6.774029", \ - "8.147303,8.135491,8.131022,8.101305,7.991041,7.833336,7.608856"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.578955,0.591782,0.595985,0.599938,0.604538,0.612818,0.612030", \ - "0.554438,0.574778,0.580522,0.600645,0.616640,0.635937,0.639987", \ - "0.440781,0.463486,0.483250,0.513775,0.561809,0.602231,0.633736", \ - "0.423640,0.427325,0.424009,0.435245,0.481483,0.536623,0.585322", \ - "0.606955,0.603895,0.591271,0.568470,0.539766,0.528514,0.571731", \ - "0.983498,0.975496,0.943804,0.899660,0.821240,0.725194,0.651837", \ - "1.537314,1.519949,1.491961,1.445142,1.346278,1.158604,0.950623"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.400243,5.409836,5.429433,5.440105,5.485546,5.517537,5.545355", \ - "5.328715,5.339431,5.360597,5.405148,5.450373,5.448848,5.487499", \ - "5.280366,5.286883,5.322173,5.347679,5.423312,5.399792,5.440366", \ - "5.435399,5.458184,5.451112,5.483165,5.463931,5.463465,5.479507", \ - "5.886602,5.881239,5.878645,5.831721,5.823684,5.749493,5.733078", \ - "6.448873,6.455897,6.482501,6.486018,6.444524,6.290987,6.125706", \ - "7.385287,7.390405,7.364579,7.367692,7.319576,7.090927,6.823700"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.590211,0.592166,0.597109,0.600620,0.604133,0.609561,0.614025", \ - "0.565052,0.570085,0.587706,0.602182,0.621561,0.635361,0.640821", \ - "0.448470,0.464166,0.488976,0.517402,0.562962,0.602352,0.631693", \ - "0.439504,0.439496,0.440719,0.446635,0.489544,0.536195,0.592817", \ - "0.620900,0.610795,0.596408,0.581664,0.553900,0.529705,0.576601", \ - "0.997867,0.986708,0.950539,0.907421,0.838406,0.744331,0.665522", \ - "1.548132,1.534010,1.511539,1.460458,1.354748,1.190681,0.983233"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.642805,5.652546,5.671503,5.701135,5.741925,5.689839,5.889858", \ - "5.571485,5.582008,5.603573,5.652640,5.725309,5.792365,5.833422", \ - "5.516933,5.553236,5.574663,5.582334,5.607896,5.739322,5.786572", \ - "5.692190,5.708903,5.722723,5.739735,5.715560,5.799551,5.827202", \ - "6.134460,6.130650,6.128137,6.104988,6.090100,6.100210,6.075001", \ - "6.721671,6.729886,6.762187,6.790923,6.709872,6.596798,6.494938", \ - "7.651348,7.656672,7.635900,7.640729,7.572889,7.422591,7.209198"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.073700,1.090315,1.094704,1.107113,1.131767,1.144830,1.174157", \ - "1.061731,1.082976,1.093402,1.113563,1.142033,1.175695,1.204262", \ - "0.983578,0.997581,1.023130,1.057942,1.093911,1.147927,1.192917", \ - "0.956674,0.959119,0.964550,0.988884,1.026002,1.086721,1.154415", \ - "1.113645,1.108398,1.102487,1.100531,1.079087,1.084094,1.140193", \ - "1.458406,1.442386,1.429233,1.398176,1.340937,1.275031,1.224567", \ - "1.987591,1.988587,1.967083,1.923109,1.838974,1.697014,1.522419"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.376374,6.383591,6.400851,6.417160,6.409562,6.481621,6.521951", \ - "6.306291,6.314687,6.332826,6.350391,6.362123,6.423771,6.467301", \ - "6.252976,6.260440,6.277607,6.301191,6.369231,6.371517,6.419432", \ - "6.413495,6.415823,6.424447,6.431182,6.422039,6.431296,6.481984", \ - "6.838537,6.833347,6.827748,6.824441,6.733779,6.729518,6.707826", \ - "7.523239,7.524628,7.530298,7.480874,7.426672,7.231290,7.144824", \ - "8.418243,8.408722,8.400818,8.370246,8.348603,8.083874,7.840166"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.590211,0.592166,0.597109,0.600620,0.604133,0.609561,0.614025", \ - "0.565052,0.570085,0.587706,0.602182,0.621561,0.635361,0.640821", \ - "0.448470,0.464166,0.488976,0.517402,0.562962,0.602352,0.631693", \ - "0.439504,0.439496,0.440719,0.446635,0.489544,0.536195,0.592817", \ - "0.620900,0.610795,0.596408,0.581664,0.553900,0.529705,0.576601", \ - "0.997867,0.986708,0.950539,0.907421,0.838406,0.744331,0.665522", \ - "1.548132,1.534010,1.511539,1.460458,1.354748,1.190681,0.983233"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("5.642805,5.652546,5.671503,5.701135,5.741925,5.689839,5.889858", \ - "5.571485,5.582008,5.603573,5.652640,5.725309,5.792365,5.833422", \ - "5.516933,5.553236,5.574663,5.582334,5.607896,5.739322,5.786572", \ - "5.692190,5.708903,5.722723,5.739735,5.715560,5.799551,5.827202", \ - "6.134460,6.130650,6.128137,6.104988,6.090100,6.100210,6.075001", \ - "6.721671,6.729886,6.762187,6.790923,6.709872,6.596798,6.494938", \ - "7.651348,7.656672,7.635900,7.640729,7.572889,7.422591,7.209198"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.589018,0.582616,0.596076,0.601889,0.606994,0.613617,0.609958", \ - "0.566776,0.575819,0.588281,0.602198,0.616728,0.635640,0.646549", \ - "0.450654,0.466037,0.488415,0.519393,0.564001,0.599844,0.632870", \ - "0.447824,0.446229,0.446951,0.453570,0.485169,0.542641,0.590638", \ - "0.629180,0.622284,0.613201,0.591957,0.573652,0.549179,0.582629", \ - "1.018930,1.000387,0.979131,0.927927,0.863200,0.774013,0.685882", \ - "1.581865,1.570289,1.536349,1.480831,1.374668,1.219383,1.017582"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.023255,6.030443,6.046535,6.091174,6.131357,6.187975,6.220778", \ - "5.952795,5.970546,5.979548,6.032451,6.051214,6.059659,6.091344", \ - "5.915182,5.935831,5.953678,5.998403,6.025902,6.104364,6.210380", \ - "6.089604,6.092526,6.104357,6.112000,6.124633,6.199873,6.253916", \ - "6.515261,6.511411,6.508985,6.521858,6.473955,6.477866,6.350528", \ - "7.121464,7.127088,7.164721,7.195040,7.115536,6.969449,6.786788", \ - "8.050388,8.037702,8.046079,8.033936,8.015953,7.864012,7.679177"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("2.044925,2.057429,2.070398,2.086178,2.117394,2.152451,2.204471", \ - "1.998690,2.001478,2.008679,2.037524,2.076602,2.113173,2.157405", \ - "1.942188,1.955905,1.971007,1.997921,2.034751,2.072063,2.135832", \ - "1.908747,1.921068,1.939041,1.960884,1.991379,2.050196,2.108108", \ - "2.026695,2.020201,2.021794,2.029274,2.020170,2.066855,2.122893", \ - "2.298406,2.301018,2.293748,2.278095,2.260785,2.216851,2.209040", \ - "2.795852,2.776724,2.769513,2.741982,2.695626,2.615946,2.476669"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("7.602309,7.604544,7.616949,7.622903,7.653251,7.717581,7.778736", \ - "7.551112,7.556456,7.569514,7.590539,7.626267,7.669145,7.706686", \ - "7.502143,7.508285,7.522753,7.516285,7.531748,7.629778,7.645697", \ - "7.503998,7.508953,7.519326,7.520761,7.507395,7.619212,7.661501", \ - "7.661327,7.662118,7.666599,7.647756,7.613182,7.666885,7.685055", \ - "8.047267,8.076588,8.059026,8.042914,7.951000,7.909734,7.855931", \ - "8.638146,8.643400,8.641902,8.639848,8.615475,8.465532,8.320217"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.527171,1.528997,1.533659,1.557971,1.571684,1.607007,1.646286", \ - "1.469109,1.480769,1.488346,1.508562,1.534504,1.562442,1.599644", \ - "1.416702,1.420366,1.428405,1.450632,1.479435,1.523429,1.570093", \ - "1.376215,1.381038,1.391809,1.417392,1.449040,1.494839,1.549099", \ - "1.507890,1.514022,1.513626,1.500637,1.487688,1.515679,1.552154", \ - "1.811265,1.809834,1.796954,1.765060,1.744661,1.680027,1.650304", \ - "2.316251,2.312183,2.294238,2.255800,2.197721,2.093716,1.928259"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.902575,6.899142,6.914542,6.938057,6.920579,6.960310,6.996204", \ - "6.843933,6.850907,6.866991,6.902839,6.877350,6.884211,7.076818", \ - "6.794861,6.802671,6.820205,6.857117,6.882804,6.968357,7.014364", \ - "6.797470,6.803522,6.817600,6.840742,6.816145,6.866662,6.982442", \ - "6.956438,6.957349,6.964937,6.974229,6.973487,6.938726,7.055245", \ - "7.296489,7.298388,7.338746,7.363466,7.258741,7.271848,7.224214", \ - "7.911725,7.900033,7.894632,7.887219,7.879871,7.832695,7.690141"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.506180,1.508007,1.526398,1.539290,1.569063,1.594130,1.632623", \ - "1.449380,1.461266,1.476159,1.485162,1.524008,1.556162,1.591755", \ - "1.392398,1.408907,1.422398,1.448050,1.470483,1.517724,1.555901", \ - "1.357014,1.370686,1.380459,1.411188,1.445848,1.485357,1.537732", \ - "1.509887,1.511606,1.516937,1.500525,1.491548,1.501762,1.542650", \ - "1.821144,1.810531,1.803348,1.781601,1.750078,1.699633,1.645145", \ - "2.341217,2.334947,2.312300,2.272838,2.209661,2.102977,1.952925"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("7.137416,7.144103,7.159444,7.206792,7.186330,7.294850,7.337791", \ - "7.090893,7.098076,7.113931,7.158853,7.135087,7.223477,7.265720", \ - "7.036722,7.058300,7.064015,7.097537,7.142936,7.154652,7.201160", \ - "7.038588,7.044543,7.057967,7.064113,7.076910,7.168342,7.217279", \ - "7.197868,7.211177,7.208234,7.209078,7.235802,7.217920,7.262648", \ - "7.545978,7.574983,7.591454,7.609432,7.554552,7.484114,7.412333", \ - "8.165223,8.169322,8.166534,8.162394,8.153636,8.106566,7.941634"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.527171,1.528997,1.533659,1.557971,1.571684,1.607007,1.646286", \ - "1.469109,1.480769,1.488346,1.508562,1.534504,1.562442,1.599644", \ - "1.416702,1.420366,1.428405,1.450632,1.479435,1.523429,1.570093", \ - "1.376215,1.381038,1.391809,1.417392,1.449040,1.494839,1.549099", \ - "1.507890,1.514022,1.513626,1.500637,1.487688,1.515679,1.552154", \ - "1.811265,1.809834,1.796954,1.765060,1.744661,1.680027,1.650304", \ - "2.316251,2.312183,2.294238,2.255800,2.197721,2.093716,1.928259"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.902575,6.899142,6.914542,6.938057,6.920579,6.960310,6.996204", \ - "6.843933,6.850907,6.866991,6.902839,6.877350,6.884211,7.076818", \ - "6.794861,6.802671,6.820205,6.857117,6.882804,6.968357,7.014364", \ - "6.797470,6.803522,6.817600,6.840742,6.816145,6.866662,6.982442", \ - "6.956438,6.957349,6.964937,6.974229,6.973487,6.938726,7.055245", \ - "7.296489,7.298388,7.338746,7.363466,7.258741,7.271848,7.224214", \ - "7.911725,7.900033,7.894632,7.887219,7.879871,7.832695,7.690141"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.004395,1.018255,1.019457,1.033568,1.047106,1.059215,1.077217", \ - "0.952074,0.967829,0.970280,0.982613,1.000798,1.017016,1.036966", \ - "0.891200,0.898408,0.904945,0.929946,0.946088,0.981042,1.000780", \ - "0.854841,0.852002,0.864121,0.883014,0.911444,0.950078,0.979105", \ - "1.015275,1.009014,1.000857,0.987097,0.955269,0.962955,0.987204", \ - "1.324424,1.319424,1.300724,1.278090,1.216771,1.146677,1.081088", \ - "1.867851,1.855008,1.833227,1.796911,1.706939,1.573414,1.387640"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.158779,6.193904,6.213538,6.243462,6.267889,6.326458,6.364521", \ - "6.109993,6.145569,6.165818,6.204974,6.208318,6.278184,6.287001", \ - "6.061123,6.071099,6.119108,6.145622,6.182207,6.180912,6.222571", \ - "6.063206,6.072318,6.117097,6.148415,6.198630,6.234731,6.240545", \ - "6.246665,6.252411,6.263982,6.242668,6.309525,6.249335,6.299432", \ - "6.510181,6.535705,6.543226,6.578780,6.632460,6.573503,6.596410", \ - "7.146610,7.147980,7.136278,7.167271,7.156892,7.119732,6.902814"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.006278,1.005181,1.016882,1.027680,1.039954,1.055111,1.076271", \ - "0.946660,0.953653,0.966639,0.979317,0.991883,1.014722,1.031373", \ - "0.879195,0.888325,0.891083,0.921480,0.940727,0.974609,0.998784", \ - "0.860115,0.858785,0.856679,0.879101,0.903099,0.938018,0.978538", \ - "1.021023,1.017986,1.010582,0.992576,0.973713,0.960460,0.987222", \ - "1.339467,1.337164,1.311872,1.282419,1.238192,1.164220,1.078710", \ - "1.885524,1.874997,1.845200,1.803012,1.723656,1.596331,1.411883"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.426829,6.443387,6.455957,6.505827,6.557880,6.623241,6.588775", \ - "6.380087,6.390220,6.410419,6.454127,6.502989,6.571021,6.632427", \ - "6.326018,6.337010,6.359072,6.379877,6.415688,6.522335,6.570111", \ - "6.300548,6.336966,6.355403,6.394784,6.408822,6.377617,6.583406", \ - "6.490920,6.494433,6.505741,6.515807,6.533620,6.587666,6.607135", \ - "6.780519,6.781198,6.820628,6.883837,6.903095,6.882802,6.783046", \ - "7.410197,7.413639,7.404003,7.440882,7.425717,7.429596,7.263581"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.506180,1.508007,1.526398,1.539290,1.569063,1.594130,1.632623", \ - "1.449380,1.461266,1.476159,1.485162,1.524008,1.556162,1.591755", \ - "1.392398,1.408907,1.422398,1.448050,1.470483,1.517724,1.555901", \ - "1.357014,1.370686,1.380459,1.411188,1.445848,1.485357,1.537732", \ - "1.509887,1.511606,1.516937,1.500525,1.491548,1.501762,1.542650", \ - "1.821144,1.810531,1.803348,1.781601,1.750078,1.699633,1.645145", \ - "2.341217,2.334947,2.312300,2.272838,2.209661,2.102977,1.952925"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("7.137416,7.144103,7.159444,7.206792,7.186330,7.294850,7.337791", \ - "7.090893,7.098076,7.113931,7.158853,7.135087,7.223477,7.265720", \ - "7.036722,7.058300,7.064015,7.097537,7.142936,7.154652,7.201160", \ - "7.038588,7.044543,7.057967,7.064113,7.076910,7.168342,7.217279", \ - "7.197868,7.211177,7.208234,7.209078,7.235802,7.217920,7.262648", \ - "7.545978,7.574983,7.591454,7.609432,7.554552,7.484114,7.412333", \ - "8.165223,8.169322,8.166534,8.162394,8.153636,8.106566,7.941634"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("1.006278,1.005181,1.016882,1.027680,1.039954,1.055111,1.076271", \ - "0.946660,0.953653,0.966639,0.979317,0.991883,1.014722,1.031373", \ - "0.879195,0.888325,0.891083,0.921480,0.940727,0.974609,0.998784", \ - "0.860115,0.858785,0.856679,0.879101,0.903099,0.938018,0.978538", \ - "1.021023,1.017986,1.010582,0.992576,0.973713,0.960460,0.987222", \ - "1.339467,1.337164,1.311872,1.282419,1.238192,1.164220,1.078710", \ - "1.885524,1.874997,1.845200,1.803012,1.723656,1.596331,1.411883"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.426829,6.443387,6.455957,6.505827,6.557880,6.623241,6.588775", \ - "6.380087,6.390220,6.410419,6.454127,6.502989,6.571021,6.632427", \ - "6.326018,6.337010,6.359072,6.379877,6.415688,6.522335,6.570111", \ - "6.300548,6.336966,6.355403,6.394784,6.408822,6.377617,6.583406", \ - "6.490920,6.494433,6.505741,6.515807,6.533620,6.587666,6.607135", \ - "6.780519,6.781198,6.820628,6.883837,6.903095,6.882802,6.783046", \ - "7.410197,7.413639,7.404003,7.440882,7.425717,7.429596,7.263581"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("0.996065,1.005434,1.011744,1.019332,1.033195,1.053292,1.064941", \ - "0.943414,0.938666,0.950670,0.967706,0.988647,1.005239,1.020962", \ - "0.880632,0.885846,0.898157,0.910291,0.937896,0.967236,0.989740", \ - "0.872293,0.868535,0.868211,0.870758,0.896864,0.938697,0.968913", \ - "1.025099,1.022561,1.020877,1.007747,0.987416,0.952002,0.981152", \ - "1.355095,1.353633,1.334075,1.298579,1.249973,1.179716,1.093746", \ - "1.922817,1.900280,1.874444,1.834832,1.740338,1.615544,1.445645"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); - values ("6.808247,6.815572,6.831445,6.849086,6.911329,6.968012,6.963936", \ - "6.763722,6.771547,6.793025,6.826856,6.847420,6.910600,6.893880", \ - "6.708702,6.717490,6.736102,6.780779,6.815275,6.877869,6.989518", \ - "6.708366,6.716095,6.731557,6.762933,6.775859,6.803842,6.842770", \ - "6.875464,6.877867,6.883407,6.898280,6.922003,6.940781,6.939933", \ - "7.157933,7.186262,7.225948,7.244967,7.234115,7.263131,7.215366", \ - "7.795663,7.800383,7.794541,7.811653,7.785314,7.841172,7.707352"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI222_X2 - Cell Description : Combinational cell (OAI222_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OAI222_X2) { - - drive_strength : 2; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 86.355577; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 13.275020; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 43.485530; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 43.485640; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 72.726280; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 16.172090; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 99.479270; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 99.479490; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 128.729150; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 16.172090; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 99.479490; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 99.479710; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 128.729480; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 16.835170; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 128.710010; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 128.710120; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 157.966380; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 11.720857; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 51.730023; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 51.730243; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 80.971543; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 22.754938; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 97.978507; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 102.678070; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 124.484789; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 22.754938; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 102.678070; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 107.377380; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 129.184770; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 21.415568; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 124.474867; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 129.174430; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 150.984339; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 11.720857; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 51.730024; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 51.730244; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 80.971654; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 22.754940; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 102.678070; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 107.377380; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 129.184770; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 22.755048; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 107.377380; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 112.076580; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 133.884740; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 21.415679; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 129.174320; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 133.873630; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 155.684452; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 5.148888; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 45.892554; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 45.892664; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 75.134185; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 16.406310; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 124.468795; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 129.167720; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 150.978707; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 16.406308; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 129.167830; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 133.866370; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 155.678193; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 15.041961; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 150.965166; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 155.664014; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 177.479245; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.228228; - fall_capacitance : 2.912121; - rise_capacitance : 3.228228; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.000864; - fall_capacitance : 3.000864; - rise_capacitance : 2.957662; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.303845; - fall_capacitance : 2.915301; - rise_capacitance : 3.303845; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.999420; - fall_capacitance : 2.973410; - rise_capacitance : 2.999420; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.363464; - fall_capacitance : 2.893452; - rise_capacitance : 3.363464; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.074139; - fall_capacitance : 2.963612; - rise_capacitance : 3.074139; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 39.596600; - function : "!(((A1 | A2) & (B1 | B2)) & (C1 | C2))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018981,0.020414,0.022419,0.026356,0.034065,0.049175,0.078973", \ - "0.020356,0.021792,0.023806,0.027759,0.035492,0.050630,0.080454", \ - "0.025042,0.026462,0.028465,0.032405,0.040133,0.055274,0.085110", \ - "0.033198,0.034922,0.037277,0.041682,0.049637,0.064459,0.094126", \ - "0.039262,0.041493,0.044573,0.050314,0.060711,0.078673,0.108849", \ - "0.043008,0.045750,0.049489,0.056524,0.069291,0.091454,0.128248", \ - "0.044382,0.047596,0.051989,0.060269,0.075346,0.101583,0.145353"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.021376,0.023676,0.026915,0.033331,0.046046,0.071310,0.121668", \ - "0.022246,0.024579,0.027859,0.034362,0.047208,0.072619,0.123105", \ - "0.027446,0.029679,0.032856,0.039225,0.051966,0.077373,0.127946", \ - "0.037445,0.040168,0.043816,0.050566,0.062849,0.087749,0.137946", \ - "0.048376,0.051756,0.056296,0.064787,0.079925,0.105625,0.154870", \ - "0.060828,0.064782,0.070093,0.080091,0.098131,0.129132,0.180214", \ - "0.075045,0.079527,0.085580,0.096980,0.117606,0.153450,0.212843"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013214,0.014351,0.015969,0.019192,0.025612,0.038408,0.063944", \ - "0.013220,0.014358,0.015972,0.019194,0.025612,0.038407,0.063941", \ - "0.012904,0.014046,0.015704,0.019100,0.025610,0.038407,0.063944", \ - "0.016952,0.017923,0.019266,0.021846,0.026897,0.038435,0.063942", \ - "0.023759,0.024943,0.026533,0.029545,0.035003,0.044788,0.065338", \ - "0.032159,0.033599,0.035498,0.039093,0.045570,0.056750,0.075964", \ - "0.042201,0.043913,0.046189,0.050427,0.057939,0.070815,0.092398"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.028535,0.030706,0.033767,0.039819,0.051719,0.075064,0.121113", \ - "0.028532,0.030707,0.033770,0.039819,0.051717,0.075066,0.121115", \ - "0.028657,0.030659,0.033749,0.039817,0.051721,0.075065,0.121122", \ - "0.034277,0.035642,0.037721,0.042222,0.052290,0.075065,0.121117", \ - "0.045064,0.046347,0.048239,0.052042,0.059529,0.077619,0.121118", \ - "0.057171,0.058464,0.060464,0.064622,0.072962,0.088589,0.124588", \ - "0.071273,0.072473,0.074440,0.078748,0.087783,0.105354,0.137537"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014833,0.016238,0.018204,0.022068,0.029654,0.044594,0.074193", \ - "0.016160,0.017575,0.019557,0.023446,0.031065,0.046042,0.075672", \ - "0.021118,0.022406,0.024274,0.028098,0.035699,0.050678,0.080326", \ - "0.027465,0.029357,0.031919,0.036663,0.045100,0.059910,0.089351", \ - "0.031603,0.034060,0.037391,0.043571,0.054606,0.073396,0.104144", \ - "0.033469,0.036491,0.040570,0.048142,0.061677,0.084834,0.122736", \ - "0.032925,0.036469,0.041294,0.050246,0.066266,0.093666,0.138719"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020632,0.022940,0.026172,0.032575,0.045257,0.070447,0.120659", \ - "0.021502,0.023831,0.027110,0.033599,0.046413,0.071754,0.122098", \ - "0.026728,0.028955,0.032118,0.038468,0.051172,0.076506,0.126934", \ - "0.036484,0.039257,0.042952,0.049775,0.062070,0.086888,0.136936", \ - "0.047094,0.050543,0.055148,0.063724,0.078979,0.104779,0.153864", \ - "0.059204,0.063245,0.068641,0.078738,0.096909,0.128061,0.179223", \ - "0.072957,0.077606,0.083775,0.095308,0.116098,0.152104,0.211665"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010800,0.011925,0.013518,0.016704,0.023079,0.035810,0.061260", \ - "0.010790,0.011920,0.013518,0.016705,0.023078,0.035810,0.061261", \ - "0.010849,0.011860,0.013346,0.016468,0.023046,0.035812,0.061259", \ - "0.015674,0.016651,0.017986,0.020540,0.025352,0.036151,0.061249", \ - "0.022447,0.023650,0.025272,0.028319,0.033811,0.043559,0.063262", \ - "0.030861,0.032305,0.034252,0.037866,0.044359,0.055563,0.074727", \ - "0.040910,0.042682,0.044974,0.049223,0.056740,0.069619,0.091180"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019892,0.022195,0.025410,0.031686,0.043805,0.067272,0.113342", \ - "0.019892,0.022193,0.025411,0.031686,0.043809,0.067277,0.113340", \ - "0.020075,0.022183,0.025386,0.031683,0.043806,0.067275,0.113342", \ - "0.026337,0.027553,0.029604,0.034216,0.044430,0.067274,0.113339", \ - "0.035291,0.036923,0.039244,0.043739,0.051845,0.069916,0.113338", \ - "0.045748,0.047415,0.049884,0.054830,0.064257,0.081001,0.116887", \ - "0.058062,0.059686,0.062174,0.067340,0.077628,0.096695,0.129923"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012366,0.013559,0.015225,0.018496,0.024901,0.037489,0.062403", \ - "0.013766,0.014966,0.016645,0.019935,0.026366,0.038984,0.063921", \ - "0.019025,0.020216,0.021807,0.024910,0.031277,0.043885,0.068826", \ - "0.024617,0.026351,0.028696,0.033025,0.040698,0.053658,0.078355", \ - "0.027867,0.030141,0.033220,0.038913,0.049049,0.066206,0.093910", \ - "0.028619,0.031442,0.035252,0.042290,0.054824,0.076120,0.110742", \ - "0.026685,0.030022,0.034558,0.042941,0.057877,0.083269,0.124666"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020558,0.022864,0.026097,0.032502,0.045184,0.070379,0.120596", \ - "0.021367,0.023697,0.026972,0.033459,0.046276,0.071625,0.121979", \ - "0.026647,0.028862,0.032015,0.038348,0.051029,0.076351,0.126783", \ - "0.036552,0.039305,0.042991,0.049787,0.062045,0.086815,0.136821", \ - "0.047426,0.050847,0.055431,0.063964,0.079161,0.104881,0.153894", \ - "0.059957,0.063960,0.069298,0.079336,0.097417,0.128447,0.179471", \ - "0.074376,0.078918,0.085008,0.096426,0.117070,0.152909,0.212255"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009060,0.010010,0.011360,0.014056,0.019434,0.030176,0.051649", \ - "0.009002,0.009973,0.011341,0.014049,0.019430,0.030175,0.051646", \ - "0.009503,0.010266,0.011419,0.013900,0.019277,0.030170,0.051648", \ - "0.014533,0.015392,0.016557,0.018751,0.022811,0.031175,0.051616", \ - "0.021165,0.022248,0.023705,0.026423,0.031290,0.039714,0.055343", \ - "0.029426,0.030747,0.032507,0.035783,0.041603,0.051567,0.068215", \ - "0.039327,0.040937,0.043051,0.046944,0.053758,0.065295,0.084418"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019891,0.022193,0.025411,0.031685,0.043810,0.067276,0.113339", \ - "0.019892,0.022194,0.025411,0.031686,0.043809,0.067278,0.113342", \ - "0.020090,0.022196,0.025386,0.031682,0.043806,0.067277,0.113344", \ - "0.026293,0.027523,0.029585,0.034208,0.044438,0.067275,0.113340", \ - "0.035085,0.036733,0.039079,0.043600,0.051762,0.069892,0.113339", \ - "0.045274,0.046967,0.049467,0.054470,0.063984,0.080818,0.116832", \ - "0.057218,0.058900,0.061419,0.066658,0.077065,0.096277,0.129667"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014833,0.016238,0.018204,0.022068,0.029654,0.044594,0.074193", \ - "0.016160,0.017575,0.019557,0.023446,0.031065,0.046042,0.075672", \ - "0.021118,0.022406,0.024274,0.028098,0.035699,0.050678,0.080326", \ - "0.027465,0.029357,0.031919,0.036663,0.045100,0.059910,0.089351", \ - "0.031603,0.034060,0.037391,0.043571,0.054606,0.073396,0.104144", \ - "0.033469,0.036491,0.040570,0.048142,0.061677,0.084834,0.122736", \ - "0.032925,0.036469,0.041294,0.050246,0.066266,0.093666,0.138719"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020632,0.022940,0.026172,0.032575,0.045257,0.070447,0.120659", \ - "0.021502,0.023831,0.027110,0.033599,0.046413,0.071754,0.122098", \ - "0.026728,0.028955,0.032118,0.038468,0.051172,0.076506,0.126934", \ - "0.036484,0.039257,0.042952,0.049775,0.062070,0.086888,0.136936", \ - "0.047094,0.050543,0.055148,0.063724,0.078979,0.104779,0.153864", \ - "0.059204,0.063245,0.068641,0.078738,0.096909,0.128061,0.179223", \ - "0.072957,0.077606,0.083775,0.095308,0.116098,0.152104,0.211665"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010800,0.011925,0.013518,0.016704,0.023079,0.035810,0.061260", \ - "0.010790,0.011920,0.013518,0.016705,0.023078,0.035810,0.061261", \ - "0.010849,0.011860,0.013346,0.016468,0.023046,0.035812,0.061259", \ - "0.015674,0.016651,0.017986,0.020540,0.025352,0.036151,0.061249", \ - "0.022447,0.023650,0.025272,0.028319,0.033811,0.043559,0.063262", \ - "0.030861,0.032305,0.034252,0.037866,0.044359,0.055563,0.074727", \ - "0.040910,0.042682,0.044974,0.049223,0.056740,0.069619,0.091180"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019892,0.022195,0.025410,0.031686,0.043805,0.067272,0.113342", \ - "0.019892,0.022193,0.025411,0.031686,0.043809,0.067277,0.113340", \ - "0.020075,0.022183,0.025386,0.031683,0.043806,0.067275,0.113342", \ - "0.026337,0.027553,0.029604,0.034216,0.044430,0.067274,0.113339", \ - "0.035291,0.036923,0.039244,0.043739,0.051845,0.069916,0.113338", \ - "0.045748,0.047415,0.049884,0.054830,0.064257,0.081001,0.116887", \ - "0.058062,0.059686,0.062174,0.067340,0.077628,0.096695,0.129923"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011108,0.012430,0.014298,0.018013,0.025400,0.040112,0.069478", \ - "0.012377,0.013716,0.015605,0.019357,0.026792,0.041551,0.070953", \ - "0.017031,0.018496,0.020447,0.024045,0.031416,0.046179,0.075601", \ - "0.021134,0.023256,0.026093,0.031280,0.040334,0.055470,0.084654", \ - "0.023162,0.025912,0.029608,0.036352,0.048172,0.067943,0.099529", \ - "0.022896,0.026336,0.030881,0.039152,0.053653,0.077981,0.117125", \ - "0.020210,0.024269,0.029668,0.039484,0.056689,0.085473,0.131966"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019912,0.022210,0.025439,0.031831,0.044477,0.069599,0.119674", \ - "0.020774,0.023098,0.026372,0.032847,0.045629,0.070902,0.121108", \ - "0.026024,0.028239,0.031393,0.037722,0.050392,0.075655,0.125939", \ - "0.035511,0.038333,0.042088,0.048985,0.061304,0.086045,0.135941", \ - "0.045801,0.049329,0.053995,0.062661,0.078037,0.103945,0.152880", \ - "0.057594,0.061724,0.067190,0.077396,0.095700,0.127002,0.178245", \ - "0.070953,0.075711,0.081980,0.093655,0.114603,0.150774,0.210501"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008129,0.009280,0.010901,0.014110,0.020487,0.033198,0.058578", \ - "0.008061,0.009235,0.010876,0.014101,0.020489,0.033196,0.058581", \ - "0.009351,0.010128,0.011376,0.014145,0.020358,0.033198,0.058578", \ - "0.014462,0.015438,0.016776,0.019310,0.024058,0.034047,0.058576", \ - "0.021333,0.022541,0.024152,0.027184,0.032670,0.042367,0.061339", \ - "0.029928,0.031339,0.033262,0.036843,0.043253,0.054425,0.073521", \ - "0.040156,0.041884,0.044157,0.048340,0.055746,0.068487,0.089991"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014671,0.016691,0.019563,0.025311,0.036787,0.059734,0.105598", \ - "0.014673,0.016692,0.019559,0.025312,0.036783,0.059725,0.105590", \ - "0.014919,0.016723,0.019534,0.025297,0.036771,0.059725,0.105582", \ - "0.020293,0.021885,0.023895,0.027967,0.037468,0.059716,0.105581", \ - "0.026768,0.028643,0.031266,0.036197,0.044962,0.062463,0.105596", \ - "0.034498,0.036599,0.039567,0.045264,0.055663,0.073599,0.109218", \ - "0.043900,0.046173,0.049378,0.055635,0.067289,0.087894,0.122328"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009391,0.010498,0.012066,0.015190,0.021406,0.033784,0.058483", \ - "0.010765,0.011876,0.013455,0.016603,0.022857,0.035272,0.060000", \ - "0.015392,0.016721,0.018486,0.021692,0.027774,0.040168,0.064902", \ - "0.018867,0.020818,0.023422,0.028173,0.036423,0.050022,0.074456", \ - "0.020081,0.022644,0.026079,0.032318,0.043197,0.061267,0.089901", \ - "0.018773,0.022001,0.026262,0.033983,0.047455,0.069874,0.105651", \ - "0.014785,0.018605,0.023692,0.032913,0.049007,0.075740,0.118502"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019840,0.022137,0.025365,0.031757,0.044406,0.069528,0.119604", \ - "0.020639,0.022962,0.026233,0.032706,0.045492,0.070775,0.120988", \ - "0.025945,0.028150,0.031291,0.037602,0.050249,0.075501,0.125786", \ - "0.035580,0.038390,0.042128,0.049000,0.061279,0.085972,0.135831", \ - "0.046149,0.049642,0.054283,0.062908,0.078221,0.104049,0.152907", \ - "0.058361,0.062446,0.067866,0.078004,0.096211,0.127392,0.178499", \ - "0.072379,0.077057,0.083238,0.094798,0.115591,0.151587,0.211097"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006732,0.007715,0.009099,0.011836,0.017234,0.027970,0.049397", \ - "0.006629,0.007634,0.009047,0.011808,0.017234,0.027973,0.049394", \ - "0.008421,0.009129,0.010051,0.012180,0.017096,0.027962,0.049396", \ - "0.013528,0.014399,0.015575,0.017780,0.021815,0.029668,0.049331", \ - "0.020269,0.021357,0.022805,0.025509,0.030372,0.038788,0.054004", \ - "0.028718,0.030000,0.031755,0.034984,0.040736,0.050644,0.067268", \ - "0.038804,0.040368,0.042462,0.046278,0.053002,0.064407,0.083462"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014676,0.016692,0.019559,0.025300,0.036791,0.059724,0.105590", \ - "0.014673,0.016689,0.019559,0.025306,0.036789,0.059723,0.105590", \ - "0.014935,0.016737,0.019533,0.025303,0.036785,0.059725,0.105583", \ - "0.020259,0.021863,0.023875,0.027960,0.037471,0.059716,0.105591", \ - "0.026580,0.028480,0.031116,0.036077,0.044874,0.062430,0.105597", \ - "0.034093,0.036212,0.039198,0.044940,0.055409,0.073412,0.109151", \ - "0.043239,0.045500,0.048714,0.055017,0.066772,0.087493,0.122068"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012366,0.013559,0.015225,0.018496,0.024901,0.037489,0.062403", \ - "0.013766,0.014966,0.016645,0.019935,0.026366,0.038984,0.063921", \ - "0.019025,0.020216,0.021807,0.024910,0.031277,0.043885,0.068826", \ - "0.024617,0.026351,0.028696,0.033025,0.040698,0.053658,0.078355", \ - "0.027867,0.030141,0.033220,0.038913,0.049049,0.066206,0.093910", \ - "0.028619,0.031442,0.035252,0.042290,0.054824,0.076120,0.110742", \ - "0.026685,0.030022,0.034558,0.042941,0.057877,0.083269,0.124666"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020558,0.022864,0.026097,0.032502,0.045184,0.070379,0.120596", \ - "0.021367,0.023697,0.026972,0.033459,0.046276,0.071625,0.121979", \ - "0.026647,0.028862,0.032015,0.038348,0.051029,0.076351,0.126783", \ - "0.036552,0.039305,0.042991,0.049787,0.062045,0.086815,0.136821", \ - "0.047426,0.050847,0.055431,0.063964,0.079161,0.104881,0.153894", \ - "0.059957,0.063960,0.069298,0.079336,0.097417,0.128447,0.179471", \ - "0.074376,0.078918,0.085008,0.096426,0.117070,0.152909,0.212255"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009060,0.010010,0.011360,0.014056,0.019434,0.030176,0.051649", \ - "0.009002,0.009973,0.011341,0.014049,0.019430,0.030175,0.051646", \ - "0.009503,0.010266,0.011419,0.013900,0.019277,0.030170,0.051648", \ - "0.014533,0.015392,0.016557,0.018751,0.022811,0.031175,0.051616", \ - "0.021165,0.022248,0.023705,0.026423,0.031290,0.039714,0.055343", \ - "0.029426,0.030747,0.032507,0.035783,0.041603,0.051567,0.068215", \ - "0.039327,0.040937,0.043051,0.046944,0.053758,0.065295,0.084418"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019891,0.022193,0.025411,0.031685,0.043810,0.067276,0.113339", \ - "0.019892,0.022194,0.025411,0.031686,0.043809,0.067278,0.113342", \ - "0.020090,0.022196,0.025386,0.031682,0.043806,0.067277,0.113344", \ - "0.026293,0.027523,0.029585,0.034208,0.044438,0.067275,0.113340", \ - "0.035085,0.036733,0.039079,0.043600,0.051762,0.069892,0.113339", \ - "0.045274,0.046967,0.049467,0.054470,0.063984,0.080818,0.116832", \ - "0.057218,0.058900,0.061419,0.066658,0.077065,0.096277,0.129667"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009391,0.010498,0.012066,0.015190,0.021406,0.033784,0.058483", \ - "0.010765,0.011876,0.013455,0.016603,0.022857,0.035272,0.060000", \ - "0.015392,0.016721,0.018486,0.021692,0.027774,0.040168,0.064902", \ - "0.018867,0.020818,0.023422,0.028173,0.036423,0.050022,0.074456", \ - "0.020081,0.022644,0.026079,0.032318,0.043197,0.061267,0.089901", \ - "0.018773,0.022001,0.026262,0.033983,0.047455,0.069874,0.105651", \ - "0.014785,0.018605,0.023692,0.032913,0.049007,0.075740,0.118502"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019840,0.022137,0.025365,0.031757,0.044406,0.069528,0.119604", \ - "0.020639,0.022962,0.026233,0.032706,0.045492,0.070775,0.120988", \ - "0.025945,0.028150,0.031291,0.037602,0.050249,0.075501,0.125786", \ - "0.035580,0.038390,0.042128,0.049000,0.061279,0.085972,0.135831", \ - "0.046149,0.049642,0.054283,0.062908,0.078221,0.104049,0.152907", \ - "0.058361,0.062446,0.067866,0.078004,0.096211,0.127392,0.178499", \ - "0.072379,0.077057,0.083238,0.094798,0.115591,0.151587,0.211097"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006732,0.007715,0.009099,0.011836,0.017234,0.027970,0.049397", \ - "0.006629,0.007634,0.009047,0.011808,0.017234,0.027973,0.049394", \ - "0.008421,0.009129,0.010051,0.012180,0.017096,0.027962,0.049396", \ - "0.013528,0.014399,0.015575,0.017780,0.021815,0.029668,0.049331", \ - "0.020269,0.021357,0.022805,0.025509,0.030372,0.038788,0.054004", \ - "0.028718,0.030000,0.031755,0.034984,0.040736,0.050644,0.067268", \ - "0.038804,0.040368,0.042462,0.046278,0.053002,0.064407,0.083462"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014676,0.016692,0.019559,0.025300,0.036791,0.059724,0.105590", \ - "0.014673,0.016689,0.019559,0.025306,0.036789,0.059723,0.105590", \ - "0.014935,0.016737,0.019533,0.025303,0.036785,0.059725,0.105583", \ - "0.020259,0.021863,0.023875,0.027960,0.037471,0.059716,0.105591", \ - "0.026580,0.028480,0.031116,0.036077,0.044874,0.062430,0.105597", \ - "0.034093,0.036212,0.039198,0.044940,0.055409,0.073412,0.109151", \ - "0.043239,0.045500,0.048714,0.055017,0.066772,0.087493,0.122068"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008368,0.009271,0.010547,0.013086,0.018134,0.028190,0.048263", \ - "0.009784,0.010698,0.011985,0.014545,0.019623,0.029707,0.049802", \ - "0.014034,0.015244,0.016844,0.019738,0.024816,0.034866,0.054951", \ - "0.016714,0.018499,0.020879,0.025199,0.032659,0.044865,0.065039", \ - "0.016972,0.019348,0.022513,0.028241,0.038165,0.054521,0.080204", \ - "0.014477,0.017482,0.021432,0.028591,0.040997,0.061446,0.093768", \ - "0.009041,0.012626,0.017359,0.025942,0.040857,0.065447,0.104340"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019829,0.022126,0.025355,0.031744,0.044396,0.069520,0.119600", \ - "0.020540,0.022861,0.026130,0.032606,0.045395,0.070681,0.120901", \ - "0.025851,0.028044,0.031171,0.037461,0.050085,0.075318,0.125613", \ - "0.035661,0.038450,0.042170,0.049016,0.061254,0.085888,0.135695", \ - "0.046531,0.049998,0.054609,0.063189,0.078434,0.104169,0.152943", \ - "0.059222,0.063239,0.068617,0.078680,0.096794,0.127840,0.178800", \ - "0.073942,0.078528,0.084619,0.096047,0.116683,0.152499,0.211785"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.005408,0.006183,0.007285,0.009480,0.013856,0.022593,0.040054", \ - "0.005370,0.006157,0.007269,0.009473,0.013855,0.022594,0.040051", \ - "0.007632,0.008210,0.009010,0.010501,0.014088,0.022587,0.040055", \ - "0.012562,0.013334,0.014366,0.016276,0.019697,0.025713,0.040296", \ - "0.019106,0.020075,0.021365,0.023751,0.028002,0.035258,0.047394", \ - "0.027335,0.028500,0.030081,0.032965,0.038057,0.046720,0.061035", \ - "0.037189,0.038649,0.040532,0.043980,0.050007,0.060083,0.076683"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014676,0.016693,0.019564,0.025302,0.036800,0.059724,0.105601", \ - "0.014675,0.016688,0.019561,0.025306,0.036795,0.059729,0.105588", \ - "0.014956,0.016753,0.019531,0.025299,0.036774,0.059729,0.105590", \ - "0.020217,0.021831,0.023855,0.027954,0.037479,0.059718,0.105595", \ - "0.026379,0.028292,0.030953,0.035943,0.044773,0.062385,0.105583", \ - "0.033662,0.035787,0.038799,0.044589,0.055127,0.073200,0.109080", \ - "0.042515,0.044743,0.048006,0.054352,0.066198,0.087048,0.121772"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.023042,0.024476,0.026492,0.030450,0.038216,0.053433,0.083378", \ - "0.024135,0.025568,0.027588,0.031556,0.039328,0.054550,0.084502", \ - "0.028712,0.030151,0.032172,0.036135,0.043892,0.059098,0.089036", \ - "0.037736,0.039335,0.041546,0.045697,0.053303,0.068333,0.098093", \ - "0.045599,0.047666,0.050551,0.055955,0.065816,0.083066,0.112878", \ - "0.051376,0.053890,0.057374,0.063953,0.076032,0.097272,0.132984", \ - "0.055181,0.058129,0.062156,0.069821,0.083990,0.109017,0.151396"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.025558,0.027831,0.031035,0.037408,0.050074,0.075296,0.125628", \ - "0.027140,0.029447,0.032707,0.039161,0.051946,0.077290,0.127725", \ - "0.032189,0.034479,0.037729,0.044199,0.057054,0.082548,0.133167", \ - "0.040312,0.042891,0.046442,0.053196,0.065952,0.091378,0.142032", \ - "0.049600,0.052650,0.056832,0.064766,0.079413,0.105781,0.156214", \ - "0.060622,0.064196,0.069049,0.078155,0.094815,0.124555,0.176957", \ - "0.073489,0.077626,0.083186,0.093562,0.112307,0.145379,0.203056"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015545,0.016706,0.018348,0.021614,0.028097,0.040979,0.066615", \ - "0.015546,0.016709,0.018351,0.021616,0.028099,0.040979,0.066614", \ - "0.015380,0.016581,0.018322,0.021633,0.028105,0.040978,0.066611", \ - "0.018554,0.019521,0.020860,0.023445,0.028905,0.040962,0.066613", \ - "0.025451,0.026621,0.028164,0.031118,0.036536,0.046343,0.067670", \ - "0.033703,0.035133,0.037042,0.040633,0.047088,0.058252,0.077495", \ - "0.043221,0.044924,0.047225,0.051508,0.059145,0.072185,0.093839"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.028532,0.030706,0.033768,0.039820,0.051723,0.075062,0.121115", \ - "0.028533,0.030707,0.033767,0.039820,0.051718,0.075067,0.121115", \ - "0.028564,0.030720,0.033770,0.039820,0.051723,0.075064,0.121120", \ - "0.031747,0.033420,0.035905,0.041091,0.052007,0.075065,0.121114", \ - "0.039428,0.040902,0.043060,0.047505,0.056515,0.076700,0.121114", \ - "0.048600,0.049926,0.051979,0.056319,0.065410,0.083691,0.123521", \ - "0.059508,0.060696,0.062577,0.066729,0.075729,0.094488,0.131723"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018702,0.020121,0.022109,0.026022,0.033705,0.048785,0.078554", \ - "0.019784,0.021207,0.023203,0.027124,0.034812,0.049902,0.079678", \ - "0.024392,0.025797,0.027789,0.031709,0.039381,0.054452,0.084212", \ - "0.032431,0.034168,0.036533,0.040957,0.048930,0.063731,0.093290", \ - "0.038530,0.040765,0.043855,0.049610,0.060010,0.077971,0.108140", \ - "0.042657,0.045372,0.049106,0.056109,0.068806,0.090885,0.127597", \ - "0.044883,0.048047,0.052385,0.060550,0.075436,0.101424,0.144926"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.024827,0.027097,0.030296,0.036651,0.049283,0.074435,0.124621", \ - "0.026400,0.028709,0.031960,0.038403,0.051152,0.076428,0.126718", \ - "0.031452,0.033743,0.036984,0.043435,0.056257,0.081680,0.132155", \ - "0.039433,0.042046,0.045618,0.052420,0.065157,0.090510,0.141020", \ - "0.048495,0.051608,0.055827,0.063810,0.078510,0.104914,0.155203", \ - "0.059255,0.062923,0.067825,0.077012,0.093752,0.123549,0.175946", \ - "0.071798,0.076046,0.081703,0.092189,0.111051,0.144213,0.201918"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013199,0.014344,0.015958,0.019177,0.025598,0.038393,0.063923", \ - "0.013211,0.014347,0.015958,0.019182,0.025598,0.038393,0.063919", \ - "0.013049,0.014168,0.015783,0.019112,0.025608,0.038395,0.063922", \ - "0.017273,0.018231,0.019557,0.022116,0.027142,0.038538,0.063922", \ - "0.024086,0.025283,0.026871,0.029868,0.035310,0.045067,0.065498", \ - "0.032166,0.033639,0.035584,0.039250,0.045786,0.057012,0.076214", \ - "0.041560,0.043329,0.045668,0.050003,0.057725,0.070842,0.092575"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019890,0.022194,0.025410,0.031686,0.043804,0.067269,0.113340", \ - "0.019892,0.022194,0.025410,0.031685,0.043809,0.067275,0.113339", \ - "0.019927,0.022210,0.025415,0.031684,0.043809,0.067274,0.113338", \ - "0.023543,0.025181,0.027691,0.033027,0.044124,0.067275,0.113339", \ - "0.030277,0.031973,0.034407,0.039302,0.048773,0.068959,0.113340", \ - "0.038507,0.040102,0.042420,0.047249,0.057012,0.076068,0.115785", \ - "0.048288,0.049774,0.051987,0.056713,0.066483,0.086118,0.124064"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015552,0.016759,0.018447,0.021764,0.028257,0.040979,0.066055", \ - "0.016734,0.017948,0.019642,0.022968,0.029467,0.042196,0.067278", \ - "0.021782,0.022903,0.024538,0.027829,0.034314,0.047021,0.072082", \ - "0.029085,0.030686,0.032852,0.036892,0.044130,0.056780,0.081646", \ - "0.034186,0.036265,0.039111,0.044410,0.053954,0.070343,0.097255", \ - "0.037123,0.039656,0.043134,0.049622,0.061368,0.081658,0.115170", \ - "0.037901,0.040864,0.044935,0.052559,0.066401,0.090438,0.130372"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.024757,0.027028,0.030226,0.036582,0.049215,0.074367,0.124555", \ - "0.026284,0.028594,0.031841,0.038279,0.051030,0.076311,0.126605", \ - "0.031369,0.033650,0.036880,0.043315,0.056117,0.081534,0.132012", \ - "0.039386,0.041991,0.045558,0.052348,0.065060,0.090379,0.140870", \ - "0.048581,0.051669,0.055875,0.063835,0.078497,0.104861,0.155096", \ - "0.059688,0.063314,0.068179,0.077308,0.093965,0.123670,0.175974", \ - "0.072830,0.077011,0.082587,0.092959,0.111674,0.144663,0.202195"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011125,0.012076,0.013436,0.016151,0.021560,0.032355,0.053897", \ - "0.011124,0.012082,0.013441,0.016153,0.021561,0.032356,0.053898", \ - "0.011119,0.012011,0.013298,0.015967,0.021533,0.032356,0.053898", \ - "0.015909,0.016744,0.017877,0.020038,0.024089,0.033002,0.053898", \ - "0.022580,0.023645,0.025077,0.027763,0.032582,0.040953,0.057015", \ - "0.030526,0.031850,0.033606,0.036904,0.042794,0.052783,0.069425", \ - "0.039806,0.041421,0.043545,0.047493,0.054467,0.066265,0.085576"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019890,0.022194,0.025410,0.031685,0.043809,0.067276,0.113339", \ - "0.019891,0.022194,0.025410,0.031686,0.043810,0.067277,0.113340", \ - "0.019930,0.022210,0.025415,0.031684,0.043811,0.067276,0.113342", \ - "0.023561,0.025198,0.027706,0.033044,0.044133,0.067274,0.113340", \ - "0.030239,0.031944,0.034389,0.039291,0.048777,0.068966,0.113336", \ - "0.038300,0.039901,0.042259,0.047120,0.056928,0.076031,0.115782", \ - "0.047824,0.049312,0.051593,0.056360,0.066223,0.085959,0.123994"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018702,0.020121,0.022109,0.026022,0.033705,0.048785,0.078554", \ - "0.019784,0.021207,0.023203,0.027124,0.034812,0.049902,0.079678", \ - "0.024392,0.025797,0.027789,0.031709,0.039381,0.054452,0.084212", \ - "0.032431,0.034168,0.036533,0.040957,0.048930,0.063731,0.093290", \ - "0.038530,0.040765,0.043855,0.049610,0.060010,0.077971,0.108140", \ - "0.042657,0.045372,0.049106,0.056109,0.068806,0.090885,0.127597", \ - "0.044883,0.048047,0.052385,0.060550,0.075436,0.101424,0.144926"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.024827,0.027097,0.030296,0.036651,0.049283,0.074435,0.124621", \ - "0.026400,0.028709,0.031960,0.038403,0.051152,0.076428,0.126718", \ - "0.031452,0.033743,0.036984,0.043435,0.056257,0.081680,0.132155", \ - "0.039433,0.042046,0.045618,0.052420,0.065157,0.090510,0.141020", \ - "0.048495,0.051608,0.055827,0.063810,0.078510,0.104914,0.155203", \ - "0.059255,0.062923,0.067825,0.077012,0.093752,0.123549,0.175946", \ - "0.071798,0.076046,0.081703,0.092189,0.111051,0.144213,0.201918"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013199,0.014344,0.015958,0.019177,0.025598,0.038393,0.063923", \ - "0.013211,0.014347,0.015958,0.019182,0.025598,0.038393,0.063919", \ - "0.013049,0.014168,0.015783,0.019112,0.025608,0.038395,0.063922", \ - "0.017273,0.018231,0.019557,0.022116,0.027142,0.038538,0.063922", \ - "0.024086,0.025283,0.026871,0.029868,0.035310,0.045067,0.065498", \ - "0.032166,0.033639,0.035584,0.039250,0.045786,0.057012,0.076214", \ - "0.041560,0.043329,0.045668,0.050003,0.057725,0.070842,0.092575"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019890,0.022194,0.025410,0.031686,0.043804,0.067269,0.113340", \ - "0.019892,0.022194,0.025410,0.031685,0.043809,0.067275,0.113339", \ - "0.019927,0.022210,0.025415,0.031684,0.043809,0.067274,0.113338", \ - "0.023543,0.025181,0.027691,0.033027,0.044124,0.067275,0.113339", \ - "0.030277,0.031973,0.034407,0.039302,0.048773,0.068959,0.113340", \ - "0.038507,0.040102,0.042420,0.047249,0.057012,0.076068,0.115785", \ - "0.048288,0.049774,0.051987,0.056713,0.066483,0.086118,0.124064"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014583,0.015972,0.017921,0.021759,0.029316,0.044230,0.073812", \ - "0.015647,0.017045,0.019002,0.022852,0.030421,0.045347,0.074935", \ - "0.020459,0.021783,0.023638,0.027443,0.034996,0.049899,0.079472", \ - "0.026670,0.028579,0.031159,0.035936,0.044405,0.059223,0.088568", \ - "0.030890,0.033361,0.036705,0.042900,0.053939,0.072727,0.103480", \ - "0.033266,0.036245,0.040306,0.047826,0.061276,0.084336,0.122142", \ - "0.033761,0.037239,0.041986,0.050776,0.066545,0.093635,0.138374"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.024115,0.026378,0.029567,0.035908,0.048507,0.073582,0.123630", \ - "0.025673,0.027978,0.031223,0.037653,0.050372,0.075579,0.125723", \ - "0.030732,0.033014,0.036247,0.042683,0.055470,0.080829,0.131167", \ - "0.038571,0.041198,0.044797,0.051645,0.064372,0.089658,0.140023", \ - "0.047419,0.050560,0.054824,0.062861,0.077613,0.104059,0.154213", \ - "0.057932,0.061646,0.066606,0.075876,0.092696,0.122552,0.174949", \ - "0.070171,0.074500,0.080235,0.090826,0.109812,0.143064,0.200797"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010813,0.011935,0.013521,0.016707,0.023077,0.035813,0.061271", \ - "0.010812,0.011935,0.013528,0.016712,0.023079,0.035813,0.061264", \ - "0.011123,0.012103,0.013544,0.016591,0.023072,0.035814,0.061267", \ - "0.016093,0.017049,0.018359,0.020877,0.025655,0.036310,0.061262", \ - "0.022821,0.024025,0.025651,0.028692,0.034149,0.043856,0.063468", \ - "0.030807,0.032298,0.034278,0.037975,0.044561,0.055838,0.075004", \ - "0.040133,0.041943,0.044296,0.048679,0.056431,0.069605,0.091376"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014671,0.016689,0.019558,0.025299,0.036793,0.059740,0.105599", \ - "0.014672,0.016691,0.019560,0.025308,0.036777,0.059723,0.105586", \ - "0.014712,0.016710,0.019568,0.025309,0.036775,0.059719,0.105584", \ - "0.017934,0.019634,0.021919,0.026709,0.037130,0.059723,0.105579", \ - "0.022875,0.024634,0.027145,0.032144,0.041819,0.061450,0.105588", \ - "0.029224,0.031033,0.033605,0.038770,0.048920,0.068488,0.108065", \ - "0.036867,0.038736,0.041433,0.046804,0.057309,0.077712,0.116411"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012171,0.013352,0.015005,0.018255,0.024636,0.037205,0.062108", \ - "0.013347,0.014533,0.016193,0.019452,0.025843,0.038421,0.063331", \ - "0.018427,0.019633,0.021255,0.024358,0.030697,0.043249,0.068135", \ - "0.023861,0.025617,0.027988,0.032356,0.040071,0.053078,0.077719", \ - "0.027172,0.029466,0.032566,0.038283,0.048430,0.065602,0.093336", \ - "0.028414,0.031210,0.035000,0.041994,0.054461,0.075682,0.110224", \ - "0.027521,0.030802,0.035252,0.043488,0.058183,0.083288,0.124397"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.024045,0.026308,0.029497,0.035839,0.048436,0.073515,0.123564", \ - "0.025561,0.027861,0.031103,0.037531,0.050246,0.075455,0.125612", \ - "0.030649,0.032923,0.036145,0.042564,0.055335,0.080679,0.131019", \ - "0.038525,0.041146,0.044737,0.051573,0.064275,0.089526,0.139872", \ - "0.047502,0.050630,0.054872,0.062887,0.077603,0.104006,0.154104", \ - "0.058384,0.062054,0.066973,0.076175,0.092912,0.122676,0.174978", \ - "0.071220,0.075486,0.081138,0.091613,0.110445,0.143517,0.201076"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009083,0.010032,0.011380,0.014066,0.019437,0.030184,0.051665", \ - "0.009036,0.010003,0.011362,0.014061,0.019439,0.030182,0.051665", \ - "0.009800,0.010537,0.011659,0.014068,0.019320,0.030186,0.051664", \ - "0.014956,0.015798,0.016941,0.019095,0.023093,0.031381,0.051647", \ - "0.021542,0.022624,0.024081,0.026790,0.031641,0.040030,0.055592", \ - "0.029426,0.030769,0.032552,0.035891,0.041802,0.051830,0.068493", \ - "0.038683,0.040316,0.042463,0.046450,0.053447,0.065253,0.084595"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014672,0.016688,0.019558,0.025299,0.036784,0.059731,0.105602", \ - "0.014670,0.016688,0.019562,0.025308,0.036779,0.059731,0.105584", \ - "0.014714,0.016712,0.019568,0.025303,0.036779,0.059725,0.105583", \ - "0.017947,0.019651,0.021938,0.026726,0.037131,0.059724,0.105580", \ - "0.022839,0.024608,0.027128,0.032132,0.041822,0.061454,0.105585", \ - "0.029049,0.030860,0.033458,0.038650,0.048855,0.068453,0.108062", \ - "0.036493,0.038381,0.041099,0.046486,0.057064,0.077564,0.116335"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015552,0.016759,0.018447,0.021764,0.028257,0.040979,0.066055", \ - "0.016734,0.017948,0.019642,0.022968,0.029467,0.042196,0.067278", \ - "0.021782,0.022903,0.024538,0.027829,0.034314,0.047021,0.072082", \ - "0.029085,0.030686,0.032852,0.036892,0.044130,0.056780,0.081646", \ - "0.034186,0.036265,0.039111,0.044410,0.053954,0.070343,0.097255", \ - "0.037123,0.039656,0.043134,0.049622,0.061368,0.081658,0.115170", \ - "0.037901,0.040864,0.044935,0.052559,0.066401,0.090438,0.130372"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.024757,0.027028,0.030226,0.036582,0.049215,0.074367,0.124555", \ - "0.026284,0.028594,0.031841,0.038279,0.051030,0.076311,0.126605", \ - "0.031369,0.033650,0.036880,0.043315,0.056117,0.081534,0.132012", \ - "0.039386,0.041991,0.045558,0.052348,0.065060,0.090379,0.140870", \ - "0.048581,0.051669,0.055875,0.063835,0.078497,0.104861,0.155096", \ - "0.059688,0.063314,0.068179,0.077308,0.093965,0.123670,0.175974", \ - "0.072830,0.077011,0.082587,0.092959,0.111674,0.144663,0.202195"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011125,0.012076,0.013436,0.016151,0.021560,0.032355,0.053897", \ - "0.011124,0.012082,0.013441,0.016153,0.021561,0.032356,0.053898", \ - "0.011119,0.012011,0.013298,0.015967,0.021533,0.032356,0.053898", \ - "0.015909,0.016744,0.017877,0.020038,0.024089,0.033002,0.053898", \ - "0.022580,0.023645,0.025077,0.027763,0.032582,0.040953,0.057015", \ - "0.030526,0.031850,0.033606,0.036904,0.042794,0.052783,0.069425", \ - "0.039806,0.041421,0.043545,0.047493,0.054467,0.066265,0.085576"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019890,0.022194,0.025410,0.031685,0.043809,0.067276,0.113339", \ - "0.019891,0.022194,0.025410,0.031686,0.043810,0.067277,0.113340", \ - "0.019930,0.022210,0.025415,0.031684,0.043811,0.067276,0.113342", \ - "0.023561,0.025198,0.027706,0.033044,0.044133,0.067274,0.113340", \ - "0.030239,0.031944,0.034389,0.039291,0.048777,0.068966,0.113336", \ - "0.038300,0.039901,0.042259,0.047120,0.056928,0.076031,0.115782", \ - "0.047824,0.049312,0.051593,0.056360,0.066223,0.085959,0.123994"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012171,0.013352,0.015005,0.018255,0.024636,0.037205,0.062108", \ - "0.013347,0.014533,0.016193,0.019452,0.025843,0.038421,0.063331", \ - "0.018427,0.019633,0.021255,0.024358,0.030697,0.043249,0.068135", \ - "0.023861,0.025617,0.027988,0.032356,0.040071,0.053078,0.077719", \ - "0.027172,0.029466,0.032566,0.038283,0.048430,0.065602,0.093336", \ - "0.028414,0.031210,0.035000,0.041994,0.054461,0.075682,0.110224", \ - "0.027521,0.030802,0.035252,0.043488,0.058183,0.083288,0.124397"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.024045,0.026308,0.029497,0.035839,0.048436,0.073515,0.123564", \ - "0.025561,0.027861,0.031103,0.037531,0.050246,0.075455,0.125612", \ - "0.030649,0.032923,0.036145,0.042564,0.055335,0.080679,0.131019", \ - "0.038525,0.041146,0.044737,0.051573,0.064275,0.089526,0.139872", \ - "0.047502,0.050630,0.054872,0.062887,0.077603,0.104006,0.154104", \ - "0.058384,0.062054,0.066973,0.076175,0.092912,0.122676,0.174978", \ - "0.071220,0.075486,0.081138,0.091613,0.110445,0.143517,0.201076"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009083,0.010032,0.011380,0.014066,0.019437,0.030184,0.051665", \ - "0.009036,0.010003,0.011362,0.014061,0.019439,0.030182,0.051665", \ - "0.009800,0.010537,0.011659,0.014068,0.019320,0.030186,0.051664", \ - "0.014956,0.015798,0.016941,0.019095,0.023093,0.031381,0.051647", \ - "0.021542,0.022624,0.024081,0.026790,0.031641,0.040030,0.055592", \ - "0.029426,0.030769,0.032552,0.035891,0.041802,0.051830,0.068493", \ - "0.038683,0.040316,0.042463,0.046450,0.053447,0.065253,0.084595"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014672,0.016688,0.019558,0.025299,0.036784,0.059731,0.105602", \ - "0.014670,0.016688,0.019562,0.025308,0.036779,0.059731,0.105584", \ - "0.014714,0.016712,0.019568,0.025303,0.036779,0.059725,0.105583", \ - "0.017947,0.019651,0.021938,0.026726,0.037131,0.059724,0.105580", \ - "0.022839,0.024608,0.027128,0.032132,0.041822,0.061454,0.105585", \ - "0.029049,0.030860,0.033458,0.038650,0.048855,0.068453,0.108062", \ - "0.036493,0.038381,0.041099,0.046486,0.057064,0.077564,0.116335"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010498,0.011466,0.012820,0.015477,0.020684,0.030923,0.051189", \ - "0.011767,0.012738,0.014097,0.016760,0.021974,0.032220,0.052489", \ - "0.016727,0.017823,0.019288,0.021970,0.027108,0.037317,0.057556", \ - "0.021189,0.022797,0.024960,0.028937,0.035913,0.047556,0.067661", \ - "0.023422,0.025537,0.028387,0.033617,0.042870,0.058408,0.083262", \ - "0.023358,0.025950,0.029465,0.035921,0.047367,0.066698,0.097884", \ - "0.020874,0.023938,0.028093,0.035743,0.049330,0.072364,0.109694"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.024034,0.026298,0.029486,0.035827,0.048426,0.073507,0.123558", \ - "0.025476,0.027775,0.031015,0.037442,0.050162,0.075377,0.125533", \ - "0.030561,0.032827,0.036035,0.042435,0.055184,0.080515,0.130859", \ - "0.038471,0.041090,0.044674,0.051497,0.064174,0.089383,0.139699", \ - "0.047583,0.050700,0.054923,0.062913,0.077593,0.103953,0.153992", \ - "0.058874,0.062506,0.067378,0.076512,0.093155,0.122820,0.175020", \ - "0.072376,0.076564,0.082136,0.092488,0.111150,0.144036,0.201405"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007273,0.008034,0.009122,0.011300,0.015662,0.024405,0.041909", \ - "0.007246,0.008021,0.009116,0.011300,0.015663,0.024404,0.041910", \ - "0.008767,0.009310,0.010090,0.011820,0.015723,0.024414,0.041913", \ - "0.013800,0.014543,0.015540,0.017388,0.020732,0.026884,0.042043", \ - "0.020179,0.021135,0.022425,0.024818,0.029072,0.036276,0.048455", \ - "0.027896,0.029103,0.030697,0.033658,0.038881,0.047681,0.062023", \ - "0.037026,0.038502,0.040443,0.044022,0.050255,0.060673,0.077581"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014672,0.016688,0.019558,0.025301,0.036781,0.059721,0.105603", \ - "0.014669,0.016690,0.019563,0.025309,0.036777,0.059724,0.105584", \ - "0.014715,0.016714,0.019568,0.025305,0.036781,0.059727,0.105589", \ - "0.017965,0.019665,0.021955,0.026743,0.037145,0.059726,0.105582", \ - "0.022807,0.024578,0.027114,0.032119,0.041825,0.061467,0.105586", \ - "0.028862,0.030678,0.033299,0.038522,0.048767,0.068417,0.108058", \ - "0.036091,0.038001,0.040713,0.046144,0.056791,0.077390,0.116250"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.024279,0.025707,0.027706,0.031636,0.039335,0.054432,0.084217", \ - "0.025835,0.027270,0.029282,0.033232,0.040957,0.056088,0.085900", \ - "0.030145,0.031593,0.033614,0.037589,0.045366,0.060562,0.090439", \ - "0.037094,0.038715,0.040948,0.045239,0.053294,0.068550,0.098536", \ - "0.043801,0.045824,0.048592,0.053792,0.063304,0.080346,0.111111", \ - "0.048523,0.051050,0.054516,0.060957,0.072644,0.092928,0.127410", \ - "0.050835,0.053899,0.058107,0.065886,0.079913,0.104146,0.144306"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.030933,0.033236,0.036477,0.042923,0.055715,0.081090,0.131612", \ - "0.031816,0.034144,0.037423,0.043925,0.056786,0.082239,0.132827", \ - "0.036583,0.038886,0.042137,0.048611,0.061468,0.086958,0.137625", \ - "0.047972,0.050325,0.053455,0.059678,0.072179,0.097312,0.147661", \ - "0.062053,0.064999,0.069017,0.076585,0.090390,0.115098,0.164604", \ - "0.077406,0.080953,0.085678,0.094664,0.111199,0.140214,0.189950", \ - "0.094571,0.098563,0.104008,0.114279,0.133252,0.166893,0.223747"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013189,0.014331,0.015948,0.019173,0.025598,0.038392,0.063920", \ - "0.013188,0.014328,0.015948,0.019177,0.025597,0.038391,0.063918", \ - "0.013138,0.014291,0.015921,0.019162,0.025595,0.038393,0.063918", \ - "0.015153,0.016184,0.017639,0.020467,0.026246,0.038457,0.063922", \ - "0.019989,0.021020,0.022443,0.025225,0.030683,0.041568,0.064666", \ - "0.026846,0.028020,0.029607,0.032635,0.038272,0.048873,0.069991", \ - "0.035217,0.036561,0.038364,0.041812,0.048096,0.059270,0.080035"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.034457,0.036585,0.039601,0.045594,0.057450,0.080819,0.127038", \ - "0.034456,0.036586,0.039600,0.045593,0.057451,0.080815,0.127035", \ - "0.034454,0.036582,0.039599,0.045591,0.057449,0.080813,0.127036", \ - "0.037040,0.038727,0.041191,0.046335,0.057440,0.080815,0.127033", \ - "0.046417,0.047872,0.049835,0.053781,0.062531,0.082125,0.127030", \ - "0.057704,0.059348,0.061667,0.066252,0.074941,0.091338,0.129319", \ - "0.069995,0.071846,0.074432,0.079587,0.089468,0.107519,0.140780"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020126,0.021524,0.023483,0.027339,0.034917,0.049851,0.079448", \ - "0.021633,0.023047,0.025024,0.028910,0.036527,0.051501,0.081132", \ - "0.025882,0.027309,0.029300,0.033222,0.040901,0.055959,0.085665", \ - "0.031907,0.033626,0.035969,0.040410,0.048661,0.063926,0.093753", \ - "0.036873,0.039107,0.042123,0.047736,0.057782,0.075294,0.106316", \ - "0.039630,0.042435,0.046244,0.053251,0.065726,0.086969,0.122168", \ - "0.039880,0.043323,0.047956,0.056446,0.071492,0.096949,0.138282"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.030144,0.032441,0.035682,0.042117,0.054882,0.080205,0.130579", \ - "0.031018,0.033346,0.036623,0.043111,0.055954,0.081361,0.131790", \ - "0.035794,0.038091,0.041340,0.047799,0.060630,0.086071,0.136584", \ - "0.047123,0.049500,0.052681,0.058887,0.071357,0.096419,0.146631", \ - "0.060905,0.063890,0.067948,0.075598,0.089497,0.114215,0.163569", \ - "0.075951,0.079535,0.084312,0.093391,0.110042,0.139185,0.188922", \ - "0.092769,0.096807,0.102333,0.112699,0.131809,0.165595,0.222588"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010784,0.011909,0.013508,0.016705,0.023076,0.035810,0.061258", \ - "0.010784,0.011908,0.013510,0.016700,0.023078,0.035811,0.061260", \ - "0.010839,0.011909,0.013492,0.016687,0.023075,0.035808,0.061259", \ - "0.013516,0.014492,0.015876,0.018673,0.024170,0.036029,0.061255", \ - "0.018757,0.019773,0.021178,0.023884,0.029152,0.039745,0.062271", \ - "0.025760,0.026929,0.028507,0.031523,0.037087,0.047472,0.068142", \ - "0.034240,0.035559,0.037353,0.040793,0.047036,0.058101,0.078508"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.025741,0.027990,0.031147,0.037348,0.049428,0.072943,0.119204", \ - "0.025741,0.027990,0.031147,0.037346,0.049429,0.072948,0.119200", \ - "0.025735,0.027986,0.031144,0.037346,0.049424,0.072939,0.119193", \ - "0.028467,0.030246,0.032835,0.038184,0.049430,0.072933,0.119202", \ - "0.037246,0.038997,0.041392,0.045859,0.054650,0.074302,0.119191", \ - "0.046947,0.048928,0.051672,0.056932,0.066561,0.083614,0.121537", \ - "0.057499,0.059726,0.062795,0.068727,0.079718,0.099099,0.133074"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016983,0.018171,0.019834,0.023105,0.029524,0.042159,0.067179", \ - "0.018561,0.019761,0.021440,0.024736,0.031187,0.043855,0.068902", \ - "0.022856,0.024065,0.025751,0.029065,0.035563,0.048300,0.073411", \ - "0.028241,0.029772,0.031852,0.035767,0.042983,0.056175,0.081401", \ - "0.032262,0.034278,0.037004,0.042052,0.051047,0.066564,0.093650", \ - "0.033852,0.036432,0.039905,0.046288,0.057576,0.076645,0.107916", \ - "0.032710,0.035885,0.040139,0.047948,0.061687,0.084760,0.121873"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.030038,0.032334,0.035575,0.042007,0.054773,0.080101,0.130464", \ - "0.030796,0.033121,0.036399,0.042887,0.055725,0.081130,0.131559", \ - "0.035639,0.037927,0.041165,0.047604,0.060412,0.085829,0.136327", \ - "0.047154,0.049524,0.052691,0.058871,0.071299,0.096302,0.146445", \ - "0.061205,0.064181,0.068209,0.075822,0.089661,0.114302,0.163565", \ - "0.076669,0.080221,0.084962,0.093989,0.110561,0.139574,0.189175", \ - "0.094072,0.098069,0.103545,0.113828,0.132818,0.166438,0.223202"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008968,0.009921,0.011272,0.013972,0.019358,0.030131,0.051670", \ - "0.008968,0.009922,0.011270,0.013968,0.019359,0.030131,0.051676", \ - "0.009182,0.010067,0.011336,0.013951,0.019348,0.030129,0.051670", \ - "0.011944,0.012769,0.013938,0.016269,0.020938,0.030645,0.051662", \ - "0.016955,0.017851,0.019073,0.021412,0.025909,0.034848,0.053436", \ - "0.023580,0.024613,0.026010,0.028665,0.033517,0.042433,0.059932", \ - "0.031567,0.032763,0.034380,0.037443,0.042965,0.052601,0.070097"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.025771,0.028017,0.031169,0.037364,0.049441,0.072959,0.119207", \ - "0.025769,0.028017,0.031169,0.037365,0.049440,0.072955,0.119203", \ - "0.025765,0.028013,0.031167,0.037363,0.049436,0.072943,0.119200", \ - "0.028484,0.030268,0.032858,0.038192,0.049443,0.072939,0.119201", \ - "0.037127,0.038872,0.041283,0.045759,0.054594,0.074286,0.119197", \ - "0.046566,0.048553,0.051338,0.056637,0.066318,0.083452,0.121489", \ - "0.056793,0.059033,0.062139,0.068123,0.079195,0.098692,0.132836"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020126,0.021524,0.023483,0.027339,0.034917,0.049851,0.079448", \ - "0.021633,0.023047,0.025024,0.028910,0.036527,0.051501,0.081132", \ - "0.025882,0.027309,0.029300,0.033222,0.040901,0.055959,0.085665", \ - "0.031907,0.033626,0.035969,0.040410,0.048661,0.063926,0.093753", \ - "0.036873,0.039107,0.042123,0.047736,0.057782,0.075294,0.106316", \ - "0.039630,0.042435,0.046244,0.053251,0.065726,0.086969,0.122168", \ - "0.039880,0.043323,0.047956,0.056446,0.071492,0.096949,0.138282"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.030144,0.032441,0.035682,0.042117,0.054882,0.080205,0.130579", \ - "0.031018,0.033346,0.036623,0.043111,0.055954,0.081361,0.131790", \ - "0.035794,0.038091,0.041340,0.047799,0.060630,0.086071,0.136584", \ - "0.047123,0.049500,0.052681,0.058887,0.071357,0.096419,0.146631", \ - "0.060905,0.063890,0.067948,0.075598,0.089497,0.114215,0.163569", \ - "0.075951,0.079535,0.084312,0.093391,0.110042,0.139185,0.188922", \ - "0.092769,0.096807,0.102333,0.112699,0.131809,0.165595,0.222588"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010784,0.011909,0.013508,0.016705,0.023076,0.035810,0.061258", \ - "0.010784,0.011908,0.013510,0.016700,0.023078,0.035811,0.061260", \ - "0.010839,0.011909,0.013492,0.016687,0.023075,0.035808,0.061259", \ - "0.013516,0.014492,0.015876,0.018673,0.024170,0.036029,0.061255", \ - "0.018757,0.019773,0.021178,0.023884,0.029152,0.039745,0.062271", \ - "0.025760,0.026929,0.028507,0.031523,0.037087,0.047472,0.068142", \ - "0.034240,0.035559,0.037353,0.040793,0.047036,0.058101,0.078508"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.025741,0.027990,0.031147,0.037348,0.049428,0.072943,0.119204", \ - "0.025741,0.027990,0.031147,0.037346,0.049429,0.072948,0.119200", \ - "0.025735,0.027986,0.031144,0.037346,0.049424,0.072939,0.119193", \ - "0.028467,0.030246,0.032835,0.038184,0.049430,0.072933,0.119202", \ - "0.037246,0.038997,0.041392,0.045859,0.054650,0.074302,0.119191", \ - "0.046947,0.048928,0.051672,0.056932,0.066561,0.083614,0.121537", \ - "0.057499,0.059726,0.062795,0.068727,0.079718,0.099099,0.133074"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016385,0.017705,0.019569,0.023277,0.030656,0.045362,0.074726", \ - "0.017814,0.019163,0.021060,0.024815,0.032249,0.047005,0.076405", \ - "0.021833,0.023249,0.025207,0.029040,0.036571,0.051437,0.080928", \ - "0.026341,0.028229,0.030763,0.035454,0.043960,0.059364,0.089001", \ - "0.029130,0.031657,0.035036,0.041207,0.051968,0.070125,0.101532", \ - "0.029553,0.032784,0.037081,0.044856,0.058374,0.080699,0.116824", \ - "0.027479,0.031422,0.036672,0.046127,0.062471,0.089393,0.132069"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.029360,0.031655,0.034891,0.041309,0.054037,0.079295,0.129569", \ - "0.030227,0.032552,0.035825,0.042303,0.055104,0.080447,0.130792", \ - "0.035010,0.037306,0.040548,0.046993,0.059783,0.085161,0.135575", \ - "0.046269,0.048678,0.051920,0.058103,0.070537,0.095514,0.145623", \ - "0.059767,0.062785,0.066878,0.074590,0.088579,0.113333,0.162560", \ - "0.074512,0.078131,0.082953,0.092102,0.108853,0.138143,0.187902", \ - "0.090993,0.095077,0.100662,0.111115,0.130349,0.164280,0.221450"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008202,0.009325,0.010925,0.014117,0.020489,0.033202,0.058581", \ - "0.008203,0.009325,0.010929,0.014122,0.020492,0.033201,0.058584", \ - "0.008705,0.009703,0.011154,0.014180,0.020496,0.033199,0.058579", \ - "0.012012,0.012937,0.014250,0.016897,0.022240,0.033662,0.058578", \ - "0.017620,0.018625,0.020007,0.022654,0.027740,0.037989,0.059943", \ - "0.024892,0.026023,0.027577,0.030541,0.035979,0.046123,0.066362", \ - "0.033606,0.034883,0.036620,0.039978,0.046115,0.056989,0.077046"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019695,0.021740,0.024656,0.030474,0.042088,0.065229,0.111411", \ - "0.019689,0.021741,0.024657,0.030471,0.042080,0.065244,0.111433", \ - "0.019687,0.021742,0.024656,0.030469,0.042089,0.065230,0.111426", \ - "0.022551,0.024119,0.026447,0.031368,0.042117,0.065226,0.111441", \ - "0.029419,0.031314,0.033893,0.038729,0.047434,0.066644,0.111402", \ - "0.036780,0.039039,0.042108,0.047912,0.058291,0.076062,0.113756", \ - "0.044864,0.047481,0.051034,0.057747,0.069827,0.090531,0.125407"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013947,0.015060,0.016632,0.019761,0.025990,0.038408,0.063205", \ - "0.015459,0.016597,0.018198,0.021365,0.027639,0.040099,0.064927", \ - "0.019394,0.020656,0.022369,0.025623,0.031980,0.044531,0.069437", \ - "0.023343,0.025037,0.027305,0.031479,0.038957,0.052367,0.077424", \ - "0.025326,0.027623,0.030689,0.036265,0.045934,0.062081,0.089545", \ - "0.024708,0.027680,0.031625,0.038740,0.051014,0.071127,0.103280", \ - "0.021310,0.024981,0.029829,0.038559,0.053548,0.078027,0.116418"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.029255,0.031551,0.034787,0.041204,0.053930,0.079196,0.129461", \ - "0.030008,0.032331,0.035605,0.042080,0.054882,0.080218,0.130571", \ - "0.034858,0.037146,0.040376,0.046803,0.059571,0.084927,0.135333", \ - "0.046308,0.048707,0.051934,0.058093,0.070479,0.095401,0.145439", \ - "0.060069,0.063079,0.067149,0.074825,0.088753,0.113427,0.162567", \ - "0.075240,0.078829,0.083618,0.092715,0.109376,0.138538,0.188164", \ - "0.092321,0.096366,0.101894,0.112265,0.131367,0.165132,0.222073"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006786,0.007739,0.009084,0.011783,0.017164,0.027916,0.049395", \ - "0.006788,0.007735,0.009084,0.011779,0.017167,0.027915,0.049389", \ - "0.007503,0.008310,0.009495,0.011961,0.017177,0.027917,0.049397", \ - "0.010750,0.011545,0.012651,0.014867,0.019379,0.028739,0.049397", \ - "0.016054,0.016945,0.018147,0.020446,0.024809,0.033436,0.051588", \ - "0.022922,0.023931,0.025307,0.027914,0.032680,0.041384,0.058502", \ - "0.031176,0.032310,0.033876,0.036856,0.042280,0.051769,0.068956"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019695,0.021741,0.024657,0.030472,0.042089,0.065242,0.111407", \ - "0.019692,0.021742,0.024654,0.030473,0.042090,0.065232,0.111431", \ - "0.019685,0.021743,0.024653,0.030473,0.042078,0.065230,0.111436", \ - "0.022537,0.024111,0.026445,0.031374,0.042118,0.065221,0.111407", \ - "0.029292,0.031185,0.033772,0.038633,0.047366,0.066627,0.111402", \ - "0.036440,0.038690,0.041801,0.047626,0.058050,0.075890,0.113710", \ - "0.044247,0.046867,0.050447,0.057195,0.069332,0.090135,0.125160"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017673,0.018867,0.020541,0.023832,0.030294,0.043014,0.068201", \ - "0.019192,0.020400,0.022089,0.025406,0.031900,0.044654,0.069869", \ - "0.024122,0.025334,0.027034,0.030379,0.036928,0.049757,0.075041", \ - "0.030948,0.032568,0.034763,0.038848,0.046229,0.059380,0.084774", \ - "0.035910,0.038076,0.041006,0.046445,0.056119,0.072556,0.099943", \ - "0.038479,0.041224,0.044937,0.051780,0.063963,0.084595,0.117939", \ - "0.038428,0.041803,0.046336,0.054656,0.069397,0.094331,0.134567"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.032463,0.034745,0.037966,0.044371,0.057102,0.082406,0.132717", \ - "0.033358,0.035670,0.038928,0.045396,0.058209,0.083603,0.134011", \ - "0.038111,0.040393,0.043623,0.050055,0.062849,0.088287,0.138778", \ - "0.049558,0.051840,0.054926,0.061125,0.073577,0.098623,0.148802", \ - "0.064014,0.066897,0.070831,0.078282,0.091896,0.116445,0.165779", \ - "0.079664,0.083140,0.087795,0.096667,0.113015,0.141773,0.191220", \ - "0.097088,0.100995,0.106383,0.116541,0.135327,0.168713,0.225203"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009825,0.010766,0.012107,0.014783,0.020135,0.030828,0.052185", \ - "0.009824,0.010765,0.012105,0.014783,0.020135,0.030828,0.052189", \ - "0.009915,0.010800,0.012071,0.014745,0.020120,0.030826,0.052181", \ - "0.013581,0.014364,0.015457,0.017624,0.021855,0.031216,0.052181", \ - "0.019460,0.020365,0.021611,0.023988,0.028442,0.036819,0.054035", \ - "0.026861,0.027908,0.029344,0.032114,0.037198,0.046289,0.062845", \ - "0.035714,0.036901,0.038546,0.041718,0.047542,0.057808,0.075637"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026929,0.029193,0.032360,0.038582,0.050687,0.074233,0.120506", \ - "0.026928,0.029190,0.032362,0.038583,0.050685,0.074223,0.120513", \ - "0.026923,0.029188,0.032361,0.038581,0.050679,0.074224,0.120502", \ - "0.029185,0.031033,0.033705,0.039176,0.050665,0.074218,0.120511", \ - "0.037823,0.039568,0.041964,0.046360,0.055392,0.075356,0.120493", \ - "0.047553,0.049538,0.052289,0.057543,0.067141,0.084268,0.122586", \ - "0.058107,0.060349,0.063428,0.069360,0.080323,0.099632,0.133744"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014606,0.015728,0.017314,0.020469,0.026746,0.039254,0.064225", \ - "0.016057,0.017206,0.018821,0.022014,0.028338,0.040888,0.065890", \ - "0.020706,0.021957,0.023636,0.026905,0.033317,0.045968,0.071054", \ - "0.025641,0.027450,0.029869,0.034307,0.042105,0.055546,0.080772", \ - "0.028388,0.030839,0.034122,0.040117,0.050545,0.067802,0.095856", \ - "0.028650,0.031793,0.035984,0.043575,0.056782,0.078550,0.113002", \ - "0.026309,0.030156,0.035271,0.044530,0.060547,0.086942,0.128569"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031680,0.033958,0.037173,0.043565,0.056263,0.081478,0.131757", \ - "0.032567,0.034877,0.038132,0.044586,0.057366,0.082678,0.133011", \ - "0.037329,0.039607,0.042831,0.049248,0.062007,0.087358,0.137767", \ - "0.048746,0.051066,0.054155,0.060341,0.072752,0.097701,0.147777", \ - "0.062908,0.065825,0.069803,0.077302,0.090997,0.115556,0.164758", \ - "0.078271,0.081776,0.086469,0.095404,0.111841,0.140714,0.190200", \ - "0.095378,0.099326,0.104759,0.114985,0.133880,0.167401,0.224085"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007579,0.008530,0.009883,0.012572,0.017935,0.028617,0.049934", \ - "0.007579,0.008531,0.009882,0.012572,0.017934,0.028619,0.049938", \ - "0.008224,0.009013,0.010188,0.012654,0.017940,0.028628,0.049942", \ - "0.012425,0.013206,0.014287,0.016412,0.020551,0.029389,0.049938", \ - "0.018505,0.019402,0.020636,0.023000,0.027416,0.035649,0.052340", \ - "0.026180,0.027185,0.028580,0.031277,0.036285,0.045310,0.061670", \ - "0.035317,0.036433,0.038007,0.041060,0.046732,0.056894,0.074584"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020838,0.022903,0.025831,0.031681,0.043324,0.066520,0.112798", \ - "0.020836,0.022899,0.025832,0.031677,0.043323,0.066508,0.112740", \ - "0.020832,0.022900,0.025829,0.031676,0.043321,0.066503,0.112721", \ - "0.023204,0.024846,0.027256,0.032331,0.043296,0.066494,0.112721", \ - "0.030217,0.032081,0.034623,0.039411,0.048158,0.067691,0.112685", \ - "0.037708,0.039922,0.042956,0.048689,0.058970,0.076677,0.114819", \ - "0.045875,0.048460,0.051960,0.058594,0.070575,0.091144,0.126094"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.012146,0.013052,0.014331,0.016876,0.021940,0.032032,0.052180", \ - "0.013700,0.014626,0.015928,0.018504,0.023605,0.033730,0.053904", \ - "0.018343,0.019428,0.020897,0.023615,0.028798,0.039009,0.059252", \ - "0.022582,0.024199,0.026357,0.030302,0.037174,0.048777,0.069282", \ - "0.024486,0.026706,0.029676,0.035074,0.044408,0.059741,0.084189", \ - "0.023672,0.026556,0.030396,0.037323,0.049280,0.068823,0.099437", \ - "0.019961,0.023543,0.028265,0.036792,0.051440,0.075367,0.112684"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031576,0.033852,0.037068,0.043459,0.056148,0.081375,0.131604", \ - "0.032339,0.034648,0.037903,0.044354,0.057130,0.082450,0.132799", \ - "0.037168,0.039438,0.042650,0.049050,0.061785,0.087113,0.137506", \ - "0.048784,0.051098,0.054169,0.060341,0.072703,0.097592,0.147602", \ - "0.063213,0.066119,0.070073,0.077544,0.091185,0.115677,0.164791", \ - "0.079000,0.082486,0.087128,0.096022,0.112388,0.141147,0.190506", \ - "0.096685,0.100597,0.105989,0.116135,0.134914,0.168294,0.224761"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.005947,0.006715,0.007804,0.009977,0.014310,0.022947,0.040193", \ - "0.005947,0.006716,0.007804,0.009977,0.014311,0.022955,0.040193", \ - "0.007009,0.007585,0.008450,0.010301,0.014340,0.022949,0.040194", \ - "0.011076,0.011746,0.012659,0.014416,0.017785,0.024478,0.040245", \ - "0.016795,0.017582,0.018648,0.020691,0.024462,0.031309,0.044334", \ - "0.024043,0.024930,0.026149,0.028503,0.032836,0.040568,0.054216", \ - "0.032707,0.033672,0.035053,0.037760,0.042741,0.051527,0.066614"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020839,0.022903,0.025834,0.031680,0.043326,0.066514,0.112723", \ - "0.020837,0.022904,0.025828,0.031679,0.043321,0.066508,0.112753", \ - "0.020834,0.022899,0.025828,0.031677,0.043320,0.066505,0.112713", \ - "0.023191,0.024835,0.027257,0.032315,0.043298,0.066499,0.112703", \ - "0.030088,0.031958,0.034511,0.039308,0.048085,0.067670,0.112685", \ - "0.037382,0.039601,0.042657,0.048408,0.058731,0.076505,0.114772", \ - "0.045284,0.047872,0.051393,0.058058,0.070080,0.090743,0.125821"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.028308,0.029742,0.031756,0.035719,0.043486,0.058700,0.088648", \ - "0.029606,0.031046,0.033064,0.037031,0.044802,0.060024,0.089975", \ - "0.033939,0.035386,0.037406,0.041385,0.049177,0.064427,0.094407", \ - "0.041428,0.042983,0.045148,0.049333,0.057220,0.072513,0.102562", \ - "0.049475,0.051357,0.053942,0.058856,0.068003,0.084676,0.115251", \ - "0.055928,0.058269,0.061498,0.067540,0.078591,0.098132,0.131980", \ - "0.060350,0.063156,0.067030,0.074277,0.087483,0.110667,0.149710"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.034933,0.037224,0.040460,0.046894,0.059679,0.085041,0.135554", \ - "0.036585,0.038898,0.042156,0.048628,0.061459,0.086871,0.137428", \ - "0.041654,0.043983,0.047260,0.053773,0.066677,0.092206,0.142875", \ - "0.050694,0.053064,0.056313,0.062763,0.075616,0.101147,0.151863", \ - "0.062021,0.064822,0.068630,0.075994,0.089866,0.115552,0.166116", \ - "0.075311,0.078528,0.082913,0.091247,0.106868,0.135431,0.186902", \ - "0.090776,0.094445,0.099423,0.108824,0.126204,0.157741,0.213901"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015541,0.016704,0.018345,0.021611,0.028101,0.040976,0.066615", \ - "0.015542,0.016705,0.018347,0.021617,0.028100,0.040978,0.066611", \ - "0.015525,0.016687,0.018339,0.021610,0.028099,0.040978,0.066610", \ - "0.017080,0.018140,0.019612,0.022551,0.028561,0.041003,0.066613", \ - "0.021605,0.022640,0.024090,0.026938,0.032520,0.043630,0.067213", \ - "0.028307,0.029489,0.031074,0.034129,0.039838,0.050625,0.072054", \ - "0.036331,0.037707,0.039530,0.043018,0.049380,0.060738,0.081829"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.034458,0.036587,0.039598,0.045593,0.057451,0.080815,0.127030", \ - "0.034457,0.036585,0.039600,0.045592,0.057451,0.080820,0.127040", \ - "0.034455,0.036583,0.039598,0.045592,0.057448,0.080815,0.127034", \ - "0.035837,0.037727,0.040445,0.045989,0.057454,0.080818,0.127037", \ - "0.042086,0.043746,0.046092,0.050708,0.060596,0.081715,0.127031", \ - "0.050189,0.051879,0.054283,0.059103,0.068680,0.087568,0.128718", \ - "0.059396,0.061102,0.063543,0.068512,0.078449,0.097947,0.136014"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.023954,0.025372,0.027363,0.031280,0.038962,0.054052,0.083836", \ - "0.025246,0.026668,0.028662,0.032585,0.040278,0.055374,0.085163", \ - "0.029559,0.030987,0.032987,0.036928,0.044648,0.059778,0.089597", \ - "0.036460,0.038073,0.040306,0.044589,0.052640,0.067859,0.097755", \ - "0.043079,0.045114,0.047898,0.053124,0.062665,0.079691,0.110437", \ - "0.047800,0.050347,0.053833,0.060313,0.072029,0.092345,0.126806", \ - "0.050438,0.053510,0.057728,0.065521,0.079535,0.103738,0.143853"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.034144,0.036432,0.039666,0.046088,0.058840,0.084155,0.134515", \ - "0.035791,0.038102,0.041359,0.047819,0.060622,0.085983,0.136384", \ - "0.040857,0.043182,0.046458,0.052961,0.065838,0.091312,0.141836", \ - "0.049849,0.052252,0.055516,0.061958,0.074783,0.100248,0.150826", \ - "0.060996,0.063820,0.067656,0.075064,0.088979,0.114648,0.165068", \ - "0.074086,0.077324,0.081762,0.090150,0.105826,0.134425,0.185858", \ - "0.089300,0.093016,0.098051,0.107550,0.124988,0.156583,0.212757"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013203,0.014345,0.015966,0.019186,0.025611,0.038407,0.063943", \ - "0.013206,0.014343,0.015966,0.019188,0.025610,0.038410,0.063940", \ - "0.013205,0.014337,0.015956,0.019184,0.025611,0.038408,0.063939", \ - "0.015334,0.016352,0.017798,0.020654,0.026401,0.038549,0.063943", \ - "0.020293,0.021315,0.022733,0.025500,0.030916,0.041742,0.064778", \ - "0.027055,0.028237,0.029837,0.032895,0.038555,0.049137,0.070166", \ - "0.035069,0.036439,0.038275,0.041787,0.048172,0.059471,0.080273"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.025740,0.027990,0.031145,0.037347,0.049428,0.072945,0.119199", \ - "0.025740,0.027990,0.031146,0.037347,0.049428,0.072942,0.119203", \ - "0.025737,0.027988,0.031144,0.037345,0.049424,0.072938,0.119198", \ - "0.027201,0.029194,0.032039,0.037776,0.049431,0.072937,0.119201", \ - "0.033199,0.035054,0.037647,0.042711,0.052663,0.073862,0.119188", \ - "0.040384,0.042286,0.044967,0.050227,0.060407,0.079794,0.120908", \ - "0.048549,0.050501,0.053262,0.058744,0.069366,0.089667,0.128265"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020138,0.021347,0.023041,0.026371,0.032891,0.045673,0.070873", \ - "0.021534,0.022745,0.024443,0.027780,0.034307,0.047095,0.072299", \ - "0.025861,0.027078,0.028780,0.032124,0.038675,0.051493,0.076724", \ - "0.032191,0.033626,0.035592,0.039356,0.046382,0.059455,0.084770", \ - "0.037751,0.039598,0.042112,0.046813,0.055335,0.070391,0.097155", \ - "0.041204,0.043531,0.046712,0.052610,0.063185,0.081410,0.111989", \ - "0.042323,0.045163,0.049036,0.056178,0.068957,0.090858,0.126842"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.034037,0.036326,0.039558,0.045979,0.058731,0.084044,0.134403", \ - "0.035585,0.037893,0.041148,0.047606,0.060402,0.085750,0.136160", \ - "0.040707,0.043024,0.046292,0.052777,0.065631,0.091085,0.141588", \ - "0.049775,0.052171,0.055431,0.061857,0.074652,0.100080,0.150615", \ - "0.061045,0.063859,0.067676,0.075062,0.088945,0.114573,0.164934", \ - "0.074453,0.077674,0.082071,0.090416,0.106025,0.134536,0.185871", \ - "0.090237,0.093909,0.098895,0.108285,0.125609,0.157045,0.213038"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011012,0.011982,0.013346,0.016071,0.021501,0.032329,0.053945", \ - "0.011014,0.011980,0.013345,0.016072,0.021501,0.032327,0.053945", \ - "0.011105,0.012032,0.013359,0.016061,0.021496,0.032327,0.053948", \ - "0.013446,0.014297,0.015511,0.017931,0.022700,0.032715,0.053948", \ - "0.018245,0.019145,0.020374,0.022755,0.027370,0.036508,0.055472", \ - "0.024638,0.025685,0.027096,0.029781,0.034720,0.043803,0.061613", \ - "0.032251,0.033470,0.035105,0.038221,0.043840,0.053694,0.071551"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.025770,0.028016,0.031168,0.037365,0.049440,0.072951,0.119202", \ - "0.025770,0.028017,0.031168,0.037366,0.049439,0.072944,0.119208", \ - "0.025767,0.028015,0.031168,0.037363,0.049435,0.072948,0.119205", \ - "0.027246,0.029235,0.032074,0.037802,0.049443,0.072943,0.119204", \ - "0.033215,0.035067,0.037663,0.042725,0.052677,0.073876,0.119193", \ - "0.040253,0.042170,0.044852,0.050141,0.060356,0.079770,0.120907", \ - "0.048174,0.050149,0.052923,0.058446,0.069138,0.089526,0.128197"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.023954,0.025372,0.027363,0.031280,0.038962,0.054052,0.083836", \ - "0.025246,0.026668,0.028662,0.032585,0.040278,0.055374,0.085163", \ - "0.029559,0.030987,0.032987,0.036928,0.044648,0.059778,0.089597", \ - "0.036460,0.038073,0.040306,0.044589,0.052640,0.067859,0.097755", \ - "0.043079,0.045114,0.047898,0.053124,0.062665,0.079691,0.110437", \ - "0.047800,0.050347,0.053833,0.060313,0.072029,0.092345,0.126806", \ - "0.050438,0.053510,0.057728,0.065521,0.079535,0.103738,0.143853"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.034144,0.036432,0.039666,0.046088,0.058840,0.084155,0.134515", \ - "0.035791,0.038102,0.041359,0.047819,0.060622,0.085983,0.136384", \ - "0.040857,0.043182,0.046458,0.052961,0.065838,0.091312,0.141836", \ - "0.049849,0.052252,0.055516,0.061958,0.074783,0.100248,0.150826", \ - "0.060996,0.063820,0.067656,0.075064,0.088979,0.114648,0.165068", \ - "0.074086,0.077324,0.081762,0.090150,0.105826,0.134425,0.185858", \ - "0.089300,0.093016,0.098051,0.107550,0.124988,0.156583,0.212757"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013203,0.014345,0.015966,0.019186,0.025611,0.038407,0.063943", \ - "0.013206,0.014343,0.015966,0.019188,0.025610,0.038410,0.063940", \ - "0.013205,0.014337,0.015956,0.019184,0.025611,0.038408,0.063939", \ - "0.015334,0.016352,0.017798,0.020654,0.026401,0.038549,0.063943", \ - "0.020293,0.021315,0.022733,0.025500,0.030916,0.041742,0.064778", \ - "0.027055,0.028237,0.029837,0.032895,0.038555,0.049137,0.070166", \ - "0.035069,0.036439,0.038275,0.041787,0.048172,0.059471,0.080273"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.025740,0.027990,0.031145,0.037347,0.049428,0.072945,0.119199", \ - "0.025740,0.027990,0.031146,0.037347,0.049428,0.072942,0.119203", \ - "0.025737,0.027988,0.031144,0.037345,0.049424,0.072938,0.119198", \ - "0.027201,0.029194,0.032039,0.037776,0.049431,0.072937,0.119201", \ - "0.033199,0.035054,0.037647,0.042711,0.052663,0.073862,0.119188", \ - "0.040384,0.042286,0.044967,0.050227,0.060407,0.079794,0.120908", \ - "0.048549,0.050501,0.053262,0.058744,0.069366,0.089667,0.128265"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019835,0.021222,0.023168,0.027007,0.034567,0.049490,0.079089", \ - "0.021120,0.022511,0.024463,0.028310,0.035880,0.050812,0.080416", \ - "0.025374,0.026785,0.028752,0.032625,0.040232,0.055206,0.084843", \ - "0.031286,0.033006,0.035349,0.039781,0.048006,0.063258,0.092989", \ - "0.036075,0.038338,0.041387,0.047035,0.057121,0.074623,0.105633", \ - "0.038809,0.041658,0.045500,0.052553,0.065085,0.086333,0.121530", \ - "0.039526,0.042969,0.047602,0.056087,0.071104,0.096511,0.137768"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.033361,0.035648,0.038876,0.045282,0.057994,0.083243,0.133506", \ - "0.035004,0.037313,0.040565,0.047012,0.059774,0.085072,0.135375", \ - "0.040069,0.042390,0.045662,0.052150,0.064988,0.090401,0.140825", \ - "0.049009,0.051432,0.054726,0.061158,0.073946,0.099337,0.149810", \ - "0.059977,0.062824,0.066683,0.074122,0.088082,0.113753,0.164054", \ - "0.072865,0.076131,0.080620,0.089044,0.104787,0.133428,0.184824", \ - "0.087838,0.091601,0.096677,0.106229,0.123784,0.155441,0.211626"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010782,0.011913,0.013511,0.016707,0.023090,0.035829,0.061292", \ - "0.010786,0.011911,0.013514,0.016706,0.023086,0.035829,0.061292", \ - "0.011007,0.012065,0.013589,0.016727,0.023089,0.035826,0.061288", \ - "0.013744,0.014708,0.016080,0.018834,0.024344,0.036139,0.061287", \ - "0.019068,0.020081,0.021478,0.024178,0.029408,0.039928,0.062402", \ - "0.025927,0.027099,0.028695,0.031744,0.037330,0.047730,0.068325", \ - "0.033984,0.035334,0.037174,0.040676,0.047038,0.058251,0.078726"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019695,0.021741,0.024656,0.030471,0.042083,0.065233,0.111414", \ - "0.019694,0.021742,0.024656,0.030472,0.042087,0.065238,0.111415", \ - "0.019690,0.021740,0.024654,0.030472,0.042080,0.065239,0.111418", \ - "0.021221,0.023010,0.025602,0.030939,0.042095,0.065221,0.111414", \ - "0.025961,0.027847,0.030476,0.035596,0.045401,0.066186,0.111394", \ - "0.031592,0.033608,0.036448,0.041924,0.052416,0.072190,0.113118", \ - "0.038102,0.040274,0.043309,0.049211,0.060375,0.081351,0.120526"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016733,0.017912,0.019565,0.022822,0.029227,0.041852,0.066870", \ - "0.018122,0.019306,0.020964,0.024228,0.030641,0.043273,0.068297", \ - "0.022371,0.023588,0.025268,0.028556,0.035001,0.047671,0.072724", \ - "0.027659,0.029198,0.031289,0.035211,0.042417,0.055608,0.080772", \ - "0.031496,0.033548,0.036318,0.041413,0.050465,0.066002,0.093069", \ - "0.033072,0.035687,0.039207,0.045647,0.057007,0.076122,0.107419", \ - "0.032363,0.035545,0.039816,0.047635,0.061372,0.084426,0.121511"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.033255,0.035544,0.038771,0.045178,0.057889,0.083139,0.133410", \ - "0.034799,0.037107,0.040358,0.046800,0.059559,0.084857,0.135158", \ - "0.039922,0.042235,0.045498,0.051970,0.064787,0.090176,0.140586", \ - "0.048937,0.051353,0.054644,0.061061,0.073819,0.099171,0.149607", \ - "0.060039,0.062860,0.066707,0.074128,0.088057,0.113683,0.163925", \ - "0.073245,0.076489,0.080921,0.089320,0.104992,0.133544,0.184850", \ - "0.088790,0.092511,0.097535,0.106993,0.124414,0.155911,0.211915"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008980,0.009933,0.011283,0.013980,0.019370,0.030144,0.051687", \ - "0.008982,0.009930,0.011284,0.013978,0.019368,0.030143,0.051690", \ - "0.009361,0.010228,0.011487,0.014054,0.019377,0.030141,0.051687", \ - "0.012204,0.013012,0.014160,0.016463,0.021097,0.030766,0.051691", \ - "0.017278,0.018169,0.019385,0.021715,0.026193,0.035044,0.053575", \ - "0.023776,0.024814,0.026221,0.028896,0.033782,0.042702,0.060138", \ - "0.031452,0.032659,0.034292,0.037390,0.042991,0.052754,0.070331"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019693,0.021741,0.024656,0.030478,0.042082,0.065232,0.111422", \ - "0.019693,0.021740,0.024657,0.030473,0.042079,0.065238,0.111409", \ - "0.019687,0.021743,0.024655,0.030473,0.042082,0.065226,0.111424", \ - "0.021235,0.023024,0.025618,0.030950,0.042100,0.065220,0.111412", \ - "0.025955,0.027836,0.030471,0.035596,0.045409,0.066188,0.111398", \ - "0.031452,0.033483,0.036316,0.041832,0.052357,0.072159,0.113116", \ - "0.037754,0.039942,0.042989,0.048913,0.060161,0.081209,0.120461"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020845,0.022060,0.023764,0.027114,0.033676,0.046541,0.071905", \ - "0.022177,0.023395,0.025103,0.028458,0.035026,0.047898,0.073268", \ - "0.027144,0.028368,0.030084,0.033455,0.040048,0.052953,0.078352", \ - "0.035179,0.036670,0.038702,0.042545,0.049646,0.062674,0.088145", \ - "0.041907,0.043887,0.046584,0.051635,0.060744,0.076521,0.103410", \ - "0.046448,0.048933,0.052343,0.058672,0.070100,0.089775,0.122190", \ - "0.048781,0.051798,0.055921,0.063547,0.077282,0.100974,0.139883"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.036478,0.038754,0.041966,0.048357,0.061071,0.086361,0.136664", \ - "0.038144,0.040442,0.043683,0.050120,0.062897,0.088253,0.138613", \ - "0.043171,0.045478,0.048736,0.055207,0.068059,0.093527,0.144035", \ - "0.052267,0.054566,0.057779,0.064189,0.076976,0.102413,0.152971", \ - "0.063893,0.066625,0.070357,0.077609,0.091329,0.116818,0.167197", \ - "0.077471,0.080640,0.084923,0.093135,0.108563,0.136860,0.188043", \ - "0.093266,0.096857,0.101759,0.111008,0.128174,0.159409,0.215208"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011907,0.012853,0.014206,0.016899,0.022277,0.033009,0.054446", \ - "0.011903,0.012853,0.014203,0.016895,0.022280,0.033014,0.054443", \ - "0.011893,0.012825,0.014175,0.016882,0.022281,0.033015,0.054446", \ - "0.014974,0.015765,0.016875,0.019078,0.023508,0.033260,0.054446", \ - "0.020809,0.021711,0.022947,0.025320,0.029779,0.038248,0.055971", \ - "0.027992,0.029070,0.030530,0.033338,0.038472,0.047612,0.064325", \ - "0.036369,0.037629,0.039311,0.042575,0.048553,0.058979,0.076958"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026926,0.029192,0.032360,0.038581,0.050685,0.074234,0.120504", \ - "0.026925,0.029192,0.032362,0.038581,0.050683,0.074232,0.120508", \ - "0.026925,0.029190,0.032360,0.038582,0.050682,0.074226,0.120512", \ - "0.028136,0.030177,0.033074,0.038888,0.050684,0.074222,0.120513", \ - "0.033967,0.035850,0.038451,0.043514,0.053639,0.075018,0.120498", \ - "0.041088,0.043034,0.045725,0.051031,0.061261,0.080724,0.122072", \ - "0.049170,0.051148,0.053945,0.059471,0.070162,0.090520,0.129220"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.017427,0.018612,0.020275,0.023552,0.030000,0.042711,0.067901", \ - "0.018750,0.019940,0.021607,0.024892,0.031348,0.044067,0.069262", \ - "0.023663,0.024868,0.026552,0.029861,0.036353,0.049113,0.074341", \ - "0.030338,0.031969,0.034169,0.038263,0.045648,0.058802,0.084122", \ - "0.035113,0.037305,0.040270,0.045752,0.055473,0.071936,0.099343", \ - "0.037668,0.040446,0.044200,0.051094,0.063324,0.083982,0.117347", \ - "0.038101,0.041467,0.046001,0.054309,0.069020,0.093905,0.134070"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.035696,0.037967,0.041175,0.047552,0.060223,0.085433,0.135696", \ - "0.037358,0.039651,0.042888,0.049312,0.062049,0.087319,0.137639", \ - "0.042384,0.044687,0.047939,0.054397,0.067207,0.092601,0.143059", \ - "0.051447,0.053775,0.056987,0.063387,0.076133,0.101485,0.151936", \ - "0.062903,0.065649,0.069402,0.076680,0.090432,0.115919,0.166167", \ - "0.076292,0.079480,0.083791,0.092038,0.107528,0.135874,0.187002", \ - "0.091856,0.095481,0.100417,0.109730,0.126968,0.158282,0.214082"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009825,0.010769,0.012108,0.014789,0.020140,0.030837,0.052216", \ - "0.009825,0.010771,0.012108,0.014788,0.020140,0.030846,0.052212", \ - "0.010085,0.010948,0.012205,0.014804,0.020145,0.030846,0.052216", \ - "0.013845,0.014621,0.015701,0.017845,0.022066,0.031350,0.052211", \ - "0.019789,0.020693,0.021932,0.024304,0.028725,0.037049,0.054209", \ - "0.027035,0.028101,0.029550,0.032343,0.037473,0.046582,0.063091", \ - "0.035486,0.036706,0.038373,0.041610,0.047550,0.057963,0.075882"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020835,0.022905,0.025829,0.031679,0.043315,0.066512,0.112777", \ - "0.020837,0.022901,0.025834,0.031682,0.043315,0.066505,0.112781", \ - "0.020832,0.022900,0.025828,0.031674,0.043321,0.066514,0.112773", \ - "0.022104,0.023942,0.026587,0.032020,0.043324,0.066504,0.112710", \ - "0.026854,0.028747,0.031365,0.036488,0.046357,0.067329,0.112680", \ - "0.032475,0.034518,0.037321,0.042813,0.053323,0.073111,0.114271", \ - "0.038977,0.041145,0.044168,0.050054,0.061241,0.082257,0.121484"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014279,0.015245,0.016599,0.019262,0.024489,0.034774,0.055129", \ - "0.015728,0.016698,0.018055,0.020724,0.025957,0.036249,0.056608", \ - "0.020789,0.021817,0.023211,0.025905,0.031168,0.041496,0.061886", \ - "0.026666,0.028123,0.030088,0.033722,0.040198,0.051435,0.071964", \ - "0.030433,0.032422,0.035104,0.040030,0.048732,0.063348,0.087162", \ - "0.031796,0.034343,0.037769,0.044048,0.055101,0.073620,0.103272", \ - "0.030756,0.033862,0.038038,0.045672,0.059097,0.081610,0.117592"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.035592,0.037861,0.041070,0.047447,0.060118,0.085330,0.135593", \ - "0.037151,0.039442,0.042676,0.049097,0.061832,0.087108,0.137433", \ - "0.042226,0.044524,0.047764,0.054209,0.066997,0.092372,0.142766", \ - "0.051372,0.053699,0.056902,0.063285,0.076003,0.101313,0.151722", \ - "0.062933,0.065690,0.069426,0.076684,0.090409,0.115852,0.166033", \ - "0.076663,0.079816,0.084115,0.092317,0.107743,0.136003,0.187037", \ - "0.092789,0.096379,0.101272,0.110488,0.127616,0.158780,0.214407"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007769,0.008534,0.009617,0.011784,0.016109,0.024754,0.042046", \ - "0.007765,0.008531,0.009614,0.011784,0.016110,0.024753,0.042045", \ - "0.008339,0.008986,0.009937,0.011922,0.016119,0.024756,0.042044", \ - "0.012275,0.012927,0.013823,0.015569,0.018963,0.025922,0.042069", \ - "0.017873,0.018655,0.019729,0.021781,0.025544,0.032425,0.045671", \ - "0.024729,0.025657,0.026920,0.029346,0.033799,0.041608,0.055352", \ - "0.032776,0.033848,0.035319,0.038152,0.043343,0.052358,0.067676"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.020835,0.022906,0.025828,0.031675,0.043318,0.066509,0.112778", \ - "0.020836,0.022901,0.025830,0.031680,0.043315,0.066510,0.112801", \ - "0.020834,0.022903,0.025828,0.031673,0.043322,0.066511,0.112714", \ - "0.022120,0.023957,0.026600,0.032023,0.043321,0.066503,0.112712", \ - "0.026834,0.028737,0.031360,0.036485,0.046361,0.067342,0.112685", \ - "0.032339,0.034384,0.037208,0.042717,0.053261,0.073077,0.114268", \ - "0.038637,0.040815,0.043861,0.049774,0.061026,0.082101,0.121407"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026866,0.028295,0.030295,0.034226,0.041927,0.057031,0.086829", \ - "0.028498,0.029934,0.031946,0.035897,0.043624,0.058763,0.088589", \ - "0.032053,0.033502,0.035527,0.039506,0.047285,0.062487,0.092380", \ - "0.036516,0.038056,0.040195,0.044353,0.052350,0.067596,0.097564", \ - "0.040709,0.042440,0.044834,0.049439,0.058106,0.074416,0.105059", \ - "0.043423,0.045513,0.048381,0.053768,0.063703,0.081614,0.114279", \ - "0.043364,0.045946,0.049465,0.055991,0.067759,0.088334,0.123878"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.038601,0.040986,0.044333,0.050957,0.064015,0.089770,0.140662", \ - "0.039426,0.041822,0.045184,0.051832,0.064917,0.090697,0.141619", \ - "0.044184,0.046568,0.049923,0.056557,0.069642,0.095435,0.146384", \ - "0.055523,0.057819,0.061063,0.067522,0.080341,0.105813,0.156516", \ - "0.072331,0.075063,0.078777,0.085835,0.098825,0.123576,0.173464", \ - "0.090415,0.093667,0.098100,0.106445,0.122020,0.149756,0.198839", \ - "0.110303,0.113953,0.119016,0.128650,0.146543,0.178582,0.233425"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013194,0.014337,0.015955,0.019180,0.025608,0.038412,0.063954", \ - "0.013190,0.014333,0.015954,0.019185,0.025608,0.038409,0.063951", \ - "0.013168,0.014318,0.015942,0.019181,0.025609,0.038410,0.063954", \ - "0.014295,0.015404,0.016966,0.020016,0.026075,0.038485,0.063953", \ - "0.016956,0.018023,0.019533,0.022546,0.028552,0.040571,0.064662", \ - "0.022117,0.023163,0.024613,0.027466,0.033133,0.044589,0.068101", \ - "0.029359,0.030487,0.032020,0.034990,0.040579,0.051413,0.073740"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.039961,0.042030,0.044958,0.050827,0.062538,0.085814,0.132045", \ - "0.039968,0.042025,0.044958,0.050827,0.062538,0.085813,0.132052", \ - "0.039958,0.042021,0.044955,0.050824,0.062537,0.085810,0.132045", \ - "0.040761,0.042590,0.045293,0.050862,0.062527,0.085807,0.132051", \ - "0.048174,0.049608,0.051716,0.056165,0.065593,0.086302,0.132037", \ - "0.059303,0.060988,0.063340,0.067951,0.076619,0.093849,0.133430", \ - "0.071015,0.072976,0.075692,0.080986,0.091003,0.109146,0.143429"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022712,0.024109,0.026066,0.029921,0.037498,0.052432,0.082031", \ - "0.024295,0.025707,0.027684,0.031567,0.039183,0.054158,0.083790", \ - "0.027778,0.029205,0.031202,0.035129,0.042810,0.057868,0.087580", \ - "0.031772,0.033341,0.035509,0.039703,0.047743,0.062963,0.092758", \ - "0.035056,0.036900,0.039422,0.044193,0.053051,0.069509,0.100250", \ - "0.036192,0.038498,0.041636,0.047444,0.057891,0.076257,0.109177", \ - "0.034228,0.037116,0.041007,0.048153,0.060756,0.082246,0.118425"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.037726,0.040112,0.043464,0.050087,0.063124,0.088801,0.139594", \ - "0.038546,0.040945,0.044313,0.050959,0.064023,0.089732,0.140565", \ - "0.043306,0.045695,0.049052,0.055686,0.068748,0.094472,0.145326", \ - "0.054689,0.056967,0.060210,0.066666,0.079456,0.104858,0.155427", \ - "0.071235,0.073992,0.077755,0.084876,0.097958,0.122640,0.172398", \ - "0.089007,0.092298,0.096759,0.105201,0.120863,0.148651,0.197766", \ - "0.108570,0.112259,0.117375,0.127082,0.145067,0.177272,0.232314"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010776,0.011904,0.013501,0.016694,0.023078,0.035815,0.061267", \ - "0.010776,0.011900,0.013506,0.016694,0.023075,0.035814,0.061272", \ - "0.010769,0.011890,0.013494,0.016691,0.023072,0.035817,0.061274", \ - "0.012184,0.013261,0.014791,0.017839,0.023794,0.036001,0.061262", \ - "0.015357,0.016369,0.017795,0.020675,0.026485,0.038319,0.062158", \ - "0.020976,0.022001,0.023412,0.026155,0.031565,0.042629,0.065807", \ - "0.028518,0.029618,0.031139,0.034052,0.039482,0.049931,0.071702"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031138,0.033312,0.036371,0.042443,0.054383,0.077825,0.124143", \ - "0.031144,0.033308,0.036373,0.042443,0.054382,0.077824,0.124162", \ - "0.031131,0.033305,0.036368,0.042440,0.054381,0.077822,0.124150", \ - "0.031994,0.033942,0.036748,0.042508,0.054370,0.077820,0.124156", \ - "0.039532,0.041236,0.043443,0.047938,0.057536,0.078343,0.124138", \ - "0.049024,0.051004,0.053713,0.058915,0.068450,0.085978,0.125560", \ - "0.059108,0.061402,0.064522,0.070510,0.081520,0.100863,0.135671"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019782,0.020966,0.022623,0.025882,0.032277,0.044862,0.069779", \ - "0.021421,0.022619,0.024292,0.027575,0.034002,0.046621,0.071565", \ - "0.025654,0.026863,0.028550,0.031865,0.038342,0.051030,0.076038", \ - "0.030535,0.031933,0.033855,0.037524,0.044464,0.057456,0.082545", \ - "0.034185,0.035950,0.038344,0.042819,0.050945,0.065501,0.092010", \ - "0.035213,0.037468,0.040522,0.046174,0.056222,0.073425,0.102814", \ - "0.032994,0.035824,0.039652,0.046659,0.058960,0.079733,0.113574"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.039456,0.041851,0.045214,0.051853,0.064918,0.090631,0.141503", \ - "0.040281,0.042689,0.046071,0.052739,0.065837,0.091588,0.142476", \ - "0.045014,0.047409,0.050778,0.057432,0.070528,0.096295,0.147221", \ - "0.056384,0.058687,0.061961,0.068434,0.081262,0.106697,0.157306", \ - "0.073462,0.076187,0.079877,0.086902,0.099800,0.124547,0.174351", \ - "0.091797,0.095020,0.099441,0.107788,0.123275,0.150794,0.199837", \ - "0.111868,0.115512,0.120564,0.130193,0.148008,0.179963,0.234642"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009053,0.010007,0.011351,0.014047,0.019434,0.030185,0.051666", \ - "0.009052,0.010006,0.011351,0.014050,0.019434,0.030185,0.051667", \ - "0.009100,0.010022,0.011358,0.014044,0.019430,0.030184,0.051665", \ - "0.010949,0.011820,0.013069,0.015551,0.020484,0.030542,0.051664", \ - "0.014865,0.015726,0.016929,0.019296,0.024007,0.033562,0.053096", \ - "0.020845,0.021797,0.023092,0.025559,0.030201,0.039224,0.057870", \ - "0.028583,0.029638,0.031065,0.033791,0.038807,0.047855,0.065529"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.032110,0.034269,0.037321,0.043378,0.055302,0.078725,0.125045", \ - "0.032108,0.034266,0.037320,0.043378,0.055302,0.078727,0.125056", \ - "0.032095,0.034261,0.037316,0.043376,0.055301,0.078726,0.125049", \ - "0.032704,0.034667,0.037528,0.043350,0.055294,0.078724,0.125047", \ - "0.039868,0.041562,0.043707,0.048320,0.058067,0.079125,0.125033", \ - "0.049311,0.051280,0.053985,0.059176,0.068675,0.086330,0.126267", \ - "0.059338,0.061626,0.064738,0.070710,0.081679,0.100980,0.135989"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022712,0.024109,0.026066,0.029921,0.037498,0.052432,0.082031", \ - "0.024295,0.025707,0.027684,0.031567,0.039183,0.054158,0.083790", \ - "0.027778,0.029205,0.031202,0.035129,0.042810,0.057868,0.087580", \ - "0.031772,0.033341,0.035509,0.039703,0.047743,0.062963,0.092758", \ - "0.035056,0.036900,0.039422,0.044193,0.053051,0.069509,0.100250", \ - "0.036192,0.038498,0.041636,0.047444,0.057891,0.076257,0.109177", \ - "0.034228,0.037116,0.041007,0.048153,0.060756,0.082246,0.118425"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.037726,0.040112,0.043464,0.050087,0.063124,0.088801,0.139594", \ - "0.038546,0.040945,0.044313,0.050959,0.064023,0.089732,0.140565", \ - "0.043306,0.045695,0.049052,0.055686,0.068748,0.094472,0.145326", \ - "0.054689,0.056967,0.060210,0.066666,0.079456,0.104858,0.155427", \ - "0.071235,0.073992,0.077755,0.084876,0.097958,0.122640,0.172398", \ - "0.089007,0.092298,0.096759,0.105201,0.120863,0.148651,0.197766", \ - "0.108570,0.112259,0.117375,0.127082,0.145067,0.177272,0.232314"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010776,0.011904,0.013501,0.016694,0.023078,0.035815,0.061267", \ - "0.010776,0.011900,0.013506,0.016694,0.023075,0.035814,0.061272", \ - "0.010769,0.011890,0.013494,0.016691,0.023072,0.035817,0.061274", \ - "0.012184,0.013261,0.014791,0.017839,0.023794,0.036001,0.061262", \ - "0.015357,0.016369,0.017795,0.020675,0.026485,0.038319,0.062158", \ - "0.020976,0.022001,0.023412,0.026155,0.031565,0.042629,0.065807", \ - "0.028518,0.029618,0.031139,0.034052,0.039482,0.049931,0.071702"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031138,0.033312,0.036371,0.042443,0.054383,0.077825,0.124143", \ - "0.031144,0.033308,0.036373,0.042443,0.054382,0.077824,0.124162", \ - "0.031131,0.033305,0.036368,0.042440,0.054381,0.077822,0.124150", \ - "0.031994,0.033942,0.036748,0.042508,0.054370,0.077820,0.124156", \ - "0.039532,0.041236,0.043443,0.047938,0.057536,0.078343,0.124138", \ - "0.049024,0.051004,0.053713,0.058915,0.068450,0.085978,0.125560", \ - "0.059108,0.061402,0.064522,0.070510,0.081520,0.100863,0.135671"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.018951,0.020269,0.022133,0.025841,0.033219,0.047926,0.077288", \ - "0.020453,0.021803,0.023701,0.027455,0.034888,0.049645,0.079043", \ - "0.023768,0.025159,0.027102,0.030931,0.038462,0.053331,0.082826", \ - "0.027055,0.028657,0.030859,0.035097,0.043167,0.058406,0.088001", \ - "0.028974,0.031002,0.033731,0.038790,0.047947,0.064596,0.095451", \ - "0.028057,0.030698,0.034229,0.040635,0.051824,0.070824,0.104076", \ - "0.023767,0.027118,0.031570,0.039564,0.053307,0.075940,0.112901"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.036857,0.039251,0.042609,0.049228,0.062242,0.087854,0.138489", \ - "0.037676,0.040083,0.043455,0.050098,0.063142,0.088784,0.139454", \ - "0.042442,0.044835,0.048196,0.054827,0.067868,0.093525,0.144219", \ - "0.053870,0.056140,0.059378,0.065820,0.078583,0.103920,0.154315", \ - "0.070137,0.072929,0.076746,0.083923,0.097091,0.121715,0.171310", \ - "0.087601,0.090940,0.095457,0.103979,0.119733,0.147617,0.196718", \ - "0.106847,0.110601,0.115778,0.125558,0.143648,0.175965,0.231137"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.008201,0.009329,0.010930,0.014119,0.020495,0.033202,0.058577", \ - "0.008205,0.009327,0.010930,0.014120,0.020488,0.033202,0.058577", \ - "0.008401,0.009463,0.010993,0.014138,0.020497,0.033198,0.058578", \ - "0.010138,0.011166,0.012638,0.015613,0.021559,0.033543,0.058577", \ - "0.013930,0.014887,0.016241,0.018959,0.024515,0.036102,0.059704", \ - "0.019996,0.021007,0.022384,0.025047,0.030184,0.040800,0.063568", \ - "0.028005,0.029058,0.030522,0.033336,0.038594,0.048592,0.069774"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.024230,0.026295,0.029220,0.035077,0.046726,0.069933,0.116231", \ - "0.024230,0.026286,0.029222,0.035069,0.046721,0.069934,0.116235", \ - "0.024219,0.026283,0.029216,0.035070,0.046720,0.069940,0.116233", \ - "0.025161,0.026983,0.029638,0.035141,0.046712,0.069935,0.116233", \ - "0.031803,0.033613,0.036113,0.040740,0.049972,0.070499,0.116210", \ - "0.039270,0.041450,0.044451,0.050121,0.060328,0.078236,0.117687", \ - "0.047147,0.049733,0.053261,0.059914,0.071879,0.092420,0.127879"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016732,0.017847,0.019420,0.022544,0.028753,0.041125,0.065821", \ - "0.018306,0.019447,0.021046,0.024209,0.030464,0.042878,0.067605", \ - "0.022350,0.023541,0.025193,0.028426,0.034764,0.047269,0.072073", \ - "0.026300,0.027778,0.029782,0.033562,0.040596,0.053667,0.078578", \ - "0.028330,0.030298,0.032937,0.037778,0.046334,0.061245,0.087935", \ - "0.027282,0.029864,0.033316,0.039564,0.050428,0.068435,0.098366", \ - "0.022747,0.026036,0.030400,0.038256,0.051695,0.073692,0.108561"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.038578,0.040979,0.044348,0.050984,0.064030,0.089681,0.140357", \ - "0.039398,0.041816,0.045201,0.051868,0.064947,0.090637,0.141340", \ - "0.044135,0.046538,0.049911,0.056563,0.069637,0.095345,0.146087", \ - "0.055549,0.057846,0.061111,0.067584,0.080379,0.105753,0.156186", \ - "0.072374,0.075147,0.078871,0.085957,0.098942,0.123621,0.173256", \ - "0.090398,0.093686,0.098147,0.106578,0.122154,0.149779,0.198783", \ - "0.110156,0.113870,0.118984,0.128691,0.146608,0.178674,0.233471"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006895,0.007838,0.009182,0.011867,0.017242,0.027973,0.049394", \ - "0.006899,0.007843,0.009179,0.011867,0.017241,0.027975,0.049395", \ - "0.007231,0.008090,0.009343,0.011926,0.017254,0.027975,0.049395", \ - "0.009435,0.010262,0.011450,0.013842,0.018708,0.028536,0.049402", \ - "0.013728,0.014590,0.015780,0.018064,0.022579,0.031870,0.051131", \ - "0.020016,0.020962,0.022244,0.024695,0.029227,0.037946,0.056169", \ - "0.028228,0.029214,0.030579,0.033216,0.038117,0.046941,0.064174"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.025108,0.027165,0.030096,0.035954,0.047613,0.070820,0.117126", \ - "0.025106,0.027162,0.030098,0.035954,0.047612,0.070820,0.117126", \ - "0.025091,0.027159,0.030095,0.035954,0.047607,0.070820,0.117125", \ - "0.025761,0.027630,0.030344,0.035948,0.047605,0.070817,0.117119", \ - "0.032202,0.034008,0.036477,0.041059,0.050471,0.071255,0.117115", \ - "0.039687,0.041837,0.044819,0.050455,0.060594,0.078552,0.118391", \ - "0.047565,0.050118,0.053621,0.060230,0.072127,0.092582,0.128175"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019782,0.020966,0.022623,0.025882,0.032277,0.044862,0.069779", \ - "0.021421,0.022619,0.024292,0.027575,0.034002,0.046621,0.071565", \ - "0.025654,0.026863,0.028550,0.031865,0.038342,0.051030,0.076038", \ - "0.030535,0.031933,0.033855,0.037524,0.044464,0.057456,0.082545", \ - "0.034185,0.035950,0.038344,0.042819,0.050945,0.065501,0.092010", \ - "0.035213,0.037468,0.040522,0.046174,0.056222,0.073425,0.102814", \ - "0.032994,0.035824,0.039652,0.046659,0.058960,0.079733,0.113574"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.039456,0.041851,0.045214,0.051853,0.064918,0.090631,0.141503", \ - "0.040281,0.042689,0.046071,0.052739,0.065837,0.091588,0.142476", \ - "0.045014,0.047409,0.050778,0.057432,0.070528,0.096295,0.147221", \ - "0.056384,0.058687,0.061961,0.068434,0.081262,0.106697,0.157306", \ - "0.073462,0.076187,0.079877,0.086902,0.099800,0.124547,0.174351", \ - "0.091797,0.095020,0.099441,0.107788,0.123275,0.150794,0.199837", \ - "0.111868,0.115512,0.120564,0.130193,0.148008,0.179963,0.234642"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009053,0.010007,0.011351,0.014047,0.019434,0.030185,0.051666", \ - "0.009052,0.010006,0.011351,0.014050,0.019434,0.030185,0.051667", \ - "0.009100,0.010022,0.011358,0.014044,0.019430,0.030184,0.051665", \ - "0.010949,0.011820,0.013069,0.015551,0.020484,0.030542,0.051664", \ - "0.014865,0.015726,0.016929,0.019296,0.024007,0.033562,0.053096", \ - "0.020845,0.021797,0.023092,0.025559,0.030201,0.039224,0.057870", \ - "0.028583,0.029638,0.031065,0.033791,0.038807,0.047855,0.065529"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.032110,0.034269,0.037321,0.043378,0.055302,0.078725,0.125045", \ - "0.032108,0.034266,0.037320,0.043378,0.055302,0.078727,0.125056", \ - "0.032095,0.034261,0.037316,0.043376,0.055301,0.078726,0.125049", \ - "0.032704,0.034667,0.037528,0.043350,0.055294,0.078724,0.125047", \ - "0.039868,0.041562,0.043707,0.048320,0.058067,0.079125,0.125033", \ - "0.049311,0.051280,0.053985,0.059176,0.068675,0.086330,0.126267", \ - "0.059338,0.061626,0.064738,0.070710,0.081679,0.100980,0.135989"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016732,0.017847,0.019420,0.022544,0.028753,0.041125,0.065821", \ - "0.018306,0.019447,0.021046,0.024209,0.030464,0.042878,0.067605", \ - "0.022350,0.023541,0.025193,0.028426,0.034764,0.047269,0.072073", \ - "0.026300,0.027778,0.029782,0.033562,0.040596,0.053667,0.078578", \ - "0.028330,0.030298,0.032937,0.037778,0.046334,0.061245,0.087935", \ - "0.027282,0.029864,0.033316,0.039564,0.050428,0.068435,0.098366", \ - "0.022747,0.026036,0.030400,0.038256,0.051695,0.073692,0.108561"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.038578,0.040979,0.044348,0.050984,0.064030,0.089681,0.140357", \ - "0.039398,0.041816,0.045201,0.051868,0.064947,0.090637,0.141340", \ - "0.044135,0.046538,0.049911,0.056563,0.069637,0.095345,0.146087", \ - "0.055549,0.057846,0.061111,0.067584,0.080379,0.105753,0.156186", \ - "0.072374,0.075147,0.078871,0.085957,0.098942,0.123621,0.173256", \ - "0.090398,0.093686,0.098147,0.106578,0.122154,0.149779,0.198783", \ - "0.110156,0.113870,0.118984,0.128691,0.146608,0.178674,0.233471"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006895,0.007838,0.009182,0.011867,0.017242,0.027973,0.049394", \ - "0.006899,0.007843,0.009179,0.011867,0.017241,0.027975,0.049395", \ - "0.007231,0.008090,0.009343,0.011926,0.017254,0.027975,0.049395", \ - "0.009435,0.010262,0.011450,0.013842,0.018708,0.028536,0.049402", \ - "0.013728,0.014590,0.015780,0.018064,0.022579,0.031870,0.051131", \ - "0.020016,0.020962,0.022244,0.024695,0.029227,0.037946,0.056169", \ - "0.028228,0.029214,0.030579,0.033216,0.038117,0.046941,0.064174"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.025108,0.027165,0.030096,0.035954,0.047613,0.070820,0.117126", \ - "0.025106,0.027162,0.030098,0.035954,0.047612,0.070820,0.117126", \ - "0.025091,0.027159,0.030095,0.035954,0.047607,0.070820,0.117125", \ - "0.025761,0.027630,0.030344,0.035948,0.047605,0.070817,0.117119", \ - "0.032202,0.034008,0.036477,0.041059,0.050471,0.071255,0.117115", \ - "0.039687,0.041837,0.044819,0.050455,0.060594,0.078552,0.118391", \ - "0.047565,0.050118,0.053621,0.060230,0.072127,0.092582,0.128175"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.014724,0.015622,0.016891,0.019410,0.024417,0.034385,0.054278", \ - "0.016318,0.017238,0.018528,0.021078,0.026121,0.036124,0.056041", \ - "0.020662,0.021666,0.023026,0.025654,0.030770,0.040849,0.060832", \ - "0.024995,0.026353,0.028186,0.031592,0.037739,0.048740,0.068942", \ - "0.027046,0.028929,0.031449,0.036073,0.044175,0.057793,0.080728", \ - "0.025894,0.028389,0.031734,0.037799,0.048303,0.065580,0.093121", \ - "0.021173,0.024368,0.028617,0.036283,0.049388,0.070793,0.104225"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.041697,0.044043,0.047345,0.053880,0.066780,0.092282,0.142796", \ - "0.042527,0.044891,0.048214,0.054776,0.067722,0.093259,0.143833", \ - "0.047293,0.049641,0.052947,0.059495,0.072430,0.097981,0.148576", \ - "0.058662,0.060941,0.064168,0.070544,0.083218,0.108439,0.158714", \ - "0.076026,0.078665,0.082258,0.089121,0.101777,0.126389,0.175879", \ - "0.094670,0.097840,0.102155,0.110352,0.125592,0.152756,0.201524", \ - "0.115049,0.118635,0.123602,0.133052,0.150630,0.182233,0.236462"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.006046,0.006809,0.007892,0.010055,0.014364,0.022944,0.040045", \ - "0.006047,0.006807,0.007892,0.010054,0.014364,0.022944,0.040050", \ - "0.006537,0.007204,0.008179,0.010184,0.014382,0.022943,0.040050", \ - "0.009479,0.010141,0.011077,0.012923,0.016596,0.023983,0.040115", \ - "0.014420,0.015157,0.016170,0.018109,0.021777,0.028832,0.043130", \ - "0.021220,0.022018,0.023121,0.025247,0.029214,0.036472,0.050331", \ - "0.029892,0.030713,0.031856,0.034147,0.038519,0.046379,0.060522"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026091,0.028182,0.031149,0.037070,0.048828,0.072168,0.118569", \ - "0.026084,0.028181,0.031151,0.037070,0.048825,0.072157,0.118581", \ - "0.026079,0.028169,0.031148,0.037068,0.048822,0.072164,0.118563", \ - "0.026585,0.028510,0.031350,0.037029,0.048825,0.072164,0.118557", \ - "0.032888,0.034658,0.037119,0.041698,0.051348,0.072530,0.118553", \ - "0.040561,0.042700,0.045640,0.051223,0.061294,0.079359,0.119657", \ - "0.048620,0.051139,0.054601,0.061135,0.072944,0.093289,0.129039"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.030881,0.032317,0.034331,0.038294,0.046057,0.061272,0.091220", \ - "0.032256,0.033693,0.035712,0.039678,0.047447,0.062669,0.092623", \ - "0.035850,0.037291,0.039314,0.043291,0.051082,0.066327,0.096307", \ - "0.040661,0.042172,0.044266,0.048365,0.056270,0.071540,0.101556", \ - "0.045504,0.047174,0.049496,0.053986,0.062482,0.078621,0.109131", \ - "0.049357,0.051311,0.054009,0.059134,0.068700,0.086280,0.118650", \ - "0.050954,0.053346,0.056622,0.062719,0.073879,0.093759,0.128725"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.042529,0.044915,0.048258,0.054880,0.067935,0.093683,0.144609", \ - "0.044091,0.046480,0.049831,0.056457,0.069522,0.095276,0.146207", \ - "0.049407,0.051804,0.055164,0.061813,0.074910,0.100700,0.151657", \ - "0.058603,0.060978,0.064307,0.070929,0.084014,0.109807,0.160764", \ - "0.071665,0.074355,0.077984,0.085110,0.098618,0.124251,0.175101", \ - "0.086734,0.089769,0.093972,0.101935,0.117009,0.144863,0.195939", \ - "0.104390,0.107763,0.112446,0.121351,0.137970,0.168523,0.223611"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.015545,0.016703,0.018348,0.021616,0.028097,0.040979,0.066614", \ - "0.015543,0.016710,0.018344,0.021616,0.028099,0.040979,0.066615", \ - "0.015535,0.016700,0.018344,0.021613,0.028099,0.040980,0.066614", \ - "0.016482,0.017601,0.019177,0.022266,0.028473,0.041033,0.066611", \ - "0.018864,0.019966,0.021531,0.024638,0.030759,0.042873,0.067229", \ - "0.023532,0.024604,0.026098,0.029071,0.034945,0.046713,0.070454", \ - "0.030379,0.031501,0.033050,0.036084,0.041840,0.053074,0.075893"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.039960,0.042026,0.044957,0.050825,0.062538,0.085813,0.132040", \ - "0.039968,0.042026,0.044958,0.050824,0.062538,0.085812,0.132058", \ - "0.039958,0.042023,0.044954,0.050824,0.062537,0.085809,0.132042", \ - "0.040395,0.042332,0.045134,0.050818,0.062528,0.085806,0.132044", \ - "0.045227,0.046857,0.049244,0.054200,0.064522,0.086217,0.132039", \ - "0.052865,0.054616,0.057100,0.062004,0.071699,0.091061,0.133167", \ - "0.061301,0.063149,0.065737,0.070925,0.081139,0.100904,0.139625"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026529,0.027945,0.029935,0.033852,0.041538,0.056625,0.086409", \ - "0.027895,0.029318,0.031312,0.035233,0.042927,0.058022,0.087812", \ - "0.031466,0.032893,0.034894,0.038834,0.046551,0.061677,0.091495", \ - "0.035937,0.037470,0.039595,0.043722,0.051681,0.066887,0.096743", \ - "0.040083,0.041821,0.044218,0.048828,0.057483,0.073737,0.104315", \ - "0.042656,0.044777,0.047676,0.053111,0.063066,0.080982,0.113582", \ - "0.042668,0.045268,0.048826,0.055398,0.067222,0.087827,0.123312"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.041656,0.044041,0.047393,0.054012,0.067048,0.092724,0.143519", \ - "0.043217,0.045608,0.048964,0.055590,0.068634,0.094317,0.145144", \ - "0.048530,0.050929,0.054296,0.060943,0.074018,0.099745,0.150609", \ - "0.057739,0.060119,0.063446,0.070063,0.083127,0.108854,0.159742", \ - "0.070636,0.073343,0.077001,0.084155,0.097716,0.123308,0.174040", \ - "0.085517,0.088575,0.092813,0.100824,0.115962,0.143857,0.194856", \ - "0.102958,0.106364,0.111079,0.120067,0.136764,0.167379,0.222460"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013200,0.014344,0.015967,0.019189,0.025613,0.038408,0.063942", \ - "0.013201,0.014345,0.015962,0.019190,0.025612,0.038408,0.063944", \ - "0.013195,0.014335,0.015960,0.019187,0.025611,0.038409,0.063944", \ - "0.014391,0.015492,0.017044,0.020118,0.026187,0.038555,0.063941", \ - "0.017118,0.018173,0.019676,0.022676,0.028657,0.040630,0.064728", \ - "0.022244,0.023289,0.024744,0.027603,0.033265,0.044693,0.068155", \ - "0.029329,0.030453,0.031998,0.034976,0.040591,0.051475,0.073817"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031122,0.033294,0.036359,0.042433,0.054376,0.077821,0.124138", \ - "0.031125,0.033298,0.036361,0.042434,0.054377,0.077821,0.124167", \ - "0.031116,0.033293,0.036358,0.042432,0.054375,0.077820,0.124151", \ - "0.031577,0.033631,0.036558,0.042438,0.054365,0.077817,0.124153", \ - "0.036492,0.038338,0.040905,0.045924,0.056427,0.078249,0.124134", \ - "0.043232,0.045175,0.047908,0.053218,0.063476,0.083166,0.125280", \ - "0.050720,0.052790,0.055646,0.061302,0.072145,0.092673,0.131793"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022910,0.024114,0.025799,0.029117,0.035607,0.048331,0.073408", \ - "0.024369,0.025575,0.027266,0.030588,0.037084,0.049814,0.074898", \ - "0.028673,0.029883,0.031578,0.034908,0.041422,0.054179,0.079285", \ - "0.034152,0.035495,0.037357,0.040934,0.047768,0.060696,0.085849", \ - "0.038929,0.040565,0.042794,0.047022,0.054879,0.069128,0.095423", \ - "0.041499,0.043564,0.046380,0.051630,0.061099,0.077719,0.106620", \ - "0.041258,0.043813,0.047299,0.053741,0.065241,0.085042,0.117979"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.043390,0.045782,0.049143,0.055780,0.068841,0.094553,0.145417", \ - "0.044969,0.047367,0.050735,0.057381,0.070455,0.096178,0.147041", \ - "0.050235,0.052643,0.056022,0.062691,0.075803,0.101573,0.152520", \ - "0.059437,0.061802,0.065154,0.071793,0.084886,0.110654,0.161580", \ - "0.072670,0.075350,0.078989,0.086061,0.099530,0.125132,0.175881", \ - "0.087987,0.091013,0.095182,0.103126,0.118138,0.145883,0.196777", \ - "0.105893,0.109240,0.113909,0.122812,0.139370,0.169785,0.224617"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011089,0.012051,0.013418,0.016142,0.021558,0.032356,0.053899", \ - "0.011091,0.012053,0.013418,0.016139,0.021558,0.032355,0.053901", \ - "0.011104,0.012058,0.013417,0.016138,0.021557,0.032355,0.053899", \ - "0.012655,0.013555,0.014836,0.017372,0.022388,0.032639,0.053899", \ - "0.016228,0.017107,0.018347,0.020788,0.025660,0.035384,0.055163", \ - "0.021917,0.022879,0.024182,0.026692,0.031459,0.040772,0.059706", \ - "0.029254,0.030325,0.031769,0.034551,0.039666,0.048950,0.067085"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.032099,0.034266,0.037320,0.043378,0.055302,0.078727,0.125059", \ - "0.032108,0.034266,0.037320,0.043377,0.055302,0.078726,0.125056", \ - "0.032096,0.034262,0.037319,0.043377,0.055302,0.078726,0.125057", \ - "0.032428,0.034486,0.037430,0.043348,0.055294,0.078725,0.125046", \ - "0.037104,0.038956,0.041479,0.046565,0.057143,0.079079,0.125034", \ - "0.043746,0.045693,0.048422,0.053743,0.064016,0.083790,0.126072", \ - "0.051105,0.053187,0.056051,0.061721,0.072593,0.093152,0.132393"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026529,0.027945,0.029935,0.033852,0.041538,0.056625,0.086409", \ - "0.027895,0.029318,0.031312,0.035233,0.042927,0.058022,0.087812", \ - "0.031466,0.032893,0.034894,0.038834,0.046551,0.061677,0.091495", \ - "0.035937,0.037470,0.039595,0.043722,0.051681,0.066887,0.096743", \ - "0.040083,0.041821,0.044218,0.048828,0.057483,0.073737,0.104315", \ - "0.042656,0.044777,0.047676,0.053111,0.063066,0.080982,0.113582", \ - "0.042668,0.045268,0.048826,0.055398,0.067222,0.087827,0.123312"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.041656,0.044041,0.047393,0.054012,0.067048,0.092724,0.143519", \ - "0.043217,0.045608,0.048964,0.055590,0.068634,0.094317,0.145144", \ - "0.048530,0.050929,0.054296,0.060943,0.074018,0.099745,0.150609", \ - "0.057739,0.060119,0.063446,0.070063,0.083127,0.108854,0.159742", \ - "0.070636,0.073343,0.077001,0.084155,0.097716,0.123308,0.174040", \ - "0.085517,0.088575,0.092813,0.100824,0.115962,0.143857,0.194856", \ - "0.102958,0.106364,0.111079,0.120067,0.136764,0.167379,0.222460"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.013200,0.014344,0.015967,0.019189,0.025613,0.038408,0.063942", \ - "0.013201,0.014345,0.015962,0.019190,0.025612,0.038408,0.063944", \ - "0.013195,0.014335,0.015960,0.019187,0.025611,0.038409,0.063944", \ - "0.014391,0.015492,0.017044,0.020118,0.026187,0.038555,0.063941", \ - "0.017118,0.018173,0.019676,0.022676,0.028657,0.040630,0.064728", \ - "0.022244,0.023289,0.024744,0.027603,0.033265,0.044693,0.068155", \ - "0.029329,0.030453,0.031998,0.034976,0.040591,0.051475,0.073817"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.031122,0.033294,0.036359,0.042433,0.054376,0.077821,0.124138", \ - "0.031125,0.033298,0.036361,0.042434,0.054377,0.077821,0.124167", \ - "0.031116,0.033293,0.036358,0.042432,0.054375,0.077820,0.124151", \ - "0.031577,0.033631,0.036558,0.042438,0.054365,0.077817,0.124153", \ - "0.036492,0.038338,0.040905,0.045924,0.056427,0.078249,0.124134", \ - "0.043232,0.045175,0.047908,0.053218,0.063476,0.083166,0.125280", \ - "0.050720,0.052790,0.055646,0.061302,0.072145,0.092673,0.131793"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022388,0.023774,0.025719,0.029557,0.037115,0.052031,0.081616", \ - "0.023748,0.025140,0.027090,0.030936,0.038503,0.053428,0.083021", \ - "0.027280,0.028684,0.030649,0.034518,0.042119,0.057082,0.086704", \ - "0.031253,0.032812,0.034965,0.039127,0.047104,0.062286,0.091955", \ - "0.034415,0.036276,0.038809,0.043591,0.052454,0.068855,0.099508", \ - "0.035328,0.037691,0.040874,0.046751,0.057261,0.075634,0.108485", \ - "0.033436,0.036374,0.040316,0.047519,0.060212,0.081733,0.117859"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.040787,0.043179,0.046536,0.053152,0.066163,0.091775,0.142413", \ - "0.042350,0.044745,0.048106,0.054728,0.067748,0.093368,0.144027", \ - "0.047660,0.050063,0.053436,0.060080,0.073133,0.098794,0.149498", \ - "0.056888,0.059266,0.062592,0.069200,0.082241,0.107901,0.158602", \ - "0.069613,0.072334,0.076015,0.083203,0.096788,0.122366,0.172933", \ - "0.084309,0.087389,0.091651,0.099699,0.114892,0.142837,0.193785", \ - "0.101540,0.104976,0.109725,0.118757,0.135522,0.166215,0.221327"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.010785,0.011908,0.013508,0.016705,0.023078,0.035809,0.061257", \ - "0.010781,0.011908,0.013506,0.016706,0.023081,0.035814,0.061262", \ - "0.010870,0.011964,0.013534,0.016712,0.023077,0.035808,0.061253", \ - "0.012308,0.013383,0.014896,0.017924,0.023911,0.036080,0.061250", \ - "0.015536,0.016541,0.017959,0.020821,0.026609,0.038387,0.062233", \ - "0.021116,0.022140,0.023551,0.026300,0.031716,0.042756,0.065873", \ - "0.028503,0.029607,0.031124,0.034052,0.039502,0.049989,0.071790"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.024229,0.026287,0.029217,0.035071,0.046721,0.069942,0.116230", \ - "0.024232,0.026292,0.029219,0.035070,0.046720,0.069933,0.116247", \ - "0.024221,0.026285,0.029216,0.035069,0.046729,0.069943,0.116245", \ - "0.024719,0.026656,0.029444,0.035090,0.046711,0.069930,0.116226", \ - "0.029039,0.030929,0.033554,0.038658,0.048825,0.070392,0.116227", \ - "0.034415,0.036466,0.039329,0.044856,0.055440,0.075386,0.117393", \ - "0.040504,0.042730,0.045790,0.051789,0.063163,0.084372,0.123983"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019514,0.020688,0.022335,0.025579,0.031957,0.044524,0.069424", \ - "0.020966,0.022146,0.023797,0.027048,0.033433,0.046008,0.070911", \ - "0.025225,0.026419,0.028083,0.031352,0.037763,0.050369,0.075300", \ - "0.030061,0.031456,0.033368,0.037017,0.043912,0.056872,0.081864", \ - "0.033554,0.035341,0.037753,0.042249,0.050397,0.064921,0.091359", \ - "0.034358,0.036665,0.039772,0.045492,0.055605,0.072846,0.102204", \ - "0.032201,0.035092,0.038968,0.046034,0.058425,0.079252,0.113056"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.042513,0.044911,0.048277,0.054912,0.067954,0.093604,0.144283", \ - "0.044092,0.046494,0.049868,0.056511,0.069567,0.095230,0.145914", \ - "0.049352,0.051769,0.055153,0.061822,0.074913,0.100624,0.151359", \ - "0.058575,0.060944,0.064291,0.070921,0.083993,0.109703,0.160452", \ - "0.071646,0.074349,0.077984,0.085110,0.098620,0.124182,0.174786", \ - "0.086785,0.089838,0.094041,0.102017,0.117072,0.144859,0.195713", \ - "0.104479,0.107865,0.112575,0.121513,0.138122,0.168621,0.223504"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009062,0.010013,0.011358,0.014051,0.019430,0.030178,0.051650", \ - "0.009066,0.010008,0.011355,0.014050,0.019429,0.030177,0.051649", \ - "0.009231,0.010128,0.011430,0.014077,0.019433,0.030175,0.051647", \ - "0.011101,0.011966,0.013193,0.015658,0.020590,0.030621,0.051647", \ - "0.015069,0.015933,0.017131,0.019485,0.024157,0.033663,0.053168", \ - "0.020994,0.021952,0.023250,0.025728,0.030385,0.039386,0.057969", \ - "0.028598,0.029638,0.031064,0.033805,0.038839,0.047940,0.065649"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.025109,0.027164,0.030095,0.035953,0.047615,0.070824,0.117126", \ - "0.025107,0.027161,0.030095,0.035954,0.047611,0.070824,0.117125", \ - "0.025092,0.027154,0.030093,0.035951,0.047613,0.070827,0.117123", \ - "0.025448,0.027411,0.030229,0.035928,0.047600,0.070831,0.117124", \ - "0.029639,0.031538,0.034147,0.039235,0.049498,0.071198,0.117115", \ - "0.034972,0.037008,0.039859,0.045401,0.055986,0.075976,0.118183", \ - "0.040956,0.043181,0.046252,0.052260,0.063626,0.084855,0.124603"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.022910,0.024114,0.025799,0.029117,0.035607,0.048331,0.073408", \ - "0.024369,0.025575,0.027266,0.030588,0.037084,0.049814,0.074898", \ - "0.028673,0.029883,0.031578,0.034908,0.041422,0.054179,0.079285", \ - "0.034152,0.035495,0.037357,0.040934,0.047768,0.060696,0.085849", \ - "0.038929,0.040565,0.042794,0.047022,0.054879,0.069128,0.095423", \ - "0.041499,0.043564,0.046380,0.051630,0.061099,0.077719,0.106620", \ - "0.041258,0.043813,0.047299,0.053741,0.065241,0.085042,0.117979"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.043390,0.045782,0.049143,0.055780,0.068841,0.094553,0.145417", \ - "0.044969,0.047367,0.050735,0.057381,0.070455,0.096178,0.147041", \ - "0.050235,0.052643,0.056022,0.062691,0.075803,0.101573,0.152520", \ - "0.059437,0.061802,0.065154,0.071793,0.084886,0.110654,0.161580", \ - "0.072670,0.075350,0.078989,0.086061,0.099530,0.125132,0.175881", \ - "0.087987,0.091013,0.095182,0.103126,0.118138,0.145883,0.196777", \ - "0.105893,0.109240,0.113909,0.122812,0.139370,0.169785,0.224617"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.011089,0.012051,0.013418,0.016142,0.021558,0.032356,0.053899", \ - "0.011091,0.012053,0.013418,0.016139,0.021558,0.032355,0.053901", \ - "0.011104,0.012058,0.013417,0.016138,0.021557,0.032355,0.053899", \ - "0.012655,0.013555,0.014836,0.017372,0.022388,0.032639,0.053899", \ - "0.016228,0.017107,0.018347,0.020788,0.025660,0.035384,0.055163", \ - "0.021917,0.022879,0.024182,0.026692,0.031459,0.040772,0.059706", \ - "0.029254,0.030325,0.031769,0.034551,0.039666,0.048950,0.067085"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.032099,0.034266,0.037320,0.043378,0.055302,0.078727,0.125059", \ - "0.032108,0.034266,0.037320,0.043377,0.055302,0.078726,0.125056", \ - "0.032096,0.034262,0.037319,0.043377,0.055302,0.078726,0.125057", \ - "0.032428,0.034486,0.037430,0.043348,0.055294,0.078725,0.125046", \ - "0.037104,0.038956,0.041479,0.046565,0.057143,0.079079,0.125034", \ - "0.043746,0.045693,0.048422,0.053743,0.064016,0.083790,0.126072", \ - "0.051105,0.053187,0.056051,0.061721,0.072593,0.093152,0.132393"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.019514,0.020688,0.022335,0.025579,0.031957,0.044524,0.069424", \ - "0.020966,0.022146,0.023797,0.027048,0.033433,0.046008,0.070911", \ - "0.025225,0.026419,0.028083,0.031352,0.037763,0.050369,0.075300", \ - "0.030061,0.031456,0.033368,0.037017,0.043912,0.056872,0.081864", \ - "0.033554,0.035341,0.037753,0.042249,0.050397,0.064921,0.091359", \ - "0.034358,0.036665,0.039772,0.045492,0.055605,0.072846,0.102204", \ - "0.032201,0.035092,0.038968,0.046034,0.058425,0.079252,0.113056"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.042513,0.044911,0.048277,0.054912,0.067954,0.093604,0.144283", \ - "0.044092,0.046494,0.049868,0.056511,0.069567,0.095230,0.145914", \ - "0.049352,0.051769,0.055153,0.061822,0.074913,0.100624,0.151359", \ - "0.058575,0.060944,0.064291,0.070921,0.083993,0.109703,0.160452", \ - "0.071646,0.074349,0.077984,0.085110,0.098620,0.124182,0.174786", \ - "0.086785,0.089838,0.094041,0.102017,0.117072,0.144859,0.195713", \ - "0.104479,0.107865,0.112575,0.121513,0.138122,0.168621,0.223504"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.009062,0.010013,0.011358,0.014051,0.019430,0.030178,0.051650", \ - "0.009066,0.010008,0.011355,0.014050,0.019429,0.030177,0.051649", \ - "0.009231,0.010128,0.011430,0.014077,0.019433,0.030175,0.051647", \ - "0.011101,0.011966,0.013193,0.015658,0.020590,0.030621,0.051647", \ - "0.015069,0.015933,0.017131,0.019485,0.024157,0.033663,0.053168", \ - "0.020994,0.021952,0.023250,0.025728,0.030385,0.039386,0.057969", \ - "0.028598,0.029638,0.031064,0.033805,0.038839,0.047940,0.065649"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.025109,0.027164,0.030095,0.035953,0.047615,0.070824,0.117126", \ - "0.025107,0.027161,0.030095,0.035954,0.047611,0.070824,0.117125", \ - "0.025092,0.027154,0.030093,0.035951,0.047613,0.070827,0.117123", \ - "0.025448,0.027411,0.030229,0.035928,0.047600,0.070831,0.117124", \ - "0.029639,0.031538,0.034147,0.039235,0.049498,0.071198,0.117115", \ - "0.034972,0.037008,0.039859,0.045401,0.055986,0.075976,0.118183", \ - "0.040956,0.043181,0.046252,0.052260,0.063626,0.084855,0.124603"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.016829,0.017783,0.019120,0.021749,0.026910,0.037058,0.057137", \ - "0.018321,0.019279,0.020619,0.023255,0.028421,0.038576,0.058659", \ - "0.022913,0.023893,0.025251,0.027904,0.033092,0.043274,0.063379", \ - "0.028378,0.029636,0.031347,0.034571,0.040496,0.051306,0.071535", \ - "0.032007,0.033711,0.036014,0.040281,0.047895,0.060996,0.083541", \ - "0.032721,0.034951,0.037963,0.043514,0.053263,0.069711,0.096497", \ - "0.030371,0.033180,0.036961,0.043860,0.055931,0.076150,0.108437"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.045643,0.047985,0.051284,0.057814,0.070714,0.096197,0.146725", \ - "0.047241,0.049591,0.052899,0.059445,0.072361,0.097866,0.148426", \ - "0.052506,0.054862,0.058181,0.064743,0.077697,0.103261,0.153888", \ - "0.061719,0.064041,0.067323,0.073856,0.086783,0.112329,0.162934", \ - "0.075247,0.077797,0.081316,0.088247,0.101469,0.126857,0.177293", \ - "0.090836,0.093769,0.097832,0.105598,0.120347,0.147754,0.198307", \ - "0.109071,0.112322,0.116884,0.125585,0.141855,0.171937,0.226360"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.007850,0.008609,0.009689,0.011841,0.016140,0.024722,0.041844", \ - "0.007851,0.008608,0.009686,0.011841,0.016141,0.024722,0.041841", \ - "0.008124,0.008825,0.009838,0.011899,0.016144,0.024724,0.041845", \ - "0.010802,0.011468,0.012403,0.014265,0.017981,0.025550,0.041884", \ - "0.015571,0.016297,0.017295,0.019233,0.022929,0.030091,0.044578", \ - "0.022017,0.022830,0.023950,0.026107,0.030146,0.037515,0.051575", \ - "0.030067,0.030946,0.032174,0.034579,0.039095,0.047128,0.061552"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("0.026087,0.028180,0.031149,0.037071,0.048832,0.072155,0.118570", \ - "0.026089,0.028173,0.031150,0.037071,0.048825,0.072155,0.118586", \ - "0.026078,0.028172,0.031148,0.037069,0.048829,0.072168,0.118579", \ - "0.026352,0.028359,0.031224,0.037063,0.048821,0.072155,0.118564", \ - "0.030430,0.032318,0.034955,0.040062,0.050529,0.072457,0.118561", \ - "0.035823,0.037860,0.040719,0.046286,0.056907,0.077005,0.119529", \ - "0.041842,0.044056,0.047139,0.053161,0.064561,0.085830,0.125713"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.384794,3.408618,3.430397,3.459858,3.509349,3.577286,3.661751", \ - "3.407631,3.402433,3.457675,3.487398,3.548783,3.640306,3.720552", \ - "3.374358,3.400228,3.425615,3.479889,3.538363,3.632562,3.728916", \ - "3.526433,3.535255,3.538055,3.570329,3.604311,3.678390,3.753984", \ - "4.204899,4.192112,4.153030,4.072623,3.967473,3.944096,3.925715", \ - "5.381729,5.319240,5.257052,5.135450,4.918582,4.615508,4.403751", \ - "7.009747,6.954399,6.876477,6.707311,6.404146,5.897134,5.296307"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.604765,8.620965,8.633206,8.684906,8.727335,8.602332,8.747832", \ - "8.474276,8.496876,8.559940,8.611683,8.589082,8.601515,8.728051", \ - "8.482623,8.490123,8.494427,8.531051,8.589262,8.559466,8.655312", \ - "8.879271,8.867675,8.829329,8.780340,8.772190,8.640988,8.711371", \ - "9.833508,9.748631,9.721487,9.599543,9.362468,9.229379,8.979186", \ - "11.138410,11.071290,11.026310,10.958630,10.605200,10.312690,9.927480", \ - "13.029090,12.940890,12.805640,12.655350,12.333970,11.916850,11.251060"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.344495,2.353388,2.393631,2.422224,2.455022,2.491759,2.542095", \ - "2.355894,2.362465,2.386176,2.437240,2.487120,2.543129,2.603313", \ - "2.316819,2.335833,2.364158,2.393422,2.446113,2.521303,2.606550", \ - "2.547662,2.534112,2.508533,2.513412,2.538943,2.571966,2.628319", \ - "3.348327,3.292118,3.224620,3.121874,2.954693,2.860399,2.816599", \ - "4.586816,4.529942,4.429422,4.268562,3.983080,3.597850,3.304127", \ - "6.298243,6.223283,6.129108,5.939952,5.558868,4.943158,4.245637"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.265883,7.281145,7.335936,7.327943,7.336585,7.366794,7.291224", \ - "7.174033,7.197904,7.219586,7.248607,7.329468,7.354334,7.481275", \ - "7.145169,7.151169,7.207776,7.238180,7.203705,7.345541,7.407392", \ - "7.589018,7.568764,7.538134,7.453436,7.385917,7.435246,7.464003", \ - "8.538056,8.485560,8.396814,8.311865,8.171909,7.860500,7.602335", \ - "9.671312,9.667028,9.594592,9.541076,9.314518,8.986802,8.554111", \ - "11.629840,11.560240,11.447760,11.213490,10.983110,10.582610,9.912009"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.323531,2.357410,2.372746,2.387654,2.439109,2.488044,2.531975", \ - "2.313897,2.357219,2.387488,2.409614,2.476645,2.528713,2.588921", \ - "2.309413,2.333919,2.358759,2.382888,2.448076,2.520474,2.594873", \ - "2.616407,2.589579,2.567448,2.530026,2.541604,2.586480,2.630392", \ - "3.431577,3.389420,3.327372,3.217316,3.057340,2.897142,2.839500", \ - "4.720739,4.653435,4.575305,4.390108,4.119227,3.724699,3.364719", \ - "6.431329,6.372723,6.292442,6.118000,5.737704,5.148007,4.420195"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.249191,7.264539,7.319583,7.305542,7.277142,7.291347,7.338227", \ - "7.101958,7.166660,7.188447,7.259771,7.254396,7.319071,7.457105", \ - "7.124601,7.128622,7.174706,7.198402,7.132903,7.246620,7.376428", \ - "7.599450,7.576829,7.543931,7.474987,7.469585,7.379149,7.439578", \ - "8.586951,8.531701,8.439474,8.326577,8.083962,7.850603,7.770799", \ - "9.804605,9.753425,9.678982,9.613658,9.405297,9.012490,8.526685", \ - "11.810170,11.738660,11.616580,11.415790,11.113460,10.777330,9.807877"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.344495,2.353388,2.393631,2.422224,2.455022,2.491759,2.542095", \ - "2.355894,2.362465,2.386176,2.437240,2.487120,2.543129,2.603313", \ - "2.316819,2.335833,2.364158,2.393422,2.446113,2.521303,2.606550", \ - "2.547662,2.534112,2.508533,2.513412,2.538943,2.571966,2.628319", \ - "3.348327,3.292118,3.224620,3.121874,2.954693,2.860399,2.816599", \ - "4.586816,4.529942,4.429422,4.268562,3.983080,3.597850,3.304127", \ - "6.298243,6.223283,6.129108,5.939952,5.558868,4.943158,4.245637"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.265883,7.281145,7.335936,7.327943,7.336585,7.366794,7.291224", \ - "7.174033,7.197904,7.219586,7.248607,7.329468,7.354334,7.481275", \ - "7.145169,7.151169,7.207776,7.238180,7.203705,7.345541,7.407392", \ - "7.589018,7.568764,7.538134,7.453436,7.385917,7.435246,7.464003", \ - "8.538056,8.485560,8.396814,8.311865,8.171909,7.860500,7.602335", \ - "9.671312,9.667028,9.594592,9.541076,9.314518,8.986802,8.554111", \ - "11.629840,11.560240,11.447760,11.213490,10.983110,10.582610,9.912009"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.340027,1.352634,1.367705,1.376822,1.385636,1.404373,1.412873", \ - "1.316950,1.337349,1.355720,1.378247,1.422582,1.442889,1.468145", \ - "1.262537,1.274210,1.297860,1.335823,1.367084,1.423007,1.467500", \ - "1.640770,1.597815,1.532514,1.474430,1.460648,1.476446,1.500906", \ - "2.537699,2.456209,2.362993,2.197402,1.973503,1.786735,1.691951", \ - "3.860146,3.781336,3.673479,3.438558,3.074646,2.592025,2.202033", \ - "5.616127,5.518154,5.436883,5.186527,4.743580,4.011735,3.199057"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.975482,5.985915,6.038374,5.985032,6.082214,6.078589,5.948092", \ - "5.839654,5.856236,5.929787,5.994807,5.988883,6.031152,6.139829", \ - "5.855887,5.888029,5.902368,5.875086,5.955759,5.934304,5.932275", \ - "6.310640,6.276854,6.241324,6.207608,6.093682,6.157607,5.939131", \ - "6.973950,7.007438,7.049406,6.967036,6.857885,6.603618,6.362483", \ - "8.257279,8.223441,8.155777,8.094067,8.088074,7.553482,7.132539", \ - "10.261310,10.171950,10.061110,9.830257,9.574023,9.329305,8.458269"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.347225,1.344859,1.362090,1.371773,1.394244,1.397980,1.409699", \ - "1.316076,1.335176,1.357548,1.387507,1.423469,1.445994,1.468941", \ - "1.275029,1.294030,1.309332,1.337690,1.385939,1.433044,1.467921", \ - "1.721326,1.668565,1.622485,1.528165,1.486339,1.496058,1.506425", \ - "2.634795,2.557949,2.472427,2.312428,2.082809,1.829401,1.724817", \ - "3.987479,3.898652,3.787484,3.594641,3.220719,2.736236,2.285631", \ - "5.724379,5.676998,5.558759,5.365974,4.947967,4.227325,3.386174"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.958893,5.969627,5.972207,6.046427,5.986072,6.064693,6.059901", \ - "5.809360,5.833932,5.888292,5.916267,5.951703,6.030914,5.898948", \ - "5.836045,5.835117,5.849758,5.881712,5.916150,5.902240,5.878900", \ - "6.321532,6.285565,6.247368,6.173926,6.112350,6.139327,6.115465", \ - "7.019197,7.080177,7.091639,7.037754,6.876697,6.624150,6.366326", \ - "8.384447,8.307551,8.285523,8.214065,8.186584,7.774727,7.182673", \ - "10.440190,10.349190,10.237520,10.033250,9.700297,9.412140,8.568741"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.323531,2.357410,2.372746,2.387654,2.439109,2.488044,2.531975", \ - "2.313897,2.357219,2.387488,2.409614,2.476645,2.528713,2.588921", \ - "2.309413,2.333919,2.358759,2.382888,2.448076,2.520474,2.594873", \ - "2.616407,2.589579,2.567448,2.530026,2.541604,2.586480,2.630392", \ - "3.431577,3.389420,3.327372,3.217316,3.057340,2.897142,2.839500", \ - "4.720739,4.653435,4.575305,4.390108,4.119227,3.724699,3.364719", \ - "6.431329,6.372723,6.292442,6.118000,5.737704,5.148007,4.420195"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.249191,7.264539,7.319583,7.305542,7.277142,7.291347,7.338227", \ - "7.101958,7.166660,7.188447,7.259771,7.254396,7.319071,7.457105", \ - "7.124601,7.128622,7.174706,7.198402,7.132903,7.246620,7.376428", \ - "7.599450,7.576829,7.543931,7.474987,7.469585,7.379149,7.439578", \ - "8.586951,8.531701,8.439474,8.326577,8.083962,7.850603,7.770799", \ - "9.804605,9.753425,9.678982,9.613658,9.405297,9.012490,8.526685", \ - "11.810170,11.738660,11.616580,11.415790,11.113460,10.777330,9.807877"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.347225,1.344859,1.362090,1.371773,1.394244,1.397980,1.409699", \ - "1.316076,1.335176,1.357548,1.387507,1.423469,1.445994,1.468941", \ - "1.275029,1.294030,1.309332,1.337690,1.385939,1.433044,1.467921", \ - "1.721326,1.668565,1.622485,1.528165,1.486339,1.496058,1.506425", \ - "2.634795,2.557949,2.472427,2.312428,2.082809,1.829401,1.724817", \ - "3.987479,3.898652,3.787484,3.594641,3.220719,2.736236,2.285631", \ - "5.724379,5.676998,5.558759,5.365974,4.947967,4.227325,3.386174"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.958893,5.969627,5.972207,6.046427,5.986072,6.064693,6.059901", \ - "5.809360,5.833932,5.888292,5.916267,5.951703,6.030914,5.898948", \ - "5.836045,5.835117,5.849758,5.881712,5.916150,5.902240,5.878900", \ - "6.321532,6.285565,6.247368,6.173926,6.112350,6.139327,6.115465", \ - "7.019197,7.080177,7.091639,7.037754,6.876697,6.624150,6.366326", \ - "8.384447,8.307551,8.285523,8.214065,8.186584,7.774727,7.182673", \ - "10.440190,10.349190,10.237520,10.033250,9.700297,9.412140,8.568741"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.355718,1.356960,1.371007,1.384996,1.393809,1.397482,1.413103", \ - "1.322327,1.339818,1.352608,1.389212,1.416054,1.446572,1.474893", \ - "1.301697,1.299495,1.321112,1.351533,1.386779,1.434144,1.480082", \ - "1.789989,1.753235,1.696096,1.614648,1.519430,1.509477,1.527620", \ - "2.697366,2.655900,2.567728,2.407306,2.187942,1.919384,1.773675", \ - "4.073708,4.019448,3.909803,3.742583,3.368204,2.903770,2.383136", \ - "5.836805,5.792393,5.696844,5.532910,5.145750,4.472821,3.600352"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("5.956295,5.967157,6.012433,6.013658,5.994063,6.062743,5.933609", \ - "5.786752,5.824397,5.865893,5.920145,5.909033,5.986588,5.882280", \ - "5.812333,5.809377,5.809404,5.838513,5.829523,5.865352,5.908925", \ - "6.331478,6.294375,6.253568,6.175774,6.077170,6.097972,5.851848", \ - "7.118269,7.129454,7.139342,7.109831,6.925047,6.648781,6.371534", \ - "8.479611,8.445011,8.377625,8.296081,8.299814,7.882090,7.243309", \ - "10.633120,10.540550,10.427850,10.203160,9.980146,9.583011,8.789266"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.272751,4.295639,4.316425,4.345786,4.410024,4.498242,4.601203", \ - "4.176427,4.175395,4.199395,4.244845,4.314173,4.414238,4.511413", \ - "4.086546,4.112399,4.144137,4.190932,4.272082,4.363597,4.463000", \ - "4.266062,4.278561,4.289199,4.309636,4.357873,4.420817,4.501243", \ - "4.911409,4.896764,4.862515,4.809188,4.736010,4.719512,4.715108", \ - "6.077607,6.040908,5.988038,5.884648,5.692623,5.412850,5.226935", \ - "7.765322,7.724731,7.646814,7.486166,7.221286,6.759473,6.165737"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("10.216240,10.225930,10.229340,10.264630,10.145610,10.221930,10.128980", \ - "10.121820,10.145240,10.156610,10.192490,10.193370,10.201840,10.329730", \ - "9.955860,9.972218,9.987586,10.016240,10.130850,10.235250,10.199400", \ - "9.978534,9.979090,9.984231,10.000370,9.992891,10.024030,10.179630", \ - "10.407360,10.382540,10.346290,10.281860,10.195280,10.122110,10.203000", \ - "11.156610,11.164540,11.148780,11.091380,10.925920,10.807070,10.539920", \ - "12.585660,12.527340,12.438730,12.231460,12.134670,11.688930,11.448270"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.214233,3.227713,3.255461,3.277984,3.345561,3.402002,3.465282", \ - "3.116905,3.138346,3.157951,3.188424,3.246204,3.299859,3.374348", \ - "3.053126,3.071560,3.091929,3.125798,3.186240,3.247715,3.327832", \ - "3.237716,3.234669,3.238019,3.271919,3.285147,3.327125,3.363416", \ - "4.014756,3.982640,3.934313,3.849254,3.710148,3.643418,3.592880", \ - "5.251315,5.212108,5.133862,5.000005,4.760327,4.400169,4.129800", \ - "7.025590,6.958894,6.867366,6.688673,6.352262,5.813309,5.116026"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.878128,8.929671,8.937940,8.933721,8.920270,8.994771,8.824820", \ - "8.781758,8.842436,8.858983,8.866829,8.965263,9.011992,9.008901", \ - "8.617139,8.632529,8.691797,8.658989,8.770936,8.914108,8.951090", \ - "8.641304,8.641777,8.643064,8.613364,8.666955,8.678052,8.841150", \ - "9.083761,9.082530,9.049955,9.022391,8.875981,8.929587,8.956210", \ - "9.726267,9.722925,9.709580,9.661923,9.708612,9.353778,9.293023", \ - "11.187910,11.118890,11.055980,10.920300,10.705770,10.536790,10.054680"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.164745,3.206272,3.212550,3.272433,3.304965,3.376360,3.449417", \ - "3.074227,3.116149,3.123395,3.161874,3.218479,3.276499,3.354726", \ - "3.040530,3.042482,3.060028,3.121020,3.172134,3.226152,3.306803", \ - "3.282113,3.254600,3.245229,3.259431,3.285327,3.309661,3.356290", \ - "4.076009,4.058412,4.007492,3.924284,3.779325,3.665984,3.606847", \ - "5.383308,5.329013,5.239763,5.104533,4.875375,4.527501,4.174812", \ - "7.173265,7.127242,7.029591,6.863212,6.540550,6.001495,5.282351"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.862616,8.871213,8.928284,8.914519,8.910278,8.925778,8.811515", \ - "8.756034,8.816303,8.832622,8.881991,8.917376,8.993238,9.008760", \ - "8.598237,8.612007,8.623829,8.682818,8.745063,8.860750,8.922251", \ - "8.632665,8.631812,8.624751,8.645049,8.610050,8.752334,8.781800", \ - "9.094403,9.091033,9.057049,9.000225,8.982088,8.915532,8.934876", \ - "9.817534,9.768821,9.752244,9.771956,9.615125,9.538916,9.297524", \ - "11.325900,11.255050,11.145930,11.032680,10.782050,10.600860,10.034440"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.214233,3.227713,3.255461,3.277984,3.345561,3.402002,3.465282", \ - "3.116905,3.138346,3.157951,3.188424,3.246204,3.299859,3.374348", \ - "3.053126,3.071560,3.091929,3.125798,3.186240,3.247715,3.327832", \ - "3.237716,3.234669,3.238019,3.271919,3.285147,3.327125,3.363416", \ - "4.014756,3.982640,3.934313,3.849254,3.710148,3.643418,3.592880", \ - "5.251315,5.212108,5.133862,5.000005,4.760327,4.400169,4.129800", \ - "7.025590,6.958894,6.867366,6.688673,6.352262,5.813309,5.116026"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.878128,8.929671,8.937940,8.933721,8.920270,8.994771,8.824820", \ - "8.781758,8.842436,8.858983,8.866829,8.965263,9.011992,9.008901", \ - "8.617139,8.632529,8.691797,8.658989,8.770936,8.914108,8.951090", \ - "8.641304,8.641777,8.643064,8.613364,8.666955,8.678052,8.841150", \ - "9.083761,9.082530,9.049955,9.022391,8.875981,8.929587,8.956210", \ - "9.726267,9.722925,9.709580,9.661923,9.708612,9.353778,9.293023", \ - "11.187910,11.118890,11.055980,10.920300,10.705770,10.536790,10.054680"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.211500,2.207975,2.219619,2.252142,2.271476,2.308471,2.345434", \ - "2.096690,2.112921,2.126148,2.148495,2.181720,2.214391,2.245909", \ - "2.020746,2.043128,2.053869,2.086106,2.117621,2.155397,2.194094", \ - "2.299624,2.258692,2.232429,2.219223,2.231352,2.226629,2.243922", \ - "3.160172,3.102706,3.036561,2.914888,2.718859,2.573244,2.469944", \ - "4.486987,4.427923,4.334991,4.133906,3.842381,3.406883,3.042568", \ - "6.313924,6.228615,6.141040,5.924280,5.514197,4.881379,4.086181"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.589404,7.591711,7.637300,7.658151,7.663067,7.546901,7.584897", \ - "7.489603,7.502222,7.559537,7.569159,7.606250,7.642217,7.526722", \ - "7.326509,7.337243,7.379740,7.353403,7.429875,7.470821,7.389225", \ - "7.347830,7.344939,7.340899,7.372102,7.387326,7.450483,7.405555", \ - "7.550901,7.580666,7.656198,7.725728,7.676617,7.549547,7.596619", \ - "8.317497,8.301407,8.272221,8.242491,8.220744,8.203778,7.860355", \ - "9.805713,9.751934,9.630365,9.502620,9.353455,9.142954,8.650846"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.190813,2.203754,2.212957,2.223064,2.250666,2.284344,2.331932", \ - "2.079026,2.089485,2.107351,2.134811,2.164854,2.194408,2.244970", \ - "1.995165,2.031999,2.045131,2.067000,2.110691,2.142970,2.195531", \ - "2.342305,2.318409,2.281999,2.228083,2.230387,2.236838,2.247713", \ - "3.248419,3.183725,3.118580,3.004206,2.800211,2.603593,2.506552", \ - "4.613221,4.537036,4.463720,4.281403,3.974580,3.533320,3.103677", \ - "6.454000,6.403350,6.302265,6.095206,5.703530,5.077336,4.266866"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.573176,7.576705,7.622282,7.633244,7.654472,7.511558,7.571865", \ - "7.464559,7.476778,7.533677,7.570932,7.578245,7.618879,7.512950", \ - "7.308267,7.317519,7.356579,7.351553,7.435622,7.441441,7.471971", \ - "7.339601,7.335520,7.338336,7.370092,7.294352,7.424471,7.371207", \ - "7.561714,7.576686,7.662911,7.711847,7.642516,7.538919,7.377746", \ - "8.373938,8.342851,8.302659,8.292037,8.252042,8.196457,7.818044", \ - "9.925760,9.846122,9.764944,9.642154,9.428414,9.205982,8.696005"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.164745,3.206272,3.212550,3.272433,3.304965,3.376360,3.449417", \ - "3.074227,3.116149,3.123395,3.161874,3.218479,3.276499,3.354726", \ - "3.040530,3.042482,3.060028,3.121020,3.172134,3.226152,3.306803", \ - "3.282113,3.254600,3.245229,3.259431,3.285327,3.309661,3.356290", \ - "4.076009,4.058412,4.007492,3.924284,3.779325,3.665984,3.606847", \ - "5.383308,5.329013,5.239763,5.104533,4.875375,4.527501,4.174812", \ - "7.173265,7.127242,7.029591,6.863212,6.540550,6.001495,5.282351"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.862616,8.871213,8.928284,8.914519,8.910278,8.925778,8.811515", \ - "8.756034,8.816303,8.832622,8.881991,8.917376,8.993238,9.008760", \ - "8.598237,8.612007,8.623829,8.682818,8.745063,8.860750,8.922251", \ - "8.632665,8.631812,8.624751,8.645049,8.610050,8.752334,8.781800", \ - "9.094403,9.091033,9.057049,9.000225,8.982088,8.915532,8.934876", \ - "9.817534,9.768821,9.752244,9.771956,9.615125,9.538916,9.297524", \ - "11.325900,11.255050,11.145930,11.032680,10.782050,10.600860,10.034440"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.190813,2.203754,2.212957,2.223064,2.250666,2.284344,2.331932", \ - "2.079026,2.089485,2.107351,2.134811,2.164854,2.194408,2.244970", \ - "1.995165,2.031999,2.045131,2.067000,2.110691,2.142970,2.195531", \ - "2.342305,2.318409,2.281999,2.228083,2.230387,2.236838,2.247713", \ - "3.248419,3.183725,3.118580,3.004206,2.800211,2.603593,2.506552", \ - "4.613221,4.537036,4.463720,4.281403,3.974580,3.533320,3.103677", \ - "6.454000,6.403350,6.302265,6.095206,5.703530,5.077336,4.266866"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.573176,7.576705,7.622282,7.633244,7.654472,7.511558,7.571865", \ - "7.464559,7.476778,7.533677,7.570932,7.578245,7.618879,7.512950", \ - "7.308267,7.317519,7.356579,7.351553,7.435622,7.441441,7.471971", \ - "7.339601,7.335520,7.338336,7.370092,7.294352,7.424471,7.371207", \ - "7.561714,7.576686,7.662911,7.711847,7.642516,7.538919,7.377746", \ - "8.373938,8.342851,8.302659,8.292037,8.252042,8.196457,7.818044", \ - "9.925760,9.846122,9.764944,9.642154,9.428414,9.205982,8.696005"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.134914,2.167055,2.184646,2.205506,2.238051,2.279435,2.317135", \ - "2.039563,2.057345,2.061040,2.093900,2.140070,2.190012,2.228055", \ - "1.986414,1.995741,2.001232,2.037052,2.082143,2.136675,2.179707", \ - "2.402609,2.374824,2.332100,2.265861,2.228811,2.227469,2.239562", \ - "3.324541,3.263088,3.208736,3.081799,2.891804,2.641661,2.524783", \ - "4.732849,4.665396,4.588598,4.415410,4.121727,3.681091,3.169895", \ - "6.598616,6.561617,6.469722,6.293706,5.914715,5.293517,4.462107"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("7.570480,7.574131,7.619843,7.630936,7.628208,7.567340,7.570166", \ - "7.445896,7.458111,7.514548,7.497795,7.563207,7.601379,7.501499", \ - "7.288903,7.296040,7.321429,7.320761,7.395041,7.408735,7.596549", \ - "7.330503,7.325434,7.341203,7.290731,7.330473,7.395948,7.337642", \ - "7.572603,7.586239,7.670220,7.712223,7.554660,7.528151,7.355415", \ - "8.419587,8.393391,8.400893,8.377481,8.444671,8.096589,7.814905", \ - "10.075570,9.993140,9.909758,9.751950,9.549210,9.442598,8.749585"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.387008,3.410344,3.439344,3.481250,3.531499,3.592693,3.662003", \ - "3.408206,3.420531,3.448560,3.488975,3.562057,3.641692,3.730739", \ - "3.282859,3.313646,3.350132,3.411890,3.476882,3.598179,3.713447", \ - "3.189750,3.227964,3.246749,3.321653,3.402747,3.507627,3.655366", \ - "3.539702,3.547407,3.519831,3.514025,3.485390,3.565951,3.662152", \ - "4.294389,4.266970,4.219555,4.143194,4.033774,3.911710,3.860484", \ - "5.456437,5.431819,5.371143,5.255345,5.051731,4.742040,4.403057"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.507770,11.512290,11.556630,11.565450,11.493200,11.534690,11.447530", \ - "11.381270,11.434750,11.444100,11.493940,11.485240,11.481580,11.686120", \ - "11.314390,11.323200,11.329030,11.370430,11.353470,11.379840,11.605250", \ - "11.639780,11.632630,11.618680,11.620940,11.638830,11.518050,11.670780", \ - "12.492060,12.465630,12.414770,12.382630,12.155460,12.026820,11.826960", \ - "13.846620,13.815700,13.803320,13.646050,13.470260,12.981800,12.614630", \ - "15.619760,15.571350,15.489970,15.396320,15.202530,14.710050,13.962100"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.366838,2.384888,2.399911,2.414336,2.454584,2.496304,2.537811", \ - "2.354615,2.378636,2.403911,2.441869,2.484699,2.542633,2.591534", \ - "2.202243,2.211566,2.267433,2.304609,2.403749,2.492993,2.569435", \ - "2.148946,2.149763,2.174148,2.227537,2.302349,2.400130,2.514787", \ - "2.576757,2.545580,2.524198,2.471809,2.421448,2.457234,2.534938", \ - "3.392002,3.349724,3.295572,3.200149,3.040190,2.848928,2.743293", \ - "4.620761,4.585288,4.508662,4.383610,4.124345,3.731438,3.312848"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("10.180050,10.187880,10.190580,10.183500,10.199480,10.282740,10.192180", \ - "10.051870,10.065550,10.075640,10.149860,10.186150,10.243090,10.125140", \ - "9.943746,9.953596,10.007220,10.040180,10.029790,10.156830,10.043760", \ - "10.318870,10.310680,10.298760,10.285040,10.275850,10.208740,10.109490", \ - "11.177040,11.147340,11.100510,10.986070,10.893000,10.774410,10.572630", \ - "12.378700,12.380210,12.357240,12.355070,12.160550,11.730080,11.360600", \ - "14.164790,14.143270,14.085930,13.975010,13.821360,13.340320,12.856470"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.319851,2.359496,2.367679,2.392555,2.442853,2.479986,2.529413", \ - "2.304885,2.351073,2.378639,2.410232,2.469628,2.530927,2.590651", \ - "2.168028,2.206567,2.247856,2.306292,2.386398,2.478698,2.568580", \ - "2.203504,2.214050,2.196639,2.231247,2.293191,2.395236,2.513697", \ - "2.652372,2.636482,2.601552,2.560746,2.518131,2.474213,2.541024", \ - "3.503420,3.472127,3.425434,3.335913,3.171625,2.972429,2.795487", \ - "4.748530,4.692243,4.647470,4.509060,4.310460,3.917071,3.476007"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("10.156100,10.163850,10.166990,10.163580,10.232170,10.301600,10.169050", \ - "10.002000,10.015840,10.026370,10.068430,10.163950,10.186110,10.078650", \ - "9.906910,9.915105,9.966657,9.949731,10.034000,10.054240,10.143040", \ - "10.320540,10.313620,10.298540,10.253060,10.206600,10.182340,10.070810", \ - "11.225830,11.195400,11.145310,11.090730,10.975080,10.790400,10.570150", \ - "12.497150,12.494860,12.452200,12.465150,12.256970,11.915300,11.410400", \ - "14.360980,14.339420,14.282690,14.155950,13.967010,13.516500,12.835870"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.366838,2.384888,2.399911,2.414336,2.454584,2.496304,2.537811", \ - "2.354615,2.378636,2.403911,2.441869,2.484699,2.542633,2.591534", \ - "2.202243,2.211566,2.267433,2.304609,2.403749,2.492993,2.569435", \ - "2.148946,2.149763,2.174148,2.227537,2.302349,2.400130,2.514787", \ - "2.576757,2.545580,2.524198,2.471809,2.421448,2.457234,2.534938", \ - "3.392002,3.349724,3.295572,3.200149,3.040190,2.848928,2.743293", \ - "4.620761,4.585288,4.508662,4.383610,4.124345,3.731438,3.312848"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("10.180050,10.187880,10.190580,10.183500,10.199480,10.282740,10.192180", \ - "10.051870,10.065550,10.075640,10.149860,10.186150,10.243090,10.125140", \ - "9.943746,9.953596,10.007220,10.040180,10.029790,10.156830,10.043760", \ - "10.318870,10.310680,10.298760,10.285040,10.275850,10.208740,10.109490", \ - "11.177040,11.147340,11.100510,10.986070,10.893000,10.774410,10.572630", \ - "12.378700,12.380210,12.357240,12.355070,12.160550,11.730080,11.360600", \ - "14.164790,14.143270,14.085930,13.975010,13.821360,13.340320,12.856470"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.365537,1.356524,1.379784,1.381953,1.385655,1.402704,1.410568", \ - "1.315404,1.323092,1.359680,1.387759,1.423259,1.448206,1.472556", \ - "1.098506,1.132215,1.171980,1.220638,1.311313,1.379550,1.441555", \ - "1.134100,1.113906,1.107578,1.132365,1.203769,1.286439,1.379058", \ - "1.616287,1.586846,1.544318,1.471441,1.378220,1.344838,1.399539", \ - "2.556026,2.491822,2.416949,2.280399,2.044153,1.786906,1.626118", \ - "3.865985,3.802247,3.693436,3.541714,3.205670,2.738391,2.228968"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.787201,8.830395,8.845506,8.875673,8.918058,8.794999,8.988441", \ - "8.655364,8.718041,8.755609,8.761919,8.855860,8.964171,8.966198", \ - "8.594900,8.605482,8.610947,8.658575,8.767096,8.876828,8.917361", \ - "8.926564,8.920506,8.957021,8.931089,8.956511,8.964774,9.030278", \ - "9.742502,9.796898,9.756010,9.701718,9.573261,9.394218,9.330359", \ - "10.846730,10.871310,10.867290,10.867270,10.762410,10.503740,10.148400", \ - "12.692690,12.651090,12.608390,12.559760,12.344130,12.107860,11.466530"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.356706,1.356767,1.375695,1.375759,1.387792,1.407148,1.405785", \ - "1.317020,1.334873,1.354395,1.379746,1.419049,1.447306,1.474180", \ - "1.116656,1.131133,1.183191,1.227725,1.314060,1.384218,1.444771", \ - "1.208653,1.204746,1.190015,1.168502,1.223165,1.300828,1.384722", \ - "1.724520,1.684319,1.655441,1.580904,1.480220,1.385975,1.429279", \ - "2.647838,2.606987,2.533058,2.420893,2.196853,1.924387,1.678927", \ - "3.956458,3.888940,3.816675,3.674109,3.407593,2.940499,2.400938"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("8.764714,8.771344,8.824083,8.814957,8.888349,8.777443,8.966235", \ - "8.607811,8.620550,8.689674,8.714540,8.788360,8.903075,8.909281", \ - "8.559456,8.569781,8.572789,8.648242,8.721017,8.816598,8.878658", \ - "8.932586,8.958618,8.958214,8.945921,8.924177,8.940519,8.912017", \ - "9.811572,9.857597,9.801305,9.720252,9.595445,9.372367,9.341688", \ - "10.992830,10.968440,10.960310,10.987570,10.897640,10.562700,10.254980", \ - "12.886020,12.845160,12.837710,12.720210,12.628770,12.299440,11.731590"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.352922,2.378383,2.395285,2.416057,2.448581,2.488636,2.532553", \ - "2.340870,2.374410,2.390294,2.432014,2.480316,2.535851,2.594141", \ - "2.206783,2.223078,2.244355,2.314027,2.393399,2.480415,2.573687", \ - "2.159134,2.171057,2.183873,2.224893,2.306758,2.401588,2.513198", \ - "2.604902,2.579094,2.545425,2.513477,2.452905,2.467841,2.526066", \ - "3.430191,3.394551,3.346925,3.241004,3.077287,2.883381,2.754080", \ - "4.713894,4.650669,4.596914,4.423278,4.182805,3.795174,3.375958"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("10.843340,10.855060,10.852220,10.875860,10.891640,10.837790,10.977470", \ - "10.718770,10.732200,10.741460,10.811650,10.866700,10.713320,10.926980", \ - "10.607670,10.617170,10.669940,10.694100,10.662490,10.774820,10.838880", \ - "10.931870,10.974290,10.963310,10.965890,10.938750,10.991730,10.903180", \ - "11.830630,11.803270,11.760520,11.707300,11.540830,11.316410,11.375560", \ - "13.031980,13.033480,13.019230,13.021910,12.798160,12.544930,12.186300", \ - "14.830650,14.815380,14.768410,14.690170,14.506830,14.180180,13.564650"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.365493,1.361591,1.373199,1.379746,1.390120,1.406030,1.407727", \ - "1.320215,1.332174,1.356986,1.385895,1.414399,1.450507,1.472648", \ - "1.104641,1.144733,1.176176,1.236914,1.307975,1.390295,1.448965", \ - "1.141340,1.134873,1.124728,1.135891,1.205217,1.290527,1.387215", \ - "1.650155,1.618501,1.569553,1.506335,1.407882,1.362554,1.405832", \ - "2.606289,2.547706,2.457141,2.315989,2.088574,1.842694,1.643687", \ - "3.938032,3.884891,3.783570,3.595112,3.294266,2.806112,2.296382"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.451294,9.458478,9.508248,9.518108,9.587092,9.515130,9.745798", \ - "9.341381,9.385532,9.420980,9.468915,9.462072,9.445485,9.685620", \ - "9.260565,9.269922,9.289429,9.308663,9.422433,9.335772,9.595886", \ - "9.588752,9.583296,9.569015,9.607220,9.545747,9.443792,9.657567", \ - "10.415200,10.457910,10.418690,10.375800,10.288670,10.024630,9.808299", \ - "11.525310,11.558250,11.565660,11.526040,11.418290,11.172010,10.916480", \ - "13.345990,13.354370,13.323890,13.256010,13.126490,12.850320,12.325790"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.364194,1.372231,1.365822,1.379667,1.399818,1.407988,1.413263", \ - "1.316866,1.337111,1.358660,1.374786,1.422895,1.448101,1.465997", \ - "1.105689,1.132735,1.171662,1.230987,1.314368,1.391962,1.448921", \ - "1.226542,1.218616,1.214880,1.186853,1.222258,1.315592,1.390429", \ - "1.757622,1.714199,1.684625,1.615018,1.523184,1.413664,1.440578", \ - "2.717067,2.649276,2.586645,2.460739,2.244800,1.980009,1.721023", \ - "4.053652,3.992770,3.902880,3.760771,3.470094,3.000592,2.481385"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("9.428596,9.434312,9.484684,9.522116,9.553486,9.494776,9.714045", \ - "9.288612,9.309726,9.344988,9.407027,9.430298,9.400151,9.644240", \ - "9.223581,9.231480,9.233912,9.267266,9.361483,9.286213,9.543665", \ - "9.595225,9.588155,9.570454,9.617259,9.506967,9.415986,9.621268", \ - "10.519800,10.511970,10.465350,10.426110,10.299150,10.101250,9.950570", \ - "11.671360,11.658000,11.661910,11.680320,11.512960,11.274740,11.003850", \ - "13.544000,13.553660,13.524830,13.430080,13.275830,13.081200,12.514270"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.269258,4.292531,4.312846,4.358265,4.413151,4.499377,4.600473", \ - "4.177773,4.201400,4.222429,4.272615,4.316684,4.416389,4.513783", \ - "4.080128,4.105870,4.128746,4.166009,4.236527,4.346710,4.445420", \ - "4.023376,4.063610,4.090246,4.140389,4.197779,4.301269,4.424532", \ - "4.344549,4.319061,4.328544,4.291274,4.321739,4.379081,4.475938", \ - "5.016132,5.003412,4.977286,4.936158,4.860606,4.738158,4.712588", \ - "6.177601,6.136197,6.094768,5.989427,5.848096,5.598212,5.265327"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("13.081410,13.085020,13.128000,13.105230,13.076210,13.158990,13.075310", \ - "13.004610,13.012830,13.060900,13.082020,13.063840,13.060830,12.979060", \ - "12.849330,12.861200,12.870500,12.879060,12.905270,12.911480,13.155900", \ - "12.836020,12.839990,12.838890,12.820840,12.920390,12.907720,12.857510", \ - "13.176390,13.167050,13.159620,13.153540,13.117440,13.038410,13.197380", \ - "13.924130,13.920390,13.962860,13.895640,13.683010,13.482190,13.536520", \ - "15.143690,15.130770,15.096940,15.040190,14.992060,14.742950,14.228700"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.238149,3.256781,3.272594,3.299658,3.347131,3.403865,3.464338", \ - "3.127561,3.134397,3.163622,3.204419,3.252214,3.314984,3.377338", \ - "2.998376,3.023397,3.054193,3.100892,3.148818,3.236890,3.313639", \ - "2.973674,2.996545,3.025293,3.067458,3.124727,3.202739,3.287557", \ - "3.350474,3.334829,3.318886,3.290534,3.244906,3.284772,3.338235", \ - "4.102039,4.075544,4.028799,3.976363,3.841464,3.688370,3.594057", \ - "5.307508,5.280144,5.217991,5.089659,4.910043,4.584752,4.189497"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.754020,11.760520,11.762200,11.796460,11.771430,11.737090,11.821710", \ - "11.676100,11.687330,11.693920,11.682940,11.753150,11.807700,11.722920", \ - "11.477540,11.535580,11.547640,11.571030,11.598870,11.656310,11.616290", \ - "11.465340,11.471300,11.517500,11.474200,11.607730,11.653730,11.703960", \ - "11.846960,11.842260,11.821520,11.822760,11.677530,11.747000,11.876950", \ - "12.430620,12.466730,12.500820,12.546480,12.504900,12.226400,12.078490", \ - "13.714200,13.696230,13.652000,13.600540,13.573480,13.411170,12.918560"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.168541,3.210827,3.229195,3.266061,3.315716,3.376970,3.443885", \ - "3.071943,3.088906,3.120538,3.165604,3.220806,3.285822,3.360831", \ - "2.965907,2.999810,3.019602,3.067845,3.119322,3.210781,3.302106", \ - "2.956247,2.978240,2.985741,3.044542,3.096772,3.175385,3.263145", \ - "3.393642,3.395622,3.376781,3.335025,3.289626,3.295205,3.332564", \ - "4.185923,4.177180,4.138873,4.060594,3.964552,3.784449,3.624333", \ - "5.435416,5.399432,5.333352,5.229747,5.062880,4.740445,4.328081"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.729970,11.736820,11.738460,11.777750,11.816630,11.688830,11.798500", \ - "11.630260,11.641300,11.647790,11.687270,11.734320,11.760470,11.677840", \ - "11.444390,11.455650,11.511330,11.546360,11.590030,11.609910,11.546840", \ - "11.450030,11.465950,11.498640,11.526710,11.493360,11.618790,11.559940", \ - "11.854320,11.847720,11.824230,11.816100,11.751670,11.730900,11.655430", \ - "12.525410,12.513080,12.542430,12.588550,12.534530,12.417790,12.016520", \ - "13.820870,13.797600,13.795840,13.735930,13.656350,13.436720,12.935850"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.238149,3.256781,3.272594,3.299658,3.347131,3.403865,3.464338", \ - "3.127561,3.134397,3.163622,3.204419,3.252214,3.314984,3.377338", \ - "2.998376,3.023397,3.054193,3.100892,3.148818,3.236890,3.313639", \ - "2.973674,2.996545,3.025293,3.067458,3.124727,3.202739,3.287557", \ - "3.350474,3.334829,3.318886,3.290534,3.244906,3.284772,3.338235", \ - "4.102039,4.075544,4.028799,3.976363,3.841464,3.688370,3.594057", \ - "5.307508,5.280144,5.217991,5.089659,4.910043,4.584752,4.189497"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.754020,11.760520,11.762200,11.796460,11.771430,11.737090,11.821710", \ - "11.676100,11.687330,11.693920,11.682940,11.753150,11.807700,11.722920", \ - "11.477540,11.535580,11.547640,11.571030,11.598870,11.656310,11.616290", \ - "11.465340,11.471300,11.517500,11.474200,11.607730,11.653730,11.703960", \ - "11.846960,11.842260,11.821520,11.822760,11.677530,11.747000,11.876950", \ - "12.430620,12.466730,12.500820,12.546480,12.504900,12.226400,12.078490", \ - "13.714200,13.696230,13.652000,13.600540,13.573480,13.411170,12.918560"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.190456,2.205776,2.234067,2.252325,2.276986,2.311116,2.337617", \ - "2.106013,2.107419,2.122187,2.157521,2.181692,2.209450,2.260344", \ - "1.961767,1.988296,2.008550,2.038299,2.089313,2.138184,2.196366", \ - "1.925762,1.941550,1.965260,1.991318,2.040989,2.099024,2.162214", \ - "2.378664,2.361011,2.320826,2.280209,2.195863,2.192996,2.220303", \ - "3.209241,3.167177,3.119461,3.018407,2.856549,2.637016,2.471102", \ - "4.497445,4.452377,4.375737,4.221458,3.960230,3.586897,3.106377"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("10.361380,10.366730,10.417200,10.459520,10.388800,10.359480,10.632660", \ - "10.281940,10.335610,10.347680,10.358930,10.454270,10.314940,10.598270", \ - "10.127550,10.141460,10.202190,10.210880,10.314490,10.412650,10.470540", \ - "10.117110,10.123500,10.122500,10.154680,10.251130,10.208980,10.426470", \ - "10.365440,10.474190,10.467770,10.476790,10.450460,10.505510,10.521190", \ - "10.937420,10.961380,10.981540,11.028830,11.070990,10.983940,10.867980", \ - "12.240850,12.213680,12.201120,12.193230,12.031360,12.130940,11.641360"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.176735,2.191279,2.211613,2.226839,2.257138,2.296033,2.335656", \ - "2.080915,2.084950,2.109624,2.129920,2.155553,2.200050,2.243979", \ - "1.949845,1.958962,1.993494,2.010445,2.070497,2.125849,2.178990", \ - "1.997595,1.971234,1.964777,1.990430,2.028418,2.094565,2.152437", \ - "2.434969,2.439395,2.404613,2.359511,2.274525,2.205102,2.219511", \ - "3.318748,3.271438,3.220523,3.136459,2.971950,2.742234,2.523385", \ - "4.615681,4.563324,4.503946,4.363302,4.131367,3.754689,3.264959"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("10.338860,10.344670,10.395080,10.377420,10.455820,10.341640,10.560650", \ - "10.238170,10.248210,10.303640,10.303410,10.385720,10.265410,10.482470", \ - "10.096070,10.108320,10.134870,10.177520,10.276450,10.374540,10.438570", \ - "10.102850,10.107860,10.105560,10.135640,10.222760,10.102610,10.371510", \ - "10.399600,10.479440,10.471360,10.456960,10.448000,10.491310,10.522490", \ - "10.984400,11.007360,11.074570,11.097320,11.103460,11.087120,10.872320", \ - "12.343320,12.358640,12.345450,12.327900,12.260660,12.167380,11.855570"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.214234,3.247985,3.251341,3.291379,3.331536,3.377137,3.453328", \ - "3.118703,3.119571,3.157877,3.196407,3.225417,3.302034,3.365779", \ - "2.986204,3.025660,3.054722,3.091139,3.147088,3.227670,3.303456", \ - "2.990041,3.002500,3.025673,3.053474,3.121114,3.193610,3.272858", \ - "3.362495,3.353438,3.324763,3.298987,3.248354,3.287556,3.327027", \ - "4.156325,4.127641,4.073762,4.009670,3.883555,3.714336,3.613011", \ - "5.400059,5.357954,5.277351,5.161855,4.965695,4.635489,4.231123"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("12.419530,12.426380,12.426580,12.421410,12.387790,12.409560,12.606550", \ - "12.345410,12.356740,12.363220,12.363220,12.356310,12.394600,12.525410", \ - "12.156060,12.150440,12.206880,12.257210,12.260160,12.439050,12.389030", \ - "12.121910,12.142140,12.172160,12.150880,12.130700,12.129580,12.387420", \ - "12.468440,12.497240,12.475620,12.465060,12.400610,12.257950,12.416500", \ - "13.133530,13.129800,13.164380,13.165600,13.129800,13.008040,12.761660", \ - "14.346290,14.332060,14.339860,14.300460,14.214990,14.136180,13.696000"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.206881,2.209903,2.230695,2.236763,2.268817,2.292035,2.330064", \ - "2.105950,2.103816,2.115653,2.143703,2.174783,2.207995,2.245981", \ - "1.957009,1.961411,1.998583,2.023353,2.069775,2.133419,2.184760", \ - "1.953021,1.946191,1.968968,1.993313,2.036567,2.098348,2.149954", \ - "2.400516,2.374240,2.356905,2.300488,2.225006,2.194530,2.210569", \ - "3.257858,3.207591,3.159791,3.064702,2.891856,2.672812,2.499647", \ - "4.586218,4.519168,4.450902,4.309600,4.050370,3.650543,3.172077"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.028160,11.049940,11.081870,11.051980,11.109440,11.146640,11.052230", \ - "10.952350,10.961700,11.016740,11.002630,11.082640,11.051170,11.302190", \ - "10.789380,10.802180,10.824550,10.906950,10.971300,10.896490,11.153730", \ - "10.774360,10.778910,10.776540,10.804840,10.912170,10.878960,11.141170", \ - "11.087880,11.139960,11.124770,11.077340,11.144920,10.987360,11.170100", \ - "11.634270,11.668270,11.689240,11.754580,11.776790,11.564770,11.605760", \ - "12.910200,12.887970,12.882870,12.817760,12.809420,12.790270,12.451030"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.172871,2.185472,2.198748,2.205415,2.243391,2.287590,2.323554", \ - "2.072158,2.083295,2.082409,2.105087,2.154552,2.191043,2.236177", \ - "1.917507,1.929317,1.966587,2.017455,2.049811,2.117843,2.172506", \ - "2.011005,1.994091,1.987879,1.981032,2.033891,2.080464,2.147123", \ - "2.467062,2.452132,2.429095,2.384449,2.307425,2.215211,2.219683", \ - "3.375155,3.325463,3.273937,3.185640,3.024338,2.786329,2.538311", \ - "4.691422,4.644822,4.593270,4.451163,4.189321,3.827252,3.333250"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.005620,11.036490,11.059620,11.026920,11.080930,11.125870,11.031740", \ - "10.907860,10.917160,10.972140,10.988650,11.051030,11.009440,11.249990", \ - "10.755750,10.767370,10.773430,10.801770,10.891880,10.840380,11.094680", \ - "10.759070,10.763420,10.789230,10.779230,10.825020,10.845110,10.773670", \ - "11.090160,11.146220,11.128530,11.104650,11.115560,10.958320,11.144000", \ - "11.683030,11.713290,11.732870,11.759240,11.868420,11.590920,11.619880", \ - "13.013840,13.035240,13.030340,12.961680,12.895900,12.870770,12.506240"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.399497,3.420511,3.440945,3.475764,3.530029,3.590171,3.656882", \ - "3.404586,3.430343,3.454878,3.487334,3.561619,3.640035,3.719264", \ - "3.276479,3.307196,3.339225,3.393962,3.490346,3.593843,3.702235", \ - "3.151973,3.182222,3.212728,3.270365,3.353712,3.490784,3.632596", \ - "3.399013,3.413864,3.405370,3.406200,3.395006,3.473441,3.603929", \ - "4.014962,4.018581,3.977319,3.949281,3.883051,3.774281,3.751234", \ - "5.066373,5.028574,4.998321,4.937124,4.803854,4.573652,4.270601"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("13.975850,13.985670,13.991730,14.050790,13.983250,14.027520,14.233570", \ - "13.837020,13.849300,13.858310,13.896240,13.909300,13.932350,14.117950", \ - "13.734650,13.789770,13.800310,13.832030,13.851290,13.911820,14.029120", \ - "14.042300,14.085830,14.078930,14.045400,14.100030,14.162430,14.112810", \ - "14.915680,14.897140,14.862240,14.802250,14.633970,14.501020,14.581740", \ - "16.312930,16.274970,16.258320,16.146420,15.877920,15.723580,15.390370", \ - "18.107080,18.075370,18.020820,17.928400,17.809040,17.336850,16.813290"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.351697,2.366644,2.395518,2.423983,2.456558,2.493151,2.531508", \ - "2.333102,2.369207,2.385806,2.439373,2.483420,2.542087,2.599235", \ - "2.168236,2.203644,2.242153,2.300330,2.392278,2.490395,2.580351", \ - "2.065342,2.087144,2.117741,2.171997,2.251326,2.378691,2.500175", \ - "2.397848,2.387453,2.378225,2.359288,2.319839,2.359713,2.456686", \ - "3.069747,3.035902,3.010599,2.948871,2.842299,2.698302,2.623790", \ - "4.127128,4.106020,4.057440,4.000354,3.826270,3.537673,3.168822"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("12.573060,12.577040,12.635130,12.683740,12.680510,12.702500,12.973830", \ - "12.423510,12.439420,12.500910,12.536200,12.599340,12.581600,12.859570", \ - "12.365300,12.382360,12.396270,12.447690,12.514650,12.515230,12.769830", \ - "12.676820,12.678980,12.693860,12.685940,12.712440,12.622910,12.850110", \ - "13.516160,13.499030,13.467150,13.440620,13.318370,13.180600,13.011250", \ - "14.825580,14.850060,14.859770,14.757920,14.605150,14.220940,13.885620", \ - "16.623780,16.580810,16.553300,16.495990,16.357360,16.079130,15.518440"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.345805,2.365449,2.381013,2.408601,2.435597,2.487146,2.524863", \ - "2.326333,2.333336,2.379375,2.409636,2.462817,2.532235,2.591000", \ - "2.171900,2.199283,2.241400,2.296217,2.382180,2.480002,2.569703", \ - "2.093498,2.098800,2.111380,2.163339,2.238276,2.369302,2.487176", \ - "2.392580,2.392475,2.388083,2.376517,2.356711,2.357871,2.464545", \ - "3.095162,3.080698,3.039599,2.983979,2.871231,2.737704,2.626428", \ - "4.165133,4.152599,4.081268,4.001369,3.862398,3.586606,3.227989"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("13.055100,13.067860,13.136530,13.180180,13.246700,13.372660,13.350260", \ - "12.960550,12.977740,12.993300,13.067860,13.141460,13.257600,13.238690", \ - "12.853090,12.868680,12.912930,12.949850,12.951240,13.154660,13.164900", \ - "13.172010,13.174920,13.174870,13.217650,13.253430,13.275670,13.223300", \ - "14.047040,14.005200,14.020880,13.963870,13.859530,13.872030,13.715290", \ - "15.385100,15.362420,15.409170,15.287420,15.149980,14.949360,14.550320", \ - "17.165240,17.128400,17.108530,17.048560,16.928940,16.521610,16.018610"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.351697,2.366644,2.395518,2.423983,2.456558,2.493151,2.531508", \ - "2.333102,2.369207,2.385806,2.439373,2.483420,2.542087,2.599235", \ - "2.168236,2.203644,2.242153,2.300330,2.392278,2.490395,2.580351", \ - "2.065342,2.087144,2.117741,2.171997,2.251326,2.378691,2.500175", \ - "2.397848,2.387453,2.378225,2.359288,2.319839,2.359713,2.456686", \ - "3.069747,3.035902,3.010599,2.948871,2.842299,2.698302,2.623790", \ - "4.127128,4.106020,4.057440,4.000354,3.826270,3.537673,3.168822"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("12.573060,12.577040,12.635130,12.683740,12.680510,12.702500,12.973830", \ - "12.423510,12.439420,12.500910,12.536200,12.599340,12.581600,12.859570", \ - "12.365300,12.382360,12.396270,12.447690,12.514650,12.515230,12.769830", \ - "12.676820,12.678980,12.693860,12.685940,12.712440,12.622910,12.850110", \ - "13.516160,13.499030,13.467150,13.440620,13.318370,13.180600,13.011250", \ - "14.825580,14.850060,14.859770,14.757920,14.605150,14.220940,13.885620", \ - "16.623780,16.580810,16.553300,16.495990,16.357360,16.079130,15.518440"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.356145,1.366369,1.371938,1.384214,1.387747,1.397319,1.402555", \ - "1.309891,1.332007,1.358560,1.375096,1.417436,1.442437,1.463335", \ - "1.084014,1.119177,1.163739,1.220973,1.298795,1.375062,1.440835", \ - "1.038293,1.035840,1.028055,1.060225,1.149636,1.251694,1.364438", \ - "1.404508,1.381077,1.350319,1.317004,1.256216,1.235052,1.326117", \ - "2.127981,2.109852,2.067053,1.981448,1.833519,1.630283,1.490670", \ - "3.266545,3.228688,3.173297,3.062749,2.853795,2.512901,2.080663"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.100390,11.169830,11.187050,11.260780,11.304000,11.441340,11.550700", \ - "11.007990,11.030170,11.050550,11.165250,11.194530,11.319190,11.379780", \ - "10.904110,10.924850,10.997510,11.049560,11.089350,11.221410,11.321080", \ - "11.217730,11.225180,11.281810,11.320240,11.301040,11.338260,11.265140", \ - "12.079830,12.095060,12.076900,12.062200,11.899620,11.956600,11.749170", \ - "13.237560,13.293550,13.282390,13.344020,13.231230,12.919990,12.559540", \ - "15.116480,15.083990,15.083480,15.031230,14.967220,14.608340,13.941890"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.363669,1.362999,1.363449,1.382053,1.397762,1.405802,1.409433", \ - "1.299497,1.326904,1.359243,1.376768,1.412364,1.449339,1.470534", \ - "1.074939,1.121587,1.152753,1.210715,1.297973,1.380529,1.443534", \ - "1.051506,1.061908,1.065940,1.064591,1.152550,1.263671,1.362454", \ - "1.412447,1.407022,1.378216,1.346556,1.292019,1.241944,1.330351", \ - "2.178804,2.139636,2.096220,2.012731,1.861107,1.681325,1.512109", \ - "3.288103,3.259932,3.206626,3.082127,2.902650,2.565866,2.143077"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.635880,11.655120,11.677170,11.730790,11.889570,11.917110,12.083330", \ - "11.494500,11.521770,11.590330,11.611140,11.727530,11.824340,12.080730", \ - "11.385250,11.405380,11.485300,11.538970,11.659630,11.737440,11.933350", \ - "11.706450,11.734650,11.772840,11.832270,11.873260,11.869520,11.977540", \ - "12.614930,12.603670,12.596830,12.572830,12.505050,12.448410,12.456000", \ - "13.783430,13.790300,13.853560,13.922290,13.766180,13.611650,13.296390", \ - "15.628820,15.617940,15.626790,15.558690,15.529760,15.270430,14.713150"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.345805,2.365449,2.381013,2.408601,2.435597,2.487146,2.524863", \ - "2.326333,2.333336,2.379375,2.409636,2.462817,2.532235,2.591000", \ - "2.171900,2.199283,2.241400,2.296217,2.382180,2.480002,2.569703", \ - "2.093498,2.098800,2.111380,2.163339,2.238276,2.369302,2.487176", \ - "2.392580,2.392475,2.388083,2.376517,2.356711,2.357871,2.464545", \ - "3.095162,3.080698,3.039599,2.983979,2.871231,2.737704,2.626428", \ - "4.165133,4.152599,4.081268,4.001369,3.862398,3.586606,3.227989"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("13.055100,13.067860,13.136530,13.180180,13.246700,13.372660,13.350260", \ - "12.960550,12.977740,12.993300,13.067860,13.141460,13.257600,13.238690", \ - "12.853090,12.868680,12.912930,12.949850,12.951240,13.154660,13.164900", \ - "13.172010,13.174920,13.174870,13.217650,13.253430,13.275670,13.223300", \ - "14.047040,14.005200,14.020880,13.963870,13.859530,13.872030,13.715290", \ - "15.385100,15.362420,15.409170,15.287420,15.149980,14.949360,14.550320", \ - "17.165240,17.128400,17.108530,17.048560,16.928940,16.521610,16.018610"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.363669,1.362999,1.363449,1.382053,1.397762,1.405802,1.409433", \ - "1.299497,1.326904,1.359243,1.376768,1.412364,1.449339,1.470534", \ - "1.074939,1.121587,1.152753,1.210715,1.297973,1.380529,1.443534", \ - "1.051506,1.061908,1.065940,1.064591,1.152550,1.263671,1.362454", \ - "1.412447,1.407022,1.378216,1.346556,1.292019,1.241944,1.330351", \ - "2.178804,2.139636,2.096220,2.012731,1.861107,1.681325,1.512109", \ - "3.288103,3.259932,3.206626,3.082127,2.902650,2.565866,2.143077"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("11.635880,11.655120,11.677170,11.730790,11.889570,11.917110,12.083330", \ - "11.494500,11.521770,11.590330,11.611140,11.727530,11.824340,12.080730", \ - "11.385250,11.405380,11.485300,11.538970,11.659630,11.737440,11.933350", \ - "11.706450,11.734650,11.772840,11.832270,11.873260,11.869520,11.977540", \ - "12.614930,12.603670,12.596830,12.572830,12.505050,12.448410,12.456000", \ - "13.783430,13.790300,13.853560,13.922290,13.766180,13.611650,13.296390", \ - "15.628820,15.617940,15.626790,15.558690,15.529760,15.270430,14.713150"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("1.361592,1.361409,1.376164,1.380468,1.388500,1.403369,1.402080", \ - "1.317870,1.315275,1.356213,1.387215,1.414793,1.449490,1.467620", \ - "1.091014,1.113532,1.151018,1.220712,1.302843,1.385415,1.443216", \ - "1.078313,1.083313,1.086852,1.088533,1.162815,1.263555,1.364316", \ - "1.441656,1.422254,1.409161,1.376792,1.327270,1.277958,1.350150", \ - "2.199935,2.173156,2.121939,2.048903,1.913018,1.726534,1.549998", \ - "3.363696,3.313662,3.252272,3.133769,2.942460,2.624905,2.212079"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("12.395490,12.408140,12.419900,12.502130,12.617600,12.686800,12.646770", \ - "12.255370,12.271690,12.344270,12.364610,12.487340,12.575780,12.816110", \ - "12.151950,12.218560,12.238760,12.281450,12.334280,12.508330,12.723120", \ - "12.475240,12.533180,12.538200,12.575860,12.617180,12.716890,12.811470", \ - "13.376660,13.367950,13.335170,13.308890,13.267420,13.187560,13.007740", \ - "14.585660,14.618940,14.646800,14.708130,14.579820,14.331390,14.030900", \ - "16.429530,16.425950,16.398030,16.391340,16.343140,16.082660,15.652140"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("4.284321,4.306167,4.325306,4.366245,4.408840,4.495844,4.599371", \ - "4.154053,4.185718,4.219949,4.253207,4.335621,4.406406,4.512193", \ - "4.079600,4.105123,4.128529,4.178671,4.231594,4.333206,4.449639", \ - "4.014113,4.005961,4.064344,4.084359,4.174022,4.280526,4.411187", \ - "4.231352,4.231206,4.225816,4.213645,4.227945,4.303693,4.413216", \ - "4.785250,4.767793,4.764972,4.730043,4.699633,4.616343,4.593646", \ - "5.748777,5.741282,5.723940,5.677959,5.571209,5.389430,5.121376"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("15.538590,15.548500,15.554730,15.595630,15.568120,15.667730,15.860840", \ - "15.442590,15.453370,15.460730,15.508850,15.483830,15.572620,15.712360", \ - "15.344500,15.357500,15.367940,15.368740,15.426550,15.606740,15.585680", \ - "15.346430,15.355440,15.377080,15.392050,15.447440,15.352380,15.618690", \ - "15.660900,15.661040,15.646240,15.658340,15.671340,15.539230,15.814580", \ - "16.435680,16.466800,16.462150,16.373920,16.302810,16.213980,16.013450", \ - "17.608350,17.611490,17.602700,17.551750,17.456520,17.333910,17.050600"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.221055,3.237458,3.263810,3.296759,3.330646,3.401382,3.466003", \ - "3.122605,3.142292,3.160748,3.203656,3.256873,3.311960,3.376742", \ - "2.997855,3.022809,3.053654,3.108141,3.145805,3.231992,3.315928", \ - "2.917392,2.954921,2.971394,3.022131,3.085291,3.173821,3.272431", \ - "3.211422,3.208853,3.193867,3.189400,3.145884,3.197701,3.293674", \ - "3.784754,3.787534,3.774001,3.713339,3.653741,3.546989,3.475156", \ - "4.819432,4.803269,4.765267,4.709420,4.577789,4.354643,4.040779"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("14.131780,14.141300,14.151380,14.240180,14.174980,14.327510,14.310900", \ - "14.030810,14.045760,14.083190,14.139620,14.082860,14.177640,14.454810", \ - "13.932630,13.949870,13.964150,14.048260,13.998610,14.044660,14.328390", \ - "13.935050,13.949470,13.956520,14.027370,13.971320,14.080740,14.365820", \ - "14.253080,14.255380,14.239290,14.224310,14.285250,14.178580,14.412810", \ - "14.934500,14.977330,15.005420,15.043270,14.969700,14.716290,14.751040", \ - "16.136500,16.126500,16.153790,16.089550,16.143960,15.859590,15.677530"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.198308,3.198190,3.240064,3.274639,3.319576,3.369253,3.442454", \ - "3.099026,3.110714,3.143133,3.163552,3.221271,3.292685,3.365076", \ - "2.986445,2.990773,3.006096,3.077288,3.133573,3.216876,3.301422", \ - "2.914299,2.917387,2.954883,2.996922,3.064113,3.149614,3.248067", \ - "3.212376,3.217968,3.199567,3.198642,3.170697,3.189768,3.278520", \ - "3.828392,3.787963,3.788637,3.737805,3.684508,3.566257,3.464236", \ - "4.838374,4.830908,4.802395,4.735474,4.603693,4.390028,4.086152"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("14.640320,14.657890,14.689890,14.692790,14.720990,14.698130,14.977110", \ - "14.526240,14.540280,14.599730,14.641930,14.604320,14.852890,14.831290", \ - "14.417870,14.435160,14.497240,14.516040,14.641510,14.716150,14.747370", \ - "14.426630,14.428620,14.485940,14.521690,14.485000,14.489390,14.731920", \ - "14.738680,14.742560,14.776320,14.792600,14.792050,14.846240,14.972780", \ - "15.435510,15.496000,15.509430,15.516610,15.510150,15.334890,15.126260", \ - "16.661820,16.664500,16.651420,16.676930,16.678120,16.476770,16.280040"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.221055,3.237458,3.263810,3.296759,3.330646,3.401382,3.466003", \ - "3.122605,3.142292,3.160748,3.203656,3.256873,3.311960,3.376742", \ - "2.997855,3.022809,3.053654,3.108141,3.145805,3.231992,3.315928", \ - "2.917392,2.954921,2.971394,3.022131,3.085291,3.173821,3.272431", \ - "3.211422,3.208853,3.193867,3.189400,3.145884,3.197701,3.293674", \ - "3.784754,3.787534,3.774001,3.713339,3.653741,3.546989,3.475156", \ - "4.819432,4.803269,4.765267,4.709420,4.577789,4.354643,4.040779"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("14.131780,14.141300,14.151380,14.240180,14.174980,14.327510,14.310900", \ - "14.030810,14.045760,14.083190,14.139620,14.082860,14.177640,14.454810", \ - "13.932630,13.949870,13.964150,14.048260,13.998610,14.044660,14.328390", \ - "13.935050,13.949470,13.956520,14.027370,13.971320,14.080740,14.365820", \ - "14.253080,14.255380,14.239290,14.224310,14.285250,14.178580,14.412810", \ - "14.934500,14.977330,15.005420,15.043270,14.969700,14.716290,14.751040", \ - "16.136500,16.126500,16.153790,16.089550,16.143960,15.859590,15.677530"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.216686,2.218422,2.234734,2.248913,2.275916,2.308618,2.347305", \ - "2.103179,2.118742,2.130618,2.150186,2.184113,2.220884,2.260317", \ - "1.960133,1.981695,2.000880,2.032011,2.084956,2.138623,2.185264", \ - "1.886817,1.886493,1.909247,1.951237,2.012831,2.070332,2.135355", \ - "2.206664,2.186258,2.185305,2.146343,2.096476,2.098105,2.149498", \ - "2.838292,2.806440,2.783462,2.732103,2.632391,2.475232,2.358162", \ - "3.923036,3.895927,3.843419,3.749994,3.609349,3.332866,2.953283"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("12.663930,12.681920,12.751100,12.794930,12.846000,13.067260,13.023980", \ - "12.567460,12.616340,12.668700,12.742560,12.850920,12.915700,12.872020", \ - "12.469490,12.490290,12.563440,12.654370,12.736960,12.792310,13.068250", \ - "12.471710,12.491320,12.557370,12.581840,12.654050,12.817980,12.881850", \ - "12.838440,12.848480,12.838330,12.851790,12.883870,12.916660,12.828130", \ - "13.362560,13.413470,13.466230,13.565560,13.615690,13.542650,13.495330", \ - "14.628290,14.620030,14.636530,14.662670,14.686740,14.621280,14.136180"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.199342,2.194910,2.207110,2.234394,2.262221,2.300642,2.326862", \ - "2.069384,2.103235,2.118545,2.136213,2.170482,2.197035,2.244713", \ - "1.924226,1.969901,1.979745,2.017172,2.074504,2.125278,2.180052", \ - "1.897575,1.907133,1.901586,1.937319,1.998588,2.068778,2.134436", \ - "2.215258,2.212363,2.180538,2.174783,2.131258,2.092753,2.154702", \ - "2.870858,2.844786,2.806352,2.736415,2.661841,2.513929,2.357414", \ - "3.959656,3.913542,3.868650,3.774003,3.641511,3.361635,2.998572"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("13.200200,13.218920,13.236470,13.330990,13.383730,13.535410,13.711930", \ - "13.107270,13.127070,13.146050,13.205350,13.284460,13.409650,13.565470", \ - "12.974370,13.021710,13.043820,13.126520,13.198740,13.337330,13.445210", \ - "12.986150,13.017500,13.034150,13.087530,13.172090,13.177130,13.511130", \ - "13.321100,13.332340,13.342470,13.360120,13.403960,13.456800,13.592690", \ - "13.905280,13.906360,13.962730,14.100300,14.058280,14.089470,13.869610", \ - "15.155520,15.149610,15.174790,15.195430,15.225160,15.217320,14.833940"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("3.198308,3.198190,3.240064,3.274639,3.319576,3.369253,3.442454", \ - "3.099026,3.110714,3.143133,3.163552,3.221271,3.292685,3.365076", \ - "2.986445,2.990773,3.006096,3.077288,3.133573,3.216876,3.301422", \ - "2.914299,2.917387,2.954883,2.996922,3.064113,3.149614,3.248067", \ - "3.212376,3.217968,3.199567,3.198642,3.170697,3.189768,3.278520", \ - "3.828392,3.787963,3.788637,3.737805,3.684508,3.566257,3.464236", \ - "4.838374,4.830908,4.802395,4.735474,4.603693,4.390028,4.086152"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("14.640320,14.657890,14.689890,14.692790,14.720990,14.698130,14.977110", \ - "14.526240,14.540280,14.599730,14.641930,14.604320,14.852890,14.831290", \ - "14.417870,14.435160,14.497240,14.516040,14.641510,14.716150,14.747370", \ - "14.426630,14.428620,14.485940,14.521690,14.485000,14.489390,14.731920", \ - "14.738680,14.742560,14.776320,14.792600,14.792050,14.846240,14.972780", \ - "15.435510,15.496000,15.509430,15.516610,15.510150,15.334890,15.126260", \ - "16.661820,16.664500,16.651420,16.676930,16.678120,16.476770,16.280040"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.199342,2.194910,2.207110,2.234394,2.262221,2.300642,2.326862", \ - "2.069384,2.103235,2.118545,2.136213,2.170482,2.197035,2.244713", \ - "1.924226,1.969901,1.979745,2.017172,2.074504,2.125278,2.180052", \ - "1.897575,1.907133,1.901586,1.937319,1.998588,2.068778,2.134436", \ - "2.215258,2.212363,2.180538,2.174783,2.131258,2.092753,2.154702", \ - "2.870858,2.844786,2.806352,2.736415,2.661841,2.513929,2.357414", \ - "3.959656,3.913542,3.868650,3.774003,3.641511,3.361635,2.998572"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("13.200200,13.218920,13.236470,13.330990,13.383730,13.535410,13.711930", \ - "13.107270,13.127070,13.146050,13.205350,13.284460,13.409650,13.565470", \ - "12.974370,13.021710,13.043820,13.126520,13.198740,13.337330,13.445210", \ - "12.986150,13.017500,13.034150,13.087530,13.172090,13.177130,13.511130", \ - "13.321100,13.332340,13.342470,13.360120,13.403960,13.456800,13.592690", \ - "13.905280,13.906360,13.962730,14.100300,14.058280,14.089470,13.869610", \ - "15.155520,15.149610,15.174790,15.195430,15.225160,15.217320,14.833940"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("2.191677,2.172484,2.196001,2.230593,2.256416,2.287527,2.315838", \ - "2.067308,2.067672,2.105538,2.126126,2.161186,2.196711,2.226066", \ - "1.945704,1.932772,1.971105,2.012083,2.050545,2.119008,2.172010", \ - "1.913885,1.917197,1.907859,1.921269,1.980390,2.046862,2.116276", \ - "2.216905,2.223926,2.203481,2.188987,2.153900,2.095992,2.143643", \ - "2.901047,2.882536,2.838086,2.780308,2.698234,2.548352,2.365683", \ - "4.005721,3.986488,3.927888,3.840563,3.670524,3.416010,3.064527"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); - values ("13.960580,13.973460,14.024090,14.067510,14.084200,14.220690,14.224830", \ - "13.872140,13.886520,13.900080,13.994750,14.088700,14.144020,14.088610", \ - "13.719070,13.779680,13.828810,13.894590,13.923510,13.912850,14.284560", \ - "13.721350,13.773380,13.783280,13.865240,13.926100,14.021000,14.030560", \ - "14.086790,14.083850,14.068120,14.130750,14.187610,14.274800,14.361950", \ - "14.659370,14.713480,14.773450,14.843190,14.867750,14.782860,14.730620", \ - "15.925460,15.919590,15.952940,15.935150,15.979730,15.815500,15.708570"); - } - } - } - - } - - - /****************************************************************************************** - Module : OAI222_X4 - Cell Description : Combinational cell (OAI222_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OAI222_X4) { - - drive_strength : 4; - - area : 3.724000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 127.978560; - - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 84.260330; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 99.365530; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 99.365530; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 113.985850; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 85.708700; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 127.360310; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 127.360310; - } - leakage_power () { - when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 141.985360; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 85.708810; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 127.360310; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 127.360420; - } - leakage_power () { - when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 141.985360; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 86.040416; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 141.975570; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 141.975570; - } - leakage_power () { - when : "!A1 & !A2 & B1 & B2 & C1 & C2"; - value : 156.603810; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 83.483743; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 103.489208; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 103.489208; - } - leakage_power () { - when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 118.109968; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 89.001556; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 143.625680; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 145.976490; - } - leakage_power () { - when : "!A1 & A2 & !B1 & B2 & C1 & C2"; - value : 156.875180; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 89.001666; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 145.976490; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 148.327190; - } - leakage_power () { - when : "!A1 & A2 & B1 & !B2 & C1 & C2"; - value : 159.225990; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 88.331979; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & !C1 & C2"; - value : 156.873530; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & !C2"; - value : 159.224120; - } - leakage_power () { - when : "!A1 & A2 & B1 & B2 & C1 & C2"; - value : 170.123800; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; - value : 83.483853; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; - value : 103.489208; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; - value : 103.489318; - } - leakage_power () { - when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; - value : 118.109968; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; - value : 89.001668; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; - value : 145.976600; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; - value : 148.327300; - } - leakage_power () { - when : "A1 & !A2 & !B1 & B2 & C1 & C2"; - value : 159.225990; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; - value : 89.001668; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; - value : 148.327300; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; - value : 150.677890; - } - leakage_power () { - when : "A1 & !A2 & B1 & !B2 & C1 & C2"; - value : 161.576800; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; - value : 88.331979; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & !C1 & C2"; - value : 159.224120; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & !C2"; - value : 161.574600; - } - leakage_power () { - when : "A1 & !A2 & B1 & B2 & C1 & C2"; - value : 172.474390; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; - value : 80.198347; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; - value : 100.571573; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; - value : 100.571683; - } - leakage_power () { - when : "A1 & A2 & !B1 & !B2 & C1 & C2"; - value : 115.192443; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; - value : 85.828561; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & !C1 & C2"; - value : 156.873970; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & !C2"; - value : 159.224120; - } - leakage_power () { - when : "A1 & A2 & !B1 & B2 & C1 & C2"; - value : 170.124350; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; - value : 85.828560; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & !C1 & C2"; - value : 159.224230; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & !C2"; - value : 161.574380; - } - leakage_power () { - when : "A1 & A2 & B1 & !B2 & C1 & C2"; - value : 172.474610; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & !C2"; - value : 85.146277; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & !C1 & C2"; - value : 170.120610; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & !C2"; - value : 172.470980; - } - leakage_power () { - when : "A1 & A2 & B1 & B2 & C1 & C2"; - value : 183.372530; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.578915; - fall_capacitance : 1.409755; - rise_capacitance : 1.578915; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.574249; - fall_capacitance : 1.574249; - rise_capacitance : 1.560361; - } - - pin (B1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.623590; - fall_capacitance : 1.433745; - rise_capacitance : 1.623590; - } - - pin (B2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.612659; - fall_capacitance : 1.592389; - rise_capacitance : 1.612659; - } - - pin (C1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.657646; - fall_capacitance : 1.412156; - rise_capacitance : 1.657646; - } - - pin (C2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.641558; - fall_capacitance : 1.574995; - rise_capacitance : 1.641558; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "!(!(!(((A1 | A2) & (B1 | B2)) & (C1 | C2))))"; - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.057393,0.061563,0.064969,0.070682,0.080646,0.099327,0.136153", \ - "0.058804,0.062972,0.066379,0.072092,0.082057,0.100738,0.137562", \ - "0.063450,0.067618,0.071023,0.076736,0.086702,0.105383,0.142206", \ - "0.072871,0.077043,0.080447,0.086156,0.096121,0.114801,0.151631", \ - "0.084229,0.088421,0.091845,0.097570,0.107550,0.126242,0.163066", \ - "0.094222,0.098486,0.101953,0.107688,0.117549,0.136249,0.173067", \ - "0.102375,0.106781,0.110345,0.116193,0.125968,0.144661,0.181471"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.064222,0.070194,0.075116,0.084294,0.102516,0.138996,0.211876", \ - "0.065307,0.071279,0.076200,0.085379,0.103602,0.140080,0.212963", \ - "0.070155,0.076126,0.081047,0.090224,0.108449,0.144925,0.217808", \ - "0.081244,0.087219,0.092141,0.101316,0.119533,0.156008,0.228891", \ - "0.097895,0.104015,0.108994,0.118152,0.136326,0.172757,0.245624", \ - "0.116073,0.122522,0.127719,0.136961,0.155044,0.191412,0.264233", \ - "0.135636,0.142410,0.147889,0.157245,0.175302,0.211571,0.284351"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004839,0.006551,0.008255,0.011671,0.018868,0.034218,0.065989", \ - "0.004837,0.006552,0.008254,0.011671,0.018868,0.034217,0.065988", \ - "0.004839,0.006551,0.008255,0.011672,0.018868,0.034218,0.065988", \ - "0.004835,0.006550,0.008254,0.011671,0.018867,0.034218,0.065986", \ - "0.004967,0.006668,0.008357,0.011750,0.018917,0.034237,0.065989", \ - "0.005247,0.006908,0.008561,0.011898,0.018995,0.034265,0.066000", \ - "0.005749,0.007362,0.008960,0.012200,0.019168,0.034329,0.066013"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006198,0.009288,0.012686,0.020646,0.037722,0.072291,0.141546", \ - "0.006197,0.009289,0.012686,0.020644,0.037730,0.072304,0.141549", \ - "0.006199,0.009288,0.012686,0.020644,0.037727,0.072300,0.141552", \ - "0.006206,0.009297,0.012693,0.020647,0.037721,0.072298,0.141555", \ - "0.006513,0.009609,0.012896,0.020718,0.037745,0.072298,0.141552", \ - "0.007128,0.010354,0.013482,0.020976,0.037817,0.072327,0.141557", \ - "0.007794,0.011183,0.014237,0.021364,0.037941,0.072359,0.141569"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.052368,0.056514,0.059904,0.065598,0.075550,0.094226,0.131053", \ - "0.053754,0.057902,0.061292,0.066986,0.076938,0.095614,0.132439", \ - "0.058405,0.062551,0.065941,0.071636,0.081588,0.100264,0.137089", \ - "0.067660,0.071812,0.075204,0.080898,0.090850,0.109526,0.146352", \ - "0.077657,0.081838,0.085255,0.090955,0.100933,0.119622,0.156449", \ - "0.086249,0.090515,0.093984,0.099718,0.109664,0.128361,0.165175", \ - "0.093036,0.097468,0.101047,0.106915,0.116781,0.135496,0.172302"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.062282,0.067964,0.072777,0.081941,0.100197,0.136697,0.209591", \ - "0.063362,0.069044,0.073856,0.083022,0.101276,0.137776,0.210672", \ - "0.068217,0.073899,0.078711,0.087874,0.106127,0.142632,0.215521", \ - "0.079327,0.085012,0.089824,0.098984,0.117235,0.153736,0.226627", \ - "0.095817,0.101655,0.106504,0.115639,0.133835,0.170299,0.243178", \ - "0.113676,0.119829,0.124817,0.133982,0.152081,0.188485,0.261333", \ - "0.132935,0.139412,0.144614,0.153810,0.171852,0.208179,0.280993"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004757,0.006479,0.008190,0.011621,0.018837,0.034204,0.065984", \ - "0.004758,0.006479,0.008190,0.011621,0.018837,0.034204,0.065984", \ - "0.004758,0.006479,0.008189,0.011620,0.018837,0.034204,0.065984", \ - "0.004771,0.006489,0.008199,0.011627,0.018840,0.034205,0.065984", \ - "0.004932,0.006633,0.008325,0.011723,0.018898,0.034232,0.065987", \ - "0.005265,0.006920,0.008568,0.011900,0.018993,0.034261,0.065998", \ - "0.005823,0.007434,0.009025,0.012247,0.019195,0.034337,0.066011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005763,0.008798,0.012369,0.020520,0.037687,0.072275,0.141526", \ - "0.005763,0.008800,0.012369,0.020518,0.037680,0.072275,0.141534", \ - "0.005763,0.008798,0.012369,0.020521,0.037679,0.072264,0.141532", \ - "0.005772,0.008808,0.012374,0.020521,0.037682,0.072271,0.141532", \ - "0.006083,0.009096,0.012540,0.020574,0.037696,0.072274,0.141537", \ - "0.006640,0.009711,0.012957,0.020732,0.037739,0.072303,0.141553", \ - "0.007246,0.010438,0.013531,0.020982,0.037819,0.072316,0.141563"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047068,0.051152,0.054501,0.060145,0.070058,0.088716,0.125544", \ - "0.048512,0.052597,0.055946,0.061590,0.071504,0.090161,0.126990", \ - "0.053450,0.057534,0.060883,0.066526,0.076439,0.095098,0.131924", \ - "0.062719,0.066806,0.070157,0.075804,0.085720,0.104380,0.141209", \ - "0.071799,0.075921,0.079296,0.084951,0.094885,0.113556,0.150382", \ - "0.079238,0.083451,0.086880,0.092574,0.102494,0.121172,0.157984", \ - "0.084571,0.088958,0.092501,0.098322,0.108168,0.126897,0.163699"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.062213,0.067894,0.072707,0.081870,0.100125,0.136630,0.209517", \ - "0.063226,0.068907,0.073720,0.082884,0.101140,0.137643,0.210534", \ - "0.068086,0.073769,0.078581,0.087745,0.106000,0.142499,0.215393", \ - "0.079335,0.085021,0.089833,0.098994,0.117243,0.153742,0.226637", \ - "0.096033,0.101869,0.106717,0.115848,0.134053,0.170522,0.243394", \ - "0.114229,0.120375,0.125359,0.134511,0.152618,0.189036,0.261867", \ - "0.133947,0.140413,0.145606,0.154803,0.172840,0.209181,0.282002"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004552,0.006290,0.008020,0.011485,0.018750,0.034163,0.065971", \ - "0.004551,0.006289,0.008020,0.011486,0.018750,0.034162,0.065970", \ - "0.004553,0.006289,0.008019,0.011485,0.018750,0.034163,0.065971", \ - "0.004582,0.006314,0.008040,0.011499,0.018757,0.034164,0.065971", \ - "0.004752,0.006462,0.008168,0.011594,0.018812,0.034190,0.065975", \ - "0.005109,0.006764,0.008421,0.011777,0.018911,0.034220,0.065982", \ - "0.005680,0.007289,0.008884,0.012128,0.019114,0.034294,0.065998"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005764,0.008799,0.012369,0.020522,0.037680,0.072266,0.141539", \ - "0.005761,0.008800,0.012368,0.020521,0.037683,0.072271,0.141526", \ - "0.005764,0.008800,0.012369,0.020519,0.037680,0.072284,0.141528", \ - "0.005773,0.008808,0.012374,0.020521,0.037680,0.072278,0.141531", \ - "0.006079,0.009092,0.012537,0.020571,0.037697,0.072278,0.141540", \ - "0.006630,0.009695,0.012945,0.020727,0.037741,0.072303,0.141544", \ - "0.007221,0.010407,0.013507,0.020972,0.037809,0.072316,0.141548"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.052368,0.056514,0.059904,0.065598,0.075550,0.094226,0.131053", \ - "0.053754,0.057902,0.061292,0.066986,0.076938,0.095614,0.132439", \ - "0.058405,0.062551,0.065941,0.071636,0.081588,0.100264,0.137089", \ - "0.067660,0.071812,0.075204,0.080898,0.090850,0.109526,0.146352", \ - "0.077657,0.081838,0.085255,0.090955,0.100933,0.119622,0.156449", \ - "0.086249,0.090515,0.093984,0.099718,0.109664,0.128361,0.165175", \ - "0.093036,0.097468,0.101047,0.106915,0.116781,0.135496,0.172302"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.062282,0.067964,0.072777,0.081941,0.100197,0.136697,0.209591", \ - "0.063362,0.069044,0.073856,0.083022,0.101276,0.137776,0.210672", \ - "0.068217,0.073899,0.078711,0.087874,0.106127,0.142632,0.215521", \ - "0.079327,0.085012,0.089824,0.098984,0.117235,0.153736,0.226627", \ - "0.095817,0.101655,0.106504,0.115639,0.133835,0.170299,0.243178", \ - "0.113676,0.119829,0.124817,0.133982,0.152081,0.188485,0.261333", \ - "0.132935,0.139412,0.144614,0.153810,0.171852,0.208179,0.280993"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004757,0.006479,0.008190,0.011621,0.018837,0.034204,0.065984", \ - "0.004758,0.006479,0.008190,0.011621,0.018837,0.034204,0.065984", \ - "0.004758,0.006479,0.008189,0.011620,0.018837,0.034204,0.065984", \ - "0.004771,0.006489,0.008199,0.011627,0.018840,0.034205,0.065984", \ - "0.004932,0.006633,0.008325,0.011723,0.018898,0.034232,0.065987", \ - "0.005265,0.006920,0.008568,0.011900,0.018993,0.034261,0.065998", \ - "0.005823,0.007434,0.009025,0.012247,0.019195,0.034337,0.066011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005763,0.008798,0.012369,0.020520,0.037687,0.072275,0.141526", \ - "0.005763,0.008800,0.012369,0.020518,0.037680,0.072275,0.141534", \ - "0.005763,0.008798,0.012369,0.020521,0.037679,0.072264,0.141532", \ - "0.005772,0.008808,0.012374,0.020521,0.037682,0.072271,0.141532", \ - "0.006083,0.009096,0.012540,0.020574,0.037696,0.072274,0.141537", \ - "0.006640,0.009711,0.012957,0.020732,0.037739,0.072303,0.141553", \ - "0.007246,0.010438,0.013531,0.020982,0.037819,0.072316,0.141563"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047445,0.051569,0.054942,0.060617,0.070555,0.089225,0.126049", \ - "0.048801,0.052925,0.056298,0.061973,0.071910,0.090580,0.127406", \ - "0.053452,0.057574,0.060948,0.066622,0.076561,0.095231,0.132055", \ - "0.062187,0.066318,0.069696,0.075381,0.085324,0.103995,0.140820", \ - "0.070694,0.074868,0.078278,0.084001,0.093948,0.112631,0.149460", \ - "0.077905,0.082184,0.085659,0.091394,0.101297,0.119992,0.156803", \ - "0.083246,0.087721,0.091327,0.097222,0.107103,0.125806,0.162610"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.060263,0.065653,0.070397,0.079571,0.097860,0.134376,0.207279", \ - "0.061339,0.066729,0.071473,0.080647,0.098939,0.135455,0.208353", \ - "0.066196,0.071588,0.076332,0.085505,0.103796,0.140310,0.213209", \ - "0.077332,0.082728,0.087471,0.096640,0.114925,0.151442,0.224336", \ - "0.093641,0.099174,0.103930,0.113067,0.131296,0.167787,0.240674", \ - "0.111187,0.116992,0.121812,0.130942,0.149081,0.185522,0.258386", \ - "0.130153,0.136270,0.141216,0.150303,0.168368,0.204749,0.277588"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004670,0.006399,0.008120,0.011567,0.018804,0.034188,0.065978", \ - "0.004671,0.006399,0.008119,0.011566,0.018804,0.034189,0.065978", \ - "0.004671,0.006399,0.008119,0.011566,0.018803,0.034189,0.065978", \ - "0.004719,0.006442,0.008154,0.011591,0.018817,0.034193,0.065978", \ - "0.004911,0.006609,0.008302,0.011703,0.018881,0.034225,0.065984", \ - "0.005313,0.006958,0.008598,0.011919,0.019002,0.034261,0.065995", \ - "0.005937,0.007543,0.009125,0.012328,0.019241,0.034353,0.066015"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005292,0.008363,0.012121,0.020430,0.037643,0.072244,0.141531", \ - "0.005294,0.008363,0.012121,0.020430,0.037651,0.072245,0.141533", \ - "0.005294,0.008364,0.012121,0.020430,0.037648,0.072237,0.141533", \ - "0.005305,0.008371,0.012124,0.020432,0.037647,0.072244,0.141530", \ - "0.005618,0.008609,0.012244,0.020467,0.037653,0.072261,0.141524", \ - "0.006116,0.009064,0.012504,0.020554,0.037684,0.072277,0.141527", \ - "0.006661,0.009654,0.012890,0.020693,0.037727,0.072275,0.141529"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042964,0.047030,0.050363,0.055990,0.065892,0.084545,0.121372", \ - "0.044385,0.048450,0.051784,0.057411,0.067312,0.085964,0.122794", \ - "0.049330,0.053394,0.056727,0.062356,0.072256,0.090910,0.127735", \ - "0.057833,0.061906,0.065248,0.070887,0.080798,0.099453,0.136278", \ - "0.065502,0.069620,0.072990,0.078665,0.088574,0.107239,0.144065", \ - "0.071593,0.075822,0.079260,0.084973,0.094873,0.113550,0.150360", \ - "0.075525,0.079950,0.083522,0.089374,0.099228,0.117939,0.154735"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.060190,0.065581,0.070326,0.079499,0.097791,0.134305,0.207209", \ - "0.061200,0.066590,0.071334,0.080507,0.098800,0.135315,0.208216", \ - "0.066068,0.071459,0.076203,0.085376,0.103668,0.140181,0.213081", \ - "0.077343,0.082737,0.087479,0.096650,0.114933,0.151448,0.224347", \ - "0.093860,0.099392,0.104150,0.113285,0.131518,0.168009,0.240893", \ - "0.111747,0.117535,0.122353,0.131480,0.149626,0.186066,0.258938", \ - "0.131174,0.137278,0.142219,0.151323,0.169386,0.205777,0.278621"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004476,0.006222,0.007960,0.011439,0.018722,0.034150,0.065965", \ - "0.004476,0.006222,0.007960,0.011440,0.018722,0.034150,0.065964", \ - "0.004476,0.006221,0.007959,0.011439,0.018721,0.034151,0.065967", \ - "0.004550,0.006281,0.008009,0.011474,0.018740,0.034156,0.065968", \ - "0.004740,0.006448,0.008153,0.011581,0.018801,0.034184,0.065976", \ - "0.005165,0.006809,0.008458,0.011802,0.018923,0.034221,0.065980", \ - "0.005798,0.007403,0.008989,0.012210,0.019161,0.034310,0.065998"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005294,0.008363,0.012121,0.020431,0.037645,0.072236,0.141528", \ - "0.005292,0.008364,0.012121,0.020430,0.037649,0.072239,0.141533", \ - "0.005294,0.008364,0.012121,0.020432,0.037652,0.072247,0.141533", \ - "0.005303,0.008372,0.012123,0.020432,0.037644,0.072252,0.141530", \ - "0.005616,0.008604,0.012243,0.020467,0.037654,0.072248,0.141525", \ - "0.006104,0.009053,0.012498,0.020553,0.037686,0.072263,0.141532", \ - "0.006638,0.009629,0.012873,0.020684,0.037719,0.072276,0.141529"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047068,0.051152,0.054501,0.060145,0.070058,0.088716,0.125544", \ - "0.048512,0.052597,0.055946,0.061590,0.071504,0.090161,0.126990", \ - "0.053450,0.057534,0.060883,0.066526,0.076439,0.095098,0.131924", \ - "0.062719,0.066806,0.070157,0.075804,0.085720,0.104380,0.141209", \ - "0.071799,0.075921,0.079296,0.084951,0.094885,0.113556,0.150382", \ - "0.079238,0.083451,0.086880,0.092574,0.102494,0.121172,0.157984", \ - "0.084571,0.088958,0.092501,0.098322,0.108168,0.126897,0.163699"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.062213,0.067894,0.072707,0.081870,0.100125,0.136630,0.209517", \ - "0.063226,0.068907,0.073720,0.082884,0.101140,0.137643,0.210534", \ - "0.068086,0.073769,0.078581,0.087745,0.106000,0.142499,0.215393", \ - "0.079335,0.085021,0.089833,0.098994,0.117243,0.153742,0.226637", \ - "0.096033,0.101869,0.106717,0.115848,0.134053,0.170522,0.243394", \ - "0.114229,0.120375,0.125359,0.134511,0.152618,0.189036,0.261867", \ - "0.133947,0.140413,0.145606,0.154803,0.172840,0.209181,0.282002"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004552,0.006290,0.008020,0.011485,0.018750,0.034163,0.065971", \ - "0.004551,0.006289,0.008020,0.011486,0.018750,0.034162,0.065970", \ - "0.004553,0.006289,0.008019,0.011485,0.018750,0.034163,0.065971", \ - "0.004582,0.006314,0.008040,0.011499,0.018757,0.034164,0.065971", \ - "0.004752,0.006462,0.008168,0.011594,0.018812,0.034190,0.065975", \ - "0.005109,0.006764,0.008421,0.011777,0.018911,0.034220,0.065982", \ - "0.005680,0.007289,0.008884,0.012128,0.019114,0.034294,0.065998"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005764,0.008799,0.012369,0.020522,0.037680,0.072266,0.141539", \ - "0.005761,0.008800,0.012368,0.020521,0.037683,0.072271,0.141526", \ - "0.005764,0.008800,0.012369,0.020519,0.037680,0.072284,0.141528", \ - "0.005773,0.008808,0.012374,0.020521,0.037680,0.072278,0.141531", \ - "0.006079,0.009092,0.012537,0.020571,0.037697,0.072278,0.141540", \ - "0.006630,0.009695,0.012945,0.020727,0.037741,0.072303,0.141544", \ - "0.007221,0.010407,0.013507,0.020972,0.037809,0.072316,0.141548"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.042964,0.047030,0.050363,0.055990,0.065892,0.084545,0.121372", \ - "0.044385,0.048450,0.051784,0.057411,0.067312,0.085964,0.122794", \ - "0.049330,0.053394,0.056727,0.062356,0.072256,0.090910,0.127735", \ - "0.057833,0.061906,0.065248,0.070887,0.080798,0.099453,0.136278", \ - "0.065502,0.069620,0.072990,0.078665,0.088574,0.107239,0.144065", \ - "0.071593,0.075822,0.079260,0.084973,0.094873,0.113550,0.150360", \ - "0.075525,0.079950,0.083522,0.089374,0.099228,0.117939,0.154735"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.060190,0.065581,0.070326,0.079499,0.097791,0.134305,0.207209", \ - "0.061200,0.066590,0.071334,0.080507,0.098800,0.135315,0.208216", \ - "0.066068,0.071459,0.076203,0.085376,0.103668,0.140181,0.213081", \ - "0.077343,0.082737,0.087479,0.096650,0.114933,0.151448,0.224347", \ - "0.093860,0.099392,0.104150,0.113285,0.131518,0.168009,0.240893", \ - "0.111747,0.117535,0.122353,0.131480,0.149626,0.186066,0.258938", \ - "0.131174,0.137278,0.142219,0.151323,0.169386,0.205777,0.278621"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004476,0.006222,0.007960,0.011439,0.018722,0.034150,0.065965", \ - "0.004476,0.006222,0.007960,0.011440,0.018722,0.034150,0.065964", \ - "0.004476,0.006221,0.007959,0.011439,0.018721,0.034151,0.065967", \ - "0.004550,0.006281,0.008009,0.011474,0.018740,0.034156,0.065968", \ - "0.004740,0.006448,0.008153,0.011581,0.018801,0.034184,0.065976", \ - "0.005165,0.006809,0.008458,0.011802,0.018923,0.034221,0.065980", \ - "0.005798,0.007403,0.008989,0.012210,0.019161,0.034310,0.065998"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005294,0.008363,0.012121,0.020431,0.037645,0.072236,0.141528", \ - "0.005292,0.008364,0.012121,0.020430,0.037649,0.072239,0.141533", \ - "0.005294,0.008364,0.012121,0.020432,0.037652,0.072247,0.141533", \ - "0.005303,0.008372,0.012123,0.020432,0.037644,0.072252,0.141530", \ - "0.005616,0.008604,0.012243,0.020467,0.037654,0.072248,0.141525", \ - "0.006104,0.009053,0.012498,0.020553,0.037686,0.072263,0.141532", \ - "0.006638,0.009629,0.012873,0.020684,0.037719,0.072276,0.141529"); - } - } - - timing () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.038862,0.042852,0.046137,0.051709,0.061567,0.080203,0.117031", \ - "0.040326,0.044317,0.047601,0.053172,0.063031,0.081666,0.118493", \ - "0.045516,0.049506,0.052790,0.058363,0.068221,0.086856,0.123683", \ - "0.053503,0.057513,0.060811,0.066400,0.076270,0.094907,0.131736", \ - "0.060189,0.064240,0.067566,0.073198,0.083073,0.101717,0.138547", \ - "0.064991,0.069163,0.072562,0.078230,0.088128,0.106784,0.143594", \ - "0.067309,0.071684,0.075217,0.081024,0.090911,0.109589,0.146382"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.060179,0.065571,0.070316,0.079489,0.097781,0.134295,0.207198", \ - "0.061100,0.066490,0.071234,0.080408,0.098699,0.135217,0.208115", \ - "0.065919,0.071311,0.076055,0.085229,0.103519,0.140037,0.212933", \ - "0.077346,0.082740,0.087483,0.096653,0.114936,0.151450,0.224348", \ - "0.094113,0.099643,0.104397,0.113530,0.131758,0.168249,0.241137", \ - "0.112361,0.118154,0.122970,0.132088,0.150228,0.186672,0.259549", \ - "0.132307,0.138398,0.143332,0.152426,0.170520,0.206907,0.279742"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004234,0.006004,0.007767,0.011289,0.018628,0.034109,0.065956", \ - "0.004233,0.006004,0.007767,0.011290,0.018628,0.034109,0.065956", \ - "0.004237,0.006006,0.007769,0.011290,0.018628,0.034109,0.065958", \ - "0.004339,0.006094,0.007840,0.011339,0.018653,0.034115,0.065957", \ - "0.004554,0.006272,0.007990,0.011447,0.018712,0.034140,0.065965", \ - "0.005002,0.006650,0.008308,0.011676,0.018836,0.034176,0.065969", \ - "0.005646,0.007249,0.008842,0.012084,0.019073,0.034261,0.065983"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005292,0.008364,0.012120,0.020430,0.037650,0.072247,0.141530", \ - "0.005292,0.008364,0.012121,0.020432,0.037647,0.072238,0.141530", \ - "0.005294,0.008364,0.012119,0.020429,0.037648,0.072253,0.141533", \ - "0.005303,0.008372,0.012123,0.020433,0.037645,0.072241,0.141531", \ - "0.005609,0.008600,0.012242,0.020468,0.037659,0.072245,0.141530", \ - "0.006089,0.009042,0.012490,0.020548,0.037679,0.072259,0.141526", \ - "0.006611,0.009600,0.012852,0.020678,0.037720,0.072276,0.141535"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.062065,0.066254,0.069673,0.075402,0.085383,0.104068,0.140897", \ - "0.063174,0.067362,0.070781,0.076510,0.086490,0.105176,0.142002", \ - "0.067737,0.071925,0.075345,0.081074,0.091053,0.109739,0.146565", \ - "0.077190,0.081382,0.084803,0.090530,0.100508,0.119193,0.156023", \ - "0.089804,0.094010,0.097444,0.103162,0.113160,0.131855,0.168682", \ - "0.101306,0.105571,0.109041,0.114786,0.124675,0.143375,0.180199", \ - "0.111173,0.115560,0.119108,0.124940,0.134722,0.153358,0.190173"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.068223,0.074195,0.079117,0.088295,0.106518,0.142998,0.215884", \ - "0.070030,0.076000,0.080922,0.090100,0.108322,0.144800,0.217685", \ - "0.075103,0.081075,0.085996,0.095173,0.113396,0.149876,0.222757", \ - "0.084061,0.090035,0.094957,0.104132,0.122350,0.158824,0.231712", \ - "0.097225,0.103305,0.108267,0.117421,0.135604,0.172051,0.244926", \ - "0.112409,0.118695,0.123778,0.132979,0.151117,0.187527,0.260379", \ - "0.129712,0.136219,0.141466,0.150738,0.168853,0.205229,0.278057"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004911,0.006620,0.008316,0.011719,0.018897,0.034231,0.065993", \ - "0.004912,0.006619,0.008315,0.011719,0.018897,0.034231,0.065994", \ - "0.004912,0.006619,0.008316,0.011719,0.018897,0.034231,0.065993", \ - "0.004911,0.006618,0.008315,0.011719,0.018897,0.034231,0.065992", \ - "0.005011,0.006707,0.008395,0.011780,0.018934,0.034244,0.065996", \ - "0.005249,0.006913,0.008569,0.011906,0.019003,0.034270,0.066003", \ - "0.005682,0.007298,0.008905,0.012157,0.019146,0.034322,0.066012"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006198,0.009287,0.012686,0.020645,0.037723,0.072300,0.141540", \ - "0.006198,0.009288,0.012687,0.020645,0.037723,0.072302,0.141552", \ - "0.006199,0.009288,0.012686,0.020646,0.037721,0.072293,0.141548", \ - "0.006203,0.009293,0.012691,0.020646,0.037726,0.072293,0.141550", \ - "0.006409,0.009510,0.012831,0.020695,0.037736,0.072298,0.141546", \ - "0.006782,0.009955,0.013169,0.020843,0.037782,0.072320,0.141552", \ - "0.007212,0.010480,0.013605,0.021050,0.037845,0.072335,0.141564"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.056987,0.061156,0.064561,0.070276,0.080240,0.098920,0.135745", \ - "0.058092,0.062260,0.065667,0.071379,0.081342,0.100024,0.136848", \ - "0.062669,0.066837,0.070242,0.075956,0.085922,0.104603,0.141427", \ - "0.072135,0.076310,0.079716,0.085425,0.095390,0.114071,0.150900", \ - "0.083527,0.087720,0.091146,0.096870,0.106854,0.125547,0.162372", \ - "0.093733,0.097997,0.101464,0.107200,0.117134,0.135834,0.172652", \ - "0.102348,0.106746,0.110302,0.116144,0.125976,0.144651,0.181462"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.066285,0.071968,0.076780,0.085944,0.104199,0.140699,0.213590", \ - "0.068087,0.073769,0.078581,0.087744,0.106000,0.142503,0.215396", \ - "0.073158,0.078841,0.083653,0.092817,0.111071,0.147573,0.220466", \ - "0.082119,0.087805,0.092617,0.101777,0.120030,0.156527,0.229417", \ - "0.095186,0.100977,0.105816,0.114945,0.133157,0.169628,0.242509", \ - "0.110192,0.116181,0.121094,0.130247,0.148398,0.184852,0.257717", \ - "0.127300,0.133510,0.138538,0.147718,0.165860,0.202246,0.275101"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004837,0.006550,0.008254,0.011670,0.018868,0.034218,0.065989", \ - "0.004838,0.006550,0.008254,0.011671,0.018868,0.034218,0.065988", \ - "0.004837,0.006550,0.008254,0.011671,0.018867,0.034218,0.065989", \ - "0.004842,0.006554,0.008257,0.011673,0.018868,0.034218,0.065988", \ - "0.004969,0.006669,0.008359,0.011750,0.018916,0.034238,0.065992", \ - "0.005248,0.006907,0.008561,0.011898,0.018995,0.034265,0.066000", \ - "0.005721,0.007334,0.008934,0.012178,0.019155,0.034323,0.066011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005764,0.008798,0.012370,0.020518,0.037679,0.072274,0.141543", \ - "0.005762,0.008800,0.012368,0.020521,0.037684,0.072266,0.141526", \ - "0.005764,0.008799,0.012369,0.020522,0.037682,0.072274,0.141526", \ - "0.005770,0.008805,0.012372,0.020519,0.037687,0.072274,0.141531", \ - "0.005979,0.009001,0.012484,0.020557,0.037688,0.072284,0.141542", \ - "0.006325,0.009370,0.012726,0.020648,0.037717,0.072286,0.141548", \ - "0.006724,0.009828,0.013056,0.020782,0.037756,0.072304,0.141552"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.050914,0.055016,0.058377,0.064036,0.073960,0.092622,0.129447", \ - "0.052120,0.056222,0.059583,0.065241,0.075166,0.093829,0.130654", \ - "0.056973,0.061078,0.064439,0.070098,0.080023,0.098686,0.135512", \ - "0.066637,0.070746,0.074107,0.079766,0.089691,0.108354,0.145178", \ - "0.077067,0.081199,0.084581,0.090251,0.100189,0.118865,0.155692", \ - "0.086016,0.090221,0.093646,0.099347,0.109239,0.127919,0.164734", \ - "0.093115,0.097462,0.100980,0.106773,0.116585,0.135244,0.172050"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.066219,0.071902,0.076714,0.085878,0.104134,0.140637,0.213523", \ - "0.067966,0.073648,0.078460,0.087624,0.105878,0.142379,0.215275", \ - "0.073031,0.078714,0.083526,0.092689,0.110944,0.147448,0.220338", \ - "0.082039,0.087723,0.092536,0.101696,0.119947,0.156444,0.229336", \ - "0.095192,0.100982,0.105820,0.114958,0.133173,0.169653,0.242524", \ - "0.110447,0.116435,0.121346,0.130494,0.148671,0.185097,0.257967", \ - "0.127998,0.134203,0.139228,0.148401,0.166530,0.202922,0.275778"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004620,0.006350,0.008074,0.011527,0.018776,0.034173,0.065974", \ - "0.004622,0.006351,0.008074,0.011528,0.018776,0.034174,0.065974", \ - "0.004620,0.006352,0.008075,0.011527,0.018776,0.034175,0.065975", \ - "0.004635,0.006363,0.008083,0.011535,0.018780,0.034175,0.065976", \ - "0.004778,0.006487,0.008191,0.011614,0.018827,0.034197,0.065980", \ - "0.005077,0.006741,0.008404,0.011767,0.018908,0.034221,0.065986", \ - "0.005566,0.007177,0.008785,0.012051,0.019069,0.034278,0.065994"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005764,0.008798,0.012368,0.020518,0.037684,0.072270,0.141543", \ - "0.005761,0.008800,0.012369,0.020520,0.037681,0.072275,0.141526", \ - "0.005764,0.008800,0.012369,0.020520,0.037681,0.072264,0.141533", \ - "0.005767,0.008805,0.012371,0.020522,0.037684,0.072275,0.141529", \ - "0.005979,0.009000,0.012484,0.020556,0.037697,0.072277,0.141541", \ - "0.006320,0.009367,0.012724,0.020647,0.037719,0.072295,0.141541", \ - "0.006712,0.009816,0.013045,0.020777,0.037764,0.072308,0.141556"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.056987,0.061156,0.064561,0.070276,0.080240,0.098920,0.135745", \ - "0.058092,0.062260,0.065667,0.071379,0.081342,0.100024,0.136848", \ - "0.062669,0.066837,0.070242,0.075956,0.085922,0.104603,0.141427", \ - "0.072135,0.076310,0.079716,0.085425,0.095390,0.114071,0.150900", \ - "0.083527,0.087720,0.091146,0.096870,0.106854,0.125547,0.162372", \ - "0.093733,0.097997,0.101464,0.107200,0.117134,0.135834,0.172652", \ - "0.102348,0.106746,0.110302,0.116144,0.125976,0.144651,0.181462"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.066285,0.071968,0.076780,0.085944,0.104199,0.140699,0.213590", \ - "0.068087,0.073769,0.078581,0.087744,0.106000,0.142503,0.215396", \ - "0.073158,0.078841,0.083653,0.092817,0.111071,0.147573,0.220466", \ - "0.082119,0.087805,0.092617,0.101777,0.120030,0.156527,0.229417", \ - "0.095186,0.100977,0.105816,0.114945,0.133157,0.169628,0.242509", \ - "0.110192,0.116181,0.121094,0.130247,0.148398,0.184852,0.257717", \ - "0.127300,0.133510,0.138538,0.147718,0.165860,0.202246,0.275101"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004837,0.006550,0.008254,0.011670,0.018868,0.034218,0.065989", \ - "0.004838,0.006550,0.008254,0.011671,0.018868,0.034218,0.065988", \ - "0.004837,0.006550,0.008254,0.011671,0.018867,0.034218,0.065989", \ - "0.004842,0.006554,0.008257,0.011673,0.018868,0.034218,0.065988", \ - "0.004969,0.006669,0.008359,0.011750,0.018916,0.034238,0.065992", \ - "0.005248,0.006907,0.008561,0.011898,0.018995,0.034265,0.066000", \ - "0.005721,0.007334,0.008934,0.012178,0.019155,0.034323,0.066011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005764,0.008798,0.012370,0.020518,0.037679,0.072274,0.141543", \ - "0.005762,0.008800,0.012368,0.020521,0.037684,0.072266,0.141526", \ - "0.005764,0.008799,0.012369,0.020522,0.037682,0.072274,0.141526", \ - "0.005770,0.008805,0.012372,0.020519,0.037687,0.072274,0.141531", \ - "0.005979,0.009001,0.012484,0.020557,0.037688,0.072284,0.141542", \ - "0.006325,0.009370,0.012726,0.020648,0.037717,0.072286,0.141548", \ - "0.006724,0.009828,0.013056,0.020782,0.037756,0.072304,0.141552"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051988,0.056136,0.059526,0.065220,0.075171,0.093847,0.130671", \ - "0.053088,0.057234,0.060624,0.066318,0.076270,0.094946,0.131772", \ - "0.057677,0.061822,0.065212,0.070908,0.080859,0.099535,0.136361", \ - "0.066945,0.071099,0.074491,0.080188,0.090142,0.108818,0.145645", \ - "0.076973,0.081158,0.084575,0.090317,0.100270,0.118959,0.155786", \ - "0.085861,0.090128,0.093596,0.099348,0.109303,0.128001,0.164815", \ - "0.093189,0.097611,0.101181,0.107035,0.116926,0.135623,0.172430"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.064268,0.069659,0.074403,0.083576,0.101867,0.138382,0.211284", \ - "0.066065,0.071455,0.076199,0.085372,0.103665,0.140182,0.213080", \ - "0.071134,0.076525,0.081269,0.090441,0.108734,0.145248,0.218146", \ - "0.080103,0.085496,0.090239,0.099409,0.117695,0.154207,0.227110", \ - "0.093053,0.098541,0.103293,0.112441,0.130678,0.167173,0.240064", \ - "0.107891,0.113551,0.118336,0.127460,0.145670,0.182139,0.255028", \ - "0.124808,0.130679,0.135526,0.144652,0.162824,0.199255,0.272121"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004757,0.006479,0.008190,0.011621,0.018837,0.034204,0.065982", \ - "0.004758,0.006479,0.008190,0.011621,0.018837,0.034204,0.065984", \ - "0.004758,0.006478,0.008190,0.011620,0.018837,0.034203,0.065984", \ - "0.004780,0.006497,0.008207,0.011633,0.018843,0.034206,0.065982", \ - "0.004937,0.006638,0.008329,0.011725,0.018899,0.034232,0.065990", \ - "0.005264,0.006918,0.008567,0.011899,0.018992,0.034261,0.065995", \ - "0.005784,0.007393,0.008988,0.012218,0.019176,0.034328,0.066009"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005292,0.008363,0.012120,0.020431,0.037647,0.072243,0.141519", \ - "0.005293,0.008363,0.012121,0.020430,0.037650,0.072247,0.141533", \ - "0.005293,0.008364,0.012121,0.020430,0.037651,0.072249,0.141533", \ - "0.005300,0.008368,0.012122,0.020430,0.037643,0.072237,0.141526", \ - "0.005513,0.008527,0.012205,0.020457,0.037651,0.072240,0.141518", \ - "0.005831,0.008808,0.012359,0.020508,0.037670,0.072259,0.141523", \ - "0.006203,0.009177,0.012579,0.020585,0.037696,0.072276,0.141541"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.046736,0.050820,0.054167,0.059811,0.069724,0.088382,0.125210", \ - "0.047937,0.052021,0.055368,0.061012,0.070926,0.089584,0.126410", \ - "0.052810,0.056894,0.060243,0.065887,0.075800,0.094458,0.131282", \ - "0.062037,0.066128,0.069480,0.075130,0.085048,0.103707,0.140535", \ - "0.071140,0.075263,0.078639,0.084324,0.094244,0.112914,0.149744", \ - "0.078824,0.083035,0.086464,0.092176,0.102100,0.120777,0.157590", \ - "0.084680,0.089050,0.092583,0.098392,0.108284,0.126953,0.163753"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.064200,0.069591,0.074335,0.083508,0.101799,0.138314,0.211217", \ - "0.065942,0.071333,0.076077,0.085251,0.103542,0.140061,0.212956", \ - "0.071007,0.076398,0.081142,0.090315,0.108606,0.145124,0.218020", \ - "0.080025,0.085417,0.090160,0.099328,0.117618,0.154129,0.227030", \ - "0.093063,0.098552,0.103304,0.112450,0.130699,0.167190,0.240080", \ - "0.108155,0.113814,0.118599,0.127727,0.145938,0.182398,0.255287", \ - "0.125512,0.131376,0.136221,0.145353,0.163516,0.199960,0.272833"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004552,0.006289,0.008019,0.011485,0.018750,0.034162,0.065972", \ - "0.004552,0.006288,0.008019,0.011485,0.018749,0.034163,0.065972", \ - "0.004552,0.006289,0.008019,0.011485,0.018750,0.034163,0.065972", \ - "0.004590,0.006321,0.008046,0.011504,0.018760,0.034165,0.065970", \ - "0.004757,0.006466,0.008170,0.011596,0.018813,0.034189,0.065976", \ - "0.005104,0.006758,0.008417,0.011773,0.018909,0.034218,0.065982", \ - "0.005633,0.007244,0.008844,0.012094,0.019091,0.034284,0.065993"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005293,0.008364,0.012121,0.020430,0.037643,0.072244,0.141518", \ - "0.005293,0.008364,0.012122,0.020430,0.037648,0.072242,0.141525", \ - "0.005292,0.008363,0.012120,0.020429,0.037648,0.072249,0.141533", \ - "0.005299,0.008368,0.012123,0.020434,0.037649,0.072241,0.141525", \ - "0.005514,0.008526,0.012205,0.020455,0.037650,0.072245,0.141518", \ - "0.005828,0.008804,0.012357,0.020508,0.037671,0.072259,0.141529", \ - "0.006194,0.009165,0.012572,0.020583,0.037701,0.072273,0.141540"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.050914,0.055016,0.058377,0.064036,0.073960,0.092622,0.129447", \ - "0.052120,0.056222,0.059583,0.065241,0.075166,0.093829,0.130654", \ - "0.056973,0.061078,0.064439,0.070098,0.080023,0.098686,0.135512", \ - "0.066637,0.070746,0.074107,0.079766,0.089691,0.108354,0.145178", \ - "0.077067,0.081199,0.084581,0.090251,0.100189,0.118865,0.155692", \ - "0.086016,0.090221,0.093646,0.099347,0.109239,0.127919,0.164734", \ - "0.093115,0.097462,0.100980,0.106773,0.116585,0.135244,0.172050"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.066219,0.071902,0.076714,0.085878,0.104134,0.140637,0.213523", \ - "0.067966,0.073648,0.078460,0.087624,0.105878,0.142379,0.215275", \ - "0.073031,0.078714,0.083526,0.092689,0.110944,0.147448,0.220338", \ - "0.082039,0.087723,0.092536,0.101696,0.119947,0.156444,0.229336", \ - "0.095192,0.100982,0.105820,0.114958,0.133173,0.169653,0.242524", \ - "0.110447,0.116435,0.121346,0.130494,0.148671,0.185097,0.257967", \ - "0.127998,0.134203,0.139228,0.148401,0.166530,0.202922,0.275778"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004620,0.006350,0.008074,0.011527,0.018776,0.034173,0.065974", \ - "0.004622,0.006351,0.008074,0.011528,0.018776,0.034174,0.065974", \ - "0.004620,0.006352,0.008075,0.011527,0.018776,0.034175,0.065975", \ - "0.004635,0.006363,0.008083,0.011535,0.018780,0.034175,0.065976", \ - "0.004778,0.006487,0.008191,0.011614,0.018827,0.034197,0.065980", \ - "0.005077,0.006741,0.008404,0.011767,0.018908,0.034221,0.065986", \ - "0.005566,0.007177,0.008785,0.012051,0.019069,0.034278,0.065994"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005764,0.008798,0.012368,0.020518,0.037684,0.072270,0.141543", \ - "0.005761,0.008800,0.012369,0.020520,0.037681,0.072275,0.141526", \ - "0.005764,0.008800,0.012369,0.020520,0.037681,0.072264,0.141533", \ - "0.005767,0.008805,0.012371,0.020522,0.037684,0.072275,0.141529", \ - "0.005979,0.009000,0.012484,0.020556,0.037697,0.072277,0.141541", \ - "0.006320,0.009367,0.012724,0.020647,0.037719,0.072295,0.141541", \ - "0.006712,0.009816,0.013045,0.020777,0.037764,0.072308,0.141556"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.046736,0.050820,0.054167,0.059811,0.069724,0.088382,0.125210", \ - "0.047937,0.052021,0.055368,0.061012,0.070926,0.089584,0.126410", \ - "0.052810,0.056894,0.060243,0.065887,0.075800,0.094458,0.131282", \ - "0.062037,0.066128,0.069480,0.075130,0.085048,0.103707,0.140535", \ - "0.071140,0.075263,0.078639,0.084324,0.094244,0.112914,0.149744", \ - "0.078824,0.083035,0.086464,0.092176,0.102100,0.120777,0.157590", \ - "0.084680,0.089050,0.092583,0.098392,0.108284,0.126953,0.163753"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.064200,0.069591,0.074335,0.083508,0.101799,0.138314,0.211217", \ - "0.065942,0.071333,0.076077,0.085251,0.103542,0.140061,0.212956", \ - "0.071007,0.076398,0.081142,0.090315,0.108606,0.145124,0.218020", \ - "0.080025,0.085417,0.090160,0.099328,0.117618,0.154129,0.227030", \ - "0.093063,0.098552,0.103304,0.112450,0.130699,0.167190,0.240080", \ - "0.108155,0.113814,0.118599,0.127727,0.145938,0.182398,0.255287", \ - "0.125512,0.131376,0.136221,0.145353,0.163516,0.199960,0.272833"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004552,0.006289,0.008019,0.011485,0.018750,0.034162,0.065972", \ - "0.004552,0.006288,0.008019,0.011485,0.018749,0.034163,0.065972", \ - "0.004552,0.006289,0.008019,0.011485,0.018750,0.034163,0.065972", \ - "0.004590,0.006321,0.008046,0.011504,0.018760,0.034165,0.065970", \ - "0.004757,0.006466,0.008170,0.011596,0.018813,0.034189,0.065976", \ - "0.005104,0.006758,0.008417,0.011773,0.018909,0.034218,0.065982", \ - "0.005633,0.007244,0.008844,0.012094,0.019091,0.034284,0.065993"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005293,0.008364,0.012121,0.020430,0.037643,0.072244,0.141518", \ - "0.005293,0.008364,0.012122,0.020430,0.037648,0.072242,0.141525", \ - "0.005292,0.008363,0.012120,0.020429,0.037648,0.072249,0.141533", \ - "0.005299,0.008368,0.012123,0.020434,0.037649,0.072241,0.141525", \ - "0.005514,0.008526,0.012205,0.020455,0.037650,0.072245,0.141518", \ - "0.005828,0.008804,0.012357,0.020508,0.037671,0.072259,0.141529", \ - "0.006194,0.009165,0.012572,0.020583,0.037701,0.072273,0.141540"); - } - } - - timing () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.041872,0.045880,0.049174,0.054759,0.064628,0.083266,0.120096", \ - "0.043160,0.047166,0.050462,0.056046,0.065915,0.084552,0.121383", \ - "0.048305,0.052312,0.055609,0.061193,0.071062,0.089700,0.126530", \ - "0.057180,0.061197,0.064500,0.070095,0.079971,0.098612,0.135441", \ - "0.065181,0.069235,0.072564,0.078194,0.088076,0.106725,0.143553", \ - "0.071463,0.075613,0.078999,0.084666,0.094573,0.113228,0.150040", \ - "0.075637,0.079952,0.083446,0.089208,0.099084,0.117773,0.154571"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.064190,0.069581,0.074325,0.083499,0.101790,0.138305,0.211205", \ - "0.065854,0.071244,0.075988,0.085161,0.103452,0.139968,0.212871", \ - "0.070868,0.076260,0.081004,0.090177,0.108468,0.144987,0.217884", \ - "0.079938,0.085331,0.090074,0.099244,0.117532,0.154045,0.226944", \ - "0.093081,0.098570,0.103322,0.112467,0.130720,0.167215,0.240106", \ - "0.108444,0.114099,0.118883,0.128017,0.146220,0.182693,0.255582", \ - "0.126286,0.132144,0.136987,0.146101,0.164296,0.200736,0.273606"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004296,0.006059,0.007815,0.011326,0.018649,0.034118,0.065961", \ - "0.004298,0.006059,0.007815,0.011326,0.018649,0.034117,0.065960", \ - "0.004298,0.006061,0.007815,0.011326,0.018649,0.034118,0.065960", \ - "0.004369,0.006118,0.007863,0.011358,0.018666,0.034122,0.065962", \ - "0.004557,0.006277,0.007997,0.011455,0.018718,0.034144,0.065965", \ - "0.004928,0.006587,0.008256,0.011640,0.018817,0.034171,0.065968", \ - "0.005473,0.007083,0.008689,0.011964,0.019001,0.034234,0.065976"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005294,0.008363,0.012121,0.020432,0.037648,0.072237,0.141516", \ - "0.005292,0.008364,0.012120,0.020433,0.037644,0.072242,0.141531", \ - "0.005294,0.008364,0.012120,0.020430,0.037646,0.072244,0.141533", \ - "0.005302,0.008368,0.012123,0.020431,0.037650,0.072252,0.141524", \ - "0.005515,0.008526,0.012205,0.020457,0.037655,0.072243,0.141519", \ - "0.005824,0.008802,0.012354,0.020508,0.037672,0.072256,0.141528", \ - "0.006181,0.009151,0.012565,0.020581,0.037701,0.072271,0.141534"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.062973,0.067143,0.070550,0.076262,0.086226,0.104908,0.141732", \ - "0.064578,0.068747,0.072153,0.077867,0.087832,0.106512,0.143337", \ - "0.068993,0.073163,0.076568,0.082282,0.092247,0.110928,0.147752", \ - "0.076839,0.081010,0.084416,0.090127,0.100092,0.118772,0.155601", \ - "0.087063,0.091259,0.094685,0.100418,0.110401,0.129089,0.165911", \ - "0.097043,0.101293,0.104755,0.110520,0.120498,0.139195,0.176017", \ - "0.105579,0.109933,0.113464,0.119270,0.129212,0.147951,0.184765"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.074727,0.080784,0.085742,0.094918,0.113116,0.149582,0.222461", \ - "0.075749,0.081806,0.086762,0.095937,0.114138,0.150603,0.223480", \ - "0.080433,0.086490,0.091448,0.100622,0.118821,0.155285,0.228162", \ - "0.091338,0.097396,0.102354,0.111529,0.129728,0.166191,0.239064", \ - "0.109231,0.115327,0.120301,0.129489,0.147628,0.184075,0.256934", \ - "0.130061,0.136458,0.141613,0.150808,0.168856,0.205215,0.278040", \ - "0.152207,0.158913,0.164327,0.173631,0.191562,0.227871,0.300638"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004838,0.006551,0.008255,0.011671,0.018868,0.034218,0.065988", \ - "0.004837,0.006551,0.008254,0.011672,0.018868,0.034218,0.065988", \ - "0.004838,0.006552,0.008255,0.011671,0.018868,0.034218,0.065988", \ - "0.004840,0.006553,0.008256,0.011672,0.018868,0.034217,0.065987", \ - "0.004956,0.006657,0.008347,0.011743,0.018911,0.034234,0.065991", \ - "0.005169,0.006845,0.008510,0.011864,0.018979,0.034260,0.065998", \ - "0.005540,0.007182,0.008808,0.012091,0.019114,0.034314,0.066010"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006361,0.009467,0.012808,0.020696,0.037737,0.072303,0.141557", \ - "0.006363,0.009467,0.012809,0.020692,0.037734,0.072296,0.141559", \ - "0.006362,0.009467,0.012810,0.020694,0.037736,0.072317,0.141562", \ - "0.006365,0.009469,0.012812,0.020694,0.037744,0.072307,0.141550", \ - "0.006472,0.009577,0.012884,0.020720,0.037746,0.072301,0.141554", \ - "0.007047,0.010242,0.013385,0.020928,0.037803,0.072321,0.141569", \ - "0.007675,0.011020,0.014074,0.021274,0.037914,0.072358,0.141572"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.057954,0.062100,0.065490,0.071184,0.081136,0.099812,0.136636", \ - "0.059535,0.063682,0.067071,0.072767,0.082719,0.101394,0.138218", \ - "0.063897,0.068045,0.071435,0.077129,0.087081,0.105755,0.142581", \ - "0.071549,0.075700,0.079091,0.084786,0.094739,0.113414,0.150241", \ - "0.080892,0.085074,0.088489,0.094210,0.104188,0.122874,0.159696", \ - "0.089604,0.093853,0.097312,0.103070,0.113054,0.131748,0.168565", \ - "0.096673,0.101042,0.104582,0.110402,0.120398,0.139114,0.175925"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.072809,0.078576,0.083409,0.092561,0.110793,0.147281,0.220173", \ - "0.073827,0.079595,0.084427,0.093579,0.111810,0.148300,0.221192", \ - "0.078513,0.084280,0.089113,0.098265,0.116496,0.152982,0.225875", \ - "0.089427,0.095196,0.100030,0.109182,0.127409,0.163892,0.236783", \ - "0.107309,0.113126,0.117968,0.127113,0.145272,0.181745,0.254617", \ - "0.127838,0.133947,0.138909,0.148015,0.166098,0.202494,0.275341", \ - "0.149689,0.156105,0.161258,0.170422,0.188346,0.224704,0.297501"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004757,0.006478,0.008190,0.011620,0.018836,0.034204,0.065981", \ - "0.004757,0.006478,0.008189,0.011620,0.018836,0.034204,0.065980", \ - "0.004758,0.006478,0.008190,0.011620,0.018836,0.034204,0.065984", \ - "0.004770,0.006489,0.008198,0.011627,0.018839,0.034204,0.065984", \ - "0.004914,0.006616,0.008311,0.011712,0.018891,0.034226,0.065986", \ - "0.005170,0.006841,0.008504,0.011857,0.018973,0.034256,0.065996", \ - "0.005593,0.007229,0.008849,0.012122,0.019129,0.034318,0.066011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005929,0.008956,0.012462,0.020551,0.037698,0.072277,0.141530", \ - "0.005929,0.008956,0.012462,0.020555,0.037693,0.072283,0.141529", \ - "0.005928,0.008958,0.012462,0.020551,0.037693,0.072286,0.141528", \ - "0.005931,0.008958,0.012463,0.020554,0.037692,0.072274,0.141537", \ - "0.006056,0.009070,0.012527,0.020572,0.037694,0.072285,0.141531", \ - "0.006583,0.009633,0.012897,0.020711,0.037735,0.072291,0.141537", \ - "0.007150,0.010305,0.013414,0.020926,0.037794,0.072319,0.141557"); - } - } - - timing () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051745,0.055817,0.059157,0.064792,0.074699,0.093354,0.130182", \ - "0.053388,0.057461,0.060801,0.066436,0.076343,0.094998,0.131826", \ - "0.057774,0.061846,0.065187,0.070821,0.080728,0.099383,0.136210", \ - "0.065176,0.069253,0.072597,0.078235,0.088144,0.106799,0.143623", \ - "0.073585,0.077695,0.081061,0.086728,0.096660,0.115324,0.152146", \ - "0.081018,0.085195,0.088604,0.094313,0.104253,0.122925,0.159742", \ - "0.086476,0.090776,0.094264,0.100033,0.110015,0.128678,0.165488"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.072702,0.078470,0.083303,0.092455,0.110684,0.147175,0.220066", \ - "0.073600,0.079369,0.084203,0.093353,0.111584,0.148075,0.220966", \ - "0.078312,0.084080,0.088914,0.098064,0.116295,0.152782,0.225677", \ - "0.089407,0.095176,0.100009,0.109161,0.127388,0.163873,0.236768", \ - "0.107508,0.113323,0.118166,0.127297,0.145481,0.181953,0.254840", \ - "0.128395,0.134499,0.139458,0.148578,0.166613,0.203029,0.275876", \ - "0.150724,0.157127,0.162272,0.171434,0.189430,0.225768,0.298555"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004516,0.006256,0.007990,0.011462,0.018735,0.034156,0.065969", \ - "0.004516,0.006256,0.007990,0.011462,0.018735,0.034156,0.065967", \ - "0.004515,0.006256,0.007989,0.011462,0.018735,0.034155,0.065969", \ - "0.004540,0.006277,0.008006,0.011472,0.018740,0.034157,0.065968", \ - "0.004690,0.006406,0.008118,0.011556,0.018789,0.034178,0.065972", \ - "0.004950,0.006633,0.008312,0.011700,0.018870,0.034204,0.065979", \ - "0.005375,0.007015,0.008647,0.011956,0.019020,0.034264,0.065992"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005928,0.008957,0.012462,0.020551,0.037689,0.072274,0.141531", \ - "0.005929,0.008956,0.012462,0.020553,0.037689,0.072276,0.141530", \ - "0.005929,0.008956,0.012461,0.020552,0.037689,0.072285,0.141529", \ - "0.005933,0.008959,0.012463,0.020552,0.037693,0.072273,0.141538", \ - "0.006052,0.009066,0.012525,0.020576,0.037697,0.072283,0.141531", \ - "0.006572,0.009619,0.012888,0.020709,0.037731,0.072289,0.141548", \ - "0.007131,0.010282,0.013396,0.020918,0.037794,0.072311,0.141553"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.057954,0.062100,0.065490,0.071184,0.081136,0.099812,0.136636", \ - "0.059535,0.063682,0.067071,0.072767,0.082719,0.101394,0.138218", \ - "0.063897,0.068045,0.071435,0.077129,0.087081,0.105755,0.142581", \ - "0.071549,0.075700,0.079091,0.084786,0.094739,0.113414,0.150241", \ - "0.080892,0.085074,0.088489,0.094210,0.104188,0.122874,0.159696", \ - "0.089604,0.093853,0.097312,0.103070,0.113054,0.131748,0.168565", \ - "0.096673,0.101042,0.104582,0.110402,0.120398,0.139114,0.175925"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.072809,0.078576,0.083409,0.092561,0.110793,0.147281,0.220173", \ - "0.073827,0.079595,0.084427,0.093579,0.111810,0.148300,0.221192", \ - "0.078513,0.084280,0.089113,0.098265,0.116496,0.152982,0.225875", \ - "0.089427,0.095196,0.100030,0.109182,0.127409,0.163892,0.236783", \ - "0.107309,0.113126,0.117968,0.127113,0.145272,0.181745,0.254617", \ - "0.127838,0.133947,0.138909,0.148015,0.166098,0.202494,0.275341", \ - "0.149689,0.156105,0.161258,0.170422,0.188346,0.224704,0.297501"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004757,0.006478,0.008190,0.011620,0.018836,0.034204,0.065981", \ - "0.004757,0.006478,0.008189,0.011620,0.018836,0.034204,0.065980", \ - "0.004758,0.006478,0.008190,0.011620,0.018836,0.034204,0.065984", \ - "0.004770,0.006489,0.008198,0.011627,0.018839,0.034204,0.065984", \ - "0.004914,0.006616,0.008311,0.011712,0.018891,0.034226,0.065986", \ - "0.005170,0.006841,0.008504,0.011857,0.018973,0.034256,0.065996", \ - "0.005593,0.007229,0.008849,0.012122,0.019129,0.034318,0.066011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005929,0.008956,0.012462,0.020551,0.037698,0.072277,0.141530", \ - "0.005929,0.008956,0.012462,0.020555,0.037693,0.072283,0.141529", \ - "0.005928,0.008958,0.012462,0.020551,0.037693,0.072286,0.141528", \ - "0.005931,0.008958,0.012463,0.020554,0.037692,0.072274,0.141537", \ - "0.006056,0.009070,0.012527,0.020572,0.037694,0.072285,0.141531", \ - "0.006583,0.009633,0.012897,0.020711,0.037735,0.072291,0.141537", \ - "0.007150,0.010305,0.013414,0.020926,0.037794,0.072319,0.141557"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.053041,0.057165,0.060538,0.066213,0.076150,0.094819,0.131646", \ - "0.054593,0.058714,0.062088,0.067763,0.077701,0.096371,0.133195", \ - "0.058873,0.062997,0.066372,0.072046,0.081983,0.100653,0.137480", \ - "0.066163,0.070296,0.073675,0.079354,0.089296,0.107967,0.144794", \ - "0.074393,0.078564,0.081972,0.087684,0.097647,0.116329,0.153153", \ - "0.081690,0.085945,0.089406,0.095167,0.105135,0.123827,0.160643", \ - "0.087150,0.091553,0.095111,0.100971,0.110955,0.129704,0.166510"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.070819,0.076286,0.081037,0.090190,0.108457,0.144965,0.217861", \ - "0.071834,0.077302,0.082051,0.091206,0.109472,0.145979,0.218875", \ - "0.076522,0.081988,0.086738,0.095892,0.114159,0.150669,0.223561", \ - "0.087452,0.092920,0.097670,0.106825,0.125087,0.161591,0.234485", \ - "0.105293,0.110817,0.115567,0.124711,0.142905,0.179397,0.252273", \ - "0.125521,0.131301,0.136110,0.145185,0.163303,0.199734,0.272597", \ - "0.147092,0.153160,0.158079,0.167159,0.185106,0.221506,0.294334"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004670,0.006400,0.008120,0.011567,0.018803,0.034189,0.065979", \ - "0.004669,0.006400,0.008120,0.011567,0.018804,0.034189,0.065978", \ - "0.004670,0.006400,0.008120,0.011566,0.018804,0.034189,0.065975", \ - "0.004707,0.006430,0.008145,0.011585,0.018813,0.034192,0.065978", \ - "0.004881,0.006584,0.008281,0.011687,0.018873,0.034220,0.065982", \ - "0.005191,0.006857,0.008517,0.011864,0.018975,0.034254,0.065993", \ - "0.005685,0.007312,0.008922,0.012178,0.019162,0.034330,0.066012"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005463,0.008493,0.012185,0.020451,0.037654,0.072261,0.141531", \ - "0.005463,0.008492,0.012187,0.020451,0.037654,0.072253,0.141530", \ - "0.005462,0.008493,0.012186,0.020450,0.037650,0.072241,0.141534", \ - "0.005465,0.008493,0.012187,0.020452,0.037652,0.072256,0.141532", \ - "0.005611,0.008598,0.012238,0.020466,0.037664,0.072261,0.141524", \ - "0.006082,0.009026,0.012480,0.020543,0.037684,0.072270,0.141526", \ - "0.006594,0.009566,0.012824,0.020665,0.037712,0.072275,0.141530"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.047648,0.051700,0.055025,0.060645,0.070539,0.089190,0.126018", \ - "0.049266,0.053319,0.056644,0.062263,0.072158,0.090808,0.127637", \ - "0.053580,0.057632,0.060957,0.066577,0.076471,0.095123,0.131948", \ - "0.060538,0.064600,0.067932,0.073560,0.083461,0.102111,0.138936", \ - "0.067871,0.071973,0.075333,0.080991,0.090913,0.109574,0.146397", \ - "0.073959,0.078145,0.081557,0.087260,0.097196,0.115868,0.152683", \ - "0.077886,0.082214,0.085722,0.091519,0.101470,0.120202,0.157006"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.070713,0.076179,0.080930,0.090084,0.108352,0.144860,0.217757", \ - "0.071609,0.077076,0.081827,0.090980,0.109246,0.145754,0.218649", \ - "0.076322,0.081790,0.086540,0.095694,0.113961,0.150467,0.223363", \ - "0.087432,0.092900,0.097650,0.106804,0.125066,0.161571,0.234465", \ - "0.105488,0.111010,0.115761,0.124899,0.143112,0.179601,0.252495", \ - "0.126085,0.131860,0.136667,0.145758,0.163828,0.200274,0.273136", \ - "0.148131,0.154188,0.159102,0.168185,0.186212,0.222578,0.295395"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004442,0.006189,0.007931,0.011416,0.018708,0.034143,0.065964", \ - "0.004442,0.006189,0.007931,0.011416,0.018707,0.034143,0.065962", \ - "0.004440,0.006189,0.007931,0.011416,0.018708,0.034144,0.065964", \ - "0.004496,0.006233,0.007967,0.011441,0.018720,0.034147,0.065966", \ - "0.004665,0.006384,0.008097,0.011539,0.018775,0.034171,0.065971", \ - "0.004978,0.006654,0.008329,0.011711,0.018874,0.034204,0.065975", \ - "0.005465,0.007098,0.008722,0.012010,0.019051,0.034275,0.065992"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005462,0.008493,0.012186,0.020451,0.037655,0.072253,0.141535", \ - "0.005463,0.008493,0.012187,0.020450,0.037651,0.072255,0.141522", \ - "0.005462,0.008493,0.012187,0.020451,0.037651,0.072257,0.141524", \ - "0.005465,0.008493,0.012187,0.020451,0.037651,0.072263,0.141532", \ - "0.005606,0.008596,0.012238,0.020466,0.037655,0.072263,0.141534", \ - "0.006074,0.009015,0.012473,0.020542,0.037685,0.072265,0.141536", \ - "0.006574,0.009546,0.012810,0.020661,0.037715,0.072273,0.141541"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.053302,0.057417,0.060783,0.066449,0.076378,0.095043,0.131867", \ - "0.054881,0.058996,0.062363,0.068028,0.077958,0.096623,0.133447", \ - "0.059875,0.063989,0.067357,0.073021,0.082951,0.101616,0.138440", \ - "0.069032,0.073151,0.076520,0.082188,0.092119,0.110785,0.147608", \ - "0.079378,0.083534,0.086932,0.092632,0.102590,0.121265,0.158087", \ - "0.088320,0.092564,0.096015,0.101757,0.111733,0.130418,0.167229", \ - "0.095254,0.099642,0.103191,0.109016,0.119004,0.137729,0.174532"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.075128,0.080913,0.085751,0.094900,0.113127,0.149610,0.222499", \ - "0.076178,0.081962,0.086800,0.095950,0.114174,0.150662,0.223548", \ - "0.080840,0.086624,0.091462,0.100612,0.118838,0.155325,0.228209", \ - "0.091745,0.097529,0.102367,0.111516,0.129737,0.166225,0.239110", \ - "0.109829,0.115654,0.120498,0.129629,0.147801,0.184265,0.257145", \ - "0.130884,0.136988,0.141947,0.151078,0.169165,0.205572,0.278413", \ - "0.153247,0.159657,0.164805,0.173965,0.191922,0.228260,0.301061"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004654,0.006380,0.008099,0.011547,0.018788,0.034180,0.065977", \ - "0.004654,0.006380,0.008099,0.011547,0.018788,0.034181,0.065977", \ - "0.004652,0.006380,0.008099,0.011547,0.018788,0.034181,0.065977", \ - "0.004672,0.006395,0.008112,0.011556,0.018793,0.034182,0.065976", \ - "0.004856,0.006555,0.008251,0.011659,0.018854,0.034206,0.065979", \ - "0.005176,0.006836,0.008492,0.011838,0.018953,0.034242,0.065987", \ - "0.005671,0.007290,0.008897,0.012151,0.019137,0.034315,0.066005"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005963,0.008988,0.012481,0.020559,0.037697,0.072275,0.141547", \ - "0.005960,0.008990,0.012481,0.020559,0.037692,0.072284,0.141549", \ - "0.005963,0.008989,0.012481,0.020559,0.037698,0.072281,0.141547", \ - "0.005962,0.008990,0.012483,0.020558,0.037691,0.072273,0.141534", \ - "0.006062,0.009079,0.012533,0.020576,0.037695,0.072272,0.141539", \ - "0.006579,0.009626,0.012892,0.020705,0.037738,0.072292,0.141547", \ - "0.007146,0.010298,0.013406,0.020922,0.037792,0.072312,0.141550"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.049176,0.053270,0.056622,0.062272,0.072188,0.090848,0.127673", \ - "0.050729,0.054823,0.058176,0.063825,0.073742,0.092403,0.129226", \ - "0.055649,0.059743,0.063095,0.068744,0.078662,0.097322,0.134146", \ - "0.064313,0.068416,0.071775,0.077432,0.087354,0.106015,0.142842", \ - "0.073263,0.077415,0.080809,0.086502,0.096451,0.115124,0.151947", \ - "0.080695,0.084950,0.088408,0.094155,0.104134,0.122817,0.159627", \ - "0.086003,0.090426,0.093998,0.099888,0.109901,0.128623,0.165423"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.073159,0.078644,0.083395,0.092546,0.110808,0.147309,0.220211", \ - "0.074205,0.079689,0.084441,0.093592,0.111853,0.148358,0.221259", \ - "0.078869,0.084353,0.089105,0.098254,0.116518,0.153019,0.225918", \ - "0.089787,0.095272,0.100023,0.109172,0.127433,0.163938,0.236834", \ - "0.107828,0.113356,0.118107,0.127264,0.145470,0.181954,0.254851", \ - "0.128617,0.134398,0.139205,0.148308,0.166430,0.202871,0.275737", \ - "0.150708,0.156776,0.161693,0.170772,0.188755,0.225138,0.297963"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004577,0.006310,0.008038,0.011500,0.018759,0.034167,0.065972", \ - "0.004577,0.006310,0.008037,0.011500,0.018759,0.034168,0.065972", \ - "0.004578,0.006311,0.008038,0.011500,0.018760,0.034168,0.065969", \ - "0.004626,0.006351,0.008072,0.011524,0.018772,0.034172,0.065969", \ - "0.004843,0.006540,0.008236,0.011646,0.018843,0.034202,0.065975", \ - "0.005218,0.006871,0.008521,0.011858,0.018963,0.034243,0.065988", \ - "0.005775,0.007390,0.008988,0.012220,0.019178,0.034329,0.066007"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005498,0.008521,0.012201,0.020455,0.037660,0.072254,0.141520", \ - "0.005501,0.008521,0.012202,0.020455,0.037652,0.072247,0.141521", \ - "0.005499,0.008519,0.012201,0.020455,0.037655,0.072246,0.141520", \ - "0.005500,0.008522,0.012201,0.020455,0.037661,0.072253,0.141525", \ - "0.005617,0.008604,0.012243,0.020471,0.037658,0.072250,0.141519", \ - "0.006087,0.009028,0.012479,0.020544,0.037687,0.072268,0.141533", \ - "0.006599,0.009570,0.012825,0.020665,0.037718,0.072274,0.141541"); - } - } - - timing () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.043457,0.047473,0.050774,0.056363,0.066235,0.084875,0.121703", \ - "0.045093,0.049109,0.052410,0.057999,0.067871,0.086511,0.123337", \ - "0.050228,0.054245,0.057546,0.063136,0.073008,0.091648,0.128473", \ - "0.058629,0.062657,0.065967,0.071568,0.081447,0.100088,0.136914", \ - "0.066597,0.070672,0.074015,0.079653,0.089556,0.108208,0.145032", \ - "0.072773,0.076954,0.080361,0.086052,0.095988,0.114649,0.151461", \ - "0.076508,0.080856,0.084373,0.090201,0.100170,0.118869,0.155668"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.073052,0.078536,0.083288,0.092438,0.110701,0.147205,0.220101", \ - "0.073973,0.079457,0.084210,0.093360,0.111622,0.148124,0.221024", \ - "0.078661,0.084144,0.088896,0.098047,0.116310,0.152812,0.225709", \ - "0.089774,0.095260,0.100013,0.109162,0.127419,0.163922,0.236822", \ - "0.108069,0.113595,0.118346,0.127477,0.145682,0.182172,0.255060", \ - "0.129188,0.134962,0.139768,0.148882,0.166969,0.203412,0.276274", \ - "0.151770,0.157828,0.162740,0.171818,0.189878,0.226252,0.299054"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004324,0.006082,0.007833,0.011339,0.018658,0.034121,0.065960", \ - "0.004324,0.006082,0.007834,0.011339,0.018658,0.034122,0.065960", \ - "0.004324,0.006083,0.007834,0.011340,0.018658,0.034121,0.065961", \ - "0.004400,0.006144,0.007884,0.011374,0.018675,0.034126,0.065961", \ - "0.004614,0.006327,0.008042,0.011489,0.018739,0.034152,0.065965", \ - "0.004995,0.006655,0.008322,0.011695,0.018855,0.034189,0.065973", \ - "0.005545,0.007165,0.008773,0.012041,0.019059,0.034267,0.065987"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005498,0.008521,0.012202,0.020456,0.037660,0.072248,0.141527", \ - "0.005498,0.008521,0.012202,0.020455,0.037658,0.072254,0.141519", \ - "0.005499,0.008520,0.012201,0.020455,0.037661,0.072244,0.141522", \ - "0.005500,0.008523,0.012202,0.020455,0.037656,0.072246,0.141526", \ - "0.005613,0.008601,0.012243,0.020470,0.037656,0.072250,0.141520", \ - "0.006078,0.009018,0.012474,0.020544,0.037687,0.072258,0.141536", \ - "0.006580,0.009549,0.012810,0.020660,0.037711,0.072273,0.141531"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.067625,0.071813,0.075232,0.080961,0.090941,0.109627,0.146453", \ - "0.068943,0.073131,0.076550,0.082279,0.092259,0.110945,0.147770", \ - "0.073353,0.077541,0.080960,0.086689,0.096669,0.115354,0.152180", \ - "0.081377,0.085568,0.088986,0.094718,0.104696,0.123382,0.160210", \ - "0.092292,0.096501,0.099937,0.105677,0.115672,0.134360,0.171184", \ - "0.103438,0.107694,0.111161,0.116929,0.126921,0.145622,0.182443", \ - "0.113429,0.117774,0.121297,0.127100,0.137023,0.155760,0.192575"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.078627,0.084684,0.089640,0.098817,0.117016,0.153480,0.226360", \ - "0.080372,0.086430,0.091387,0.100564,0.118763,0.155228,0.228103", \ - "0.085546,0.091602,0.096560,0.105734,0.123935,0.160394,0.233275", \ - "0.094565,0.100621,0.105579,0.114750,0.132948,0.169412,0.242288", \ - "0.108635,0.114727,0.119698,0.128856,0.147026,0.183467,0.256338", \ - "0.125452,0.131736,0.136819,0.146011,0.164157,0.200562,0.273390", \ - "0.144613,0.151094,0.156320,0.165528,0.183647,0.220012,0.292850"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004912,0.006619,0.008315,0.011719,0.018897,0.034231,0.065994", \ - "0.004912,0.006620,0.008315,0.011719,0.018897,0.034231,0.065994", \ - "0.004912,0.006620,0.008316,0.011719,0.018897,0.034232,0.065994", \ - "0.004913,0.006620,0.008316,0.011720,0.018898,0.034231,0.065993", \ - "0.005005,0.006702,0.008388,0.011775,0.018930,0.034243,0.065993", \ - "0.005190,0.006865,0.008530,0.011881,0.018991,0.034266,0.066001", \ - "0.005504,0.007149,0.008780,0.012072,0.019102,0.034311,0.066011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006361,0.009467,0.012809,0.020692,0.037742,0.072308,0.141548", \ - "0.006360,0.009466,0.012809,0.020696,0.037739,0.072299,0.141554", \ - "0.006362,0.009468,0.012808,0.020696,0.037738,0.072312,0.141562", \ - "0.006363,0.009468,0.012811,0.020693,0.037735,0.072297,0.141553", \ - "0.006448,0.009555,0.012866,0.020716,0.037743,0.072306,0.141560", \ - "0.006803,0.009970,0.013177,0.020843,0.037781,0.072314,0.141558", \ - "0.007183,0.010435,0.013562,0.021024,0.037843,0.072337,0.141567"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.062550,0.066717,0.070122,0.075835,0.085799,0.104480,0.141307", \ - "0.063864,0.068032,0.071436,0.077149,0.087114,0.105794,0.142620", \ - "0.068254,0.072423,0.075828,0.081541,0.091505,0.110185,0.147011", \ - "0.076154,0.080327,0.083733,0.089444,0.099410,0.118092,0.154916", \ - "0.086363,0.090557,0.093983,0.099713,0.109698,0.128386,0.165210", \ - "0.096360,0.100609,0.104070,0.109834,0.119813,0.138509,0.175332", \ - "0.105017,0.109364,0.112891,0.118695,0.128667,0.147389,0.184201"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.076708,0.082475,0.087309,0.096460,0.114692,0.151178,0.224068", \ - "0.078451,0.084220,0.089053,0.098206,0.116436,0.152922,0.225815", \ - "0.083622,0.089390,0.094223,0.103374,0.121605,0.158094,0.230986", \ - "0.092640,0.098408,0.103242,0.112391,0.130619,0.167104,0.239992", \ - "0.106677,0.112488,0.117330,0.126444,0.144657,0.181121,0.253996", \ - "0.123331,0.129327,0.134241,0.143381,0.161554,0.197978,0.270829", \ - "0.142320,0.148512,0.153526,0.162647,0.180785,0.217190,0.290032"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004837,0.006550,0.008254,0.011670,0.018867,0.034218,0.065989", \ - "0.004836,0.006551,0.008253,0.011671,0.018868,0.034218,0.065989", \ - "0.004836,0.006550,0.008253,0.011670,0.018867,0.034217,0.065985", \ - "0.004843,0.006555,0.008258,0.011673,0.018869,0.034218,0.065988", \ - "0.004959,0.006658,0.008348,0.011743,0.018911,0.034235,0.065990", \ - "0.005174,0.006847,0.008512,0.011865,0.018980,0.034261,0.066000", \ - "0.005530,0.007171,0.008798,0.012082,0.019106,0.034311,0.066009"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005927,0.008958,0.012463,0.020553,0.037693,0.072274,0.141534", \ - "0.005930,0.008956,0.012463,0.020551,0.037690,0.072278,0.141529", \ - "0.005927,0.008958,0.012462,0.020552,0.037690,0.072274,0.141528", \ - "0.005931,0.008958,0.012462,0.020554,0.037689,0.072273,0.141540", \ - "0.006029,0.009044,0.012511,0.020569,0.037692,0.072290,0.141535", \ - "0.006355,0.009396,0.012740,0.020652,0.037726,0.072296,0.141542", \ - "0.006707,0.009798,0.013028,0.020770,0.037753,0.072300,0.141547"); - } - } - - timing () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.055585,0.059676,0.063028,0.068678,0.078596,0.097256,0.134080", \ - "0.057005,0.061095,0.064448,0.070098,0.080016,0.098675,0.135502", \ - "0.061411,0.065501,0.068854,0.074504,0.084422,0.103082,0.139905", \ - "0.069080,0.073177,0.076530,0.082181,0.092101,0.110761,0.147585", \ - "0.078350,0.082469,0.085844,0.091515,0.101453,0.120120,0.156944", \ - "0.086967,0.091142,0.094552,0.100248,0.110191,0.128865,0.165683", \ - "0.093925,0.098202,0.101676,0.107422,0.117389,0.136062,0.172874"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.076601,0.082369,0.087202,0.096354,0.114584,0.151071,0.223960", \ - "0.078238,0.084005,0.088839,0.097991,0.116220,0.152710,0.225602", \ - "0.083432,0.089200,0.094034,0.103185,0.121415,0.157902,0.230795", \ - "0.092531,0.098299,0.103132,0.112283,0.130511,0.166996,0.239887", \ - "0.106651,0.112461,0.117302,0.126453,0.144668,0.181134,0.254019", \ - "0.123579,0.129574,0.134486,0.143624,0.161814,0.198244,0.271112", \ - "0.143006,0.149192,0.154203,0.163323,0.181468,0.217865,0.290715"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004586,0.006318,0.008044,0.011504,0.018761,0.034167,0.065971", \ - "0.004586,0.006318,0.008044,0.011504,0.018760,0.034167,0.065972", \ - "0.004585,0.006318,0.008044,0.011504,0.018761,0.034167,0.065974", \ - "0.004600,0.006330,0.008054,0.011511,0.018764,0.034168,0.065974", \ - "0.004725,0.006439,0.008148,0.011581,0.018805,0.034185,0.065973", \ - "0.004945,0.006631,0.008313,0.011702,0.018873,0.034208,0.065981", \ - "0.005304,0.006948,0.008590,0.011911,0.018994,0.034254,0.065990"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005927,0.008958,0.012462,0.020552,0.037688,0.072271,0.141536", \ - "0.005928,0.008958,0.012463,0.020555,0.037689,0.072281,0.141531", \ - "0.005928,0.008958,0.012461,0.020552,0.037691,0.072273,0.141529", \ - "0.005931,0.008958,0.012462,0.020551,0.037696,0.072278,0.141534", \ - "0.006029,0.009045,0.012513,0.020568,0.037696,0.072290,0.141537", \ - "0.006351,0.009393,0.012737,0.020652,0.037720,0.072296,0.141549", \ - "0.006697,0.009788,0.013022,0.020767,0.037755,0.072302,0.141555"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.062550,0.066717,0.070122,0.075835,0.085799,0.104480,0.141307", \ - "0.063864,0.068032,0.071436,0.077149,0.087114,0.105794,0.142620", \ - "0.068254,0.072423,0.075828,0.081541,0.091505,0.110185,0.147011", \ - "0.076154,0.080327,0.083733,0.089444,0.099410,0.118092,0.154916", \ - "0.086363,0.090557,0.093983,0.099713,0.109698,0.128386,0.165210", \ - "0.096360,0.100609,0.104070,0.109834,0.119813,0.138509,0.175332", \ - "0.105017,0.109364,0.112891,0.118695,0.128667,0.147389,0.184201"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.076708,0.082475,0.087309,0.096460,0.114692,0.151178,0.224068", \ - "0.078451,0.084220,0.089053,0.098206,0.116436,0.152922,0.225815", \ - "0.083622,0.089390,0.094223,0.103374,0.121605,0.158094,0.230986", \ - "0.092640,0.098408,0.103242,0.112391,0.130619,0.167104,0.239992", \ - "0.106677,0.112488,0.117330,0.126444,0.144657,0.181121,0.253996", \ - "0.123331,0.129327,0.134241,0.143381,0.161554,0.197978,0.270829", \ - "0.142320,0.148512,0.153526,0.162647,0.180785,0.217190,0.290032"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004837,0.006550,0.008254,0.011670,0.018867,0.034218,0.065989", \ - "0.004836,0.006551,0.008253,0.011671,0.018868,0.034218,0.065989", \ - "0.004836,0.006550,0.008253,0.011670,0.018867,0.034217,0.065985", \ - "0.004843,0.006555,0.008258,0.011673,0.018869,0.034218,0.065988", \ - "0.004959,0.006658,0.008348,0.011743,0.018911,0.034235,0.065990", \ - "0.005174,0.006847,0.008512,0.011865,0.018980,0.034261,0.066000", \ - "0.005530,0.007171,0.008798,0.012082,0.019106,0.034311,0.066009"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005927,0.008958,0.012463,0.020553,0.037693,0.072274,0.141534", \ - "0.005930,0.008956,0.012463,0.020551,0.037690,0.072278,0.141529", \ - "0.005927,0.008958,0.012462,0.020552,0.037690,0.072274,0.141528", \ - "0.005931,0.008958,0.012462,0.020554,0.037689,0.072273,0.141540", \ - "0.006029,0.009044,0.012511,0.020569,0.037692,0.072290,0.141535", \ - "0.006355,0.009396,0.012740,0.020652,0.037726,0.072296,0.141542", \ - "0.006707,0.009798,0.013028,0.020770,0.037753,0.072300,0.141547"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.057559,0.061705,0.065095,0.070790,0.080741,0.099417,0.136241", \ - "0.058866,0.063014,0.066405,0.072099,0.082050,0.100727,0.137550", \ - "0.063226,0.067373,0.070764,0.076457,0.086409,0.105085,0.141909", \ - "0.070896,0.075048,0.078440,0.084136,0.094089,0.112765,0.149592", \ - "0.080184,0.084366,0.087782,0.093503,0.103474,0.122157,0.158984", \ - "0.088880,0.093131,0.096590,0.102355,0.112310,0.131005,0.167821", \ - "0.096110,0.100476,0.104012,0.109822,0.119809,0.138538,0.175347"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.074718,0.080186,0.084936,0.094092,0.112358,0.148863,0.221766", \ - "0.076461,0.081928,0.086678,0.095832,0.114100,0.150609,0.223504", \ - "0.081628,0.087096,0.091846,0.100999,0.119266,0.155774,0.228669", \ - "0.090649,0.096118,0.100868,0.110019,0.128284,0.164788,0.237685", \ - "0.104644,0.110159,0.114911,0.124024,0.142273,0.178763,0.251634", \ - "0.121135,0.126813,0.131599,0.140721,0.158939,0.195375,0.268248", \ - "0.139953,0.145813,0.150654,0.159731,0.177901,0.214336,0.287202"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004758,0.006479,0.008190,0.011621,0.018837,0.034204,0.065981", \ - "0.004760,0.006479,0.008189,0.011621,0.018836,0.034204,0.065983", \ - "0.004758,0.006479,0.008189,0.011621,0.018837,0.034204,0.065983", \ - "0.004776,0.006494,0.008204,0.011630,0.018842,0.034205,0.065982", \ - "0.004918,0.006621,0.008313,0.011714,0.018892,0.034227,0.065989", \ - "0.005174,0.006844,0.008507,0.011859,0.018973,0.034256,0.065994", \ - "0.005579,0.007213,0.008834,0.012109,0.019121,0.034314,0.066008"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005462,0.008492,0.012186,0.020450,0.037653,0.072249,0.141528", \ - "0.005462,0.008493,0.012185,0.020451,0.037655,0.072242,0.141535", \ - "0.005464,0.008492,0.012186,0.020451,0.037650,0.072254,0.141535", \ - "0.005463,0.008494,0.012187,0.020452,0.037655,0.072256,0.141528", \ - "0.005575,0.008573,0.012226,0.020463,0.037658,0.072249,0.141536", \ - "0.005877,0.008842,0.012376,0.020513,0.037672,0.072265,0.141534", \ - "0.006200,0.009166,0.012570,0.020579,0.037694,0.072270,0.141527"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.051415,0.055487,0.058827,0.064462,0.074369,0.093024,0.129851", \ - "0.052830,0.056903,0.060244,0.065878,0.075785,0.094440,0.131267", \ - "0.057204,0.061277,0.064618,0.070253,0.080159,0.098815,0.135642", \ - "0.064580,0.068658,0.072002,0.077643,0.087553,0.106209,0.143034", \ - "0.072917,0.077029,0.080397,0.086062,0.095991,0.114656,0.151479", \ - "0.080315,0.084493,0.087903,0.093601,0.103527,0.122199,0.159016", \ - "0.085914,0.090205,0.093689,0.099465,0.109402,0.128089,0.164896"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.074611,0.080079,0.084829,0.093983,0.112251,0.148756,0.221657", \ - "0.076246,0.081714,0.086464,0.095618,0.113885,0.150393,0.223289", \ - "0.081440,0.086908,0.091658,0.100811,0.119078,0.155584,0.228480", \ - "0.090541,0.096007,0.100757,0.109909,0.128174,0.164680,0.237575", \ - "0.104619,0.110133,0.114885,0.124035,0.142285,0.178771,0.251647", \ - "0.121389,0.127064,0.131850,0.140969,0.159171,0.195632,0.268511", \ - "0.140643,0.146498,0.151337,0.160416,0.178592,0.215025,0.287891"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004518,0.006256,0.007990,0.011462,0.018735,0.034156,0.065968", \ - "0.004518,0.006257,0.007989,0.011462,0.018735,0.034156,0.065967", \ - "0.004518,0.006256,0.007990,0.011462,0.018735,0.034156,0.065968", \ - "0.004550,0.006283,0.008012,0.011477,0.018743,0.034158,0.065967", \ - "0.004694,0.006411,0.008122,0.011559,0.018790,0.034178,0.065971", \ - "0.004952,0.006634,0.008314,0.011701,0.018870,0.034204,0.065977", \ - "0.005356,0.006994,0.008630,0.011939,0.019009,0.034258,0.065988"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005462,0.008493,0.012186,0.020454,0.037655,0.072249,0.141528", \ - "0.005464,0.008493,0.012186,0.020450,0.037652,0.072246,0.141531", \ - "0.005462,0.008493,0.012187,0.020452,0.037653,0.072242,0.141530", \ - "0.005463,0.008493,0.012187,0.020455,0.037653,0.072251,0.141524", \ - "0.005578,0.008573,0.012226,0.020462,0.037656,0.072259,0.141536", \ - "0.005872,0.008840,0.012375,0.020512,0.037672,0.072268,0.141532", \ - "0.006192,0.009156,0.012564,0.020580,0.037695,0.072272,0.141540"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.057145,0.061279,0.064660,0.070341,0.080282,0.098952,0.135779", \ - "0.058494,0.062627,0.066008,0.071689,0.081630,0.100299,0.137123", \ - "0.063513,0.067645,0.071025,0.076707,0.086648,0.105317,0.142142", \ - "0.072969,0.077106,0.080486,0.086167,0.096109,0.114779,0.151607", \ - "0.084462,0.088627,0.092032,0.097737,0.107702,0.126378,0.163202", \ - "0.094802,0.099042,0.102493,0.108231,0.118207,0.136893,0.173708", \ - "0.103367,0.107727,0.111258,0.117063,0.127040,0.145749,0.182554"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.079041,0.084825,0.089663,0.098812,0.117040,0.153523,0.226415", \ - "0.080824,0.086608,0.091446,0.100594,0.118819,0.155304,0.228193", \ - "0.085940,0.091724,0.096562,0.105711,0.123937,0.160420,0.233308", \ - "0.094937,0.100721,0.105559,0.114708,0.132930,0.169412,0.242305", \ - "0.109127,0.114945,0.119789,0.128936,0.147138,0.183600,0.256470", \ - "0.126206,0.132205,0.137120,0.146260,0.164420,0.200835,0.273699", \ - "0.145625,0.151819,0.156833,0.165947,0.184113,0.220503,0.293355"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004723,0.006442,0.008154,0.011590,0.018815,0.034193,0.065981", \ - "0.004723,0.006443,0.008155,0.011590,0.018814,0.034192,0.065980", \ - "0.004722,0.006442,0.008155,0.011590,0.018814,0.034192,0.065981", \ - "0.004731,0.006449,0.008161,0.011595,0.018817,0.034193,0.065978", \ - "0.004882,0.006581,0.008275,0.011679,0.018867,0.034211,0.065982", \ - "0.005163,0.006826,0.008485,0.011835,0.018953,0.034243,0.065992", \ - "0.005590,0.007215,0.008828,0.012096,0.019106,0.034302,0.066003"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005963,0.008988,0.012482,0.020558,0.037698,0.072283,0.141534", \ - "0.005963,0.008987,0.012481,0.020560,0.037691,0.072276,0.141547", \ - "0.005963,0.008987,0.012481,0.020558,0.037692,0.072286,0.141544", \ - "0.005962,0.008989,0.012482,0.020558,0.037692,0.072280,0.141543", \ - "0.006044,0.009059,0.012521,0.020574,0.037694,0.072273,0.141542", \ - "0.006367,0.009405,0.012746,0.020654,0.037723,0.072286,0.141533", \ - "0.006716,0.009804,0.013033,0.020770,0.037759,0.072299,0.141547"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.052938,0.057054,0.060421,0.066086,0.076015,0.094681,0.131506", \ - "0.054284,0.058399,0.061765,0.067430,0.077360,0.096025,0.132849", \ - "0.059276,0.063390,0.066757,0.072422,0.082352,0.101016,0.137841", \ - "0.068440,0.072559,0.075929,0.081598,0.091530,0.110196,0.147020", \ - "0.078711,0.082869,0.086268,0.091966,0.101925,0.120601,0.157422", \ - "0.087632,0.091876,0.095328,0.101073,0.111037,0.129721,0.166534", \ - "0.094725,0.099108,0.102653,0.108476,0.118489,0.137196,0.173998"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.077072,0.082556,0.087308,0.096458,0.114719,0.151223,0.224121", \ - "0.078852,0.084336,0.089088,0.098239,0.116500,0.153003,0.225904", \ - "0.083966,0.089450,0.094202,0.103353,0.121614,0.158119,0.231015", \ - "0.092965,0.098449,0.103201,0.112351,0.130611,0.167112,0.240010", \ - "0.107129,0.112648,0.117401,0.126545,0.144784,0.181260,0.254149", \ - "0.124041,0.129725,0.134512,0.143641,0.161845,0.198281,0.271158", \ - "0.143310,0.149174,0.154016,0.163083,0.181277,0.217703,0.290573"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004652,0.006379,0.008099,0.011546,0.018788,0.034180,0.065977", \ - "0.004654,0.006379,0.008099,0.011546,0.018788,0.034180,0.065977", \ - "0.004652,0.006379,0.008098,0.011546,0.018788,0.034181,0.065977", \ - "0.004678,0.006401,0.008117,0.011560,0.018795,0.034183,0.065976", \ - "0.004861,0.006559,0.008254,0.011661,0.018854,0.034206,0.065981", \ - "0.005181,0.006839,0.008496,0.011840,0.018955,0.034241,0.065989", \ - "0.005655,0.007275,0.008882,0.012137,0.019129,0.034309,0.066003"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005499,0.008521,0.012202,0.020455,0.037653,0.072245,0.141526", \ - "0.005500,0.008520,0.012201,0.020456,0.037657,0.072246,0.141519", \ - "0.005499,0.008521,0.012202,0.020455,0.037658,0.072244,0.141520", \ - "0.005501,0.008521,0.012200,0.020455,0.037661,0.072244,0.141529", \ - "0.005591,0.008587,0.012235,0.020468,0.037654,0.072256,0.141523", \ - "0.005894,0.008855,0.012384,0.020516,0.037673,0.072257,0.141525", \ - "0.006216,0.009176,0.012577,0.020583,0.037697,0.072271,0.141534"); - } - } - - timing () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.046433,0.050466,0.053778,0.059380,0.069263,0.087907,0.124735", \ - "0.047901,0.051935,0.055247,0.060849,0.070732,0.089374,0.126203", \ - "0.053098,0.057133,0.060445,0.066048,0.075930,0.094574,0.131401", \ - "0.062117,0.066158,0.069476,0.075086,0.084972,0.103618,0.140447", \ - "0.071314,0.075395,0.078741,0.084380,0.094289,0.112943,0.149771", \ - "0.078854,0.083022,0.086421,0.092117,0.102031,0.120693,0.157505", \ - "0.084285,0.088591,0.092081,0.097866,0.107854,0.126509,0.163310"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.076965,0.082449,0.087201,0.096351,0.114613,0.151117,0.224014", \ - "0.078635,0.084118,0.088870,0.098021,0.116284,0.152787,0.225683", \ - "0.083766,0.089251,0.094003,0.103153,0.121416,0.157918,0.230814", \ - "0.092858,0.098341,0.103093,0.112242,0.130502,0.167002,0.239900", \ - "0.107128,0.112647,0.117400,0.126530,0.144775,0.181261,0.254148", \ - "0.124302,0.129985,0.134771,0.143899,0.162093,0.198535,0.271417", \ - "0.144005,0.149865,0.154705,0.163780,0.181979,0.218406,0.291269"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004388,0.006138,0.007882,0.011377,0.018680,0.034131,0.065963", \ - "0.004388,0.006138,0.007882,0.011377,0.018681,0.034131,0.065964", \ - "0.004388,0.006138,0.007883,0.011377,0.018681,0.034130,0.065963", \ - "0.004433,0.006176,0.007915,0.011399,0.018692,0.034134,0.065964", \ - "0.004625,0.006339,0.008052,0.011499,0.018747,0.034156,0.065967", \ - "0.004949,0.006618,0.008290,0.011672,0.018844,0.034187,0.065972", \ - "0.005422,0.007046,0.008665,0.011956,0.019009,0.034247,0.065982"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005500,0.008521,0.012201,0.020455,0.037657,0.072252,0.141526", \ - "0.005498,0.008521,0.012200,0.020458,0.037661,0.072245,0.141528", \ - "0.005498,0.008520,0.012200,0.020455,0.037661,0.072260,0.141521", \ - "0.005500,0.008521,0.012201,0.020455,0.037657,0.072245,0.141526", \ - "0.005591,0.008587,0.012235,0.020464,0.037658,0.072258,0.141526", \ - "0.005888,0.008853,0.012382,0.020514,0.037675,0.072258,0.141525", \ - "0.006207,0.009169,0.012572,0.020579,0.037692,0.072267,0.141532"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.065492,0.069659,0.073065,0.078777,0.088743,0.107424,0.144250", \ - "0.067165,0.071333,0.074739,0.080451,0.090415,0.109096,0.145922", \ - "0.070807,0.074975,0.078380,0.084093,0.094058,0.112738,0.149564", \ - "0.075877,0.080049,0.083454,0.089164,0.099129,0.117811,0.154637", \ - "0.082000,0.086194,0.089614,0.095349,0.105327,0.124014,0.160838", \ - "0.088123,0.092360,0.095812,0.101569,0.111546,0.130241,0.167062", \ - "0.093185,0.097500,0.101005,0.106812,0.116787,0.135507,0.172327"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.083181,0.089317,0.094314,0.103489,0.121671,0.158123,0.231000", \ - "0.084065,0.090200,0.095197,0.104374,0.122558,0.159007,0.231878", \ - "0.088794,0.094931,0.099927,0.109103,0.127285,0.163732,0.236607", \ - "0.099653,0.105787,0.110783,0.119957,0.138138,0.174586,0.247458", \ - "0.118032,0.124182,0.129183,0.138349,0.156492,0.192928,0.265802", \ - "0.141109,0.147483,0.152619,0.161775,0.179788,0.216161,0.289002", \ - "0.165648,0.172315,0.177691,0.186960,0.204873,0.241147,0.313952"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004837,0.006550,0.008254,0.011671,0.018867,0.034218,0.065986", \ - "0.004838,0.006550,0.008254,0.011671,0.018868,0.034218,0.065989", \ - "0.004838,0.006550,0.008254,0.011671,0.018867,0.034217,0.065987", \ - "0.004840,0.006552,0.008255,0.011671,0.018868,0.034218,0.065987", \ - "0.004934,0.006638,0.008331,0.011729,0.018903,0.034232,0.065991", \ - "0.005093,0.006782,0.008458,0.011828,0.018961,0.034256,0.065998", \ - "0.005371,0.007037,0.008687,0.012006,0.019070,0.034304,0.066012"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006514,0.009637,0.012930,0.020744,0.037752,0.072307,0.141550", \ - "0.006513,0.009637,0.012929,0.020743,0.037755,0.072317,0.141551", \ - "0.006513,0.009637,0.012929,0.020745,0.037757,0.072306,0.141551", \ - "0.006514,0.009636,0.012930,0.020744,0.037753,0.072324,0.141555", \ - "0.006544,0.009667,0.012952,0.020751,0.037759,0.072306,0.141556", \ - "0.007011,0.010193,0.013347,0.020911,0.037798,0.072333,0.141554", \ - "0.007614,0.010931,0.013987,0.021225,0.037896,0.072366,0.141570"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.060487,0.064633,0.068024,0.073718,0.083669,0.102345,0.139171", \ - "0.062137,0.066284,0.069674,0.075368,0.085320,0.103996,0.140824", \ - "0.065724,0.069870,0.073261,0.078955,0.088907,0.107583,0.144406", \ - "0.070670,0.074820,0.078211,0.083905,0.093858,0.112535,0.149360", \ - "0.076330,0.080507,0.083919,0.089634,0.099604,0.118287,0.155110", \ - "0.081753,0.085983,0.089429,0.095177,0.105130,0.123822,0.160642", \ - "0.085716,0.090036,0.093546,0.099364,0.109323,0.128028,0.164847"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.081246,0.087094,0.091953,0.101096,0.119305,0.155781,0.228666", \ - "0.082131,0.087978,0.092834,0.101979,0.120189,0.156664,0.229550", \ - "0.086859,0.092706,0.097564,0.106708,0.124918,0.161393,0.234280", \ - "0.097725,0.103572,0.108431,0.117573,0.135784,0.172256,0.245144", \ - "0.116124,0.121987,0.126848,0.135980,0.154156,0.190618,0.263508", \ - "0.138959,0.145052,0.150004,0.159093,0.177141,0.213549,0.286401", \ - "0.163201,0.169586,0.174714,0.183865,0.201780,0.238101,0.310933"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004758,0.006479,0.008189,0.011620,0.018837,0.034204,0.065981", \ - "0.004758,0.006479,0.008190,0.011621,0.018837,0.034204,0.065984", \ - "0.004758,0.006478,0.008189,0.011621,0.018837,0.034204,0.065981", \ - "0.004767,0.006486,0.008196,0.011626,0.018839,0.034205,0.065982", \ - "0.004881,0.006587,0.008285,0.011694,0.018880,0.034223,0.065987", \ - "0.005069,0.006756,0.008436,0.011809,0.018949,0.034249,0.065993", \ - "0.005395,0.007057,0.008704,0.012019,0.019076,0.034304,0.066011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006080,0.009106,0.012554,0.020585,0.037702,0.072297,0.141549", \ - "0.006078,0.009106,0.012556,0.020585,0.037704,0.072296,0.141548", \ - "0.006079,0.009106,0.012555,0.020586,0.037700,0.072280,0.141546", \ - "0.006079,0.009107,0.012556,0.020586,0.037708,0.072290,0.141541", \ - "0.006115,0.009138,0.012573,0.020592,0.037708,0.072287,0.141544", \ - "0.006559,0.009603,0.012878,0.020701,0.037730,0.072290,0.141554", \ - "0.007104,0.010242,0.013359,0.020904,0.037790,0.072319,0.141562"); - } - } - - timing () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.054607,0.058691,0.062040,0.067683,0.077596,0.096255,0.133079", \ - "0.056305,0.060390,0.063738,0.069381,0.079295,0.097952,0.134778", \ - "0.060641,0.064725,0.068073,0.073717,0.083631,0.102288,0.139114", \ - "0.066834,0.070922,0.074271,0.079917,0.089831,0.108490,0.145315", \ - "0.073727,0.077849,0.081223,0.086897,0.096831,0.115497,0.152318", \ - "0.079867,0.084051,0.087465,0.093178,0.103125,0.121799,0.158617", \ - "0.083956,0.088255,0.091745,0.097539,0.107500,0.126176,0.162987"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.083079,0.088940,0.093801,0.102946,0.121150,0.157626,0.230513", \ - "0.083976,0.089835,0.094697,0.103841,0.122048,0.158522,0.231410", \ - "0.088672,0.094531,0.099392,0.108536,0.126743,0.163216,0.236105", \ - "0.099549,0.105409,0.110271,0.119413,0.137617,0.174088,0.246980", \ - "0.118076,0.123949,0.128812,0.137967,0.156121,0.192585,0.265471", \ - "0.141395,0.147480,0.152428,0.161529,0.179601,0.216005,0.288859", \ - "0.166147,0.172523,0.177642,0.186791,0.204701,0.241048,0.313840"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004553,0.006288,0.008019,0.011485,0.018750,0.034163,0.065970", \ - "0.004552,0.006288,0.008019,0.011485,0.018750,0.034162,0.065969", \ - "0.004553,0.006288,0.008019,0.011485,0.018749,0.034163,0.065969", \ - "0.004570,0.006303,0.008031,0.011493,0.018754,0.034164,0.065970", \ - "0.004706,0.006423,0.008135,0.011572,0.018799,0.034183,0.065973", \ - "0.004943,0.006634,0.008319,0.011710,0.018880,0.034212,0.065980", \ - "0.005341,0.006993,0.008637,0.011954,0.019026,0.034273,0.065998"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006104,0.009131,0.012569,0.020592,0.037705,0.072284,0.141539", \ - "0.006104,0.009131,0.012570,0.020591,0.037705,0.072292,0.141537", \ - "0.006102,0.009132,0.012570,0.020591,0.037705,0.072289,0.141536", \ - "0.006104,0.009131,0.012570,0.020591,0.037702,0.072287,0.141544", \ - "0.006128,0.009152,0.012582,0.020596,0.037709,0.072282,0.141540", \ - "0.006549,0.009590,0.012867,0.020700,0.037735,0.072291,0.141546", \ - "0.007085,0.010218,0.013340,0.020893,0.037791,0.072317,0.141552"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.060487,0.064633,0.068024,0.073718,0.083669,0.102345,0.139171", \ - "0.062137,0.066284,0.069674,0.075368,0.085320,0.103996,0.140824", \ - "0.065724,0.069870,0.073261,0.078955,0.088907,0.107583,0.144406", \ - "0.070670,0.074820,0.078211,0.083905,0.093858,0.112535,0.149360", \ - "0.076330,0.080507,0.083919,0.089634,0.099604,0.118287,0.155110", \ - "0.081753,0.085983,0.089429,0.095177,0.105130,0.123822,0.160642", \ - "0.085716,0.090036,0.093546,0.099364,0.109323,0.128028,0.164847"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.081246,0.087094,0.091953,0.101096,0.119305,0.155781,0.228666", \ - "0.082131,0.087978,0.092834,0.101979,0.120189,0.156664,0.229550", \ - "0.086859,0.092706,0.097564,0.106708,0.124918,0.161393,0.234280", \ - "0.097725,0.103572,0.108431,0.117573,0.135784,0.172256,0.245144", \ - "0.116124,0.121987,0.126848,0.135980,0.154156,0.190618,0.263508", \ - "0.138959,0.145052,0.150004,0.159093,0.177141,0.213549,0.286401", \ - "0.163201,0.169586,0.174714,0.183865,0.201780,0.238101,0.310933"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004758,0.006479,0.008189,0.011620,0.018837,0.034204,0.065981", \ - "0.004758,0.006479,0.008190,0.011621,0.018837,0.034204,0.065984", \ - "0.004758,0.006478,0.008189,0.011621,0.018837,0.034204,0.065981", \ - "0.004767,0.006486,0.008196,0.011626,0.018839,0.034205,0.065982", \ - "0.004881,0.006587,0.008285,0.011694,0.018880,0.034223,0.065987", \ - "0.005069,0.006756,0.008436,0.011809,0.018949,0.034249,0.065993", \ - "0.005395,0.007057,0.008704,0.012019,0.019076,0.034304,0.066011"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006080,0.009106,0.012554,0.020585,0.037702,0.072297,0.141549", \ - "0.006078,0.009106,0.012556,0.020585,0.037704,0.072296,0.141548", \ - "0.006079,0.009106,0.012555,0.020586,0.037700,0.072280,0.141546", \ - "0.006079,0.009107,0.012556,0.020586,0.037708,0.072290,0.141541", \ - "0.006115,0.009138,0.012573,0.020592,0.037708,0.072287,0.141544", \ - "0.006559,0.009603,0.012878,0.020701,0.037730,0.072290,0.141554", \ - "0.007104,0.010242,0.013359,0.020904,0.037790,0.072319,0.141562"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.055575,0.059697,0.063070,0.068745,0.078683,0.097353,0.134179", \ - "0.057195,0.061317,0.064691,0.070366,0.080304,0.098974,0.135799", \ - "0.060701,0.064825,0.068199,0.073873,0.083811,0.102481,0.139305", \ - "0.065458,0.069588,0.072966,0.078643,0.088583,0.107252,0.144077", \ - "0.070560,0.074724,0.078126,0.083834,0.093785,0.112463,0.149289", \ - "0.075098,0.079324,0.082768,0.088513,0.098472,0.117162,0.153982", \ - "0.077767,0.082106,0.085627,0.091452,0.101419,0.120128,0.156944"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.079244,0.084784,0.089545,0.098684,0.116931,0.153425,0.226324", \ - "0.080125,0.085666,0.090426,0.099566,0.117812,0.154312,0.227207", \ - "0.084854,0.090395,0.095155,0.104295,0.122542,0.159036,0.231935", \ - "0.095731,0.101272,0.106032,0.115171,0.133417,0.169915,0.242805", \ - "0.114152,0.119708,0.124467,0.133594,0.151810,0.188304,0.261193", \ - "0.136729,0.142501,0.147306,0.156366,0.174453,0.210888,0.283757", \ - "0.160695,0.166740,0.171645,0.180720,0.198665,0.235024,0.307881"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004671,0.006400,0.008120,0.011567,0.018804,0.034189,0.065976", \ - "0.004672,0.006400,0.008120,0.011566,0.018804,0.034189,0.065979", \ - "0.004671,0.006399,0.008120,0.011566,0.018803,0.034189,0.065976", \ - "0.004698,0.006422,0.008138,0.011580,0.018810,0.034191,0.065977", \ - "0.004828,0.006538,0.008242,0.011659,0.018858,0.034212,0.065981", \ - "0.005058,0.006747,0.008424,0.011799,0.018941,0.034245,0.065991", \ - "0.005449,0.007107,0.008745,0.012049,0.019093,0.034312,0.066012"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005614,0.008613,0.012252,0.020474,0.037661,0.072255,0.141522", \ - "0.005614,0.008613,0.012252,0.020474,0.037660,0.072251,0.141525", \ - "0.005613,0.008613,0.012251,0.020475,0.037660,0.072254,0.141523", \ - "0.005614,0.008615,0.012252,0.020476,0.037665,0.072257,0.141525", \ - "0.005654,0.008644,0.012266,0.020478,0.037663,0.072260,0.141527", \ - "0.006076,0.009015,0.012473,0.020542,0.037684,0.072264,0.141533", \ - "0.006563,0.009527,0.012796,0.020654,0.037710,0.072272,0.141543"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.050533,0.054596,0.057930,0.063556,0.073458,0.092112,0.128937", \ - "0.052205,0.056269,0.059602,0.065230,0.075131,0.093785,0.130612", \ - "0.056475,0.060539,0.063872,0.069499,0.079401,0.098054,0.134881", \ - "0.062401,0.066474,0.069813,0.075446,0.085351,0.104005,0.140833", \ - "0.068573,0.072685,0.076051,0.081715,0.091643,0.110305,0.147128", \ - "0.073603,0.077792,0.081209,0.086914,0.096865,0.115539,0.152355", \ - "0.076282,0.080607,0.084112,0.089917,0.099882,0.118568,0.155378"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.081077,0.086630,0.091394,0.100529,0.118771,0.155264,0.228160", \ - "0.081973,0.087525,0.092286,0.101424,0.119668,0.156161,0.229055", \ - "0.086667,0.092219,0.096981,0.106118,0.124362,0.160856,0.233750", \ - "0.097556,0.103109,0.107871,0.117009,0.135248,0.171743,0.244638", \ - "0.116108,0.121672,0.126433,0.135583,0.153770,0.190257,0.263155", \ - "0.139184,0.144950,0.149753,0.158824,0.176930,0.213369,0.286240", \ - "0.163665,0.169701,0.174601,0.183669,0.201611,0.238002,0.310813"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004478,0.006222,0.007960,0.011440,0.018722,0.034150,0.065966", \ - "0.004477,0.006221,0.007960,0.011440,0.018722,0.034150,0.065967", \ - "0.004476,0.006222,0.007961,0.011440,0.018722,0.034150,0.065967", \ - "0.004516,0.006253,0.007986,0.011458,0.018732,0.034154,0.065967", \ - "0.004674,0.006392,0.008108,0.011549,0.018784,0.034175,0.065972", \ - "0.004959,0.006644,0.008327,0.011714,0.018881,0.034211,0.065978", \ - "0.005421,0.007065,0.008698,0.012001,0.019053,0.034283,0.065999"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005639,0.008633,0.012261,0.020477,0.037661,0.072254,0.141527", \ - "0.005638,0.008633,0.012261,0.020480,0.037665,0.072254,0.141533", \ - "0.005638,0.008633,0.012261,0.020476,0.037661,0.072248,0.141537", \ - "0.005637,0.008634,0.012262,0.020477,0.037660,0.072249,0.141533", \ - "0.005667,0.008655,0.012274,0.020481,0.037660,0.072257,0.141529", \ - "0.006067,0.009007,0.012468,0.020541,0.037680,0.072257,0.141539", \ - "0.006549,0.009508,0.012783,0.020649,0.037709,0.072276,0.141540"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.054607,0.058691,0.062040,0.067683,0.077596,0.096255,0.133079", \ - "0.056305,0.060390,0.063738,0.069381,0.079295,0.097952,0.134778", \ - "0.060641,0.064725,0.068073,0.073717,0.083631,0.102288,0.139114", \ - "0.066834,0.070922,0.074271,0.079917,0.089831,0.108490,0.145315", \ - "0.073727,0.077849,0.081223,0.086897,0.096831,0.115497,0.152318", \ - "0.079867,0.084051,0.087465,0.093178,0.103125,0.121799,0.158617", \ - "0.083956,0.088255,0.091745,0.097539,0.107500,0.126176,0.162987"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.083079,0.088940,0.093801,0.102946,0.121150,0.157626,0.230513", \ - "0.083976,0.089835,0.094697,0.103841,0.122048,0.158522,0.231410", \ - "0.088672,0.094531,0.099392,0.108536,0.126743,0.163216,0.236105", \ - "0.099549,0.105409,0.110271,0.119413,0.137617,0.174088,0.246980", \ - "0.118076,0.123949,0.128812,0.137967,0.156121,0.192585,0.265471", \ - "0.141395,0.147480,0.152428,0.161529,0.179601,0.216005,0.288859", \ - "0.166147,0.172523,0.177642,0.186791,0.204701,0.241048,0.313840"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004553,0.006288,0.008019,0.011485,0.018750,0.034163,0.065970", \ - "0.004552,0.006288,0.008019,0.011485,0.018750,0.034162,0.065969", \ - "0.004553,0.006288,0.008019,0.011485,0.018749,0.034163,0.065969", \ - "0.004570,0.006303,0.008031,0.011493,0.018754,0.034164,0.065970", \ - "0.004706,0.006423,0.008135,0.011572,0.018799,0.034183,0.065973", \ - "0.004943,0.006634,0.008319,0.011710,0.018880,0.034212,0.065980", \ - "0.005341,0.006993,0.008637,0.011954,0.019026,0.034273,0.065998"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006104,0.009131,0.012569,0.020592,0.037705,0.072284,0.141539", \ - "0.006104,0.009131,0.012570,0.020591,0.037705,0.072292,0.141537", \ - "0.006102,0.009132,0.012570,0.020591,0.037705,0.072289,0.141536", \ - "0.006104,0.009131,0.012570,0.020591,0.037702,0.072287,0.141544", \ - "0.006128,0.009152,0.012582,0.020596,0.037709,0.072282,0.141540", \ - "0.006549,0.009590,0.012867,0.020700,0.037735,0.072291,0.141546", \ - "0.007085,0.010218,0.013340,0.020893,0.037791,0.072317,0.141552"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.050533,0.054596,0.057930,0.063556,0.073458,0.092112,0.128937", \ - "0.052205,0.056269,0.059602,0.065230,0.075131,0.093785,0.130612", \ - "0.056475,0.060539,0.063872,0.069499,0.079401,0.098054,0.134881", \ - "0.062401,0.066474,0.069813,0.075446,0.085351,0.104005,0.140833", \ - "0.068573,0.072685,0.076051,0.081715,0.091643,0.110305,0.147128", \ - "0.073603,0.077792,0.081209,0.086914,0.096865,0.115539,0.152355", \ - "0.076282,0.080607,0.084112,0.089917,0.099882,0.118568,0.155378"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.081077,0.086630,0.091394,0.100529,0.118771,0.155264,0.228160", \ - "0.081973,0.087525,0.092286,0.101424,0.119668,0.156161,0.229055", \ - "0.086667,0.092219,0.096981,0.106118,0.124362,0.160856,0.233750", \ - "0.097556,0.103109,0.107871,0.117009,0.135248,0.171743,0.244638", \ - "0.116108,0.121672,0.126433,0.135583,0.153770,0.190257,0.263155", \ - "0.139184,0.144950,0.149753,0.158824,0.176930,0.213369,0.286240", \ - "0.163665,0.169701,0.174601,0.183669,0.201611,0.238002,0.310813"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004478,0.006222,0.007960,0.011440,0.018722,0.034150,0.065966", \ - "0.004477,0.006221,0.007960,0.011440,0.018722,0.034150,0.065967", \ - "0.004476,0.006222,0.007961,0.011440,0.018722,0.034150,0.065967", \ - "0.004516,0.006253,0.007986,0.011458,0.018732,0.034154,0.065967", \ - "0.004674,0.006392,0.008108,0.011549,0.018784,0.034175,0.065972", \ - "0.004959,0.006644,0.008327,0.011714,0.018881,0.034211,0.065978", \ - "0.005421,0.007065,0.008698,0.012001,0.019053,0.034283,0.065999"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005639,0.008633,0.012261,0.020477,0.037661,0.072254,0.141527", \ - "0.005638,0.008633,0.012261,0.020480,0.037665,0.072254,0.141533", \ - "0.005638,0.008633,0.012261,0.020476,0.037661,0.072248,0.141537", \ - "0.005637,0.008634,0.012262,0.020477,0.037660,0.072249,0.141533", \ - "0.005667,0.008655,0.012274,0.020481,0.037660,0.072257,0.141529", \ - "0.006067,0.009007,0.012468,0.020541,0.037680,0.072257,0.141539", \ - "0.006549,0.009508,0.012783,0.020649,0.037709,0.072276,0.141540"); - } - } - - timing () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.046164,0.050197,0.053509,0.059109,0.068989,0.087633,0.124460", \ - "0.047839,0.051872,0.055183,0.060784,0.070664,0.089307,0.126136", \ - "0.052455,0.056487,0.059798,0.065399,0.075279,0.093922,0.130750", \ - "0.059564,0.063607,0.066925,0.072536,0.082422,0.101066,0.137893", \ - "0.066685,0.070776,0.074128,0.079776,0.089685,0.108338,0.145163", \ - "0.072056,0.076241,0.079653,0.085357,0.095294,0.113962,0.150774", \ - "0.074734,0.079074,0.082590,0.088400,0.098368,0.117031,0.153833"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.083920,0.089483,0.094244,0.103380,0.121617,0.158109,0.231005", \ - "0.084834,0.090396,0.095156,0.104291,0.122532,0.159023,0.231923", \ - "0.089550,0.095112,0.099874,0.109009,0.127248,0.163739,0.236637", \ - "0.100479,0.106041,0.110803,0.119938,0.138176,0.174667,0.247563", \ - "0.119086,0.124658,0.129420,0.138589,0.156791,0.193280,0.266171", \ - "0.142687,0.148450,0.153250,0.162327,0.180487,0.216912,0.289787", \ - "0.167724,0.173754,0.178651,0.187743,0.205732,0.242040,0.314891"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004373,0.006125,0.007872,0.011369,0.018676,0.034130,0.065961", \ - "0.004373,0.006126,0.007872,0.011370,0.018676,0.034130,0.065962", \ - "0.004374,0.006126,0.007872,0.011370,0.018677,0.034129,0.065962", \ - "0.004433,0.006174,0.007912,0.011397,0.018691,0.034133,0.065960", \ - "0.004632,0.006348,0.008062,0.011508,0.018753,0.034158,0.065966", \ - "0.004981,0.006653,0.008324,0.011704,0.018867,0.034199,0.065974", \ - "0.005501,0.007130,0.008751,0.012032,0.019063,0.034279,0.065994"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005661,0.008650,0.012271,0.020479,0.037661,0.072253,0.141522", \ - "0.005660,0.008650,0.012272,0.020482,0.037664,0.072257,0.141528", \ - "0.005659,0.008650,0.012271,0.020480,0.037661,0.072270,0.141528", \ - "0.005660,0.008650,0.012272,0.020482,0.037660,0.072265,0.141535", \ - "0.005684,0.008668,0.012281,0.020481,0.037661,0.072268,0.141537", \ - "0.006063,0.009002,0.012465,0.020540,0.037687,0.072267,0.141525", \ - "0.006548,0.009503,0.012778,0.020648,0.037710,0.072275,0.141538"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.070160,0.074349,0.077769,0.083497,0.093477,0.112163,0.148989", \ - "0.071550,0.075737,0.079157,0.084886,0.094867,0.113552,0.150379", \ - "0.075193,0.079381,0.082801,0.088530,0.098510,0.117195,0.154021", \ - "0.080428,0.084617,0.088036,0.093768,0.103746,0.122432,0.159257", \ - "0.086947,0.091155,0.094589,0.100330,0.110323,0.129013,0.165839", \ - "0.093626,0.097873,0.101332,0.107093,0.117088,0.135788,0.172607", \ - "0.099611,0.103917,0.107420,0.113208,0.123192,0.141893,0.178714"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.087048,0.093182,0.098180,0.107356,0.125538,0.161990,0.234862", \ - "0.088631,0.094767,0.099763,0.108942,0.127122,0.163573,0.236450", \ - "0.094000,0.100135,0.105132,0.114309,0.132491,0.168940,0.241819", \ - "0.103170,0.109305,0.114302,0.123479,0.141662,0.178112,0.250983", \ - "0.117672,0.123823,0.128824,0.137994,0.156171,0.192590,0.265455", \ - "0.135841,0.142143,0.147237,0.156442,0.174629,0.211025,0.283864", \ - "0.156646,0.163132,0.168361,0.177577,0.195718,0.232061,0.304890"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004911,0.006619,0.008315,0.011719,0.018897,0.034231,0.065991", \ - "0.004912,0.006619,0.008315,0.011719,0.018897,0.034231,0.065994", \ - "0.004911,0.006619,0.008315,0.011719,0.018897,0.034231,0.065991", \ - "0.004913,0.006620,0.008316,0.011720,0.018898,0.034231,0.065992", \ - "0.004991,0.006691,0.008378,0.011766,0.018926,0.034243,0.065994", \ - "0.005130,0.006815,0.008489,0.011853,0.018977,0.034265,0.065999", \ - "0.005355,0.007023,0.008675,0.011998,0.019065,0.034302,0.066012"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006512,0.009636,0.012931,0.020743,0.037752,0.072309,0.141559", \ - "0.006514,0.009637,0.012931,0.020743,0.037752,0.072316,0.141551", \ - "0.006514,0.009638,0.012929,0.020743,0.037752,0.072316,0.141560", \ - "0.006513,0.009637,0.012931,0.020745,0.037753,0.072317,0.141552", \ - "0.006540,0.009666,0.012949,0.020754,0.037756,0.072319,0.141559", \ - "0.006849,0.010020,0.013214,0.020859,0.037785,0.072335,0.141561", \ - "0.007207,0.010456,0.013577,0.021033,0.037847,0.072341,0.141570"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.065102,0.069270,0.072676,0.078389,0.088355,0.107036,0.143861", \ - "0.066486,0.070655,0.074061,0.079773,0.089739,0.108420,0.145247", \ - "0.070108,0.074278,0.077683,0.083397,0.093362,0.112043,0.148868", \ - "0.075255,0.079428,0.082833,0.088545,0.098511,0.117193,0.154017", \ - "0.081367,0.085558,0.088982,0.094713,0.104694,0.123380,0.160205", \ - "0.087442,0.091679,0.095132,0.100880,0.110852,0.129547,0.166367", \ - "0.092478,0.096788,0.100290,0.106089,0.116053,0.134751,0.171568"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.085111,0.090960,0.095818,0.104962,0.123172,0.159650,0.232536", \ - "0.086695,0.092543,0.097401,0.106547,0.124756,0.161231,0.234116", \ - "0.092062,0.097911,0.102769,0.111914,0.130125,0.166599,0.239486", \ - "0.101234,0.107083,0.111941,0.121085,0.139295,0.175769,0.248654", \ - "0.115728,0.121591,0.126452,0.135593,0.153779,0.190240,0.263111", \ - "0.133764,0.139784,0.144707,0.153858,0.172062,0.208488,0.281340", \ - "0.154406,0.160607,0.165626,0.174749,0.192887,0.229235,0.302069"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004836,0.006551,0.008254,0.011671,0.018868,0.034218,0.065986", \ - "0.004838,0.006551,0.008255,0.011671,0.018868,0.034218,0.065988", \ - "0.004838,0.006551,0.008254,0.011671,0.018868,0.034218,0.065986", \ - "0.004844,0.006557,0.008259,0.011675,0.018870,0.034219,0.065987", \ - "0.004938,0.006639,0.008333,0.011731,0.018904,0.034232,0.065989", \ - "0.005094,0.006781,0.008458,0.011827,0.018961,0.034255,0.065998", \ - "0.005357,0.007022,0.008672,0.011994,0.019061,0.034299,0.066010"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006080,0.009106,0.012555,0.020585,0.037703,0.072291,0.141550", \ - "0.006080,0.009106,0.012554,0.020585,0.037701,0.072295,0.141543", \ - "0.006080,0.009106,0.012555,0.020586,0.037703,0.072285,0.141544", \ - "0.006080,0.009106,0.012555,0.020587,0.037700,0.072297,0.141536", \ - "0.006110,0.009136,0.012571,0.020592,0.037705,0.072283,0.141547", \ - "0.006407,0.009449,0.012775,0.020666,0.037724,0.072289,0.141552", \ - "0.006735,0.009825,0.013048,0.020778,0.037757,0.072303,0.141561"); - } - } - - timing () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.058448,0.062552,0.065913,0.071571,0.081496,0.100158,0.136984", \ - "0.059925,0.064028,0.067389,0.073047,0.082972,0.101634,0.138460", \ - "0.064291,0.068394,0.071756,0.077414,0.087339,0.106001,0.142827", \ - "0.070692,0.074799,0.078160,0.083819,0.093745,0.112409,0.149233", \ - "0.078159,0.082294,0.085676,0.091358,0.101297,0.119967,0.156790", \ - "0.085144,0.089330,0.092747,0.098462,0.108409,0.127087,0.163905", \ - "0.090447,0.094725,0.098202,0.103977,0.113925,0.132613,0.169426"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.086950,0.092809,0.097670,0.106814,0.125019,0.161495,0.234378", \ - "0.088555,0.094415,0.099277,0.108422,0.126628,0.163099,0.235990", \ - "0.093882,0.099741,0.104603,0.113744,0.131952,0.168428,0.241315", \ - "0.103035,0.108894,0.113756,0.122899,0.141105,0.177577,0.250467", \ - "0.117600,0.123472,0.128336,0.137525,0.155693,0.192148,0.265034", \ - "0.136003,0.142025,0.146948,0.156099,0.174296,0.210718,0.283569", \ - "0.157049,0.163249,0.168266,0.177392,0.195511,0.231896,0.304730"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004621,0.006351,0.008073,0.011527,0.018775,0.034174,0.065975", \ - "0.004621,0.006351,0.008073,0.011527,0.018776,0.034174,0.065974", \ - "0.004622,0.006351,0.008073,0.011527,0.018775,0.034174,0.065974", \ - "0.004632,0.006361,0.008082,0.011533,0.018778,0.034175,0.065973", \ - "0.004748,0.006462,0.008169,0.011598,0.018816,0.034191,0.065977", \ - "0.004948,0.006639,0.008324,0.011716,0.018885,0.034216,0.065984", \ - "0.005279,0.006938,0.008587,0.011917,0.019004,0.034265,0.065996"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006103,0.009132,0.012570,0.020591,0.037705,0.072285,0.141545", \ - "0.006104,0.009130,0.012569,0.020591,0.037703,0.072286,0.141539", \ - "0.006103,0.009132,0.012570,0.020592,0.037702,0.072279,0.141540", \ - "0.006104,0.009131,0.012569,0.020591,0.037701,0.072298,0.141540", \ - "0.006126,0.009154,0.012583,0.020596,0.037706,0.072292,0.141537", \ - "0.006411,0.009452,0.012778,0.020668,0.037722,0.072290,0.141546", \ - "0.006734,0.009823,0.013045,0.020778,0.037757,0.072305,0.141549"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.065102,0.069270,0.072676,0.078389,0.088355,0.107036,0.143861", \ - "0.066486,0.070655,0.074061,0.079773,0.089739,0.108420,0.145247", \ - "0.070108,0.074278,0.077683,0.083397,0.093362,0.112043,0.148868", \ - "0.075255,0.079428,0.082833,0.088545,0.098511,0.117193,0.154017", \ - "0.081367,0.085558,0.088982,0.094713,0.104694,0.123380,0.160205", \ - "0.087442,0.091679,0.095132,0.100880,0.110852,0.129547,0.166367", \ - "0.092478,0.096788,0.100290,0.106089,0.116053,0.134751,0.171568"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.085111,0.090960,0.095818,0.104962,0.123172,0.159650,0.232536", \ - "0.086695,0.092543,0.097401,0.106547,0.124756,0.161231,0.234116", \ - "0.092062,0.097911,0.102769,0.111914,0.130125,0.166599,0.239486", \ - "0.101234,0.107083,0.111941,0.121085,0.139295,0.175769,0.248654", \ - "0.115728,0.121591,0.126452,0.135593,0.153779,0.190240,0.263111", \ - "0.133764,0.139784,0.144707,0.153858,0.172062,0.208488,0.281340", \ - "0.154406,0.160607,0.165626,0.174749,0.192887,0.229235,0.302069"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004836,0.006551,0.008254,0.011671,0.018868,0.034218,0.065986", \ - "0.004838,0.006551,0.008255,0.011671,0.018868,0.034218,0.065988", \ - "0.004838,0.006551,0.008254,0.011671,0.018868,0.034218,0.065986", \ - "0.004844,0.006557,0.008259,0.011675,0.018870,0.034219,0.065987", \ - "0.004938,0.006639,0.008333,0.011731,0.018904,0.034232,0.065989", \ - "0.005094,0.006781,0.008458,0.011827,0.018961,0.034255,0.065998", \ - "0.005357,0.007022,0.008672,0.011994,0.019061,0.034299,0.066010"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006080,0.009106,0.012555,0.020585,0.037703,0.072291,0.141550", \ - "0.006080,0.009106,0.012554,0.020585,0.037701,0.072295,0.141543", \ - "0.006080,0.009106,0.012555,0.020586,0.037703,0.072285,0.141544", \ - "0.006080,0.009106,0.012555,0.020587,0.037700,0.072297,0.141536", \ - "0.006110,0.009136,0.012571,0.020592,0.037705,0.072283,0.141547", \ - "0.006407,0.009449,0.012775,0.020666,0.037724,0.072289,0.141552", \ - "0.006735,0.009825,0.013048,0.020778,0.037757,0.072303,0.141561"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.060108,0.064255,0.067646,0.073341,0.083293,0.101969,0.138794", \ - "0.061488,0.065635,0.069026,0.074720,0.084672,0.103348,0.140175", \ - "0.065089,0.069236,0.072627,0.078323,0.088274,0.106950,0.143774", \ - "0.070099,0.074251,0.077642,0.083339,0.093293,0.111970,0.148793", \ - "0.075725,0.079904,0.083317,0.089037,0.099006,0.117689,0.154514", \ - "0.081053,0.085282,0.088727,0.094466,0.104435,0.123127,0.159947", \ - "0.084991,0.089307,0.092813,0.098623,0.108580,0.127270,0.164087"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.083110,0.088650,0.093411,0.102550,0.120798,0.157296,0.230195", \ - "0.084693,0.090233,0.094993,0.104134,0.122380,0.158876,0.231775", \ - "0.090059,0.095600,0.100360,0.109499,0.127746,0.164243,0.237139", \ - "0.099231,0.104772,0.109532,0.118672,0.136917,0.173414,0.246311", \ - "0.113721,0.119278,0.124038,0.133177,0.151392,0.187876,0.260763", \ - "0.131609,0.137314,0.142105,0.151235,0.169457,0.205893,0.278768", \ - "0.152092,0.157968,0.162812,0.171887,0.190060,0.226438,0.299291"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004758,0.006480,0.008191,0.011621,0.018837,0.034204,0.065982", \ - "0.004759,0.006479,0.008191,0.011622,0.018837,0.034204,0.065983", \ - "0.004758,0.006480,0.008190,0.011621,0.018837,0.034204,0.065980", \ - "0.004775,0.006493,0.008203,0.011630,0.018842,0.034206,0.065981", \ - "0.004883,0.006590,0.008288,0.011695,0.018880,0.034223,0.065987", \ - "0.005067,0.006756,0.008433,0.011808,0.018948,0.034249,0.065992", \ - "0.005379,0.007040,0.008687,0.012003,0.019066,0.034298,0.066008"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005615,0.008614,0.012250,0.020475,0.037660,0.072257,0.141526", \ - "0.005613,0.008614,0.012251,0.020476,0.037664,0.072250,0.141523", \ - "0.005615,0.008614,0.012252,0.020474,0.037659,0.072263,0.141527", \ - "0.005615,0.008614,0.012251,0.020473,0.037659,0.072248,0.141522", \ - "0.005653,0.008643,0.012264,0.020479,0.037663,0.072264,0.141533", \ - "0.005934,0.008894,0.012405,0.020523,0.037680,0.072264,0.141532", \ - "0.006237,0.009197,0.012589,0.020587,0.037700,0.072289,0.141531"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.054298,0.058383,0.061732,0.067375,0.077289,0.095947,0.132771", \ - "0.055772,0.059856,0.063204,0.068847,0.078761,0.097418,0.134243", \ - "0.060119,0.064203,0.067551,0.073194,0.083108,0.101766,0.138591", \ - "0.066337,0.070428,0.073780,0.079427,0.089343,0.108001,0.144828", \ - "0.073190,0.077313,0.080686,0.086358,0.096293,0.114960,0.151781", \ - "0.079198,0.083381,0.086796,0.092506,0.102451,0.121127,0.157944", \ - "0.083242,0.087536,0.091022,0.096813,0.106779,0.125449,0.162260"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.084947,0.090500,0.095261,0.104398,0.122642,0.159135,0.232032", \ - "0.086554,0.092105,0.096867,0.106007,0.124248,0.160744,0.233637", \ - "0.091877,0.097429,0.102191,0.111328,0.129571,0.166064,0.238961", \ - "0.101031,0.106584,0.111346,0.120483,0.138726,0.175219,0.248115", \ - "0.115599,0.121165,0.125926,0.135110,0.153310,0.189789,0.262679", \ - "0.133862,0.139569,0.144361,0.153488,0.171719,0.208167,0.281041", \ - "0.154747,0.160622,0.165465,0.174546,0.192697,0.229114,0.301965"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004554,0.006290,0.008020,0.011485,0.018750,0.034163,0.065972", \ - "0.004552,0.006290,0.008020,0.011486,0.018750,0.034162,0.065970", \ - "0.004552,0.006289,0.008020,0.011485,0.018750,0.034163,0.065971", \ - "0.004578,0.006310,0.008036,0.011498,0.018757,0.034164,0.065970", \ - "0.004710,0.006427,0.008138,0.011574,0.018800,0.034183,0.065975", \ - "0.004945,0.006634,0.008318,0.011709,0.018880,0.034212,0.065978", \ - "0.005326,0.006978,0.008621,0.011940,0.019017,0.034268,0.065994"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005638,0.008633,0.012261,0.020478,0.037664,0.072252,0.141535", \ - "0.005640,0.008633,0.012261,0.020479,0.037664,0.072248,0.141529", \ - "0.005639,0.008633,0.012261,0.020479,0.037663,0.072251,0.141525", \ - "0.005639,0.008635,0.012261,0.020480,0.037666,0.072251,0.141538", \ - "0.005668,0.008656,0.012272,0.020479,0.037663,0.072254,0.141525", \ - "0.005940,0.008898,0.012407,0.020525,0.037682,0.072265,0.141534", \ - "0.006237,0.009196,0.012589,0.020587,0.037702,0.072275,0.141545"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.058448,0.062552,0.065913,0.071571,0.081496,0.100158,0.136984", \ - "0.059925,0.064028,0.067389,0.073047,0.082972,0.101634,0.138460", \ - "0.064291,0.068394,0.071756,0.077414,0.087339,0.106001,0.142827", \ - "0.070692,0.074799,0.078160,0.083819,0.093745,0.112409,0.149233", \ - "0.078159,0.082294,0.085676,0.091358,0.101297,0.119967,0.156790", \ - "0.085144,0.089330,0.092747,0.098462,0.108409,0.127087,0.163905", \ - "0.090447,0.094725,0.098202,0.103977,0.113925,0.132613,0.169426"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.086950,0.092809,0.097670,0.106814,0.125019,0.161495,0.234378", \ - "0.088555,0.094415,0.099277,0.108422,0.126628,0.163099,0.235990", \ - "0.093882,0.099741,0.104603,0.113744,0.131952,0.168428,0.241315", \ - "0.103035,0.108894,0.113756,0.122899,0.141105,0.177577,0.250467", \ - "0.117600,0.123472,0.128336,0.137525,0.155693,0.192148,0.265034", \ - "0.136003,0.142025,0.146948,0.156099,0.174296,0.210718,0.283569", \ - "0.157049,0.163249,0.168266,0.177392,0.195511,0.231896,0.304730"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004621,0.006351,0.008073,0.011527,0.018775,0.034174,0.065975", \ - "0.004621,0.006351,0.008073,0.011527,0.018776,0.034174,0.065974", \ - "0.004622,0.006351,0.008073,0.011527,0.018775,0.034174,0.065974", \ - "0.004632,0.006361,0.008082,0.011533,0.018778,0.034175,0.065973", \ - "0.004748,0.006462,0.008169,0.011598,0.018816,0.034191,0.065977", \ - "0.004948,0.006639,0.008324,0.011716,0.018885,0.034216,0.065984", \ - "0.005279,0.006938,0.008587,0.011917,0.019004,0.034265,0.065996"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.006103,0.009132,0.012570,0.020591,0.037705,0.072285,0.141545", \ - "0.006104,0.009130,0.012569,0.020591,0.037703,0.072286,0.141539", \ - "0.006103,0.009132,0.012570,0.020592,0.037702,0.072279,0.141540", \ - "0.006104,0.009131,0.012569,0.020591,0.037701,0.072298,0.141540", \ - "0.006126,0.009154,0.012583,0.020596,0.037706,0.072292,0.141537", \ - "0.006411,0.009452,0.012778,0.020668,0.037722,0.072290,0.141546", \ - "0.006734,0.009823,0.013045,0.020778,0.037757,0.072305,0.141549"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.054298,0.058383,0.061732,0.067375,0.077289,0.095947,0.132771", \ - "0.055772,0.059856,0.063204,0.068847,0.078761,0.097418,0.134243", \ - "0.060119,0.064203,0.067551,0.073194,0.083108,0.101766,0.138591", \ - "0.066337,0.070428,0.073780,0.079427,0.089343,0.108001,0.144828", \ - "0.073190,0.077313,0.080686,0.086358,0.096293,0.114960,0.151781", \ - "0.079198,0.083381,0.086796,0.092506,0.102451,0.121127,0.157944", \ - "0.083242,0.087536,0.091022,0.096813,0.106779,0.125449,0.162260"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.084947,0.090500,0.095261,0.104398,0.122642,0.159135,0.232032", \ - "0.086554,0.092105,0.096867,0.106007,0.124248,0.160744,0.233637", \ - "0.091877,0.097429,0.102191,0.111328,0.129571,0.166064,0.238961", \ - "0.101031,0.106584,0.111346,0.120483,0.138726,0.175219,0.248115", \ - "0.115599,0.121165,0.125926,0.135110,0.153310,0.189789,0.262679", \ - "0.133862,0.139569,0.144361,0.153488,0.171719,0.208167,0.281041", \ - "0.154747,0.160622,0.165465,0.174546,0.192697,0.229114,0.301965"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004554,0.006290,0.008020,0.011485,0.018750,0.034163,0.065972", \ - "0.004552,0.006290,0.008020,0.011486,0.018750,0.034162,0.065970", \ - "0.004552,0.006289,0.008020,0.011485,0.018750,0.034163,0.065971", \ - "0.004578,0.006310,0.008036,0.011498,0.018757,0.034164,0.065970", \ - "0.004710,0.006427,0.008138,0.011574,0.018800,0.034183,0.065975", \ - "0.004945,0.006634,0.008318,0.011709,0.018880,0.034212,0.065978", \ - "0.005326,0.006978,0.008621,0.011940,0.019017,0.034268,0.065994"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005638,0.008633,0.012261,0.020478,0.037664,0.072252,0.141535", \ - "0.005640,0.008633,0.012261,0.020479,0.037664,0.072248,0.141529", \ - "0.005639,0.008633,0.012261,0.020479,0.037663,0.072251,0.141525", \ - "0.005639,0.008635,0.012261,0.020480,0.037666,0.072251,0.141538", \ - "0.005668,0.008656,0.012272,0.020479,0.037663,0.072254,0.141525", \ - "0.005940,0.008898,0.012407,0.020525,0.037682,0.072265,0.141534", \ - "0.006237,0.009196,0.012589,0.020587,0.037702,0.072275,0.141545"); - } - } - - timing () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.049115,0.053165,0.056488,0.062102,0.071993,0.090640,0.127467", \ - "0.050624,0.054675,0.057998,0.063612,0.073503,0.092149,0.128975", \ - "0.055304,0.059354,0.062676,0.068291,0.078182,0.096829,0.133655", \ - "0.062816,0.066872,0.070200,0.075820,0.085715,0.104362,0.141192", \ - "0.070845,0.074944,0.078301,0.083949,0.093867,0.112524,0.149349", \ - "0.077336,0.081512,0.084919,0.090617,0.100551,0.119219,0.156033", \ - "0.081439,0.085746,0.089238,0.095019,0.104988,0.123665,0.160468"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.087797,0.093358,0.098122,0.107255,0.125496,0.161987,0.234886", \ - "0.089436,0.094997,0.099759,0.108894,0.127133,0.163625,0.236527", \ - "0.094751,0.100312,0.105075,0.114209,0.132449,0.168940,0.241836", \ - "0.103909,0.109470,0.114233,0.123367,0.141605,0.178098,0.250999", \ - "0.118613,0.124184,0.128947,0.138106,0.156334,0.192820,0.265699", \ - "0.137253,0.142960,0.147751,0.156892,0.175147,0.211589,0.284458", \ - "0.158614,0.164487,0.169330,0.178407,0.196609,0.232999,0.305841"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.004437,0.006183,0.007923,0.011408,0.018699,0.034139,0.065965", \ - "0.004437,0.006183,0.007922,0.011407,0.018699,0.034139,0.065966", \ - "0.004438,0.006183,0.007922,0.011408,0.018700,0.034140,0.065965", \ - "0.004476,0.006214,0.007949,0.011426,0.018709,0.034142,0.065965", \ - "0.004649,0.006366,0.008079,0.011522,0.018763,0.034163,0.065970", \ - "0.004948,0.006626,0.008303,0.011689,0.018859,0.034198,0.065975", \ - "0.005395,0.007032,0.008660,0.011962,0.019021,0.034261,0.065991"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.005660,0.008650,0.012270,0.020480,0.037665,0.072254,0.141526", \ - "0.005660,0.008650,0.012271,0.020478,0.037660,0.072262,0.141524", \ - "0.005660,0.008652,0.012270,0.020479,0.037661,0.072253,0.141524", \ - "0.005658,0.008650,0.012270,0.020479,0.037662,0.072268,0.141524", \ - "0.005680,0.008668,0.012279,0.020483,0.037664,0.072254,0.141534", \ - "0.005944,0.008901,0.012408,0.020525,0.037677,0.072257,0.141526", \ - "0.006241,0.009200,0.012591,0.020588,0.037695,0.072276,0.141542"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.289160,12.018440,12.602170,13.162610,13.575510,13.786690,13.903660", \ - "11.240210,12.002410,12.603810,13.194380,13.594920,13.815360,13.919030", \ - "11.274990,12.034560,12.573100,13.159100,13.585870,13.798180,13.894240", \ - "11.349920,12.114930,12.703410,13.300200,13.710330,13.913110,14.026150", \ - "11.863240,12.635850,13.150450,13.750360,14.183700,14.404380,14.509990", \ - "12.801900,13.522400,14.043610,14.625050,15.027830,15.225300,15.352880", \ - "14.188440,14.748580,15.280560,15.866590,16.344850,16.551810,16.633730"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.109510,15.092480,15.584200,16.165150,16.874290,17.028770,16.434070", \ - "13.989310,15.099430,15.517090,16.179840,16.746260,17.134110,17.610180", \ - "14.023520,15.073040,15.529280,16.243430,16.746750,17.099810,17.458130", \ - "14.152240,15.160180,15.748190,16.301730,17.035290,17.340200,17.407070", \ - "14.920310,16.018260,16.520320,17.151590,18.008400,17.523000,18.016470", \ - "15.753840,17.002120,17.494570,18.433510,19.202600,19.671560,18.988100", \ - "17.210940,18.417900,18.992420,19.827980,20.436060,20.514670,20.535070"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.633100,11.394960,12.007550,12.573010,12.954370,13.143490,13.232930", \ - "10.717690,11.405750,12.006690,12.577860,12.976930,13.158870,13.243000", \ - "10.661630,11.382830,12.000920,12.566650,12.944430,13.136890,13.222320", \ - "10.837430,11.588550,12.139260,12.722160,13.119910,13.300040,13.390970", \ - "11.348570,12.117830,12.621760,13.208840,13.611170,13.810570,13.906690", \ - "12.348480,13.038730,13.551900,14.120880,14.529230,14.696460,14.803390", \ - "13.694890,14.261170,14.827410,15.433960,15.908460,16.111150,16.158370"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.835520,14.684750,15.056990,15.621900,16.274850,16.431780,16.429560", \ - "13.773500,14.648210,15.079650,15.700030,16.005630,16.163540,15.860020", \ - "13.767930,14.608220,14.958210,15.587550,16.185840,16.078140,16.102880", \ - "13.948850,14.790480,15.226750,15.768200,16.028860,16.267220,15.645410", \ - "14.664640,15.582090,15.875780,16.519440,17.021860,17.244160,16.939500", \ - "15.515500,16.464010,16.953060,17.591500,18.187540,18.375970,18.626520", \ - "16.694380,17.895800,18.420580,18.956100,19.472980,20.111300,20.202110"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.661300,11.456690,11.994830,12.542600,12.910630,13.070370,13.154290", \ - "10.690080,11.432770,12.014260,12.567090,12.907900,13.077810,13.166070", \ - "10.671360,11.408440,11.999090,12.538670,12.889080,13.059260,13.147360", \ - "10.877750,11.598960,12.186110,12.737560,13.086940,13.257130,13.345040", \ - "11.381410,12.148810,12.674510,13.214820,13.593650,13.769270,13.858020", \ - "12.414650,13.055350,13.602140,14.174410,14.534130,14.683540,14.783140", \ - "13.715990,14.358760,14.908500,15.521620,15.958530,16.140920,16.184740"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.699840,14.635570,15.055100,15.657800,16.251900,16.152740,16.077230", \ - "13.786920,14.589030,15.059030,15.704620,15.930580,16.080400,16.400880", \ - "13.624750,14.553040,15.013060,15.578770,15.930890,16.067430,16.402270", \ - "13.954920,14.756750,15.156380,15.793590,16.275390,16.410880,16.098570", \ - "14.600250,15.574730,15.938500,16.626690,17.025980,17.018170,16.899570", \ - "15.561630,16.557370,17.064220,17.723320,17.877990,18.404500,18.519020", \ - "16.897160,17.892860,18.442100,19.020120,19.917920,20.033940,20.009460"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.633100,11.394960,12.007550,12.573010,12.954370,13.143490,13.232930", \ - "10.717690,11.405750,12.006690,12.577860,12.976930,13.158870,13.243000", \ - "10.661630,11.382830,12.000920,12.566650,12.944430,13.136890,13.222320", \ - "10.837430,11.588550,12.139260,12.722160,13.119910,13.300040,13.390970", \ - "11.348570,12.117830,12.621760,13.208840,13.611170,13.810570,13.906690", \ - "12.348480,13.038730,13.551900,14.120880,14.529230,14.696460,14.803390", \ - "13.694890,14.261170,14.827410,15.433960,15.908460,16.111150,16.158370"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.835520,14.684750,15.056990,15.621900,16.274850,16.431780,16.429560", \ - "13.773500,14.648210,15.079650,15.700030,16.005630,16.163540,15.860020", \ - "13.767930,14.608220,14.958210,15.587550,16.185840,16.078140,16.102880", \ - "13.948850,14.790480,15.226750,15.768200,16.028860,16.267220,15.645410", \ - "14.664640,15.582090,15.875780,16.519440,17.021860,17.244160,16.939500", \ - "15.515500,16.464010,16.953060,17.591500,18.187540,18.375970,18.626520", \ - "16.694380,17.895800,18.420580,18.956100,19.472980,20.111300,20.202110"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.120910,10.841660,11.407900,11.970670,12.330050,12.492470,12.568900", \ - "10.122120,10.842410,11.411760,11.975030,12.334460,12.505470,12.570220", \ - "10.089140,10.807310,11.392860,11.945880,12.305700,12.479200,12.544530", \ - "10.317040,11.048870,11.606930,12.155720,12.521330,12.692700,12.754340", \ - "10.863950,11.609780,12.109210,12.664700,13.065450,13.237400,13.320840", \ - "11.897900,12.584180,13.114570,13.668670,14.053100,14.195840,14.290800", \ - "13.352340,13.877600,14.440840,15.059430,15.522560,15.723350,15.741860"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.533980,14.202240,14.611870,15.072130,15.267620,15.533870,14.713580", \ - "13.525640,14.150420,14.595420,14.978870,15.226620,15.117660,15.506580", \ - "13.476020,14.116470,14.556240,14.757550,15.245480,15.479170,15.351250", \ - "13.668930,14.321130,14.714830,15.108790,15.429240,15.166550,15.602050", \ - "14.369950,15.125050,15.473890,15.744510,16.009050,16.081740,15.513630", \ - "15.224550,15.974340,16.414200,16.927450,17.230520,17.312140,16.979590", \ - "16.475850,17.369150,17.695760,18.295130,18.447590,18.246550,18.883690"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.106060,10.838950,11.413850,11.971860,12.290460,12.439020,12.506620", \ - "10.116980,10.852210,11.440040,11.956570,12.298070,12.445220,12.515560", \ - "10.087260,10.830280,11.410910,11.954110,12.278990,12.425380,12.490610", \ - "10.328060,11.067790,11.632170,12.158590,12.501400,12.657890,12.723630", \ - "10.962120,11.621660,12.191180,12.694050,13.043840,13.214880,13.292560", \ - "11.998130,12.608700,13.160720,13.717570,14.084010,14.213120,14.287020", \ - "13.301590,13.901410,14.490480,15.106660,15.575130,15.767640,15.780830"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.545900,14.231630,14.612870,14.932050,15.306650,15.534340,15.408110", \ - "13.462900,14.229950,14.577880,14.895510,15.271570,15.211330,15.524910", \ - "13.474520,14.157400,14.526690,14.948540,15.184060,15.461210,15.367670", \ - "13.647360,14.369940,14.712420,15.055230,15.399710,15.315640,15.435880", \ - "14.358390,15.152640,15.505930,15.865090,16.130670,15.585040,15.294400", \ - "15.163300,15.980500,16.411380,17.009870,17.128220,16.950690,17.610330", \ - "16.535100,17.447230,17.770140,18.276700,18.778170,18.205870,18.681890"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.661300,11.456690,11.994830,12.542600,12.910630,13.070370,13.154290", \ - "10.690080,11.432770,12.014260,12.567090,12.907900,13.077810,13.166070", \ - "10.671360,11.408440,11.999090,12.538670,12.889080,13.059260,13.147360", \ - "10.877750,11.598960,12.186110,12.737560,13.086940,13.257130,13.345040", \ - "11.381410,12.148810,12.674510,13.214820,13.593650,13.769270,13.858020", \ - "12.414650,13.055350,13.602140,14.174410,14.534130,14.683540,14.783140", \ - "13.715990,14.358760,14.908500,15.521620,15.958530,16.140920,16.184740"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.699840,14.635570,15.055100,15.657800,16.251900,16.152740,16.077230", \ - "13.786920,14.589030,15.059030,15.704620,15.930580,16.080400,16.400880", \ - "13.624750,14.553040,15.013060,15.578770,15.930890,16.067430,16.402270", \ - "13.954920,14.756750,15.156380,15.793590,16.275390,16.410880,16.098570", \ - "14.600250,15.574730,15.938500,16.626690,17.025980,17.018170,16.899570", \ - "15.561630,16.557370,17.064220,17.723320,17.877990,18.404500,18.519020", \ - "16.897160,17.892860,18.442100,19.020120,19.917920,20.033940,20.009460"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.106060,10.838950,11.413850,11.971860,12.290460,12.439020,12.506620", \ - "10.116980,10.852210,11.440040,11.956570,12.298070,12.445220,12.515560", \ - "10.087260,10.830280,11.410910,11.954110,12.278990,12.425380,12.490610", \ - "10.328060,11.067790,11.632170,12.158590,12.501400,12.657890,12.723630", \ - "10.962120,11.621660,12.191180,12.694050,13.043840,13.214880,13.292560", \ - "11.998130,12.608700,13.160720,13.717570,14.084010,14.213120,14.287020", \ - "13.301590,13.901410,14.490480,15.106660,15.575130,15.767640,15.780830"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.545900,14.231630,14.612870,14.932050,15.306650,15.534340,15.408110", \ - "13.462900,14.229950,14.577880,14.895510,15.271570,15.211330,15.524910", \ - "13.474520,14.157400,14.526690,14.948540,15.184060,15.461210,15.367670", \ - "13.647360,14.369940,14.712420,15.055230,15.399710,15.315640,15.435880", \ - "14.358390,15.152640,15.505930,15.865090,16.130670,15.585040,15.294400", \ - "15.163300,15.980500,16.411380,17.009870,17.128220,16.950690,17.610330", \ - "16.535100,17.447230,17.770140,18.276700,18.778170,18.205870,18.681890"); - } - } - - internal_power () { - - related_pin : "A1"; - when : "!A2 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.091520,10.905780,11.466140,11.959190,12.265170,12.409320,12.464730", \ - "10.064730,10.911980,11.486260,11.961070,12.265900,12.402090,12.472940", \ - "10.095130,10.896540,11.455030,11.956040,12.256670,12.392300,12.459060", \ - "10.338200,11.150770,11.674100,12.185570,12.482200,12.621180,12.694350", \ - "10.958580,11.733430,12.257320,12.730760,13.030890,13.189380,13.262950", \ - "12.056310,12.697380,13.271590,13.794970,14.114840,14.225970,14.295130", \ - "13.388350,14.016780,14.573340,15.186150,15.632890,15.821440,15.841240"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.545420,14.251100,14.569210,14.974320,15.376400,15.533580,14.698470", \ - "13.464290,14.218000,14.580670,15.008240,15.291110,15.153120,15.538220", \ - "13.337400,14.137490,14.535830,14.965010,15.203960,15.381640,15.508880", \ - "13.646760,14.369280,14.710880,15.096840,15.421880,14.784910,15.290000", \ - "14.395800,15.089410,15.499680,15.913760,16.175140,16.156430,16.520690", \ - "15.296030,16.111650,16.455950,16.863170,17.209690,16.783760,17.266880", \ - "16.567120,17.469110,17.784520,18.357060,18.908520,19.035210,18.246380"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.765670,12.500700,13.084060,13.684510,14.123230,14.355540,14.485850", \ - "11.702220,12.484120,13.029080,13.652700,14.074630,14.308710,14.440370", \ - "11.684370,12.467420,13.011290,13.609650,14.046930,14.278230,14.411360", \ - "11.851800,12.562630,13.111780,13.731090,14.149740,14.382970,14.516680", \ - "12.312290,13.016800,13.594840,14.202440,14.645520,14.883910,15.024120", \ - "13.229110,13.933050,14.478780,15.072720,15.485160,15.720460,15.863670", \ - "14.578340,15.144740,15.717680,16.307620,16.773210,16.984620,17.083830"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.905260,15.839650,16.379550,17.182150,17.592830,17.944160,17.726000", \ - "14.813860,15.843850,16.416930,17.033960,17.762210,18.061100,17.883960", \ - "14.743740,15.788710,16.301650,16.981840,17.709010,17.855550,18.229710", \ - "14.784090,15.780760,16.283780,17.068430,17.709210,18.142120,17.423440", \ - "15.031150,16.186360,16.716470,17.284460,18.122060,17.797260,17.735260", \ - "15.560910,16.612980,17.198060,18.099970,18.540740,19.038170,18.366290", \ - "16.379480,17.568420,18.089990,19.042180,20.003450,19.843470,20.603690"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.221390,11.928610,12.486250,13.097720,13.496130,13.703810,13.819690", \ - "11.152340,11.867860,12.465730,13.044620,13.444070,13.665010,13.770190", \ - "11.138960,11.833540,12.433650,12.999500,13.415970,13.626770,13.738060", \ - "11.252610,11.992020,12.581940,13.160900,13.562330,13.773560,13.884400", \ - "11.857570,12.527690,13.053520,13.644930,14.090180,14.310000,14.417460", \ - "12.744990,13.466530,13.983860,14.564490,14.959930,15.166420,15.299990", \ - "14.092600,14.712720,15.258190,15.839720,16.294750,16.501790,16.575380"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.528370,15.480280,15.916630,16.355160,16.846950,16.993940,17.093240", \ - "14.612780,15.462410,15.923870,16.525260,17.012860,16.907690,17.134820", \ - "14.390710,15.399330,15.761350,16.277120,16.959550,17.106790,17.064010", \ - "14.452860,15.334650,15.727030,16.369840,16.590080,16.837650,16.813630", \ - "14.799120,15.738040,16.200510,16.804110,17.235050,17.327720,17.316710", \ - "15.210750,16.167760,16.662390,17.351270,17.860510,17.600850,17.992550", \ - "15.976890,17.134440,17.491560,18.315930,19.040960,19.437290,18.823680"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & !B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.154200,11.902610,12.468060,13.053760,13.422090,13.617320,13.723310", \ - "11.122600,11.850380,12.431480,12.996080,13.372770,13.568700,13.670620", \ - "11.093960,11.861270,12.417820,12.972090,13.345030,13.533000,13.641160", \ - "11.267300,11.983310,12.593660,13.147960,13.526780,13.723590,13.830340", \ - "11.814110,12.520060,13.080060,13.652740,14.040050,14.247490,14.362220", \ - "12.841870,13.499280,14.034980,14.586460,14.952390,15.124040,15.254440", \ - "14.090440,14.714400,15.301070,15.875400,16.316820,16.507330,16.562220"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.501840,15.470960,15.808690,16.519510,16.801860,16.981510,17.102420", \ - "14.588780,15.439400,15.822870,16.381180,17.021360,16.986070,17.091700", \ - "14.400210,15.381770,15.744900,16.325240,16.804080,16.818120,17.043800", \ - "14.510070,15.282730,15.735630,16.293750,16.925470,17.051320,16.918700", \ - "14.798390,15.723190,16.199050,16.795650,17.036680,17.535270,17.314290", \ - "15.224600,16.261960,16.710420,17.344250,18.068560,17.747330,17.944250", \ - "16.115330,17.083620,17.559330,18.286130,19.021020,19.248130,19.535290"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.221390,11.928610,12.486250,13.097720,13.496130,13.703810,13.819690", \ - "11.152340,11.867860,12.465730,13.044620,13.444070,13.665010,13.770190", \ - "11.138960,11.833540,12.433650,12.999500,13.415970,13.626770,13.738060", \ - "11.252610,11.992020,12.581940,13.160900,13.562330,13.773560,13.884400", \ - "11.857570,12.527690,13.053520,13.644930,14.090180,14.310000,14.417460", \ - "12.744990,13.466530,13.983860,14.564490,14.959930,15.166420,15.299990", \ - "14.092600,14.712720,15.258190,15.839720,16.294750,16.501790,16.575380"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.528370,15.480280,15.916630,16.355160,16.846950,16.993940,17.093240", \ - "14.612780,15.462410,15.923870,16.525260,17.012860,16.907690,17.134820", \ - "14.390710,15.399330,15.761350,16.277120,16.959550,17.106790,17.064010", \ - "14.452860,15.334650,15.727030,16.369840,16.590080,16.837650,16.813630", \ - "14.799120,15.738040,16.200510,16.804110,17.235050,17.327720,17.316710", \ - "15.210750,16.167760,16.662390,17.351270,17.860510,17.600850,17.992550", \ - "15.976890,17.134440,17.491560,18.315930,19.040960,19.437290,18.823680"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.622580,11.343480,11.925620,12.483080,12.880670,13.063210,13.143480", \ - "10.544190,11.262600,11.872790,12.431660,12.830440,13.004490,13.096580", \ - "10.483020,11.230870,11.825100,12.405090,12.785010,12.976230,13.064180", \ - "10.686610,11.436680,12.032070,12.593310,12.984360,13.176490,13.260550", \ - "11.293220,12.026600,12.545620,13.117910,13.521660,13.729180,13.829300", \ - "12.282890,12.957490,13.501400,14.076830,14.475250,14.635400,14.752840", \ - "13.737330,14.295800,14.824710,15.401870,15.860780,16.045270,16.090900"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.339920,15.043720,15.441460,15.625570,16.184090,16.339040,16.400500", \ - "14.326380,14.986140,15.423270,15.771840,15.870920,15.939490,16.387830", \ - "14.231210,14.935750,15.310450,15.720320,16.070990,15.745590,16.125230", \ - "14.228760,14.943210,15.338340,15.758760,15.825930,15.337680,15.110550", \ - "14.509300,15.220290,15.689630,16.078870,16.398880,16.431820,15.630770", \ - "14.950940,15.696120,16.142520,16.593600,16.975720,16.502400,17.049590", \ - "15.732940,16.531310,17.040880,17.454180,17.956650,18.140000,18.163980"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.574910,11.347710,11.899620,12.459600,12.815240,12.984200,13.070950", \ - "10.545940,11.282960,11.848430,12.416110,12.765470,12.942720,13.020400", \ - "10.493230,11.248650,11.828540,12.371390,12.732600,12.908270,12.989890", \ - "10.749900,11.503790,12.053890,12.593190,12.951780,13.129690,13.219630", \ - "11.278760,12.019930,12.594610,13.116650,13.493170,13.686400,13.778640", \ - "12.300270,13.023640,13.562510,14.114390,14.473550,14.611480,14.724510", \ - "13.678860,14.345110,14.875610,15.454930,15.885430,16.066140,16.092720"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.337920,14.967390,15.426570,15.756320,16.036870,16.320820,15.403310", \ - "14.316370,15.007910,15.370390,15.832160,16.033260,15.908680,16.403580", \ - "14.198570,14.902230,15.319350,15.564850,15.968240,16.173760,16.142130", \ - "14.202980,14.954270,15.196730,15.749300,15.697710,16.009550,15.122350", \ - "14.497130,15.233280,15.664540,15.878670,16.314000,16.324570,15.627430", \ - "14.939310,15.771850,16.168910,16.635480,17.127020,17.231850,17.034260", \ - "15.839590,16.587540,17.035460,17.457390,17.962430,18.333750,18.112620"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.154200,11.902610,12.468060,13.053760,13.422090,13.617320,13.723310", \ - "11.122600,11.850380,12.431480,12.996080,13.372770,13.568700,13.670620", \ - "11.093960,11.861270,12.417820,12.972090,13.345030,13.533000,13.641160", \ - "11.267300,11.983310,12.593660,13.147960,13.526780,13.723590,13.830340", \ - "11.814110,12.520060,13.080060,13.652740,14.040050,14.247490,14.362220", \ - "12.841870,13.499280,14.034980,14.586460,14.952390,15.124040,15.254440", \ - "14.090440,14.714400,15.301070,15.875400,16.316820,16.507330,16.562220"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.501840,15.470960,15.808690,16.519510,16.801860,16.981510,17.102420", \ - "14.588780,15.439400,15.822870,16.381180,17.021360,16.986070,17.091700", \ - "14.400210,15.381770,15.744900,16.325240,16.804080,16.818120,17.043800", \ - "14.510070,15.282730,15.735630,16.293750,16.925470,17.051320,16.918700", \ - "14.798390,15.723190,16.199050,16.795650,17.036680,17.535270,17.314290", \ - "15.224600,16.261960,16.710420,17.344250,18.068560,17.747330,17.944250", \ - "16.115330,17.083620,17.559330,18.286130,19.021020,19.248130,19.535290"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.574910,11.347710,11.899620,12.459600,12.815240,12.984200,13.070950", \ - "10.545940,11.282960,11.848430,12.416110,12.765470,12.942720,13.020400", \ - "10.493230,11.248650,11.828540,12.371390,12.732600,12.908270,12.989890", \ - "10.749900,11.503790,12.053890,12.593190,12.951780,13.129690,13.219630", \ - "11.278760,12.019930,12.594610,13.116650,13.493170,13.686400,13.778640", \ - "12.300270,13.023640,13.562510,14.114390,14.473550,14.611480,14.724510", \ - "13.678860,14.345110,14.875610,15.454930,15.885430,16.066140,16.092720"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.337920,14.967390,15.426570,15.756320,16.036870,16.320820,15.403310", \ - "14.316370,15.007910,15.370390,15.832160,16.033260,15.908680,16.403580", \ - "14.198570,14.902230,15.319350,15.564850,15.968240,16.173760,16.142130", \ - "14.202980,14.954270,15.196730,15.749300,15.697710,16.009550,15.122350", \ - "14.497130,15.233280,15.664540,15.878670,16.314000,16.324570,15.627430", \ - "14.939310,15.771850,16.168910,16.635480,17.127020,17.231850,17.034260", \ - "15.839590,16.587540,17.035460,17.457390,17.962430,18.333750,18.112620"); - } - } - - internal_power () { - - related_pin : "A2"; - when : "!A1 & B1 & B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.568610,11.363940,11.927810,12.440190,12.766720,12.923710,13.010250", \ - "10.522030,11.312660,11.891530,12.409730,12.715370,12.872050,12.949770", \ - "10.493990,11.324260,11.869510,12.366160,12.691580,12.846700,12.932550", \ - "10.755560,11.555770,12.066930,12.605700,12.929570,13.085220,13.172490", \ - "11.334870,12.112540,12.641260,13.144080,13.476340,13.651910,13.739060", \ - "12.414720,13.063910,13.631510,14.145870,14.478190,14.596300,14.697670", \ - "13.768750,14.396330,14.943260,15.516090,15.916630,16.082250,16.103510"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.345590,15.018720,15.413410,15.858130,16.133970,16.335380,16.297150", \ - "14.275720,14.997960,15.353310,15.821360,16.007030,16.025070,16.415120", \ - "14.202220,14.912000,15.296280,15.700420,15.952130,16.112660,16.160550", \ - "14.213430,14.912040,15.278770,15.741800,16.058250,16.078110,15.135130", \ - "14.493490,15.318480,15.666140,15.970280,16.108150,16.546520,15.622530", \ - "15.000050,15.735670,16.188340,16.652670,17.146370,17.151090,16.913950", \ - "15.809610,16.722590,17.040020,17.570380,17.878860,17.592690,18.313020"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.315650,12.013070,12.604610,13.187560,13.586420,13.802040,13.895360", \ - "11.323670,12.024180,12.583490,13.191610,13.598970,13.808740,13.920370", \ - "11.265420,11.966770,12.574390,13.138270,13.550580,13.764700,13.876860", \ - "11.252930,11.945430,12.543530,13.136200,13.547600,13.751650,13.864760", \ - "11.424490,12.164020,12.717930,13.312320,13.742890,13.955810,14.068340", \ - "12.006710,12.679570,13.277150,13.859970,14.259980,14.463110,14.580970", \ - "12.971790,13.562510,14.133350,14.741730,15.197190,15.412530,15.477570"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.640360,16.697230,17.265020,17.846860,18.614960,19.228790,19.492670", \ - "15.607560,16.706100,17.226470,17.919100,18.722870,18.526380,19.332960", \ - "15.547330,16.598420,17.175060,17.914430,18.707750,19.072260,19.207800", \ - "15.692940,16.694440,17.313010,17.965220,18.682910,18.585710,19.154510", \ - "16.338430,17.461000,17.971600,18.702020,19.210870,19.534580,19.989460", \ - "17.210720,18.548340,19.062080,19.935190,20.737430,20.926980,20.939300", \ - "18.623660,19.849720,20.488930,21.336660,22.074530,22.987770,23.121160"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.636800,11.440180,12.011780,12.564540,12.957420,13.143810,13.232170", \ - "10.679500,11.443170,12.015920,12.589420,12.970620,13.158320,13.241000", \ - "10.640210,11.398710,11.939640,12.522970,12.904450,13.104940,13.188390", \ - "10.636040,11.353760,11.963130,12.523040,12.919960,13.095060,13.191340", \ - "10.877820,11.621320,12.161130,12.739420,13.130170,13.333970,13.414840", \ - "11.514460,12.160430,12.733280,13.325380,13.707380,13.891150,13.988870", \ - "12.463600,13.067070,13.653050,14.250920,14.713150,14.910690,14.964630"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.319940,16.210630,16.665450,17.373790,17.860420,17.561550,17.943660", \ - "15.258110,16.242680,16.627720,17.373140,17.926520,18.132250,17.811920", \ - "15.308440,16.186920,16.645190,17.165290,17.442180,17.767350,17.761680", \ - "15.327450,16.330780,16.761090,17.217370,17.946250,18.154880,17.994530", \ - "16.085120,16.959070,17.430190,18.059330,18.508610,18.709350,19.000210", \ - "17.045840,18.014680,18.559190,19.246660,19.842870,19.294560,19.195840", \ - "18.282390,19.361920,19.890780,20.510440,21.276490,21.590030,21.402400"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "!A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.690140,11.426170,11.999100,12.564910,12.903350,13.078330,13.156990", \ - "10.699050,11.445980,12.025650,12.575840,12.911150,13.081750,13.165830", \ - "10.645310,11.380050,11.947480,12.520470,12.857020,13.027550,13.110890", \ - "10.574390,11.425510,11.972760,12.530650,12.865740,13.039510,13.123990", \ - "10.901740,11.614180,12.187010,12.726030,13.099760,13.273410,13.367830", \ - "11.489380,12.258740,12.784620,13.350640,13.705950,13.846630,13.947040", \ - "12.410460,13.145550,13.680460,14.300810,14.735360,14.917870,14.944780"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.337450,16.281030,16.741770,17.255930,17.799800,17.684850,17.861800", \ - "15.224880,16.234730,16.610620,17.319630,17.850910,17.907380,17.785900", \ - "15.137580,16.177240,16.673470,17.077320,17.624360,17.803300,17.633700", \ - "15.341190,16.332690,16.784680,17.411300,17.952190,18.164910,17.581540", \ - "16.045810,16.947520,17.461740,18.102150,18.643810,18.818380,18.280130", \ - "17.094710,18.098190,18.471810,19.299240,19.621330,19.794270,19.417430", \ - "18.346930,19.404030,19.887480,20.648260,21.219100,21.696030,21.179930"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.636800,11.440180,12.011780,12.564540,12.957420,13.143810,13.232170", \ - "10.679500,11.443170,12.015920,12.589420,12.970620,13.158320,13.241000", \ - "10.640210,11.398710,11.939640,12.522970,12.904450,13.104940,13.188390", \ - "10.636040,11.353760,11.963130,12.523040,12.919960,13.095060,13.191340", \ - "10.877820,11.621320,12.161130,12.739420,13.130170,13.333970,13.414840", \ - "11.514460,12.160430,12.733280,13.325380,13.707380,13.891150,13.988870", \ - "12.463600,13.067070,13.653050,14.250920,14.713150,14.910690,14.964630"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.319940,16.210630,16.665450,17.373790,17.860420,17.561550,17.943660", \ - "15.258110,16.242680,16.627720,17.373140,17.926520,18.132250,17.811920", \ - "15.308440,16.186920,16.645190,17.165290,17.442180,17.767350,17.761680", \ - "15.327450,16.330780,16.761090,17.217370,17.946250,18.154880,17.994530", \ - "16.085120,16.959070,17.430190,18.059330,18.508610,18.709350,19.000210", \ - "17.045840,18.014680,18.559190,19.246660,19.842870,19.294560,19.195840", \ - "18.282390,19.361920,19.890780,20.510440,21.276490,21.590030,21.402400"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.124190,10.859990,11.403150,11.971200,12.340220,12.505310,12.571610", \ - "10.065750,10.863910,11.411820,11.977620,12.335830,12.504460,12.575150", \ - "10.047890,10.745510,11.341120,11.888400,12.263170,12.430860,12.500380", \ - "10.007430,10.809070,11.360650,11.911220,12.272150,12.444560,12.512790", \ - "10.310050,11.058480,11.586830,12.146700,12.542220,12.718420,12.783760", \ - "10.993330,11.703040,12.239580,12.814110,13.186870,13.335870,13.412910", \ - "11.967270,12.652350,13.184490,13.804910,14.268900,14.451970,14.474610"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.089630,15.847170,16.276580,16.649830,16.984230,17.096030,17.348950", \ - "15.051790,15.802490,16.211650,16.696820,17.013100,16.905730,17.190280", \ - "15.000580,15.775950,16.173410,16.472410,16.945830,16.853760,17.063390", \ - "15.134100,15.834870,16.200590,16.698580,17.101600,16.783180,17.006510", \ - "15.808290,16.535680,16.976080,17.290870,17.506440,17.669640,18.107460", \ - "16.646040,17.571370,17.917780,18.370580,18.912720,18.971650,18.862770", \ - "18.013930,18.859360,19.267160,19.763510,20.316700,20.603760,20.030790"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & !A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.118070,10.853990,11.445520,11.969140,12.295690,12.443040,12.513760", \ - "10.119240,10.850900,11.445550,11.981520,12.312070,12.443630,12.514270", \ - "10.022770,10.805610,11.381750,11.898850,12.225920,12.382350,12.441100", \ - "10.053340,10.810150,11.384770,11.924270,12.243680,12.394810,12.462120", \ - "10.411140,11.139450,11.646280,12.160610,12.515560,12.672290,12.742870", \ - "11.005060,11.740780,12.293000,12.848730,13.194450,13.318580,13.391040", \ - "11.962290,12.684530,13.226980,13.851780,14.287960,14.476990,14.481660"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.076500,15.861900,16.243790,16.594180,16.621710,17.306060,17.363330", \ - "15.047860,15.802290,16.183270,16.655180,16.975310,17.060740,17.220280", \ - "14.973680,15.752580,16.146610,16.533500,16.896800,16.713800,17.089740", \ - "15.129890,15.919320,16.311880,16.750520,17.048920,16.844780,17.007990", \ - "15.831030,16.613320,16.994310,17.455590,17.711990,17.935140,17.817400", \ - "16.691010,17.618040,17.971140,18.513910,18.593250,18.738570,18.947180", \ - "18.076870,18.897360,19.328540,19.914620,20.094030,20.394120,19.888870"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.660090,11.376770,11.964450,12.539970,12.911560,13.081960,13.174500", \ - "10.669790,11.386900,11.972890,12.560190,12.918460,13.101920,13.184820", \ - "10.610260,11.329730,11.939330,12.493120,12.870990,13.040800,13.124630", \ - "10.641130,11.400510,11.941420,12.496050,12.875560,13.065280,13.150300", \ - "10.919450,11.644950,12.178380,12.764080,13.142440,13.330220,13.423150", \ - "11.528950,12.272110,12.798870,13.380430,13.754000,13.911060,14.012690", \ - "12.526580,13.166240,13.683560,14.319320,14.774610,14.980130,15.011870"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.658940,16.667740,17.110460,17.772290,18.225610,18.522700,18.823630", \ - "15.680120,16.597010,17.042200,17.548590,18.319870,18.242730,18.659710", \ - "15.571530,16.503050,16.981500,17.591300,18.237450,18.346340,18.538030", \ - "15.773700,16.623810,17.182530,17.649790,18.338330,18.330410,18.483430", \ - "16.360400,17.367170,17.689060,18.327600,18.901400,18.974440,19.257090", \ - "17.370260,18.321780,18.943650,19.522270,20.151050,20.415090,20.090300", \ - "18.687210,19.749870,20.252350,20.902380,21.798860,21.688370,22.160570"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.112860,10.845090,11.402480,11.950560,12.305580,12.456220,12.523450", \ - "10.116490,10.848400,11.423150,11.954670,12.305190,12.455710,12.527340", \ - "10.036670,10.775330,11.343680,11.876960,12.228210,12.384000,12.449930", \ - "10.062130,10.811600,11.383890,11.910270,12.260710,12.424430,12.489710", \ - "10.350320,11.097030,11.644140,12.175130,12.549090,12.717590,12.796220", \ - "11.037640,11.751270,12.300180,12.869070,13.230380,13.358310,13.448730", \ - "12.145000,12.704350,13.231760,13.886220,14.329670,14.528970,14.532450"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.447940,16.234760,16.639230,17.090150,17.228760,17.019240,17.604930", \ - "15.385140,16.211400,16.470930,16.910760,17.256990,17.098130,16.940630", \ - "15.355490,16.087160,16.526860,17.000840,17.345400,17.464610,16.811240", \ - "15.484280,16.273240,16.667400,17.116280,17.278340,17.528050,17.447730", \ - "16.151730,16.844460,17.308200,17.755230,18.123120,17.970890,17.745560", \ - "17.003060,17.938050,18.282770,18.681090,19.140690,19.313370,18.971350", \ - "18.349780,19.246510,19.570400,20.188650,20.686150,20.219710,20.684540"); - } - } - - internal_power () { - - related_pin : "B1"; - when : "A1 & A2 & !B2 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.106520,10.894880,11.459340,11.959820,12.280460,12.406750,12.472370", \ - "10.094820,10.919090,11.454950,11.955390,12.280230,12.411470,12.474360", \ - "10.036110,10.834100,11.388520,11.882700,12.204370,12.336080,12.406300", \ - "10.079800,10.841780,11.409330,11.921260,12.240610,12.379210,12.447480", \ - "10.367420,11.133520,11.691850,12.201580,12.538160,12.684800,12.753590", \ - "11.148560,11.824810,12.347350,12.911480,13.245870,13.348640,13.419280", \ - "12.056760,12.755290,13.305380,13.933550,14.368440,14.533540,14.554330"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.443360,16.201290,16.602430,17.027510,17.225670,17.456860,17.116210", \ - "15.379790,16.169430,16.551790,17.053610,17.413350,16.881460,17.570250", \ - "15.327960,16.115390,16.500690,16.953100,17.067980,17.581360,16.839690", \ - "15.482880,16.222820,16.655090,17.111680,17.252750,17.440280,17.179580", \ - "16.167550,16.876090,17.343710,17.561670,18.114730,17.608180,18.530530", \ - "17.050080,17.929160,18.404150,18.929870,19.347130,19.207700,19.635290", \ - "18.398540,19.307910,19.717790,20.277530,20.828520,20.980830,20.249710"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.826840,12.545570,13.080580,13.686640,14.125440,14.359200,14.492040", \ - "11.706640,12.447530,13.046230,13.639990,14.079620,14.310450,14.440500", \ - "11.734190,12.392330,13.014770,13.596580,14.036820,14.269050,14.398450", \ - "11.703520,12.413330,13.016740,13.624640,14.044410,14.275210,14.406960", \ - "11.924980,12.613610,13.185910,13.798030,14.243140,14.480390,14.606830", \ - "12.535590,13.142860,13.733790,14.298590,14.737400,14.975910,15.110490", \ - "13.340750,14.001750,14.557190,15.166980,15.621410,15.842280,15.944010"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.425580,17.510260,18.046530,18.656870,19.544070,19.505880,19.433380", \ - "16.400990,17.445520,18.052270,18.626880,19.519180,19.150780,20.230170", \ - "16.324320,17.428790,17.832540,18.538750,19.449330,19.807490,19.956560", \ - "16.338560,17.358560,17.937450,18.690830,19.434770,19.252400,19.061460", \ - "16.615220,17.670690,18.261390,18.888290,19.679250,19.941540,20.444810", \ - "17.100360,18.280760,18.782270,19.656230,20.515090,20.813720,20.627520", \ - "17.858700,19.119000,19.583060,20.456600,21.194570,21.722990,21.756680"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.145860,11.916940,12.518910,13.081490,13.501870,13.713090,13.819810", \ - "11.096860,11.902350,12.447100,13.044350,13.447940,13.658730,13.770910", \ - "11.067940,11.834060,12.402190,13.003070,13.398750,13.609220,13.717770", \ - "11.158070,11.842090,12.420160,13.003010,13.419980,13.629390,13.732110", \ - "11.317660,12.062280,12.626620,13.226460,13.643270,13.864780,13.970690", \ - "11.927780,12.653910,13.183200,13.762200,14.172370,14.380080,14.504610", \ - "12.850870,13.494190,14.055460,14.653830,15.104000,15.310810,15.380510"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.134000,17.056180,17.473950,18.086230,18.800030,18.859430,18.804040", \ - "16.080620,17.066920,17.528510,18.160770,18.605050,19.029160,19.039800", \ - "16.091140,16.965940,17.440770,17.899860,18.527760,18.217280,18.558050", \ - "15.941960,16.948080,17.432490,17.987500,18.540990,18.778030,18.491510", \ - "16.315260,17.298380,17.680150,18.239120,18.736440,19.115000,18.759770", \ - "16.766720,17.746840,18.327210,18.877300,19.389680,19.956910,18.869230", \ - "17.475600,18.653460,19.158930,19.702370,20.470190,20.603610,20.006560"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "!A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.166340,11.929610,12.506990,13.055390,13.426550,13.627080,13.724660", \ - "11.130810,11.875190,12.463060,13.017890,13.379900,13.574890,13.678650", \ - "11.071950,11.838050,12.416780,12.974230,13.330100,13.526380,13.628450", \ - "11.116090,11.839290,12.447660,12.991690,13.369440,13.557750,13.660410", \ - "11.333720,12.051240,12.629030,13.201200,13.601000,13.785280,13.898820", \ - "11.908580,12.621560,13.224180,13.775720,14.141270,14.305280,14.431480", \ - "12.831110,13.524580,14.085090,14.654290,15.089390,15.268210,15.323000"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.152430,17.044590,17.526400,18.088160,18.550820,18.930370,18.818990", \ - "16.090610,17.007190,17.467750,17.917570,18.715730,18.888020,18.606780", \ - "15.965070,16.934270,17.421350,17.973750,18.639080,18.846150,18.550300", \ - "15.963590,16.924320,17.448710,18.046400,18.505720,18.889190,18.507160", \ - "16.278050,17.288120,17.729550,18.341710,18.891610,19.153020,18.680000", \ - "16.814120,17.804430,18.344490,18.899730,19.438260,19.996030,20.167480", \ - "17.643860,18.679530,19.171600,19.932600,20.645990,20.705100,21.216800"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.145860,11.916940,12.518910,13.081490,13.501870,13.713090,13.819810", \ - "11.096860,11.902350,12.447100,13.044350,13.447940,13.658730,13.770910", \ - "11.067940,11.834060,12.402190,13.003070,13.398750,13.609220,13.717770", \ - "11.158070,11.842090,12.420160,13.003010,13.419980,13.629390,13.732110", \ - "11.317660,12.062280,12.626620,13.226460,13.643270,13.864780,13.970690", \ - "11.927780,12.653910,13.183200,13.762200,14.172370,14.380080,14.504610", \ - "12.850870,13.494190,14.055460,14.653830,15.104000,15.310810,15.380510"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.134000,17.056180,17.473950,18.086230,18.800030,18.859430,18.804040", \ - "16.080620,17.066920,17.528510,18.160770,18.605050,19.029160,19.039800", \ - "16.091140,16.965940,17.440770,17.899860,18.527760,18.217280,18.558050", \ - "15.941960,16.948080,17.432490,17.987500,18.540990,18.778030,18.491510", \ - "16.315260,17.298380,17.680150,18.239120,18.736440,19.115000,18.759770", \ - "16.766720,17.746840,18.327210,18.877300,19.389680,19.956910,18.869230", \ - "17.475600,18.653460,19.158930,19.702370,20.470190,20.603610,20.006560"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.590030,11.310990,11.929560,12.495370,12.871980,13.058630,13.146290", \ - "10.516700,11.265110,11.876600,12.439980,12.820910,13.015130,13.090550", \ - "10.519530,11.207490,11.823040,12.381650,12.768920,12.961750,13.037000", \ - "10.485070,11.268980,11.847710,12.398460,12.807570,12.982800,13.080770", \ - "10.844440,11.475240,12.060880,12.634610,13.040310,13.246710,13.335300", \ - "11.425650,12.106040,12.654400,13.247610,13.628600,13.802160,13.911200", \ - "12.375550,12.998640,13.568310,14.176250,14.611680,14.802270,14.850870"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.874110,16.658240,16.938120,17.262550,17.591960,17.768490,17.481600", \ - "15.850520,16.583780,17.014390,17.436340,17.765090,17.714560,18.086360", \ - "15.786440,16.557900,16.940080,17.370970,17.700640,17.802390,17.812380", \ - "15.758640,16.538920,16.923570,17.170920,17.782800,17.458450,18.116550", \ - "16.069890,16.867370,17.239420,17.682800,18.016940,18.090300,18.316330", \ - "16.395220,17.331390,17.643640,18.218750,18.718720,18.564070,18.524810", \ - "17.201410,18.139570,18.494980,19.118350,19.714280,19.988460,19.905470"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & !A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.606450,11.350580,11.924980,12.471520,12.818310,12.987080,13.071730", \ - "10.554670,11.336580,11.878040,12.410230,12.772000,12.936970,13.022000", \ - "10.507110,11.255310,11.824610,12.369720,12.715220,12.883620,12.969150", \ - "10.480640,11.282030,11.867560,12.412300,12.754680,12.928240,13.015150", \ - "10.844370,11.540890,12.076380,12.639670,12.997960,13.181430,13.276540", \ - "11.486230,12.116430,12.705570,13.252680,13.607170,13.745640,13.852610", \ - "12.350440,13.066250,13.602050,14.194210,14.603100,14.774870,14.798650"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("15.860080,16.621010,17.023500,17.465380,17.780090,17.854220,17.722140", \ - "15.830360,16.614760,16.995820,17.386270,17.755160,17.816500,18.115930", \ - "15.752580,16.466970,16.912860,17.410420,17.731390,17.962770,17.839390", \ - "15.746520,16.541030,16.916040,17.400750,17.700680,17.583120,18.131490", \ - "16.034710,16.810370,17.242270,17.594690,17.992590,17.777370,18.314190", \ - "16.541020,17.322410,17.812460,18.167190,18.525560,18.644060,18.574110", \ - "17.299100,18.187450,18.550090,18.962710,19.744290,19.986160,19.881680"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & !C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.156290,11.884470,12.479390,13.048670,13.439160,13.637120,13.737440", \ - "11.078540,11.868730,12.406760,12.999310,13.395970,13.584130,13.692330", \ - "11.003950,11.784210,12.376020,12.945300,13.338980,13.531670,13.645090", \ - "11.058860,11.799950,12.418700,12.988370,13.375240,13.576340,13.677700", \ - "11.333710,12.094030,12.661290,13.239510,13.637860,13.848300,13.961450", \ - "12.040300,12.682810,13.254510,13.819760,14.209870,14.399610,14.522020", \ - "12.919580,13.573250,14.103190,14.727400,15.164570,15.360980,15.436870"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.478700,17.358480,17.890550,18.453510,19.118500,19.217050,18.785280", \ - "16.443480,17.396930,17.814210,18.314340,19.063540,18.522420,19.553880", \ - "16.326870,17.356520,17.833100,18.410920,19.034860,19.079780,19.287740", \ - "16.340010,17.317450,17.726900,18.358100,19.021490,19.343960,18.561670", \ - "16.617020,17.567130,18.107090,18.596140,19.065310,19.322520,19.732150", \ - "17.156880,18.130610,18.576290,19.348670,20.001890,20.032920,20.311810", \ - "17.783030,18.952640,19.532110,20.091950,20.598350,21.395030,20.842730"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.575070,11.291180,11.906130,12.440220,12.819670,13.008330,13.088570", \ - "10.546680,11.241770,11.835340,12.395630,12.779660,12.946490,13.036010", \ - "10.467860,11.193520,11.800380,12.348710,12.718010,12.900170,12.987670", \ - "10.491810,11.263440,11.844280,12.401820,12.766680,12.955720,13.041120", \ - "10.794360,11.554250,12.087940,12.663710,13.051340,13.240680,13.338100", \ - "11.479020,12.137260,12.714830,13.293270,13.666330,13.830970,13.934270", \ - "12.432270,13.087960,13.654970,14.243440,14.686340,14.859440,14.907140"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.237850,17.021410,17.402150,17.763760,18.045610,17.641000,18.180160", \ - "16.234330,16.988330,17.399220,17.874670,18.213170,18.320770,18.410100", \ - "16.159630,16.918250,17.303430,17.553790,18.154560,18.152600,17.561170", \ - "16.063770,16.875820,17.154850,17.780770,17.880840,18.366390,17.870230", \ - "16.416920,17.141970,17.540850,18.076920,18.413450,18.520340,18.014110", \ - "16.776120,17.723600,18.042760,18.656800,19.019200,19.279560,19.457490", \ - "17.597490,18.512090,18.959740,19.466760,20.008140,19.923500,20.479820"); - } - } - - internal_power () { - - related_pin : "B2"; - when : "A1 & A2 & !B1 & C1 & C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.567430,11.334810,11.919590,12.433710,12.773810,12.929430,13.015240", \ - "10.529690,11.306730,11.849740,12.394410,12.719980,12.882730,12.965070", \ - "10.459590,11.220570,11.810070,12.353180,12.668250,12.826560,12.912450", \ - "10.539150,11.281890,11.869370,12.403270,12.732440,12.898090,12.979970", \ - "10.861370,11.623550,12.144630,12.660410,13.005040,13.184310,13.272590", \ - "11.539200,12.196270,12.744850,13.293120,13.641900,13.775740,13.875710", \ - "12.410780,13.116830,13.677070,14.276750,14.673250,14.825390,14.856760"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.232580,16.991060,17.368300,17.873450,18.197070,17.844000,18.194910", \ - "16.188780,16.955030,17.303820,17.747380,17.963490,18.455120,17.861470", \ - "16.094390,16.878820,17.301550,17.732230,17.894390,17.771230,17.589630", \ - "16.095620,16.890610,17.266950,17.759870,17.861980,18.340740,17.885730", \ - "16.416630,17.151100,17.541460,17.880660,18.405530,18.585190,18.012020", \ - "16.784330,17.685300,18.176770,18.693320,18.745360,19.115200,19.410680", \ - "17.686620,18.570080,18.900320,19.373560,20.121560,20.160230,20.338710"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.233330,12.009610,12.605980,13.177080,13.585760,13.795590,13.907820", \ - "11.291450,12.015690,12.588500,13.202740,13.599340,13.809500,13.921710", \ - "11.242380,12.003900,12.540600,13.132340,13.547950,13.760670,13.873850", \ - "11.208880,11.911270,12.503710,13.100950,13.519410,13.725430,13.828730", \ - "11.283710,12.045570,12.592990,13.166790,13.594140,13.813740,13.919480", \ - "11.786250,12.422540,12.975550,13.573510,13.984800,14.183090,14.292630", \ - "12.436910,13.112680,13.682060,14.325900,14.799200,15.023550,15.084490"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.938850,18.003520,18.630720,19.260880,20.250460,20.094160,20.409200", \ - "16.724890,17.980580,18.576900,19.261780,20.234780,20.632900,19.952160", \ - "16.851510,17.963450,18.545940,19.344230,20.182810,20.535360,19.820710", \ - "16.966530,18.071470,18.650550,19.395460,20.251120,20.668050,19.768740", \ - "17.517980,18.545300,19.211600,19.906930,20.755170,21.271890,20.460690", \ - "18.481160,19.790740,20.401830,21.194190,21.794020,22.238960,22.669260", \ - "19.956280,21.136610,21.756470,22.621650,23.556270,24.342310,23.630590"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.678970,11.396760,12.007880,12.580650,12.958860,13.148340,13.234510", \ - "10.721640,11.404990,11.996000,12.587440,12.965220,13.155950,13.243090", \ - "10.624350,11.352550,11.957710,12.531300,12.901820,13.101020,13.180340", \ - "10.557340,11.334450,11.915730,12.477200,12.861490,13.058240,13.140900", \ - "10.734760,11.454890,12.009460,12.572110,12.964260,13.154780,13.252950", \ - "11.225800,11.850890,12.448520,13.011470,13.421300,13.576890,13.675850", \ - "11.988200,12.597120,13.191750,13.806070,14.298750,14.505690,14.542100"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.591660,17.632150,18.116370,18.716340,19.254000,19.806390,19.665170", \ - "16.567820,17.483630,18.059740,18.709660,19.388570,19.649300,19.522300", \ - "16.422710,17.424560,18.017570,18.611950,19.084840,19.583840,19.392430", \ - "16.656960,17.560080,18.134660,18.722270,19.448120,19.080050,19.341640", \ - "17.198700,18.140630,18.673030,19.348470,19.995530,20.305710,19.952500", \ - "18.221120,19.300100,19.856720,20.479000,21.153640,20.776170,21.671410", \ - "19.594760,20.707390,21.233720,21.878210,22.755540,22.658290,23.242970"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "!A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.684140,11.423910,12.007580,12.544480,12.902680,13.081880,13.162510", \ - "10.691960,11.434850,12.008580,12.547880,12.909370,13.081230,13.168130", \ - "10.631600,11.372940,11.949150,12.487130,12.865430,13.033340,13.109040", \ - "10.606670,11.337650,11.913070,12.454960,12.812180,12.992640,13.075860", \ - "10.727180,11.446140,12.032250,12.577210,12.934940,13.118310,13.205060", \ - "11.262150,11.966030,12.508700,13.054360,13.433170,13.572900,13.654620", \ - "12.001130,12.657660,13.255320,13.889600,14.332330,14.530900,14.558960"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.868900,17.876500,18.368610,19.065410,19.233580,20.109680,19.472670", \ - "16.882330,17.855310,18.314030,19.001040,19.612800,19.864730,19.328410", \ - "16.744990,17.717870,18.281960,18.957060,19.582470,19.320590,19.400290", \ - "16.867300,17.825220,18.400430,19.091940,19.656820,19.748120,19.561570", \ - "17.438440,18.390100,18.968060,19.585030,19.884050,20.469920,20.060870", \ - "18.404840,19.617110,20.068940,20.802890,21.452680,21.672560,21.307840", \ - "19.806050,21.008790,21.414330,22.159010,23.026450,22.989120,22.755100"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.678970,11.396760,12.007880,12.580650,12.958860,13.148340,13.234510", \ - "10.721640,11.404990,11.996000,12.587440,12.965220,13.155950,13.243090", \ - "10.624350,11.352550,11.957710,12.531300,12.901820,13.101020,13.180340", \ - "10.557340,11.334450,11.915730,12.477200,12.861490,13.058240,13.140900", \ - "10.734760,11.454890,12.009460,12.572110,12.964260,13.154780,13.252950", \ - "11.225800,11.850890,12.448520,13.011470,13.421300,13.576890,13.675850", \ - "11.988200,12.597120,13.191750,13.806070,14.298750,14.505690,14.542100"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.591660,17.632150,18.116370,18.716340,19.254000,19.806390,19.665170", \ - "16.567820,17.483630,18.059740,18.709660,19.388570,19.649300,19.522300", \ - "16.422710,17.424560,18.017570,18.611950,19.084840,19.583840,19.392430", \ - "16.656960,17.560080,18.134660,18.722270,19.448120,19.080050,19.341640", \ - "17.198700,18.140630,18.673030,19.348470,19.995530,20.305710,19.952500", \ - "18.221120,19.300100,19.856720,20.479000,21.153640,20.776170,21.671410", \ - "19.594760,20.707390,21.233720,21.878210,22.755540,22.658290,23.242970"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.123980,10.830300,11.407840,11.975630,12.334540,12.499620,12.573890", \ - "10.137960,10.870270,11.405510,11.977670,12.336790,12.499520,12.575610", \ - "10.044630,10.741680,11.327430,11.902950,12.259880,12.426760,12.490120", \ - "10.000500,10.704840,11.304550,11.861990,12.219050,12.387770,12.452960", \ - "10.184110,10.859640,11.403960,11.957700,12.338600,12.510750,12.588280", \ - "10.635140,11.332770,11.907230,12.473900,12.863660,12.998080,13.073900", \ - "11.465500,12.141500,12.709710,13.342760,13.803230,14.018810,14.026260"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.370870,17.185000,17.588110,17.846320,18.526390,18.805340,18.897820", \ - "16.262910,17.128700,17.493870,17.913870,18.372590,18.402570,18.637290", \ - "16.290940,16.994990,17.486600,17.990600,18.374810,18.703290,18.689490", \ - "16.343720,17.119840,17.574560,18.045550,18.176710,18.422090,18.955630", \ - "16.956070,17.739900,18.071580,18.558590,19.048880,18.986480,19.562910", \ - "17.946990,18.861790,19.223720,19.641620,19.914910,19.684190,19.971160", \ - "19.248660,20.198740,20.567060,21.174080,21.522300,21.768590,21.555120"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & !A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.109640,10.850120,11.446340,11.975730,12.300590,12.443720,12.512700", \ - "10.096670,10.849820,11.430410,11.973200,12.299090,12.450860,12.515000", \ - "10.015050,10.779560,11.364500,11.881040,12.220920,12.372120,12.439580", \ - "10.004360,10.760390,11.300030,11.854860,12.179140,12.339140,12.399620", \ - "10.172210,10.881820,11.458090,11.991290,12.336200,12.487060,12.560930", \ - "10.746130,11.408190,11.971030,12.531440,12.881170,12.998620,13.075860", \ - "11.505140,12.187990,12.748950,13.399450,13.851130,14.030790,14.049770"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.615070,17.362110,17.879310,18.233700,18.621680,18.780720,19.089490", \ - "16.591770,17.311060,17.823340,18.295980,18.376420,18.908120,18.946720", \ - "16.547340,17.315600,17.775750,18.063430,18.455060,18.782820,18.821540", \ - "16.620910,17.381970,17.816790,18.212560,18.810940,18.835880,18.768730", \ - "17.149950,17.958780,18.312080,18.889650,19.289220,19.066000,19.333850", \ - "18.178930,19.060700,19.561320,19.998430,20.271700,20.707880,20.944270", \ - "19.536070,20.446060,20.892070,21.285960,21.891580,21.752870,22.410150"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & !B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.684140,11.423910,12.007580,12.544480,12.902680,13.081880,13.162510", \ - "10.691960,11.434850,12.008580,12.547880,12.909370,13.081230,13.168130", \ - "10.631600,11.372940,11.949150,12.487130,12.865430,13.033340,13.109040", \ - "10.606670,11.337650,11.913070,12.454960,12.812180,12.992640,13.075860", \ - "10.727180,11.446140,12.032250,12.577210,12.934940,13.118310,13.205060", \ - "11.262150,11.966030,12.508700,13.054360,13.433170,13.572900,13.654620", \ - "12.001130,12.657660,13.255320,13.889600,14.332330,14.530900,14.558960"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.868900,17.876500,18.368610,19.065410,19.233580,20.109680,19.472670", \ - "16.882330,17.855310,18.314030,19.001040,19.612800,19.864730,19.328410", \ - "16.744990,17.717870,18.281960,18.957060,19.582470,19.320590,19.400290", \ - "16.867300,17.825220,18.400430,19.091940,19.656820,19.748120,19.561570", \ - "17.438440,18.390100,18.968060,19.585030,19.884050,20.469920,20.060870", \ - "18.404840,19.617110,20.068940,20.802890,21.452680,21.672560,21.307840", \ - "19.806050,21.008790,21.414330,22.159010,23.026450,22.989120,22.755100"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & !B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.109640,10.850120,11.446340,11.975730,12.300590,12.443720,12.512700", \ - "10.096670,10.849820,11.430410,11.973200,12.299090,12.450860,12.515000", \ - "10.015050,10.779560,11.364500,11.881040,12.220920,12.372120,12.439580", \ - "10.004360,10.760390,11.300030,11.854860,12.179140,12.339140,12.399620", \ - "10.172210,10.881820,11.458090,11.991290,12.336200,12.487060,12.560930", \ - "10.746130,11.408190,11.971030,12.531440,12.881170,12.998620,13.075860", \ - "11.505140,12.187990,12.748950,13.399450,13.851130,14.030790,14.049770"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("16.615070,17.362110,17.879310,18.233700,18.621680,18.780720,19.089490", \ - "16.591770,17.311060,17.823340,18.295980,18.376420,18.908120,18.946720", \ - "16.547340,17.315600,17.775750,18.063430,18.455060,18.782820,18.821540", \ - "16.620910,17.381970,17.816790,18.212560,18.810940,18.835880,18.768730", \ - "17.149950,17.958780,18.312080,18.889650,19.289220,19.066000,19.333850", \ - "18.178930,19.060700,19.561320,19.998430,20.271700,20.707880,20.944270", \ - "19.536070,20.446060,20.892070,21.285960,21.891580,21.752870,22.410150"); - } - } - - internal_power () { - - related_pin : "C1"; - when : "A1 & A2 & B1 & B2 & !C2"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.097680,10.851710,11.420120,11.950570,12.268460,12.406800,12.474070", \ - "10.086870,10.898820,11.425460,11.958670,12.280360,12.419040,12.476710", \ - "10.009680,10.814510,11.340570,11.884620,12.194660,12.331810,12.398740", \ - "9.995383,10.754480,11.319370,11.838900,12.157910,12.302220,12.373220", \ - "10.154820,10.912790,11.475660,12.004520,12.342280,12.493270,12.554260", \ - "10.788480,11.441800,12.031700,12.560710,12.913140,13.026190,13.090510", \ - "11.552210,12.219820,12.822380,13.454290,13.892630,14.086670,14.098960"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.065350,17.775050,18.229580,18.743600,19.225150,18.730310,18.729790", \ - "17.002920,17.746430,18.145960,18.667880,19.173900,18.784110,18.706590", \ - "16.942440,17.767960,18.131160,18.466830,19.038580,19.290900,19.211940", \ - "17.086260,17.808050,18.234690,18.789090,19.178890,19.326740,19.740750", \ - "17.637670,18.385320,18.724680,19.257680,19.788240,20.010770,20.275550", \ - "18.612460,19.546980,19.897170,20.359240,20.588430,21.200250,20.639160", \ - "19.966290,20.923430,21.233160,21.787490,22.192310,22.416390,22.435350"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.826740,12.520770,13.114350,13.688340,14.129550,14.363730,14.494450", \ - "11.749950,12.444870,13.064900,13.661530,14.081870,14.310910,14.444740", \ - "11.676870,12.421210,13.020400,13.593220,14.032920,14.264360,14.399060", \ - "11.683460,12.432850,12.989350,13.604010,14.024960,14.258470,14.388380", \ - "11.834410,12.518710,13.071770,13.684310,14.123470,14.357160,14.490440", \ - "12.178050,12.849620,13.457560,14.037370,14.459770,14.673750,14.812610", \ - "12.868430,13.534470,14.117200,14.726010,15.202460,15.426600,15.505040"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.704850,18.825880,19.306470,20.142910,21.033590,21.513090,21.539520", \ - "17.681940,18.781980,19.301080,20.019190,20.988510,20.731840,21.074230", \ - "17.643690,18.719590,19.334850,19.932930,20.941570,20.886560,20.865580", \ - "17.630330,18.729130,19.230290,20.124830,20.915370,21.395470,20.838560", \ - "17.876410,18.942070,19.586220,20.321420,21.168780,21.591780,21.053390", \ - "18.274290,19.595110,20.212020,20.997550,21.748980,22.191700,22.069360", \ - "19.175670,20.431020,20.919170,21.900690,22.717470,23.260960,23.341220"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.177850,11.930020,12.517920,13.096290,13.496510,13.705150,13.812180", \ - "11.116320,11.878480,12.467540,13.031880,13.447520,13.655740,13.771060", \ - "11.068110,11.821050,12.419200,12.987200,13.399030,13.609720,13.722920", \ - "11.087750,11.805120,12.405590,12.971810,13.386580,13.607480,13.711020", \ - "11.207980,11.924530,12.480550,13.068930,13.492480,13.707100,13.814610", \ - "11.683400,12.320660,12.888420,13.477000,13.878750,14.065400,14.187430", \ - "12.340270,13.047470,13.588430,14.193000,14.655820,14.873350,14.924090"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.379050,18.393760,18.860120,19.496400,20.096660,20.348070,20.747390", \ - "17.349330,18.366240,18.771260,19.425250,20.079260,20.020780,20.428500", \ - "17.302180,18.318880,18.720740,19.336800,20.063930,20.446110,20.127010", \ - "17.289110,18.320460,18.774370,19.461610,20.030590,19.928060,20.519940", \ - "17.546010,18.490410,18.975990,19.698280,20.282020,20.500330,20.423710", \ - "18.064840,19.069690,19.663340,20.379820,20.886200,20.848540,21.562220", \ - "18.739260,19.958050,20.339490,21.145250,22.047720,22.129230,22.262440"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "!A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.153070,11.919250,12.500470,13.045700,13.431670,13.615830,13.723720", \ - "11.114890,11.871010,12.451960,12.992930,13.377020,13.571160,13.680400", \ - "11.076720,11.793330,12.402740,12.960470,13.326560,13.521390,13.631590", \ - "11.074800,11.838790,12.396170,12.955200,13.328780,13.519170,13.627100", \ - "11.188370,11.908710,12.494720,13.068500,13.456810,13.657310,13.761890", \ - "11.611660,12.391950,12.911830,13.503270,13.870750,14.033430,14.160950", \ - "12.360460,13.059210,13.636300,14.242110,14.676190,14.872590,14.916250"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.628000,18.693820,19.159620,19.800820,20.007020,20.871430,20.559900", \ - "17.617280,18.637670,19.060460,19.792420,20.197010,20.453830,20.233280", \ - "17.536890,18.523600,19.056390,19.552300,20.374120,20.700280,20.158100", \ - "17.610090,18.584440,18.997390,19.599030,20.393790,20.535220,20.218700", \ - "17.793070,18.833460,19.323430,19.972360,20.609940,20.976330,20.628580", \ - "18.345160,19.355540,19.942540,20.637830,21.270420,20.899180,21.257810", \ - "19.134960,20.242570,20.691720,21.325860,22.080150,22.418210,22.558680"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.177850,11.930020,12.517920,13.096290,13.496510,13.705150,13.812180", \ - "11.116320,11.878480,12.467540,13.031880,13.447520,13.655740,13.771060", \ - "11.068110,11.821050,12.419200,12.987200,13.399030,13.609720,13.722920", \ - "11.087750,11.805120,12.405590,12.971810,13.386580,13.607480,13.711020", \ - "11.207980,11.924530,12.480550,13.068930,13.492480,13.707100,13.814610", \ - "11.683400,12.320660,12.888420,13.477000,13.878750,14.065400,14.187430", \ - "12.340270,13.047470,13.588430,14.193000,14.655820,14.873350,14.924090"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.379050,18.393760,18.860120,19.496400,20.096660,20.348070,20.747390", \ - "17.349330,18.366240,18.771260,19.425250,20.079260,20.020780,20.428500", \ - "17.302180,18.318880,18.720740,19.336800,20.063930,20.446110,20.127010", \ - "17.289110,18.320460,18.774370,19.461610,20.030590,19.928060,20.519940", \ - "17.546010,18.490410,18.975990,19.698280,20.282020,20.500330,20.423710", \ - "18.064840,19.069690,19.663340,20.379820,20.886200,20.848540,21.562220", \ - "18.739260,19.958050,20.339490,21.145250,22.047720,22.129230,22.262440"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.621250,11.315060,11.925000,12.494820,12.871090,13.062680,13.151030", \ - "10.535700,11.309370,11.855140,12.435220,12.817100,13.015670,13.100770", \ - "10.520640,11.207720,11.791760,12.382590,12.764790,12.957130,13.041510", \ - "10.441900,11.247420,11.806810,12.380080,12.758720,12.942250,13.033400", \ - "10.670360,11.346330,11.910900,12.464930,12.876420,13.070670,13.161570", \ - "11.118550,11.755920,12.341890,12.902040,13.298970,13.458960,13.562230", \ - "11.851100,12.514880,13.086460,13.691420,14.131210,14.328910,14.368550"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.150290,17.865060,18.273370,18.877070,19.257480,19.165780,19.123070", \ - "17.100270,17.869610,18.322580,18.763260,19.259130,19.283070,19.295340", \ - "17.058360,17.772680,18.235350,18.667000,19.118130,19.507090,19.346220", \ - "17.033290,17.816450,18.197850,18.739040,19.114890,19.270070,19.382600", \ - "17.333990,18.095430,18.492210,18.789760,19.446000,19.682880,18.984020", \ - "17.756620,18.617040,19.089120,19.674470,20.073720,20.223690,19.856000", \ - "18.565430,19.461510,19.821730,20.488940,21.031470,21.221170,20.553440"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & !A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.596540,11.340970,11.913110,12.460180,12.818350,12.989790,13.073020", \ - "10.549130,11.290570,11.865880,12.402610,12.768490,12.936480,13.023420", \ - "10.472550,11.250840,11.808440,12.347330,12.711050,12.885010,12.968380", \ - "10.490290,11.242500,11.810680,12.361210,12.705920,12.879140,12.960840", \ - "10.615960,11.341550,11.936030,12.468820,12.841820,13.021830,13.119410", \ - "11.078310,11.854850,12.387740,12.944940,13.308190,13.450660,13.548800", \ - "11.866300,12.598390,13.128410,13.746190,14.166890,14.341840,14.361280"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.421150,18.142010,18.593090,18.908890,19.255360,19.692600,19.445150", \ - "17.382820,18.105020,18.617750,19.057790,19.440690,19.503680,19.849830", \ - "17.339070,18.048820,18.509920,18.965470,19.349600,19.566180,19.577190", \ - "17.257260,18.059510,18.520660,19.032400,19.415380,19.682160,19.834680", \ - "17.597440,18.363390,18.785870,19.318760,19.393280,19.627170,19.830270", \ - "18.011550,18.903770,19.325070,19.834300,20.350980,20.682680,20.885580", \ - "18.826360,19.701260,20.188370,20.634810,21.401330,21.561080,21.482710"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & !B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.153070,11.919250,12.500470,13.045700,13.431670,13.615830,13.723720", \ - "11.114890,11.871010,12.451960,12.992930,13.377020,13.571160,13.680400", \ - "11.076720,11.793330,12.402740,12.960470,13.326560,13.521390,13.631590", \ - "11.074800,11.838790,12.396170,12.955200,13.328780,13.519170,13.627100", \ - "11.188370,11.908710,12.494720,13.068500,13.456810,13.657310,13.761890", \ - "11.611660,12.391950,12.911830,13.503270,13.870750,14.033430,14.160950", \ - "12.360460,13.059210,13.636300,14.242110,14.676190,14.872590,14.916250"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.628000,18.693820,19.159620,19.800820,20.007020,20.871430,20.559900", \ - "17.617280,18.637670,19.060460,19.792420,20.197010,20.453830,20.233280", \ - "17.536890,18.523600,19.056390,19.552300,20.374120,20.700280,20.158100", \ - "17.610090,18.584440,18.997390,19.599030,20.393790,20.535220,20.218700", \ - "17.793070,18.833460,19.323430,19.972360,20.609940,20.976330,20.628580", \ - "18.345160,19.355540,19.942540,20.637830,21.270420,20.899180,21.257810", \ - "19.134960,20.242570,20.691720,21.325860,22.080150,22.418210,22.558680"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & !B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.596540,11.340970,11.913110,12.460180,12.818350,12.989790,13.073020", \ - "10.549130,11.290570,11.865880,12.402610,12.768490,12.936480,13.023420", \ - "10.472550,11.250840,11.808440,12.347330,12.711050,12.885010,12.968380", \ - "10.490290,11.242500,11.810680,12.361210,12.705920,12.879140,12.960840", \ - "10.615960,11.341550,11.936030,12.468820,12.841820,13.021830,13.119410", \ - "11.078310,11.854850,12.387740,12.944940,13.308190,13.450660,13.548800", \ - "11.866300,12.598390,13.128410,13.746190,14.166890,14.341840,14.361280"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.421150,18.142010,18.593090,18.908890,19.255360,19.692600,19.445150", \ - "17.382820,18.105020,18.617750,19.057790,19.440690,19.503680,19.849830", \ - "17.339070,18.048820,18.509920,18.965470,19.349600,19.566180,19.577190", \ - "17.257260,18.059510,18.520660,19.032400,19.415380,19.682160,19.834680", \ - "17.597440,18.363390,18.785870,19.318760,19.393280,19.627170,19.830270", \ - "18.011550,18.903770,19.325070,19.834300,20.350980,20.682680,20.885580", \ - "18.826360,19.701260,20.188370,20.634810,21.401330,21.561080,21.482710"); - } - } - - internal_power () { - - related_pin : "C2"; - when : "A1 & A2 & B1 & B2 & !C1"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.544640,11.304580,11.908760,12.425110,12.783330,12.931990,13.015920", \ - "10.504380,11.258080,11.851580,12.376860,12.727090,12.882790,12.967010", \ - "10.456020,11.222800,11.805870,12.343100,12.666750,12.828570,12.911500", \ - "10.463270,11.210020,11.801490,12.339680,12.676830,12.833220,12.921330", \ - "10.650020,11.427310,11.941850,12.483570,12.835140,13.008160,13.099260", \ - "11.197730,11.856370,12.423060,12.981570,13.329830,13.461010,13.561510", \ - "11.975990,12.644600,13.199080,13.797670,14.203870,14.371550,14.401730"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("17.819980,18.579710,19.043880,19.494010,20.007020,19.956810,19.951350", \ - "17.805280,18.518840,18.984240,19.368990,19.878260,19.893390,19.534690", \ - "17.730800,18.551260,18.957850,19.277070,19.932660,19.367090,20.333460", \ - "17.729340,18.503850,18.965870,19.343580,19.865670,19.866260,19.468930", \ - "17.986900,18.731630,19.193260,19.595400,20.104110,20.088120,19.757610", \ - "18.464860,19.352030,19.839180,20.324260,20.723050,20.945690,20.381780", \ - "19.314500,20.182130,20.577280,21.204750,21.452220,21.732500,22.204510"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR2_X1 - Cell Description : Combinational cell (OR2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OR2_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 22.694975; - - leakage_power () { - when : "!A1 & !A2"; - value : 28.578660; - } - leakage_power () { - when : "!A1 & A2"; - value : 18.166698; - } - leakage_power () { - when : "A1 & !A2"; - value : 19.322193; - } - leakage_power () { - when : "A1 & A2"; - value : 24.712347; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.946814; - fall_capacitance : 0.792385; - rise_capacitance : 0.946814; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.941939; - fall_capacitance : 0.895446; - rise_capacitance : 0.941939; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0383175,0.0427607,0.0471926,0.0544756,0.0664494,0.0869580,0.124592", \ - "0.0389781,0.0434158,0.0478475,0.0551288,0.0671052,0.0876147,0.125248", \ - "0.0441877,0.0486158,0.0530338,0.0603053,0.0722774,0.0927883,0.130422", \ - "0.0561797,0.0605489,0.0649366,0.0721871,0.0841570,0.104674,0.142311", \ - "0.0715267,0.0762280,0.0808878,0.0884784,0.100817,0.121545,0.159206", \ - "0.0883722,0.0934051,0.0984247,0.106531,0.119379,0.140626,0.178749", \ - "0.107371,0.112753,0.118154,0.126859,0.140442,0.162293,0.200658"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0157392,0.0199848,0.0247955,0.0340853,0.0524040,0.0888380,0.161568", \ - "0.0173037,0.0215411,0.0263453,0.0356349,0.0539585,0.0904000,0.163127", \ - "0.0223901,0.0265897,0.0313271,0.0405509,0.0588606,0.0953281,0.168079", \ - "0.0269920,0.0315565,0.0363455,0.0454982,0.0637112,0.100105,0.172851", \ - "0.0292042,0.0344760,0.0395934,0.0487417,0.0667809,0.103093,0.175751", \ - "0.0285945,0.0345644,0.0403839,0.0499213,0.0678593,0.103980,0.176552", \ - "0.0248448,0.0313857,0.0379725,0.0484434,0.0665208,0.102569,0.175003"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00756148,0.00937531,0.0114360,0.0152549,0.0225098,0.0369830,0.0672436", \ - "0.00756248,0.00937581,0.0114355,0.0152562,0.0225115,0.0369833,0.0672431", \ - "0.00756243,0.00937988,0.0114415,0.0152650,0.0225147,0.0369858,0.0672456", \ - "0.00781088,0.00957624,0.0116043,0.0153888,0.0225974,0.0370257,0.0672567", \ - "0.00980720,0.0113968,0.0132425,0.0167578,0.0235948,0.0375208,0.0673973", \ - "0.0118791,0.0134648,0.0152770,0.0186243,0.0251244,0.0387714,0.0681145", \ - "0.0140434,0.0156664,0.0175129,0.0208646,0.0270885,0.0400536,0.0689421"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00442800,0.00754510,0.0116250,0.0201148,0.0373591,0.0719202,0.141074", \ - "0.00442765,0.00754491,0.0116279,0.0201155,0.0373612,0.0719345,0.141079", \ - "0.00470081,0.00766708,0.0116744,0.0201231,0.0373726,0.0719394,0.141083", \ - "0.00586804,0.00845278,0.0121111,0.0203128,0.0374164,0.0719341,0.141097", \ - "0.00740080,0.00999004,0.0131277,0.0206704,0.0375546,0.0720197,0.141077", \ - "0.00915524,0.0120740,0.0150611,0.0216819,0.0378758,0.0721780,0.141179", \ - "0.0112086,0.0143831,0.0176516,0.0236612,0.0386457,0.0725519,0.141351"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0423788,0.0468173,0.0512493,0.0585306,0.0705044,0.0910118,0.128643", \ - "0.0438332,0.0482707,0.0527018,0.0599843,0.0719588,0.0924671,0.130099", \ - "0.0490690,0.0535048,0.0579299,0.0652066,0.0771805,0.0976905,0.135324", \ - "0.0583116,0.0627121,0.0671173,0.0743851,0.0863616,0.106880,0.144521", \ - "0.0703888,0.0750088,0.0796232,0.0871872,0.0995042,0.120242,0.157930", \ - "0.0850274,0.0898794,0.0947403,0.102710,0.115547,0.136873,0.174966", \ - "0.102473,0.107600,0.112742,0.121143,0.134590,0.156591,0.195158"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0172923,0.0216077,0.0264572,0.0357874,0.0541506,0.0906273,0.163393", \ - "0.0187544,0.0230678,0.0279135,0.0372401,0.0556040,0.0920856,0.164858", \ - "0.0241291,0.0283871,0.0331716,0.0424364,0.0607758,0.0972711,0.170069", \ - "0.0297999,0.0343688,0.0391830,0.0483652,0.0666039,0.103029,0.175815", \ - "0.0331968,0.0383962,0.0434715,0.0526176,0.0706855,0.107019,0.179722", \ - "0.0340467,0.0398921,0.0455558,0.0549466,0.0728589,0.108984,0.181601", \ - "0.0321773,0.0385650,0.0449215,0.0550295,0.0728887,0.108834,0.181272"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00756048,0.00937725,0.0114349,0.0152551,0.0225110,0.0369828,0.0672432", \ - "0.00756249,0.00937637,0.0114352,0.0152559,0.0225116,0.0369841,0.0672452", \ - "0.00756311,0.00937696,0.0114390,0.0152587,0.0225136,0.0369836,0.0672456", \ - "0.00772642,0.00950329,0.0115418,0.0153391,0.0225643,0.0370092,0.0672513", \ - "0.00894825,0.0106844,0.0126705,0.0163534,0.0233422,0.0374067,0.0673633", \ - "0.0102896,0.0120151,0.0140026,0.0176783,0.0246174,0.0384965,0.0679170", \ - "0.0118532,0.0135762,0.0155694,0.0192550,0.0261460,0.0397748,0.0687301"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00464449,0.00773088,0.0117762,0.0202136,0.0374358,0.0720085,0.141136", \ - "0.00464010,0.00772928,0.0117729,0.0202180,0.0374278,0.0719987,0.141137", \ - "0.00477566,0.00778972,0.0117986,0.0202224,0.0374306,0.0719922,0.141122", \ - "0.00585841,0.00848464,0.0121742,0.0203755,0.0374741,0.0719818,0.141125", \ - "0.00726741,0.00983599,0.0130362,0.0206711,0.0375932,0.0720687,0.141140", \ - "0.00883177,0.0116780,0.0146461,0.0214281,0.0377814,0.0721837,0.141231", \ - "0.0106168,0.0137149,0.0168638,0.0229470,0.0382684,0.0723617,0.141353"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.707644,2.783985,2.901217,3.081931,3.269169,3.378714,3.416882", \ - "2.662489,2.739760,2.854114,3.041084,3.228301,3.334787,3.371931", \ - "2.653031,2.740212,2.843807,3.030100,3.222771,3.332877,3.368662", \ - "2.839269,2.915532,2.996373,3.167350,3.345257,3.450130,3.493810", \ - "3.226872,3.245673,3.313417,3.439860,3.616091,3.717789,3.746305", \ - "3.757734,3.707391,3.725484,3.816333,3.985733,4.124967,4.152492", \ - "4.498371,4.416492,4.361001,4.386474,4.526209,4.655888,4.737228"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.774230,1.837770,1.878155,1.880752,1.990723,1.950925,1.802398", \ - "1.771694,1.841675,1.892585,1.909635,1.986867,1.940118,1.734342", \ - "1.805099,1.848423,1.849021,1.914736,1.900604,1.823875,1.684419", \ - "1.972404,1.996410,1.936949,1.979339,1.969228,1.866971,1.831008", \ - "2.256484,2.335607,2.304886,2.276926,2.265772,2.102527,2.055764", \ - "2.709311,2.829394,2.816765,2.843995,2.778154,2.538420,2.433571", \ - "3.319751,3.384437,3.470475,3.481878,3.517535,3.400845,3.189066"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.098253,3.180247,3.296761,3.478391,3.660205,3.771218,3.809343", \ - "3.068693,3.147197,3.265582,3.446007,3.635582,3.741099,3.779095", \ - "3.021308,3.116447,3.222355,3.410647,3.598670,3.706250,3.744514", \ - "3.067116,3.143739,3.246519,3.414044,3.601746,3.708301,3.750563", \ - "3.226406,3.269752,3.361172,3.538251,3.713280,3.824499,3.861994", \ - "3.513176,3.524852,3.577259,3.723789,3.940066,4.099781,4.135090", \ - "4.035157,4.018256,4.018297,4.110264,4.306299,4.487623,4.586840"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.980887,2.066815,2.111941,2.172548,2.185622,2.231286,2.278095", \ - "1.934892,2.024254,2.089805,2.123114,2.164752,2.141911,2.191159", \ - "1.971947,2.036691,2.060141,2.060098,2.072016,2.053113,2.116142", \ - "2.136269,2.164026,2.155867,2.160890,2.100886,2.206547,2.135865", \ - "2.448693,2.510497,2.459683,2.449667,2.421721,2.300778,2.314964", \ - "2.887892,3.006415,2.976739,2.924602,2.871645,2.825506,2.567841", \ - "3.548766,3.628797,3.677802,3.650412,3.509354,3.418556,3.475760"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR2_X2 - Cell Description : Combinational cell (OR2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OR2_X2) { - - drive_strength : 2; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 45.656022; - - leakage_power () { - when : "!A1 & !A2"; - value : 57.407020; - } - leakage_power () { - when : "!A1 & A2"; - value : 36.538480; - } - leakage_power () { - when : "A1 & !A2"; - value : 38.889400; - } - leakage_power () { - when : "A1 & A2"; - value : 49.789190; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.745940; - fall_capacitance : 1.415149; - rise_capacitance : 1.745940; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.694286; - fall_capacitance : 1.593806; - rise_capacitance : 1.694286; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0355590,0.0403936,0.0446503,0.0516815,0.0633469,0.0835447,0.120990", \ - "0.0362137,0.0410426,0.0452970,0.0523288,0.0639952,0.0841938,0.121643", \ - "0.0415030,0.0463121,0.0505535,0.0575739,0.0692390,0.0894396,0.126890", \ - "0.0534554,0.0582103,0.0624196,0.0694233,0.0810905,0.101306,0.138753", \ - "0.0681454,0.0732846,0.0777835,0.0851458,0.0971955,0.117666,0.155150", \ - "0.0844609,0.0899597,0.0948126,0.102678,0.115194,0.136099,0.174030", \ - "0.103033,0.108905,0.114130,0.122586,0.135840,0.157291,0.195391"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0147715,0.0195163,0.0243136,0.0336020,0.0519214,0.0883589,0.161097", \ - "0.0163307,0.0210636,0.0258541,0.0351429,0.0534702,0.0899124,0.162654", \ - "0.0212414,0.0259439,0.0306635,0.0398875,0.0582047,0.0946776,0.167439", \ - "0.0254296,0.0305112,0.0352580,0.0444029,0.0626344,0.0990392,0.171803", \ - "0.0272094,0.0330768,0.0381125,0.0472214,0.0652772,0.101604,0.174272", \ - "0.0261967,0.0328293,0.0385337,0.0479706,0.0659122,0.102063,0.174673", \ - "0.0220434,0.0293077,0.0357761,0.0460928,0.0641519,0.100245,0.172711"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00705904,0.00903969,0.0110545,0.0148306,0.0220733,0.0366372,0.0671402", \ - "0.00705919,0.00904097,0.0110547,0.0148313,0.0220735,0.0366367,0.0671397", \ - "0.00705870,0.00904518,0.0110633,0.0148385,0.0220779,0.0366383,0.0671414", \ - "0.00750732,0.00934368,0.0112975,0.0150077,0.0221830,0.0366882,0.0671531", \ - "0.00948348,0.0111916,0.0129820,0.0164230,0.0232596,0.0372459,0.0672947", \ - "0.0115195,0.0132280,0.0149773,0.0182369,0.0246725,0.0383893,0.0680299", \ - "0.0136830,0.0154162,0.0172042,0.0204499,0.0265815,0.0395989,0.0687609"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00411608,0.00763316,0.0117532,0.0202766,0.0375374,0.0721138,0.141284", \ - "0.00411612,0.00763501,0.0117557,0.0202772,0.0375354,0.0721198,0.141295", \ - "0.00443098,0.00777260,0.0118092,0.0202846,0.0375438,0.0721359,0.141295", \ - "0.00560482,0.00849477,0.0122069,0.0204715,0.0375981,0.0721189,0.141290", \ - "0.00712601,0.0100019,0.0131622,0.0208002,0.0377391,0.0722167,0.141291", \ - "0.00888544,0.0121068,0.0150556,0.0217589,0.0380659,0.0723804,0.141391", \ - "0.0109734,0.0144498,0.0176541,0.0236738,0.0388105,0.0727872,0.141577"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0396331,0.0444648,0.0487190,0.0557498,0.0674144,0.0876101,0.125056", \ - "0.0410769,0.0459051,0.0501605,0.0571912,0.0688569,0.0890543,0.126499", \ - "0.0463183,0.0511401,0.0553886,0.0624169,0.0740821,0.0942812,0.131731", \ - "0.0554857,0.0602721,0.0645060,0.0715285,0.0832054,0.103417,0.140869", \ - "0.0671070,0.0721476,0.0766159,0.0839689,0.0960161,0.116488,0.153990", \ - "0.0813649,0.0866664,0.0913841,0.0991162,0.111667,0.132681,0.170582", \ - "0.0984201,0.104024,0.109009,0.117189,0.130352,0.152040,0.190373"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0163090,0.0211317,0.0259660,0.0352965,0.0536627,0.0901457,0.162923", \ - "0.0177691,0.0225885,0.0274183,0.0367453,0.0551134,0.0916028,0.164379", \ - "0.0230514,0.0278069,0.0325722,0.0418368,0.0601847,0.0966874,0.169492", \ - "0.0283356,0.0334221,0.0381955,0.0473721,0.0656241,0.102070,0.174862", \ - "0.0313536,0.0371293,0.0421141,0.0512326,0.0693075,0.105667,0.178392", \ - "0.0318351,0.0383241,0.0438644,0.0531788,0.0710922,0.107249,0.179904", \ - "0.0296260,0.0367062,0.0429295,0.0528798,0.0707505,0.106735,0.179209"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00705684,0.00904044,0.0110545,0.0148297,0.0220734,0.0366366,0.0671394", \ - "0.00705767,0.00903968,0.0110538,0.0148302,0.0220737,0.0366372,0.0671399", \ - "0.00705788,0.00904307,0.0110581,0.0148347,0.0220751,0.0366388,0.0671405", \ - "0.00733317,0.00923550,0.0112085,0.0149410,0.0221409,0.0366687,0.0671491", \ - "0.00854953,0.0104374,0.0123758,0.0160059,0.0229870,0.0371114,0.0672626", \ - "0.00989819,0.0117681,0.0137054,0.0173209,0.0242195,0.0381537,0.0678103", \ - "0.0114815,0.0133441,0.0152890,0.0189097,0.0257485,0.0394095,0.0685744"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00433507,0.00782222,0.0119007,0.0203781,0.0376085,0.0721661,0.141336", \ - "0.00433387,0.00782073,0.0119010,0.0203777,0.0376055,0.0721625,0.141340", \ - "0.00450758,0.00788548,0.0119303,0.0203807,0.0376060,0.0721688,0.141341", \ - "0.00558849,0.00852420,0.0122702,0.0205321,0.0376620,0.0721911,0.141334", \ - "0.00697029,0.00982399,0.0130591,0.0207935,0.0377796,0.0722797,0.141358", \ - "0.00852311,0.0116573,0.0146024,0.0214894,0.0379638,0.0723763,0.141437", \ - "0.0103247,0.0137155,0.0167946,0.0229222,0.0384126,0.0725678,0.141565"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.240305,5.387639,5.609731,5.955758,6.279666,6.467658,6.532534", \ - "5.150802,5.304985,5.521967,5.860814,6.198642,6.376274,6.441914", \ - "5.169036,5.327321,5.538255,5.863909,6.195912,6.377257,6.450621", \ - "5.579705,5.684565,5.835285,6.129876,6.443547,6.639858,6.703013", \ - "6.328743,6.311892,6.431278,6.713115,7.010689,7.175664,7.229560", \ - "7.375790,7.292017,7.261877,7.432094,7.753996,8.012442,8.061536", \ - "8.892867,8.689655,8.573180,8.610960,8.853657,9.106530,9.262061"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("3.492044,3.672805,3.768149,3.843149,3.876571,4.000319,3.568122", \ - "3.477623,3.665935,3.745209,3.851869,3.872299,3.903169,3.532114", \ - "3.504444,3.628280,3.672151,3.781125,3.643083,3.947494,3.584021", \ - "3.895512,3.953353,3.930460,3.886217,3.947262,3.877009,3.630197", \ - "4.508866,4.690717,4.634104,4.564578,4.512019,4.345806,4.396912", \ - "5.382788,5.620647,5.722593,5.682865,5.462611,5.422931,5.308254", \ - "6.635262,6.858637,7.013605,6.989694,7.074132,6.791770,6.634726"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("6.037935,6.194851,6.409776,6.758210,7.098209,7.278713,7.334378", \ - "5.964522,6.128859,6.361603,6.698334,7.027824,7.207476,7.269467", \ - "5.901295,6.076101,6.294081,6.624968,6.952612,7.134354,7.202914", \ - "6.008633,6.143568,6.338574,6.646433,6.967650,7.151091,7.219026", \ - "6.279938,6.363782,6.526872,6.877926,7.211158,7.390809,7.456539", \ - "6.907342,6.912660,6.994473,7.245121,7.648813,7.958534,8.022881", \ - "8.004312,7.917193,7.919230,8.096962,8.431963,8.758826,8.968520"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("3.896279,4.058976,4.174584,4.321556,4.406225,4.378767,4.519900", \ - "3.836343,4.024902,4.138710,4.211199,4.313990,4.239182,4.345816", \ - "3.858087,4.041405,4.079314,4.124408,4.260389,4.362940,4.207801", \ - "4.224401,4.293736,4.307700,4.265886,4.193067,4.415284,4.309267", \ - "4.789178,5.003488,4.909227,4.861584,4.857719,4.819050,4.739014", \ - "5.769148,5.993742,6.001180,5.850293,5.608782,5.712552,5.322020", \ - "7.093926,7.306996,7.369831,7.311673,7.142856,7.009068,6.940751"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR2_X4 - Cell Description : Combinational cell (OR2_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OR2_X4) { - - drive_strength : 4; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 91.312375; - - leakage_power () { - when : "!A1 & !A2"; - value : 114.814700; - } - leakage_power () { - when : "!A1 & A2"; - value : 73.077180; - } - leakage_power () { - when : "A1 & !A2"; - value : 77.779130; - } - leakage_power () { - when : "A1 & A2"; - value : 99.578490; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.384966; - fall_capacitance : 2.746635; - rise_capacitance : 3.384966; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.454664; - fall_capacitance : 3.225723; - rise_capacitance : 3.454664; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "(A1 | A2)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0342047,0.0393171,0.0435349,0.0504991,0.0620747,0.0821901,0.119632", \ - "0.0348833,0.0399886,0.0442055,0.0511702,0.0627470,0.0828641,0.120306", \ - "0.0402224,0.0453067,0.0495105,0.0564660,0.0680416,0.0881611,0.125608", \ - "0.0521425,0.0571693,0.0613474,0.0682982,0.0798784,0.100010,0.137453", \ - "0.0665652,0.0719828,0.0764598,0.0837769,0.0957475,0.116157,0.153625", \ - "0.0826722,0.0884724,0.0933044,0.101105,0.113544,0.134341,0.172247", \ - "0.101074,0.107273,0.112471,0.120860,0.134028,0.155358,0.193407"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0141539,0.0192252,0.0240427,0.0333525,0.0516940,0.0881689,0.160984", \ - "0.0157122,0.0207699,0.0255798,0.0348898,0.0532411,0.0897230,0.162539", \ - "0.0205266,0.0255585,0.0302980,0.0395446,0.0578871,0.0943988,0.167243", \ - "0.0244777,0.0299270,0.0346874,0.0438547,0.0621210,0.0985714,0.171414", \ - "0.0260410,0.0323176,0.0373517,0.0464758,0.0645694,0.100960,0.173721", \ - "0.0248041,0.0318745,0.0375855,0.0470342,0.0650061,0.101217,0.173927", \ - "0.0204485,0.0281662,0.0346419,0.0449644,0.0630417,0.0991918,0.171771"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00677217,0.00884583,0.0108497,0.0146198,0.0218764,0.0365066,0.0671603", \ - "0.00677307,0.00884673,0.0108511,0.0146198,0.0218763,0.0365070,0.0671601", \ - "0.00677364,0.00885253,0.0108603,0.0146290,0.0218806,0.0365089,0.0671605", \ - "0.00732513,0.00921149,0.0111315,0.0148195,0.0219972,0.0365632,0.0671751", \ - "0.00928857,0.0110556,0.0128233,0.0162424,0.0230940,0.0371444,0.0673156", \ - "0.0113199,0.0130790,0.0148034,0.0180318,0.0244533,0.0382331,0.0680528", \ - "0.0134936,0.0152724,0.0170318,0.0202422,0.0263406,0.0394098,0.0687463"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00382835,0.00755185,0.0116829,0.0202207,0.0374987,0.0721150,0.141346", \ - "0.00382804,0.00755362,0.0116829,0.0202212,0.0374949,0.0720992,0.141354", \ - "0.00416961,0.00769976,0.0117380,0.0202308,0.0374980,0.0721012,0.141358", \ - "0.00534404,0.00840414,0.0121339,0.0204155,0.0375607,0.0721151,0.141350", \ - "0.00683553,0.00990040,0.0130765,0.0207406,0.0377050,0.0722289,0.141358", \ - "0.00860044,0.0120063,0.0149566,0.0216896,0.0380320,0.0723828,0.141457", \ - "0.0107223,0.0143535,0.0175570,0.0235912,0.0387840,0.0727972,0.141646"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0382799,0.0433873,0.0476033,0.0545669,0.0661417,0.0862558,0.123695", \ - "0.0397308,0.0448370,0.0490533,0.0560177,0.0675937,0.0877092,0.125150", \ - "0.0449855,0.0500813,0.0542941,0.0612561,0.0728322,0.0929500,0.130394", \ - "0.0541094,0.0591775,0.0633741,0.0703384,0.0819274,0.102059,0.139506", \ - "0.0655386,0.0708761,0.0753156,0.0826186,0.0945906,0.114999,0.152493", \ - "0.0796478,0.0852618,0.0899436,0.0976372,0.110103,0.131029,0.168913", \ - "0.0965542,0.102473,0.107441,0.115569,0.128676,0.150264,0.188557"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0156323,0.0207887,0.0256438,0.0349970,0.0533910,0.0899185,0.162771", \ - "0.0170931,0.0222449,0.0270948,0.0364449,0.0548417,0.0913737,0.164226", \ - "0.0223209,0.0274090,0.0321929,0.0414797,0.0598576,0.0964057,0.169286", \ - "0.0273779,0.0328275,0.0376151,0.0468171,0.0651044,0.101595,0.174467", \ - "0.0301789,0.0363558,0.0413465,0.0504818,0.0685974,0.105005,0.177820", \ - "0.0304620,0.0373774,0.0429167,0.0522299,0.0701829,0.106393,0.179136", \ - "0.0280639,0.0355898,0.0418190,0.0517584,0.0696609,0.105691,0.178253"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00677238,0.00884627,0.0108498,0.0146199,0.0218761,0.0365069,0.0671590", \ - "0.00677187,0.00884663,0.0108502,0.0146190,0.0218759,0.0365061,0.0671604", \ - "0.00677203,0.00884967,0.0108546,0.0146234,0.0218790,0.0365068,0.0671611", \ - "0.00711145,0.00907599,0.0110291,0.0147453,0.0219510,0.0365402,0.0671682", \ - "0.00831823,0.0102822,0.0122079,0.0158272,0.0228211,0.0370015,0.0672798", \ - "0.00967612,0.0116190,0.0135414,0.0171383,0.0240337,0.0380192,0.0678260", \ - "0.0112757,0.0132052,0.0151336,0.0187346,0.0255659,0.0392681,0.0685687"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00404851,0.00773830,0.0118305,0.0203175,0.0375626,0.0721743,0.141406", \ - "0.00404312,0.00773698,0.0118293,0.0203194,0.0375656,0.0721787,0.141406", \ - "0.00424323,0.00780781,0.0118586,0.0203237,0.0375655,0.0721773,0.141402", \ - "0.00532266,0.00843128,0.0121947,0.0204746,0.0376157,0.0721855,0.141403", \ - "0.00667304,0.00971003,0.0129620,0.0207298,0.0377359,0.0722646,0.141423", \ - "0.00821881,0.0115367,0.0144846,0.0214084,0.0379196,0.0723750,0.141505", \ - "0.0100340,0.0135908,0.0166647,0.0228159,0.0383777,0.0725728,0.141637"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("10.230060,10.571650,11.026550,11.681150,12.303690,12.650320,12.771150", \ - "10.062350,10.407480,10.838730,11.505550,12.131040,12.477690,12.597870", \ - "10.045200,10.426430,10.860050,11.493750,12.140290,12.491860,12.621220", \ - "10.953790,11.143300,11.473070,12.051270,12.660620,13.011820,13.147990", \ - "12.409980,12.454400,12.618870,13.175610,13.765380,14.093070,14.199440", \ - "14.613630,14.379490,14.342280,14.616470,15.276780,15.787840,15.870610", \ - "17.687970,17.171300,16.966530,17.022510,17.502560,17.964200,18.290640"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.466955,6.847441,7.052358,7.286850,7.113275,6.999190,7.176228", \ - "6.455865,6.829964,6.989600,7.152759,7.330937,6.719266,7.215272", \ - "6.564090,6.890334,6.993809,6.966196,7.335581,6.996701,7.057797", \ - "7.347707,7.510028,7.449608,7.484542,7.606468,7.728289,7.290580", \ - "8.447393,8.951998,8.853188,8.807658,8.522919,8.741874,8.250467", \ - "10.295280,10.961100,11.073220,10.933570,10.471710,10.230650,10.174060", \ - "12.908190,13.328660,13.585450,13.618050,13.730300,13.517780,13.148440"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.775190,12.182230,12.641450,13.285090,13.922960,14.264060,14.378140", \ - "11.702750,12.052320,12.460120,13.142710,13.794520,14.139430,14.255990", \ - "11.607620,11.937840,12.320870,13.024750,13.648760,14.004170,14.127440", \ - "11.832200,12.053010,12.451200,13.050110,13.681450,14.037430,14.162890", \ - "12.391110,12.499400,12.840910,13.542470,14.158000,14.526750,14.638350", \ - "13.632680,13.652020,13.774280,14.308460,15.052190,15.665730,15.793380", \ - "15.846440,15.642950,15.644100,15.963140,16.657670,17.290870,17.696820"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.225409,7.747628,7.922102,8.200333,8.186916,8.451687,8.644008", \ - "7.117993,7.642607,7.803651,7.979563,7.993022,8.238525,8.331717", \ - "7.268966,7.635538,7.786996,7.837250,8.122307,7.904854,8.037464", \ - "7.923382,8.131088,8.118094,8.204952,8.283700,8.106264,8.297259", \ - "9.151328,9.600360,9.553652,9.304236,9.171958,9.118419,9.217530", \ - "11.145480,11.621420,11.622010,11.366840,11.273460,10.927630,10.752580", \ - "13.816340,14.172150,14.230410,14.194770,13.951850,13.728580,12.939630"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR3_X1 - Cell Description : Combinational cell (OR3_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OR3_X1) { - - drive_strength : 1; - - area : 1.330000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 24.414625; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 33.560560; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 18.092217; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 18.205418; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 24.698146; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 19.360583; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 24.715196; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 24.751133; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 31.933748; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.959052; - fall_capacitance : 0.775543; - rise_capacitance : 0.959052; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.940092; - fall_capacitance : 0.849985; - rise_capacitance : 0.940092; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.921561; - fall_capacitance : 0.895841; - rise_capacitance : 0.921561; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0585762,0.0639441,0.0693478,0.0782280,0.0925737,0.116082,0.156512", \ - "0.0587708,0.0641365,0.0695383,0.0784218,0.0927689,0.116279,0.156709", \ - "0.0630247,0.0683772,0.0737772,0.0826485,0.0969889,0.120496,0.160927", \ - "0.0741999,0.0795526,0.0849415,0.0938006,0.108134,0.131642,0.172077", \ - "0.0925605,0.0978979,0.103258,0.112053,0.126363,0.149867,0.190305", \ - "0.113698,0.119306,0.124940,0.134115,0.148771,0.172728,0.213409", \ - "0.137146,0.143068,0.149040,0.158714,0.173898,0.198275,0.239524"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0167916,0.0211221,0.0259713,0.0352756,0.0535966,0.0900450,0.162771", \ - "0.0183867,0.0227119,0.0275552,0.0368599,0.0551874,0.0916327,0.164363", \ - "0.0237097,0.0279947,0.0327793,0.0420212,0.0603292,0.0967988,0.169556", \ - "0.0288267,0.0335048,0.0383689,0.0475604,0.0657660,0.102164,0.174920", \ - "0.0312140,0.0366089,0.0418401,0.0510710,0.0691187,0.105425,0.178080", \ - "0.0303466,0.0364431,0.0423938,0.0520802,0.0700328,0.106136,0.178705", \ - "0.0257941,0.0324826,0.0392058,0.0498528,0.0679985,0.103963,0.176373"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0114500,0.0134671,0.0157667,0.0199891,0.0277290,0.0422891,0.0713392", \ - "0.0114514,0.0134658,0.0157665,0.0199887,0.0277275,0.0422871,0.0713393", \ - "0.0114518,0.0134670,0.0157657,0.0199912,0.0277306,0.0422888,0.0713387", \ - "0.0114351,0.0134589,0.0157648,0.0199972,0.0277386,0.0422918,0.0713406", \ - "0.0122999,0.0141317,0.0162918,0.0203651,0.0279908,0.0424515,0.0714180", \ - "0.0145533,0.0163029,0.0183349,0.0221671,0.0294684,0.0435501,0.0719367", \ - "0.0169019,0.0186485,0.0206591,0.0243611,0.0312567,0.0449005,0.0732372"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00459910,0.00768302,0.0117125,0.0201437,0.0373753,0.0719322,0.141105", \ - "0.00460119,0.00768476,0.0117128,0.0201419,0.0373686,0.0719548,0.141085", \ - "0.00480878,0.00778545,0.0117638,0.0201566,0.0373714,0.0719387,0.141088", \ - "0.00597958,0.00861230,0.0122384,0.0203552,0.0374086,0.0719517,0.141097", \ - "0.00750620,0.0101739,0.0133206,0.0207674,0.0375678,0.0720398,0.141096", \ - "0.00926131,0.0122691,0.0153111,0.0218589,0.0378825,0.0721673,0.141184", \ - "0.0113202,0.0145828,0.0179339,0.0239444,0.0386535,0.0724819,0.141348"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0692567,0.0746215,0.0800222,0.0889006,0.103247,0.126756,0.167183", \ - "0.0699818,0.0753439,0.0807457,0.0896271,0.103974,0.127484,0.167911", \ - "0.0744586,0.0798154,0.0852152,0.0940891,0.108435,0.131943,0.172372", \ - "0.0831947,0.0885430,0.0939343,0.102800,0.117137,0.140648,0.181084", \ - "0.0973734,0.102740,0.108141,0.117005,0.131357,0.154888,0.195334", \ - "0.115239,0.120804,0.126434,0.135667,0.150495,0.174561,0.215302", \ - "0.137221,0.143001,0.148856,0.158412,0.173713,0.198344,0.239772"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0184512,0.0228553,0.0277441,0.0370910,0.0554555,0.0919428,0.164718", \ - "0.0199827,0.0243863,0.0292729,0.0386173,0.0569843,0.0934729,0.166247", \ - "0.0254634,0.0298164,0.0346501,0.0439397,0.0622809,0.0987795,0.171581", \ - "0.0315760,0.0362564,0.0411537,0.0503740,0.0686069,0.105043,0.177823", \ - "0.0350865,0.0404227,0.0456163,0.0548473,0.0729263,0.109255,0.181951", \ - "0.0355180,0.0415148,0.0473406,0.0568950,0.0748229,0.110939,0.183545", \ - "0.0325237,0.0390807,0.0456224,0.0559792,0.0739223,0.109833,0.182247"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0114509,0.0134655,0.0157672,0.0199895,0.0277283,0.0422870,0.0713383", \ - "0.0114507,0.0134661,0.0157673,0.0199911,0.0277285,0.0422893,0.0713379", \ - "0.0114498,0.0134668,0.0157681,0.0199918,0.0277315,0.0422876,0.0713368", \ - "0.0114550,0.0134730,0.0157771,0.0200032,0.0277411,0.0422946,0.0713400", \ - "0.0122263,0.0141176,0.0162979,0.0203793,0.0279900,0.0424485,0.0714145", \ - "0.0136175,0.0155295,0.0177402,0.0218212,0.0293374,0.0434686,0.0718956", \ - "0.0152380,0.0171200,0.0193103,0.0233603,0.0307822,0.0448186,0.0731067"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00480953,0.00787145,0.0118633,0.0202454,0.0374326,0.0719921,0.141143", \ - "0.00480512,0.00787104,0.0118627,0.0202511,0.0374412,0.0719823,0.141130", \ - "0.00489462,0.00791757,0.0118877,0.0202553,0.0374362,0.0719897,0.141133", \ - "0.00597564,0.00863855,0.0122967,0.0204193,0.0374817,0.0719834,0.141136", \ - "0.00740178,0.0100482,0.0132438,0.0207751,0.0376075,0.0720736,0.141142", \ - "0.00900406,0.0119460,0.0149744,0.0216550,0.0378181,0.0721794,0.141233", \ - "0.0108476,0.0140485,0.0173000,0.0233634,0.0383686,0.0723473,0.141351"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0727463,0.0781080,0.0835103,0.0923893,0.106735,0.130244,0.170670", \ - "0.0739711,0.0793368,0.0847362,0.0936175,0.107964,0.131474,0.171902", \ - "0.0788040,0.0841638,0.0895610,0.0984378,0.112781,0.136290,0.176719", \ - "0.0864392,0.0917957,0.0971893,0.106062,0.120403,0.143913,0.184343", \ - "0.0967474,0.102137,0.107552,0.116427,0.130788,0.154321,0.194769", \ - "0.108889,0.114425,0.120034,0.129187,0.143971,0.167992,0.208730", \ - "0.124760,0.130482,0.136274,0.145789,0.161026,0.185688,0.227138"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0189395,0.0234889,0.0284932,0.0379736,0.0564553,0.0930233,0.165858", \ - "0.0204300,0.0249726,0.0299698,0.0394431,0.0579218,0.0944927,0.167327", \ - "0.0261260,0.0305808,0.0355029,0.0448999,0.0633436,0.0999152,0.172768", \ - "0.0329933,0.0377377,0.0426828,0.0519785,0.0702838,0.106776,0.179608", \ - "0.0374219,0.0427879,0.0480165,0.0573062,0.0754518,0.111821,0.184583", \ - "0.0390243,0.0450241,0.0508462,0.0603966,0.0784139,0.114576,0.187219", \ - "0.0375411,0.0440834,0.0505948,0.0609040,0.0788243,0.114791,0.187254"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0114489,0.0134688,0.0157666,0.0199903,0.0277291,0.0422874,0.0713390", \ - "0.0114493,0.0134662,0.0157677,0.0199893,0.0277280,0.0422891,0.0713382", \ - "0.0114531,0.0134650,0.0157668,0.0199930,0.0277307,0.0422897,0.0713370", \ - "0.0114520,0.0134679,0.0157718,0.0199973,0.0277353,0.0422933,0.0713368", \ - "0.0120059,0.0139408,0.0161579,0.0202786,0.0279225,0.0424036,0.0713937", \ - "0.0131361,0.0150937,0.0173463,0.0214960,0.0290887,0.0433213,0.0718432", \ - "0.0144595,0.0164149,0.0186797,0.0228487,0.0304624,0.0446741,0.0729808"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00506500,0.00815473,0.0121465,0.0204918,0.0376096,0.0721008,0.141208", \ - "0.00504820,0.00813716,0.0121388,0.0204898,0.0376154,0.0720950,0.141210", \ - "0.00504636,0.00811789,0.0121096,0.0204606,0.0376024,0.0721014,0.141221", \ - "0.00609618,0.00878385,0.0124541,0.0205726,0.0376066,0.0721186,0.141213", \ - "0.00749599,0.0101485,0.0133635,0.0209118,0.0377334,0.0721638,0.141220", \ - "0.00905206,0.0119757,0.0150018,0.0217340,0.0379420,0.0722779,0.141289", \ - "0.0108372,0.0140007,0.0172166,0.0233145,0.0384434,0.0724500,0.141428"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.156040,3.147506,3.183428,3.282617,3.484917,3.690060,3.791858", \ - "3.112351,3.110309,3.128262,3.242278,3.442019,3.650203,3.751100", \ - "3.103737,3.099577,3.131446,3.231192,3.429728,3.637792,3.739890", \ - "3.181900,3.203066,3.217116,3.324881,3.521545,3.728364,3.833434", \ - "3.550665,3.510498,3.516153,3.586468,3.760948,3.943472,4.040728", \ - "4.040505,3.998750,3.955737,3.973453,4.116454,4.293437,4.373602", \ - "4.722006,4.622742,4.534058,4.487305,4.560167,4.759754,4.838695"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.772226,1.838751,1.892678,1.886473,1.934043,1.800615,1.787873", \ - "1.771857,1.861688,1.904518,1.938086,1.969917,1.907812,1.999092", \ - "1.824715,1.876366,1.889981,1.873069,1.924141,1.985387,1.950634", \ - "1.972800,2.010562,2.009444,1.985092,2.011164,2.021781,1.992804", \ - "2.210310,2.294300,2.303355,2.222722,2.197699,2.223756,2.192119", \ - "2.585976,2.705025,2.706316,2.667906,2.643040,2.531388,2.487757", \ - "3.115608,3.206540,3.262481,3.251802,3.275983,3.174360,3.133614"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.547501,3.545432,3.575667,3.674740,3.884045,4.085266,4.183192", \ - "3.503748,3.517805,3.537417,3.641078,3.844056,4.053253,4.152939", \ - "3.482770,3.479997,3.510899,3.604596,3.811839,4.018930,4.118918", \ - "3.481287,3.476331,3.494304,3.596432,3.800764,4.007559,4.110514", \ - "3.643701,3.616183,3.631866,3.697897,3.887483,4.075745,4.177977", \ - "3.913201,3.886204,3.865355,3.933777,4.097215,4.287447,4.375172", \ - "4.354478,4.304027,4.260050,4.261190,4.395287,4.620876,4.715634"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.995574,2.072693,2.114628,2.195609,2.205893,2.247337,2.214823", \ - "1.991669,2.075927,2.109877,2.138443,2.222770,2.182578,2.143913", \ - "2.024808,2.073043,2.125462,2.121257,2.163163,2.091148,2.064098", \ - "2.160246,2.197669,2.170732,2.225763,2.252650,2.292413,2.026042", \ - "2.409825,2.473682,2.477998,2.418543,2.399496,2.360528,2.470783", \ - "2.785404,2.878832,2.877242,2.847619,2.732920,2.689346,2.651837", \ - "3.311219,3.409395,3.446251,3.384334,3.303770,3.223465,3.157257"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.899090,3.892848,3.936221,4.031339,4.238595,4.447797,4.547252", \ - "3.855398,3.878041,3.906393,4.009842,4.207802,4.413417,4.516779", \ - "3.842455,3.832774,3.870964,3.965098,4.175742,4.376877,4.480049", \ - "3.829679,3.823737,3.856286,3.947978,4.152939,4.358452,4.462811", \ - "3.898724,3.906525,3.907920,4.001136,4.185377,4.385060,4.484729", \ - "4.065700,4.034307,4.047285,4.123304,4.309408,4.513972,4.608385", \ - "4.408431,4.376435,4.353213,4.387241,4.544490,4.790028,4.914324"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.121716,2.231411,2.289969,2.370143,2.419139,2.414299,2.378214", \ - "2.095621,2.208279,2.282876,2.345660,2.408139,2.478795,2.295111", \ - "2.111128,2.196242,2.260960,2.281840,2.361916,2.287665,2.182929", \ - "2.240961,2.320797,2.337757,2.331969,2.424032,2.451477,2.437296", \ - "2.534545,2.606116,2.607216,2.566622,2.601491,2.504433,2.485506", \ - "2.924118,3.053477,3.060046,2.984509,2.968945,2.735370,2.946848", \ - "3.540388,3.641011,3.679591,3.634444,3.538209,3.460941,3.402643"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR3_X2 - Cell Description : Combinational cell (OR3_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OR3_X2) { - - drive_strength : 2; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 49.162437; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 67.495450; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 36.385250; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 36.617240; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 49.758280; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 38.967390; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 49.795900; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 49.867950; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 64.412040; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.747970; - fall_capacitance : 1.382455; - rise_capacitance : 1.747970; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.693050; - fall_capacitance : 1.506205; - rise_capacitance : 1.693050; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.672973; - fall_capacitance : 1.606289; - rise_capacitance : 1.672973; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0537277,0.0595455,0.0647174,0.0732531,0.0871260,0.110055,0.149919", \ - "0.0538976,0.0597103,0.0648826,0.0734207,0.0872942,0.110224,0.150090", \ - "0.0582628,0.0640616,0.0692207,0.0777469,0.0916152,0.114544,0.154410", \ - "0.0695793,0.0753716,0.0805203,0.0890209,0.102873,0.125818,0.165690", \ - "0.0876016,0.0934083,0.0985502,0.107066,0.120919,0.143870,0.183760", \ - "0.107995,0.114061,0.119469,0.128322,0.142541,0.165956,0.206142", \ - "0.130792,0.137222,0.142967,0.152281,0.167027,0.190763,0.231395"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0156354,0.0204624,0.0252887,0.0345899,0.0529157,0.0893642,0.162118", \ - "0.0172259,0.0220447,0.0268655,0.0361670,0.0544982,0.0909521,0.163703", \ - "0.0223989,0.0271806,0.0319378,0.0411770,0.0594949,0.0959747,0.168750", \ - "0.0269977,0.0322023,0.0370137,0.0461903,0.0644209,0.100835,0.173604", \ - "0.0288515,0.0348541,0.0399862,0.0491622,0.0672280,0.103560,0.176244", \ - "0.0274170,0.0342052,0.0400368,0.0495983,0.0675407,0.103675,0.176293", \ - "0.0223135,0.0297397,0.0363427,0.0468403,0.0649181,0.100936,0.173391"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0106710,0.0128515,0.0150921,0.0192320,0.0268889,0.0414301,0.0706698", \ - "0.0106701,0.0128499,0.0150905,0.0192324,0.0268887,0.0414287,0.0706697", \ - "0.0106672,0.0128499,0.0150921,0.0192344,0.0268897,0.0414293,0.0706716", \ - "0.0106375,0.0128351,0.0150894,0.0192416,0.0268992,0.0414357,0.0706727", \ - "0.0117964,0.0137864,0.0158619,0.0197930,0.0272507,0.0416465,0.0707708", \ - "0.0140379,0.0158956,0.0178448,0.0215470,0.0287164,0.0428292,0.0713560", \ - "0.0163449,0.0181994,0.0201294,0.0237015,0.0304345,0.0440174,0.0725982"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00424262,0.00772783,0.0118045,0.0202813,0.0375316,0.0721051,0.141298", \ - "0.00424463,0.00772911,0.0118044,0.0202815,0.0375271,0.0721176,0.141303", \ - "0.00450969,0.00784350,0.0118547,0.0202922,0.0375300,0.0721169,0.141303", \ - "0.00568117,0.00861796,0.0122958,0.0204871,0.0375788,0.0721229,0.141301", \ - "0.00719055,0.0101517,0.0133153,0.0208585,0.0377316,0.0722148,0.141302", \ - "0.00895279,0.0122701,0.0152660,0.0218826,0.0380436,0.0723624,0.141395", \ - "0.0110428,0.0146213,0.0179038,0.0239044,0.0387808,0.0727063,0.141576"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0644042,0.0702148,0.0753846,0.0839194,0.0977916,0.120720,0.160583", \ - "0.0651055,0.0709150,0.0760864,0.0846227,0.0984946,0.121426,0.161289", \ - "0.0696123,0.0754154,0.0805818,0.0891131,0.102981,0.125912,0.165777", \ - "0.0783761,0.0841652,0.0893214,0.0978398,0.111700,0.134640,0.174514", \ - "0.0921604,0.0980168,0.103244,0.111820,0.125737,0.148719,0.188633", \ - "0.109616,0.115657,0.121073,0.129992,0.144402,0.167961,0.208211", \ - "0.131048,0.137331,0.142973,0.152249,0.167158,0.191241,0.232107"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0172870,0.0221972,0.0270629,0.0364064,0.0547797,0.0912735,0.164066", \ - "0.0188170,0.0237250,0.0285871,0.0379289,0.0563026,0.0928005,0.165599", \ - "0.0242215,0.0290708,0.0338764,0.0431609,0.0615142,0.0980241,0.170848", \ - "0.0298766,0.0350856,0.0399217,0.0491314,0.0673854,0.103833,0.176639", \ - "0.0329049,0.0388331,0.0439264,0.0531084,0.0711967,0.107555,0.180286", \ - "0.0328258,0.0394842,0.0451815,0.0546426,0.0725697,0.108716,0.181364", \ - "0.0293202,0.0365956,0.0430000,0.0531851,0.0711307,0.107074,0.179538"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0106710,0.0128505,0.0150916,0.0192320,0.0268886,0.0414301,0.0706698", \ - "0.0106725,0.0128502,0.0150912,0.0192338,0.0268886,0.0414306,0.0706705", \ - "0.0106723,0.0128515,0.0150925,0.0192339,0.0268908,0.0414311,0.0706718", \ - "0.0106757,0.0128632,0.0151090,0.0192504,0.0269033,0.0414369,0.0706754", \ - "0.0116354,0.0137094,0.0158291,0.0197789,0.0272457,0.0416369,0.0707648", \ - "0.0130146,0.0150656,0.0172075,0.0211970,0.0286144,0.0427566,0.0713037", \ - "0.0146545,0.0166664,0.0187898,0.0227379,0.0300413,0.0440140,0.0724822"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00445757,0.00791665,0.0119532,0.0203848,0.0376003,0.0721621,0.141337", \ - "0.00445552,0.00791578,0.0119534,0.0203841,0.0375949,0.0721617,0.141333", \ - "0.00457837,0.00796866,0.0119805,0.0203904,0.0375975,0.0721520,0.141332", \ - "0.00566762,0.00864535,0.0123544,0.0205472,0.0376448,0.0721696,0.141338", \ - "0.00706774,0.0100070,0.0132262,0.0208616,0.0377693,0.0722526,0.141347", \ - "0.00866032,0.0119046,0.0148942,0.0216634,0.0379757,0.0723697,0.141449", \ - "0.0105190,0.0140280,0.0172106,0.0232825,0.0384776,0.0725464,0.141570"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0678816,0.0736944,0.0788647,0.0873992,0.101271,0.124201,0.164062", \ - "0.0690860,0.0748945,0.0800658,0.0886017,0.102474,0.125404,0.165268", \ - "0.0739267,0.0797291,0.0848940,0.0934270,0.107297,0.130227,0.170091", \ - "0.0815635,0.0873625,0.0925243,0.101051,0.114919,0.137850,0.177718", \ - "0.0916028,0.0974675,0.102684,0.111263,0.125179,0.148160,0.188049", \ - "0.103354,0.109358,0.114743,0.123626,0.137990,0.161497,0.201744", \ - "0.118962,0.125163,0.130752,0.139967,0.154839,0.178978,0.219890"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0177188,0.0228010,0.0277863,0.0372684,0.0557611,0.0923435,0.165196", \ - "0.0192152,0.0242878,0.0292652,0.0387400,0.0572303,0.0938129,0.166673", \ - "0.0248851,0.0298488,0.0347438,0.0441366,0.0625907,0.0991798,0.172060", \ - "0.0313226,0.0366065,0.0415016,0.0507886,0.0691111,0.105626,0.178481", \ - "0.0353048,0.0412688,0.0464008,0.0556510,0.0738130,0.110218,0.182995", \ - "0.0364419,0.0431060,0.0488041,0.0582768,0.0762754,0.112476,0.185169", \ - "0.0345318,0.0417967,0.0481720,0.0583175,0.0762634,0.112268,0.184782"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.0106700,0.0128523,0.0150918,0.0192317,0.0268892,0.0414282,0.0706703", \ - "0.0106724,0.0128503,0.0150911,0.0192329,0.0268899,0.0414287,0.0706698", \ - "0.0106688,0.0128523,0.0150931,0.0192331,0.0268914,0.0414309,0.0706721", \ - "0.0106719,0.0128557,0.0150996,0.0192427,0.0268982,0.0414348,0.0706713", \ - "0.0113559,0.0134615,0.0156209,0.0196329,0.0271491,0.0415804,0.0707405", \ - "0.0124703,0.0145865,0.0167790,0.0208458,0.0283549,0.0425743,0.0712370", \ - "0.0138283,0.0159345,0.0181337,0.0222203,0.0297361,0.0439003,0.0723493"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.00472130,0.00821127,0.0122487,0.0206375,0.0377771,0.0722890,0.141414", \ - "0.00470058,0.00819458,0.0122348,0.0206306,0.0377793,0.0722806,0.141411", \ - "0.00472755,0.00817362,0.0122038,0.0206057,0.0377656,0.0722672,0.141422", \ - "0.00579661,0.00879962,0.0125203,0.0207061,0.0377777,0.0722840,0.141428", \ - "0.00717028,0.0101096,0.0133517,0.0210046,0.0378979,0.0723504,0.141438", \ - "0.00872107,0.0119343,0.0149257,0.0217506,0.0380902,0.0724656,0.141512", \ - "0.0105119,0.0139734,0.0171170,0.0232390,0.0385646,0.0726512,0.141656"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("5.973896,5.994018,6.040449,6.266248,6.643040,7.003553,7.168809", \ - "5.927323,5.912007,5.952174,6.180776,6.557522,6.914559,7.080898", \ - "5.921535,5.893820,5.955953,6.162059,6.537442,6.901803,7.067703", \ - "6.135543,6.115765,6.163692,6.352419,6.723935,7.095149,7.259989", \ - "6.887057,6.778556,6.778704,6.892409,7.213560,7.542857,7.691575", \ - "7.898196,7.729203,7.625471,7.654774,7.943048,8.243125,8.374894", \ - "9.222470,8.989487,8.807423,8.678037,8.841541,9.174076,9.323614"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("3.450104,3.655048,3.732963,3.844652,3.915990,3.517757,3.511318", \ - "3.488555,3.665933,3.741182,3.776585,3.922720,3.526951,3.966637", \ - "3.568087,3.652391,3.713455,3.821960,3.873455,3.979510,3.889048", \ - "3.898963,3.928025,3.946748,3.903124,3.995636,3.796182,4.051835", \ - "4.353120,4.522595,4.505624,4.469743,4.382360,4.249688,3.994037", \ - "5.136492,5.403934,5.395116,5.399902,5.131712,4.979947,4.918901", \ - "6.220790,6.391983,6.474904,6.489125,6.469231,6.135363,5.964875"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("6.807522,6.789518,6.859178,7.069714,7.455172,7.809746,7.969971", \ - "6.726685,6.740216,6.798010,7.002215,7.384387,7.749670,7.912065", \ - "6.646481,6.664584,6.727378,6.923714,7.309908,7.673276,7.841041", \ - "6.659059,6.663400,6.724125,6.896032,7.288265,7.658393,7.822143", \ - "7.056888,6.993532,7.002978,7.124196,7.463189,7.812816,7.962708", \ - "7.561572,7.496238,7.470969,7.575750,7.911848,8.227370,8.378055", \ - "8.522642,8.351069,8.251766,8.242158,8.494027,8.908197,9.083744"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("3.881276,4.097037,4.214161,4.294708,4.410913,4.486100,4.401112", \ - "3.870859,4.084104,4.163344,4.289735,4.357535,4.344056,4.258650", \ - "3.923021,4.074807,4.131910,4.200191,4.330604,4.288242,4.111311", \ - "4.242039,4.306556,4.327562,4.355327,4.431353,4.462074,4.475021", \ - "4.746512,4.904700,4.877383,4.768610,4.646998,4.668083,4.533071", \ - "5.520553,5.744073,5.724289,5.631323,5.367922,5.172069,5.491796", \ - "6.559351,6.763792,6.843230,6.703219,6.596434,6.461763,6.010940"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("7.505417,7.527553,7.587574,7.800530,8.178617,8.546827,8.707424", \ - "7.461628,7.471900,7.528871,7.740179,8.112985,8.478992,8.636913", \ - "7.377629,7.402660,7.462848,7.673507,8.038631,8.400915,8.570805", \ - "7.393617,7.371471,7.437823,7.619888,8.003569,8.376679,8.538829", \ - "7.610343,7.538439,7.557864,7.721349,8.081542,8.428744,8.585679", \ - "7.845467,7.787422,7.780534,7.949991,8.334567,8.688785,8.852305", \ - "8.630166,8.474602,8.442208,8.482853,8.811873,9.273694,9.495007"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("4.144685,4.431626,4.518153,4.680040,4.860833,4.796290,4.730952", \ - "4.084448,4.367743,4.493698,4.671295,4.765240,4.610999,4.557742", \ - "4.093481,4.367034,4.422274,4.590601,4.678432,4.684773,4.485649", \ - "4.453578,4.565690,4.598089,4.677387,4.786898,4.870663,4.914696", \ - "5.013578,5.208788,5.194659,5.132642,5.069990,5.144363,5.089738", \ - "5.782180,6.099857,6.101880,6.040203,5.910611,5.787778,5.585051", \ - "7.075549,7.227166,7.264404,7.282015,7.039154,6.939051,6.431411"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR3_X4 - Cell Description : Combinational cell (OR3_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OR3_X4) { - - drive_strength : 4; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 98.325150; - - leakage_power () { - when : "!A1 & !A2 & !A3"; - value : 134.990900; - } - leakage_power () { - when : "!A1 & !A2 & A3"; - value : 72.770830; - } - leakage_power () { - when : "!A1 & A2 & !A3"; - value : 73.234590; - } - leakage_power () { - when : "!A1 & A2 & A3"; - value : 99.517000; - } - leakage_power () { - when : "A1 & !A2 & !A3"; - value : 77.935220; - } - leakage_power () { - when : "A1 & !A2 & A3"; - value : 99.591910; - } - leakage_power () { - when : "A1 & A2 & !A3"; - value : 99.736340; - } - leakage_power () { - when : "A1 & A2 & A3"; - value : 128.824410; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.374939; - fall_capacitance : 2.626697; - rise_capacitance : 3.374939; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.377189; - fall_capacitance : 2.976384; - rise_capacitance : 3.377189; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.394655; - fall_capacitance : 3.256246; - rise_capacitance : 3.394655; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 242.310000; - function : "((A1 | A2) | A3)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0508008,0.0568663,0.0619376,0.0703089,0.0839406,0.106576,0.146175", \ - "0.0509889,0.0570493,0.0621203,0.0704932,0.0841269,0.106763,0.146363", \ - "0.0554474,0.0614919,0.0665498,0.0749137,0.0885419,0.111176,0.150776", \ - "0.0668751,0.0728911,0.0779248,0.0862780,0.0998912,0.122545,0.162150", \ - "0.0846397,0.0906714,0.0957451,0.104139,0.117808,0.140479,0.180093", \ - "0.104614,0.110922,0.116245,0.124956,0.138978,0.162130,0.202076", \ - "0.127088,0.133766,0.139419,0.148595,0.163140,0.186606,0.226930"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0148342,0.0199657,0.0248038,0.0341238,0.0524749,0.0889630,0.161796", \ - "0.0164223,0.0215436,0.0263751,0.0356945,0.0540544,0.0905512,0.163385", \ - "0.0214701,0.0265644,0.0313294,0.0405882,0.0589385,0.0954573,0.168320", \ - "0.0257270,0.0312641,0.0360731,0.0452684,0.0635339,0.0999958,0.172855", \ - "0.0272327,0.0336080,0.0387182,0.0478963,0.0659971,0.102384,0.175174", \ - "0.0254438,0.0326346,0.0384423,0.0479748,0.0659545,0.102145,0.174878", \ - "0.0199780,0.0278234,0.0344015,0.0448649,0.0629503,0.0990249,0.171606"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0102039,0.0124543,0.0146641,0.0187663,0.0263877,0.0409418,0.0703368", \ - "0.0102070,0.0124541,0.0146644,0.0187646,0.0263884,0.0409408,0.0703364", \ - "0.0102055,0.0124528,0.0146643,0.0187676,0.0263897,0.0409423,0.0703378", \ - "0.0101543,0.0124355,0.0146625,0.0187760,0.0263986,0.0409478,0.0703390", \ - "0.0115117,0.0135268,0.0155772,0.0194497,0.0268235,0.0411933,0.0704475", \ - "0.0137447,0.0156269,0.0175252,0.0211537,0.0282493,0.0424014,0.0710753", \ - "0.0160485,0.0179170,0.0197975,0.0232907,0.0299289,0.0434916,0.0722574"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00394331,0.00764414,0.0117445,0.0202512,0.0375200,0.0721391,0.141417", \ - "0.00394335,0.00764522,0.0117435,0.0202482,0.0375277,0.0721557,0.141425", \ - "0.00424839,0.00777102,0.0117974,0.0202579,0.0375230,0.0721452,0.141425", \ - "0.00541363,0.00851624,0.0122220,0.0204560,0.0375773,0.0721468,0.141427", \ - "0.00690303,0.0100341,0.0132097,0.0208090,0.0377325,0.0722515,0.141416", \ - "0.00867450,0.0121561,0.0151447,0.0218044,0.0380349,0.0724058,0.141517", \ - "0.0108089,0.0145235,0.0177894,0.0237916,0.0387704,0.0727632,0.141704"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0614842,0.0675438,0.0726123,0.0809822,0.0946135,0.117247,0.156845", \ - "0.0621890,0.0682464,0.0733154,0.0816869,0.0953196,0.117956,0.157554", \ - "0.0667249,0.0727737,0.0778400,0.0862064,0.0998359,0.122472,0.162071", \ - "0.0755119,0.0815315,0.0865839,0.0949368,0.108567,0.131208,0.170821", \ - "0.0890497,0.0951609,0.100307,0.108763,0.122476,0.145191,0.184836", \ - "0.106235,0.112529,0.117859,0.126650,0.140855,0.164139,0.204171", \ - "0.127403,0.133953,0.139504,0.148646,0.163371,0.187174,0.227749"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0164191,0.0216380,0.0265144,0.0358787,0.0542768,0.0908174,0.163688", \ - "0.0179495,0.0231655,0.0280387,0.0374005,0.0558024,0.0923426,0.165220", \ - "0.0232936,0.0284483,0.0332617,0.0425647,0.0609477,0.0975072,0.170408", \ - "0.0286144,0.0341528,0.0389867,0.0482140,0.0665023,0.103005,0.175898", \ - "0.0313151,0.0376063,0.0426697,0.0518614,0.0699839,0.106400,0.179230", \ - "0.0308886,0.0379448,0.0436077,0.0530417,0.0709990,0.107202,0.179965", \ - "0.0270585,0.0347488,0.0411227,0.0512600,0.0692086,0.105211,0.177761"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0102060,0.0124553,0.0146657,0.0187659,0.0263889,0.0409403,0.0703360", \ - "0.0102053,0.0124551,0.0146660,0.0187663,0.0263884,0.0409414,0.0703359", \ - "0.0102054,0.0124559,0.0146671,0.0187678,0.0263904,0.0409416,0.0703358", \ - "0.0102177,0.0124712,0.0146861,0.0187896,0.0264071,0.0409513,0.0703404", \ - "0.0112712,0.0134221,0.0155218,0.0194251,0.0268119,0.0411838,0.0704427", \ - "0.0126679,0.0147680,0.0168724,0.0208116,0.0281793,0.0423434,0.0710151", \ - "0.0143290,0.0163852,0.0184638,0.0223587,0.0296028,0.0435499,0.0721598"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00415768,0.00783015,0.0118941,0.0203503,0.0375889,0.0722087,0.141468", \ - "0.00415580,0.00782802,0.0118930,0.0203511,0.0375871,0.0721975,0.141460", \ - "0.00431257,0.00788946,0.0119192,0.0203588,0.0375867,0.0722093,0.141456", \ - "0.00539614,0.00854122,0.0122802,0.0205143,0.0376389,0.0722107,0.141459", \ - "0.00676936,0.00987381,0.0131155,0.0208074,0.0377674,0.0723040,0.141474", \ - "0.00835957,0.0117691,0.0147525,0.0215733,0.0379646,0.0724109,0.141566", \ - "0.0102365,0.0138917,0.0170507,0.0231497,0.0384637,0.0726035,0.141700"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0649679,0.0710271,0.0760964,0.0844678,0.0980992,0.120734,0.160331", \ - "0.0661713,0.0722291,0.0772991,0.0856712,0.0993034,0.121939,0.161537", \ - "0.0710396,0.0770914,0.0821574,0.0905241,0.104154,0.126789,0.166388", \ - "0.0786945,0.0847383,0.0898005,0.0981608,0.111789,0.134425,0.174028", \ - "0.0885700,0.0946916,0.0998177,0.108262,0.121970,0.144664,0.184296", \ - "0.100114,0.106373,0.111670,0.120417,0.134585,0.157834,0.197840", \ - "0.115578,0.122046,0.127557,0.136653,0.151331,0.175202,0.215860"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0168144,0.0222252,0.0272258,0.0367328,0.0552562,0.0918831,0.164818", \ - "0.0183180,0.0237164,0.0287081,0.0382075,0.0567312,0.0933583,0.166296", \ - "0.0239655,0.0292414,0.0341446,0.0435596,0.0620468,0.0986816,0.171648", \ - "0.0300913,0.0357126,0.0406083,0.0499125,0.0682731,0.104844,0.177792", \ - "0.0337636,0.0400991,0.0452119,0.0544582,0.0726529,0.109119,0.182001", \ - "0.0345967,0.0416608,0.0473205,0.0567804,0.0748057,0.111070,0.183876", \ - "0.0324077,0.0400829,0.0464156,0.0565138,0.0744745,0.110553,0.183171"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.0102043,0.0124546,0.0146647,0.0187648,0.0263894,0.0409406,0.0703364", \ - "0.0102065,0.0124549,0.0146652,0.0187668,0.0263900,0.0409423,0.0703368", \ - "0.0102060,0.0124551,0.0146653,0.0187680,0.0263903,0.0409414,0.0703382", \ - "0.0102122,0.0124622,0.0146760,0.0187769,0.0263977,0.0409455,0.0703387", \ - "0.0109636,0.0131408,0.0152767,0.0192407,0.0266979,0.0411176,0.0704143", \ - "0.0120902,0.0142627,0.0164234,0.0204467,0.0279168,0.0421507,0.0709388", \ - "0.0134809,0.0156355,0.0178018,0.0218399,0.0293117,0.0434676,0.0720302"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("0.00441758,0.00812939,0.0121921,0.0206107,0.0377722,0.0723274,0.141551", \ - "0.00439639,0.00811124,0.0121787,0.0206006,0.0377782,0.0723203,0.141541", \ - "0.00445497,0.00809273,0.0121451,0.0205741,0.0377586,0.0723134,0.141541", \ - "0.00552429,0.00869940,0.0124516,0.0206751,0.0377793,0.0723181,0.141551", \ - "0.00687214,0.00998395,0.0132450,0.0209539,0.0378999,0.0723949,0.141563", \ - "0.00841650,0.0117971,0.0147832,0.0216654,0.0380892,0.0725130,0.141641", \ - "0.0102253,0.0138343,0.0169560,0.0231068,0.0385476,0.0727038,0.141781"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("11.539220,11.469660,11.579420,12.038360,12.772060,13.428400,13.730670", \ - "11.371690,11.301820,11.440110,11.821960,12.592390,13.259220,13.564870", \ - "11.391000,11.288120,11.425550,11.840840,12.567200,13.234940,13.544740", \ - "11.860380,11.765100,11.840110,12.235540,12.956120,13.631620,13.937880", \ - "13.405580,13.137110,13.107610,13.340180,13.946800,14.537450,14.820550", \ - "15.470060,14.999500,14.765330,14.838140,15.396180,15.956700,16.179790", \ - "18.145010,17.536930,17.127160,16.887400,17.179910,17.800190,18.110730"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("6.495941,6.905478,7.039458,7.243822,7.400854,7.213866,6.847156", \ - "6.527240,6.913410,7.047158,7.149005,7.465879,7.197731,7.590287", \ - "6.764242,7.013085,7.106863,7.207485,7.357418,6.669086,7.469345", \ - "7.386952,7.565040,7.430748,7.582737,7.541750,7.793061,7.893034", \ - "8.367736,8.792142,8.737850,8.589332,8.546089,8.185209,7.980607", \ - "9.855605,10.385300,10.514190,10.407810,9.927448,9.925640,9.153213", \ - "12.075410,12.413060,12.618590,12.626780,12.750850,12.473690,12.063590"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("13.157510,13.073030,13.212200,13.595180,14.368940,15.033550,15.331810", \ - "13.001170,12.979230,13.087150,13.474260,14.248250,14.907640,15.205810", \ - "12.904970,12.833100,12.943470,13.366270,14.104650,14.767750,15.067160", \ - "12.923230,12.837120,12.942970,13.330340,14.067780,14.742700,15.038490", \ - "13.632390,13.543600,13.492700,13.793740,14.439140,15.055910,15.348250", \ - "14.761230,14.525540,14.428700,14.604530,15.297970,15.929900,16.184740", \ - "16.639050,16.237810,16.029880,15.975950,16.510860,17.254720,17.620240"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.403133,7.887345,8.079547,8.082852,8.424898,8.163316,8.480726", \ - "7.366292,7.857915,8.013112,8.176834,8.217606,8.564067,8.195742", \ - "7.517588,7.879020,7.983492,8.174225,8.327428,8.138022,7.989631", \ - "8.055148,8.243196,8.223106,8.380040,8.495843,8.195694,7.994496", \ - "9.045368,9.494179,9.423946,9.092408,9.194972,8.888831,9.430219", \ - "10.657470,11.108020,11.119290,10.931470,10.481720,9.926911,10.177390", \ - "12.944700,13.255050,13.273000,13.212700,12.767660,12.641940,12.414850"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("14.616370,14.542230,14.679950,15.078940,15.831380,16.518280,16.793670", \ - "14.508250,14.446490,14.528170,14.985600,15.703420,16.383530,16.681040", \ - "14.289930,14.286180,14.421260,14.798170,15.572710,16.234390,16.539450", \ - "14.331510,14.243460,14.357030,14.757550,15.517010,16.167970,16.483550", \ - "14.755770,14.616170,14.652670,14.950370,15.649530,16.295230,16.577970", \ - "15.224910,15.095380,15.102710,15.370710,16.169350,16.840150,17.115010", \ - "16.773650,16.513740,16.396470,16.510650,17.134110,17.994990,18.429450"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); - values ("7.845831,8.478520,8.725040,8.947848,9.367476,9.345013,9.147128", \ - "7.705717,8.326314,8.620282,8.975072,9.264597,9.254034,8.778093", \ - "7.829685,8.354789,8.533017,8.794458,9.069347,8.981074,9.294918", \ - "8.455029,8.790006,8.853106,8.942787,8.838449,9.092786,9.605188", \ - "9.607920,10.057530,9.949553,9.930121,9.689616,9.368570,10.048810", \ - "11.275180,11.793680,11.761800,11.749730,11.495250,11.270740,11.127650", \ - "13.756290,14.192030,14.333150,14.189150,13.794000,13.520080,12.897200"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR4_X1 - Cell Description : Combinational cell (OR4_X1) with drive strength X1 - *******************************************************************************************/ - - cell (OR4_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 26.733490; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 38.536850; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 18.059668; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 18.130959; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 24.698311; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 18.244149; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 24.702744; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 24.736932; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 31.924178; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 19.398973; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 24.719222; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 24.753982; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 31.935794; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 24.789930; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 31.950897; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 31.972545; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 39.180713; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.941245; - fall_capacitance : 0.748896; - rise_capacitance : 0.941245; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.938321; - fall_capacitance : 0.831823; - rise_capacitance : 0.938321; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.923766; - fall_capacitance : 0.852155; - rise_capacitance : 0.923766; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.914189; - fall_capacitance : 0.892889; - rise_capacitance : 0.914189; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0769601,0.0830396,0.0892122,0.0993896,0.115798,0.142271,0.186269", \ - "0.0767918,0.0828648,0.0890383,0.0992220,0.115630,0.142106,0.186106", \ - "0.0805355,0.0865939,0.0927554,0.102931,0.119331,0.145804,0.189801", \ - "0.0913150,0.0973794,0.103543,0.113699,0.130091,0.156557,0.200561", \ - "0.109940,0.115944,0.122067,0.132132,0.148479,0.174913,0.218900", \ - "0.134784,0.140863,0.147027,0.157179,0.173523,0.199962,0.243984", \ - "0.162158,0.168505,0.174945,0.185434,0.202014,0.228839,0.273388"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0173842,0.0217684,0.0266430,0.0359600,0.0542846,0.0907350,0.163461", \ - "0.0189853,0.0233642,0.0282332,0.0375514,0.0558800,0.0923317,0.165064", \ - "0.0244154,0.0287528,0.0335673,0.0428252,0.0611369,0.0975983,0.170364", \ - "0.0298559,0.0345967,0.0395057,0.0487249,0.0669311,0.103324,0.176084", \ - "0.0324214,0.0378751,0.0431614,0.0524454,0.0705117,0.106808,0.179471", \ - "0.0314184,0.0375753,0.0435938,0.0533576,0.0713175,0.107402,0.179972", \ - "0.0263556,0.0331141,0.0399101,0.0506406,0.0688276,0.104754,0.177144"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0154423,0.0175928,0.0200803,0.0246601,0.0329755,0.0481795,0.0771384", \ - "0.0154430,0.0175968,0.0200825,0.0246589,0.0329736,0.0481789,0.0771401", \ - "0.0154418,0.0175941,0.0200806,0.0246574,0.0329711,0.0481783,0.0771400", \ - "0.0154224,0.0175763,0.0200618,0.0246440,0.0329656,0.0481739,0.0771347", \ - "0.0151111,0.0173681,0.0199287,0.0245794,0.0329439,0.0481673,0.0771343", \ - "0.0170961,0.0190156,0.0212953,0.0256193,0.0336562,0.0486056,0.0773851", \ - "0.0196307,0.0214770,0.0236362,0.0276718,0.0352937,0.0500133,0.0784915"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00470020,0.00777313,0.0117728,0.0201625,0.0373792,0.0719420,0.141092", \ - "0.00470112,0.00777287,0.0117719,0.0201631,0.0373802,0.0719333,0.141090", \ - "0.00487424,0.00786461,0.0118200,0.0201744,0.0373746,0.0719525,0.141092", \ - "0.00604258,0.00870173,0.0123168,0.0203848,0.0374218,0.0719463,0.141088", \ - "0.00755467,0.0102674,0.0134288,0.0208322,0.0375754,0.0720198,0.141087", \ - "0.00930386,0.0123551,0.0154360,0.0219550,0.0378788,0.0721536,0.141176", \ - "0.0113585,0.0146726,0.0180708,0.0240989,0.0386712,0.0724302,0.141345"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0946761,0.100744,0.106910,0.117085,0.133491,0.159963,0.203963", \ - "0.0950373,0.101105,0.107274,0.117451,0.133857,0.160333,0.204333", \ - "0.0987184,0.104777,0.110944,0.121117,0.137520,0.163997,0.207996", \ - "0.106718,0.112786,0.118946,0.129115,0.145515,0.171989,0.215987", \ - "0.120852,0.126826,0.132957,0.143071,0.159446,0.185896,0.229898", \ - "0.140934,0.147077,0.153345,0.163736,0.180288,0.206913,0.251027", \ - "0.166129,0.172427,0.178871,0.189373,0.206359,0.233586,0.278363"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0190576,0.0235168,0.0284350,0.0377947,0.0561654,0.0926475,0.165427", \ - "0.0206189,0.0250769,0.0299923,0.0393509,0.0577211,0.0942041,0.166990", \ - "0.0261883,0.0305995,0.0354666,0.0447742,0.0631176,0.0996197,0.172420", \ - "0.0325964,0.0373395,0.0422721,0.0515219,0.0697566,0.106190,0.178971", \ - "0.0362317,0.0416352,0.0468935,0.0561780,0.0742681,0.110590,0.183296", \ - "0.0364550,0.0425275,0.0484355,0.0580683,0.0760278,0.112132,0.184743", \ - "0.0328175,0.0394604,0.0460954,0.0565729,0.0745583,0.110449,0.182862"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0154442,0.0175940,0.0200827,0.0246628,0.0329788,0.0481805,0.0771399", \ - "0.0154444,0.0175940,0.0200850,0.0246641,0.0329793,0.0481800,0.0771385", \ - "0.0154451,0.0175936,0.0200839,0.0246631,0.0329773,0.0481800,0.0771416", \ - "0.0154425,0.0175943,0.0200866,0.0246677,0.0329812,0.0481848,0.0771419", \ - "0.0155095,0.0176709,0.0201631,0.0247407,0.0330485,0.0482322,0.0771716", \ - "0.0169720,0.0190386,0.0214493,0.0258778,0.0339014,0.0487656,0.0774642", \ - "0.0185337,0.0205550,0.0229263,0.0273286,0.0353736,0.0502540,0.0785679"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00490280,0.00795978,0.0119254,0.0202715,0.0374420,0.0720023,0.141140", \ - "0.00490130,0.00795889,0.0119260,0.0202707,0.0374416,0.0719892,0.141137", \ - "0.00496911,0.00799893,0.0119490,0.0202778,0.0374488,0.0719836,0.141143", \ - "0.00603789,0.00872762,0.0123722,0.0204520,0.0374779,0.0720064,0.141149", \ - "0.00746460,0.0101570,0.0133629,0.0208443,0.0376156,0.0720858,0.141147", \ - "0.00908363,0.0120756,0.0151416,0.0217818,0.0378470,0.0721751,0.141224", \ - "0.0109521,0.0142076,0.0175181,0.0235844,0.0384413,0.0723418,0.141358"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.104515,0.110586,0.116756,0.126929,0.143336,0.169811,0.213811", \ - "0.105014,0.111085,0.117253,0.127429,0.143837,0.170312,0.214311", \ - "0.109149,0.115208,0.121375,0.131548,0.147952,0.174426,0.218427", \ - "0.116721,0.122784,0.128949,0.139110,0.155511,0.181985,0.225984", \ - "0.127383,0.133432,0.139576,0.149699,0.166084,0.192555,0.236560", \ - "0.141299,0.147440,0.153716,0.163998,0.180551,0.207196,0.251314", \ - "0.159393,0.165684,0.172104,0.182721,0.199701,0.226980,0.271820"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0196588,0.0242625,0.0292921,0.0387853,0.0572696,0.0938383,0.166680", \ - "0.0212130,0.0258100,0.0308346,0.0403232,0.0588048,0.0953772,0.168215", \ - "0.0269299,0.0314471,0.0364050,0.0458221,0.0642716,0.100846,0.173699", \ - "0.0340613,0.0388627,0.0438509,0.0531757,0.0714844,0.107976,0.180816", \ - "0.0385681,0.0440018,0.0492930,0.0586398,0.0767982,0.113171,0.185924", \ - "0.0398759,0.0459544,0.0518598,0.0614850,0.0795228,0.115688,0.188325", \ - "0.0375349,0.0441658,0.0507734,0.0611958,0.0792050,0.115164,0.187619"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0154439,0.0175967,0.0200836,0.0246622,0.0329785,0.0481805,0.0771397", \ - "0.0154445,0.0175947,0.0200831,0.0246640,0.0329756,0.0481820,0.0771399", \ - "0.0154459,0.0175940,0.0200835,0.0246658,0.0329771,0.0481807,0.0771414", \ - "0.0154442,0.0175941,0.0200860,0.0246640,0.0329803,0.0481830,0.0771392", \ - "0.0154942,0.0176501,0.0201398,0.0247161,0.0330238,0.0482139,0.0771590", \ - "0.0167607,0.0188594,0.0212937,0.0257683,0.0338370,0.0487263,0.0774407", \ - "0.0180687,0.0201440,0.0225778,0.0270658,0.0352410,0.0502014,0.0785803"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00515974,0.00823930,0.0122087,0.0205161,0.0376231,0.0720947,0.141212", \ - "0.00514794,0.00822698,0.0121986,0.0205127,0.0376173,0.0721084,0.141224", \ - "0.00512595,0.00819706,0.0121695,0.0204858,0.0376042,0.0721165,0.141222", \ - "0.00615066,0.00886507,0.0125263,0.0205990,0.0376080,0.0721133,0.141210", \ - "0.00755605,0.0102542,0.0134726,0.0209743,0.0377404,0.0721547,0.141229", \ - "0.00913285,0.0121073,0.0151670,0.0218572,0.0379607,0.0722742,0.141306", \ - "0.0109467,0.0141677,0.0174450,0.0235375,0.0385079,0.0724382,0.141429"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.107895,0.113969,0.120136,0.130311,0.146718,0.173193,0.217190", \ - "0.108888,0.114963,0.121132,0.131305,0.147714,0.174190,0.218189", \ - "0.113386,0.119451,0.125617,0.135792,0.152196,0.178670,0.222669", \ - "0.120670,0.126737,0.132901,0.143070,0.159470,0.185944,0.229944", \ - "0.129777,0.135829,0.141985,0.152113,0.168508,0.194978,0.238983", \ - "0.140236,0.146371,0.152623,0.162877,0.179382,0.205983,0.250071", \ - "0.152837,0.159084,0.165474,0.175981,0.192812,0.220012,0.264732"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0195311,0.0242387,0.0293784,0.0390400,0.0577282,0.0944710,0.167416", \ - "0.0210817,0.0257755,0.0309029,0.0405515,0.0592270,0.0959690,0.168915", \ - "0.0270028,0.0315925,0.0366302,0.0461767,0.0647928,0.101523,0.174484", \ - "0.0345936,0.0394643,0.0445154,0.0539308,0.0723588,0.108978,0.181917", \ - "0.0397338,0.0452309,0.0505854,0.0600123,0.0782754,0.114743,0.187579", \ - "0.0418645,0.0479930,0.0539565,0.0636672,0.0817861,0.118044,0.190754", \ - "0.0406111,0.0472852,0.0539379,0.0644507,0.0825165,0.118559,0.191085"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.0154465,0.0175933,0.0200825,0.0246650,0.0329754,0.0481782,0.0771390", \ - "0.0154459,0.0175938,0.0200832,0.0246637,0.0329752,0.0481783,0.0771402", \ - "0.0154436,0.0175939,0.0200827,0.0246626,0.0329779,0.0481791,0.0771407", \ - "0.0154432,0.0175968,0.0200846,0.0246639,0.0329793,0.0481835,0.0771425", \ - "0.0154707,0.0176173,0.0201094,0.0246913,0.0330014,0.0481999,0.0771487", \ - "0.0164130,0.0185209,0.0209664,0.0254597,0.0335962,0.0485770,0.0773596", \ - "0.0175379,0.0196419,0.0220964,0.0266309,0.0348630,0.0498723,0.0783477"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.00529391,0.00843776,0.0124665,0.0208279,0.0379197,0.0723285,0.141369", \ - "0.00527056,0.00841268,0.0124434,0.0208117,0.0379093,0.0723473,0.141373", \ - "0.00523083,0.00835137,0.0123704,0.0207415,0.0378642,0.0723268,0.141364", \ - "0.00627770,0.00901868,0.0126983,0.0207988,0.0378289,0.0722817,0.141345", \ - "0.00770161,0.0104213,0.0136569,0.0211668,0.0379347,0.0723398,0.141365", \ - "0.00929596,0.0122814,0.0153515,0.0220460,0.0381500,0.0724379,0.141415", \ - "0.0111306,0.0143420,0.0176195,0.0237187,0.0386932,0.0726173,0.141569"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.555953,3.515686,3.479474,3.473839,3.597751,3.819260,3.994055", \ - "3.504576,3.472851,3.447776,3.447607,3.562793,3.783636,3.958837", \ - "3.502312,3.463145,3.421847,3.428969,3.553110,3.772870,3.940894", \ - "3.568094,3.541947,3.505868,3.510717,3.622888,3.846167,4.015936", \ - "3.787546,3.745822,3.696711,3.691445,3.806836,4.024267,4.191609", \ - "4.303004,4.221824,4.159561,4.090696,4.163794,4.341851,4.486056", \ - "4.899987,4.811084,4.705799,4.599305,4.609081,4.764396,4.893461"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.795736,1.853574,1.884325,1.909833,1.929223,1.811695,2.013733", \ - "1.805902,1.871342,1.891130,1.895318,1.947283,2.006320,1.972551", \ - "1.855747,1.893340,1.907305,1.919056,1.950280,1.941748,1.930119", \ - "1.980651,2.018972,2.019567,1.975904,1.990752,1.956036,1.927033", \ - "2.202497,2.275905,2.251884,2.250234,2.140864,2.117532,2.206225", \ - "2.526361,2.633484,2.656762,2.575079,2.568067,2.374297,2.329601", \ - "3.008243,3.043294,3.135097,3.129587,3.067183,3.002998,2.923647"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.966114,3.900403,3.866396,3.880447,3.987031,4.208634,4.386018", \ - "3.924358,3.867440,3.847681,3.841772,3.955628,4.191079,4.357674", \ - "3.897790,3.832386,3.812107,3.802530,3.931880,4.155703,4.323393", \ - "3.884314,3.822496,3.799253,3.801364,3.915946,4.133029,4.305199", \ - "3.959289,3.916509,3.871425,3.869527,3.955911,4.175529,4.349860", \ - "4.243526,4.193491,4.152201,4.106676,4.169410,4.356843,4.518480", \ - "4.678852,4.569182,4.497817,4.428109,4.485294,4.658955,4.800804"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("1.997658,2.091698,2.132505,2.174232,2.215892,2.198609,2.166473", \ - "1.997368,2.094239,2.134563,2.179934,2.237584,2.278580,2.240066", \ - "2.046944,2.108399,2.139951,2.163969,2.187486,2.117490,2.177081", \ - "2.185951,2.226579,2.223529,2.239759,2.235119,2.148657,2.309172", \ - "2.390720,2.470080,2.455421,2.419581,2.334011,2.400738,2.374622", \ - "2.735296,2.832891,2.806579,2.742818,2.619647,2.546627,2.508675", \ - "3.178720,3.272971,3.298962,3.248653,3.154946,3.042875,2.973946"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.313966,4.261984,4.240540,4.232362,4.345964,4.572783,4.747205", \ - "4.284397,4.228724,4.205151,4.198529,4.327728,4.542666,4.718382", \ - "4.250327,4.195382,4.160926,4.161045,4.292854,4.504229,4.686058", \ - "4.231581,4.176020,4.153144,4.157432,4.270221,4.496459,4.660305", \ - "4.258316,4.220209,4.175575,4.169341,4.279266,4.491049,4.668163", \ - "4.474660,4.397992,4.351650,4.314397,4.394321,4.580695,4.749134", \ - "4.708186,4.647655,4.593975,4.541631,4.607048,4.812354,4.964355"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.143159,2.273190,2.327816,2.382349,2.442605,2.445244,2.483007", \ - "2.110292,2.262247,2.318862,2.401859,2.468725,2.435203,2.274917", \ - "2.140988,2.251219,2.295480,2.331033,2.380055,2.389404,2.510091", \ - "2.301441,2.359693,2.364541,2.378546,2.389867,2.408736,2.394250", \ - "2.534418,2.628939,2.628196,2.586443,2.522194,2.414329,2.430841", \ - "2.893711,2.996323,2.992919,2.938645,2.873547,2.865133,2.807095", \ - "3.380825,3.469821,3.498754,3.470164,3.350569,3.272207,3.212588"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.667098,4.625805,4.593510,4.594725,4.709602,4.925822,5.106675", \ - "4.637110,4.585378,4.562918,4.564915,4.683226,4.896786,5.075900", \ - "4.586006,4.546180,4.524806,4.520626,4.641748,4.870001,5.038966", \ - "4.589353,4.535322,4.506402,4.510718,4.617258,4.851928,5.015180", \ - "4.599015,4.551468,4.513175,4.514190,4.627629,4.842055,5.007940", \ - "4.723259,4.676399,4.637082,4.599790,4.695528,4.887745,5.056599", \ - "4.873648,4.826406,4.780927,4.747279,4.834354,5.061568,5.220722"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.153265,2.318567,2.420989,2.547885,2.658727,2.559181,2.511496", \ - "2.116480,2.299051,2.394871,2.513593,2.535684,2.567829,2.757059", \ - "2.180976,2.309631,2.359807,2.459132,2.581713,2.642018,2.640496", \ - "2.331964,2.401959,2.468214,2.514281,2.541037,2.569840,2.577685", \ - "2.611615,2.686693,2.708462,2.710510,2.659829,2.643853,2.793270", \ - "2.957158,3.074258,3.104305,3.051354,3.031471,3.019888,2.819368", \ - "3.528360,3.639576,3.663157,3.631518,3.496877,3.529135,3.521917"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR4_X2 - Cell Description : Combinational cell (OR4_X2) with drive strength X2 - *******************************************************************************************/ - - cell (OR4_X2) { - - drive_strength : 2; - - area : 1.862000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 53.869509; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 77.572660; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 36.317490; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 36.464010; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 49.756740; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 36.695890; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 49.767410; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 49.837150; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 64.390590; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 39.045490; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 49.802720; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 49.874770; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 64.415890; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 49.946820; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 64.446690; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 64.490910; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 79.086920; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.723147; - fall_capacitance : 1.339230; - rise_capacitance : 1.723147; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.675133; - fall_capacitance : 1.450126; - rise_capacitance : 1.675133; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.648372; - fall_capacitance : 1.500909; - rise_capacitance : 1.648372; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.636906; - fall_capacitance : 1.587243; - rise_capacitance : 1.636906; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0700375,0.0765841,0.0824687,0.0922181,0.108011,0.133678,0.176720", \ - "0.0698257,0.0763661,0.0822498,0.0920036,0.107801,0.133467,0.176510", \ - "0.0737081,0.0802286,0.0860978,0.0958412,0.111634,0.137297,0.180339", \ - "0.0846499,0.0911852,0.0970524,0.106782,0.122557,0.148236,0.191285", \ - "0.103576,0.109955,0.115736,0.125365,0.141060,0.166671,0.209717", \ - "0.127592,0.134136,0.140019,0.149703,0.165480,0.191200,0.234303", \ - "0.154129,0.160964,0.167139,0.177177,0.193181,0.219175,0.262817"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0161702,0.0210333,0.0258675,0.0351551,0.0534390,0.0898001,0.162375", \ - "0.0177652,0.0226214,0.0274508,0.0367371,0.0550269,0.0913929,0.163963", \ - "0.0230597,0.0278756,0.0326450,0.0418730,0.0601440,0.0965327,0.169135", \ - "0.0279694,0.0332219,0.0380548,0.0472339,0.0654180,0.101737,0.174328", \ - "0.0299390,0.0359838,0.0411575,0.0503462,0.0683798,0.104618,0.177118", \ - "0.0283156,0.0351406,0.0410198,0.0506195,0.0685073,0.104552,0.176978", \ - "0.0225991,0.0300752,0.0367324,0.0473035,0.0653552,0.101221,0.173475"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0144097,0.0167169,0.0191245,0.0235919,0.0317686,0.0468374,0.0757801", \ - "0.0144112,0.0167160,0.0191233,0.0235906,0.0317698,0.0468354,0.0757804", \ - "0.0144088,0.0167125,0.0191191,0.0235879,0.0317652,0.0468345,0.0757791", \ - "0.0143705,0.0166738,0.0190880,0.0235653,0.0317521,0.0468246,0.0757733", \ - "0.0141476,0.0164946,0.0189695,0.0235107,0.0317398,0.0468291,0.0757782", \ - "0.0164362,0.0184358,0.0205972,0.0247716,0.0326639,0.0474206,0.0760967", \ - "0.0189310,0.0208647,0.0229175,0.0267864,0.0341760,0.0487052,0.0772878"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00434203,0.00780437,0.0118435,0.0202678,0.0374590,0.0719546,0.140970", \ - "0.00434273,0.00780421,0.0118416,0.0202665,0.0374591,0.0719657,0.140966", \ - "0.00457796,0.00791050,0.0118932,0.0202805,0.0374569,0.0719517,0.140965", \ - "0.00574135,0.00869821,0.0123534,0.0204808,0.0375134,0.0719591,0.140970", \ - "0.00723970,0.0102379,0.0133990,0.0208749,0.0376679,0.0720611,0.140975", \ - "0.00899134,0.0123488,0.0153682,0.0219353,0.0379632,0.0721918,0.141062", \ - "0.0110857,0.0147063,0.0180233,0.0240099,0.0387066,0.0724905,0.141238"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0877222,0.0942592,0.100138,0.109883,0.125676,0.151341,0.194385", \ - "0.0880527,0.0945873,0.100466,0.110213,0.126008,0.151675,0.194721", \ - "0.0917700,0.0982995,0.104173,0.113916,0.129707,0.155371,0.198418", \ - "0.0998215,0.106348,0.112225,0.121965,0.137747,0.163411,0.206458", \ - "0.113918,0.120350,0.126177,0.135866,0.151615,0.177280,0.220340", \ - "0.133489,0.140118,0.146116,0.156053,0.172121,0.198034,0.241247", \ - "0.158080,0.164878,0.171043,0.181226,0.197638,0.224112,0.268056"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0178306,0.0227773,0.0276526,0.0369836,0.0553114,0.0917207,0.164333", \ - "0.0193898,0.0243353,0.0292074,0.0385373,0.0568656,0.0932758,0.165893", \ - "0.0248982,0.0297870,0.0346074,0.0438825,0.0621927,0.0986107,0.171249", \ - "0.0308221,0.0360767,0.0409385,0.0501486,0.0683574,0.104718,0.177342", \ - "0.0339253,0.0399031,0.0450425,0.0542510,0.0723053,0.108572,0.181120", \ - "0.0335614,0.0402786,0.0460359,0.0555575,0.0734581,0.109507,0.181973", \ - "0.0293154,0.0366592,0.0431406,0.0534232,0.0713680,0.107198,0.179460"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0144133,0.0167183,0.0191293,0.0235965,0.0317734,0.0468415,0.0757853", \ - "0.0144109,0.0167187,0.0191279,0.0235975,0.0317732,0.0468400,0.0757852", \ - "0.0144133,0.0167187,0.0191285,0.0235975,0.0317743,0.0468401,0.0757845", \ - "0.0144134,0.0167206,0.0191320,0.0236037,0.0317798,0.0468432,0.0757837", \ - "0.0145826,0.0168809,0.0192794,0.0237329,0.0318796,0.0469137,0.0758241", \ - "0.0161494,0.0183466,0.0206736,0.0250233,0.0329367,0.0475946,0.0761867", \ - "0.0177534,0.0198902,0.0221715,0.0264389,0.0343158,0.0490360,0.0773828"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00455302,0.00799093,0.0119973,0.0203749,0.0375253,0.0720159,0.141007", \ - "0.00455118,0.00799155,0.0119970,0.0203723,0.0375343,0.0720141,0.141015", \ - "0.00465055,0.00803822,0.0120212,0.0203794,0.0375279,0.0719954,0.141006", \ - "0.00573051,0.00872515,0.0124121,0.0205427,0.0375720,0.0720153,0.141018", \ - "0.00713274,0.0101087,0.0133236,0.0208869,0.0377064,0.0720955,0.141030", \ - "0.00874069,0.0120295,0.0150408,0.0217434,0.0379142,0.0722045,0.141122", \ - "0.0106208,0.0141838,0.0174087,0.0234613,0.0384541,0.0723764,0.141239"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0975297,0.104065,0.109944,0.119691,0.135482,0.161149,0.204191", \ - "0.0979900,0.104525,0.110404,0.120152,0.135946,0.161613,0.204656", \ - "0.102153,0.108682,0.114558,0.124300,0.140089,0.165756,0.208799", \ - "0.109757,0.116285,0.122159,0.131900,0.147686,0.173351,0.216395", \ - "0.120404,0.126894,0.132751,0.142452,0.158224,0.183888,0.226945", \ - "0.133715,0.140330,0.146332,0.156261,0.172341,0.198267,0.241501", \ - "0.151540,0.158314,0.164465,0.174665,0.191136,0.217702,0.261687"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0183744,0.0234905,0.0284832,0.0379514,0.0564009,0.0928961,0.165572", \ - "0.0199332,0.0250417,0.0300277,0.0394899,0.0579383,0.0944334,0.167108", \ - "0.0256385,0.0306452,0.0355552,0.0449422,0.0633560,0.0998552,0.172554", \ - "0.0323179,0.0376442,0.0425633,0.0518494,0.0701298,0.106556,0.179236", \ - "0.0363442,0.0423569,0.0475325,0.0567984,0.0749216,0.111236,0.183836", \ - "0.0370941,0.0438157,0.0495776,0.0591105,0.0770777,0.113188,0.185696", \ - "0.0342235,0.0415512,0.0480054,0.0582501,0.0761953,0.112106,0.184431"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0144111,0.0167185,0.0191279,0.0235968,0.0317735,0.0468387,0.0757850", \ - "0.0144133,0.0167189,0.0191279,0.0235961,0.0317721,0.0468394,0.0757822", \ - "0.0144120,0.0167204,0.0191285,0.0235968,0.0317737,0.0468400,0.0757850", \ - "0.0144162,0.0167197,0.0191299,0.0235994,0.0317769,0.0468417,0.0757828", \ - "0.0145298,0.0168299,0.0192319,0.0236895,0.0318464,0.0468921,0.0758126", \ - "0.0158935,0.0181302,0.0204846,0.0248726,0.0328406,0.0475458,0.0761588", \ - "0.0172231,0.0194344,0.0217817,0.0261594,0.0341901,0.0490018,0.0773793"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00481570,0.00828475,0.0122880,0.0206288,0.0377101,0.0721310,0.141089", \ - "0.00479863,0.00826982,0.0122755,0.0206186,0.0377058,0.0721206,0.141087", \ - "0.00479995,0.00824235,0.0122433,0.0205932,0.0376913,0.0721154,0.141096", \ - "0.00585003,0.00887195,0.0125717,0.0207016,0.0377056,0.0721227,0.141085", \ - "0.00722799,0.0102063,0.0134397,0.0210275,0.0378338,0.0721919,0.141106", \ - "0.00879776,0.0120643,0.0150776,0.0218280,0.0380344,0.0723045,0.141186", \ - "0.0106166,0.0141386,0.0173308,0.0234164,0.0385345,0.0724790,0.141322"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.100903,0.107437,0.113318,0.123063,0.138857,0.164523,0.207564", \ - "0.101857,0.108391,0.114272,0.124017,0.139814,0.165481,0.208524", \ - "0.106367,0.112895,0.118770,0.128513,0.144304,0.169968,0.213012", \ - "0.113660,0.120184,0.126060,0.135800,0.151589,0.177253,0.220296", \ - "0.122740,0.129246,0.135111,0.144834,0.160615,0.186281,0.229329", \ - "0.132744,0.139360,0.145336,0.155227,0.171226,0.197092,0.240272", \ - "0.145076,0.151800,0.157919,0.168020,0.184380,0.210843,0.254705"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0182068,0.0234419,0.0285477,0.0381928,0.0568512,0.0935157,0.166307", \ - "0.0197678,0.0249858,0.0300775,0.0397063,0.0583554,0.0950217,0.167817", \ - "0.0256931,0.0307814,0.0357720,0.0452919,0.0638760,0.100538,0.173341", \ - "0.0328385,0.0382451,0.0432276,0.0526086,0.0710110,0.107567,0.180351", \ - "0.0375100,0.0436000,0.0488396,0.0581990,0.0764298,0.112842,0.185529", \ - "0.0391329,0.0459178,0.0517369,0.0613473,0.0793995,0.115605,0.188184", \ - "0.0374075,0.0447891,0.0512850,0.0616030,0.0796309,0.115618,0.188017"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.0144116,0.0167188,0.0191285,0.0235972,0.0317710,0.0468395,0.0757799", \ - "0.0144115,0.0167186,0.0191280,0.0235985,0.0317716,0.0468392,0.0757807", \ - "0.0144094,0.0167213,0.0191279,0.0235965,0.0317749,0.0468414,0.0757851", \ - "0.0144120,0.0167200,0.0191288,0.0236001,0.0317772,0.0468420,0.0757845", \ - "0.0144652,0.0167707,0.0191809,0.0236457,0.0318122,0.0468659,0.0757974", \ - "0.0155000,0.0177579,0.0201271,0.0245286,0.0325421,0.0473470,0.0760534", \ - "0.0166504,0.0188978,0.0212709,0.0256942,0.0337884,0.0486652,0.0771187"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.00493685,0.00848574,0.0125533,0.0209534,0.0380219,0.0723624,0.141235", \ - "0.00491024,0.00845919,0.0125286,0.0209316,0.0380107,0.0723509,0.141240", \ - "0.00489663,0.00839867,0.0124502,0.0208572,0.0379626,0.0723462,0.141231", \ - "0.00598113,0.00903234,0.0127529,0.0209059,0.0379242,0.0723247,0.141227", \ - "0.00738410,0.0103877,0.0136328,0.0212269,0.0380319,0.0723550,0.141231", \ - "0.00897080,0.0122443,0.0152695,0.0220249,0.0382281,0.0724767,0.141305", \ - "0.0108147,0.0143207,0.0175141,0.0236076,0.0387339,0.0726650,0.141463"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.735740,6.626196,6.555672,6.564382,6.799015,7.226360,7.529805", \ - "6.650704,6.539962,6.466511,6.479677,6.705329,7.144170,7.443666", \ - "6.646311,6.517276,6.444655,6.460747,6.690530,7.115960,7.416431", \ - "6.796089,6.674403,6.604020,6.611749,6.838047,7.274090,7.580477", \ - "7.282460,7.134084,7.052102,7.042162,7.259408,7.654709,7.953841", \ - "8.268579,8.081706,7.961695,7.853388,7.970128,8.291706,8.554427", \ - "9.595473,9.308941,9.072256,8.831381,8.850070,9.144553,9.372422"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.513161,3.668646,3.720281,3.837720,3.873457,3.789782,3.458715", \ - "3.531147,3.696864,3.758144,3.853930,3.894913,3.591893,4.015514", \ - "3.606623,3.720847,3.734384,3.808843,3.905892,3.969823,3.939779", \ - "3.930993,4.020159,3.948424,3.980734,4.058118,4.076750,4.057980", \ - "4.331774,4.550287,4.519649,4.428553,4.402405,4.248345,4.472634", \ - "4.945589,5.264559,5.268046,5.171056,5.177824,4.709788,5.071767", \ - "5.942984,6.060824,6.202324,6.200631,6.243290,6.064841,5.700384"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.552328,7.416472,7.342378,7.373073,7.585492,8.024094,8.327471", \ - "7.457054,7.361391,7.290735,7.291986,7.527146,7.965238,8.267463", \ - "7.425696,7.271248,7.221371,7.240560,7.456932,7.891308,8.195908", \ - "7.417900,7.269309,7.208681,7.221870,7.434981,7.862527,8.169779", \ - "7.590274,7.481890,7.382972,7.366071,7.549582,7.970537,8.262926", \ - "8.202274,8.041197,7.938374,7.847239,7.992519,8.335888,8.605776", \ - "9.030483,8.785442,8.651022,8.479301,8.582760,8.937698,9.194995"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.927999,4.142648,4.176322,4.322112,4.403677,4.468981,4.443217", \ - "3.932270,4.126036,4.182537,4.270627,4.455494,4.339787,4.313754", \ - "3.994712,4.166757,4.202317,4.251638,4.274947,4.324871,4.270932", \ - "4.313815,4.395999,4.346700,4.388912,4.471195,4.384785,4.193028", \ - "4.699618,4.872718,4.889214,4.774615,4.633591,4.840809,4.376877", \ - "5.394568,5.636725,5.589408,5.505093,5.345605,5.363710,5.383376", \ - "6.379516,6.505292,6.537827,6.514652,6.402286,6.170413,5.894078"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.261979,8.154893,8.084260,8.095097,8.345854,8.759470,9.061855", \ - "8.183936,8.093923,8.031489,8.024257,8.275995,8.698231,8.997229", \ - "8.143314,8.031073,7.961638,7.961885,8.204873,8.625720,8.923124", \ - "8.114155,7.993384,7.931666,7.925652,8.159189,8.589581,8.889198", \ - "8.233980,8.057907,7.977098,7.975484,8.178854,8.600652,8.902316", \ - "8.599076,8.437927,8.318711,8.270218,8.434603,8.787418,9.064887", \ - "9.167181,8.965824,8.811683,8.716515,8.845522,9.243706,9.517651"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.213903,4.449183,4.602630,4.747352,4.914965,4.970246,4.826797", \ - "4.198593,4.469030,4.601385,4.728757,4.896288,4.961020,4.815111", \ - "4.221128,4.427263,4.558706,4.629822,4.627935,4.826758,4.604944", \ - "4.494526,4.654027,4.723883,4.759988,4.647714,4.957004,4.968662", \ - "5.012254,5.201594,5.189095,5.157464,5.071404,5.076434,5.054972", \ - "5.696192,5.939884,5.977589,5.812804,5.692896,5.666163,5.288564", \ - "6.783815,6.904664,6.945216,6.916343,6.735950,6.671385,6.191712"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.982751,8.876252,8.812518,8.810051,9.046715,9.478065,9.776148", \ - "8.908158,8.815808,8.753345,8.764647,8.982763,9.418386,9.721144", \ - "8.859801,8.732293,8.673725,8.677349,8.942830,9.342688,9.648350", \ - "8.807692,8.721709,8.644134,8.657125,8.878407,9.313582,9.612151", \ - "8.856362,8.753392,8.675337,8.665319,8.879574,9.309174,9.601524", \ - "9.124151,8.975402,8.903105,8.849650,9.013402,9.408076,9.694699", \ - "9.464230,9.310458,9.191477,9.125823,9.294558,9.753237,10.047390"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.238351,4.607134,4.764048,4.986057,5.217245,5.114525,5.224463", \ - "4.187646,4.516766,4.728281,4.993684,5.016068,5.241727,5.303885", \ - "4.239422,4.526480,4.731203,4.932613,5.127779,4.930411,5.396208", \ - "4.606828,4.789726,4.858976,5.018412,4.925318,5.267788,5.167838", \ - "5.123155,5.354814,5.385490,5.351369,5.350235,5.223575,5.618514", \ - "5.899664,6.192948,6.177718,6.101743,6.002762,5.983036,5.986172", \ - "7.078917,7.275340,7.360521,7.198873,7.078863,6.856806,6.821081"); - } - } - } - - } - - - /****************************************************************************************** - Module : OR4_X4 - Cell Description : Combinational cell (OR4_X4) with drive strength X4 - *******************************************************************************************/ - - cell (OR4_X4) { - - drive_strength : 4; - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 107.739253; - - leakage_power () { - when : "!A1 & !A2 & !A3 & !A4"; - value : 155.145100; - } - leakage_power () { - when : "!A1 & !A2 & !A3 & A4"; - value : 72.634980; - } - leakage_power () { - when : "!A1 & !A2 & A3 & !A4"; - value : 72.928350; - } - leakage_power () { - when : "!A1 & !A2 & A3 & A4"; - value : 99.513700; - } - leakage_power () { - when : "!A1 & A2 & !A3 & !A4"; - value : 73.392000; - } - leakage_power () { - when : "!A1 & A2 & !A3 & A4"; - value : 99.535260; - } - leakage_power () { - when : "!A1 & A2 & A3 & !A4"; - value : 99.674630; - } - leakage_power () { - when : "!A1 & A2 & A3 & A4"; - value : 128.781510; - } - leakage_power () { - when : "A1 & !A2 & !A3 & !A4"; - value : 78.091200; - } - leakage_power () { - when : "A1 & !A2 & !A3 & A4"; - value : 99.605660; - } - leakage_power () { - when : "A1 & !A2 & A3 & !A4"; - value : 99.749650; - } - leakage_power () { - when : "A1 & !A2 & A3 & A4"; - value : 128.831890; - } - leakage_power () { - when : "A1 & A2 & !A3 & !A4"; - value : 99.893970; - } - leakage_power () { - when : "A1 & A2 & !A3 & A4"; - value : 128.893710; - } - leakage_power () { - when : "A1 & A2 & A3 & !A4"; - value : 128.982150; - } - leakage_power () { - when : "A1 & A2 & A3 & A4"; - value : 158.174280; - } - - pin (A1) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.348292; - fall_capacitance : 2.565087; - rise_capacitance : 3.348292; - } - - pin (A2) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.375615; - fall_capacitance : 2.913948; - rise_capacitance : 3.375615; - } - - pin (A3) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.504744; - fall_capacitance : 3.184096; - rise_capacitance : 3.504744; - } - - pin (A4) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.612474; - fall_capacitance : 3.509931; - rise_capacitance : 3.612474; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 241.699000; - function : "(((A1 | A2) | A3) | A4)"; - - timing () { - - related_pin : "A1"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0676151,0.0744880,0.0803048,0.0899470,0.105581,0.131037,0.173853", \ - "0.0674234,0.0742913,0.0801119,0.0897565,0.105394,0.130851,0.173668", \ - "0.0713812,0.0782233,0.0840338,0.0936706,0.109301,0.134752,0.177570", \ - "0.0824234,0.0892560,0.0950454,0.104675,0.120311,0.145777,0.188600", \ - "0.101440,0.108066,0.113754,0.123255,0.138844,0.164267,0.207066", \ - "0.125160,0.131984,0.137783,0.147378,0.163061,0.188630,0.231523", \ - "0.151435,0.158587,0.164690,0.174623,0.190493,0.216376,0.259800"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0156301,0.0208087,0.0256578,0.0349667,0.0532783,0.0896861,0.162346", \ - "0.0172233,0.0223942,0.0272379,0.0365459,0.0548643,0.0912780,0.163940", \ - "0.0224577,0.0275918,0.0323758,0.0416251,0.0599280,0.0963680,0.169058", \ - "0.0271314,0.0327350,0.0375813,0.0467786,0.0649974,0.101378,0.174062", \ - "0.0288783,0.0353069,0.0404700,0.0496939,0.0677549,0.104060,0.176669", \ - "0.0270086,0.0342471,0.0401172,0.0497249,0.0676765,0.103770,0.176324", \ - "0.0210525,0.0289739,0.0356123,0.0461725,0.0642553,0.100177,0.172581"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0140296,0.0164242,0.0188111,0.0232523,0.0314014,0.0464577,0.0754639", \ - "0.0140287,0.0164236,0.0188097,0.0232508,0.0314009,0.0464571,0.0754661", \ - "0.0140270,0.0164167,0.0188059,0.0232470,0.0313979,0.0464557,0.0754644", \ - "0.0139761,0.0163706,0.0187665,0.0232197,0.0313809,0.0464456,0.0754584", \ - "0.0138459,0.0162311,0.0186648,0.0231763,0.0313754,0.0464535,0.0754670", \ - "0.0161809,0.0182360,0.0203658,0.0244886,0.0323535,0.0470946,0.0758056", \ - "0.0186684,0.0206526,0.0226757,0.0264954,0.0338209,0.0483199,0.0770089"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00409924,0.00776956,0.0118270,0.0202756,0.0374861,0.0720304,0.141139", \ - "0.00410008,0.00777179,0.0118280,0.0202711,0.0374852,0.0720432,0.141131", \ - "0.00436231,0.00788226,0.0118779,0.0202825,0.0374898,0.0720429,0.141132", \ - "0.00551822,0.00865317,0.0123284,0.0204855,0.0375378,0.0720467,0.141138", \ - "0.00698926,0.0101736,0.0133519,0.0208733,0.0376989,0.0721387,0.141138", \ - "0.00874452,0.0122875,0.0153093,0.0219116,0.0379929,0.0722709,0.141245", \ - "0.0108619,0.0146532,0.0179658,0.0239631,0.0387340,0.0725768,0.141411"); - } - } - - timing () { - - related_pin : "A2"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0852977,0.0921616,0.0979744,0.107613,0.123245,0.148700,0.191517", \ - "0.0856339,0.0924979,0.0983123,0.107954,0.123587,0.149044,0.191862", \ - "0.0893873,0.0962425,0.102051,0.111688,0.127318,0.152773,0.195591", \ - "0.0974490,0.104313,0.110115,0.119743,0.135369,0.160821,0.203642", \ - "0.111541,0.118268,0.124036,0.133613,0.149214,0.174684,0.217532", \ - "0.130917,0.137863,0.143789,0.153620,0.169582,0.195330,0.238355", \ - "0.155325,0.162456,0.168566,0.178620,0.194951,0.221232,0.264943"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0172267,0.0224951,0.0273854,0.0367386,0.0550980,0.0915516,0.164258", \ - "0.0187856,0.0240522,0.0289396,0.0382905,0.0566509,0.0931085,0.165820", \ - "0.0242652,0.0294734,0.0343061,0.0436017,0.0619417,0.0984128,0.171143", \ - "0.0299661,0.0355675,0.0404378,0.0496706,0.0679134,0.104330,0.177057", \ - "0.0328371,0.0391980,0.0443326,0.0535563,0.0716473,0.107971,0.180632", \ - "0.0322480,0.0393772,0.0451202,0.0546388,0.0725719,0.108683,0.181262", \ - "0.0277718,0.0355555,0.0420197,0.0522872,0.0702544,0.106143,0.178514"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0140312,0.0164257,0.0188160,0.0232569,0.0314051,0.0464602,0.0754653", \ - "0.0140330,0.0164274,0.0188159,0.0232573,0.0314057,0.0464620,0.0754660", \ - "0.0140356,0.0164257,0.0188165,0.0232577,0.0314066,0.0464613,0.0754655", \ - "0.0140354,0.0164284,0.0188191,0.0232638,0.0314126,0.0464661,0.0754674", \ - "0.0142615,0.0166307,0.0190000,0.0234180,0.0315295,0.0465462,0.0755155", \ - "0.0158410,0.0181143,0.0204207,0.0247426,0.0326439,0.0472774,0.0758970", \ - "0.0174696,0.0196670,0.0219221,0.0261574,0.0339907,0.0486877,0.0771168"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00431208,0.00795676,0.0119799,0.0203811,0.0375614,0.0720819,0.141181", \ - "0.00430904,0.00795582,0.0119791,0.0203788,0.0375549,0.0720868,0.141178", \ - "0.00442595,0.00800751,0.0120051,0.0203838,0.0375582,0.0720823,0.141173", \ - "0.00550494,0.00867786,0.0123883,0.0205502,0.0375999,0.0720885,0.141183", \ - "0.00687897,0.0100392,0.0132755,0.0208799,0.0377352,0.0721714,0.141199", \ - "0.00847965,0.0119560,0.0149698,0.0217180,0.0379483,0.0722907,0.141291", \ - "0.0103757,0.0141067,0.0173277,0.0234019,0.0384750,0.0724674,0.141417"); - } - } - - timing () { - - related_pin : "A3"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0951068,0.101972,0.107786,0.117425,0.133058,0.158515,0.201330", \ - "0.0955739,0.102439,0.108255,0.117896,0.133530,0.158986,0.201804", \ - "0.0997727,0.106628,0.112438,0.122073,0.137705,0.163159,0.205978", \ - "0.107389,0.114244,0.120052,0.129685,0.145312,0.170766,0.213586", \ - "0.118026,0.124835,0.130622,0.140218,0.155841,0.181300,0.224128", \ - "0.131162,0.138088,0.144023,0.153868,0.169809,0.195585,0.238609", \ - "0.148890,0.155978,0.162074,0.172181,0.188512,0.214887,0.258680"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0177449,0.0231979,0.0282078,0.0377009,0.0561835,0.0927259,0.165494", \ - "0.0193071,0.0247508,0.0297540,0.0392413,0.0577210,0.0942641,0.167035", \ - "0.0250075,0.0303390,0.0352631,0.0446719,0.0631190,0.0996699,0.172460", \ - "0.0314790,0.0371563,0.0420848,0.0513930,0.0697115,0.106197,0.178974", \ - "0.0352873,0.0416855,0.0468584,0.0561491,0.0743093,0.110683,0.183390", \ - "0.0358214,0.0429649,0.0487101,0.0582424,0.0762440,0.112413,0.185040", \ - "0.0327455,0.0405168,0.0469479,0.0571716,0.0751562,0.111119,0.183547"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0140335,0.0164262,0.0188160,0.0232580,0.0314043,0.0464609,0.0754657", \ - "0.0140327,0.0164276,0.0188152,0.0232578,0.0314051,0.0464597,0.0754648", \ - "0.0140300,0.0164277,0.0188147,0.0232583,0.0314088,0.0464621,0.0754657", \ - "0.0140324,0.0164282,0.0188187,0.0232628,0.0314113,0.0464641,0.0754709", \ - "0.0141817,0.0165627,0.0189395,0.0233649,0.0314901,0.0465168,0.0754982", \ - "0.0155648,0.0178830,0.0202190,0.0245841,0.0325386,0.0472189,0.0758684", \ - "0.0169157,0.0192009,0.0215248,0.0258752,0.0338698,0.0486620,0.0771094"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00456991,0.00825184,0.0122736,0.0206327,0.0377419,0.0722081,0.141256", \ - "0.00454959,0.00823683,0.0122626,0.0206298,0.0377426,0.0721990,0.141258", \ - "0.00456855,0.00821042,0.0122298,0.0205983,0.0377254,0.0721964,0.141257", \ - "0.00562409,0.00882646,0.0125495,0.0207061,0.0377382,0.0721987,0.141253", \ - "0.00697733,0.0101392,0.0133935,0.0210200,0.0378631,0.0722824,0.141281", \ - "0.00854011,0.0119866,0.0150034,0.0218001,0.0380626,0.0723841,0.141356", \ - "0.0103696,0.0140611,0.0172451,0.0233585,0.0385534,0.0725656,0.141499"); - } - } - - timing () { - - related_pin : "A4"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0984919,0.105356,0.111169,0.120809,0.136442,0.161897,0.204713", \ - "0.0994550,0.106315,0.112131,0.121772,0.137407,0.162863,0.205680", \ - "0.103990,0.110846,0.116655,0.126290,0.141921,0.167378,0.210195", \ - "0.111291,0.118146,0.123956,0.133591,0.149220,0.174670,0.217488", \ - "0.120372,0.127206,0.133003,0.142620,0.158242,0.183691,0.226515", \ - "0.130243,0.137199,0.143109,0.152905,0.168773,0.194449,0.237409", \ - "0.142502,0.149543,0.155585,0.165608,0.181848,0.208124,0.251776"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0175816,0.0231627,0.0282884,0.0379615,0.0566560,0.0933744,0.166260", \ - "0.0191479,0.0247105,0.0298209,0.0394785,0.0581637,0.0948831,0.167770", \ - "0.0250746,0.0304949,0.0355004,0.0450463,0.0636658,0.100378,0.173280", \ - "0.0320278,0.0377936,0.0427873,0.0521938,0.0706346,0.107252,0.180134", \ - "0.0365024,0.0429829,0.0482210,0.0575814,0.0758478,0.112330,0.185132", \ - "0.0379196,0.0451301,0.0509319,0.0605481,0.0786401,0.114906,0.187598", \ - "0.0360106,0.0438381,0.0503153,0.0606134,0.0786701,0.114723,0.187227"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.0140307,0.0164262,0.0188159,0.0232570,0.0314043,0.0464583,0.0754648", \ - "0.0140309,0.0164276,0.0188151,0.0232573,0.0314053,0.0464589,0.0754675", \ - "0.0140326,0.0164276,0.0188158,0.0232581,0.0314072,0.0464598,0.0754670", \ - "0.0140310,0.0164281,0.0188171,0.0232599,0.0314089,0.0464629,0.0754663", \ - "0.0140996,0.0164909,0.0188769,0.0233145,0.0314511,0.0464926,0.0754863", \ - "0.0151629,0.0175004,0.0198495,0.0242266,0.0322238,0.0470075,0.0757573", \ - "0.0163251,0.0186503,0.0210040,0.0254011,0.0334667,0.0483309,0.0768375"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("0.00468781,0.00845670,0.0125447,0.0209670,0.0380665,0.0724366,0.141409", \ - "0.00466025,0.00842841,0.0125179,0.0209458,0.0380484,0.0724368,0.141410", \ - "0.00466560,0.00836935,0.0124417,0.0208681,0.0380040,0.0724157,0.141410", \ - "0.00575442,0.00899297,0.0127361,0.0209186,0.0379597,0.0723956,0.141392", \ - "0.00713237,0.0103259,0.0135896,0.0212241,0.0380702,0.0724401,0.141402", \ - "0.00871857,0.0121748,0.0152022,0.0220036,0.0382631,0.0725608,0.141488", \ - "0.0105780,0.0142520,0.0174376,0.0235568,0.0387571,0.0727492,0.141638"); - } - } - - internal_power () { - - related_pin : "A1"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("13.164060,12.956280,12.807400,12.866050,13.389920,14.177920,14.756560", \ - "13.098280,12.803290,12.673090,12.699760,13.210000,14.013440,14.597480", \ - "12.958170,12.738530,12.592930,12.653360,13.165510,13.967010,14.546060", \ - "13.310640,13.089860,12.925750,12.972600,13.482170,14.299390,14.891960", \ - "14.367810,14.045490,13.872960,13.826540,14.286310,15.053750,15.632860", \ - "16.482640,15.944770,15.634710,15.437820,15.708100,16.337480,16.836930", \ - "18.993610,18.367640,17.872150,17.420570,17.436620,18.050340,18.496050"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("6.666086,7.037568,7.225509,7.381699,7.435273,7.387397,6.531931", \ - "6.686977,7.046726,7.240256,7.316509,7.559680,6.859180,7.647856", \ - "6.921139,7.187894,7.257900,7.272470,7.522940,7.648430,7.514672", \ - "7.526083,7.654626,7.619251,7.579312,7.741145,7.921796,7.810128", \ - "8.308779,8.766612,8.779642,8.511775,8.243124,8.219702,7.352491", \ - "9.715921,10.225520,10.278260,10.056150,10.067590,9.198714,8.919012", \ - "11.732220,11.886750,12.196800,12.183530,11.892210,11.895920,11.400850"); - } - } - - internal_power () { - - related_pin : "A2"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("14.791630,14.545080,14.412510,14.466530,14.970310,15.775370,16.352980", \ - "14.746490,14.461190,14.296430,14.356440,14.859190,15.653010,16.239760", \ - "14.557590,14.288380,14.151100,14.199220,14.711730,15.513310,16.085220", \ - "14.524130,14.269930,14.147950,14.164220,14.661980,15.480230,16.048280", \ - "15.058390,14.709100,14.505540,14.486520,14.912370,15.669880,16.245280", \ - "16.251400,15.845630,15.615430,15.447020,15.744450,16.423500,16.929880", \ - "17.874370,17.377510,17.002330,16.708410,16.931220,17.611460,18.120320"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("7.526088,7.947934,8.133744,8.401631,8.309041,8.648713,8.522876", \ - "7.515653,7.968293,8.139697,8.401831,8.510008,8.431981,8.264112", \ - "7.697631,8.027227,8.159030,8.198146,8.474270,8.524068,8.015714", \ - "8.205375,8.470009,8.469663,8.458271,8.725234,8.625724,8.108821", \ - "9.123682,9.554029,9.422612,9.198357,9.281162,9.178986,9.062754", \ - "10.483420,10.902100,10.913460,10.818980,10.232000,9.671847,9.502442", \ - "12.479870,12.774760,12.784090,12.752030,12.473220,11.641980,11.384200"); - } - } - - internal_power () { - - related_pin : "A3"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("16.303090,16.045150,15.876080,15.941060,16.442170,17.258890,17.829610", \ - "16.206700,15.909300,15.785990,15.807690,16.318450,17.125120,17.699930", \ - "16.070090,15.769240,15.616500,15.668770,16.189900,16.980910,17.561890", \ - "15.971330,15.716090,15.557230,15.598180,16.107150,16.930550,17.493880", \ - "16.208600,15.886750,15.729150,15.707580,16.165760,16.942710,17.511610", \ - "16.823810,16.597900,16.397630,16.282920,16.606770,17.325190,17.854110", \ - "18.055180,17.636910,17.371410,17.145540,17.398640,18.236220,18.774890"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("8.043870,8.658434,8.896956,9.210793,9.190688,9.347060,9.838783", \ - "8.013168,8.624620,8.859273,9.144952,9.504129,9.723258,8.955551", \ - "8.073210,8.617141,8.774962,9.067605,9.049504,9.054375,9.737445", \ - "8.669588,9.038126,9.143550,9.252491,9.428992,9.678123,9.630854", \ - "9.618157,10.055160,10.018570,9.947393,9.979910,9.925407,9.943006", \ - "11.109210,11.659630,11.637240,11.493650,11.298940,11.212760,10.392710", \ - "13.300370,13.598080,13.618810,13.638150,13.274300,12.903790,12.269210"); - } - } - - internal_power () { - - related_pin : "A4"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("17.680330,17.467100,17.311560,17.369360,17.880390,18.707550,19.261630", \ - "17.676850,17.359710,17.225620,17.249730,17.757500,18.571690,19.140350", \ - "17.520220,17.214230,17.074810,17.122970,17.616320,18.425900,19.006370", \ - "17.433170,17.170600,17.005100,17.047470,17.563960,18.375430,18.932890", \ - "17.528510,17.199000,17.073870,17.060810,17.553090,18.339170,18.916700", \ - "17.886110,17.635030,17.508770,17.453310,17.839050,18.577360,19.114210", \ - "18.776760,18.340910,18.109000,18.004940,18.388640,19.276110,19.808620"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); - values ("8.088116,8.843594,9.360314,9.760556,9.831698,10.342920,9.912087", \ - "7.995468,8.818061,9.132486,9.578359,9.693190,10.319050,10.426160", \ - "8.155691,8.846804,9.037137,9.363779,9.646448,9.374683,10.430270", \ - "8.891565,9.236735,9.420663,9.643397,9.565074,10.248930,10.284230", \ - "9.989330,10.416800,10.500830,10.482410,10.368790,10.611860,10.638440", \ - "11.587430,12.099490,12.137260,11.931720,11.900550,11.907490,11.766490", \ - "13.891930,14.274660,14.417780,14.200080,13.894340,13.790360,13.463180"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFRS_X1 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and active low set, and drive strength X1 - *******************************************************************************************/ - - cell (SDFFRS_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - preset : "!SN"; - clear : "!RN"; - clear_preset_var1 : L; - clear_preset_var2 : L; - } - - area : 7.714000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 122.721721; - - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 85.193460; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & SN & !Q & QN"; - value : 97.177883; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 95.719118; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & SN & !Q & QN"; - value : 107.703541; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 89.261810; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & SN & !Q & QN"; - value : 101.246233; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & !SN & !Q & !QN"; - value : 110.614911; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & SN & !Q & QN"; - value : 124.637513; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & !SN & Q & !QN"; - value : 101.675970; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & SN & !Q & QN"; - value : 111.467004; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & SN & Q & !QN"; - value : 117.140815; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & !SN & Q & !QN"; - value : 112.201628; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & SN & !Q & QN"; - value : 121.992552; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & SN & Q & !QN"; - value : 127.666473; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & !SN & Q & !QN"; - value : 105.744430; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & SN & !Q & QN"; - value : 115.535354; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & SN & Q & !QN"; - value : 121.209165; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & !SN & Q & !QN"; - value : 127.597921; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & SN & !Q & QN"; - value : 140.531776; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & SN & Q & !QN"; - value : 141.068917; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 110.742170; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & SN & !Q & QN"; - value : 124.764772; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 116.130872; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & SN & !Q & QN"; - value : 130.153474; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 103.208193; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & SN & !Q & QN"; - value : 115.192616; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & !SN & !Q & !QN"; - value : 117.721439; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & SN & !Q & QN"; - value : 131.744041; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & !SN & Q & !QN"; - value : 127.725290; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & SN & !Q & QN"; - value : 140.659035; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & SN & Q & !QN"; - value : 141.196176; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & !SN & Q & !QN"; - value : 133.113882; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & SN & !Q & QN"; - value : 146.047737; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & SN & Q & !QN"; - value : 146.584878; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & !SN & Q & !QN"; - value : 119.690703; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & SN & !Q & QN"; - value : 129.481627; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & SN & Q & !QN"; - value : 135.155548; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & !SN & Q & !QN"; - value : 134.704559; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & SN & !Q & QN"; - value : 147.638304; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & SN & Q & !QN"; - value : 148.175555; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 105.912983; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & SN & !Q & QN"; - value : 93.223548; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 116.438421; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & SN & !Q & QN"; - value : 103.748986; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 109.981113; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & SN & !Q & QN"; - value : 97.291678; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & !SN & !Q & !QN"; - value : 113.137024; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & SN & !Q & QN"; - value : 105.575998; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & !SN & Q & !QN"; - value : 124.334023; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & SN & !Q & QN"; - value : 106.993359; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & SN & Q & !QN"; - value : 138.318389; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & !SN & Q & !QN"; - value : 134.859351; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & SN & !Q & QN"; - value : 117.518687; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & SN & Q & !QN"; - value : 148.843717; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & !SN & Q & !QN"; - value : 128.402043; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & SN & !Q & QN"; - value : 111.061489; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & SN & Q & !QN"; - value : 142.386409; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & !SN & Q & !QN"; - value : 131.553004; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & SN & !Q & QN"; - value : 119.346139; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & SN & Q & !QN"; - value : 145.538910; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 113.264283; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & SN & !Q & QN"; - value : 105.703257; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 118.653095; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & SN & !Q & QN"; - value : 111.092069; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 123.926946; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & SN & !Q & QN"; - value : 111.237511; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & !SN & !Q & !QN"; - value : 120.243662; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & SN & !Q & QN"; - value : 112.682636; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & !SN & Q & !QN"; - value : 131.680263; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & SN & !Q & QN"; - value : 119.473398; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & SN & Q & !QN"; - value : 145.666169; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & !SN & Q & !QN"; - value : 137.068965; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & SN & !Q & QN"; - value : 124.862210; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & SN & Q & !QN"; - value : 151.054981; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & !SN & Q & !QN"; - value : 142.347986; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & SN & !Q & QN"; - value : 125.007322; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & SN & Q & !QN"; - value : 156.332352; - } - leakage_power () { - when : "CK & D & RN & SE & SI & !SN & Q & !QN"; - value : 138.659642; - } - leakage_power () { - when : "CK & D & RN & SE & SI & SN & !Q & QN"; - value : 126.452777; - } - leakage_power () { - when : "CK & D & RN & SE & SI & SN & Q & !QN"; - value : 152.645548; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.143733; - fall_capacitance : 1.088672; - rise_capacitance : 1.143733; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & !SE & SN"; - sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.020403,-0.007829,-0.002815", \ - "-0.029828,-0.016389,-0.009392", \ - "0.116790,0.130628,0.137346"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.010766,-0.002874,-0.003967", \ - "-0.011406,-0.004759,-0.010078", \ - "0.068040,0.073248,0.058976"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & !SE & SN"; - sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.071657,0.067116,0.082300", \ - "0.089051,0.084260,0.099411", \ - "0.130966,0.125763,0.140060"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.065172,0.051573,0.044963", \ - "0.075509,0.061728,0.054852", \ - "0.082209,0.068376,0.061681"); - } - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.269858,5.246761,5.215345,5.228697,5.381022,5.737567,6.310233"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.374360,4.336994,4.294047,4.307604,4.449898,4.796568,5.347406"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.265083,5.241884,5.215539,5.229076,5.378139,5.738002,6.310607"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.412077,4.374615,4.333401,4.345420,4.488079,4.833288,5.384995"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.269438,5.246076,5.214926,5.228243,5.380519,5.736996,6.309588"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.377836,4.340451,4.297363,4.311167,4.453383,4.800029,5.350989"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.264321,5.241280,5.215107,5.228437,5.377995,5.737250,6.309830"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.413395,4.377568,4.334364,4.348482,4.489838,4.837287,5.387656"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.718670,0.694491,0.682982,0.679574,0.677218,0.676569,0.675609"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.600334,-0.606048,-0.611551,-0.615224,-0.618304,-0.620055,-0.621795"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717360,0.693180,0.681674,0.678269,0.675922,0.675281,0.674334"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.604103,-0.609815,-0.615316,-0.618987,-0.622066,-0.623808,-0.625540"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650130,0.657454,0.659707,0.659190,0.658326,0.657681,0.657979"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423159,-0.489105,-0.545917,-0.567378,-0.579658,-0.586862,-0.592506"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650208,0.657524,0.659784,0.659265,0.658415,0.657773,0.658081"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423545,-0.489487,-0.546289,-0.567745,-0.580043,-0.587218,-0.592854"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.078557,7.056473,7.025497,7.039286,7.188051,7.552055,8.132554"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.323561,5.286016,5.245690,5.256181,5.401489,5.742758,6.299676"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.193308,7.170054,7.140052,7.153413,7.302209,7.661239,8.239853"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.563002,5.523108,5.482312,5.497152,5.635276,5.976868,6.533662"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.157457,7.134032,7.104054,7.117277,7.266083,7.628839,8.209744"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.341411,5.303942,5.262787,5.274228,5.419005,5.760856,6.317610"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.078300,7.056049,7.025070,7.038832,7.187549,7.549826,8.131686"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.327038,5.289475,5.249160,5.259728,5.404976,5.746222,6.302829"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.192850,7.169899,7.139629,7.153261,7.301688,7.658519,8.239215"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.563342,5.526441,5.485796,5.494978,5.638383,5.980588,6.537188"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.156605,7.133605,7.103628,7.117186,7.265585,7.628262,8.209102"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.343895,5.307399,5.266251,5.279679,5.422494,5.764382,6.321032"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717346,0.693161,0.681660,0.678252,0.675915,0.675273,0.674327"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.603702,-0.609411,-0.614906,-0.618580,-0.621686,-0.623409,-0.625148"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716866,0.692681,0.681181,0.677775,0.674961,0.674802,0.673263"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605251,-0.610961,-0.616455,-0.620128,-0.622751,-0.624952,-0.626687"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717257,0.693065,0.681573,0.678164,0.675336,0.675209,0.673637"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.604656,-0.610359,-0.615841,-0.619512,-0.622132,-0.624327,-0.626054"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650172,0.657496,0.659749,0.659233,0.658369,0.657725,0.658025"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423478,-0.489426,-0.546237,-0.567694,-0.579968,-0.587169,-0.592806"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650162,0.657482,0.659739,0.659222,0.657897,0.657725,0.657442"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423774,-0.489719,-0.546523,-0.567978,-0.579787,-0.587447,-0.593079"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650023,0.657345,0.659602,0.659085,0.657760,0.657590,0.657307"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424129,-0.490073,-0.546877,-0.568333,-0.580142,-0.587802,-0.593434"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.990051,2.965911,2.936971,2.955239,3.108566,3.465823,4.037893"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.562179,1.525932,1.487790,1.504270,1.651588,1.991021,2.543532"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.055591,3.031583,3.002155,3.018946,3.170033,3.524202,4.091800"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.527236,1.490458,1.452151,1.470086,1.619917,1.961867,2.516222"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.987528,2.963799,2.934665,2.953250,3.106314,3.463355,4.035500"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.562210,1.525067,1.487789,1.504322,1.650733,1.991024,2.543353"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.053112,3.030501,3.000513,3.017392,3.168141,3.522386,4.089729"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.529619,1.492819,1.454507,1.472950,1.622109,1.963940,2.518094"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717048,0.690107,0.681031,0.677612,0.675304,0.674665,0.673436"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605764,-0.611466,-0.616965,-0.620667,-0.623882,-0.625590,-0.628027"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716875,0.689961,0.680859,0.677450,0.675080,0.674440,0.673254"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.606200,-0.611927,-0.617467,-0.621168,-0.624265,-0.626092,-0.628381"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649989,0.657333,0.659561,0.659046,0.658242,0.657521,0.657569"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424201,-0.490204,-0.547051,-0.568481,-0.580741,-0.588290,-0.594105"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650099,0.657462,0.659671,0.659165,0.658313,0.657592,0.657673"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424135,-0.490158,-0.547042,-0.568466,-0.580634,-0.588271,-0.593971"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.988905,2.966059,2.937504,2.955298,3.108661,3.465780,4.037847"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.561610,1.524993,1.486426,1.503242,1.650588,1.990950,2.543464"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.053712,3.029460,3.000055,3.016721,3.167674,3.522445,4.089823"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.586520,1.549853,1.511106,1.528924,1.678536,2.020994,2.575125"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.987379,2.964008,2.935063,2.953242,3.106788,3.465422,4.036549"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.631710,1.594802,1.555941,1.572338,1.718606,2.059420,2.612358"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.986571,2.963746,2.935199,2.952964,3.106268,3.463312,4.035832"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.561649,1.525001,1.486425,1.503226,1.650645,1.990953,2.543283"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.248538; - fall_capacitance : 2.127788; - rise_capacitance : 2.248538; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.070822,-0.082544,-0.090300", \ - "-0.067241,-0.080546,-0.088288", \ - "-0.034194,-0.051964,-0.063105"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.182549,0.195803,0.203845", \ - "0.237590,0.250587,0.258415", \ - "0.429882,0.442846,0.450382"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.128456,0.157732,0.271957"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.260526,1.237047,1.226819,1.222117,1.218573,1.216930,1.213358"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.101210,-1.102000,-1.104780,-1.110120,-1.118430,-1.130040,-1.145370"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.260426,1.236945,1.226703,1.222024,1.218918,1.216730,1.213793"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.972358,-0.976536,-0.979892,-0.980637,-0.983777,-0.982944,-0.983722"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.260404,1.236928,1.226680,1.222006,1.218884,1.216695,1.213750"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.972405,-0.976588,-0.979955,-0.980702,-0.983809,-0.983009,-0.983787"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.647223,2.580970,2.583082,2.747437,3.079384,3.624783,4.410512"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.026320,-0.001335,-0.009820,0.101479,0.399057,0.929302,1.702262"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.647264,2.580994,2.582533,2.747469,3.079449,3.625448,4.410592"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.026402,-0.001239,-0.009701,0.101598,0.399115,0.929423,1.702382"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.647256,2.580990,2.583114,2.747464,3.079439,3.624839,4.410580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.026389,-0.001253,-0.009719,0.101579,0.399106,0.929405,1.702364"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.260417,1.236935,1.226693,1.222016,1.218906,1.216718,1.213778"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.972375,-0.976553,-0.979912,-0.980659,-0.983788,-0.982966,-0.983744"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.647214,2.580965,2.583074,2.747431,3.079371,3.624770,4.410495"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.026303,-0.001356,-0.009845,0.101454,0.399045,0.929277,1.702237"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.236759,1.224278,1.219371,1.216209,1.213813,1.211937,1.209706"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.965705,-0.967276,-0.969419,-0.974300,-0.974003,-0.972600,-0.973972"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.236404,1.223657,1.219037,1.215865,1.213335,1.211577,1.209353"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.957026,-0.960189,-0.963119,-0.963953,-0.965211,-0.963999,-0.965285"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.235863,1.223405,1.218488,1.215327,1.212834,1.211029,1.208817"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.920030,-0.920661,-0.923004,-0.927522,-0.934324,-0.944037,-0.956824"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.235060,1.222596,1.217675,1.214520,1.212101,1.210228,1.208026"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.840919,-0.841579,-0.843399,-0.847659,-0.853961,-0.862617,-0.874471"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.235603,1.223144,1.218231,1.215060,1.212579,1.210774,1.208549"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.975626,-0.979018,-0.981934,-0.982719,-0.984197,-0.982971,-0.984216"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.235650,1.223174,1.218264,1.215104,1.212712,1.210836,1.208608"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.968342,-0.969708,-0.971859,-0.976754,-0.976643,-0.975240,-0.976612"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.236235,1.223750,1.218846,1.215690,1.213301,1.211426,1.209196"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.966806,-0.971575,-0.976747,-0.976050,-0.975111,-0.973708,-0.975088"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.238843,1.223385,1.218145,1.214884,1.212402,1.210556,1.208354"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.815157,-0.816016,-0.818074,-0.821966,-0.828101,-0.836516,-0.848060"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.143806; - fall_capacitance : 1.917458; - rise_capacitance : 2.143806; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.016083,-0.008061,-0.010167", \ - "-0.031064,-0.025451,-0.026981", \ - "0.112237,0.117171,0.102478"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.014679,-0.002478,-0.001128", \ - "-0.019289,-0.005811,-0.008653", \ - "0.070983,0.085052,0.071490"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.074505,0.060781,0.072195", \ - "0.093472,0.079341,0.089929", \ - "0.128022,0.113958,0.127545"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.080421,0.075370,0.090208", \ - "0.086679,0.081794,0.096566", \ - "0.086763,0.081835,0.096553"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.244828,2.207278,2.265600,2.474875,2.866240,3.488640,4.374156"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.059507,-0.094710,-0.086290,0.089353,0.494172,1.145393,2.055655"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.243779,2.206212,2.264539,2.473853,2.864754,3.487607,4.372584"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.062994,-0.098196,-0.089774,0.087217,0.491143,1.141746,2.052206"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.950041,5.874960,5.941492,6.297528,7.006312,8.149621,9.772058"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.764835,3.718529,3.708972,3.976082,4.658254,5.825962,7.484485"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.946384,5.871340,5.937855,6.294009,7.006503,8.145163,9.772188"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.801991,3.756066,3.747073,4.012812,4.694762,5.859148,7.520956"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.243501,2.205944,2.264278,2.473556,2.864938,3.487343,4.372875"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.062894,-0.098081,-0.089645,0.085994,0.490789,1.142039,2.052301"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.243083,2.205463,2.263798,2.473112,2.864002,3.486878,4.371836"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.064441,-0.099631,-0.091197,0.085788,0.489710,1.140313,2.050763"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.243424,2.205847,2.264190,2.473504,2.864377,3.487286,4.372210"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.063849,-0.099032,-0.090584,0.086403,0.490330,1.140938,2.051394"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.759927,7.684871,7.750887,8.108321,8.821492,9.965252,11.599690"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.713846,4.668951,4.659459,4.926144,5.608165,6.772960,8.435891"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.874196,7.799054,7.864104,8.221255,8.933962,10.078650,11.706530"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.948573,4.903815,4.898143,5.165447,5.847032,7.007367,8.670439"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.837761,7.763191,7.829151,8.186839,8.898805,10.043170,11.676240"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.732152,4.686548,4.677604,4.944369,5.626793,6.791402,8.453349"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.504055,6.471758,6.576441,6.865089,7.356246,8.088316,9.074296"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.547513,4.514028,4.454648,4.578270,4.961711,5.623358,6.568653"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.504002,6.471314,6.576255,6.864688,7.356260,8.088156,9.074546"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.475219,4.441517,4.382513,4.506069,4.887220,5.551013,6.496700"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.086196,2.051859,2.115351,2.330311,2.725295,3.352609,4.238946"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.034511,0.004906,0.015061,0.181925,0.578066,1.225769,2.136503"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.085487,2.051978,2.115471,2.330440,2.725877,3.352753,4.239664"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.034065,0.004616,0.014792,0.181662,0.577319,1.225504,2.136250"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.452490,7.422225,7.526221,7.814899,8.306937,9.039510,10.028200"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.351425,6.319997,6.261552,6.381497,6.763885,7.418328,8.373577"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.412476,7.380508,7.484563,7.773555,8.264991,9.001688,9.986356"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.405224,6.368805,6.311852,6.433115,6.816005,7.477790,8.425880"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.465589,7.433876,7.538019,7.826396,8.318243,9.056348,10.040490"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.445215,6.411604,6.352565,6.477768,6.859418,7.512338,8.467793"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.085305,2.051846,2.115436,2.330397,2.725844,3.352706,4.239610"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.034011,0.004733,0.014811,0.181697,0.577382,1.225553,2.136298"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.086230,2.051923,2.115421,2.330385,2.725372,3.352704,4.239031"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.034044,0.004385,0.014545,0.181416,0.577557,1.225273,2.136023"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.085185,2.051693,2.115289,2.330249,2.725238,3.352571,4.238896"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.033365,0.004086,0.014169,0.181057,0.577201,1.224920,2.135670"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.243248,2.206099,2.264156,2.473513,2.864690,3.487157,4.372395"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.050631,-0.084386,-0.077094,0.098834,0.503985,1.154960,2.064142"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.244230,2.207278,2.265331,2.474716,2.865994,3.488300,4.373570"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.047960,-0.083693,-0.074472,0.101528,0.506060,1.157549,2.066912"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.662687,3.588557,3.659884,4.024319,4.737489,5.872868,7.491572"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.913686,0.866042,0.866076,1.139293,1.823473,2.986996,4.641802"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.732132,3.656397,3.727399,4.087122,4.797351,5.930139,7.546345"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.880791,0.835259,0.833807,1.110525,1.798314,2.962272,4.618650"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.243189,2.206040,2.264100,2.473455,2.864638,3.487105,4.372342"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.050809,-0.084564,-0.077269,0.098659,0.503801,1.154784,2.063957"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.242241,2.205141,2.263492,2.472827,2.864195,3.486584,4.371833"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.066967,-0.100524,-0.091896,0.083787,0.488573,1.139705,2.049518"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.242978,2.205237,2.263618,2.472946,2.864375,3.486769,4.371975"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.065564,-0.100670,-0.091991,0.083698,0.488351,1.139620,2.049283"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.662595,3.588854,3.659770,4.024277,4.737444,5.872729,7.491531"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.912084,0.864933,0.864465,1.139296,1.826333,2.986045,4.641721"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.730541,3.655941,3.726344,4.086006,4.796443,5.929732,7.545778"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.975836,0.930192,0.928037,1.203651,1.889166,3.049146,4.698491"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.662210,3.588076,3.659390,4.023042,4.737042,5.872334,7.491388"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.019941,0.973220,0.971516,1.243564,1.929046,3.084844,4.733514"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.708047,3.675980,3.778903,4.068220,4.559336,5.286941,6.270228"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.081308,2.059097,2.044765,2.199053,2.600024,3.264265,4.209485"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.672730,3.640415,3.743378,4.033169,4.524625,5.253561,6.237110"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.141206,2.119168,2.104914,2.260674,2.658879,3.327751,4.272157"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.860252; - fall_capacitance : 0.815860; - rise_capacitance : 0.860252; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SE & SN"; - sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.024081,-0.010996,-0.005865", \ - "-0.030027,-0.016646,-0.010770", \ - "0.109825,0.123764,0.130790"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.011050,-0.003253,-0.005100", \ - "-0.011142,-0.004577,-0.010571", \ - "0.056791,0.062542,0.048547"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SE & SN"; - sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.079573,0.074735,0.089769", \ - "0.097182,0.092008,0.106996", \ - "0.142216,0.136470,0.150490"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.067434,0.053478,0.047160", \ - "0.079237,0.065250,0.058645", \ - "0.089175,0.075241,0.068238"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.544068,0.527518,0.520488,0.516808,0.515139,0.513867,0.512869"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.461964,-0.465866,-0.468177,-0.469325,-0.470709,-0.471225,-0.471828"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.543009,0.526456,0.519430,0.515751,0.513621,0.512829,0.511256"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.465449,-0.469351,-0.471656,-0.472802,-0.473711,-0.474686,-0.475276"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.227292,5.213203,5.190839,5.197226,5.295504,5.551304,5.962489"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.907162,3.880748,3.852145,3.858659,3.950248,4.189172,4.584875"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.227460,5.213322,5.190989,5.197387,5.296517,5.549038,5.962713"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.942566,3.917976,3.889008,3.895341,3.988568,4.225859,4.620994"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542746,0.526188,0.519168,0.515486,0.513837,0.512571,0.511588"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.465336,-0.469231,-0.471533,-0.472681,-0.474091,-0.474580,-0.475181"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542264,0.525707,0.518687,0.515009,0.512869,0.512101,0.510507"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466882,-0.470780,-0.473080,-0.474229,-0.475142,-0.476123,-0.476719"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542654,0.526090,0.519079,0.515399,0.513244,0.512507,0.510882"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466288,-0.470178,-0.472465,-0.473613,-0.474522,-0.475498,-0.476087"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.044653,7.030749,7.010678,7.017010,7.115475,7.369915,7.795546"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.856891,4.829716,4.802476,4.807663,4.902227,5.139820,5.536199"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.154676,7.140922,7.116244,7.122937,7.222392,7.478319,7.905134"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.095632,5.064913,5.037450,5.046729,5.137003,5.378778,5.770242"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.122344,7.108578,7.086216,7.092850,7.192485,7.448585,7.872682"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.875279,4.848018,4.820594,4.825904,4.925591,5.162523,5.554260"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480778,0.488815,0.490621,0.490226,0.490080,0.490168,0.490123"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321575,-0.370331,-0.410262,-0.425786,-0.434736,-0.439843,-0.443891"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480857,0.488889,0.490694,0.490301,0.490168,0.490260,0.490224"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321961,-0.370714,-0.410635,-0.426155,-0.435122,-0.440201,-0.444239"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.226518,5.212515,5.190109,5.197769,5.294873,5.550418,5.960887"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.947340,3.921425,3.893236,3.898308,3.992834,4.230345,4.624550"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.226730,5.212692,5.190324,5.196720,5.295603,5.548209,5.961789"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.946208,3.921545,3.892486,3.898777,3.992068,4.229447,4.625048"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480824,0.488861,0.490664,0.490269,0.490122,0.490212,0.490169"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321893,-0.370652,-0.410583,-0.426102,-0.435046,-0.440150,-0.444190"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480806,0.488844,0.490652,0.490256,0.489581,0.490211,0.489500"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.322189,-0.370946,-0.410868,-0.426387,-0.434798,-0.440429,-0.444465"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480668,0.488703,0.490519,0.490121,0.489445,0.490077,0.489366"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.322542,-0.371298,-0.411222,-0.426741,-0.435152,-0.440782,-0.444818"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.043994,7.030137,7.009964,7.016323,7.114726,7.369082,7.794606"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.860184,4.833284,4.806053,4.811142,4.905725,5.143453,5.539395"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.154020,7.140341,7.115328,7.122269,7.221662,7.477367,7.904233"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.095603,5.073384,5.045667,5.049783,5.140893,5.382336,5.773886"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.121678,7.107987,7.085537,7.092187,7.191749,7.447196,7.871758"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.878251,4.851583,4.824094,4.829395,4.929090,5.160907,5.557741"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542128,0.525483,0.518541,0.514900,0.513241,0.511996,0.510695"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467144,-0.470958,-0.473240,-0.474573,-0.476015,-0.476292,-0.477727"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.543322,0.526710,0.519738,0.516105,0.514383,0.513133,0.511869"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.464450,-0.468301,-0.470623,-0.471953,-0.473279,-0.473665,-0.474954"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.953982,2.940031,2.919056,2.928475,3.030931,3.278770,3.685906"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.168516,1.141516,1.115834,1.123576,1.219655,1.456176,1.851080"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.015149,3.001427,2.979877,2.988839,3.089609,3.334396,3.741051"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.122619,1.096136,1.070758,1.081419,1.180253,1.418034,1.814417"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542071,0.525425,0.518485,0.514843,0.513188,0.511945,0.510643"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467323,-0.471138,-0.473417,-0.474749,-0.476198,-0.476468,-0.477913"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.541975,0.525421,0.518397,0.514730,0.513051,0.511789,0.510549"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467513,-0.471400,-0.473724,-0.474883,-0.476231,-0.476786,-0.477922"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542098,0.525514,0.518520,0.514842,0.513232,0.511975,0.510693"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467678,-0.471540,-0.473816,-0.474973,-0.476445,-0.476867,-0.478154"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.954239,2.940144,2.918728,2.929016,3.031001,3.278839,3.686228"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.166956,1.140172,1.113393,1.122655,1.219825,1.456350,1.851363"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.012143,2.998401,2.976863,2.985822,3.086332,3.331384,3.738055"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.122961,1.096491,1.071120,1.081788,1.180613,1.418454,1.814783"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.950895,2.936937,2.915956,2.925725,3.027864,3.275694,3.682838"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.168442,1.141394,1.115708,1.123477,1.219535,1.456080,1.850946"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.482858,0.489863,0.491718,0.491323,0.491148,0.491236,0.490878"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.319368,-0.368013,-0.408019,-0.423382,-0.432311,-0.437266,-0.441878"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.482027,0.488976,0.490887,0.490505,0.490284,0.490373,0.490053"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321450,-0.370236,-0.410156,-0.425518,-0.434352,-0.439401,-0.443897"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.523381; - fall_capacitance : 1.477281; - rise_capacitance : 1.523381; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.043042,-0.057682,-0.064963", \ - "-0.031943,-0.046599,-0.054326", \ - "0.033371,0.012816,0.001602"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.139201,0.150069,0.158802", \ - "0.149499,0.160166,0.168792", \ - "0.216242,0.226493,0.234481"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.160810,0.190298,0.305898"); - } - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.688504,0.668408,0.660530,0.657414,0.655994,0.654891,0.653800"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.502758,-0.509377,-0.520585,-0.528769,-0.533438,-0.535199,-0.536705"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.688512,0.668414,0.660539,0.657422,0.656011,0.654908,0.653821"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.502735,-0.509351,-0.520553,-0.528737,-0.533423,-0.535166,-0.536672"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.688493,0.668398,0.660518,0.657404,0.655977,0.654873,0.653778"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.502780,-0.509403,-0.520616,-0.528801,-0.533453,-0.535230,-0.536737"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.680069,0.663490,0.657095,0.653744,0.652200,0.650736,0.649421"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.610544,-0.612240,-0.614451,-0.615486,-0.616694,-0.616115,-0.616646"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.680107,0.663512,0.657132,0.653775,0.652264,0.650801,0.649502"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.610457,-0.612138,-0.614328,-0.615362,-0.616636,-0.615992,-0.616522"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.680099,0.663508,0.657126,0.653770,0.652254,0.650791,0.649490"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.610470,-0.612154,-0.614347,-0.615381,-0.616645,-0.616010,-0.616541"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.688504,0.668405,0.660531,0.657415,0.655999,0.654896,0.653806"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.502749,-0.509368,-0.520573,-0.528758,-0.533432,-0.535187,-0.536694"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.680061,0.663485,0.657088,0.653738,0.652187,0.650722,0.649405"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.610562,-0.612261,-0.614477,-0.615511,-0.616706,-0.616140,-0.616671"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.706539,0.677984,0.665259,0.660347,0.658354,0.656509,0.653617"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.607072,-0.608480,-0.613272,-0.615077,-0.615178,-0.615621,-0.617419"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.706012,0.677453,0.664733,0.659820,0.657836,0.655993,0.653097"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.608254,-0.609659,-0.614447,-0.616253,-0.616370,-0.616800,-0.618619"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.706709,0.678327,0.665452,0.660542,0.658533,0.656707,0.653817"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605895,-0.609711,-0.612666,-0.614429,-0.614298,-0.614821,-0.616691"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.705904,0.677361,0.664558,0.659705,0.657673,0.655826,0.652965"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.608673,-0.610093,-0.614943,-0.616711,-0.616731,-0.617262,-0.618960"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.706533,0.678099,0.665297,0.660426,0.658416,0.656552,0.653653"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.606846,-0.608625,-0.613442,-0.615246,-0.615066,-0.615574,-0.617511"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.706494,0.677940,0.665147,0.660289,0.658282,0.656433,0.653548"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.607051,-0.608459,-0.613290,-0.615058,-0.615135,-0.615610,-0.617381"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.706013,0.677456,0.664734,0.659822,0.657833,0.655990,0.653098"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.608205,-0.609613,-0.614404,-0.616211,-0.616317,-0.616759,-0.618565"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.706488,0.678073,0.665253,0.660389,0.658339,0.656473,0.653602"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.606952,-0.608748,-0.613591,-0.615395,-0.615137,-0.615723,-0.617563"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.954596; - fall_capacitance : 0.863676; - rise_capacitance : 0.954596; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.065884,0.076622,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.040244,0.045592,0.198733"); - } - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.931695,6.905438,6.918040,7.097787,7.468247,8.059880,8.924066"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.095514,8.075358,8.049643,8.152839,8.472267,9.075999,9.989612"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.850398,3.826138,3.839724,4.012011,4.373062,4.949355,5.786647"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.136726,4.118216,4.110193,4.227851,4.554669,5.156424,6.047242"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.931703,6.905443,6.918048,7.097795,7.468263,8.059902,8.924085"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.095542,8.075386,8.049677,8.152874,8.472281,9.076032,9.989646"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.854564,3.829208,3.842770,4.015054,4.378361,4.952629,5.790646"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.826485,3.808977,3.799849,3.917046,4.244954,4.844337,5.738966"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.931705,6.905398,6.917995,7.097742,7.468207,8.059855,8.924030"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.096023,8.075340,8.049612,8.152808,8.472245,9.075958,9.989577"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.854426,3.829073,3.842628,4.016642,4.378213,4.952578,5.790594"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.826514,3.809003,3.799856,3.917057,4.244990,4.844286,5.738929"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.442581,5.415127,5.430228,5.604779,5.967658,6.548877,7.399014"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.820980,4.801393,4.794242,4.920735,5.269633,5.893463,6.816143"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.374194,6.348339,6.364468,6.549278,6.925393,7.518270,8.375930"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("15.883370,15.864260,15.860160,15.992240,16.343490,16.974070,17.906730"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.248576,7.222691,7.235594,7.417983,7.795740,8.400623,9.278731"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.063710,11.043130,11.004940,11.101910,11.429630,12.053860,13.001520"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.856324,3.829714,3.843165,4.016286,4.376862,4.952367,5.790659"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.835056,3.817756,3.808517,3.925577,4.253385,4.852651,5.746789"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.370153,7.338002,7.350387,7.533497,7.910705,8.516924,9.393067"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.248578,7.222692,7.235598,7.417979,7.795750,8.400640,9.278748"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.063740,11.043160,11.004970,11.101940,11.429650,12.053890,13.001550"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.856330,3.829715,3.843176,4.016294,4.376862,4.952383,5.790658"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.835080,3.817782,3.808549,3.925611,4.253424,4.852682,5.746822"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.370167,7.338020,7.350410,7.533521,7.910712,8.516959,9.393079"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.248342,7.222458,7.235363,7.417752,7.795524,8.400537,9.278682"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.063690,11.043110,11.004900,11.101880,11.429610,12.053820,13.001480"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.856202,3.829599,3.843054,4.016201,4.376760,4.952331,5.790649"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.835120,3.817802,3.808551,3.925607,4.253424,4.852631,5.746788"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.369940,7.337764,7.350165,7.533283,7.910506,8.516836,9.393030"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.980640,3.954191,3.969963,4.146716,4.508987,5.090885,5.934240"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.702988,3.683024,3.671611,3.789506,4.126274,4.730564,5.631241"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.569288,7.541617,7.553981,7.735669,8.112095,8.701629,9.553130"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.981056,3.953960,3.968972,4.145795,4.507809,5.091165,5.933892"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.707071,3.694048,3.676510,3.794754,4.129735,4.735710,5.634173"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.442912,5.415145,5.430264,5.604808,5.967720,6.548943,7.399093"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.821076,4.801496,4.794371,4.920866,5.269697,5.893587,6.816264"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.374252,6.348363,6.364533,6.549310,6.925419,7.518297,8.376012"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("15.884810,15.864340,15.860280,15.991530,16.343490,16.974160,17.906830"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.441014,5.414182,5.429878,5.603613,5.966858,6.548334,7.397120"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.850144,4.831659,4.823457,4.955313,5.302695,5.925704,6.848903"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.374242,6.348344,6.364527,6.549301,6.925409,7.518286,8.376000"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("15.884470,15.864460,15.860260,15.991520,16.343490,16.974140,17.906820"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.929426,6.903202,6.915807,7.095559,7.465505,8.058350,8.921785"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.187394,8.167394,8.140526,8.243943,8.567224,9.172506,10.083610"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.854417,3.829067,3.842617,4.016640,4.378235,4.952601,5.790622"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.826550,3.809033,3.799901,3.917106,4.245004,4.844333,5.738976"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.442573,5.415121,5.430219,5.604772,5.967645,6.548863,7.398997"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.820963,4.801372,4.794217,4.920709,5.269621,5.893438,6.816117"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.374186,6.348334,6.364460,6.549271,6.925381,7.518341,8.375914"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("15.883360,15.864240,15.860140,15.992210,16.343480,16.974050,17.906700"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.980675,3.954205,3.969995,4.146743,4.509050,5.090949,5.934323"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.703095,3.683165,3.671737,3.789632,4.126328,4.730683,5.631363"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.561076,7.529879,7.546667,7.730798,8.099579,8.694398,9.547716"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.981087,3.954063,3.969002,4.145821,4.507805,5.090977,5.933887"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.707130,3.694149,3.676639,3.794880,4.129857,4.737416,5.634296"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.980673,3.954200,3.969989,4.146738,4.509037,5.090939,5.934311"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.703081,3.683150,3.671718,3.789613,4.126319,4.730665,5.631344"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.561062,7.529864,7.546649,7.730779,8.099570,8.694377,9.547712"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.981076,3.954059,3.968997,4.145816,4.507804,5.090967,5.933887"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.707117,3.694134,3.676620,3.794861,4.129836,4.737397,5.634277"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.248362,7.222476,7.235384,7.417773,7.795529,8.400565,9.278707"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.063720,11.043150,11.004940,11.101920,11.429630,12.053860,13.001530"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.856204,3.829592,3.843043,4.016198,4.376760,4.952354,5.790649"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.835150,3.817834,3.808595,3.925655,4.253460,4.852677,5.746831"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.369981,7.337811,7.350220,7.533338,7.910536,8.516882,9.393050"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.980632,3.954186,3.969955,4.146709,4.508973,5.090871,5.934223"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.702971,3.683003,3.671586,3.789481,4.126262,4.730539,5.631215"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.560990,7.529770,7.546538,7.730813,8.103517,8.694311,9.547933"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.981047,3.953954,3.968964,4.145788,4.507808,5.091151,5.933891"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.707054,3.694027,3.676485,3.794729,4.129710,4.735684,5.634147"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.272200; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.097862,0.100717,0.103829,0.109383,0.119322,0.137870,0.174290", \ - "0.099350,0.102205,0.105314,0.110873,0.120808,0.139357,0.175775", \ - "0.104367,0.107219,0.110329,0.115887,0.125832,0.144373,0.180796", \ - "0.109897,0.112759,0.115867,0.121423,0.131364,0.149907,0.186324", \ - "0.113993,0.116839,0.119951,0.125498,0.135446,0.153995,0.190424", \ - "0.116492,0.119369,0.122478,0.128041,0.137942,0.156485,0.192912", \ - "0.117113,0.119963,0.123071,0.128616,0.138466,0.157019,0.193467"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.108745,0.113084,0.117741,0.126618,0.144442,0.180420,0.252648", \ - "0.110225,0.114563,0.119221,0.128095,0.145920,0.181905,0.254130", \ - "0.115347,0.119684,0.124338,0.133212,0.151039,0.187016,0.259247", \ - "0.121155,0.125492,0.130158,0.139027,0.156854,0.192831,0.265057", \ - "0.125669,0.130004,0.134663,0.143534,0.161358,0.197342,0.269576", \ - "0.128639,0.132979,0.137632,0.146511,0.164338,0.200312,0.272538", \ - "0.129618,0.133957,0.138615,0.147508,0.165320,0.201284,0.273517"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005489,0.007087,0.008851,0.012278,0.019332,0.034308,0.065678", \ - "0.005493,0.007087,0.008851,0.012278,0.019333,0.034309,0.065674", \ - "0.005490,0.007088,0.008850,0.012278,0.019335,0.034313,0.065677", \ - "0.005493,0.007090,0.008853,0.012279,0.019331,0.034313,0.065676", \ - "0.005492,0.007088,0.008851,0.012277,0.019330,0.034312,0.065671", \ - "0.005496,0.007094,0.008855,0.012280,0.019337,0.034309,0.065679", \ - "0.005500,0.007096,0.008852,0.012281,0.019337,0.034313,0.065683"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006797,0.009872,0.013688,0.021594,0.038249,0.072453,0.141205", \ - "0.006798,0.009873,0.013688,0.021593,0.038250,0.072455,0.141204", \ - "0.006795,0.009869,0.013689,0.021595,0.038250,0.072457,0.141200", \ - "0.006794,0.009872,0.013689,0.021594,0.038254,0.072454,0.141205", \ - "0.006795,0.009871,0.013689,0.021593,0.038254,0.072457,0.141203", \ - "0.006799,0.009873,0.013688,0.021591,0.038250,0.072457,0.141204", \ - "0.006795,0.009867,0.013688,0.021595,0.038249,0.072454,0.141199"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024851,0.028300,0.031791,0.037675,0.047865,0.066628,0.103225", \ - "0.026421,0.029867,0.033355,0.039241,0.049432,0.068198,0.104794", \ - "0.032823,0.036252,0.039733,0.045623,0.055824,0.074593,0.111195", \ - "0.044416,0.048027,0.051646,0.057643,0.067895,0.086664,0.123247", \ - "0.056778,0.060856,0.064900,0.071479,0.082290,0.101353,0.137892", \ - "0.069833,0.074330,0.078802,0.086015,0.097524,0.117055,0.153762", \ - "0.084029,0.088916,0.093804,0.101664,0.114008,0.134228,0.171106"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.026130,0.030919,0.036121,0.045713,0.064090,0.100376,0.172764", \ - "0.027407,0.032197,0.037398,0.046989,0.065366,0.101662,0.174041", \ - "0.031066,0.035841,0.041025,0.050593,0.068952,0.105247,0.177642", \ - "0.036441,0.041348,0.046599,0.056201,0.074531,0.110798,0.183201", \ - "0.041628,0.046804,0.052206,0.061888,0.080229,0.116489,0.188833", \ - "0.045521,0.051159,0.056898,0.066857,0.085270,0.121448,0.193769", \ - "0.047656,0.053790,0.060051,0.070547,0.089287,0.125602,0.197882"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005033,0.006628,0.008475,0.012045,0.019242,0.034321,0.065724", \ - "0.005033,0.006630,0.008479,0.012046,0.019244,0.034328,0.065718", \ - "0.005049,0.006649,0.008498,0.012059,0.019249,0.034329,0.065732", \ - "0.006056,0.007494,0.009165,0.012484,0.019467,0.034395,0.065744", \ - "0.007843,0.009286,0.010925,0.014087,0.020626,0.034913,0.065815", \ - "0.009720,0.011204,0.012881,0.015978,0.022155,0.035845,0.066215", \ - "0.011724,0.013260,0.014993,0.018130,0.024085,0.037085,0.066731"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006387,0.009511,0.013392,0.021432,0.038228,0.072513,0.141347", \ - "0.006384,0.009509,0.013389,0.021428,0.038231,0.072513,0.141341", \ - "0.006394,0.009523,0.013401,0.021434,0.038226,0.072512,0.141351", \ - "0.006843,0.009915,0.013715,0.021624,0.038271,0.072485,0.141360", \ - "0.007721,0.010705,0.014345,0.022021,0.038486,0.072578,0.141350", \ - "0.009032,0.012043,0.015514,0.022812,0.038859,0.072751,0.141418", \ - "0.010575,0.013772,0.017245,0.024174,0.039713,0.073180,0.141571"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024839,0.028290,0.031781,0.037666,0.047860,0.066624,0.103277", \ - "0.026406,0.029855,0.033347,0.039232,0.049427,0.068193,0.104840", \ - "0.032812,0.036243,0.039728,0.045618,0.055819,0.074592,0.111245", \ - "0.044401,0.048020,0.051638,0.057638,0.067893,0.086659,0.123304", \ - "0.056762,0.060842,0.064889,0.071481,0.082292,0.101355,0.137963", \ - "0.069834,0.074336,0.078811,0.086029,0.097544,0.117067,0.153818", \ - "0.084035,0.088930,0.093820,0.101680,0.114037,0.134253,0.171160"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005045,0.006634,0.008485,0.012050,0.019247,0.034338,0.065974", \ - "0.005041,0.006635,0.008485,0.012052,0.019246,0.034341,0.065975", \ - "0.005056,0.006656,0.008506,0.012062,0.019250,0.034345,0.065980", \ - "0.006062,0.007500,0.009172,0.012491,0.019470,0.034406,0.066007", \ - "0.007859,0.009295,0.010934,0.014093,0.020622,0.034929,0.066080", \ - "0.009737,0.011222,0.012893,0.015983,0.022162,0.035858,0.066435", \ - "0.011745,0.013286,0.015015,0.018141,0.024093,0.037094,0.066884"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024851,0.028300,0.031791,0.037675,0.047865,0.066628,0.103225", \ - "0.026421,0.029867,0.033355,0.039241,0.049432,0.068198,0.104794", \ - "0.032823,0.036252,0.039733,0.045623,0.055824,0.074593,0.111201", \ - "0.044416,0.048027,0.051646,0.057643,0.067895,0.086664,0.123247", \ - "0.056778,0.060856,0.064900,0.071479,0.082290,0.101353,0.137892", \ - "0.069833,0.074330,0.078802,0.086015,0.097524,0.117055,0.153762", \ - "0.084029,0.088916,0.093804,0.101664,0.114008,0.134228,0.171106"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.026130,0.030919,0.036121,0.045713,0.064090,0.100376,0.172764", \ - "0.027407,0.032197,0.037398,0.046989,0.065366,0.101662,0.174041", \ - "0.031068,0.035841,0.041025,0.050593,0.068952,0.105247,0.177642", \ - "0.036441,0.041348,0.046599,0.056201,0.074531,0.110798,0.183201", \ - "0.041628,0.046804,0.052206,0.061888,0.080229,0.116489,0.188833", \ - "0.045521,0.051159,0.056898,0.066857,0.085270,0.121448,0.193769", \ - "0.047656,0.053790,0.060051,0.070547,0.089287,0.125602,0.197882"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005033,0.006628,0.008475,0.012045,0.019242,0.034321,0.065724", \ - "0.005033,0.006630,0.008479,0.012046,0.019244,0.034328,0.065718", \ - "0.005049,0.006649,0.008498,0.012059,0.019249,0.034326,0.065728", \ - "0.006056,0.007494,0.009165,0.012484,0.019467,0.034395,0.065744", \ - "0.007843,0.009286,0.010925,0.014087,0.020626,0.034913,0.065815", \ - "0.009720,0.011204,0.012881,0.015978,0.022155,0.035845,0.066215", \ - "0.011724,0.013260,0.014993,0.018130,0.024085,0.037085,0.066731"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006387,0.009511,0.013392,0.021432,0.038228,0.072513,0.141347", \ - "0.006384,0.009509,0.013389,0.021428,0.038231,0.072513,0.141341", \ - "0.006393,0.009523,0.013401,0.021434,0.038226,0.072512,0.141351", \ - "0.006843,0.009915,0.013715,0.021624,0.038271,0.072485,0.141360", \ - "0.007721,0.010705,0.014345,0.022021,0.038486,0.072578,0.141350", \ - "0.009032,0.012043,0.015514,0.022812,0.038859,0.072751,0.141418", \ - "0.010575,0.013772,0.017245,0.024174,0.039713,0.073180,0.141571"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024839,0.028290,0.031781,0.037666,0.047860,0.066624,0.103277", \ - "0.026406,0.029855,0.033347,0.039232,0.049427,0.068193,0.104840", \ - "0.032812,0.036243,0.039728,0.045618,0.055819,0.074592,0.111250", \ - "0.044401,0.048020,0.051638,0.057638,0.067893,0.086659,0.123304", \ - "0.056762,0.060842,0.064889,0.071481,0.082292,0.101355,0.137963", \ - "0.069834,0.074336,0.078811,0.086029,0.097544,0.117067,0.153818", \ - "0.084035,0.088930,0.093820,0.101680,0.114037,0.134253,0.171160"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005045,0.006634,0.008485,0.012050,0.019247,0.034338,0.065974", \ - "0.005041,0.006635,0.008485,0.012052,0.019246,0.034341,0.065975", \ - "0.005056,0.006656,0.008506,0.012062,0.019250,0.034345,0.065978", \ - "0.006062,0.007500,0.009172,0.012491,0.019470,0.034406,0.066007", \ - "0.007859,0.009295,0.010934,0.014093,0.020622,0.034929,0.066080", \ - "0.009737,0.011222,0.012893,0.015983,0.022162,0.035858,0.066435", \ - "0.011745,0.013286,0.015015,0.018141,0.024093,0.037094,0.066884"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024851,0.028300,0.031791,0.037675,0.047865,0.066628,0.103225", \ - "0.026421,0.029867,0.033355,0.039241,0.049432,0.068198,0.104794", \ - "0.032823,0.036252,0.039733,0.045623,0.055824,0.074593,0.111195", \ - "0.044416,0.048027,0.051646,0.057643,0.067895,0.086664,0.123247", \ - "0.056778,0.060856,0.064900,0.071479,0.082290,0.101353,0.137892", \ - "0.069833,0.074330,0.078802,0.086015,0.097524,0.117055,0.153762", \ - "0.084029,0.088916,0.093804,0.101664,0.114008,0.134228,0.171106"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.026130,0.030919,0.036121,0.045713,0.064090,0.100376,0.172764", \ - "0.027406,0.032197,0.037398,0.046989,0.065366,0.101662,0.174041", \ - "0.031066,0.035841,0.041025,0.050593,0.068952,0.105247,0.177642", \ - "0.036441,0.041348,0.046599,0.056201,0.074531,0.110798,0.183201", \ - "0.041628,0.046804,0.052206,0.061888,0.080229,0.116489,0.188833", \ - "0.045521,0.051159,0.056898,0.066857,0.085270,0.121448,0.193769", \ - "0.047656,0.053790,0.060051,0.070547,0.089287,0.125602,0.197882"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005033,0.006628,0.008475,0.012045,0.019242,0.034321,0.065724", \ - "0.005033,0.006630,0.008479,0.012046,0.019244,0.034328,0.065718", \ - "0.005049,0.006649,0.008498,0.012059,0.019249,0.034326,0.065732", \ - "0.006056,0.007494,0.009165,0.012484,0.019467,0.034395,0.065744", \ - "0.007843,0.009286,0.010925,0.014087,0.020626,0.034913,0.065815", \ - "0.009720,0.011204,0.012881,0.015978,0.022155,0.035845,0.066215", \ - "0.011724,0.013260,0.014993,0.018130,0.024085,0.037085,0.066731"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006387,0.009511,0.013392,0.021432,0.038228,0.072513,0.141347", \ - "0.006386,0.009509,0.013389,0.021428,0.038231,0.072513,0.141341", \ - "0.006394,0.009523,0.013401,0.021434,0.038226,0.072512,0.141351", \ - "0.006843,0.009915,0.013715,0.021624,0.038271,0.072485,0.141360", \ - "0.007721,0.010705,0.014345,0.022021,0.038486,0.072578,0.141350", \ - "0.009032,0.012043,0.015514,0.022812,0.038859,0.072751,0.141418", \ - "0.010575,0.013772,0.017245,0.024174,0.039713,0.073180,0.141571"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024839,0.028290,0.031781,0.037666,0.047860,0.066624,0.103277", \ - "0.026406,0.029855,0.033347,0.039232,0.049427,0.068193,0.104840", \ - "0.032812,0.036243,0.039728,0.045618,0.055819,0.074591,0.111250", \ - "0.044401,0.048020,0.051638,0.057638,0.067893,0.086659,0.123304", \ - "0.056762,0.060842,0.064889,0.071481,0.082292,0.101355,0.137963", \ - "0.069834,0.074336,0.078811,0.086029,0.097544,0.117067,0.153818", \ - "0.084035,0.088930,0.093820,0.101680,0.114037,0.134253,0.171160"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005045,0.006634,0.008485,0.012050,0.019246,0.034338,0.065974", \ - "0.005041,0.006635,0.008485,0.012052,0.019246,0.034341,0.065975", \ - "0.005056,0.006656,0.008506,0.012062,0.019252,0.034348,0.065978", \ - "0.006062,0.007500,0.009172,0.012491,0.019470,0.034406,0.066007", \ - "0.007859,0.009295,0.010934,0.014093,0.020622,0.034929,0.066080", \ - "0.009737,0.011222,0.012893,0.015983,0.022162,0.035858,0.066435", \ - "0.011745,0.013286,0.015015,0.018141,0.024093,0.037094,0.066884"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024863,0.028314,0.031804,0.037687,0.047877,0.066634,0.103233", \ - "0.026431,0.029880,0.033370,0.039255,0.049447,0.068209,0.104803", \ - "0.032831,0.036261,0.039742,0.045631,0.055833,0.074597,0.111206", \ - "0.044425,0.048037,0.051655,0.057656,0.067910,0.086677,0.123264", \ - "0.056798,0.060877,0.064917,0.071498,0.082314,0.101371,0.137922", \ - "0.069871,0.074366,0.078840,0.086048,0.097571,0.117091,0.153804", \ - "0.084093,0.088968,0.093850,0.101706,0.114057,0.134265,0.171143"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.026135,0.030926,0.036131,0.045720,0.064101,0.100389,0.172772", \ - "0.027416,0.032205,0.037407,0.047001,0.065375,0.101666,0.174048", \ - "0.031092,0.035865,0.041046,0.050613,0.068979,0.105271,0.177667", \ - "0.036475,0.041382,0.046630,0.056233,0.074568,0.110832,0.183229", \ - "0.041669,0.046848,0.052246,0.061927,0.080263,0.116528,0.188860", \ - "0.045569,0.051204,0.056942,0.066901,0.085313,0.121493,0.193810", \ - "0.047719,0.053853,0.060107,0.070594,0.089337,0.125652,0.197927"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005035,0.006630,0.008479,0.012044,0.019245,0.034324,0.065721", \ - "0.005036,0.006631,0.008477,0.012044,0.019244,0.034328,0.065718", \ - "0.005048,0.006650,0.008498,0.012058,0.019254,0.034329,0.065721", \ - "0.006056,0.007491,0.009163,0.012485,0.019466,0.034393,0.065744", \ - "0.007843,0.009287,0.010926,0.014088,0.020621,0.034914,0.065812", \ - "0.009718,0.011204,0.012880,0.015974,0.022157,0.035844,0.066213", \ - "0.011720,0.013261,0.014992,0.018128,0.024083,0.037087,0.066730"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006387,0.009512,0.013394,0.021428,0.038219,0.072484,0.141343", \ - "0.006384,0.009509,0.013391,0.021428,0.038232,0.072483,0.141363", \ - "0.006393,0.009519,0.013403,0.021432,0.038232,0.072484,0.141352", \ - "0.006842,0.009918,0.013717,0.021623,0.038265,0.072487,0.141364", \ - "0.007721,0.010708,0.014347,0.022023,0.038486,0.072601,0.141340", \ - "0.009028,0.012044,0.015514,0.022818,0.038867,0.072748,0.141429", \ - "0.010576,0.013773,0.017243,0.024177,0.039716,0.073180,0.141553"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024853,0.028302,0.031796,0.037686,0.047879,0.066641,0.103297", \ - "0.026420,0.029868,0.033361,0.039252,0.049447,0.068209,0.104858", \ - "0.032823,0.036254,0.039741,0.045632,0.055835,0.074607,0.111267", \ - "0.044409,0.048026,0.051644,0.057649,0.067907,0.086685,0.123340", \ - "0.056773,0.060859,0.064905,0.071492,0.082312,0.101386,0.137998", \ - "0.069855,0.074365,0.078838,0.086051,0.097557,0.117101,0.153873", \ - "0.084061,0.088971,0.093859,0.101720,0.114075,0.134288,0.171234"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005046,0.006637,0.008485,0.012050,0.019245,0.034339,0.065974", \ - "0.005042,0.006634,0.008484,0.012051,0.019245,0.034347,0.065973", \ - "0.005057,0.006655,0.008506,0.012062,0.019250,0.034344,0.065977", \ - "0.006063,0.007503,0.009170,0.012489,0.019468,0.034412,0.066007", \ - "0.007859,0.009296,0.010938,0.014092,0.020620,0.034927,0.066080", \ - "0.009742,0.011220,0.012894,0.015978,0.022151,0.035852,0.066433", \ - "0.011745,0.013284,0.015012,0.018135,0.024087,0.037084,0.066878"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024863,0.028314,0.031804,0.037687,0.047877,0.066634,0.103233", \ - "0.026431,0.029880,0.033370,0.039255,0.049447,0.068209,0.104803", \ - "0.032831,0.036261,0.039742,0.045631,0.055833,0.074597,0.111206", \ - "0.044425,0.048037,0.051655,0.057656,0.067910,0.086677,0.123264", \ - "0.056798,0.060877,0.064917,0.071498,0.082314,0.101371,0.137922", \ - "0.069871,0.074366,0.078840,0.086048,0.097571,0.117091,0.153804", \ - "0.084093,0.088968,0.093850,0.101706,0.114057,0.134265,0.171143"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.026135,0.030926,0.036131,0.045720,0.064101,0.100389,0.172772", \ - "0.027416,0.032205,0.037407,0.047001,0.065375,0.101665,0.174048", \ - "0.031092,0.035865,0.041046,0.050613,0.068979,0.105271,0.177667", \ - "0.036475,0.041382,0.046630,0.056233,0.074568,0.110832,0.183229", \ - "0.041669,0.046848,0.052246,0.061927,0.080263,0.116529,0.188860", \ - "0.045569,0.051204,0.056942,0.066901,0.085313,0.121493,0.193810", \ - "0.047719,0.053853,0.060107,0.070594,0.089337,0.125652,0.197927"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005035,0.006630,0.008479,0.012045,0.019244,0.034324,0.065721", \ - "0.005036,0.006631,0.008477,0.012044,0.019244,0.034328,0.065718", \ - "0.005048,0.006650,0.008498,0.012058,0.019254,0.034329,0.065721", \ - "0.006056,0.007491,0.009163,0.012484,0.019466,0.034393,0.065744", \ - "0.007843,0.009287,0.010926,0.014088,0.020622,0.034914,0.065812", \ - "0.009718,0.011204,0.012880,0.015975,0.022157,0.035844,0.066213", \ - "0.011720,0.013261,0.014992,0.018128,0.024083,0.037087,0.066730"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006387,0.009512,0.013394,0.021428,0.038219,0.072484,0.141343", \ - "0.006384,0.009509,0.013391,0.021428,0.038232,0.072483,0.141363", \ - "0.006393,0.009519,0.013403,0.021432,0.038232,0.072484,0.141352", \ - "0.006842,0.009918,0.013717,0.021623,0.038266,0.072487,0.141364", \ - "0.007721,0.010708,0.014347,0.022023,0.038486,0.072601,0.141340", \ - "0.009028,0.012044,0.015514,0.022818,0.038867,0.072748,0.141429", \ - "0.010576,0.013773,0.017243,0.024177,0.039715,0.073180,0.141553"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024853,0.028302,0.031796,0.037686,0.047879,0.066645,0.103292", \ - "0.026420,0.029868,0.033361,0.039252,0.049447,0.068209,0.104858", \ - "0.032823,0.036254,0.039741,0.045632,0.055835,0.074607,0.111267", \ - "0.044409,0.048026,0.051644,0.057649,0.067907,0.086684,0.123340", \ - "0.056773,0.060859,0.064905,0.071492,0.082312,0.101386,0.137998", \ - "0.069855,0.074365,0.078838,0.086051,0.097557,0.117101,0.153873", \ - "0.084061,0.088971,0.093859,0.101720,0.114072,0.134288,0.171234"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005046,0.006637,0.008485,0.012050,0.019245,0.034338,0.065974", \ - "0.005042,0.006634,0.008484,0.012051,0.019245,0.034347,0.065974", \ - "0.005057,0.006655,0.008506,0.012062,0.019250,0.034344,0.065977", \ - "0.006063,0.007503,0.009170,0.012489,0.019468,0.034406,0.066007", \ - "0.007859,0.009296,0.010938,0.014092,0.020620,0.034927,0.066080", \ - "0.009742,0.011220,0.012894,0.015978,0.022151,0.035852,0.066433", \ - "0.011745,0.013284,0.015012,0.018135,0.024087,0.037084,0.066878"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024863,0.028314,0.031804,0.037687,0.047877,0.066634,0.103233", \ - "0.026431,0.029880,0.033370,0.039255,0.049447,0.068209,0.104803", \ - "0.032831,0.036261,0.039742,0.045631,0.055833,0.074597,0.111206", \ - "0.044425,0.048037,0.051655,0.057656,0.067910,0.086676,0.123264", \ - "0.056798,0.060877,0.064917,0.071500,0.082314,0.101371,0.137922", \ - "0.069871,0.074366,0.078840,0.086049,0.097570,0.117091,0.153804", \ - "0.084093,0.088968,0.093850,0.101707,0.114056,0.134265,0.171143"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.026135,0.030926,0.036131,0.045720,0.064100,0.100388,0.172772", \ - "0.027416,0.032205,0.037407,0.047000,0.065373,0.101665,0.174048", \ - "0.031092,0.035865,0.041046,0.050612,0.068977,0.105271,0.177667", \ - "0.036475,0.041382,0.046630,0.056232,0.074569,0.110831,0.183229", \ - "0.041669,0.046848,0.052246,0.061927,0.080263,0.116530,0.188860", \ - "0.045569,0.051204,0.056942,0.066900,0.085313,0.121493,0.193810", \ - "0.047719,0.053853,0.060107,0.070594,0.089339,0.125652,0.197927"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005035,0.006630,0.008479,0.012047,0.019242,0.034323,0.065721", \ - "0.005036,0.006631,0.008477,0.012047,0.019244,0.034328,0.065718", \ - "0.005048,0.006650,0.008498,0.012060,0.019254,0.034329,0.065721", \ - "0.006056,0.007491,0.009163,0.012484,0.019466,0.034393,0.065744", \ - "0.007843,0.009287,0.010926,0.014087,0.020624,0.034914,0.065812", \ - "0.009718,0.011204,0.012880,0.015974,0.022159,0.035844,0.066213", \ - "0.011720,0.013261,0.014992,0.018129,0.024085,0.037087,0.066730"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006387,0.009512,0.013394,0.021429,0.038219,0.072483,0.141343", \ - "0.006384,0.009509,0.013391,0.021431,0.038232,0.072485,0.141363", \ - "0.006393,0.009519,0.013403,0.021432,0.038232,0.072485,0.141352", \ - "0.006842,0.009918,0.013717,0.021623,0.038270,0.072486,0.141364", \ - "0.007721,0.010708,0.014347,0.022021,0.038486,0.072598,0.141340", \ - "0.009028,0.012044,0.015514,0.022813,0.038864,0.072748,0.141429", \ - "0.010576,0.013773,0.017243,0.024174,0.039710,0.073180,0.141553"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024853,0.028302,0.031796,0.037686,0.047879,0.066644,0.103291", \ - "0.026420,0.029868,0.033361,0.039252,0.049447,0.068209,0.104858", \ - "0.032823,0.036254,0.039741,0.045632,0.055835,0.074607,0.111267", \ - "0.044409,0.048026,0.051644,0.057649,0.067907,0.086685,0.123340", \ - "0.056773,0.060859,0.064905,0.071492,0.082312,0.101386,0.137998", \ - "0.069855,0.074365,0.078838,0.086051,0.097557,0.117101,0.153873", \ - "0.084061,0.088971,0.093859,0.101720,0.114072,0.134288,0.171234"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005046,0.006637,0.008485,0.012050,0.019245,0.034338,0.065973", \ - "0.005042,0.006634,0.008484,0.012051,0.019245,0.034347,0.065973", \ - "0.005057,0.006655,0.008506,0.012062,0.019250,0.034344,0.065977", \ - "0.006063,0.007503,0.009170,0.012489,0.019468,0.034412,0.066007", \ - "0.007859,0.009296,0.010938,0.014092,0.020620,0.034927,0.066080", \ - "0.009742,0.011220,0.012894,0.015978,0.022151,0.035852,0.066433", \ - "0.011745,0.013284,0.015012,0.018135,0.024087,0.037084,0.066878"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024851,0.028300,0.031791,0.037675,0.047865,0.066628,0.103225", \ - "0.026421,0.029867,0.033355,0.039241,0.049432,0.068198,0.104794", \ - "0.032823,0.036252,0.039733,0.045623,0.055824,0.074591,0.111198", \ - "0.044416,0.048027,0.051646,0.057643,0.067895,0.086664,0.123247", \ - "0.056778,0.060856,0.064900,0.071479,0.082290,0.101353,0.137892", \ - "0.069833,0.074330,0.078802,0.086015,0.097524,0.117055,0.153762", \ - "0.084029,0.088916,0.093804,0.101664,0.114008,0.134228,0.171106"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.026130,0.030919,0.036121,0.045713,0.064090,0.100376,0.172764", \ - "0.027406,0.032197,0.037398,0.046989,0.065366,0.101662,0.174041", \ - "0.031068,0.035841,0.041025,0.050593,0.068952,0.105247,0.177642", \ - "0.036441,0.041348,0.046599,0.056201,0.074531,0.110798,0.183201", \ - "0.041628,0.046804,0.052206,0.061888,0.080229,0.116489,0.188833", \ - "0.045521,0.051159,0.056898,0.066857,0.085270,0.121448,0.193769", \ - "0.047656,0.053790,0.060051,0.070547,0.089287,0.125602,0.197882"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005033,0.006628,0.008475,0.012045,0.019242,0.034321,0.065724", \ - "0.005033,0.006630,0.008479,0.012046,0.019244,0.034328,0.065718", \ - "0.005049,0.006649,0.008498,0.012059,0.019249,0.034325,0.065728", \ - "0.006056,0.007494,0.009165,0.012484,0.019467,0.034395,0.065744", \ - "0.007843,0.009286,0.010925,0.014087,0.020626,0.034913,0.065815", \ - "0.009720,0.011204,0.012881,0.015978,0.022155,0.035845,0.066215", \ - "0.011724,0.013260,0.014993,0.018130,0.024085,0.037085,0.066731"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006387,0.009511,0.013392,0.021432,0.038228,0.072513,0.141347", \ - "0.006386,0.009509,0.013389,0.021428,0.038231,0.072513,0.141341", \ - "0.006393,0.009523,0.013401,0.021434,0.038226,0.072512,0.141351", \ - "0.006843,0.009915,0.013715,0.021624,0.038271,0.072485,0.141360", \ - "0.007721,0.010705,0.014345,0.022021,0.038486,0.072578,0.141350", \ - "0.009032,0.012043,0.015514,0.022812,0.038859,0.072751,0.141418", \ - "0.010575,0.013772,0.017245,0.024174,0.039713,0.073180,0.141571"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024839,0.028290,0.031781,0.037666,0.047860,0.066624,0.103277", \ - "0.026406,0.029855,0.033347,0.039232,0.049427,0.068193,0.104840", \ - "0.032812,0.036243,0.039728,0.045618,0.055819,0.074592,0.111249", \ - "0.044401,0.048020,0.051638,0.057638,0.067893,0.086659,0.123304", \ - "0.056762,0.060842,0.064889,0.071481,0.082292,0.101355,0.137963", \ - "0.069834,0.074336,0.078811,0.086029,0.097544,0.117067,0.153818", \ - "0.084035,0.088930,0.093820,0.101680,0.114037,0.134253,0.171160"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005045,0.006634,0.008485,0.012050,0.019246,0.034338,0.065974", \ - "0.005041,0.006635,0.008485,0.012052,0.019246,0.034341,0.065975", \ - "0.005056,0.006656,0.008506,0.012062,0.019250,0.034345,0.065979", \ - "0.006062,0.007500,0.009172,0.012491,0.019470,0.034406,0.066007", \ - "0.007859,0.009295,0.010934,0.014093,0.020622,0.034929,0.066080", \ - "0.009737,0.011222,0.012893,0.015983,0.022162,0.035858,0.066435", \ - "0.011745,0.013286,0.015015,0.018141,0.024093,0.037094,0.066884"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024863,0.028314,0.031804,0.037687,0.047877,0.066634,0.103233", \ - "0.026431,0.029880,0.033370,0.039255,0.049447,0.068209,0.104803", \ - "0.032831,0.036261,0.039742,0.045631,0.055833,0.074597,0.111206", \ - "0.044425,0.048037,0.051655,0.057656,0.067910,0.086677,0.123264", \ - "0.056798,0.060877,0.064917,0.071498,0.082314,0.101371,0.137922", \ - "0.069871,0.074366,0.078840,0.086048,0.097571,0.117091,0.153804", \ - "0.084093,0.088968,0.093850,0.101706,0.114057,0.134265,0.171143"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.026135,0.030926,0.036131,0.045720,0.064101,0.100389,0.172772", \ - "0.027416,0.032205,0.037407,0.047001,0.065375,0.101666,0.174048", \ - "0.031092,0.035865,0.041046,0.050613,0.068979,0.105271,0.177667", \ - "0.036475,0.041382,0.046630,0.056233,0.074568,0.110833,0.183229", \ - "0.041669,0.046848,0.052246,0.061927,0.080263,0.116528,0.188860", \ - "0.045569,0.051204,0.056942,0.066901,0.085313,0.121493,0.193810", \ - "0.047719,0.053853,0.060107,0.070594,0.089337,0.125652,0.197927"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005035,0.006630,0.008479,0.012044,0.019245,0.034324,0.065721", \ - "0.005036,0.006631,0.008477,0.012044,0.019244,0.034328,0.065718", \ - "0.005048,0.006650,0.008498,0.012057,0.019254,0.034329,0.065721", \ - "0.006056,0.007491,0.009163,0.012485,0.019466,0.034393,0.065744", \ - "0.007843,0.009287,0.010926,0.014088,0.020621,0.034913,0.065812", \ - "0.009718,0.011204,0.012880,0.015974,0.022157,0.035844,0.066213", \ - "0.011720,0.013261,0.014992,0.018128,0.024083,0.037087,0.066730"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006387,0.009512,0.013394,0.021428,0.038219,0.072484,0.141343", \ - "0.006384,0.009509,0.013391,0.021428,0.038232,0.072483,0.141363", \ - "0.006393,0.009519,0.013403,0.021432,0.038232,0.072484,0.141352", \ - "0.006842,0.009918,0.013717,0.021623,0.038265,0.072489,0.141364", \ - "0.007721,0.010708,0.014347,0.022023,0.038486,0.072601,0.141340", \ - "0.009028,0.012044,0.015514,0.022818,0.038867,0.072748,0.141429", \ - "0.010576,0.013773,0.017243,0.024177,0.039716,0.073180,0.141553"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024853,0.028302,0.031796,0.037686,0.047879,0.066641,0.103297", \ - "0.026420,0.029868,0.033361,0.039252,0.049447,0.068209,0.104858", \ - "0.032823,0.036254,0.039741,0.045632,0.055835,0.074607,0.111267", \ - "0.044409,0.048026,0.051644,0.057649,0.067907,0.086684,0.123340", \ - "0.056773,0.060859,0.064905,0.071492,0.082312,0.101386,0.137998", \ - "0.069855,0.074365,0.078838,0.086051,0.097557,0.117101,0.153873", \ - "0.084061,0.088971,0.093859,0.101720,0.114072,0.134288,0.171234"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005046,0.006637,0.008485,0.012050,0.019245,0.034339,0.065974", \ - "0.005042,0.006634,0.008484,0.012051,0.019245,0.034347,0.065973", \ - "0.005057,0.006655,0.008506,0.012062,0.019250,0.034344,0.065977", \ - "0.006063,0.007503,0.009170,0.012489,0.019468,0.034406,0.066007", \ - "0.007859,0.009296,0.010938,0.014092,0.020620,0.034927,0.066080", \ - "0.009742,0.011220,0.012894,0.015978,0.022151,0.035852,0.066433", \ - "0.011745,0.013284,0.015012,0.018135,0.024087,0.037084,0.066878"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066633,0.103230", \ - "0.026433,0.029881,0.033369,0.039255,0.049445,0.068204,0.104797", \ - "0.032833,0.036260,0.039743,0.045630,0.055832,0.074597,0.111204", \ - "0.044427,0.048038,0.051654,0.057655,0.067910,0.086675,0.123258", \ - "0.056803,0.060879,0.064921,0.071502,0.082313,0.101373,0.137911", \ - "0.069876,0.074368,0.078844,0.086053,0.097561,0.117089,0.153798", \ - "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.025800,0.030579,0.035777,0.045362,0.063723,0.100025,0.172415", \ - "0.027087,0.031864,0.037060,0.046648,0.065014,0.101313,0.173692", \ - "0.030824,0.035588,0.040763,0.050324,0.068676,0.104980,0.177386", \ - "0.036325,0.041226,0.046469,0.056068,0.074386,0.110663,0.183056", \ - "0.041552,0.046731,0.052133,0.061808,0.080138,0.116391,0.188738", \ - "0.045434,0.051081,0.056825,0.066781,0.085189,0.121359,0.193680", \ - "0.047563,0.053709,0.059979,0.070473,0.089209,0.125524,0.197816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005035,0.006628,0.008477,0.012048,0.019241,0.034322,0.065717", \ - "0.005035,0.006629,0.008478,0.012044,0.019245,0.034329,0.065706", \ - "0.005047,0.006649,0.008497,0.012060,0.019253,0.034327,0.065718", \ - "0.006055,0.007489,0.009164,0.012484,0.019465,0.034390,0.065722", \ - "0.007842,0.009282,0.010921,0.014084,0.020623,0.034914,0.065804", \ - "0.009713,0.011198,0.012877,0.015971,0.022152,0.035844,0.066210", \ - "0.011715,0.013251,0.014989,0.018125,0.024080,0.037081,0.066727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006333,0.009470,0.013356,0.021400,0.038198,0.072508,0.141397", \ - "0.006333,0.009467,0.013353,0.021395,0.038206,0.072521,0.141375", \ - "0.006343,0.009478,0.013366,0.021401,0.038195,0.072528,0.141400", \ - "0.006815,0.009893,0.013695,0.021599,0.038247,0.072503,0.141385", \ - "0.007723,0.010701,0.014337,0.022010,0.038473,0.072575,0.141363", \ - "0.009045,0.012059,0.015521,0.022809,0.038849,0.072765,0.141430", \ - "0.010591,0.013791,0.017260,0.024178,0.039700,0.073194,0.141591"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066643,0.103224", \ - "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ - "0.032824,0.036257,0.039740,0.045632,0.055835,0.074600,0.111189", \ - "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ - "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ - "0.069849,0.074357,0.078827,0.086037,0.097550,0.117067,0.153786", \ - "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005042,0.006634,0.008485,0.012048,0.019246,0.034309,0.065803", \ - "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ - "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ - "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ - "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ - "0.009729,0.011215,0.012889,0.015981,0.022157,0.035817,0.066527", \ - "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066634,0.103230", \ - "0.026433,0.029881,0.033369,0.039255,0.049445,0.068205,0.104797", \ - "0.032833,0.036260,0.039743,0.045631,0.055832,0.074597,0.111204", \ - "0.044427,0.048038,0.051654,0.057653,0.067910,0.086674,0.123258", \ - "0.056803,0.060879,0.064921,0.071501,0.082312,0.101373,0.137911", \ - "0.069876,0.074368,0.078844,0.086052,0.097560,0.117089,0.153798", \ - "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.025800,0.030579,0.035777,0.045361,0.063723,0.100027,0.172415", \ - "0.027087,0.031864,0.037060,0.046647,0.065012,0.101314,0.173692", \ - "0.030824,0.035588,0.040763,0.050323,0.068673,0.104980,0.177386", \ - "0.036325,0.041226,0.046469,0.056067,0.074386,0.110661,0.183054", \ - "0.041552,0.046731,0.052133,0.061809,0.080139,0.116391,0.188738", \ - "0.045434,0.051081,0.056825,0.066781,0.085192,0.121359,0.193680", \ - "0.047563,0.053709,0.059979,0.070473,0.089211,0.125524,0.197816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005035,0.006628,0.008477,0.012046,0.019244,0.034326,0.065717", \ - "0.005035,0.006629,0.008478,0.012044,0.019244,0.034329,0.065706", \ - "0.005047,0.006649,0.008497,0.012057,0.019251,0.034325,0.065718", \ - "0.006055,0.007489,0.009164,0.012484,0.019463,0.034390,0.065722", \ - "0.007842,0.009282,0.010921,0.014082,0.020625,0.034914,0.065804", \ - "0.009713,0.011198,0.012877,0.015969,0.022151,0.035844,0.066210", \ - "0.011715,0.013251,0.014989,0.018122,0.024084,0.037081,0.066727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006333,0.009470,0.013356,0.021401,0.038204,0.072507,0.141397", \ - "0.006333,0.009467,0.013353,0.021400,0.038205,0.072517,0.141375", \ - "0.006343,0.009478,0.013366,0.021406,0.038197,0.072524,0.141400", \ - "0.006815,0.009893,0.013695,0.021599,0.038251,0.072508,0.141380", \ - "0.007723,0.010701,0.014337,0.022006,0.038471,0.072571,0.141363", \ - "0.009045,0.012059,0.015521,0.022807,0.038842,0.072765,0.141430", \ - "0.010591,0.013791,0.017260,0.024182,0.039703,0.073194,0.141591"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066644,0.103225", \ - "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ - "0.032824,0.036257,0.039740,0.045632,0.055834,0.074600,0.111189", \ - "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ - "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ - "0.069849,0.074357,0.078827,0.086037,0.097550,0.117067,0.153786", \ - "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005042,0.006634,0.008485,0.012048,0.019244,0.034311,0.065802", \ - "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ - "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ - "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ - "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ - "0.009729,0.011215,0.012889,0.015981,0.022157,0.035817,0.066527", \ - "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066633,0.103230", \ - "0.026433,0.029881,0.033369,0.039255,0.049445,0.068204,0.104797", \ - "0.032833,0.036260,0.039743,0.045630,0.055832,0.074596,0.111204", \ - "0.044427,0.048038,0.051654,0.057655,0.067910,0.086676,0.123258", \ - "0.056803,0.060879,0.064921,0.071500,0.082312,0.101373,0.137911", \ - "0.069876,0.074368,0.078844,0.086053,0.097562,0.117089,0.153798", \ - "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.025800,0.030579,0.035777,0.045363,0.063724,0.100023,0.172415", \ - "0.027087,0.031864,0.037060,0.046649,0.065015,0.101312,0.173692", \ - "0.030824,0.035588,0.040763,0.050323,0.068677,0.104979,0.177386", \ - "0.036325,0.041226,0.046469,0.056068,0.074386,0.110664,0.183054", \ - "0.041552,0.046731,0.052133,0.061809,0.080138,0.116390,0.188738", \ - "0.045434,0.051081,0.056825,0.066781,0.085189,0.121359,0.193680", \ - "0.047563,0.053709,0.059979,0.070473,0.089207,0.125524,0.197816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005035,0.006628,0.008477,0.012046,0.019241,0.034323,0.065717", \ - "0.005035,0.006629,0.008478,0.012043,0.019245,0.034329,0.065706", \ - "0.005047,0.006649,0.008497,0.012059,0.019253,0.034328,0.065718", \ - "0.006055,0.007489,0.009164,0.012483,0.019465,0.034390,0.065722", \ - "0.007842,0.009282,0.010921,0.014086,0.020622,0.034914,0.065804", \ - "0.009713,0.011198,0.012877,0.015973,0.022153,0.035844,0.066210", \ - "0.011715,0.013251,0.014989,0.018125,0.024078,0.037081,0.066727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006333,0.009470,0.013356,0.021398,0.038194,0.072509,0.141397", \ - "0.006333,0.009467,0.013353,0.021396,0.038205,0.072524,0.141375", \ - "0.006343,0.009478,0.013366,0.021404,0.038195,0.072530,0.141400", \ - "0.006815,0.009893,0.013695,0.021598,0.038243,0.072503,0.141380", \ - "0.007723,0.010701,0.014337,0.022010,0.038473,0.072577,0.141363", \ - "0.009045,0.012059,0.015521,0.022810,0.038851,0.072765,0.141430", \ - "0.010591,0.013791,0.017260,0.024179,0.039704,0.073194,0.141591"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066643,0.103224", \ - "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ - "0.032824,0.036257,0.039740,0.045632,0.055835,0.074600,0.111189", \ - "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ - "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ - "0.069849,0.074357,0.078827,0.086037,0.097550,0.117067,0.153786", \ - "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005042,0.006634,0.008485,0.012048,0.019246,0.034309,0.065803", \ - "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ - "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ - "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ - "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ - "0.009729,0.011215,0.012889,0.015981,0.022157,0.035817,0.066527", \ - "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066633,0.103230", \ - "0.026433,0.029881,0.033369,0.039255,0.049445,0.068204,0.104797", \ - "0.032833,0.036260,0.039743,0.045630,0.055832,0.074596,0.111204", \ - "0.044427,0.048038,0.051654,0.057655,0.067910,0.086676,0.123258", \ - "0.056803,0.060879,0.064921,0.071500,0.082312,0.101373,0.137911", \ - "0.069876,0.074368,0.078844,0.086053,0.097562,0.117089,0.153798", \ - "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.025800,0.030579,0.035777,0.045363,0.063724,0.100023,0.172415", \ - "0.027087,0.031864,0.037060,0.046649,0.065015,0.101312,0.173692", \ - "0.030824,0.035588,0.040763,0.050324,0.068677,0.104979,0.177386", \ - "0.036325,0.041226,0.046469,0.056068,0.074386,0.110664,0.183054", \ - "0.041552,0.046731,0.052133,0.061809,0.080138,0.116390,0.188738", \ - "0.045434,0.051081,0.056825,0.066781,0.085188,0.121359,0.193680", \ - "0.047563,0.053709,0.059979,0.070473,0.089208,0.125524,0.197816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005035,0.006628,0.008477,0.012046,0.019241,0.034323,0.065717", \ - "0.005035,0.006629,0.008478,0.012043,0.019245,0.034329,0.065706", \ - "0.005047,0.006649,0.008497,0.012059,0.019253,0.034328,0.065718", \ - "0.006055,0.007489,0.009164,0.012483,0.019465,0.034390,0.065722", \ - "0.007842,0.009282,0.010921,0.014086,0.020623,0.034914,0.065804", \ - "0.009713,0.011198,0.012877,0.015973,0.022153,0.035844,0.066210", \ - "0.011715,0.013251,0.014989,0.018125,0.024078,0.037081,0.066727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006333,0.009470,0.013356,0.021398,0.038195,0.072509,0.141397", \ - "0.006333,0.009467,0.013353,0.021395,0.038205,0.072523,0.141375", \ - "0.006344,0.009478,0.013366,0.021404,0.038195,0.072529,0.141400", \ - "0.006815,0.009893,0.013695,0.021598,0.038244,0.072503,0.141380", \ - "0.007723,0.010701,0.014337,0.022010,0.038473,0.072577,0.141363", \ - "0.009045,0.012059,0.015521,0.022810,0.038851,0.072765,0.141430", \ - "0.010591,0.013791,0.017260,0.024178,0.039704,0.073194,0.141591"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066643,0.103225", \ - "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ - "0.032824,0.036257,0.039740,0.045632,0.055835,0.074600,0.111189", \ - "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ - "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ - "0.069849,0.074357,0.078830,0.086037,0.097550,0.117067,0.153786", \ - "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005042,0.006634,0.008485,0.012048,0.019246,0.034309,0.065803", \ - "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ - "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ - "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ - "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ - "0.009729,0.011215,0.012888,0.015981,0.022157,0.035817,0.066527", \ - "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066633,0.103230", \ - "0.026433,0.029881,0.033369,0.039255,0.049445,0.068204,0.104797", \ - "0.032833,0.036260,0.039743,0.045630,0.055832,0.074597,0.111204", \ - "0.044427,0.048038,0.051654,0.057655,0.067910,0.086675,0.123258", \ - "0.056803,0.060879,0.064921,0.071502,0.082313,0.101373,0.137911", \ - "0.069876,0.074368,0.078844,0.086053,0.097561,0.117089,0.153798", \ - "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.025800,0.030579,0.035777,0.045362,0.063723,0.100025,0.172415", \ - "0.027087,0.031864,0.037060,0.046648,0.065014,0.101313,0.173692", \ - "0.030824,0.035588,0.040763,0.050324,0.068676,0.104980,0.177386", \ - "0.036325,0.041226,0.046469,0.056068,0.074386,0.110663,0.183054", \ - "0.041552,0.046731,0.052133,0.061808,0.080138,0.116391,0.188738", \ - "0.045434,0.051081,0.056825,0.066781,0.085189,0.121359,0.193680", \ - "0.047563,0.053709,0.059979,0.070473,0.089209,0.125524,0.197816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005035,0.006628,0.008477,0.012048,0.019241,0.034322,0.065717", \ - "0.005035,0.006629,0.008478,0.012044,0.019245,0.034329,0.065706", \ - "0.005047,0.006649,0.008497,0.012060,0.019253,0.034327,0.065718", \ - "0.006055,0.007489,0.009164,0.012484,0.019465,0.034390,0.065722", \ - "0.007842,0.009282,0.010921,0.014084,0.020623,0.034914,0.065804", \ - "0.009713,0.011198,0.012877,0.015971,0.022152,0.035844,0.066210", \ - "0.011715,0.013251,0.014989,0.018125,0.024080,0.037081,0.066727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006333,0.009470,0.013356,0.021400,0.038198,0.072508,0.141397", \ - "0.006333,0.009467,0.013353,0.021395,0.038206,0.072521,0.141375", \ - "0.006343,0.009478,0.013366,0.021401,0.038195,0.072528,0.141400", \ - "0.006815,0.009893,0.013695,0.021599,0.038247,0.072503,0.141380", \ - "0.007723,0.010701,0.014337,0.022010,0.038473,0.072575,0.141363", \ - "0.009045,0.012059,0.015521,0.022809,0.038849,0.072765,0.141430", \ - "0.010591,0.013791,0.017260,0.024178,0.039700,0.073194,0.141591"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066643,0.103224", \ - "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ - "0.032824,0.036257,0.039740,0.045632,0.055835,0.074600,0.111189", \ - "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ - "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ - "0.069849,0.074357,0.078827,0.086037,0.097550,0.117067,0.153786", \ - "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005042,0.006634,0.008485,0.012048,0.019246,0.034309,0.065803", \ - "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ - "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ - "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ - "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ - "0.009729,0.011215,0.012889,0.015981,0.022157,0.035817,0.066527", \ - "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066634,0.103230", \ - "0.026433,0.029881,0.033369,0.039255,0.049445,0.068205,0.104797", \ - "0.032833,0.036260,0.039743,0.045631,0.055832,0.074597,0.111204", \ - "0.044427,0.048038,0.051654,0.057653,0.067910,0.086674,0.123258", \ - "0.056803,0.060879,0.064921,0.071501,0.082312,0.101373,0.137911", \ - "0.069876,0.074368,0.078844,0.086052,0.097560,0.117089,0.153798", \ - "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.025800,0.030579,0.035777,0.045361,0.063723,0.100027,0.172415", \ - "0.027087,0.031864,0.037060,0.046647,0.065012,0.101314,0.173692", \ - "0.030824,0.035588,0.040763,0.050323,0.068673,0.104980,0.177386", \ - "0.036325,0.041226,0.046469,0.056067,0.074386,0.110661,0.183056", \ - "0.041552,0.046731,0.052133,0.061809,0.080139,0.116391,0.188738", \ - "0.045434,0.051081,0.056825,0.066781,0.085192,0.121359,0.193680", \ - "0.047563,0.053709,0.059979,0.070473,0.089211,0.125524,0.197816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005035,0.006628,0.008477,0.012046,0.019244,0.034326,0.065717", \ - "0.005035,0.006629,0.008478,0.012044,0.019244,0.034329,0.065706", \ - "0.005047,0.006649,0.008497,0.012057,0.019251,0.034325,0.065718", \ - "0.006055,0.007489,0.009164,0.012484,0.019463,0.034390,0.065722", \ - "0.007842,0.009282,0.010921,0.014082,0.020625,0.034914,0.065804", \ - "0.009713,0.011198,0.012877,0.015969,0.022151,0.035844,0.066210", \ - "0.011715,0.013251,0.014989,0.018122,0.024084,0.037081,0.066727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006333,0.009470,0.013356,0.021401,0.038204,0.072507,0.141397", \ - "0.006333,0.009467,0.013353,0.021400,0.038205,0.072517,0.141375", \ - "0.006343,0.009478,0.013366,0.021406,0.038197,0.072524,0.141400", \ - "0.006815,0.009893,0.013695,0.021599,0.038251,0.072508,0.141385", \ - "0.007723,0.010701,0.014337,0.022006,0.038471,0.072571,0.141363", \ - "0.009045,0.012059,0.015521,0.022807,0.038842,0.072765,0.141430", \ - "0.010591,0.013791,0.017260,0.024182,0.039703,0.073194,0.141591"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066644,0.103226", \ - "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ - "0.032824,0.036257,0.039740,0.045632,0.055835,0.074600,0.111189", \ - "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ - "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ - "0.069849,0.074357,0.078827,0.086037,0.097550,0.117067,0.153786", \ - "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005042,0.006634,0.008485,0.012048,0.019244,0.034311,0.065803", \ - "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ - "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ - "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ - "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ - "0.009729,0.011215,0.012889,0.015981,0.022157,0.035817,0.066527", \ - "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066633,0.103230", \ - "0.026433,0.029881,0.033369,0.039255,0.049445,0.068204,0.104797", \ - "0.032833,0.036260,0.039743,0.045630,0.055832,0.074597,0.111204", \ - "0.044427,0.048038,0.051654,0.057654,0.067910,0.086675,0.123258", \ - "0.056803,0.060879,0.064921,0.071502,0.082313,0.101373,0.137911", \ - "0.069876,0.074368,0.078844,0.086054,0.097561,0.117089,0.153798", \ - "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.025800,0.030579,0.035777,0.045362,0.063723,0.100025,0.172415", \ - "0.027087,0.031864,0.037060,0.046648,0.065014,0.101313,0.173692", \ - "0.030824,0.035588,0.040763,0.050324,0.068676,0.104980,0.177386", \ - "0.036325,0.041226,0.046469,0.056067,0.074386,0.110663,0.183054", \ - "0.041552,0.046731,0.052133,0.061808,0.080138,0.116391,0.188738", \ - "0.045434,0.051081,0.056825,0.066780,0.085190,0.121359,0.193680", \ - "0.047563,0.053709,0.059979,0.070473,0.089209,0.125524,0.197816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005035,0.006628,0.008477,0.012047,0.019241,0.034323,0.065717", \ - "0.005035,0.006629,0.008478,0.012044,0.019245,0.034329,0.065706", \ - "0.005047,0.006649,0.008497,0.012060,0.019252,0.034327,0.065718", \ - "0.006055,0.007489,0.009164,0.012484,0.019465,0.034390,0.065722", \ - "0.007842,0.009282,0.010921,0.014082,0.020624,0.034914,0.065804", \ - "0.009713,0.011198,0.012877,0.015970,0.022152,0.035844,0.066210", \ - "0.011715,0.013251,0.014989,0.018125,0.024081,0.037081,0.066727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006333,0.009470,0.013356,0.021401,0.038200,0.072508,0.141397", \ - "0.006333,0.009467,0.013353,0.021397,0.038206,0.072520,0.141375", \ - "0.006343,0.009478,0.013366,0.021402,0.038196,0.072527,0.141400", \ - "0.006815,0.009893,0.013695,0.021599,0.038248,0.072503,0.141380", \ - "0.007723,0.010701,0.014337,0.022010,0.038473,0.072574,0.141363", \ - "0.009045,0.012059,0.015521,0.022808,0.038847,0.072765,0.141430", \ - "0.010591,0.013791,0.017260,0.024179,0.039698,0.073194,0.141591"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066643,0.103224", \ - "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ - "0.032824,0.036257,0.039740,0.045632,0.055835,0.074600,0.111189", \ - "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ - "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ - "0.069849,0.074357,0.078827,0.086037,0.097550,0.117067,0.153786", \ - "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005042,0.006634,0.008485,0.012048,0.019246,0.034309,0.065803", \ - "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ - "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ - "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ - "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ - "0.009729,0.011215,0.012889,0.015981,0.022157,0.035817,0.066527", \ - "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066633,0.103230", \ - "0.026433,0.029881,0.033369,0.039255,0.049445,0.068204,0.104797", \ - "0.032833,0.036260,0.039743,0.045630,0.055832,0.074597,0.111204", \ - "0.044427,0.048038,0.051654,0.057654,0.067910,0.086675,0.123258", \ - "0.056803,0.060879,0.064921,0.071502,0.082313,0.101373,0.137911", \ - "0.069876,0.074368,0.078844,0.086054,0.097561,0.117089,0.153798", \ - "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.025800,0.030579,0.035777,0.045362,0.063723,0.100025,0.172415", \ - "0.027087,0.031864,0.037060,0.046648,0.065014,0.101313,0.173692", \ - "0.030824,0.035588,0.040763,0.050324,0.068676,0.104980,0.177386", \ - "0.036325,0.041226,0.046469,0.056067,0.074386,0.110663,0.183054", \ - "0.041552,0.046731,0.052133,0.061808,0.080138,0.116391,0.188738", \ - "0.045434,0.051081,0.056825,0.066780,0.085190,0.121359,0.193680", \ - "0.047563,0.053709,0.059979,0.070473,0.089209,0.125524,0.197816"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005035,0.006628,0.008477,0.012047,0.019241,0.034323,0.065717", \ - "0.005035,0.006629,0.008478,0.012044,0.019245,0.034329,0.065706", \ - "0.005047,0.006649,0.008497,0.012060,0.019252,0.034327,0.065718", \ - "0.006055,0.007489,0.009164,0.012484,0.019465,0.034390,0.065722", \ - "0.007842,0.009282,0.010921,0.014082,0.020624,0.034914,0.065804", \ - "0.009713,0.011198,0.012877,0.015970,0.022152,0.035844,0.066210", \ - "0.011715,0.013251,0.014989,0.018125,0.024081,0.037081,0.066727"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006333,0.009470,0.013356,0.021401,0.038200,0.072508,0.141397", \ - "0.006333,0.009467,0.013353,0.021397,0.038206,0.072520,0.141375", \ - "0.006343,0.009478,0.013366,0.021402,0.038196,0.072527,0.141400", \ - "0.006815,0.009893,0.013695,0.021599,0.038248,0.072503,0.141380", \ - "0.007723,0.010701,0.014337,0.022010,0.038473,0.072574,0.141363", \ - "0.009045,0.012059,0.015521,0.022808,0.038847,0.072765,0.141430", \ - "0.010591,0.013791,0.017260,0.024179,0.039698,0.073194,0.141591"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066644,0.103224", \ - "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ - "0.032824,0.036257,0.039740,0.045632,0.055835,0.074600,0.111189", \ - "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ - "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ - "0.069849,0.074357,0.078827,0.086037,0.097550,0.117067,0.153786", \ - "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.005042,0.006634,0.008485,0.012048,0.019246,0.034311,0.065803", \ - "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ - "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ - "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ - "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ - "0.009729,0.011215,0.012889,0.015981,0.022157,0.035817,0.066527", \ - "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059871,0.064234,0.068903,0.077779,0.095605,0.131588,0.203815", \ - "0.061459,0.065818,0.070486,0.079362,0.097190,0.133175,0.205405", \ - "0.067741,0.072104,0.076774,0.085648,0.103477,0.139456,0.211685", \ - "0.080411,0.084759,0.089406,0.098255,0.116056,0.152016,0.224233", \ - "0.097649,0.101830,0.106310,0.114932,0.132528,0.168348,0.240492", \ - "0.115884,0.119969,0.124262,0.132582,0.149904,0.185519,0.257544", \ - "0.135073,0.139120,0.143263,0.151278,0.168292,0.203693,0.275577"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006831,0.009899,0.013712,0.021613,0.038267,0.072457,0.141197", \ - "0.006837,0.009897,0.013709,0.021613,0.038270,0.072459,0.141203", \ - "0.006834,0.009900,0.013709,0.021611,0.038266,0.072456,0.141201", \ - "0.006857,0.009910,0.013720,0.021616,0.038269,0.072460,0.141199", \ - "0.007040,0.010071,0.013848,0.021691,0.038296,0.072465,0.141199", \ - "0.007406,0.010382,0.014075,0.021821,0.038358,0.072477,0.141199", \ - "0.007824,0.010763,0.014372,0.021974,0.038437,0.072497,0.141205"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059871,0.064234,0.068903,0.077779,0.095606,0.131586,0.203815", \ - "0.061459,0.065818,0.070486,0.079362,0.097190,0.133175,0.205405", \ - "0.067741,0.072104,0.076774,0.085648,0.103477,0.139456,0.211685", \ - "0.080411,0.084759,0.089406,0.098255,0.116056,0.152016,0.224233", \ - "0.097649,0.101830,0.106310,0.114932,0.132528,0.168348,0.240492", \ - "0.115884,0.119969,0.124262,0.132582,0.149904,0.185519,0.257544", \ - "0.135073,0.139120,0.143263,0.151278,0.168292,0.203693,0.275577"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006831,0.009899,0.013712,0.021613,0.038269,0.072457,0.141197", \ - "0.006837,0.009897,0.013709,0.021613,0.038270,0.072459,0.141203", \ - "0.006834,0.009900,0.013709,0.021611,0.038266,0.072456,0.141201", \ - "0.006857,0.009910,0.013720,0.021616,0.038269,0.072460,0.141199", \ - "0.007040,0.010071,0.013848,0.021691,0.038296,0.072465,0.141199", \ - "0.007406,0.010382,0.014075,0.021821,0.038358,0.072477,0.141199", \ - "0.007824,0.010763,0.014372,0.021974,0.038437,0.072497,0.141205"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059871,0.064234,0.068903,0.077779,0.095603,0.131588,0.203815", \ - "0.061459,0.065818,0.070486,0.079362,0.097190,0.133175,0.205405", \ - "0.067741,0.072104,0.076774,0.085648,0.103477,0.139456,0.211685", \ - "0.080411,0.084759,0.089406,0.098255,0.116056,0.152016,0.224233", \ - "0.097649,0.101830,0.106310,0.114932,0.132528,0.168348,0.240492", \ - "0.115884,0.119969,0.124262,0.132582,0.149904,0.185519,0.257544", \ - "0.135073,0.139120,0.143263,0.151278,0.168292,0.203693,0.275577"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006831,0.009899,0.013712,0.021613,0.038265,0.072460,0.141197", \ - "0.006837,0.009897,0.013709,0.021613,0.038270,0.072459,0.141203", \ - "0.006834,0.009900,0.013709,0.021611,0.038266,0.072456,0.141201", \ - "0.006857,0.009910,0.013720,0.021616,0.038269,0.072460,0.141199", \ - "0.007040,0.010071,0.013848,0.021691,0.038296,0.072465,0.141199", \ - "0.007406,0.010382,0.014075,0.021821,0.038358,0.072477,0.141199", \ - "0.007824,0.010763,0.014372,0.021974,0.038437,0.072497,0.141205"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059865,0.064230,0.068897,0.077774,0.095600,0.131584,0.203814", \ - "0.061450,0.065814,0.070483,0.079357,0.097186,0.133169,0.205400", \ - "0.067729,0.072093,0.076763,0.085637,0.103463,0.139446,0.211676", \ - "0.080409,0.084752,0.089403,0.098254,0.116053,0.152012,0.224233", \ - "0.097646,0.101824,0.106303,0.114925,0.132522,0.168344,0.240488", \ - "0.115890,0.119969,0.124258,0.132577,0.149900,0.185510,0.257535", \ - "0.135065,0.139113,0.143253,0.151260,0.168299,0.203688,0.275574"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006830,0.009898,0.013711,0.021613,0.038271,0.072459,0.141198", \ - "0.006835,0.009896,0.013707,0.021613,0.038266,0.072459,0.141197", \ - "0.006833,0.009898,0.013712,0.021613,0.038266,0.072456,0.141200", \ - "0.006860,0.009910,0.013722,0.021616,0.038271,0.072460,0.141199", \ - "0.007041,0.010071,0.013848,0.021691,0.038296,0.072464,0.141199", \ - "0.007405,0.010384,0.014075,0.021821,0.038358,0.072477,0.141200", \ - "0.007824,0.010764,0.014369,0.021974,0.038433,0.072497,0.141205"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059865,0.064230,0.068897,0.077774,0.095600,0.131585,0.203814", \ - "0.061450,0.065814,0.070483,0.079357,0.097186,0.133169,0.205400", \ - "0.067729,0.072093,0.076763,0.085637,0.103463,0.139446,0.211676", \ - "0.080409,0.084752,0.089403,0.098254,0.116053,0.152012,0.224233", \ - "0.097646,0.101824,0.106303,0.114925,0.132522,0.168344,0.240488", \ - "0.115890,0.119969,0.124258,0.132577,0.149900,0.185510,0.257535", \ - "0.135065,0.139113,0.143253,0.151260,0.168299,0.203688,0.275574"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006830,0.009898,0.013711,0.021613,0.038271,0.072459,0.141198", \ - "0.006835,0.009896,0.013707,0.021613,0.038266,0.072459,0.141197", \ - "0.006833,0.009898,0.013712,0.021613,0.038266,0.072456,0.141200", \ - "0.006860,0.009910,0.013722,0.021616,0.038271,0.072460,0.141199", \ - "0.007041,0.010071,0.013848,0.021691,0.038296,0.072464,0.141199", \ - "0.007405,0.010384,0.014075,0.021821,0.038358,0.072477,0.141200", \ - "0.007824,0.010764,0.014369,0.021974,0.038433,0.072497,0.141205"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059865,0.064230,0.068897,0.077774,0.095600,0.131585,0.203815", \ - "0.061450,0.065814,0.070483,0.079357,0.097186,0.133169,0.205400", \ - "0.067729,0.072093,0.076763,0.085637,0.103463,0.139446,0.211676", \ - "0.080409,0.084752,0.089403,0.098254,0.116053,0.152012,0.224233", \ - "0.097646,0.101824,0.106303,0.114925,0.132522,0.168344,0.240488", \ - "0.115890,0.119969,0.124258,0.132577,0.149900,0.185510,0.257535", \ - "0.135065,0.139113,0.143253,0.151260,0.168299,0.203688,0.275574"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006830,0.009898,0.013711,0.021613,0.038271,0.072459,0.141202", \ - "0.006835,0.009896,0.013707,0.021613,0.038266,0.072459,0.141197", \ - "0.006833,0.009898,0.013712,0.021613,0.038266,0.072456,0.141200", \ - "0.006860,0.009910,0.013722,0.021616,0.038271,0.072460,0.141199", \ - "0.007041,0.010071,0.013848,0.021691,0.038296,0.072464,0.141199", \ - "0.007405,0.010384,0.014075,0.021821,0.038358,0.072477,0.141200", \ - "0.007824,0.010764,0.014369,0.021974,0.038433,0.072497,0.141205"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059871,0.064234,0.068903,0.077779,0.095603,0.131588,0.203815", \ - "0.061459,0.065818,0.070486,0.079362,0.097190,0.133175,0.205405", \ - "0.067741,0.072104,0.076774,0.085648,0.103477,0.139456,0.211685", \ - "0.080411,0.084759,0.089406,0.098255,0.116056,0.152016,0.224233", \ - "0.097649,0.101830,0.106310,0.114932,0.132528,0.168348,0.240492", \ - "0.115884,0.119969,0.124262,0.132582,0.149904,0.185519,0.257544", \ - "0.135073,0.139120,0.143263,0.151278,0.168292,0.203693,0.275577"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006831,0.009899,0.013712,0.021613,0.038266,0.072457,0.141197", \ - "0.006837,0.009897,0.013709,0.021613,0.038270,0.072459,0.141203", \ - "0.006834,0.009900,0.013709,0.021611,0.038266,0.072456,0.141201", \ - "0.006857,0.009910,0.013720,0.021616,0.038269,0.072460,0.141199", \ - "0.007040,0.010071,0.013848,0.021691,0.038296,0.072465,0.141199", \ - "0.007406,0.010382,0.014075,0.021821,0.038358,0.072477,0.141199", \ - "0.007824,0.010763,0.014372,0.021974,0.038437,0.072497,0.141205"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059865,0.064230,0.068897,0.077774,0.095600,0.131584,0.203814", \ - "0.061450,0.065814,0.070483,0.079357,0.097186,0.133169,0.205400", \ - "0.067729,0.072093,0.076763,0.085637,0.103463,0.139446,0.211676", \ - "0.080409,0.084752,0.089403,0.098254,0.116053,0.152012,0.224233", \ - "0.097646,0.101824,0.106303,0.114925,0.132522,0.168344,0.240488", \ - "0.115890,0.119969,0.124258,0.132577,0.149900,0.185510,0.257535", \ - "0.135065,0.139113,0.143253,0.151260,0.168299,0.203688,0.275574"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006830,0.009898,0.013711,0.021613,0.038271,0.072459,0.141199", \ - "0.006835,0.009896,0.013707,0.021613,0.038266,0.072459,0.141197", \ - "0.006833,0.009898,0.013712,0.021613,0.038266,0.072456,0.141200", \ - "0.006860,0.009910,0.013722,0.021616,0.038271,0.072460,0.141199", \ - "0.007041,0.010071,0.013848,0.021691,0.038296,0.072464,0.141199", \ - "0.007405,0.010384,0.014075,0.021821,0.038358,0.072477,0.141200", \ - "0.007824,0.010764,0.014369,0.021974,0.038433,0.072497,0.141205"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059908,0.064257,0.068918,0.077793,0.095619,0.131597,0.203820", \ - "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ - "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ - "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ - "0.097684,0.101847,0.106317,0.114946,0.132540,0.168361,0.240503", \ - "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ - "0.135095,0.139125,0.143260,0.151270,0.168258,0.203678,0.275566"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006809,0.009877,0.013696,0.021600,0.038253,0.072453,0.141201", \ - "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ - "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141206", \ - "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ - "0.007011,0.010046,0.013828,0.021673,0.038284,0.072455,0.141205", \ - "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141207", \ - "0.007776,0.010718,0.014331,0.021938,0.038403,0.072504,0.141214"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059908,0.064257,0.068918,0.077793,0.095619,0.131598,0.203820", \ - "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ - "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ - "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ - "0.097684,0.101847,0.106317,0.114946,0.132540,0.168361,0.240503", \ - "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ - "0.135095,0.139125,0.143260,0.151270,0.168258,0.203673,0.275566"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006809,0.009877,0.013696,0.021600,0.038253,0.072453,0.141201", \ - "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ - "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141206", \ - "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ - "0.007011,0.010046,0.013828,0.021673,0.038284,0.072455,0.141205", \ - "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141207", \ - "0.007776,0.010718,0.014331,0.021938,0.038403,0.072503,0.141214"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059908,0.064257,0.068918,0.077793,0.095619,0.131597,0.203820", \ - "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ - "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ - "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ - "0.097684,0.101847,0.106317,0.114946,0.132540,0.168361,0.240503", \ - "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ - "0.135095,0.139125,0.143260,0.151270,0.168258,0.203673,0.275566"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006809,0.009877,0.013696,0.021600,0.038254,0.072453,0.141201", \ - "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ - "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141206", \ - "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ - "0.007011,0.010046,0.013828,0.021673,0.038284,0.072455,0.141205", \ - "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141207", \ - "0.007776,0.010718,0.014331,0.021938,0.038403,0.072503,0.141214"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059908,0.064257,0.068918,0.077793,0.095618,0.131598,0.203820", \ - "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ - "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ - "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ - "0.097684,0.101847,0.106317,0.114946,0.132540,0.168362,0.240503", \ - "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ - "0.135095,0.139125,0.143260,0.151270,0.168258,0.203673,0.275566"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006809,0.009878,0.013696,0.021600,0.038253,0.072453,0.141201", \ - "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ - "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141203", \ - "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ - "0.007011,0.010046,0.013828,0.021673,0.038284,0.072455,0.141205", \ - "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141210", \ - "0.007776,0.010718,0.014330,0.021938,0.038403,0.072503,0.141214"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059908,0.064257,0.068918,0.077793,0.095619,0.131597,0.203820", \ - "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ - "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ - "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ - "0.097684,0.101847,0.106317,0.114946,0.132540,0.168362,0.240503", \ - "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ - "0.135095,0.139125,0.143260,0.151270,0.168258,0.203673,0.275566"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006809,0.009878,0.013696,0.021600,0.038253,0.072453,0.141201", \ - "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ - "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141203", \ - "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ - "0.007011,0.010046,0.013828,0.021673,0.038284,0.072455,0.141205", \ - "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141210", \ - "0.007776,0.010718,0.014330,0.021938,0.038403,0.072503,0.141214"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059908,0.064257,0.068918,0.077793,0.095619,0.131597,0.203820", \ - "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ - "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ - "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ - "0.097684,0.101847,0.106317,0.114946,0.132540,0.168362,0.240503", \ - "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ - "0.135095,0.139125,0.143260,0.151270,0.168258,0.203673,0.275566"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006809,0.009878,0.013696,0.021600,0.038253,0.072453,0.141201", \ - "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ - "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141203", \ - "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ - "0.007011,0.010046,0.013828,0.021673,0.038284,0.072455,0.141205", \ - "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141210", \ - "0.007776,0.010718,0.014330,0.021938,0.038403,0.072503,0.141214"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059908,0.064257,0.068918,0.077793,0.095619,0.131598,0.203820", \ - "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ - "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ - "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ - "0.097684,0.101847,0.106317,0.114946,0.132540,0.168361,0.240503", \ - "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ - "0.135095,0.139125,0.143260,0.151270,0.168258,0.203673,0.275566"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006809,0.009877,0.013696,0.021600,0.038253,0.072452,0.141201", \ - "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ - "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141206", \ - "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ - "0.007011,0.010046,0.013828,0.021673,0.038284,0.072455,0.141205", \ - "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141207", \ - "0.007776,0.010718,0.014331,0.021938,0.038403,0.072503,0.141214"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.059908,0.064257,0.068918,0.077793,0.095616,0.131597,0.203820", \ - "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ - "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ - "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ - "0.097684,0.101847,0.106317,0.114946,0.132540,0.168362,0.240503", \ - "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ - "0.135095,0.139125,0.143260,0.151270,0.168258,0.203673,0.275566"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("0.006809,0.009878,0.013696,0.021600,0.038252,0.072453,0.141201", \ - "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ - "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141203", \ - "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ - "0.007011,0.010046,0.013828,0.021673,0.038285,0.072455,0.141205", \ - "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141210", \ - "0.007776,0.010718,0.014330,0.021938,0.038403,0.072503,0.141214"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.717741,5.786060,5.839757,5.964865,6.069201,6.063713,6.018930", \ - "5.708368,5.773733,5.838199,5.967010,6.059561,6.051374,6.033975", \ - "5.698604,5.763042,5.805245,5.960119,6.052874,6.089082,6.031150", \ - "5.755743,5.823697,5.876412,6.001929,6.102808,6.146882,6.135151", \ - "5.919558,5.984338,6.045026,6.171804,6.277077,6.286263,6.334288", \ - "6.237263,6.299951,6.362943,6.476295,6.582811,6.586193,6.614540", \ - "6.700634,6.769455,6.828884,6.955807,7.052490,7.075716,7.091362"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.784192,5.821239,5.862175,5.882465,5.867263,5.920515,5.868351", \ - "5.773637,5.811182,5.852260,5.860942,5.913561,5.918397,5.883099", \ - "5.773006,5.815305,5.846885,5.870055,5.908463,5.913473,5.880637", \ - "5.816262,5.875516,5.903887,5.928805,5.963916,5.953469,5.979127", \ - "6.001130,6.035374,6.067239,6.093921,6.131685,6.089414,6.145364", \ - "6.310323,6.339329,6.376921,6.391074,6.442016,6.427813,6.380320", \ - "6.752962,6.794534,6.841397,6.863447,6.840113,6.875969,6.904993"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.079227,4.305824,4.507355,4.754302,5.004596,5.350559,5.876347", \ - "4.045897,4.261438,4.486998,4.718078,4.978487,5.333921,5.841708", \ - "4.067911,4.262386,4.483618,4.706943,4.979329,5.331074,5.847473", \ - "4.220862,4.465018,4.631474,4.864919,5.126819,5.466788,5.977562", \ - "4.413490,4.576049,4.772338,5.072979,5.436008,5.782788,6.294751", \ - "4.954641,5.028439,5.159005,5.412439,5.763310,6.265252,6.795159", \ - "5.763511,5.818115,5.873000,6.081418,6.379588,6.818489,7.541124"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.265174,2.626551,2.885936,3.132458,3.214327,3.234472,3.169493", \ - "2.259734,2.539424,2.795177,3.127453,3.281683,3.145411,3.431737", \ - "2.212459,2.571468,2.820012,3.089235,3.238524,3.105166,3.097950", \ - "2.341334,2.612520,2.817639,3.076733,3.135243,3.331617,3.082478", \ - "2.664019,2.871912,3.120484,3.228804,3.397553,3.227572,3.432745", \ - "3.102017,3.376855,3.574115,3.804742,3.780859,3.773488,3.797784", \ - "3.691761,3.946567,4.237228,4.476481,4.586514,4.433477,4.464857"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.606654,4.740048,4.822608,4.900408,4.902202,4.897092,4.856132", \ - "4.587044,4.692110,4.796678,4.888066,4.890102,4.956544,4.933723", \ - "4.590501,4.722310,4.777569,4.866091,4.905887,4.830164,4.887034", \ - "4.706891,4.788862,4.877330,4.927998,4.998807,4.994949,4.865018", \ - "4.970081,5.040541,5.081085,5.130904,5.118993,5.108697,5.067287", \ - "5.380128,5.396765,5.397386,5.434000,5.406046,5.458822,5.400887", \ - "5.934925,5.915305,5.932247,5.917950,5.903259,5.869462,5.714542"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.079243,4.305837,4.507364,4.754309,5.004600,5.350557,5.876348", \ - "4.045914,4.261450,4.487009,4.718084,4.978491,5.333923,5.841708", \ - "4.067927,4.262398,4.483628,4.706948,4.979334,5.330908,5.837999", \ - "4.220882,4.465032,4.631483,4.864924,5.126823,5.466785,5.977557", \ - "4.413505,4.576063,4.772350,5.072988,5.436011,5.782786,6.294750", \ - "4.954653,5.028450,5.159015,5.412447,5.763316,6.265254,6.795155", \ - "5.763520,5.818124,5.873006,6.081426,6.379592,6.818493,7.541126"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.265181,2.626558,2.885942,3.132460,3.214324,3.234466,3.169481", \ - "2.259741,2.539429,2.795180,3.127457,3.281684,3.145403,3.431740", \ - "2.212765,2.571476,2.820019,3.089239,3.238526,3.105159,3.097940", \ - "2.341340,2.612528,2.817644,3.076736,3.135240,3.331620,3.082466", \ - "2.664020,2.871915,3.120490,3.228805,3.397555,3.227563,3.432743", \ - "3.102020,3.376861,3.574120,3.804747,3.780858,3.773486,3.797782", \ - "3.691765,3.946571,4.237235,4.476484,4.586515,4.433474,4.464856"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.606248,4.739635,4.822165,4.899943,4.901686,4.896504,4.855482", \ - "4.586643,4.691687,4.796235,4.887603,4.889456,4.955967,4.933078", \ - "4.590105,4.721894,4.777133,4.865629,4.905379,4.829601,4.886385", \ - "4.706498,4.788462,4.876911,4.927560,4.998420,4.994391,4.864407", \ - "4.969720,5.040170,5.080692,5.130491,5.118533,5.108183,5.066690", \ - "5.379817,5.396517,5.397035,5.433629,5.405636,5.458350,5.400322", \ - "5.934660,5.915025,5.931944,5.917636,5.902904,5.869045,5.714031"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.079183,4.305785,4.507313,4.754269,5.004577,5.350554,5.876342", \ - "4.045853,4.261395,4.486955,4.718043,4.978465,5.333910,5.841704", \ - "4.067867,4.262343,4.483575,4.706908,4.979306,5.330897,5.847466", \ - "4.220823,4.464976,4.631434,4.864885,5.126797,5.466781,5.977560", \ - "4.413455,4.576014,4.772301,5.072949,5.435986,5.782780,6.294746", \ - "4.954615,5.028413,5.158979,5.412413,5.763289,6.265239,6.795157", \ - "5.763490,5.818092,5.872981,6.081396,6.379570,6.818475,7.541114"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.265155,2.626522,2.885905,3.132434,3.214314,3.234466,3.169493", \ - "2.259724,2.539399,2.795149,3.127430,3.281668,3.145410,3.431726", \ - "2.212445,2.571442,2.819982,3.089211,3.238508,3.105165,3.097952", \ - "2.341323,2.612503,2.817614,3.076709,3.135231,3.331605,3.082481", \ - "2.664035,2.871903,3.120460,3.228786,3.397534,3.227571,3.432739", \ - "3.102007,3.376835,3.574092,3.804721,3.780846,3.773478,3.797777", \ - "3.691746,3.946548,4.237205,4.476460,4.586497,4.433469,4.464849"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.606456,4.718729,4.822326,4.900046,4.901949,4.896008,4.855730", \ - "4.586664,4.691918,4.796439,4.887797,4.889810,4.956177,4.933375", \ - "4.590113,4.721881,4.777354,4.865816,4.905784,4.829504,4.886718", \ - "4.706718,4.788704,4.877110,4.927942,4.998680,4.994662,4.864779", \ - "4.969897,5.040205,5.080904,5.130651,5.118747,5.108445,5.067126", \ - "5.379816,5.396715,5.397230,5.433808,5.405827,5.458567,5.400566", \ - "5.934792,5.915129,5.932252,5.917805,5.903059,5.869729,5.714272"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.405117,5.633592,5.838622,6.076437,6.328918,6.685873,7.196375", \ - "5.327874,5.557912,5.780415,6.003053,6.263765,6.610240,7.117207", \ - "5.329922,5.561042,5.772907,6.010575,6.270872,6.633799,7.130829", \ - "5.654462,5.917839,6.112697,6.327431,6.578299,6.933986,7.442542", \ - "6.179586,6.363799,6.568917,6.892632,7.242299,7.585991,8.100243", \ - "7.230454,7.341108,7.482189,7.757841,8.123053,8.623178,9.155484", \ - "8.687560,8.807877,8.931452,9.165227,9.512668,9.962450,10.689220"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.050698,3.421032,3.679322,3.953315,4.053572,4.043672,3.948073", \ - "3.020961,3.308305,3.622872,3.922034,4.101780,3.975907,4.187600", \ - "2.973578,3.338593,3.588799,3.878440,4.020583,3.924704,3.847273", \ - "3.222569,3.478780,3.731517,3.984640,4.034163,3.890069,3.941777", \ - "3.805361,4.112745,4.312644,4.464125,4.567241,4.433287,4.592525", \ - "4.710308,5.043926,5.268699,5.496602,5.528797,5.505081,5.491159", \ - "5.880549,6.313121,6.591956,6.936014,7.100666,6.931767,6.936832"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.303326,5.415861,5.519095,5.596050,5.596478,5.590727,5.548190", \ - "5.263469,5.400048,5.472190,5.562985,5.564344,5.629488,5.605255", \ - "5.273565,5.396254,5.459877,5.547647,5.586517,5.509732,5.565153", \ - "5.470846,5.570713,5.640729,5.716235,5.761696,5.757116,5.625772", \ - "5.904639,5.977256,6.014870,6.064046,6.051087,6.075058,6.037578", \ - "6.592879,6.618003,6.621127,6.642191,6.612274,6.664122,6.604042", \ - "7.551503,7.549400,7.533491,7.518646,7.502972,7.465582,7.477084"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.405074,5.633577,5.838609,6.076429,6.328904,6.685937,7.196419", \ - "5.327831,5.557887,5.780411,6.003050,6.263181,6.610238,7.117193", \ - "5.329874,5.561013,5.772893,6.010568,6.270272,6.633789,7.130805", \ - "5.654412,5.917809,6.112682,6.326388,6.578278,6.933959,7.442517", \ - "6.179550,6.363771,6.568897,6.891277,7.242287,7.585960,8.100230", \ - "7.230422,7.341082,7.482166,7.757819,8.123043,8.623156,9.155458", \ - "8.687522,8.807850,8.931432,9.165275,9.511811,9.962441,10.689240"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.050669,3.421000,3.679294,3.951047,4.053372,4.041398,3.948006", \ - "3.020927,3.308264,3.622843,3.919818,4.101772,3.975859,4.187590", \ - "2.973543,3.338562,3.588773,3.876236,4.018440,3.924653,3.847211", \ - "3.222545,3.478748,3.731490,3.982347,4.031889,4.217793,3.941710", \ - "3.805335,4.112722,4.312624,4.464071,4.565000,4.433223,4.592496", \ - "4.710280,5.043899,5.268672,5.496590,5.526556,5.502744,5.491133", \ - "5.880517,6.313095,6.591927,6.933445,7.100641,6.931736,6.936807"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.303785,5.416341,5.519614,5.596555,5.597051,5.590852,5.549344", \ - "5.263924,5.400527,5.472675,5.563481,5.564884,5.630193,5.606105", \ - "5.274016,5.396699,5.460344,5.548150,5.587112,5.510380,5.565988", \ - "5.471266,5.571149,5.641165,5.716674,5.762252,5.757455,5.626438", \ - "5.905016,5.977637,6.015367,6.064569,6.051610,6.075692,6.038306", \ - "6.593269,6.618329,6.621449,6.642623,6.612712,6.664707,6.604749", \ - "7.551821,7.549766,7.533896,7.518978,7.502282,7.466100,7.477679"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.405097,5.633589,5.838618,6.076527,6.328875,6.685920,7.196421", \ - "5.327850,5.557900,5.780421,6.003133,6.261220,6.610366,7.117197", \ - "5.329894,5.561027,5.772903,6.010609,6.265393,6.633840,7.130811", \ - "5.654437,5.917825,6.112692,6.325851,6.578250,6.933986,7.442522", \ - "6.179570,6.363788,6.568910,6.880384,7.242335,7.585967,8.100234", \ - "7.230441,7.341097,7.482179,7.763203,8.123018,8.623163,9.155464", \ - "8.687541,8.807866,8.931445,9.165815,9.509648,9.962446,10.689220"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.050681,3.421009,3.679302,3.932848,4.163567,4.023345,3.948019", \ - "3.020938,3.308274,3.622851,3.901904,4.101802,3.975798,4.187595", \ - "2.973555,3.338571,3.588780,3.858285,4.001154,3.924602,3.847224", \ - "3.222554,3.478758,3.731498,3.964024,4.013645,4.199956,3.941724", \ - "3.805345,4.112730,4.312630,4.464026,4.546958,4.433192,4.592504", \ - "4.710292,5.043908,5.268681,5.523642,5.508411,5.483964,5.491140", \ - "5.880531,6.313107,6.591938,6.912613,7.100467,6.931744,6.936814"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.303820,5.416373,5.519534,5.596540,5.596853,5.591514,5.549027", \ - "5.263919,5.400523,5.472710,5.563469,5.564910,5.630186,5.606041", \ - "5.274008,5.396741,5.460387,5.548134,5.587143,5.510379,5.565919", \ - "5.471311,5.571153,5.641212,5.716733,5.762286,5.757798,5.626442", \ - "5.905035,5.977655,6.015327,6.064481,6.051620,6.075697,6.038414", \ - "6.593214,6.618408,6.642700,6.642580,6.612730,6.664708,6.604743", \ - "7.551783,7.549685,7.533839,7.518937,7.502271,7.466095,7.477691"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.079192,4.305796,4.507318,4.754275,5.004577,5.350548,5.876340", \ - "4.045863,4.261401,4.486961,4.718046,4.978467,5.333909,5.841701", \ - "4.067877,4.262349,4.483581,4.706910,4.979309,5.330980,5.830972", \ - "4.220834,4.464984,4.631439,4.864888,5.126799,5.466775,5.977553", \ - "4.413464,4.576024,4.772308,5.072954,5.435987,5.782775,6.294744", \ - "4.954622,5.028418,5.158984,5.412418,5.763292,6.265238,6.795150", \ - "5.763493,5.818097,5.872984,6.081400,6.379572,6.818478,7.541115"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.265158,2.626527,2.885909,3.132435,3.214307,3.234457,3.169476", \ - "2.259728,2.539401,2.795149,3.127431,3.281667,3.145396,3.431727", \ - "2.212747,2.571447,2.819986,3.089213,3.238508,3.105152,3.097936", \ - "2.341327,2.612507,2.817616,3.076709,3.135142,3.331606,3.082462", \ - "2.664038,2.871905,3.120464,3.228785,3.397533,3.227556,3.432733", \ - "3.102009,3.376838,3.574094,3.804724,3.780843,3.773474,3.797772", \ - "3.691747,3.946549,4.237206,4.476460,4.586496,4.433462,4.464845"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.606050,4.739609,4.821893,4.899580,4.901353,4.895378,4.854991", \ - "4.586279,4.691481,4.796002,4.887333,4.889295,4.955551,4.932628", \ - "4.589725,4.721473,4.776916,4.865365,4.905195,4.829267,4.932669", \ - "4.706322,4.788295,4.876700,4.927457,4.998164,4.994048,4.864068", \ - "4.969538,5.039811,5.080459,5.130203,5.118247,5.107858,5.066358", \ - "5.379466,5.396337,5.396842,5.433400,5.405369,5.458015,5.399859", \ - "5.934505,5.914843,5.931841,5.917402,5.902630,5.869202,5.713602"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.405132,5.633604,5.838630,6.076448,6.328926,6.685894,7.196383", \ - "5.327887,5.557922,5.780421,6.003058,6.263852,6.610242,7.117210", \ - "5.329937,5.561053,5.772915,6.010580,6.270962,6.633802,7.130835", \ - "5.654479,5.917850,6.112705,6.327590,6.578305,6.933993,7.442548", \ - "6.179600,6.363810,6.568926,6.892835,7.242303,7.585999,8.100246", \ - "7.230466,7.341117,7.482198,7.757849,8.123057,8.623184,9.155490", \ - "8.687573,8.807887,8.931460,9.165224,9.512795,9.962453,10.689230"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.050706,3.421038,3.679328,3.953647,4.053581,4.044007,3.948087", \ - "3.020968,3.308313,3.622877,3.922359,4.101782,3.975918,4.187603", \ - "2.973586,3.338598,3.588805,3.878763,4.020897,3.924715,3.847287", \ - "3.222574,3.478786,3.731523,3.984974,4.034497,3.890342,3.941792", \ - "3.805368,4.112750,4.312649,4.464133,4.567570,4.433300,4.592532", \ - "4.710316,5.043933,5.268706,5.496598,5.529127,5.505425,5.491166", \ - "5.880559,6.313128,6.591964,6.936392,7.100672,6.931775,6.936838"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.303854,5.416407,5.519858,5.596642,5.597104,5.590864,5.549015", \ - "5.263986,5.400585,5.472753,5.563573,5.564974,5.630239,5.606105", \ - "5.274078,5.396785,5.460432,5.548237,5.587154,5.510459,5.565992", \ - "5.471346,5.571222,5.641261,5.716753,5.762305,5.757484,5.626641", \ - "5.905100,5.977733,6.015373,6.064630,6.051734,6.073610,6.038250", \ - "6.593327,6.618394,6.621520,6.642690,6.612799,6.664733,6.604792", \ - "7.551878,7.549823,7.533943,7.519098,7.502332,7.466149,7.477759"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.086831,5.295517,5.432930,5.588877,5.660141,5.691645,5.696903", \ - "5.017025,5.222059,5.360085,5.505233,5.596894,5.620483,5.629018", \ - "5.043791,5.215972,5.380385,5.525865,5.602658,5.637551,5.650099", \ - "5.332976,5.547969,5.680933,5.818727,5.904693,5.939612,5.958775", \ - "5.822922,5.987272,6.150170,6.363417,6.558691,6.588657,6.607865", \ - "6.847395,6.932778,7.015739,7.226184,7.434440,7.630699,7.658996", \ - "8.260968,8.335399,8.389121,8.576052,8.781262,8.958005,9.166943"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.520346,2.664483,2.691057,2.781431,2.869139,2.767444,2.823309", \ - "2.484789,2.609100,2.656377,2.749614,2.812816,2.653773,2.588006", \ - "2.424383,2.552738,2.634711,2.695771,2.741248,2.601889,2.585107", \ - "2.586112,2.700178,2.771176,2.792361,2.869787,2.930381,2.845388", \ - "3.209043,3.293591,3.308250,3.261550,3.268961,3.115408,3.310693", \ - "4.071697,4.252721,4.313358,4.341178,4.206637,4.173390,4.181182", \ - "5.180054,5.397106,5.602512,5.698858,5.645784,5.561849,5.570036"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.193195,6.329160,6.412927,6.457780,6.517824,6.518219,6.494815", \ - "6.148487,6.283376,6.373336,6.424892,6.413508,6.435963,6.381122", \ - "6.160878,6.265401,6.364205,6.437275,6.428325,6.459754,6.503683", \ - "6.354083,6.453491,6.541544,6.573745,6.630981,6.624061,6.638753", \ - "6.774592,6.838606,6.899545,6.924264,6.934705,6.859146,6.998790", \ - "7.433074,7.462168,7.459389,7.488247,7.445965,7.502217,7.525431", \ - "8.253944,8.272272,8.292521,8.263044,8.231890,8.272018,8.117975"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.086848,5.295528,5.432935,5.588933,5.660205,5.691743,5.696896", \ - "5.017041,5.222069,5.360090,5.513809,5.596869,5.620611,5.629015", \ - "5.043809,5.215981,5.380391,5.519490,5.602112,5.637472,5.650097", \ - "5.332997,5.547981,5.680939,5.831417,5.904723,5.939679,5.958773", \ - "5.822938,5.987285,6.150180,6.363219,6.562827,6.588646,6.607863", \ - "6.847410,6.932790,7.015747,7.213301,7.434345,7.630697,7.658991", \ - "8.260981,8.335412,8.389130,8.576436,8.773907,8.958006,9.166942"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.520352,2.664488,2.691059,2.757141,2.882272,2.741019,2.823348", \ - "2.484795,2.609105,2.656380,2.725495,2.812673,2.626775,2.587995", \ - "2.424389,2.552742,2.634715,2.671836,2.716377,2.574963,2.585098", \ - "2.586118,2.700182,2.771182,2.767534,2.881385,2.904346,2.667729", \ - "3.209031,3.293639,3.308252,3.313136,3.242773,3.087526,3.310691", \ - "4.071704,4.252727,4.313362,4.316530,4.180613,4.145919,4.181180", \ - "5.180062,5.397112,5.602518,5.671018,5.642047,5.561847,5.570035"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.192806,6.328761,6.412527,6.457353,6.517601,6.517941,6.494110", \ - "6.148103,6.282978,6.372944,6.424462,6.413050,6.435344,6.380531", \ - "6.134149,6.294360,6.363791,6.436842,6.427852,6.459159,6.503095", \ - "6.353719,6.453118,6.541348,6.573332,6.630533,6.623383,6.639847", \ - "6.774273,6.838266,6.899184,6.923881,6.934288,6.858683,6.997945", \ - "7.432812,7.461924,7.459082,7.487918,7.445597,7.501798,7.524889", \ - "8.253731,8.272049,8.292284,8.262790,8.231597,8.271654,8.117532"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.086723,5.295421,5.432850,5.588812,5.660099,5.691645,5.696908", \ - "5.016920,5.221965,5.360007,5.505166,5.596786,5.620434,5.629019", \ - "5.043692,5.215883,5.380310,5.519477,5.604813,5.637619,5.650100", \ - "5.332895,5.547891,5.680865,5.818682,5.904706,5.939581,5.958775", \ - "5.822857,5.987211,6.150116,6.370411,6.558600,6.588659,6.607867", \ - "6.847340,6.932727,7.015695,7.233159,7.434477,7.630696,7.659002", \ - "8.260918,8.335353,8.389082,8.578193,8.785305,8.957999,9.166961"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.520334,2.664464,2.691037,2.794604,2.869037,2.781974,2.823329", \ - "2.484776,2.609082,2.656357,2.762582,2.812859,2.668623,2.588010", \ - "2.424371,2.552720,2.634689,2.630264,2.754886,2.616726,2.585109", \ - "2.586100,2.700161,2.771156,2.805811,2.869753,2.662984,2.667743", \ - "3.209019,3.293578,3.308229,3.275116,3.283385,3.130796,3.310687", \ - "4.071674,4.252695,4.313332,4.278545,4.220840,4.188503,4.181175", \ - "5.180028,5.397077,5.602480,5.713972,5.661195,5.561841,5.570028"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.192757,6.328659,6.412187,6.457119,6.516895,6.517562,6.493453", \ - "6.148062,6.282878,6.372937,6.424228,6.412685,6.435910,6.379838", \ - "6.152897,6.264921,6.363644,6.436607,6.427497,6.459805,6.502400", \ - "6.353714,6.453041,6.536957,6.573115,6.630174,6.625260,6.622879", \ - "6.777143,6.838202,6.899086,6.923645,6.933958,6.858203,7.000245", \ - "7.432442,7.461846,7.461663,7.487726,7.445323,7.501322,7.524306", \ - "8.253694,8.271968,8.292155,8.262617,8.231320,8.271211,8.116994"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.086918,5.295600,5.432991,5.588906,5.660151,5.691668,5.696928", \ - "5.017112,5.222132,5.360146,5.505266,5.596830,5.620463,5.629053", \ - "5.043877,5.216047,5.380439,5.519566,5.604678,5.637641,5.650132", \ - "5.333063,5.548038,5.680992,5.818768,5.904757,5.939627,5.958811", \ - "5.822992,5.987328,6.150211,6.369909,6.558657,6.588707,6.607899", \ - "6.847452,6.932828,7.015791,7.232655,7.434515,7.630741,7.659047", \ - "8.261017,8.335448,8.389177,8.577646,8.785024,8.958029,9.166987"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.520351,2.664470,2.691057,2.793543,2.869208,2.780808,2.822759", \ - "2.484780,2.609088,2.656375,2.761529,2.812936,2.667432,2.588059", \ - "2.424505,2.552729,2.634697,2.629153,2.753766,2.615534,2.585142", \ - "2.586544,2.700172,2.771760,2.804718,2.869720,2.663257,2.667783", \ - "3.209234,3.293170,3.308263,3.274028,3.282221,3.129573,3.310700", \ - "4.071716,4.252726,4.313364,4.278563,4.219697,4.187278,4.181190", \ - "5.180081,5.397131,5.602525,5.712772,5.659970,5.561868,5.570048"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.192595,6.328214,6.410988,6.455893,6.514698,6.513169,6.487233", \ - "6.147906,6.282435,6.371440,6.422992,6.410495,6.433543,6.373524", \ - "6.160779,6.264568,6.362927,6.435345,6.425295,6.463114,6.496018", \ - "6.352962,6.452662,6.536254,6.571861,6.627925,6.628382,6.626866", \ - "6.775612,6.837826,6.899417,6.922422,6.931759,6.853604,6.993546", \ - "7.431449,7.461400,7.480145,7.486486,7.443214,7.497490,7.517772", \ - "8.253551,8.271574,8.291423,8.261427,8.229217,8.267413,8.110970"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.086876,5.295573,5.432970,5.588905,5.660164,5.691666,5.696902", \ - "5.017070,5.222106,5.360125,5.505263,5.596915,5.620504,5.629043", \ - "5.043836,5.216016,5.380421,5.525892,5.602680,5.637571,5.650122", \ - "5.333016,5.548007,5.680969,5.818758,5.904718,5.939639,5.958802", \ - "5.822954,5.987300,6.150191,6.363443,6.558716,6.588683,6.607890", \ - "6.847421,6.932804,7.015765,7.226208,7.434460,7.630725,7.659025", \ - "8.260983,8.335423,8.389153,8.576082,8.781298,8.958026,9.166985"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.520334,2.664457,2.691035,2.781409,2.869276,2.767421,2.822680", \ - "2.484766,2.609074,2.656356,2.749592,2.812861,2.653753,2.587995", \ - "2.424363,2.552712,2.634685,2.695749,2.741224,2.601865,2.585086", \ - "2.586520,2.700155,2.771744,2.792345,2.869725,2.930351,2.667722", \ - "3.209235,3.293106,3.308244,3.261534,3.268944,3.115393,3.310673", \ - "4.071695,4.252710,4.313346,4.341165,4.206623,4.173374,4.181165", \ - "5.180054,5.397101,5.602505,5.698851,5.645776,5.561838,5.570023"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.193035,6.328673,6.411476,6.456391,6.515229,6.514188,6.487855", \ - "6.148341,6.282895,6.371929,6.423482,6.411021,6.434228,6.374265", \ - "6.152257,6.265013,6.363406,6.435856,6.425871,6.463752,6.496779", \ - "6.353397,6.453079,6.536705,6.572320,6.628470,6.629063,6.627240", \ - "6.778348,6.838212,6.899834,6.922859,6.932272,6.854156,6.994329", \ - "7.431760,7.461735,7.458635,7.486860,7.443624,7.497981,7.518464", \ - "8.253778,8.271824,8.291704,8.261717,8.229553,8.267895,8.111484"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.086893,5.295583,5.432976,5.588961,5.660232,5.691767,5.696906", \ - "5.017086,5.222115,5.360132,5.513836,5.596889,5.620634,5.629044", \ - "5.043853,5.216026,5.380427,5.519519,5.602138,5.637495,5.650124", \ - "5.333038,5.548020,5.680976,5.831446,5.904753,5.939714,5.958804", \ - "5.822972,5.987314,6.150200,6.363246,6.562852,6.588683,6.607891", \ - "6.847436,6.932816,7.015775,7.213329,7.434367,7.630728,7.659029", \ - "8.260998,8.335436,8.389164,8.576468,8.773935,8.958027,9.166946"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.520340,2.664462,2.691042,2.757125,2.882247,2.741014,2.822732", \ - "2.484773,2.609079,2.656362,2.725478,2.812720,2.626776,2.588008", \ - "2.424371,2.552718,2.634690,2.671818,2.716358,2.574960,2.585098", \ - "2.586530,2.700162,2.771752,2.767524,2.881362,2.904321,2.845363", \ - "3.209225,3.293159,3.308250,3.313119,3.242764,3.087535,3.310680", \ - "4.071704,4.252717,4.313353,4.316521,4.180609,4.145914,4.181172", \ - "5.180066,5.397112,5.602513,5.671018,5.642050,5.561845,5.570030"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.193041,6.328677,6.411478,6.456394,6.515547,6.535169,6.487911", \ - "6.148346,6.282899,6.371931,6.423485,6.411025,6.434231,6.374263", \ - "6.152091,6.265018,6.363408,6.435857,6.425871,6.463751,6.496774", \ - "6.353400,6.453084,6.536708,6.572323,6.628470,6.629069,6.627190", \ - "6.777690,6.838218,6.899836,6.922861,6.932271,6.854159,6.994374", \ - "7.431766,7.461596,7.459889,7.486863,7.443628,7.497985,7.518456", \ - "8.253786,8.271830,8.291707,8.261721,8.229557,8.267892,8.111489"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.086735,5.295428,5.432853,5.588820,5.660107,5.691657,5.696898", \ - "5.016932,5.221972,5.360010,5.505148,5.596883,5.620504,5.629015", \ - "5.043705,5.215889,5.380313,5.523914,5.602118,5.637534,5.650097", \ - "5.332908,5.547899,5.680869,5.818725,5.904683,5.939621,5.958772", \ - "5.822867,5.987219,6.150122,6.363268,6.558690,6.588650,6.607864", \ - "6.847348,6.932734,7.015698,7.223241,7.434420,7.630692,7.658994", \ - "8.260925,8.335361,8.389086,8.576107,8.779574,8.957999,9.166921"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.520337,2.664467,2.691037,2.775920,2.869143,2.761422,2.823321", \ - "2.484780,2.609085,2.656357,2.744177,2.812705,2.647624,2.587992", \ - "2.424374,2.552722,2.634692,2.690347,2.735601,2.595766,2.585094", \ - "2.586101,2.700163,2.771158,2.786772,2.869783,2.924443,2.667726", \ - "3.209020,3.293585,3.308229,3.255890,3.262993,3.109056,3.310682", \ - "4.071677,4.252699,4.313333,4.335580,4.200755,4.167133,4.181170", \ - "5.180032,5.397079,5.602484,5.692540,5.642454,5.561835,5.570024"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.192373,6.328256,6.411766,6.456670,6.516377,6.516490,6.492844", \ - "6.147669,6.282474,6.372479,6.423783,6.412189,6.435283,6.379092", \ - "6.150329,6.264522,6.363221,6.436152,6.426983,6.459180,6.501651", \ - "6.353325,6.452649,6.536542,6.572679,6.629672,6.624605,6.622458", \ - "6.779024,6.837828,6.898691,6.923224,6.933475,6.857635,6.999460", \ - "7.432127,7.461508,7.461319,7.487344,7.444884,7.500795,7.523616", \ - "8.253431,8.271687,8.291853,8.262289,8.230941,8.270721,8.116370"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("5.086931,5.295607,5.432996,5.588907,5.660166,5.691690,5.696933", \ - "5.017124,5.222140,5.360152,5.505249,5.596925,5.620536,5.629056", \ - "5.043890,5.216055,5.380444,5.523999,5.602172,5.637565,5.650134", \ - "5.333078,5.548047,5.680998,5.818821,5.904744,5.939674,5.958813", \ - "5.823004,5.987337,6.150218,6.363353,6.558749,6.588711,6.607901", \ - "6.847462,6.932836,7.015799,7.223319,7.434467,7.630744,7.659052", \ - "8.261026,8.335457,8.389186,8.576188,8.779632,8.958030,9.166947"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("2.520356,2.664474,2.691062,2.775939,2.869301,2.761463,2.822769", \ - "2.484784,2.609092,2.656380,2.744192,2.812783,2.647682,2.588073", \ - "2.424387,2.552734,2.634701,2.690360,2.735611,2.595819,2.585155", \ - "2.586550,2.700177,2.771764,2.786799,2.869751,2.924438,2.667797", \ - "3.209236,3.293180,3.308268,3.255922,3.263021,3.109127,3.310707", \ - "4.071722,4.252731,4.313368,4.335603,4.200789,4.167164,4.181197", \ - "5.180089,5.397139,5.602531,5.692587,5.642508,5.561875,5.570055"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("6.193102,6.328737,6.411534,6.456462,6.515294,6.514186,6.488071", \ - "6.148408,6.282958,6.371985,6.423556,6.411112,6.434295,6.374348", \ - "6.161817,6.265081,6.363465,6.435915,6.425926,6.463820,6.496839", \ - "6.353453,6.453152,6.536768,6.572403,6.628529,6.629109,6.627578", \ - "6.773387,6.838284,6.899891,6.922922,6.932326,6.854244,6.994344", \ - "7.431821,7.461724,7.458690,7.486923,7.443704,7.498067,7.518709", \ - "8.253836,8.271878,8.291748,8.261776,8.229622,8.267929,8.111596"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.051522,4.150197,4.300409,4.474509,4.579251,4.519370,4.563630", \ - "4.024191,4.165978,4.272779,4.462603,4.568277,4.579898,4.471334", \ - "4.037167,4.132766,4.288745,4.426957,4.499258,4.583516,4.579340", \ - "4.134310,4.220972,4.348348,4.500133,4.563872,4.645764,4.624772", \ - "4.419752,4.453020,4.569482,4.688836,4.771408,4.793639,4.764246", \ - "4.745472,4.790339,4.880430,5.006048,5.038716,5.029095,5.038702", \ - "5.217844,5.242924,5.301027,5.360035,5.432376,5.400748,5.419646"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.051453,4.150120,4.300324,4.474409,4.579048,4.624886,4.563416", \ - "4.024121,4.165903,4.272694,4.462500,4.568149,4.579731,4.471121", \ - "4.036874,4.132688,4.288658,4.426855,4.499130,4.583351,4.579132", \ - "4.134238,4.220894,4.348261,4.500031,4.563745,4.645603,4.624565", \ - "4.419682,4.452944,4.569399,4.688739,4.771288,4.793485,4.764052", \ - "4.745414,4.790275,4.880360,5.005965,5.038610,5.028957,5.038521", \ - "5.217806,5.242880,5.300978,5.359973,5.432291,5.400628,5.419481"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.051661,4.150354,4.300580,4.474719,4.579520,4.519714,4.564082", \ - "4.024330,4.166142,4.272951,4.462818,4.568551,4.580253,4.471788", \ - "4.037027,4.132925,4.288919,4.427168,4.499526,4.583867,4.579789", \ - "4.134454,4.221132,4.348528,4.500345,4.564143,4.646112,4.625208", \ - "4.419892,4.453174,4.569653,4.689033,4.771659,4.793964,4.764668", \ - "4.745590,4.790467,4.880574,5.006220,5.038938,5.029395,5.039091", \ - "5.217919,5.243009,5.301125,5.360158,5.432550,5.400998,5.419991"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.954824,4.053254,4.203770,4.376894,4.482147,4.472660,4.468528", \ - "3.929312,4.071216,4.180230,4.367867,4.473252,4.485527,4.417461", \ - "3.942760,4.058957,4.186522,4.332688,4.404583,4.489517,4.486978", \ - "4.036040,4.125719,4.252279,4.406176,4.521590,4.552098,4.532286", \ - "4.326676,4.359730,4.476592,4.595080,4.677439,4.681894,4.672567", \ - "4.653109,4.698002,4.787502,4.912684,4.944857,4.936344,4.947558", \ - "5.125693,5.151360,5.209187,5.267506,5.313092,5.342344,5.328079"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.954883,4.053317,4.203830,4.376969,4.482269,4.481607,4.468675", \ - "3.929369,4.071290,4.180293,4.367960,4.473366,4.485661,4.416865", \ - "3.942820,4.059028,4.186585,4.332766,4.404675,4.489646,4.487181", \ - "4.036090,4.125773,4.252349,4.406258,4.521720,4.552254,4.532437", \ - "4.326725,4.359780,4.476664,4.595145,4.677525,4.682008,4.672798", \ - "4.653149,4.698052,4.787567,4.912760,4.944946,4.936504,4.947760", \ - "5.125718,5.151393,5.209234,5.267556,5.313158,5.342443,5.328200"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.955147,4.053603,4.204136,4.377333,4.482697,4.482552,4.511995", \ - "3.929634,4.071586,4.180596,4.368338,4.473832,4.486255,4.418384", \ - "3.943090,4.059323,4.186894,4.333134,4.405125,4.490230,4.487934", \ - "4.036356,4.126066,4.252674,4.406629,4.522192,4.552844,4.533150", \ - "4.326989,4.360066,4.476976,4.595488,4.677948,4.682541,4.673522", \ - "4.653375,4.698298,4.787839,4.913071,4.945328,4.937022,4.948428", \ - "5.125875,5.151571,5.209433,5.267789,5.313463,5.342871,5.328783"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("4.051457,4.150128,4.300337,4.474432,4.579162,4.519255,4.563491", \ - "4.024125,4.165912,4.272708,4.462523,4.568187,4.579788,4.471196", \ - "4.036817,4.132696,4.288673,4.426879,4.499170,4.583408,4.579202", \ - "4.134245,4.220904,4.348275,4.500055,4.563782,4.645655,4.624640", \ - "4.419688,4.452953,4.569411,4.688762,4.771325,4.793538,4.764112", \ - "4.745418,4.790280,4.880366,5.005980,5.038641,5.028998,5.038577", \ - "5.217804,5.242878,5.300976,5.359980,5.432312,5.400666,5.419536"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.955091,4.053544,4.204081,4.377258,4.482621,4.473192,4.469231", \ - "3.929579,4.071516,4.180542,4.368245,4.473713,4.486115,4.418123", \ - "3.943033,4.059257,4.186838,4.333052,4.405029,4.490098,4.487730", \ - "4.036310,4.126008,4.252600,4.406544,4.522055,4.552689,4.533007", \ - "4.326942,4.360013,4.476905,4.595421,4.677858,4.682436,4.673295", \ - "4.653331,4.698248,4.787775,4.912993,4.945236,4.936857,4.948220", \ - "5.125848,5.151534,5.209384,5.267736,5.313393,5.342771,5.328657"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.969231,4.021532,4.110441,4.244512,4.857443,6.515199,6.855775", \ - "3.942167,4.031300,4.103678,4.233186,4.847983,6.563986,6.761329", \ - "3.953350,4.020965,4.089620,4.200259,4.745505,6.570641,6.877906", \ - "4.066078,4.104366,4.171519,4.291040,4.877699,6.655361,6.924585", \ - "4.368893,4.390912,4.415076,4.506126,5.168370,6.793028,7.079510", \ - "4.709756,4.713750,4.716002,4.823517,5.371846,7.066322,7.381665", \ - "5.209478,5.182265,5.169388,5.199305,5.611191,7.458488,7.848394"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.969165,4.021424,4.110359,4.244416,4.857349,6.513264,6.855552", \ - "3.942101,4.031226,4.103705,4.233025,4.847867,6.563828,6.761123", \ - "3.953283,4.020890,4.089538,4.200164,4.745390,6.570484,6.877684", \ - "4.066009,4.104291,4.171436,4.290945,4.877585,6.655208,6.924384", \ - "4.368828,4.390840,4.414998,4.506036,5.168262,6.792880,7.079323", \ - "4.709702,4.713689,4.715935,4.823440,5.371751,7.066192,7.381490", \ - "5.209442,5.182224,5.169341,5.199247,5.611116,7.470875,7.848234"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.969367,4.021667,4.110607,4.244713,4.857718,6.492552,6.856258", \ - "3.942304,4.031455,4.103955,4.233336,4.848239,6.564326,6.761772", \ - "3.953490,4.021121,4.089788,4.200460,4.745756,6.570976,6.878397", \ - "4.066218,4.104521,4.171691,4.291242,4.877952,6.655696,6.925009", \ - "4.369029,4.391061,4.415241,4.506314,5.168602,6.793341,7.079921", \ - "4.709871,4.713874,4.716140,4.823681,5.372051,7.066610,7.382045", \ - "5.209551,5.182348,5.169482,5.199438,5.611350,7.471220,7.848730"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.969218,4.022560,4.110429,4.244503,4.856942,6.501038,6.858191", \ - "3.942146,4.031281,4.103048,4.233759,4.847504,6.564619,6.763676", \ - "3.953328,4.020948,4.089611,4.200466,4.745058,6.571260,6.873287", \ - "4.066054,4.104351,4.171505,4.291022,4.877244,6.656015,6.926950", \ - "4.368864,4.390888,4.415066,4.506093,5.167938,6.794896,7.081825", \ - "4.709727,4.713722,4.715987,4.823500,5.371441,7.066923,7.383946", \ - "5.209440,5.182236,5.169410,5.200742,5.610865,7.472623,7.850491"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.969268,4.022618,4.110482,4.244566,4.857025,6.492958,6.858413", \ - "3.942196,4.031346,4.103009,4.233890,4.847559,6.564786,6.763958", \ - "3.953381,4.021008,4.089662,4.200556,4.745093,6.571418,6.873588", \ - "4.066094,4.104397,4.171568,4.291092,4.877306,6.656201,6.927192", \ - "4.368908,4.390943,4.415133,4.506147,5.167967,6.795385,7.082143", \ - "4.709759,4.713768,4.716043,4.823565,5.371478,7.067102,7.384227", \ - "5.209460,5.182266,5.169457,5.201122,5.610888,7.459311,7.850686"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.969529,4.022907,4.110786,4.244924,4.857456,6.493563,6.859133", \ - "3.942458,4.031639,4.103355,4.234270,4.848025,6.565368,6.764695", \ - "3.953648,4.021303,4.089969,4.200918,4.745544,6.571993,6.874326", \ - "4.066360,4.104688,4.171889,4.291458,4.877772,6.656782,6.927902", \ - "4.369169,4.391228,4.415444,4.506487,5.168393,6.795887,7.082856", \ - "4.709984,4.714013,4.716313,4.823874,5.371863,7.067618,7.384888", \ - "5.209618,5.182443,5.169657,5.201331,5.611199,7.459727,7.851256"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.969166,4.021437,4.110367,4.244429,4.857356,6.521998,6.855627", \ - "3.942102,4.031228,4.103691,4.233051,4.847883,6.563869,6.761191", \ - "3.953284,4.020893,4.089545,4.200175,4.745409,6.570526,6.877801", \ - "4.066012,4.104295,4.171442,4.290956,4.877599,6.655246,6.924453", \ - "4.368829,4.390842,4.415002,4.506047,5.168277,6.792923,7.079377", \ - "4.709701,4.713689,4.715935,4.823444,5.371760,7.066219,7.381541", \ - "5.209437,5.182218,5.169335,5.199250,5.611120,7.470895,7.848284"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); - values ("3.969475,4.022838,4.110730,4.244854,4.857627,6.521885,6.858876", \ - "3.942404,4.031569,4.103282,4.234162,4.847972,6.565197,6.764382", \ - "3.953592,4.021236,4.089912,4.200815,4.745512,6.571819,6.873992", \ - "4.066314,4.104634,4.171818,4.291384,4.877713,6.656579,6.927619", \ - "4.369121,4.391168,4.415372,4.506430,5.168350,6.795296,7.082506", \ - "4.709947,4.713963,4.716252,4.823805,5.371830,7.067427,7.384577", \ - "5.209596,5.182410,5.169604,5.200876,5.611181,7.473011,7.851038"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.079464,0.083759,0.087956,0.094815,0.106204,0.126126,0.163250", \ - "0.080942,0.085239,0.089436,0.096291,0.107682,0.127610,0.164737", \ - "0.086064,0.090360,0.094554,0.101408,0.112800,0.132720,0.169852", \ - "0.091875,0.096171,0.100371,0.107224,0.118615,0.138538,0.175659", \ - "0.096388,0.100680,0.104879,0.111730,0.123118,0.143047,0.180177", \ - "0.099352,0.103659,0.107847,0.114708,0.126099,0.146016,0.183132", \ - "0.100336,0.104634,0.108829,0.115704,0.127081,0.146989,0.184118"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.075762,0.081576,0.087510,0.097907,0.116959,0.153584,0.226283", \ - "0.077250,0.083064,0.088997,0.099392,0.118450,0.155075,0.227771", \ - "0.082267,0.088078,0.094012,0.104410,0.123468,0.160090,0.232787", \ - "0.087796,0.093615,0.099550,0.109948,0.129002,0.165625,0.238318", \ - "0.091888,0.097696,0.103629,0.114020,0.133081,0.169712,0.242411", \ - "0.094383,0.100226,0.106163,0.116566,0.135583,0.172202,0.244903", \ - "0.094989,0.100816,0.106758,0.117144,0.136105,0.172734,0.245457"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005731,0.007612,0.009668,0.013449,0.020774,0.035382,0.065973", \ - "0.005735,0.007613,0.009668,0.013451,0.020773,0.035383,0.065978", \ - "0.005732,0.007614,0.009671,0.013453,0.020776,0.035382,0.065986", \ - "0.005732,0.007611,0.009671,0.013449,0.020774,0.035379,0.065978", \ - "0.005732,0.007607,0.009670,0.013450,0.020774,0.035380,0.065976", \ - "0.005732,0.007612,0.009669,0.013450,0.020774,0.035373,0.065963", \ - "0.005733,0.007617,0.009671,0.013452,0.020775,0.035376,0.065979"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.007096,0.010497,0.014416,0.022273,0.038506,0.072245,0.141146", \ - "0.007094,0.010496,0.014419,0.022273,0.038505,0.072245,0.141148", \ - "0.007094,0.010499,0.014417,0.022274,0.038504,0.072245,0.141149", \ - "0.007100,0.010500,0.014420,0.022276,0.038504,0.072246,0.141144", \ - "0.007103,0.010497,0.014422,0.022278,0.038509,0.072246,0.141149", \ - "0.007119,0.010515,0.014433,0.022284,0.038508,0.072246,0.141150", \ - "0.007144,0.010537,0.014451,0.022294,0.038513,0.072247,0.141150"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159007,0.165490,0.171858,0.182418,0.201248,0.237689,0.310329", \ - "0.160519,0.167001,0.173368,0.183928,0.202763,0.239189,0.311838", \ - "0.166549,0.173028,0.179389,0.189956,0.208797,0.245217,0.317885", \ - "0.176568,0.183051,0.189427,0.199996,0.218841,0.255265,0.327941", \ - "0.191541,0.198016,0.204378,0.214950,0.233754,0.270180,0.342821", \ - "0.212902,0.219389,0.225743,0.236304,0.255098,0.291467,0.364080", \ - "0.239837,0.246396,0.252789,0.263382,0.282183,0.318529,0.391057"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.009120,0.012418,0.015921,0.022981,0.038637,0.072390,0.141195", \ - "0.009119,0.012419,0.015920,0.022980,0.038634,0.072399,0.141190", \ - "0.009119,0.012419,0.015922,0.022983,0.038628,0.072391,0.141197", \ - "0.009121,0.012418,0.015918,0.022979,0.038640,0.072397,0.141199", \ - "0.009119,0.012417,0.015919,0.022980,0.038636,0.072388,0.141203", \ - "0.009137,0.012438,0.015940,0.022995,0.038641,0.072406,0.141196", \ - "0.009359,0.012651,0.016132,0.023120,0.038686,0.072425,0.141207"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159008,0.165490,0.171858,0.182418,0.201248,0.237689,0.310329", \ - "0.160519,0.167001,0.173369,0.183928,0.202768,0.239189,0.311839", \ - "0.166549,0.173028,0.179389,0.189956,0.208798,0.245217,0.317885", \ - "0.176568,0.183051,0.189427,0.199997,0.218838,0.255265,0.327941", \ - "0.191541,0.198016,0.204378,0.214950,0.233754,0.270180,0.342821", \ - "0.212903,0.219386,0.225744,0.236304,0.255099,0.291467,0.364080", \ - "0.239838,0.246396,0.252789,0.263382,0.282183,0.318529,0.391057"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.009120,0.012418,0.015921,0.022981,0.038637,0.072390,0.141195", \ - "0.009119,0.012419,0.015920,0.022980,0.038634,0.072399,0.141190", \ - "0.009119,0.012419,0.015922,0.022983,0.038635,0.072391,0.141197", \ - "0.009121,0.012418,0.015918,0.022979,0.038640,0.072397,0.141199", \ - "0.009119,0.012417,0.015919,0.022980,0.038636,0.072388,0.141203", \ - "0.009137,0.012438,0.015940,0.022995,0.038641,0.072406,0.141196", \ - "0.009359,0.012651,0.016132,0.023120,0.038686,0.072425,0.141207"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159002,0.165485,0.171850,0.182413,0.201243,0.237683,0.310323", \ - "0.160514,0.166996,0.173364,0.183924,0.202758,0.239183,0.311832", \ - "0.166544,0.173022,0.179384,0.189952,0.208788,0.245211,0.317878", \ - "0.176562,0.183045,0.189422,0.199992,0.218831,0.255258,0.327933", \ - "0.191537,0.198011,0.204373,0.214941,0.233749,0.270174,0.342813", \ - "0.212895,0.219382,0.225739,0.236300,0.255095,0.291463,0.364076", \ - "0.239835,0.246392,0.252785,0.263379,0.282179,0.318525,0.391054"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.009120,0.012418,0.015920,0.022981,0.038637,0.072391,0.141195", \ - "0.009119,0.012419,0.015920,0.022980,0.038633,0.072399,0.141190", \ - "0.009119,0.012419,0.015922,0.022982,0.038635,0.072392,0.141197", \ - "0.009121,0.012418,0.015918,0.022979,0.038640,0.072397,0.141199", \ - "0.009118,0.012417,0.015919,0.022980,0.038636,0.072388,0.141203", \ - "0.009137,0.012438,0.015940,0.022994,0.038640,0.072406,0.141196", \ - "0.009359,0.012651,0.016133,0.023120,0.038686,0.072425,0.141207"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159036,0.165517,0.171883,0.182447,0.201284,0.237715,0.310364", \ - "0.160540,0.167019,0.173382,0.183948,0.202785,0.239212,0.311863", \ - "0.166573,0.173045,0.179411,0.189980,0.208820,0.245248,0.317911", \ - "0.176621,0.183105,0.189468,0.200037,0.218880,0.255306,0.327983", \ - "0.191612,0.198083,0.204448,0.215010,0.233825,0.270256,0.342895", \ - "0.213001,0.219479,0.225837,0.236392,0.255205,0.291584,0.364190", \ - "0.239972,0.246505,0.252908,0.263492,0.282322,0.318694,0.391244"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.009116,0.012414,0.015918,0.022980,0.038635,0.072389,0.141202", \ - "0.009116,0.012415,0.015915,0.022976,0.038635,0.072405,0.141190", \ - "0.009116,0.012413,0.015918,0.022978,0.038634,0.072393,0.141197", \ - "0.009117,0.012415,0.015914,0.022976,0.038639,0.072406,0.141198", \ - "0.009116,0.012414,0.015915,0.022980,0.038636,0.072392,0.141203", \ - "0.009136,0.012439,0.015937,0.022993,0.038644,0.072408,0.141197", \ - "0.009358,0.012646,0.016127,0.023117,0.038685,0.072427,0.141206"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159036,0.165518,0.171883,0.182448,0.201284,0.237711,0.310356", \ - "0.160540,0.167020,0.173383,0.183949,0.202785,0.239211,0.311861", \ - "0.166574,0.173047,0.179411,0.189980,0.208820,0.245248,0.317908", \ - "0.176622,0.183106,0.189469,0.200034,0.218880,0.255315,0.327983", \ - "0.191610,0.198081,0.204446,0.215010,0.233825,0.270256,0.342895", \ - "0.213000,0.219478,0.225835,0.236390,0.255205,0.291582,0.364190", \ - "0.239971,0.246504,0.252907,0.263491,0.282325,0.318694,0.391243"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.009116,0.012414,0.015917,0.022980,0.038635,0.072404,0.141202", \ - "0.009116,0.012415,0.015915,0.022976,0.038635,0.072405,0.141190", \ - "0.009116,0.012413,0.015918,0.022978,0.038634,0.072393,0.141197", \ - "0.009117,0.012415,0.015914,0.022976,0.038639,0.072397,0.141198", \ - "0.009116,0.012414,0.015915,0.022980,0.038636,0.072392,0.141203", \ - "0.009136,0.012439,0.015937,0.022993,0.038644,0.072408,0.141197", \ - "0.009358,0.012646,0.016127,0.023119,0.038685,0.072427,0.141206"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159035,0.165516,0.171883,0.182449,0.201283,0.237717,0.310369", \ - "0.160539,0.167019,0.173382,0.183949,0.202785,0.239211,0.311863", \ - "0.166573,0.173044,0.179410,0.189981,0.208819,0.245248,0.317911", \ - "0.176621,0.183104,0.189468,0.200037,0.218879,0.255315,0.327983", \ - "0.191612,0.198082,0.204447,0.215013,0.233825,0.270256,0.342895", \ - "0.213002,0.219480,0.225837,0.236393,0.255205,0.291582,0.364190", \ - "0.239972,0.246506,0.252908,0.263493,0.282325,0.318694,0.391243"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.009116,0.012414,0.015915,0.022980,0.038633,0.072407,0.141194", \ - "0.009116,0.012415,0.015915,0.022976,0.038635,0.072405,0.141190", \ - "0.009116,0.012413,0.015918,0.022978,0.038634,0.072393,0.141197", \ - "0.009117,0.012415,0.015914,0.022976,0.038639,0.072397,0.141198", \ - "0.009116,0.012414,0.015915,0.022980,0.038636,0.072392,0.141203", \ - "0.009136,0.012439,0.015937,0.022993,0.038644,0.072408,0.141197", \ - "0.009358,0.012646,0.016127,0.023119,0.038685,0.072427,0.141206"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159003,0.165486,0.171850,0.182414,0.201244,0.237683,0.310321", \ - "0.160515,0.166997,0.173364,0.183923,0.202759,0.239183,0.311831", \ - "0.166544,0.173022,0.179384,0.189951,0.208788,0.245214,0.317877", \ - "0.176563,0.183045,0.189422,0.199992,0.218831,0.255258,0.327932", \ - "0.191537,0.198012,0.204374,0.214940,0.233749,0.270174,0.342813", \ - "0.212896,0.219382,0.225739,0.236300,0.255094,0.291463,0.364076", \ - "0.239834,0.246393,0.252786,0.263380,0.282180,0.318525,0.391055"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.009120,0.012418,0.015920,0.022981,0.038637,0.072391,0.141195", \ - "0.009119,0.012419,0.015920,0.022980,0.038633,0.072399,0.141190", \ - "0.009119,0.012419,0.015922,0.022982,0.038628,0.072395,0.141197", \ - "0.009121,0.012418,0.015918,0.022979,0.038640,0.072397,0.141199", \ - "0.009118,0.012417,0.015919,0.022980,0.038636,0.072388,0.141203", \ - "0.009137,0.012438,0.015940,0.022994,0.038640,0.072406,0.141196", \ - "0.009359,0.012651,0.016133,0.023120,0.038686,0.072425,0.141207"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159036,0.165517,0.171883,0.182447,0.201284,0.237716,0.310364", \ - "0.160540,0.167019,0.173382,0.183948,0.202785,0.239211,0.311863", \ - "0.166574,0.173045,0.179411,0.189980,0.208820,0.245248,0.317911", \ - "0.176621,0.183105,0.189468,0.200034,0.218880,0.255315,0.327981", \ - "0.191612,0.198083,0.204446,0.215010,0.233825,0.270260,0.342895", \ - "0.213000,0.219478,0.225835,0.236390,0.255205,0.291584,0.364190", \ - "0.239971,0.246504,0.252907,0.263490,0.282325,0.318694,0.391243"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.009116,0.012414,0.015917,0.022980,0.038635,0.072388,0.141202", \ - "0.009116,0.012415,0.015915,0.022976,0.038635,0.072405,0.141190", \ - "0.009116,0.012413,0.015918,0.022978,0.038634,0.072393,0.141197", \ - "0.009117,0.012415,0.015914,0.022976,0.038639,0.072397,0.141198", \ - "0.009116,0.012414,0.015915,0.022980,0.038636,0.072392,0.141203", \ - "0.009136,0.012439,0.015937,0.022993,0.038644,0.072408,0.141197", \ - "0.009358,0.012646,0.016127,0.023117,0.038685,0.072427,0.141206"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159565,0.165326,0.171173,0.181380,0.200100,0.236646,0.309385", \ - "0.160974,0.166737,0.172579,0.182778,0.201493,0.238053,0.310787", \ - "0.167197,0.172947,0.178780,0.188985,0.207701,0.244251,0.316987", \ - "0.176406,0.182162,0.188002,0.198205,0.216922,0.253456,0.326204", \ - "0.186568,0.192317,0.198159,0.208359,0.227085,0.263618,0.336378", \ - "0.197961,0.203714,0.209546,0.219734,0.238497,0.275026,0.347767", \ - "0.211009,0.216765,0.222590,0.232769,0.251531,0.288077,0.360809"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.007041,0.010348,0.014162,0.021863,0.038141,0.072210,0.141129", \ - "0.007033,0.010338,0.014145,0.021857,0.038130,0.072196,0.141131", \ - "0.007020,0.010329,0.014140,0.021854,0.038134,0.072183,0.141119", \ - "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141129", \ - "0.007024,0.010326,0.014136,0.021850,0.038127,0.072181,0.141120", \ - "0.007020,0.010327,0.014143,0.021854,0.038133,0.072171,0.141115", \ - "0.007018,0.010322,0.014135,0.021841,0.038110,0.072177,0.141118"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159565,0.165327,0.171173,0.181381,0.200099,0.236646,0.309389", \ - "0.160974,0.166737,0.172579,0.182779,0.201493,0.238053,0.310787", \ - "0.167197,0.172947,0.178781,0.188985,0.207702,0.244251,0.316987", \ - "0.176407,0.182163,0.188002,0.198205,0.216922,0.253457,0.326204", \ - "0.186568,0.192318,0.198159,0.208359,0.227085,0.263618,0.336378", \ - "0.197961,0.203714,0.209546,0.219735,0.238497,0.275027,0.347767", \ - "0.211009,0.216766,0.222590,0.232769,0.251532,0.288078,0.360809"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.007041,0.010348,0.014162,0.021863,0.038142,0.072210,0.141128", \ - "0.007033,0.010338,0.014145,0.021857,0.038130,0.072196,0.141131", \ - "0.007019,0.010329,0.014140,0.021854,0.038134,0.072183,0.141119", \ - "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141129", \ - "0.007024,0.010326,0.014136,0.021850,0.038127,0.072181,0.141120", \ - "0.007020,0.010327,0.014143,0.021854,0.038132,0.072171,0.141115", \ - "0.007018,0.010322,0.014135,0.021841,0.038110,0.072177,0.141118"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159560,0.165321,0.171167,0.181375,0.200095,0.236642,0.309381", \ - "0.160968,0.166732,0.172574,0.182772,0.201488,0.238048,0.310783", \ - "0.167196,0.172941,0.178775,0.188980,0.207697,0.244246,0.316984", \ - "0.176401,0.182157,0.187997,0.198201,0.216917,0.253452,0.326200", \ - "0.186565,0.192312,0.198155,0.208354,0.227080,0.263614,0.336374", \ - "0.197956,0.203709,0.209542,0.219730,0.238493,0.275023,0.347765", \ - "0.211004,0.216761,0.222586,0.232765,0.251527,0.288074,0.360806"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.007041,0.010348,0.014162,0.021863,0.038141,0.072209,0.141129", \ - "0.007033,0.010338,0.014145,0.021857,0.038130,0.072196,0.141131", \ - "0.007020,0.010328,0.014140,0.021852,0.038134,0.072183,0.141119", \ - "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141130", \ - "0.007023,0.010326,0.014137,0.021850,0.038127,0.072181,0.141120", \ - "0.007020,0.010327,0.014143,0.021853,0.038132,0.072171,0.141122", \ - "0.007017,0.010322,0.014135,0.021841,0.038110,0.072177,0.141118"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159544,0.165305,0.171151,0.181359,0.200080,0.236627,0.309370", \ - "0.160953,0.166716,0.172558,0.182757,0.201474,0.238035,0.310772", \ - "0.167181,0.172926,0.178760,0.188965,0.207683,0.244233,0.316972", \ - "0.176386,0.182143,0.187982,0.198186,0.216905,0.253439,0.326189", \ - "0.186551,0.192299,0.198141,0.208340,0.227068,0.263601,0.336364", \ - "0.197943,0.203696,0.209534,0.219717,0.238480,0.275011,0.347755", \ - "0.210992,0.216749,0.222574,0.232753,0.251516,0.288064,0.360797"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.007043,0.010348,0.014162,0.021863,0.038142,0.072210,0.141131", \ - "0.007032,0.010337,0.014145,0.021857,0.038130,0.072196,0.141131", \ - "0.007019,0.010328,0.014140,0.021852,0.038135,0.072183,0.141119", \ - "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141129", \ - "0.007022,0.010326,0.014138,0.021850,0.038126,0.072181,0.141120", \ - "0.007019,0.010326,0.014143,0.021854,0.038133,0.072170,0.141122", \ - "0.007018,0.010322,0.014135,0.021841,0.038110,0.072178,0.141118"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159544,0.165305,0.171151,0.181359,0.200080,0.236628,0.309369", \ - "0.160953,0.166716,0.172558,0.182757,0.201474,0.238035,0.310772", \ - "0.167181,0.172926,0.178760,0.188965,0.207683,0.244233,0.316972", \ - "0.176386,0.182142,0.187982,0.198186,0.216905,0.253439,0.326189", \ - "0.186551,0.192299,0.198141,0.208340,0.227068,0.263601,0.336364", \ - "0.197943,0.203696,0.209529,0.219717,0.238480,0.275011,0.347758", \ - "0.210992,0.216749,0.222574,0.232753,0.251516,0.288064,0.360797"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.007043,0.010348,0.014162,0.021863,0.038142,0.072209,0.141129", \ - "0.007032,0.010337,0.014145,0.021857,0.038130,0.072196,0.141131", \ - "0.007019,0.010328,0.014140,0.021852,0.038135,0.072183,0.141119", \ - "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141129", \ - "0.007023,0.010326,0.014138,0.021850,0.038126,0.072181,0.141120", \ - "0.007019,0.010326,0.014143,0.021854,0.038133,0.072170,0.141122", \ - "0.007018,0.010322,0.014135,0.021841,0.038110,0.072178,0.141118"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159544,0.165305,0.171151,0.181359,0.200079,0.236630,0.309373", \ - "0.160953,0.166716,0.172558,0.182757,0.201474,0.238035,0.310772", \ - "0.167181,0.172926,0.178760,0.188965,0.207683,0.244233,0.316972", \ - "0.176386,0.182142,0.187982,0.198186,0.216905,0.253439,0.326189", \ - "0.186551,0.192299,0.198141,0.208340,0.227068,0.263601,0.336364", \ - "0.197943,0.203696,0.209529,0.219717,0.238480,0.275011,0.347758", \ - "0.210992,0.216749,0.222574,0.232753,0.251516,0.288064,0.360797"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.007043,0.010348,0.014162,0.021863,0.038142,0.072201,0.141131", \ - "0.007032,0.010337,0.014145,0.021857,0.038130,0.072196,0.141131", \ - "0.007019,0.010328,0.014140,0.021852,0.038135,0.072183,0.141119", \ - "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141129", \ - "0.007023,0.010326,0.014138,0.021850,0.038126,0.072181,0.141120", \ - "0.007019,0.010326,0.014143,0.021854,0.038133,0.072170,0.141122", \ - "0.007018,0.010322,0.014135,0.021841,0.038110,0.072178,0.141118"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159560,0.165321,0.171167,0.181375,0.200095,0.236641,0.309384", \ - "0.160968,0.166732,0.172574,0.182772,0.201488,0.238048,0.310784", \ - "0.167197,0.172942,0.178775,0.188980,0.207697,0.244246,0.316984", \ - "0.176401,0.182157,0.187997,0.198201,0.216917,0.253452,0.326200", \ - "0.186566,0.192313,0.198155,0.208354,0.227080,0.263614,0.336374", \ - "0.197957,0.203710,0.209542,0.219730,0.238493,0.275023,0.347764", \ - "0.211004,0.216761,0.222586,0.232765,0.251527,0.288074,0.360806"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.007041,0.010348,0.014162,0.021863,0.038141,0.072210,0.141131", \ - "0.007033,0.010338,0.014145,0.021857,0.038130,0.072196,0.141131", \ - "0.007020,0.010328,0.014140,0.021852,0.038134,0.072183,0.141119", \ - "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141130", \ - "0.007022,0.010326,0.014137,0.021850,0.038127,0.072181,0.141120", \ - "0.007020,0.010327,0.014143,0.021853,0.038132,0.072171,0.141115", \ - "0.007017,0.010322,0.014135,0.021841,0.038110,0.072177,0.141118"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.159544,0.165305,0.171151,0.181359,0.200080,0.236627,0.309369", \ - "0.160953,0.166716,0.172558,0.182757,0.201474,0.238035,0.310772", \ - "0.167181,0.172926,0.178760,0.188965,0.207683,0.244233,0.316972", \ - "0.176386,0.182143,0.187982,0.198186,0.216905,0.253439,0.326189", \ - "0.186551,0.192299,0.198141,0.208340,0.227068,0.263601,0.336364", \ - "0.197943,0.203696,0.209529,0.219717,0.238480,0.275011,0.347758", \ - "0.210992,0.216749,0.222574,0.232753,0.251516,0.288064,0.360797"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.007043,0.010348,0.014162,0.021863,0.038142,0.072210,0.141129", \ - "0.007032,0.010337,0.014145,0.021857,0.038130,0.072196,0.141131", \ - "0.007019,0.010328,0.014140,0.021852,0.038135,0.072183,0.141119", \ - "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141129", \ - "0.007021,0.010326,0.014138,0.021850,0.038126,0.072181,0.141120", \ - "0.007019,0.010326,0.014143,0.021854,0.038133,0.072170,0.141122", \ - "0.007018,0.010322,0.014135,0.021841,0.038110,0.072178,0.141118"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.029940,0.034148,0.038230,0.044877,0.055907,0.075350,0.112317", \ - "0.031524,0.035732,0.039813,0.046460,0.057493,0.076938,0.113903", \ - "0.037840,0.042034,0.046104,0.052757,0.063795,0.083253,0.120223", \ - "0.050345,0.054578,0.058662,0.065323,0.076383,0.095846,0.132813", \ - "0.064568,0.069371,0.073954,0.081240,0.092878,0.112627,0.149574", \ - "0.079243,0.084532,0.089630,0.097663,0.110174,0.130681,0.167912", \ - "0.094843,0.100604,0.106174,0.114943,0.128426,0.149855,0.187515"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031731,0.037414,0.043220,0.053414,0.072202,0.108764,0.181532", \ - "0.033046,0.038729,0.044533,0.054726,0.073520,0.110069,0.182851", \ - "0.036694,0.042374,0.048171,0.058352,0.077129,0.113689,0.186462", \ - "0.042621,0.048384,0.054224,0.064418,0.083169,0.119687,0.192460", \ - "0.048991,0.054973,0.060994,0.071348,0.090177,0.126676,0.199390", \ - "0.054556,0.060931,0.067281,0.077951,0.096901,0.133359,0.206014", \ - "0.058521,0.065362,0.072212,0.083445,0.102797,0.139351,0.211950"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005614,0.007382,0.009338,0.012986,0.020097,0.034807,0.065843", \ - "0.005613,0.007382,0.009341,0.012990,0.020105,0.034808,0.065842", \ - "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ - "0.006195,0.007816,0.009660,0.013203,0.020216,0.034852,0.065861", \ - "0.008104,0.009755,0.011533,0.014837,0.021365,0.035350,0.065949", \ - "0.010079,0.011821,0.013658,0.016940,0.023229,0.036617,0.066395", \ - "0.012135,0.013969,0.015893,0.019257,0.025410,0.038188,0.067133"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006731,0.010074,0.013929,0.021714,0.038076,0.072242,0.141333", \ - "0.006724,0.010069,0.013934,0.021715,0.038085,0.072240,0.141334", \ - "0.006714,0.010067,0.013926,0.021709,0.038087,0.072244,0.141334", \ - "0.006992,0.010325,0.014143,0.021833,0.038110,0.072227,0.141342", \ - "0.007633,0.010991,0.014765,0.022327,0.038386,0.072312,0.141335", \ - "0.008741,0.012143,0.015846,0.023142,0.038810,0.072446,0.141378", \ - "0.010179,0.013716,0.017469,0.024517,0.039682,0.072824,0.141510"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.029940,0.034148,0.038230,0.044877,0.055907,0.075350,0.112317", \ - "0.031524,0.035732,0.039813,0.046460,0.057493,0.076938,0.113903", \ - "0.037840,0.042034,0.046104,0.052757,0.063795,0.083253,0.120223", \ - "0.050345,0.054578,0.058662,0.065323,0.076383,0.095846,0.132813", \ - "0.064568,0.069371,0.073954,0.081240,0.092878,0.112627,0.149574", \ - "0.079243,0.084532,0.089630,0.097663,0.110174,0.130681,0.167912", \ - "0.094843,0.100604,0.106174,0.114943,0.128426,0.149855,0.187515"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031731,0.037414,0.043220,0.053414,0.072202,0.108764,0.181532", \ - "0.033046,0.038729,0.044533,0.054726,0.073520,0.110069,0.182851", \ - "0.036695,0.042374,0.048171,0.058352,0.077129,0.113689,0.186462", \ - "0.042621,0.048384,0.054224,0.064418,0.083169,0.119687,0.192460", \ - "0.048991,0.054973,0.060994,0.071348,0.090177,0.126676,0.199390", \ - "0.054556,0.060931,0.067281,0.077951,0.096901,0.133359,0.206014", \ - "0.058521,0.065362,0.072212,0.083445,0.102797,0.139351,0.211950"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005614,0.007382,0.009338,0.012986,0.020097,0.034807,0.065843", \ - "0.005613,0.007382,0.009341,0.012990,0.020105,0.034808,0.065842", \ - "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ - "0.006195,0.007816,0.009660,0.013203,0.020216,0.034852,0.065861", \ - "0.008104,0.009755,0.011533,0.014837,0.021365,0.035350,0.065949", \ - "0.010079,0.011821,0.013658,0.016940,0.023229,0.036617,0.066395", \ - "0.012135,0.013969,0.015893,0.019257,0.025410,0.038188,0.067133"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006731,0.010074,0.013929,0.021714,0.038076,0.072242,0.141333", \ - "0.006724,0.010069,0.013934,0.021715,0.038085,0.072240,0.141334", \ - "0.006717,0.010067,0.013926,0.021709,0.038087,0.072244,0.141334", \ - "0.006992,0.010325,0.014143,0.021833,0.038110,0.072227,0.141342", \ - "0.007633,0.010991,0.014765,0.022327,0.038386,0.072312,0.141335", \ - "0.008741,0.012143,0.015846,0.023142,0.038810,0.072446,0.141378", \ - "0.010179,0.013716,0.017469,0.024517,0.039682,0.072824,0.141510"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.029940,0.034148,0.038230,0.044877,0.055907,0.075350,0.112317", \ - "0.031524,0.035732,0.039813,0.046460,0.057493,0.076938,0.113903", \ - "0.037840,0.042034,0.046104,0.052757,0.063795,0.083253,0.120223", \ - "0.050345,0.054578,0.058662,0.065323,0.076383,0.095846,0.132813", \ - "0.064568,0.069371,0.073954,0.081240,0.092878,0.112627,0.149574", \ - "0.079243,0.084532,0.089630,0.097663,0.110174,0.130681,0.167912", \ - "0.094843,0.100604,0.106174,0.114943,0.128426,0.149855,0.187515"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031731,0.037414,0.043220,0.053414,0.072202,0.108764,0.181532", \ - "0.033046,0.038729,0.044534,0.054726,0.073520,0.110069,0.182851", \ - "0.036694,0.042374,0.048171,0.058352,0.077129,0.113689,0.186462", \ - "0.042621,0.048384,0.054224,0.064418,0.083169,0.119687,0.192460", \ - "0.048991,0.054973,0.060994,0.071348,0.090177,0.126676,0.199390", \ - "0.054556,0.060931,0.067281,0.077951,0.096901,0.133359,0.206014", \ - "0.058521,0.065362,0.072212,0.083445,0.102797,0.139351,0.211950"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005614,0.007382,0.009338,0.012986,0.020097,0.034807,0.065843", \ - "0.005613,0.007382,0.009341,0.012990,0.020105,0.034808,0.065842", \ - "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ - "0.006195,0.007816,0.009660,0.013203,0.020216,0.034852,0.065861", \ - "0.008104,0.009755,0.011533,0.014837,0.021365,0.035350,0.065949", \ - "0.010079,0.011821,0.013658,0.016940,0.023229,0.036617,0.066395", \ - "0.012135,0.013969,0.015893,0.019257,0.025410,0.038188,0.067133"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006731,0.010074,0.013929,0.021714,0.038076,0.072242,0.141333", \ - "0.006724,0.010069,0.013934,0.021715,0.038085,0.072240,0.141334", \ - "0.006717,0.010067,0.013926,0.021709,0.038087,0.072244,0.141334", \ - "0.006992,0.010325,0.014143,0.021833,0.038110,0.072227,0.141342", \ - "0.007633,0.010991,0.014765,0.022327,0.038386,0.072312,0.141335", \ - "0.008741,0.012143,0.015846,0.023142,0.038810,0.072446,0.141378", \ - "0.010179,0.013716,0.017469,0.024517,0.039682,0.072824,0.141510"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.029940,0.034147,0.038229,0.044878,0.055906,0.075350,0.112316", \ - "0.031523,0.035732,0.039813,0.046459,0.057492,0.076938,0.113903", \ - "0.037840,0.042033,0.046103,0.052756,0.063794,0.083252,0.120222", \ - "0.050344,0.054577,0.058662,0.065317,0.076383,0.095845,0.132812", \ - "0.064567,0.069365,0.073953,0.081233,0.092877,0.112626,0.149573", \ - "0.079247,0.084539,0.089634,0.097659,0.110163,0.130666,0.167898", \ - "0.094861,0.100620,0.106189,0.114949,0.128425,0.149864,0.187522"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031734,0.037418,0.043224,0.053416,0.072208,0.108768,0.181540", \ - "0.033048,0.038729,0.044537,0.054728,0.073521,0.110084,0.182854", \ - "0.036692,0.042370,0.048169,0.058349,0.077126,0.113688,0.186467", \ - "0.042625,0.048385,0.054227,0.064421,0.083170,0.119686,0.192459", \ - "0.048994,0.054977,0.060997,0.071351,0.090177,0.126683,0.199395", \ - "0.054560,0.060934,0.067286,0.077953,0.096907,0.133377,0.206025", \ - "0.058526,0.065369,0.072219,0.083452,0.102807,0.139361,0.211953"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005609,0.007382,0.009340,0.012985,0.020097,0.034807,0.065843", \ - "0.005610,0.007380,0.009341,0.012990,0.020104,0.034808,0.065843", \ - "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ - "0.006195,0.007816,0.009660,0.013204,0.020216,0.034852,0.065861", \ - "0.008105,0.009757,0.011529,0.014838,0.021366,0.035350,0.065949", \ - "0.010081,0.011820,0.013657,0.016939,0.023228,0.036616,0.066393", \ - "0.012133,0.013966,0.015891,0.019257,0.025411,0.038186,0.067137"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006725,0.010074,0.013928,0.021711,0.038083,0.072233,0.141355", \ - "0.006724,0.010072,0.013932,0.021715,0.038088,0.072239,0.141364", \ - "0.006717,0.010068,0.013928,0.021708,0.038086,0.072244,0.141354", \ - "0.006992,0.010326,0.014143,0.021834,0.038110,0.072227,0.141339", \ - "0.007633,0.010992,0.014764,0.022327,0.038386,0.072312,0.141336", \ - "0.008740,0.012140,0.015845,0.023143,0.038810,0.072448,0.141379", \ - "0.010179,0.013714,0.017468,0.024516,0.039684,0.072823,0.141510"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030348,0.034700,0.038950,0.045896,0.057457,0.077706,0.114984", \ - "0.031937,0.036287,0.040536,0.047480,0.059043,0.079294,0.116571", \ - "0.038254,0.042593,0.046835,0.053777,0.065347,0.085600,0.122880", \ - "0.050835,0.055200,0.059441,0.066394,0.077986,0.098244,0.135504", \ - "0.065303,0.070274,0.075055,0.082665,0.094856,0.115365,0.152542", \ - "0.080247,0.085760,0.091088,0.099530,0.112751,0.134132,0.171370", \ - "0.096195,0.102180,0.108012,0.117250,0.131573,0.153966,0.191288"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005841,0.007716,0.009795,0.013644,0.021131,0.035779,0.065972", \ - "0.005846,0.007713,0.009794,0.013643,0.021129,0.035774,0.065966", \ - "0.005838,0.007723,0.009799,0.013650,0.021130,0.035773,0.065958", \ - "0.006419,0.008132,0.010106,0.013854,0.021245,0.035799,0.065970", \ - "0.008453,0.010212,0.012107,0.015592,0.022432,0.036211,0.065979", \ - "0.010575,0.012452,0.014435,0.017948,0.024596,0.037502,0.066186", \ - "0.012779,0.014758,0.016842,0.020485,0.027061,0.039018,0.066471"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030348,0.034700,0.038950,0.045896,0.057457,0.077703,0.114984", \ - "0.031937,0.036287,0.040536,0.047480,0.059043,0.079294,0.116571", \ - "0.038254,0.042593,0.046835,0.053777,0.065347,0.085600,0.122880", \ - "0.050835,0.055200,0.059441,0.066394,0.077986,0.098244,0.135504", \ - "0.065303,0.070274,0.075055,0.082665,0.094856,0.115365,0.152542", \ - "0.080247,0.085760,0.091088,0.099530,0.112751,0.134132,0.171370", \ - "0.096195,0.102180,0.108012,0.117250,0.131573,0.153966,0.191288"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005841,0.007716,0.009795,0.013644,0.021131,0.035778,0.065972", \ - "0.005846,0.007713,0.009794,0.013643,0.021129,0.035774,0.065966", \ - "0.005838,0.007723,0.009799,0.013650,0.021130,0.035773,0.065958", \ - "0.006419,0.008132,0.010106,0.013854,0.021245,0.035799,0.065970", \ - "0.008453,0.010212,0.012107,0.015592,0.022432,0.036211,0.065979", \ - "0.010575,0.012452,0.014435,0.017948,0.024596,0.037502,0.066186", \ - "0.012779,0.014758,0.016842,0.020485,0.027061,0.039018,0.066471"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030348,0.034700,0.038950,0.045896,0.057456,0.077706,0.114984", \ - "0.031937,0.036287,0.040536,0.047480,0.059043,0.079294,0.116571", \ - "0.038254,0.042593,0.046835,0.053777,0.065347,0.085600,0.122880", \ - "0.050835,0.055200,0.059441,0.066394,0.077986,0.098244,0.135504", \ - "0.065303,0.070274,0.075055,0.082665,0.094856,0.115365,0.152542", \ - "0.080247,0.085760,0.091088,0.099530,0.112751,0.134132,0.171370", \ - "0.096195,0.102180,0.108012,0.117250,0.131573,0.153966,0.191288"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005841,0.007716,0.009795,0.013644,0.021131,0.035779,0.065972", \ - "0.005846,0.007713,0.009794,0.013643,0.021129,0.035774,0.065966", \ - "0.005838,0.007723,0.009799,0.013650,0.021130,0.035773,0.065958", \ - "0.006419,0.008132,0.010106,0.013854,0.021245,0.035799,0.065970", \ - "0.008453,0.010212,0.012107,0.015592,0.022432,0.036211,0.065979", \ - "0.010575,0.012452,0.014435,0.017948,0.024596,0.037502,0.066186", \ - "0.012779,0.014758,0.016842,0.020485,0.027061,0.039018,0.066471"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030346,0.034697,0.038946,0.045891,0.057452,0.077700,0.114978", \ - "0.031932,0.036283,0.040535,0.047475,0.059038,0.079287,0.116564", \ - "0.038247,0.042583,0.046826,0.053765,0.065335,0.085589,0.122874", \ - "0.050830,0.055192,0.059438,0.066393,0.077982,0.098240,0.135504", \ - "0.065300,0.070268,0.075048,0.082658,0.094850,0.115363,0.152537", \ - "0.080254,0.085755,0.091083,0.099525,0.112746,0.134122,0.171363", \ - "0.096181,0.102170,0.108000,0.117232,0.131579,0.153969,0.191289"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005841,0.007712,0.009795,0.013644,0.021129,0.035781,0.065965", \ - "0.005847,0.007713,0.009797,0.013647,0.021131,0.035778,0.065961", \ - "0.005838,0.007721,0.009801,0.013649,0.021130,0.035773,0.065971", \ - "0.006420,0.008132,0.010106,0.013853,0.021243,0.035799,0.065970", \ - "0.008454,0.010210,0.012108,0.015592,0.022433,0.036209,0.065980", \ - "0.010573,0.012452,0.014435,0.017948,0.024596,0.037502,0.066183", \ - "0.012783,0.014758,0.016843,0.020486,0.027061,0.039013,0.066473"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.029940,0.034147,0.038229,0.044878,0.055906,0.075350,0.112316", \ - "0.031523,0.035732,0.039813,0.046459,0.057492,0.076938,0.113903", \ - "0.037840,0.042033,0.046103,0.052756,0.063794,0.083252,0.120222", \ - "0.050344,0.054577,0.058662,0.065320,0.076383,0.095845,0.132812", \ - "0.064567,0.069365,0.073953,0.081233,0.092877,0.112626,0.149573", \ - "0.079247,0.084539,0.089634,0.097659,0.110163,0.130666,0.167898", \ - "0.094861,0.100620,0.106189,0.114949,0.128425,0.149864,0.187522"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031734,0.037418,0.043224,0.053416,0.072208,0.108768,0.181540", \ - "0.033048,0.038729,0.044537,0.054728,0.073521,0.110084,0.182854", \ - "0.036692,0.042370,0.048169,0.058349,0.077126,0.113688,0.186467", \ - "0.042625,0.048385,0.054227,0.064421,0.083170,0.119686,0.192459", \ - "0.048994,0.054977,0.060997,0.071351,0.090177,0.126683,0.199395", \ - "0.054560,0.060934,0.067286,0.077953,0.096907,0.133377,0.206025", \ - "0.058526,0.065369,0.072219,0.083452,0.102807,0.139361,0.211953"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005609,0.007382,0.009340,0.012985,0.020097,0.034807,0.065843", \ - "0.005610,0.007380,0.009341,0.012990,0.020104,0.034808,0.065843", \ - "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ - "0.006195,0.007816,0.009660,0.013206,0.020216,0.034852,0.065861", \ - "0.008105,0.009757,0.011529,0.014838,0.021366,0.035350,0.065949", \ - "0.010081,0.011820,0.013657,0.016939,0.023228,0.036616,0.066393", \ - "0.012133,0.013966,0.015891,0.019257,0.025411,0.038186,0.067137"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006725,0.010074,0.013928,0.021711,0.038083,0.072233,0.141355", \ - "0.006724,0.010072,0.013932,0.021715,0.038088,0.072239,0.141364", \ - "0.006717,0.010068,0.013928,0.021708,0.038086,0.072244,0.141354", \ - "0.006992,0.010326,0.014143,0.021834,0.038110,0.072227,0.141339", \ - "0.007633,0.010992,0.014764,0.022327,0.038386,0.072312,0.141336", \ - "0.008740,0.012140,0.015845,0.023143,0.038810,0.072448,0.141379", \ - "0.010179,0.013714,0.017468,0.024516,0.039684,0.072823,0.141510"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.029940,0.034147,0.038229,0.044878,0.055906,0.075350,0.112316", \ - "0.031523,0.035732,0.039813,0.046459,0.057492,0.076938,0.113903", \ - "0.037840,0.042033,0.046103,0.052756,0.063794,0.083252,0.120222", \ - "0.050344,0.054577,0.058662,0.065318,0.076383,0.095845,0.132812", \ - "0.064567,0.069365,0.073953,0.081233,0.092877,0.112626,0.149573", \ - "0.079247,0.084539,0.089634,0.097659,0.110163,0.130666,0.167898", \ - "0.094861,0.100620,0.106189,0.114949,0.128425,0.149864,0.187522"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031734,0.037418,0.043224,0.053416,0.072208,0.108768,0.181540", \ - "0.033048,0.038729,0.044537,0.054728,0.073521,0.110084,0.182854", \ - "0.036692,0.042370,0.048169,0.058349,0.077126,0.113688,0.186467", \ - "0.042625,0.048385,0.054227,0.064421,0.083170,0.119686,0.192459", \ - "0.048994,0.054977,0.060997,0.071351,0.090177,0.126683,0.199395", \ - "0.054560,0.060934,0.067286,0.077953,0.096907,0.133377,0.206025", \ - "0.058526,0.065369,0.072219,0.083452,0.102807,0.139361,0.211953"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005609,0.007382,0.009340,0.012985,0.020097,0.034807,0.065843", \ - "0.005610,0.007380,0.009341,0.012990,0.020104,0.034808,0.065843", \ - "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ - "0.006195,0.007816,0.009660,0.013204,0.020216,0.034852,0.065861", \ - "0.008105,0.009757,0.011529,0.014838,0.021366,0.035350,0.065949", \ - "0.010081,0.011820,0.013657,0.016939,0.023228,0.036616,0.066393", \ - "0.012133,0.013966,0.015891,0.019257,0.025411,0.038186,0.067137"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006725,0.010074,0.013928,0.021711,0.038083,0.072233,0.141355", \ - "0.006724,0.010072,0.013932,0.021715,0.038088,0.072239,0.141364", \ - "0.006717,0.010068,0.013928,0.021708,0.038086,0.072244,0.141354", \ - "0.006992,0.010326,0.014143,0.021834,0.038110,0.072227,0.141339", \ - "0.007633,0.010992,0.014764,0.022327,0.038386,0.072312,0.141336", \ - "0.008740,0.012140,0.015845,0.023143,0.038810,0.072448,0.141379", \ - "0.010179,0.013714,0.017468,0.024516,0.039684,0.072823,0.141510"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.029940,0.034148,0.038230,0.044877,0.055907,0.075350,0.112317", \ - "0.031524,0.035732,0.039813,0.046460,0.057493,0.076938,0.113903", \ - "0.037840,0.042034,0.046104,0.052757,0.063795,0.083253,0.120223", \ - "0.050345,0.054578,0.058662,0.065323,0.076383,0.095846,0.132813", \ - "0.064568,0.069371,0.073954,0.081240,0.092878,0.112627,0.149574", \ - "0.079243,0.084532,0.089630,0.097663,0.110174,0.130681,0.167912", \ - "0.094843,0.100604,0.106174,0.114943,0.128426,0.149855,0.187515"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031731,0.037414,0.043220,0.053414,0.072202,0.108764,0.181532", \ - "0.033046,0.038729,0.044534,0.054726,0.073520,0.110069,0.182851", \ - "0.036694,0.042374,0.048171,0.058352,0.077129,0.113689,0.186462", \ - "0.042621,0.048384,0.054224,0.064418,0.083169,0.119687,0.192460", \ - "0.048991,0.054973,0.060994,0.071348,0.090177,0.126676,0.199390", \ - "0.054556,0.060931,0.067281,0.077951,0.096901,0.133359,0.206014", \ - "0.058521,0.065362,0.072212,0.083445,0.102797,0.139351,0.211950"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005614,0.007382,0.009338,0.012986,0.020097,0.034807,0.065843", \ - "0.005613,0.007382,0.009341,0.012990,0.020105,0.034808,0.065842", \ - "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ - "0.006195,0.007816,0.009660,0.013203,0.020216,0.034852,0.065861", \ - "0.008104,0.009755,0.011533,0.014837,0.021365,0.035350,0.065949", \ - "0.010079,0.011821,0.013658,0.016940,0.023229,0.036617,0.066395", \ - "0.012135,0.013969,0.015893,0.019257,0.025410,0.038188,0.067133"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006731,0.010074,0.013929,0.021714,0.038076,0.072242,0.141333", \ - "0.006724,0.010069,0.013934,0.021715,0.038085,0.072240,0.141334", \ - "0.006714,0.010067,0.013926,0.021709,0.038087,0.072244,0.141334", \ - "0.006992,0.010325,0.014143,0.021833,0.038110,0.072227,0.141342", \ - "0.007633,0.010991,0.014765,0.022327,0.038386,0.072312,0.141335", \ - "0.008741,0.012143,0.015846,0.023142,0.038810,0.072446,0.141378", \ - "0.010179,0.013716,0.017469,0.024517,0.039682,0.072824,0.141510"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.029940,0.034147,0.038229,0.044878,0.055906,0.075350,0.112316", \ - "0.031523,0.035732,0.039813,0.046459,0.057492,0.076938,0.113903", \ - "0.037840,0.042033,0.046103,0.052756,0.063794,0.083252,0.120222", \ - "0.050344,0.054577,0.058662,0.065318,0.076383,0.095845,0.132812", \ - "0.064567,0.069365,0.073953,0.081233,0.092877,0.112626,0.149573", \ - "0.079247,0.084539,0.089634,0.097659,0.110163,0.130666,0.167898", \ - "0.094861,0.100620,0.106189,0.114949,0.128425,0.149864,0.187522"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.031734,0.037418,0.043224,0.053416,0.072208,0.108768,0.181540", \ - "0.033048,0.038729,0.044537,0.054728,0.073521,0.110084,0.182854", \ - "0.036692,0.042370,0.048169,0.058349,0.077126,0.113688,0.186467", \ - "0.042625,0.048385,0.054227,0.064421,0.083170,0.119686,0.192459", \ - "0.048994,0.054977,0.060997,0.071351,0.090177,0.126683,0.199395", \ - "0.054560,0.060934,0.067286,0.077953,0.096907,0.133377,0.206025", \ - "0.058526,0.065369,0.072219,0.083452,0.102807,0.139361,0.211953"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005609,0.007382,0.009340,0.012985,0.020097,0.034807,0.065843", \ - "0.005610,0.007380,0.009341,0.012990,0.020104,0.034808,0.065843", \ - "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ - "0.006195,0.007816,0.009660,0.013204,0.020216,0.034852,0.065861", \ - "0.008105,0.009757,0.011529,0.014838,0.021366,0.035350,0.065949", \ - "0.010081,0.011820,0.013657,0.016939,0.023228,0.036616,0.066393", \ - "0.012133,0.013966,0.015891,0.019257,0.025411,0.038186,0.067137"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006725,0.010074,0.013928,0.021711,0.038083,0.072233,0.141355", \ - "0.006724,0.010072,0.013932,0.021715,0.038088,0.072239,0.141364", \ - "0.006717,0.010068,0.013928,0.021708,0.038086,0.072244,0.141354", \ - "0.006992,0.010326,0.014143,0.021834,0.038110,0.072227,0.141339", \ - "0.007633,0.010992,0.014764,0.022327,0.038386,0.072312,0.141336", \ - "0.008740,0.012140,0.015845,0.023143,0.038810,0.072448,0.141379", \ - "0.010179,0.013714,0.017468,0.024516,0.039684,0.072823,0.141510"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030346,0.034697,0.038946,0.045891,0.057451,0.077701,0.114978", \ - "0.031932,0.036283,0.040535,0.047475,0.059038,0.079287,0.116564", \ - "0.038247,0.042583,0.046826,0.053765,0.065335,0.085589,0.122874", \ - "0.050830,0.055192,0.059438,0.066393,0.077982,0.098240,0.135504", \ - "0.065300,0.070268,0.075048,0.082658,0.094850,0.115363,0.152537", \ - "0.080254,0.085755,0.091083,0.099525,0.112746,0.134122,0.171363", \ - "0.096181,0.102170,0.108000,0.117232,0.131579,0.153969,0.191289"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005841,0.007712,0.009795,0.013644,0.021130,0.035774,0.065965", \ - "0.005847,0.007713,0.009797,0.013647,0.021131,0.035778,0.065961", \ - "0.005838,0.007721,0.009801,0.013649,0.021130,0.035773,0.065971", \ - "0.006420,0.008132,0.010106,0.013853,0.021243,0.035799,0.065970", \ - "0.008454,0.010210,0.012108,0.015592,0.022433,0.036209,0.065980", \ - "0.010573,0.012452,0.014435,0.017948,0.024596,0.037502,0.066183", \ - "0.012783,0.014758,0.016843,0.020486,0.027061,0.039013,0.066473"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030346,0.034697,0.038946,0.045891,0.057452,0.077701,0.114978", \ - "0.031932,0.036283,0.040535,0.047475,0.059038,0.079287,0.116564", \ - "0.038247,0.042583,0.046826,0.053765,0.065335,0.085589,0.122874", \ - "0.050830,0.055192,0.059438,0.066393,0.077982,0.098240,0.135504", \ - "0.065300,0.070268,0.075048,0.082658,0.094850,0.115363,0.152537", \ - "0.080254,0.085755,0.091083,0.099525,0.112746,0.134122,0.171363", \ - "0.096181,0.102170,0.108000,0.117232,0.131579,0.153969,0.191289"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005841,0.007712,0.009795,0.013644,0.021129,0.035774,0.065963", \ - "0.005847,0.007713,0.009797,0.013647,0.021131,0.035778,0.065961", \ - "0.005838,0.007721,0.009801,0.013649,0.021130,0.035773,0.065971", \ - "0.006420,0.008132,0.010106,0.013853,0.021243,0.035799,0.065970", \ - "0.008454,0.010210,0.012108,0.015592,0.022433,0.036209,0.065980", \ - "0.010573,0.012452,0.014435,0.017948,0.024596,0.037502,0.066183", \ - "0.012783,0.014758,0.016843,0.020486,0.027061,0.039013,0.066473"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030348,0.034700,0.038950,0.045896,0.057456,0.077706,0.114984", \ - "0.031937,0.036287,0.040536,0.047480,0.059043,0.079294,0.116571", \ - "0.038254,0.042593,0.046835,0.053777,0.065347,0.085600,0.122880", \ - "0.050835,0.055200,0.059441,0.066394,0.077986,0.098244,0.135504", \ - "0.065303,0.070274,0.075055,0.082665,0.094856,0.115365,0.152542", \ - "0.080247,0.085760,0.091088,0.099530,0.112751,0.134132,0.171370", \ - "0.096195,0.102180,0.108012,0.117250,0.131573,0.153966,0.191288"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005841,0.007716,0.009795,0.013644,0.021131,0.035779,0.065971", \ - "0.005846,0.007713,0.009794,0.013643,0.021129,0.035774,0.065966", \ - "0.005838,0.007723,0.009799,0.013650,0.021130,0.035773,0.065958", \ - "0.006419,0.008132,0.010106,0.013854,0.021245,0.035799,0.065970", \ - "0.008453,0.010212,0.012107,0.015592,0.022432,0.036211,0.065979", \ - "0.010575,0.012452,0.014435,0.017948,0.024596,0.037502,0.066186", \ - "0.012779,0.014758,0.016842,0.020485,0.027061,0.039018,0.066471"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030346,0.034697,0.038946,0.045891,0.057451,0.077700,0.114978", \ - "0.031932,0.036283,0.040535,0.047475,0.059038,0.079287,0.116564", \ - "0.038247,0.042583,0.046826,0.053765,0.065335,0.085589,0.122874", \ - "0.050830,0.055192,0.059438,0.066393,0.077982,0.098240,0.135504", \ - "0.065300,0.070268,0.075048,0.082658,0.094850,0.115363,0.152537", \ - "0.080254,0.085755,0.091083,0.099525,0.112746,0.134122,0.171363", \ - "0.096181,0.102170,0.108000,0.117232,0.131579,0.153969,0.191289"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005841,0.007712,0.009795,0.013644,0.021130,0.035781,0.065965", \ - "0.005847,0.007713,0.009797,0.013647,0.021131,0.035778,0.065961", \ - "0.005838,0.007721,0.009801,0.013649,0.021130,0.035773,0.065971", \ - "0.006420,0.008132,0.010106,0.013853,0.021243,0.035799,0.065970", \ - "0.008454,0.010210,0.012108,0.015592,0.022433,0.036209,0.065980", \ - "0.010573,0.012452,0.014435,0.017948,0.024596,0.037502,0.066183", \ - "0.012783,0.014758,0.016843,0.020486,0.027061,0.039013,0.066473"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030077,0.034275,0.038352,0.044998,0.056034,0.075482,0.112432", \ - "0.031661,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ - "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ - "0.050478,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ - "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ - "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ - "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.144322,0.150044,0.155847,0.166029,0.184762,0.221233,0.293984", \ - "0.145608,0.151332,0.157142,0.167304,0.186038,0.222520,0.295269", \ - "0.148690,0.154410,0.160225,0.170378,0.189109,0.225608,0.298346", \ - "0.153774,0.159497,0.165303,0.175466,0.194204,0.230694,0.303435", \ - "0.160987,0.166707,0.172514,0.182692,0.201511,0.238012,0.310752", \ - "0.169640,0.175368,0.181164,0.191273,0.209945,0.246432,0.319179", \ - "0.178762,0.184606,0.190480,0.200794,0.219533,0.256028,0.328773"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ - "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ - "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ - "0.006167,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ - "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ - "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ - "0.012075,0.013902,0.015831,0.019209,0.025399,0.038195,0.067140"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006990,0.010289,0.014103,0.021815,0.038093,0.072193,0.141299", \ - "0.006985,0.010286,0.014099,0.021818,0.038094,0.072167,0.141301", \ - "0.006994,0.010284,0.014097,0.021816,0.038096,0.072175,0.141310", \ - "0.006996,0.010288,0.014100,0.021814,0.038100,0.072173,0.141304", \ - "0.006987,0.010288,0.014101,0.021812,0.038095,0.072194,0.141299", \ - "0.006989,0.010282,0.014092,0.021814,0.038100,0.072202,0.141299", \ - "0.007208,0.010541,0.014350,0.022028,0.038223,0.072198,0.141315"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030077,0.034275,0.038352,0.044998,0.056034,0.075482,0.112432", \ - "0.031661,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ - "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ - "0.050478,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ - "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ - "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ - "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.144322,0.150044,0.155847,0.166029,0.184762,0.221233,0.293984", \ - "0.145608,0.151332,0.157142,0.167304,0.186038,0.222520,0.295269", \ - "0.148690,0.154410,0.160223,0.170378,0.189109,0.225608,0.298346", \ - "0.153774,0.159497,0.165303,0.175466,0.194204,0.230694,0.303435", \ - "0.160987,0.166707,0.172514,0.182692,0.201511,0.238012,0.310752", \ - "0.169640,0.175368,0.181164,0.191273,0.209945,0.246432,0.319179", \ - "0.178762,0.184606,0.190480,0.200794,0.219533,0.256028,0.328773"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ - "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ - "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ - "0.006167,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ - "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ - "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ - "0.012075,0.013902,0.015831,0.019209,0.025399,0.038195,0.067140"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006990,0.010289,0.014103,0.021815,0.038093,0.072193,0.141299", \ - "0.006985,0.010286,0.014099,0.021818,0.038094,0.072167,0.141301", \ - "0.006994,0.010284,0.014095,0.021816,0.038096,0.072175,0.141310", \ - "0.006996,0.010288,0.014100,0.021814,0.038100,0.072173,0.141304", \ - "0.006987,0.010288,0.014101,0.021812,0.038095,0.072194,0.141299", \ - "0.006989,0.010282,0.014092,0.021814,0.038100,0.072202,0.141299", \ - "0.007208,0.010541,0.014350,0.022028,0.038223,0.072198,0.141315"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030077,0.034275,0.038352,0.044998,0.056034,0.075482,0.112432", \ - "0.031661,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ - "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ - "0.050478,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ - "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ - "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ - "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.144322,0.150044,0.155847,0.166029,0.184762,0.221233,0.293984", \ - "0.145608,0.151332,0.157142,0.167304,0.186038,0.222520,0.295269", \ - "0.148690,0.154410,0.160225,0.170378,0.189109,0.225608,0.298346", \ - "0.153774,0.159497,0.165303,0.175466,0.194204,0.230694,0.303435", \ - "0.160987,0.166707,0.172514,0.182692,0.201511,0.238012,0.310752", \ - "0.169640,0.175368,0.181164,0.191273,0.209945,0.246432,0.319179", \ - "0.178762,0.184606,0.190480,0.200794,0.219533,0.256028,0.328773"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ - "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ - "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ - "0.006167,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ - "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ - "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ - "0.012075,0.013902,0.015831,0.019209,0.025399,0.038195,0.067140"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006990,0.010289,0.014103,0.021815,0.038093,0.072193,0.141299", \ - "0.006985,0.010286,0.014099,0.021818,0.038094,0.072167,0.141301", \ - "0.006994,0.010284,0.014097,0.021816,0.038096,0.072175,0.141310", \ - "0.006996,0.010288,0.014100,0.021814,0.038100,0.072173,0.141304", \ - "0.006987,0.010288,0.014101,0.021812,0.038095,0.072194,0.141299", \ - "0.006989,0.010282,0.014092,0.021814,0.038100,0.072202,0.141299", \ - "0.007208,0.010541,0.014350,0.022028,0.038223,0.072198,0.141315"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030077,0.034275,0.038352,0.044998,0.056035,0.075482,0.112432", \ - "0.031662,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ - "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ - "0.050477,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ - "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ - "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ - "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.144331,0.150052,0.155861,0.166037,0.184770,0.221248,0.293992", \ - "0.145627,0.151346,0.157151,0.167317,0.186044,0.222527,0.295278", \ - "0.148699,0.154419,0.160232,0.170387,0.189117,0.225616,0.298354", \ - "0.153788,0.159513,0.165318,0.175476,0.194213,0.230701,0.303445", \ - "0.160998,0.166718,0.172525,0.182703,0.201523,0.238023,0.310763", \ - "0.169614,0.175374,0.181177,0.191291,0.209960,0.246445,0.319191", \ - "0.178852,0.184682,0.190496,0.200817,0.219549,0.256047,0.328794"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ - "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ - "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ - "0.006170,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ - "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ - "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ - "0.012075,0.013902,0.015831,0.019209,0.025400,0.038195,0.067140"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006990,0.010289,0.014103,0.021814,0.038094,0.072191,0.141298", \ - "0.006986,0.010287,0.014095,0.021818,0.038103,0.072167,0.141301", \ - "0.006994,0.010284,0.014094,0.021816,0.038097,0.072176,0.141309", \ - "0.006995,0.010288,0.014099,0.021813,0.038099,0.072174,0.141304", \ - "0.006987,0.010288,0.014102,0.021812,0.038095,0.072195,0.141298", \ - "0.006989,0.010282,0.014092,0.021814,0.038099,0.072202,0.141299", \ - "0.007201,0.010541,0.014352,0.022028,0.038223,0.072202,0.141330"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030476,0.034827,0.039063,0.045961,0.057411,0.077403,0.114582", \ - "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ - "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ - "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ - "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ - "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ - "0.096442,0.102406,0.108197,0.117353,0.131494,0.153695,0.191698"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005852,0.007717,0.009761,0.013544,0.020876,0.035480,0.066104", \ - "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ - "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ - "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ - "0.008443,0.010165,0.012023,0.015447,0.022157,0.036007,0.066233", \ - "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066727", \ - "0.012731,0.014651,0.016700,0.020273,0.026693,0.039218,0.067519"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030476,0.034827,0.039063,0.045961,0.057411,0.077402,0.114582", \ - "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ - "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ - "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ - "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ - "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ - "0.096442,0.102406,0.108197,0.117353,0.131494,0.153690,0.191698"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005852,0.007717,0.009761,0.013544,0.020876,0.035477,0.066103", \ - "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ - "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ - "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ - "0.008443,0.010165,0.012023,0.015447,0.022157,0.036007,0.066233", \ - "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066727", \ - "0.012731,0.014651,0.016700,0.020273,0.026693,0.039214,0.067519"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030476,0.034827,0.039063,0.045961,0.057411,0.077403,0.114582", \ - "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ - "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ - "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ - "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ - "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ - "0.096442,0.102406,0.108197,0.117353,0.131494,0.153690,0.191698"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005852,0.007717,0.009761,0.013544,0.020876,0.035482,0.066103", \ - "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ - "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ - "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ - "0.008443,0.010165,0.012023,0.015447,0.022157,0.036007,0.066233", \ - "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066727", \ - "0.012731,0.014651,0.016700,0.020273,0.026693,0.039214,0.067519"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030476,0.034827,0.039063,0.045961,0.057411,0.077403,0.114582", \ - "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ - "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ - "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ - "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ - "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ - "0.096442,0.102406,0.108197,0.117353,0.131494,0.153690,0.191698"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005852,0.007717,0.009761,0.013545,0.020876,0.035478,0.066103", \ - "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ - "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ - "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ - "0.008443,0.010165,0.012023,0.015447,0.022158,0.036007,0.066232", \ - "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066728", \ - "0.012731,0.014651,0.016700,0.020273,0.026692,0.039214,0.067519"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030077,0.034275,0.038352,0.044998,0.056035,0.075482,0.112432", \ - "0.031662,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ - "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ - "0.050477,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ - "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ - "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ - "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.144331,0.150052,0.155861,0.166037,0.184770,0.221248,0.293992", \ - "0.145627,0.151346,0.157151,0.167317,0.186044,0.222527,0.295278", \ - "0.148699,0.154419,0.160234,0.170387,0.189117,0.225616,0.298354", \ - "0.153788,0.159513,0.165318,0.175476,0.194213,0.230701,0.303445", \ - "0.160998,0.166718,0.172525,0.182703,0.201523,0.238023,0.310763", \ - "0.169614,0.175374,0.181177,0.191291,0.209960,0.246445,0.319191", \ - "0.178852,0.184682,0.190496,0.200817,0.219549,0.256047,0.328794"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ - "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ - "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ - "0.006170,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ - "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ - "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ - "0.012075,0.013902,0.015831,0.019209,0.025400,0.038195,0.067140"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006990,0.010289,0.014103,0.021814,0.038094,0.072191,0.141298", \ - "0.006986,0.010287,0.014095,0.021818,0.038103,0.072167,0.141301", \ - "0.006994,0.010284,0.014096,0.021816,0.038097,0.072176,0.141309", \ - "0.006995,0.010288,0.014099,0.021813,0.038099,0.072174,0.141304", \ - "0.006987,0.010288,0.014102,0.021812,0.038095,0.072195,0.141298", \ - "0.006989,0.010282,0.014092,0.021814,0.038099,0.072202,0.141299", \ - "0.007201,0.010541,0.014352,0.022028,0.038223,0.072202,0.141330"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030077,0.034275,0.038352,0.044998,0.056035,0.075482,0.112432", \ - "0.031662,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ - "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ - "0.050477,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ - "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ - "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ - "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.144331,0.150052,0.155861,0.166037,0.184770,0.221248,0.293992", \ - "0.145627,0.151346,0.157151,0.167317,0.186044,0.222527,0.295278", \ - "0.148699,0.154419,0.160234,0.170387,0.189117,0.225616,0.298354", \ - "0.153788,0.159513,0.165318,0.175476,0.194213,0.230701,0.303445", \ - "0.160998,0.166718,0.172525,0.182703,0.201523,0.238023,0.310763", \ - "0.169614,0.175374,0.181177,0.191291,0.209960,0.246445,0.319191", \ - "0.178852,0.184682,0.190496,0.200817,0.219549,0.256047,0.328794"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ - "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ - "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ - "0.006170,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ - "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ - "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ - "0.012075,0.013902,0.015831,0.019209,0.025400,0.038195,0.067140"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006990,0.010289,0.014103,0.021814,0.038094,0.072191,0.141298", \ - "0.006986,0.010287,0.014095,0.021818,0.038103,0.072167,0.141301", \ - "0.006994,0.010284,0.014096,0.021816,0.038097,0.072176,0.141309", \ - "0.006995,0.010288,0.014099,0.021813,0.038099,0.072174,0.141304", \ - "0.006987,0.010288,0.014102,0.021812,0.038095,0.072195,0.141298", \ - "0.006989,0.010282,0.014092,0.021814,0.038099,0.072202,0.141299", \ - "0.007201,0.010541,0.014352,0.022028,0.038223,0.072202,0.141330"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030077,0.034275,0.038352,0.044998,0.056034,0.075482,0.112432", \ - "0.031661,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ - "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ - "0.050478,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ - "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ - "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ - "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.144322,0.150044,0.155847,0.166029,0.184762,0.221233,0.293984", \ - "0.145608,0.151332,0.157142,0.167304,0.186038,0.222520,0.295269", \ - "0.148690,0.154410,0.160223,0.170378,0.189109,0.225608,0.298346", \ - "0.153774,0.159497,0.165303,0.175466,0.194204,0.230694,0.303435", \ - "0.160987,0.166707,0.172514,0.182692,0.201511,0.238012,0.310752", \ - "0.169640,0.175368,0.181164,0.191273,0.209945,0.246432,0.319179", \ - "0.178762,0.184606,0.190480,0.200794,0.219533,0.256028,0.328773"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ - "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ - "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ - "0.006167,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ - "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ - "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ - "0.012075,0.013902,0.015831,0.019209,0.025399,0.038195,0.067140"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006990,0.010289,0.014103,0.021815,0.038093,0.072193,0.141299", \ - "0.006985,0.010286,0.014099,0.021818,0.038094,0.072167,0.141301", \ - "0.006994,0.010284,0.014095,0.021816,0.038096,0.072175,0.141310", \ - "0.006996,0.010288,0.014100,0.021814,0.038100,0.072173,0.141304", \ - "0.006987,0.010288,0.014101,0.021812,0.038095,0.072194,0.141299", \ - "0.006989,0.010282,0.014092,0.021814,0.038100,0.072202,0.141299", \ - "0.007208,0.010541,0.014350,0.022028,0.038223,0.072198,0.141315"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030077,0.034275,0.038352,0.044998,0.056035,0.075482,0.112432", \ - "0.031662,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ - "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ - "0.050477,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ - "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ - "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ - "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.144331,0.150052,0.155861,0.166037,0.184770,0.221248,0.293992", \ - "0.145627,0.151346,0.157151,0.167317,0.186044,0.222527,0.295278", \ - "0.148699,0.154419,0.160232,0.170387,0.189117,0.225616,0.298354", \ - "0.153788,0.159513,0.165318,0.175476,0.194213,0.230701,0.303445", \ - "0.160998,0.166718,0.172525,0.182703,0.201523,0.238023,0.310763", \ - "0.169614,0.175374,0.181177,0.191291,0.209960,0.246445,0.319191", \ - "0.178852,0.184682,0.190496,0.200817,0.219549,0.256047,0.328794"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ - "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ - "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ - "0.006170,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ - "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ - "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ - "0.012075,0.013902,0.015831,0.019209,0.025400,0.038195,0.067140"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006990,0.010289,0.014103,0.021814,0.038094,0.072191,0.141298", \ - "0.006986,0.010287,0.014095,0.021818,0.038103,0.072167,0.141301", \ - "0.006994,0.010284,0.014094,0.021816,0.038097,0.072176,0.141309", \ - "0.006995,0.010288,0.014099,0.021813,0.038099,0.072174,0.141304", \ - "0.006987,0.010288,0.014102,0.021812,0.038095,0.072195,0.141298", \ - "0.006989,0.010282,0.014092,0.021814,0.038099,0.072202,0.141299", \ - "0.007201,0.010541,0.014352,0.022028,0.038223,0.072202,0.141330"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030476,0.034827,0.039063,0.045961,0.057411,0.077403,0.114582", \ - "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ - "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ - "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ - "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ - "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ - "0.096442,0.102406,0.108197,0.117353,0.131494,0.153690,0.191698"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005852,0.007717,0.009761,0.013545,0.020876,0.035482,0.066103", \ - "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ - "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ - "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ - "0.008443,0.010165,0.012023,0.015447,0.022158,0.036007,0.066232", \ - "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066728", \ - "0.012731,0.014651,0.016700,0.020273,0.026692,0.039214,0.067519"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030476,0.034827,0.039063,0.045961,0.057411,0.077403,0.114582", \ - "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ - "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ - "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ - "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ - "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ - "0.096442,0.102406,0.108197,0.117353,0.131494,0.153690,0.191698"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005852,0.007717,0.009761,0.013545,0.020876,0.035482,0.066103", \ - "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ - "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ - "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ - "0.008443,0.010165,0.012023,0.015447,0.022158,0.036007,0.066232", \ - "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066728", \ - "0.012731,0.014651,0.016700,0.020273,0.026692,0.039214,0.067519"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030476,0.034827,0.039063,0.045961,0.057411,0.077402,0.114582", \ - "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ - "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ - "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ - "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ - "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ - "0.096442,0.102406,0.108197,0.117353,0.131494,0.153690,0.191698"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005852,0.007717,0.009761,0.013544,0.020876,0.035477,0.066103", \ - "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ - "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ - "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ - "0.008443,0.010165,0.012023,0.015447,0.022157,0.036007,0.066233", \ - "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066727", \ - "0.012731,0.014651,0.016700,0.020273,0.026693,0.039214,0.067519"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.030476,0.034827,0.039063,0.045961,0.057408,0.077403,0.114582", \ - "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ - "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ - "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ - "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ - "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ - "0.096442,0.102406,0.108197,0.117353,0.131494,0.153690,0.191698"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005852,0.007717,0.009761,0.013545,0.020876,0.035479,0.066103", \ - "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ - "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ - "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ - "0.008443,0.010165,0.012023,0.015447,0.022157,0.036007,0.066232", \ - "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066728", \ - "0.012731,0.014651,0.016700,0.020273,0.026692,0.039214,0.067519"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.785075,5.822449,5.859028,5.891411,5.922877,5.929414,5.935479", \ - "5.774524,5.809661,5.849345,5.881578,5.912780,5.919711,5.920500", \ - "5.767397,5.813754,5.844645,5.877274,5.894351,5.926020,5.940814", \ - "5.816286,5.873955,5.900682,5.938587,5.949720,5.996556,5.990082", \ - "5.986930,6.033781,6.064075,6.089327,6.114982,6.164198,6.085668", \ - "6.309440,6.337812,6.377882,6.384176,6.427922,6.393860,6.489165", \ - "6.752921,6.812133,6.839818,6.872869,6.895214,6.901209,6.845310"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.717741,5.786417,5.842955,5.958524,6.057664,6.048684,6.136542", \ - "5.708315,5.773035,5.837615,5.969263,6.063435,6.040161,6.127071", \ - "5.698581,5.763856,5.804111,5.952693,6.045775,6.095194,6.115730", \ - "5.755813,5.822654,5.874592,6.007662,6.113350,6.117416,6.175638", \ - "5.919544,5.984484,6.045729,6.181675,6.279000,6.307865,6.294673", \ - "6.237191,6.302717,6.361280,6.489941,6.568194,6.612568,6.580226", \ - "6.700591,6.767215,6.826796,6.967382,7.057566,7.084452,7.054815"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.609351,4.737922,4.819090,4.893209,4.886915,4.866448,4.795407", \ - "4.586985,4.725735,4.793103,4.881037,4.874865,4.927637,4.874268", \ - "4.590505,4.718697,4.810798,4.858806,4.891045,4.943857,4.826913", \ - "4.709677,4.786940,4.872862,4.957487,4.984240,4.974873,4.975053", \ - "4.972832,5.037500,5.077090,5.123491,5.112253,5.078183,5.006178", \ - "5.380127,5.394616,5.429855,5.426429,5.463320,5.428758,5.340629", \ - "5.937904,5.944152,5.926856,5.910235,5.888158,5.839531,5.824795"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.608945,4.737505,4.818649,4.892743,4.886401,4.865861,4.794759", \ - "4.586584,4.725304,4.792660,4.880575,4.874234,4.927061,4.873622", \ - "4.590109,4.718282,4.810360,4.858345,4.890573,4.943293,4.826276", \ - "4.709284,4.786540,4.872443,4.957047,4.983855,4.974360,4.974439", \ - "4.972471,5.037130,5.076698,5.123078,5.111779,5.077669,5.005580", \ - "5.379816,5.394369,5.429503,5.426057,5.462908,5.428285,5.340064", \ - "5.937633,5.943865,5.926552,5.909920,5.887803,5.839114,5.824283"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.609152,4.737950,4.818668,4.892943,4.886664,4.866119,4.795014", \ - "4.586605,4.725422,4.792868,4.880756,4.874582,4.927296,4.873927", \ - "4.590118,4.718240,4.810565,4.858533,4.890921,4.943397,4.826611", \ - "4.709502,4.786785,4.872647,4.957250,4.984105,4.973040,4.974798", \ - "4.972645,5.037187,5.076912,5.123422,5.112346,5.077939,5.005955", \ - "5.379815,5.394568,5.429685,5.426238,5.463086,5.428505,5.340312", \ - "5.937760,5.944153,5.928598,5.910091,5.887988,5.839310,5.824516"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.306117,5.414121,5.515699,5.588889,5.581063,5.560119,5.626384", \ - "5.263410,5.398181,5.468600,5.556071,5.552869,5.600001,5.545805", \ - "5.273573,5.405415,5.493153,5.561309,5.571861,5.623165,5.505125", \ - "5.473628,5.588922,5.636958,5.721087,5.747362,5.725762,5.735945", \ - "5.906726,5.974736,6.011078,6.063084,6.042251,6.022297,6.105872", \ - "6.592502,6.616788,6.638468,6.634593,6.669690,6.633736,6.543905", \ - "7.551667,7.543781,7.529477,7.510883,7.487750,7.435655,7.417062"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.306576,5.414571,5.516194,5.589392,5.581632,5.584087,5.658373", \ - "5.263864,5.398670,5.469084,5.556561,5.553367,5.600698,5.546642", \ - "5.274022,5.395238,5.493633,5.561856,5.572453,5.623845,5.505946", \ - "5.474048,5.589336,5.637392,5.721664,5.747913,5.727381,5.736641", \ - "5.907170,5.975132,6.011574,6.063544,6.042757,6.022876,6.106664", \ - "6.592840,6.617113,6.638919,6.635051,6.670145,6.634311,6.544599", \ - "7.552014,7.544144,7.529881,7.511285,7.487216,7.436166,7.417644"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.306611,5.414610,5.516148,5.589378,5.581970,5.560619,5.488266", \ - "5.263859,5.398669,5.469120,5.556547,5.553506,5.600692,5.546579", \ - "5.274014,5.405886,5.493671,5.561871,5.572478,5.623843,5.505878", \ - "5.474093,5.589384,5.637441,5.721566,5.747949,5.727375,5.736642", \ - "5.907119,5.975155,6.011535,6.063440,6.042768,6.022879,6.106652", \ - "6.592864,6.617193,6.638848,6.634981,6.670161,6.634312,6.544594", \ - "7.551973,7.544065,7.529824,7.511244,7.487227,7.436170,7.417658"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.608746,4.737397,4.818234,4.892476,4.886069,4.865492,4.794277", \ - "4.586220,4.725021,4.792432,4.880308,4.874040,4.926672,4.873181", \ - "4.589730,4.717834,4.810125,4.858085,4.890363,4.954830,4.825871", \ - "4.709107,4.786376,4.872239,4.956796,4.983603,4.972359,4.974080", \ - "4.972286,5.036794,5.076467,5.122987,5.111883,5.077352,5.005188", \ - "5.379466,5.394191,5.429295,5.425825,5.462624,5.427953,5.339605", \ - "5.937465,5.943765,5.928201,5.909688,5.887531,5.838787,5.823822"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.306645,5.414657,5.516266,5.589482,5.581687,5.560850,5.626916", \ - "5.263927,5.398723,5.469163,5.556658,5.553448,5.600750,5.546655", \ - "5.274085,5.405950,5.493711,5.561899,5.572508,5.623900,5.505962", \ - "5.474128,5.589430,5.637489,5.721740,5.747969,5.727428,5.736820", \ - "5.907185,5.975220,6.011636,6.063604,6.042823,6.022497,6.106680", \ - "6.592904,6.617179,6.638971,6.635120,6.670220,6.634346,6.544654", \ - "7.552052,7.544202,7.529929,7.511324,7.487292,7.436217,7.417745"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.180974,6.327600,6.393312,6.450514,6.503427,6.488121,6.434158", \ - "6.151383,6.281741,6.372924,6.417753,6.483706,6.461952,6.491033", \ - "6.160795,6.263536,6.360791,6.441539,6.484840,6.399922,6.443572", \ - "6.354056,6.451688,6.533923,6.566495,6.616491,6.659155,6.533058", \ - "6.774574,6.836645,6.901724,6.916865,6.919847,6.970430,6.966068", \ - "7.433074,7.460121,7.455332,7.480832,7.502693,7.472216,7.466074", \ - "8.257282,8.269720,8.288476,8.255519,8.274853,8.242249,8.228443"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.180598,6.327201,6.392909,6.450087,6.503079,6.487741,6.433476", \ - "6.151000,6.281344,6.372509,6.417324,6.483285,6.461283,6.490437", \ - "6.137355,6.263137,6.360386,6.440848,6.484365,6.399405,6.442983", \ - "6.353692,6.451315,6.533524,6.566081,6.616043,6.658608,6.532494", \ - "6.774255,6.836293,6.901207,6.916481,6.919430,6.969960,6.965164", \ - "7.432812,7.459820,7.455024,7.480503,7.502354,7.471797,7.465565", \ - "8.257065,8.269496,8.288239,8.255264,8.274552,8.241884,8.228007"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.180417,6.327095,6.392660,6.479696,6.502499,6.486797,6.432814", \ - "6.150964,6.281245,6.372595,6.417108,6.482479,6.462375,6.489731", \ - "6.153488,6.263061,6.360240,6.429611,6.483987,6.398878,6.442294", \ - "6.353683,6.451245,6.533382,6.565887,6.615687,6.658597,6.531852", \ - "6.777526,6.836218,6.895392,6.916276,6.919121,6.969461,6.968049", \ - "7.432441,7.459745,7.454913,7.480316,7.502045,7.471340,7.464837", \ - "8.257022,8.269430,8.288118,8.255093,8.274355,8.241444,8.227453"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.191828,6.326653,6.391337,6.448654,6.500272,6.489022,6.426574", \ - "6.150819,6.280808,6.371066,6.415883,6.479470,6.459792,6.483322", \ - "6.160977,6.262692,6.359533,6.428359,6.481681,6.395060,6.435937", \ - "6.352937,6.450868,6.532671,6.564694,6.613451,6.656134,6.525629", \ - "6.775990,6.835841,6.894676,6.915055,6.916999,6.965613,6.961258", \ - "7.431445,7.459313,7.454424,7.479116,7.499858,7.467588,7.458575", \ - "8.256839,8.269031,8.287391,8.253911,8.272449,8.237567,8.221338"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.192259,6.327112,6.391822,6.449151,6.500871,6.521745,6.427232", \ - "6.151253,6.281267,6.371549,6.416370,6.480013,6.460519,6.484093", \ - "6.152533,6.263136,6.360011,6.428868,6.482275,6.395686,6.436684", \ - "6.353370,6.451285,6.533121,6.565150,6.613993,6.656814,6.526278", \ - "6.778725,6.836226,6.895094,6.915491,6.917507,6.966198,6.962037", \ - "7.431755,7.459647,7.454660,7.479488,7.500281,7.468072,7.459034", \ - "8.257097,8.269281,8.287671,8.254200,8.272797,8.238043,8.221879"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.192265,6.327116,6.391824,6.449154,6.501020,6.508943,6.427456", \ - "6.151259,6.281270,6.371550,6.416374,6.480015,6.460524,6.484088", \ - "6.152363,6.263141,6.360013,6.428869,6.482272,6.395687,6.436681", \ - "6.353374,6.451291,6.533124,6.565154,6.613992,6.656808,6.526284", \ - "6.778066,6.836231,6.895097,6.915492,6.917507,6.966198,6.962098", \ - "7.431761,7.459652,7.454663,7.479491,7.500262,7.468076,7.459027", \ - "8.257103,8.269286,8.287674,8.254203,8.272800,8.238040,8.221881"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.180036,6.326692,6.392238,6.479271,6.501982,6.486414,6.432088", \ - "6.150572,6.280842,6.372159,6.416663,6.481987,6.461734,6.488979", \ - "6.150890,6.262662,6.359816,6.429156,6.483468,6.398279,6.441545", \ - "6.353295,6.450853,6.532968,6.565451,6.615185,6.657977,6.531129", \ - "6.779415,6.835844,6.894997,6.915855,6.918638,6.968887,6.967251", \ - "7.432126,7.459406,7.454558,7.479934,7.501609,7.470813,7.464265", \ - "8.256751,8.269148,8.287816,8.254766,8.273972,8.240955,8.226824"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("6.192328,6.327177,6.391883,6.449223,6.500867,6.483865,6.427452", \ - "6.151320,6.281330,6.371607,6.416447,6.480090,6.460580,6.484154", \ - "6.152403,6.263205,6.360070,6.428929,6.482318,6.395768,6.436756", \ - "6.353427,6.451359,6.533185,6.565235,6.614054,6.656846,6.526407", \ - "6.773637,6.836295,6.895152,6.915556,6.917565,6.966264,6.962059", \ - "7.431817,7.459704,7.454715,7.479552,7.500350,7.468164,7.459074", \ - "8.257137,8.269335,8.287715,8.254260,8.272857,8.238083,8.221970"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.558346,3.805283,4.001534,4.246351,4.401263,4.454238,4.465985", \ - "3.532554,3.776748,3.974507,4.210883,4.374134,4.427707,4.440282", \ - "3.531793,3.744785,3.969253,4.200855,4.363848,4.420557,4.435953", \ - "3.704701,3.903247,4.077067,4.315847,4.477115,4.528141,4.548016", \ - "3.953291,4.119286,4.301562,4.566372,4.763387,4.816360,4.830007", \ - "4.493412,4.572458,4.680297,4.920280,5.157814,5.299626,5.306840", \ - "5.304378,5.320815,5.377545,5.518393,5.747789,5.902546,5.995136"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.414695,2.657423,2.790571,2.883364,2.902842,3.007982,2.877175", \ - "2.364301,2.622991,2.711597,2.825199,2.825142,2.933635,2.804543", \ - "2.363694,2.606556,2.729575,2.799778,2.816380,2.964098,2.818263", \ - "2.411735,2.629751,2.763257,2.801575,2.919096,2.825593,2.757345", \ - "2.622549,2.787681,2.913029,2.988907,2.892352,2.985885,2.976626", \ - "2.979487,3.197850,3.308139,3.342987,3.357823,3.255042,3.140626", \ - "3.549023,3.754373,3.895883,3.975907,3.969816,3.935061,3.892708"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.558358,3.805293,4.001541,4.246354,4.401263,4.454237,4.465980", \ - "3.532567,3.776758,3.974513,4.210886,4.374133,4.427706,4.440278", \ - "3.531805,3.744794,3.969259,4.200857,4.363847,4.420555,4.435947", \ - "3.704714,3.903256,4.077073,4.315850,4.477115,4.528137,4.548012", \ - "3.953301,4.119295,4.301569,4.566376,4.763387,4.816356,4.829999", \ - "4.493420,4.572465,4.680302,4.920285,5.157815,5.299625,5.306837", \ - "5.304385,5.320821,5.377551,5.518396,5.747789,5.902544,5.995132"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.414702,2.657428,2.790580,2.883367,2.902842,3.007984,2.877168", \ - "2.364306,2.622996,2.711600,2.825202,2.825139,2.933634,2.804534", \ - "2.363850,2.606563,2.729580,2.799781,2.816379,2.964101,2.818257", \ - "2.411741,2.629757,2.763262,2.801577,2.919100,2.825590,2.757336", \ - "2.622555,2.787684,2.913032,2.988911,2.892349,2.985884,2.976623", \ - "2.979489,3.197855,3.308142,3.342989,3.357825,3.255040,3.140618", \ - "3.549028,3.754379,3.895887,3.975910,3.969817,3.935064,3.892711"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.558310,3.805247,4.001502,4.246326,4.401253,4.454238,4.465989", \ - "3.532517,3.776712,3.974475,4.210859,4.374122,4.427706,4.440285", \ - "3.531757,3.744751,3.969220,4.200830,4.363837,4.420558,4.435958", \ - "3.704671,3.903216,4.077038,4.315824,4.477103,4.528142,4.548020", \ - "3.953268,4.119262,4.301538,4.566352,4.763375,4.816362,4.830014", \ - "4.493393,4.572439,4.680278,4.920261,5.157802,5.299623,5.306841", \ - "5.304360,5.320798,5.377528,5.518379,5.747780,5.902544,5.995140"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.414683,2.657403,2.790550,2.883340,2.902827,3.007970,2.877173", \ - "2.364290,2.622971,2.711576,2.825176,2.825128,2.933626,2.804543", \ - "2.363619,2.606537,2.729552,2.799756,2.816365,2.964083,2.818261", \ - "2.411722,2.629733,2.763233,2.801553,2.919077,2.825587,2.757345", \ - "2.622537,2.787665,2.913008,2.988885,2.892340,2.985877,2.976621", \ - "2.979476,3.197832,3.308118,3.342967,3.357806,3.255033,3.140626", \ - "3.549009,3.754355,3.895861,3.975885,3.969798,3.935047,3.892697"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.539203,3.783653,3.980139,4.225391,4.380828,4.434024,4.446619", \ - "3.511885,3.729962,3.952631,4.189283,4.352517,4.406410,4.419315", \ - "3.509399,3.722479,3.947119,4.178912,4.342153,4.398933,4.414407", \ - "3.682533,3.881189,4.055093,4.293806,4.455544,4.506691,4.526639", \ - "3.931561,4.095282,4.292863,4.544541,4.747818,4.795020,4.808704", \ - "4.475463,4.550379,4.657932,4.892521,5.139166,5.276681,5.286202", \ - "5.280378,5.298063,5.354746,5.500293,5.725991,5.882545,5.974043"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.423949,2.634183,2.793392,2.891660,2.956045,3.017535,2.881861", \ - "2.373872,2.634383,2.764821,2.841352,2.922071,2.942319,2.811129", \ - "2.372947,2.614116,2.738442,2.808293,2.905194,2.930184,2.824196", \ - "2.421181,2.642197,2.771974,2.809863,2.925507,2.834227,2.766003", \ - "2.631405,2.803725,2.950601,2.997831,2.900866,3.070297,2.984895", \ - "2.997322,3.185694,3.316578,3.358181,3.366056,3.276647,3.149127", \ - "3.558321,3.762691,3.904167,4.010831,3.933638,3.942710,3.901037"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.030431,4.175899,4.307994,4.466916,4.565041,4.588976,4.503394", \ - "4.027587,4.164427,4.269453,4.455907,4.554108,4.550372,4.581321", \ - "4.037175,4.134484,4.277336,4.458769,4.556299,4.583191,4.519610", \ - "4.134244,4.225198,4.342226,4.493149,4.552208,4.616598,4.669852", \ - "4.419777,4.452065,4.565961,4.681776,4.757105,4.761332,4.704409", \ - "4.749064,4.788356,4.882311,5.003151,5.024128,5.058731,4.979168", \ - "5.210473,5.240811,5.297108,5.392985,5.415702,5.371526,5.404700"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.030362,4.175828,4.307926,4.466815,4.583672,4.579934,4.503177", \ - "4.027517,4.164350,4.269368,4.455805,4.553979,4.550204,4.581109", \ - "4.036884,4.134395,4.277250,4.458667,4.556171,4.583021,4.519400", \ - "4.134171,4.225119,4.342139,4.493047,4.552081,4.616436,4.669644", \ - "4.419707,4.451989,4.565878,4.681679,4.756985,4.761178,4.704213", \ - "4.749006,4.788292,4.882240,5.003067,5.024021,5.058592,4.978985", \ - "5.210434,5.240768,5.297058,5.392922,5.415617,5.371405,5.404532"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.030559,4.176059,4.308196,4.467126,4.579254,4.588427,4.503847", \ - "4.027726,4.164586,4.269625,4.456123,4.554381,4.550728,4.581777", \ - "4.037037,4.134632,4.277510,4.458983,4.556570,4.583535,4.520061", \ - "4.134387,4.225358,4.342405,4.493361,4.552473,4.616947,4.670292", \ - "4.419917,4.452218,4.566132,4.681974,4.757357,4.761658,4.704834", \ - "4.749182,4.788484,4.882455,5.003323,5.024350,5.059032,4.979559", \ - "5.210548,5.240897,5.297205,5.393109,5.415877,5.371777,5.405044"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.919477,4.054153,4.213289,4.370141,4.467948,4.509727,4.408297", \ - "3.932757,4.069769,4.175029,4.361149,4.470878,4.512826,4.488085", \ - "3.921745,4.060854,4.183284,4.364488,4.461589,4.484856,4.427245", \ - "4.039336,4.124873,4.248889,4.399187,4.473664,4.556953,4.572772", \ - "4.326713,4.398726,4.473068,4.588084,4.663127,4.658250,4.612695", \ - "4.656694,4.695901,4.789450,4.909804,4.930264,4.962008,4.888044", \ - "5.117198,5.155479,5.205238,5.259934,5.305078,5.277465,5.268807"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.539177,3.783634,3.980121,4.225382,4.380818,4.434017,4.446598", \ - "3.511859,3.729935,3.952613,4.189269,4.352507,4.406402,4.419297", \ - "3.509373,3.722454,3.947102,4.178899,4.342142,4.398922,4.414382", \ - "3.682505,3.881165,4.055071,4.293804,4.455538,4.506676,4.526619", \ - "3.931536,4.095259,4.292846,4.544523,4.747813,4.795002,4.808673", \ - "4.475443,4.550359,4.657911,4.892508,5.139159,5.276671,5.286190", \ - "5.280362,5.298046,5.354732,5.500276,5.725974,5.882531,5.974024"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.423933,2.634163,2.793380,2.891649,2.956032,3.017523,2.881817", \ - "2.373851,2.634368,2.764808,2.841334,2.922055,2.942297,2.811075", \ - "2.372931,2.614102,2.738429,2.808278,2.905182,2.930168,2.824152", \ - "2.421168,2.642182,2.771963,2.809845,2.925498,2.834194,2.765950", \ - "2.631389,2.803703,2.950588,2.997821,2.900832,3.070285,2.984863", \ - "2.997303,3.185672,3.316558,3.358162,3.366045,3.276619,3.149074", \ - "3.558308,3.762676,3.904151,4.010819,3.933616,3.942703,3.901027"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.539190,3.783644,3.980129,4.225386,4.380821,4.434018,4.446602", \ - "3.511872,3.729948,3.952620,4.189273,4.352509,4.406403,4.419300", \ - "3.509386,3.722465,3.947109,4.178904,4.342145,4.398924,4.414386", \ - "3.682520,3.881176,4.055079,4.293784,4.455540,4.506678,4.526622", \ - "3.931549,4.095270,4.292854,4.544530,4.747815,4.795005,4.808678", \ - "4.475453,4.550368,4.657920,4.892514,5.139161,5.276673,5.286192", \ - "5.280370,5.298054,5.354739,5.500283,5.725979,5.882533,5.974027"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.423940,2.634170,2.793384,2.891654,2.956036,3.017528,2.881827", \ - "2.373859,2.634374,2.764813,2.841339,2.922059,2.942303,2.811087", \ - "2.372939,2.614109,2.738434,2.808284,2.905187,2.930174,2.824162", \ - "2.421175,2.642189,2.771968,2.809851,2.925502,2.834202,2.765961", \ - "2.631396,2.803710,2.950593,2.997826,2.900841,3.070290,2.984871", \ - "2.997311,3.185680,3.316565,3.358167,3.366050,3.276626,3.149085", \ - "3.558314,3.762683,3.904158,4.010824,3.933622,3.942707,3.901031"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.558315,3.805253,4.001505,4.246328,4.401251,4.454236,4.465982", \ - "3.532525,3.776718,3.974478,4.210859,4.374122,4.427704,4.440280", \ - "3.531764,3.744755,3.969224,4.200831,4.363836,4.420555,4.435950", \ - "3.704678,3.903221,4.077040,4.315825,4.477103,4.528137,4.548014", \ - "3.953273,4.119267,4.301542,4.566352,4.763374,4.816356,4.830004", \ - "4.493397,4.572442,4.680281,4.920265,5.157802,5.299622,5.306838", \ - "5.304364,5.320801,5.377531,5.518379,5.747778,5.902541,5.995134"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.414687,2.657407,2.790553,2.883342,2.902824,3.007970,2.877162", \ - "2.364292,2.622974,2.711576,2.825176,2.825122,2.933622,2.804529", \ - "2.363686,2.606541,2.729555,2.799756,2.816361,2.964085,2.818250", \ - "2.411726,2.629736,2.763237,2.801553,2.919079,2.825580,2.757331", \ - "2.622540,2.787665,2.913009,2.988887,2.892333,2.985873,2.976615", \ - "2.979476,3.197834,3.308119,3.342966,3.357807,3.255027,3.140612", \ - "3.549012,3.754358,3.895863,3.975886,3.969798,3.935049,3.892698"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.539210,3.783658,3.980143,4.225393,4.380829,4.434024,4.446623", \ - "3.511893,3.729967,3.952635,4.189285,4.352518,4.406410,4.419318", \ - "3.509406,3.722485,3.947123,4.178914,4.342154,4.398933,4.414410", \ - "3.682541,3.881194,4.055098,4.293808,4.455544,4.506692,4.526641", \ - "3.931568,4.095289,4.292868,4.544545,4.747817,4.795022,4.808709", \ - "4.475468,4.550384,4.657937,4.892524,5.139167,5.276682,5.286203", \ - "5.280382,5.298067,5.354750,5.500297,5.725994,5.882547,5.974046"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("2.423954,2.634188,2.793396,2.891665,2.956049,3.017541,2.881871", \ - "2.373878,2.634388,2.764826,2.841356,2.922075,2.942327,2.811141", \ - "2.372952,2.614120,2.738445,2.808299,2.905198,2.930190,2.824206", \ - "2.421185,2.642202,2.771978,2.809870,2.925510,2.834238,2.766015", \ - "2.631410,2.803731,2.950605,2.997837,2.900876,3.070300,2.984903", \ - "2.997327,3.185700,3.316583,3.358186,3.366061,3.276654,3.149139", \ - "3.558325,3.762695,3.904172,4.010835,3.933646,3.942712,3.901041"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.919528,4.054217,4.213348,4.370214,4.468066,4.457857,4.408432", \ - "3.932815,4.069843,4.175090,4.361241,4.470983,4.512980,4.488287", \ - "3.921801,4.060929,4.183345,4.364573,4.461694,4.484984,4.427436", \ - "4.039387,4.124927,4.248959,4.399268,4.473784,4.557107,4.572932", \ - "4.326762,4.398782,4.473140,4.588148,4.663211,4.658359,4.612914", \ - "4.656734,4.695950,4.789515,4.909879,4.930350,4.962173,4.888234", \ - "5.117220,5.155513,5.205284,5.259982,5.305143,5.277551,5.268916"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.919787,4.054503,4.213657,4.370577,4.468494,4.458702,4.409139", \ - "3.933079,4.070139,4.175395,4.361618,4.471458,4.513567,4.489057", \ - "3.922068,4.061221,4.183654,4.364945,4.462152,4.485570,4.428188", \ - "4.039653,4.125220,4.249283,4.399638,4.474251,4.557699,4.573650", \ - "4.327026,4.399072,4.473451,4.588491,4.663633,4.658891,4.613637", \ - "4.656960,4.696196,4.789788,4.910190,4.930731,4.962696,4.888901", \ - "5.117377,5.155691,5.205484,5.260214,5.305448,5.277976,5.269498"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.030367,4.175838,4.307952,4.466839,4.564920,4.590571,4.503247", \ - "4.027521,4.164357,4.269381,4.455828,4.554017,4.550262,4.581181", \ - "4.036778,4.134403,4.277264,4.458690,4.556210,4.583074,4.519473", \ - "4.134178,4.225129,4.342153,4.493071,4.552111,4.616489,4.669722", \ - "4.419714,4.451998,4.565889,4.681702,4.757023,4.761232,4.704276", \ - "4.749010,4.788297,4.882247,5.003082,5.024052,5.058633,4.979043", \ - "5.210432,5.240766,5.297056,5.392929,5.415640,5.371444,5.404587"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.919742,4.054444,4.213600,4.370504,4.468420,4.509928,4.408991", \ - "3.933024,4.070068,4.175342,4.361526,4.471338,4.513423,4.488850", \ - "3.922016,4.061159,4.183599,4.364857,4.462043,4.485439,4.427996", \ - "4.039607,4.125163,4.249209,4.399555,4.474125,4.557543,4.573502", \ - "4.326979,4.399013,4.473381,4.588425,4.663546,4.658791,4.613421", \ - "4.656916,4.696147,4.789723,4.910113,4.930642,4.962525,4.888705", \ - "5.117351,5.155654,5.205436,5.260164,5.305380,5.277889,5.269384"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.903799,4.200308,4.443688,4.787053,5.147351,5.609769,7.839539", \ - "3.879172,4.171313,4.413072,4.758333,5.116207,5.561627,7.844472", \ - "3.872441,4.165190,4.419105,4.740504,5.110593,5.570362,7.803371", \ - "4.089663,4.308826,4.539505,4.891467,5.238361,5.717727,8.009100", \ - "4.344119,4.582121,4.790650,5.177897,5.555983,6.033233,8.360501", \ - "4.940109,5.069582,5.229424,5.533085,5.953538,6.509040,8.769693", \ - "5.788137,5.864004,5.968259,6.185926,6.587071,7.088078,9.268316"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.929053,6.219325,6.325374,6.452790,6.455362,6.399356,6.604092", \ - "5.948389,6.229710,6.339175,6.476217,6.395403,6.338470,6.550070", \ - "5.928285,6.177856,6.355674,6.458268,6.461515,6.405339,6.423096", \ - "5.938310,6.221865,6.364156,6.434663,6.437268,6.380399,6.301589", \ - "5.927547,6.224325,6.330755,6.402153,6.483944,6.442809,6.401513", \ - "5.981417,6.221238,6.401662,6.473762,6.563980,6.508078,6.549703", \ - "6.122280,6.327112,6.533952,6.547961,6.545089,6.484819,6.627436"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.903817,4.200323,4.443699,4.787062,5.147358,5.609774,7.839545", \ - "3.879191,4.171329,4.413083,4.758342,5.116214,5.561629,7.844482", \ - "3.872459,4.165206,4.419118,4.740513,5.110601,5.570367,7.803378", \ - "4.089682,4.308841,4.539516,4.891477,5.238368,5.717734,8.009106", \ - "4.344135,4.582137,4.790664,5.177909,5.555990,6.033238,8.360505", \ - "4.940123,5.069595,5.229437,5.533095,5.953546,6.509046,8.769697", \ - "5.788148,5.864015,5.968270,6.185935,6.587078,7.088082,9.268327"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.929062,6.219331,6.325377,6.452792,6.455361,6.399350,6.604092", \ - "5.948432,6.229716,6.339178,6.476220,6.395400,6.338461,6.550068", \ - "5.928294,6.177862,6.365111,6.458271,6.461515,6.405334,6.423142", \ - "5.938320,6.221872,6.364161,6.434665,6.437267,6.380393,6.301576", \ - "5.927557,6.224333,6.330759,6.402154,6.483945,6.442808,6.401504", \ - "5.981427,6.221244,6.401667,6.473763,6.563983,6.508075,6.549700", \ - "6.122328,6.327117,6.533957,6.547962,6.545087,6.484812,6.627431"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.903755,4.200263,4.443647,4.787018,5.147326,5.609747,7.839492", \ - "3.879126,4.171269,4.413031,4.758298,5.116182,5.561608,7.844423", \ - "3.872398,4.165147,4.419064,4.740469,5.110567,5.570340,7.803325", \ - "4.089624,4.308786,4.539467,4.891431,5.238334,5.717704,8.009050", \ - "4.344088,4.582088,4.790618,5.177864,5.555955,6.033211,8.360450", \ - "4.940082,5.069553,5.229395,5.533056,5.953512,6.509017,8.769642", \ - "5.788112,5.863979,5.968233,6.185902,6.587047,7.088058,9.268272"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.928996,6.219272,6.325329,6.452757,6.455345,6.399350,6.604080", \ - "5.948103,6.229673,6.339129,6.476183,6.395389,6.338466,6.550061", \ - "5.928229,6.177804,6.355626,6.458233,6.461497,6.405332,6.423065", \ - "5.938249,6.221827,6.364109,6.434629,6.437251,6.380393,6.301590", \ - "5.927491,6.224271,6.330708,6.402120,6.483924,6.442802,6.401509", \ - "5.981361,6.221186,6.401615,6.473729,6.563960,6.508068,6.549695", \ - "6.122265,6.327062,6.533905,6.547929,6.545073,6.484814,6.627428"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.903890,4.200404,4.443794,4.787166,5.147289,5.610090,7.839718", \ - "3.878940,4.171325,4.413182,4.758443,5.116337,5.561962,7.845179", \ - "3.872546,4.165299,4.419228,4.740641,5.110754,5.570704,7.803815", \ - "4.058120,4.308973,4.539655,4.891710,5.238572,5.718224,8.009057", \ - "4.344283,4.582292,4.790847,5.178100,5.556217,6.033709,8.360773", \ - "4.940310,5.069848,5.229630,5.533328,5.953852,6.509769,8.770470", \ - "5.788385,5.864214,5.968526,6.186328,6.587457,7.088556,9.268750"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.929697,6.218843,6.324945,6.452466,6.455041,6.426803,6.603487", \ - "5.922749,6.157964,6.374886,6.475736,6.395105,6.337811,6.549454", \ - "5.927794,6.177272,6.355436,6.457902,6.461367,6.404950,6.414789", \ - "5.937227,6.186697,6.363560,6.434153,6.436802,6.379862,6.300926", \ - "5.927666,6.223691,6.330103,6.401675,6.483467,6.446266,6.400763", \ - "5.982650,6.221086,6.400893,6.473009,6.563203,6.507466,6.548829", \ - "6.109159,6.322215,6.499524,6.546934,6.544337,6.483893,6.624303"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.952410,4.020699,4.107178,4.237664,4.843196,6.552007,6.795520", \ - "3.945110,4.029704,4.079915,4.226153,4.833777,6.534379,6.872802", \ - "3.937372,4.000365,4.086352,4.230280,4.846417,6.545973,6.811127", \ - "4.066040,4.102394,4.168087,4.284106,4.862812,6.641913,6.864768", \ - "4.368898,4.389695,4.411518,4.499038,5.154057,6.815663,7.019629", \ - "4.712967,4.703164,4.712133,4.816366,5.357276,7.037699,7.322222", \ - "5.202010,5.180068,5.165431,5.195617,5.596233,7.508173,7.789102"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.952338,4.020662,4.107097,4.237568,4.843104,6.568837,6.795300", \ - "3.945044,4.029630,4.079835,4.226055,4.833661,6.534220,6.872597", \ - "3.937305,4.000290,4.086270,4.230185,4.846301,6.545815,6.810924", \ - "4.065972,4.102319,4.168005,4.284010,4.862698,6.641767,6.864566", \ - "4.368833,4.389623,4.411440,4.498948,5.153949,6.815514,7.019440", \ - "4.712913,4.703103,4.712066,4.816288,5.357182,7.037566,7.322045", \ - "5.201974,5.180026,5.165383,5.195559,5.596158,7.508583,7.788939"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.952539,4.020868,4.107344,4.237865,4.843437,6.461950,6.796000", \ - "3.945246,4.029859,4.080083,4.226358,4.834033,6.534719,6.873247", \ - "3.937511,4.000520,4.086520,4.230484,4.846670,6.546309,6.811566", \ - "4.066181,4.102549,4.168260,4.284308,4.863066,6.642319,6.865195", \ - "4.369034,4.389845,4.411683,4.499227,5.154290,6.815977,7.020042", \ - "4.713082,4.703288,4.712271,4.816530,5.357482,7.037982,7.322603", \ - "5.202083,5.180150,5.165525,5.195734,5.596393,7.508928,7.789439"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.952463,4.018852,4.107167,4.237683,4.842761,6.496405,6.797939", \ - "3.945092,4.029688,4.079900,4.226144,4.833311,6.534991,6.875201", \ - "3.937351,4.000349,4.086342,4.230266,4.845944,6.547515,6.813491", \ - "4.066017,4.102373,4.168079,4.284086,4.862350,6.639019,6.867136", \ - "4.368869,4.389671,4.411504,4.499009,5.172857,6.691624,7.021959", \ - "4.712938,4.703140,4.712117,4.816351,5.356871,7.037232,7.324457", \ - "5.201969,5.180044,5.165401,5.195546,5.595922,7.428219,7.791206"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.903855,4.200376,4.443765,4.787143,5.147270,5.610066,7.839680", \ - "3.878903,4.171297,4.413153,4.758420,5.116317,5.561925,7.845152", \ - "3.872511,4.165270,4.419202,4.740616,5.110737,5.570677,7.803774", \ - "4.058082,4.308940,4.539623,4.891691,5.238555,5.718203,8.009026", \ - "4.344250,4.582265,4.790816,5.178077,5.556201,6.033681,8.360746", \ - "4.940285,5.069825,5.229607,5.533306,5.953833,6.509746,8.770435", \ - "5.788362,5.864192,5.968507,6.186304,6.587440,7.088529,9.268719"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.929671,6.218823,6.324922,6.452451,6.455019,6.426852,6.603473", \ - "5.922786,6.157934,6.374873,6.475727,6.395073,6.337760,6.549432", \ - "5.927769,6.177246,6.355136,6.457890,6.461347,6.404911,6.414744", \ - "5.937204,6.186673,6.363546,6.434137,6.436778,6.379819,6.300860", \ - "5.927640,6.223673,6.330081,6.401652,6.483450,6.446227,6.400712", \ - "5.982626,6.221058,6.400875,6.472989,6.563191,6.507436,6.548798", \ - "6.109136,6.322186,6.499504,6.546910,6.544306,6.483844,6.624269"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.903874,4.200391,4.443779,4.787154,5.147279,5.610075,7.839695", \ - "3.878926,4.171312,4.413167,4.758431,5.116325,5.561936,7.845165", \ - "3.872530,4.165286,4.419216,4.740628,5.110745,5.570688,7.803790", \ - "4.058102,4.308957,4.539637,4.891702,5.238563,5.718212,8.009039", \ - "4.344268,4.582281,4.790832,5.178089,5.556209,6.033692,8.360758", \ - "4.940299,5.069838,5.229620,5.533318,5.953842,6.509756,8.770449", \ - "5.788375,5.864204,5.968518,6.186315,6.587449,7.088539,9.268734"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.929683,6.218832,6.324930,6.452456,6.455025,6.426795,6.603478", \ - "5.922823,6.157945,6.374879,6.475732,6.395081,6.337770,6.549438", \ - "5.927782,6.177256,6.355143,6.457895,6.461353,6.404920,6.414757", \ - "5.937216,6.186683,6.363553,6.434143,6.436785,6.379829,6.300873", \ - "5.927652,6.223682,6.330089,6.401659,6.483455,6.446236,6.400724", \ - "5.982638,6.221069,6.400882,6.472996,6.563196,6.507444,6.548806", \ - "6.109148,6.322197,6.499512,6.546917,6.544314,6.483854,6.624278"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.903765,4.200273,4.443653,4.787022,5.147329,5.609748,7.839494", \ - "3.879143,4.171278,4.413037,4.758302,5.116184,5.561604,7.844428", \ - "3.872409,4.165156,4.419072,4.740473,5.110571,5.570340,7.803326", \ - "4.089636,4.308795,4.539473,4.891438,5.238338,5.717706,8.009054", \ - "4.344097,4.582098,4.790625,5.177871,5.555959,6.033211,8.360454", \ - "4.940090,5.069561,5.229403,5.533062,5.953516,6.509018,8.769645", \ - "5.788119,5.863985,5.968240,6.185906,6.587051,7.088058,9.268277"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.929001,6.219276,6.325329,6.452757,6.455342,6.399340,6.604079", \ - "5.948116,6.229677,6.339130,6.476185,6.395382,6.338453,6.550057", \ - "5.928234,6.177806,6.365217,6.458236,6.461495,6.405323,6.423067", \ - "5.938255,6.221832,6.364112,6.434629,6.437248,6.380382,6.301571", \ - "5.927496,6.224276,6.330709,6.402119,6.483923,6.442795,6.401496", \ - "5.981367,6.221188,6.401618,6.473728,6.563962,6.508062,6.549689", \ - "6.122290,6.327063,6.533909,6.547928,6.545067,6.484802,6.627420"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.903901,4.200414,4.443803,4.787173,5.147295,5.610097,7.839729", \ - "3.878954,4.171335,4.413191,4.758450,5.116343,5.561972,7.845188", \ - "3.872558,4.165309,4.419237,4.740649,5.110759,5.570712,7.803827", \ - "4.058133,4.308984,4.539665,4.891717,5.238577,5.718230,8.009066", \ - "4.344295,4.582302,4.790857,5.178108,5.556223,6.033717,8.360781", \ - "4.940319,5.069856,5.229638,5.533335,5.953858,6.509776,8.770480", \ - "5.788393,5.864221,5.968533,6.186335,6.587462,7.088563,9.268759"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.929705,6.218849,6.324952,6.452470,6.455047,6.426810,6.603491", \ - "5.922758,6.157972,6.374890,6.475739,6.395113,6.337822,6.549460", \ - "5.927803,6.177280,6.355442,6.457906,6.461372,6.404959,6.414800", \ - "5.937235,6.186705,6.363565,6.434157,6.436808,6.379873,6.300941", \ - "5.927674,6.223698,6.330110,6.401682,6.483472,6.446274,6.400775", \ - "5.982659,6.221094,6.400899,6.473015,6.563206,6.507474,6.548836", \ - "6.109169,6.322224,6.499530,6.546940,6.544345,6.483905,6.624312"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.952509,4.018909,4.107218,4.237744,4.842768,6.462338,6.798149", \ - "3.945142,4.029753,4.079946,4.226224,4.833365,6.535151,6.875509", \ - "3.937399,4.000404,4.086393,4.230338,4.845989,6.547796,6.813780", \ - "4.066056,4.102420,4.168138,4.284154,4.862409,6.638978,6.867367", \ - "4.368912,4.389726,4.411570,4.499062,5.173105,6.691739,7.022267", \ - "4.712970,4.703184,4.712173,4.816419,5.356904,7.037406,7.324725", \ - "5.201987,5.180075,5.165445,5.195578,5.595945,7.428313,7.791389"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.952765,4.019195,4.107522,4.238102,4.843195,6.462953,6.798875", \ - "3.945405,4.030046,4.080251,4.226596,4.833830,6.535731,6.876256", \ - "3.937664,4.000696,4.086700,4.230706,4.846449,6.548358,6.814515", \ - "4.066322,4.102709,4.168458,4.284520,4.862874,6.639605,6.868075", \ - "4.369173,4.390010,4.411881,4.499402,5.173510,6.692262,7.022978", \ - "4.713195,4.703428,4.712442,4.816728,5.357289,7.037920,7.325385", \ - "5.202144,5.180253,5.165645,5.195814,5.596255,7.428735,7.791958"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.952339,4.020654,4.107104,4.237581,4.843102,6.543816,6.795376", \ - "3.945044,4.029632,4.079842,4.226067,4.833677,6.534263,6.872663", \ - "3.937307,4.000293,4.086278,4.230196,4.846319,6.545856,6.810990", \ - "4.065975,4.102324,4.168011,4.284022,4.862713,6.641831,6.864637", \ - "4.368834,4.389625,4.411444,4.498959,5.153964,6.815558,7.019497", \ - "4.712912,4.703103,4.712067,4.816293,5.357191,7.037593,7.322097", \ - "5.201969,5.180020,5.165378,5.195558,5.596163,7.508602,7.788991"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.952714,4.019134,4.107467,4.238033,4.843349,6.477599,6.798622", \ - "3.945350,4.029977,4.080197,4.226508,4.833778,6.535562,6.875916", \ - "3.937612,4.000634,4.086644,4.230629,4.846407,6.548031,6.814195", \ - "4.066276,4.102655,4.168391,4.284448,4.862818,6.639675,6.867804", \ - "4.369126,4.389951,4.411809,4.499346,5.154028,6.692134,7.022639", \ - "4.713159,4.703380,4.712382,4.816655,5.357260,7.037735,7.325087", \ - "5.202125,5.180218,5.165596,5.195782,5.596237,7.428633,7.791752"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFRS_X2 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and active low set, and drive strength X2 - *******************************************************************************************/ - - cell (SDFFRS_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - preset : "!SN"; - clear : "!RN"; - clear_preset_var1 : L; - clear_preset_var2 : L; - } - - area : 8.246000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 162.300860; - - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 155.082400; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & SN & !Q & QN"; - value : 136.154260; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 165.608278; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & SN & !Q & QN"; - value : 146.680138; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 159.150354; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & SN & !Q & QN"; - value : 140.222214; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & !SN & !Q & !QN"; - value : 180.497801; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & SN & !Q & QN"; - value : 163.613461; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & !SN & Q & !QN"; - value : 140.653040; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & SN & !Q & QN"; - value : 140.361980; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & SN & Q & !QN"; - value : 146.034823; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & !SN & Q & !QN"; - value : 151.177818; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & SN & !Q & QN"; - value : 150.887858; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & SN & Q & !QN"; - value : 156.560701; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & !SN & Q & !QN"; - value : 144.720994; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & SN & !Q & QN"; - value : 144.429934; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & SN & Q & !QN"; - value : 150.102777; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & !SN & Q & !QN"; - value : 166.574551; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & SN & !Q & QN"; - value : 169.426257; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & SN & Q & !QN"; - value : 169.963156; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 180.624422; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & SN & !Q & QN"; - value : 163.741182; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 186.013344; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & SN & !Q & QN"; - value : 169.129004; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 173.096869; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & SN & !Q & QN"; - value : 154.168729; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & !SN & !Q & !QN"; - value : 187.603592; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & SN & !Q & QN"; - value : 170.720352; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & !SN & Q & !QN"; - value : 166.701172; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & SN & !Q & QN"; - value : 169.553978; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & SN & Q & !QN"; - value : 170.090877; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & !SN & Q & !QN"; - value : 172.090094; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & SN & !Q & QN"; - value : 174.942900; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & SN & Q & !QN"; - value : 175.478699; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & !SN & Q & !QN"; - value : 158.667509; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & SN & !Q & QN"; - value : 158.376449; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & SN & Q & !QN"; - value : 164.049292; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & !SN & Q & !QN"; - value : 173.680342; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & SN & !Q & QN"; - value : 176.533148; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & SN & Q & !QN"; - value : 177.070047; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 160.336594; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & SN & !Q & QN"; - value : 132.199474; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 170.862472; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & SN & !Q & QN"; - value : 142.724252; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 164.404548; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & SN & !Q & QN"; - value : 136.267428; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & !SN & !Q & !QN"; - value : 167.560195; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & SN & !Q & QN"; - value : 144.551715; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & !SN & Q & !QN"; - value : 163.310334; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & SN & !Q & QN"; - value : 135.886795; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & SN & Q & !QN"; - value : 167.213728; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & !SN & Q & !QN"; - value : 173.835112; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & SN & !Q & QN"; - value : 146.412673; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & SN & Q & !QN"; - value : 177.738506; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & !SN & Q & !QN"; - value : 167.378288; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & SN & !Q & QN"; - value : 139.955299; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & SN & Q & !QN"; - value : 171.281682; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & !SN & Q & !QN"; - value : 170.528435; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & SN & !Q & QN"; - value : 148.240026; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & SN & Q & !QN"; - value : 174.434029; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; - value : 167.687916; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & SN & !Q & QN"; - value : 144.678996; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & !SN & !Q & !QN"; - value : 173.076838; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & SN & !Q & QN"; - value : 150.067918; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & !SN & !Q & !QN"; - value : 178.351063; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & SN & !Q & QN"; - value : 150.212843; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & !SN & !Q & !QN"; - value : 174.667086; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & SN & !Q & QN"; - value : 151.658386; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & !SN & Q & !QN"; - value : 170.656156; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & SN & !Q & QN"; - value : 148.367417; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & SN & Q & !QN"; - value : 174.561761; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & !SN & Q & !QN"; - value : 176.045078; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & SN & !Q & QN"; - value : 153.756339; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & SN & Q & !QN"; - value : 179.949572; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & !SN & Q & !QN"; - value : 181.323703; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & SN & !Q & QN"; - value : 153.901264; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & SN & Q & !QN"; - value : 185.227097; - } - leakage_power () { - when : "CK & D & RN & SE & SI & !SN & Q & !QN"; - value : 177.635326; - } - leakage_power () { - when : "CK & D & RN & SE & SI & SN & !Q & QN"; - value : 155.346697; - } - leakage_power () { - when : "CK & D & RN & SE & SI & SN & Q & !QN"; - value : 181.540920; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.123965; - fall_capacitance : 1.068449; - rise_capacitance : 1.123965; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & !SE & SN"; - sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.021067,-0.008225,-0.002420", \ - "-0.029195,-0.015360,-0.008460", \ - "0.117077,0.130931,0.138271"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.010826,-0.002400,-0.003895", \ - "-0.011573,-0.004451,-0.009900", \ - "0.067419,0.072783,0.058845"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & !SE & SN"; - sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.072350,0.067253,0.081981", \ - "0.089996,0.084594,0.099295", \ - "0.131654,0.126291,0.140232"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.064675,0.050666,0.043671", \ - "0.074877,0.060700,0.053920", \ - "0.081922,0.068074,0.060756"); - } - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.373051,5.343605,5.315240,5.331543,5.475487,5.835423,6.403549"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.247419,4.211448,4.171527,4.182975,4.321618,4.662776,5.212471"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.369028,5.349461,5.316074,5.330320,5.478149,5.830298,6.403529"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.287215,4.251220,4.211028,4.222534,4.362867,4.701999,5.252983"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.373000,5.343183,5.314813,5.331079,5.474960,5.834849,6.402840"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.250925,4.215348,4.175030,4.186444,4.325736,4.666071,5.215975"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.370682,5.347358,5.316900,5.328675,5.477029,5.828561,6.402337"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.286451,4.250080,4.211897,4.223179,4.362633,4.702306,5.253672"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.719155,0.692957,0.683229,0.679691,0.677615,0.676950,0.676474"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.600268,-0.605846,-0.611149,-0.614684,-0.618298,-0.619602,-0.621371"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717773,0.691614,0.681848,0.678328,0.676166,0.675504,0.674999"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.603976,-0.609592,-0.614955,-0.618488,-0.621924,-0.623403,-0.625168"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650802,0.657784,0.659955,0.659391,0.658662,0.657865,0.657725"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423143,-0.488871,-0.545546,-0.567182,-0.579611,-0.586593,-0.592124"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650806,0.657821,0.659956,0.659407,0.658596,0.657798,0.657624"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423459,-0.489224,-0.545953,-0.567585,-0.579853,-0.586991,-0.592517"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.172458,7.148133,7.117432,7.130496,7.277277,7.636338,8.215100"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.193757,5.157685,5.118835,5.130357,5.270070,5.610232,6.162539"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.279239,7.262765,7.232102,7.243550,7.389690,7.751011,8.320895"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.367959,5.333891,5.293398,5.308794,5.446050,5.783844,6.335558"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.249038,7.224816,7.194173,7.207265,7.353056,7.712752,8.291022"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.215584,5.179064,5.139498,5.151062,5.293458,5.635254,6.183078"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.172015,7.147773,7.117217,7.130037,7.276771,7.637367,8.221644"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.197531,5.161559,5.121120,5.133815,5.273708,5.613620,6.166072"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.286740,7.261935,7.231672,7.243092,7.389187,7.743742,8.320610"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.371475,5.340446,5.296849,5.312262,5.449679,5.787024,6.339041"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.248605,7.224368,7.193741,7.206808,7.352556,7.712167,8.289932"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.219037,5.183323,5.142962,5.154526,5.297089,5.638613,6.186392"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717753,0.691588,0.681828,0.678305,0.676153,0.675490,0.674987"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.603603,-0.609216,-0.614574,-0.618110,-0.621572,-0.623032,-0.624804"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717322,0.691161,0.681398,0.677878,0.675069,0.675057,0.673743"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.604590,-0.610206,-0.615571,-0.619108,-0.621906,-0.624035,-0.625811"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717736,0.691568,0.681811,0.678289,0.675466,0.675486,0.674139"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.603967,-0.609576,-0.614926,-0.618463,-0.621258,-0.623381,-0.625149"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650769,0.657792,0.659921,0.659373,0.658548,0.657748,0.657567"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423415,-0.489185,-0.545924,-0.567555,-0.579800,-0.586963,-0.592490"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650805,0.657829,0.659958,0.659409,0.657945,0.657785,0.656804"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423177,-0.488948,-0.545685,-0.567317,-0.578917,-0.586729,-0.592260"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650663,0.657687,0.659817,0.659269,0.657804,0.657646,0.656664"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423555,-0.489324,-0.546061,-0.567695,-0.579294,-0.587106,-0.592636"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.093626,3.069270,3.039142,3.056477,3.207923,3.561329,4.130817"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.562314,1.525912,1.488231,1.505800,1.650607,1.986980,2.535523"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.157035,3.132928,3.103632,3.119291,3.268238,3.619755,4.186307"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.527852,1.491240,1.453598,1.471742,1.618780,1.957605,2.508058"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.090325,3.065869,3.036832,3.054113,3.205528,3.558855,4.124376"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.563701,1.527019,1.488222,1.505803,1.650502,1.987002,2.535483"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.156015,3.131520,3.102654,3.118313,3.267067,3.618553,4.184637"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.532035,1.495469,1.457854,1.476155,1.622818,1.961563,2.511635"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717882,0.691306,0.681577,0.678023,0.675960,0.675284,0.674359"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605067,-0.610627,-0.615934,-0.619508,-0.623087,-0.624557,-0.627311"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717352,0.690827,0.681047,0.677514,0.675335,0.674655,0.673810"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.606138,-0.611746,-0.617128,-0.620703,-0.624062,-0.625756,-0.628239"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.651040,0.657869,0.660094,0.659538,0.658744,0.657966,0.657334"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423443,-0.489419,-0.545993,-0.567476,-0.579997,-0.587270,-0.593402"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.651086,0.657958,0.660139,0.659601,0.658709,0.657927,0.657365"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423522,-0.489540,-0.546184,-0.567662,-0.579991,-0.587452,-0.593344"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.093156,3.068873,3.038863,3.056150,3.207508,3.560881,4.130403"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.561646,1.524979,1.487496,1.503777,1.649084,1.986206,2.534876"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.154804,3.130498,3.101260,3.116954,3.265890,3.617435,4.183456"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.587156,1.550571,1.512883,1.530287,1.677187,2.016590,2.566590"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.091419,3.067107,3.036706,3.054341,3.205670,3.559066,4.127447"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.630692,1.594412,1.557561,1.572085,1.716893,2.055116,2.603957"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.091183,3.065609,3.036550,3.053784,3.205081,3.558406,4.123962"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.561751,1.525029,1.487490,1.503786,1.648980,1.986230,2.534835"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.632774; - fall_capacitance : 2.548903; - rise_capacitance : 2.632774; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.067158,-0.080395,-0.088423", \ - "-0.064785,-0.078077,-0.086087", \ - "-0.001037,-0.021775,-0.034916"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.182549,0.195803,0.203845", \ - "0.237590,0.250587,0.259044", \ - "0.429569,0.442846,0.451023"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.148601,0.176780,0.296784"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.561155,1.561575,1.562754,1.563206,1.561994,1.562383,1.561162"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.218860,-1.223510,-1.228080,-1.232670,-1.227330,-1.231360,-1.230860"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.560427,1.561459,1.562682,1.563140,1.562457,1.562189,1.561661"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.060680,-1.065370,-1.069180,-1.068630,-1.076210,-1.074570,-1.073860"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.560401,1.561441,1.562657,1.563121,1.562420,1.562154,1.561618"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.060730,-1.065420,-1.069240,-1.068700,-1.076240,-1.074630,-1.073930"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.932462,2.892535,2.906758,3.076931,3.412321,3.960880,4.748428"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.120483,-0.149360,-0.159099,-0.047771,0.248173,0.778547,1.552684"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.932503,2.892561,2.907591,3.076963,3.412386,3.960945,4.748508"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.120398,-0.149262,-0.158979,-0.047650,0.248232,0.778670,1.552805"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.932494,2.892556,2.907585,3.076958,3.412376,3.960935,4.748496"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.120411,-0.149277,-0.158998,-0.047668,0.248223,0.778652,1.552787"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.560414,1.561448,1.562670,1.563131,1.562443,1.562176,1.561646"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.060700,-1.065380,-1.069200,-1.068650,-1.076220,-1.074590,-1.073890"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.932453,2.892531,2.907544,3.076925,3.412307,3.960866,4.748411"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.120501,-0.149380,-0.159124,-0.047796,0.248161,0.778522,1.552659"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.541753,1.552072,1.557632,1.558786,1.558966,1.558491,1.557625"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.046570,-1.054740,-1.057490,-1.056120,-1.055220,-1.052280,-1.054370"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.541397,1.551207,1.557165,1.558454,1.558560,1.558128,1.557267"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.023720,-1.028380,-1.030850,-1.032570,-1.032610,-1.030160,-1.031940"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.540880,1.551084,1.556725,1.557921,1.558073,1.557586,1.556745"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.065680,-1.072160,-1.077750,-1.076070,-1.076060,-1.073920,-1.073580"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.540054,1.550388,1.555938,1.557097,1.557252,1.556783,1.555945"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.050820,-1.059000,-1.061770,-1.060400,-1.059450,-1.056560,-1.058580"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.540624,1.550822,1.556468,1.557654,1.557820,1.557332,1.556479"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.066150,-1.072620,-1.078190,-1.076520,-1.076540,-1.074380,-1.074080"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.540645,1.550967,1.556528,1.557681,1.557863,1.557391,1.556528"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.049190,-1.057370,-1.060110,-1.058740,-1.057850,-1.054910,-1.057000"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.541228,1.551548,1.557112,1.558268,1.558454,1.557981,1.557117"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.047640,-1.055820,-1.058560,-1.057200,-1.056310,-1.053370,-1.055460"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.543681,1.550841,1.556256,1.557477,1.557534,1.557101,1.556263"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-1.025650,-1.030820,-1.033340,-1.035620,-1.034950,-1.030950,-1.032850"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.016430; - fall_capacitance : 1.812229; - rise_capacitance : 2.016430; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.015790,-0.007724,-0.009747", \ - "-0.031469,-0.025142,-0.027038", \ - "0.111984,0.117465,0.103978"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.014155,-0.001592,-0.001289", \ - "-0.018027,-0.004770,-0.007932", \ - "0.071843,0.084684,0.071191"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.073737,0.059567,0.071987", \ - "0.092519,0.078332,0.089571", \ - "0.127231,0.114390,0.127886"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.080515,0.075448,0.089838", \ - "0.086740,0.081495,0.096143", \ - "0.087016,0.081541,0.095054"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.212224,2.176397,2.236305,2.446159,2.839546,3.465009,4.352333"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.059169,-0.094288,-0.084905,0.092071,0.497165,1.149841,2.060473"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.212340,2.176288,2.236159,2.446031,2.838705,3.465004,4.351304"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.060155,-0.095309,-0.085982,0.090994,0.496823,1.148825,2.059416"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.018608,5.941538,6.012064,6.367817,7.079915,8.217252,9.837090"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.637559,3.592593,3.586239,3.849554,4.528678,5.687052,7.345307"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.014276,5.942563,6.008212,6.363934,7.076162,8.213543,9.837604"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.675361,3.629372,3.623063,3.886165,4.564837,5.724263,7.381997"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.211096,2.175025,2.234905,2.444778,2.838085,3.463759,4.350846"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.062512,-0.097662,-0.088329,0.088643,0.493887,1.146462,2.057040"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.210566,2.174597,2.234472,2.444346,2.837021,3.463325,4.349627"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.063495,-0.098652,-0.089324,0.087644,0.493495,1.145457,2.056033"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.211048,2.175003,2.234888,2.444760,2.837419,3.463755,4.350024"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.062875,-0.098025,-0.088683,0.088287,0.494143,1.146112,2.056694"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.817751,7.745733,7.812211,8.168142,8.880935,10.024190,11.655990"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.586407,4.540921,4.532955,4.796879,5.476656,6.635869,8.294084"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.924964,7.857524,7.924100,8.279515,8.992563,10.136260,11.760450"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.761595,4.716007,4.707934,4.972207,5.650177,6.808972,8.467925"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.894509,7.822220,7.888845,8.244266,8.957416,10.100680,11.731570"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.606966,4.561979,4.553879,4.816819,5.497384,6.660138,8.313797"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.335050,6.307279,6.412931,6.703530,7.196415,7.931381,8.920963"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.645138,4.611098,4.553989,4.680262,5.063597,5.727083,6.673483"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.335930,6.307310,6.413009,6.703607,7.196147,7.931018,8.920589"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.572308,4.538713,4.481803,4.607774,4.992427,5.646465,6.601204"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.050283,2.020331,2.085362,2.301398,2.698775,3.328740,4.218958"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.034241,0.004098,0.014324,0.182726,0.581211,1.230570,2.143079"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.049966,2.020446,2.085430,2.301486,2.699603,3.328748,4.219956"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.034244,0.004088,0.014288,0.182673,0.580490,1.230498,2.143022"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.283787,7.253854,7.360908,7.649966,8.145108,8.879818,9.871078"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.439582,6.406131,6.348255,6.474123,6.857999,7.512564,8.469387"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.245881,7.215580,7.321301,7.612535,8.105139,8.839773,9.830563"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.489301,6.459304,6.398460,6.524505,6.909524,7.572844,8.521192"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.299819,7.269615,7.375349,7.666563,8.158643,8.894747,9.885103"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.532945,6.498495,6.441528,6.567198,6.950389,7.605030,8.562247"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.050052,2.020409,2.085396,2.301446,2.699555,3.328698,4.219898"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.034298,0.004129,0.014288,0.182687,0.580530,1.230536,2.143045"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.050077,2.020444,2.085429,2.301481,2.698952,3.328734,4.219138"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.034638,0.004366,0.014533,0.182928,0.581408,1.230771,2.143276"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.049833,2.020303,2.085291,2.301341,2.698814,3.328596,4.218999"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.034158,0.003989,0.014148,0.182547,0.581029,1.230393,2.142899"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.210915,2.175250,2.235017,2.444780,2.838377,3.463773,4.350592"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.049777,-0.084580,-0.074927,0.100082,0.506815,1.159378,2.068396"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.212445,2.176412,2.236188,2.445942,2.839409,3.464808,4.351701"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.047257,-0.081911,-0.072479,0.102452,0.510134,1.161809,2.071123"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.730170,3.658770,3.731404,4.093729,4.807249,5.940203,7.555366"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.914456,0.868046,0.865856,1.136653,1.819781,2.977797,4.627327"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.798837,3.727533,3.798671,4.156888,4.866747,5.997785,7.609762"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.884429,0.839179,0.837734,1.111069,1.796710,2.955251,4.605903"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.210504,2.174861,2.234608,2.444377,2.837928,3.463322,4.350176"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.050586,-0.085410,-0.075791,0.099217,0.506042,1.158507,2.067640"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.209821,2.174281,2.234183,2.444098,2.837381,3.463040,4.349735"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.065267,-0.099407,-0.089585,0.087008,0.492346,1.144786,2.054653"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.210195,2.174367,2.234239,2.444196,2.837552,3.463214,4.349866"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.065494,-0.099622,-0.090140,0.086846,0.492085,1.144631,2.054347"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.729766,3.658315,3.731113,4.093012,4.806833,5.939805,7.554957"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.913854,0.865903,0.866114,1.137186,1.822009,2.976109,4.626676"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.798812,3.726123,3.798280,4.154910,4.865017,5.995974,7.608589"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.977279,0.932253,0.930486,1.202185,1.885613,3.039706,4.684189"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.729411,3.658134,3.730689,4.092754,4.806428,5.939366,7.554416"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.021998,0.977542,0.973705,1.244118,1.925275,3.075684,4.718665"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.667702,3.636769,3.741781,4.032592,4.525111,5.255604,6.241534"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.176514,2.154486,2.142602,2.299481,2.702467,3.368891,4.313440"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.631859,3.600975,3.705986,3.997148,4.490691,5.221657,6.208482"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.237312,2.213585,2.202123,2.361112,2.763623,3.425017,4.375140"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.863432; - fall_capacitance : 0.821111; - rise_capacitance : 0.863432; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SE & SN"; - sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.024451,-0.011705,-0.005923", \ - "-0.029395,-0.015609,-0.009357", \ - "0.109840,0.124062,0.130518"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.011421,-0.003003,-0.004628", \ - "-0.011531,-0.004739,-0.010528", \ - "0.056227,0.061563,0.048214"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SE & SN"; - sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.080435,0.075066,0.089311", \ - "0.098168,0.092787,0.107008", \ - "0.142847,0.137511,0.150863"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.066940,0.052891,0.045870", \ - "0.078609,0.064579,0.057716", \ - "0.089161,0.074943,0.068510"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.544346,0.527609,0.520628,0.516944,0.515495,0.514259,0.513240"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.461941,-0.465641,-0.467758,-0.468934,-0.470672,-0.470780,-0.471505"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.544206,0.527504,0.520485,0.516819,0.514622,0.514046,0.512169"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.462926,-0.466664,-0.468838,-0.470010,-0.470910,-0.471844,-0.472561"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.330300,5.316032,5.294100,5.298747,5.397392,5.647737,6.063037"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.780906,3.753240,3.727866,3.732610,3.823121,4.059950,4.451012"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.330497,5.314536,5.292302,5.298952,5.397530,5.647906,6.063202"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.816909,3.791662,3.764660,3.769791,3.861753,4.096877,4.488234"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542949,0.526242,0.519229,0.515561,0.514035,0.512800,0.511754"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.465279,-0.469014,-0.471185,-0.472360,-0.473947,-0.474210,-0.474939"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542515,0.525813,0.518797,0.515131,0.512937,0.512367,0.510493"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466262,-0.470002,-0.472179,-0.473357,-0.474266,-0.475213,-0.475945"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542927,0.526219,0.519211,0.515542,0.513334,0.512796,0.510889"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.465639,-0.469372,-0.471538,-0.472713,-0.473618,-0.474559,-0.475283"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.136028,7.122596,7.099699,7.106017,7.206524,7.458579,7.879836"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.728013,4.701795,4.675023,4.679940,4.773545,5.007947,5.400993"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.242896,7.229142,7.206635,7.212933,7.314892,7.568403,7.985128"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.903150,4.880008,4.849587,4.857301,4.947828,5.180257,5.574358"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.215147,7.199096,7.176462,7.182767,7.281296,7.538443,7.958824"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.748684,4.722119,4.695434,4.700518,4.794946,5.028053,5.421198"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481079,0.488812,0.490833,0.490429,0.490393,0.490608,0.490479"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321468,-0.369981,-0.409927,-0.425423,-0.434727,-0.439383,-0.443355"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481092,0.488852,0.490839,0.490446,0.490327,0.490542,0.490379"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321784,-0.370334,-0.410332,-0.425826,-0.434970,-0.439780,-0.443748"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.329618,5.315315,5.293384,5.297974,5.396590,5.646813,6.059996"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.820872,3.795229,3.767727,3.772569,3.865951,4.100326,4.490890"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.330015,5.313940,5.291672,5.298262,5.396779,5.647074,6.062260"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.821399,3.795019,3.768211,3.773362,3.865335,4.100450,4.491087"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481053,0.488823,0.490805,0.490413,0.490280,0.490492,0.490322"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321740,-0.370296,-0.410303,-0.425797,-0.434917,-0.439752,-0.443722"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481085,0.488857,0.490838,0.490448,0.489609,0.490529,0.489473"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321499,-0.370057,-0.410063,-0.425559,-0.433965,-0.439519,-0.443491"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480938,0.488714,0.490695,0.490308,0.489469,0.490390,0.489333"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321877,-0.370433,-0.410441,-0.425937,-0.434343,-0.439896,-0.443868"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.135390,7.122066,7.101434,7.105351,7.205767,7.457739,7.878880"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.731326,4.705561,4.678588,4.683515,4.776815,5.011049,5.403600"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.242374,7.228543,7.209474,7.212252,7.314148,7.567562,7.984195"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.906751,4.883530,4.856620,4.860868,4.951399,5.183753,5.577099"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.212423,7.198498,7.178114,7.182069,7.280553,7.537608,7.957873"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.752075,4.725665,4.699419,4.704079,4.798519,5.031601,5.423837"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542715,0.525944,0.518979,0.515327,0.513842,0.512630,0.511152"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466369,-0.470046,-0.472241,-0.473537,-0.475258,-0.475256,-0.477021"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.543844,0.527130,0.520112,0.516476,0.514878,0.513658,0.512251"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.463827,-0.467551,-0.469820,-0.471114,-0.472619,-0.472825,-0.474322"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.056133,3.042494,3.020053,3.029803,3.130728,3.375675,3.780415"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.168394,1.140608,1.117490,1.124297,1.218622,1.452198,1.844017"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.116265,3.102812,3.080028,3.089429,3.189819,3.431089,3.833749"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.122612,1.096520,1.071635,1.081479,1.178755,1.413722,1.806492"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542301,0.525555,0.518568,0.514924,0.513390,0.512176,0.510733"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467181,-0.470882,-0.473108,-0.474405,-0.476032,-0.476127,-0.477779"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542234,0.525534,0.518509,0.514850,0.513292,0.512059,0.510648"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466865,-0.470616,-0.472827,-0.474007,-0.475519,-0.475872,-0.477353"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542345,0.525615,0.518622,0.514952,0.513462,0.512235,0.510781"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467101,-0.470829,-0.472991,-0.474170,-0.475805,-0.476024,-0.477657"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.056219,3.042695,3.020068,3.029936,3.130685,3.375628,3.780399"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.166892,1.141164,1.115953,1.124863,1.218691,1.452188,1.844155"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.113272,3.099844,3.077065,3.086463,3.186849,3.428114,3.830758"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.123584,1.097422,1.072536,1.082382,1.179509,1.414630,1.807375"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.052530,3.039005,3.016650,3.026408,3.128267,3.372224,3.776990"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.168604,1.142454,1.117158,1.123978,1.218237,1.451872,1.843790"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.482470,0.490243,0.492223,0.491829,0.491709,0.491925,0.491303"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.318638,-0.367292,-0.407014,-0.422366,-0.431579,-0.436189,-0.441207"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481286,0.489090,0.491040,0.490664,0.490447,0.490658,0.490111"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321351,-0.369992,-0.409841,-0.425194,-0.434212,-0.439020,-0.443797"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.835024; - fall_capacitance : 1.835024; - rise_capacitance : 1.806981; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.053116,-0.067811,-0.075911", \ - "-0.032250,-0.047217,-0.056213", \ - "0.074660,0.052439,0.038760"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.136454,0.147307,0.156613", \ - "0.146123,0.157389,0.166276", \ - "0.212801,0.223663,0.231598"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.156231,0.184768,0.299612"); - } - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.993395,0.998252,1.000588,1.001975,1.002821,1.003357,1.003373"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.595370,-0.602104,-0.617197,-0.624710,-0.628219,-0.629295,-0.628225"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.993402,0.998257,1.000597,1.001983,1.002838,1.003375,1.003394"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.595347,-0.602078,-0.617165,-0.624677,-0.628204,-0.629262,-0.628192"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.993432,0.998240,1.000574,1.001965,1.002803,1.003339,1.003351"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.595140,-0.602129,-0.617228,-0.624742,-0.628234,-0.629327,-0.628257"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.982454,0.990557,0.994846,0.996764,0.997519,0.997947,0.997541"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.701123,-0.704712,-0.708829,-0.709781,-0.709627,-0.707186,-0.706548"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.982492,0.990579,0.994883,0.996795,0.997584,0.998013,0.997622"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.701036,-0.704611,-0.708706,-0.709658,-0.709569,-0.707063,-0.706425"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.982484,0.990575,0.994877,0.996790,0.997574,0.998003,0.997610"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.701049,-0.704626,-0.708724,-0.709677,-0.709578,-0.707081,-0.706443"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.993393,0.998248,1.000586,1.001975,1.002825,1.003362,1.003379"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.595361,-0.602094,-0.617185,-0.624698,-0.628214,-0.629284,-0.628214"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.982446,0.990552,0.994839,0.996758,0.997506,0.997934,0.997525"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.701141,-0.704733,-0.708854,-0.709807,-0.709639,-0.707211,-0.706573"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.003121,0.999661,0.999096,1.000647,1.001580,1.002549,1.001795"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.697740,-0.700512,-0.704173,-0.708749,-0.705620,-0.707480,-0.708025"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.002593,0.999133,0.998572,1.000122,1.001064,1.002035,1.001278"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.698897,-0.701667,-0.705322,-0.709900,-0.706788,-0.708635,-0.709201"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.000918,1.002267,0.999251,1.001988,1.001767,1.002718,1.001997"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.686126,-0.689740,-0.693101,-0.697544,-0.694253,-0.696688,-0.696964"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.000076,0.999017,1.000074,1.000011,1.000896,1.001863,1.001142"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.698704,-0.702138,-0.705844,-0.710396,-0.707181,-0.709121,-0.709574"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.003225,1.002159,1.000816,1.001928,1.001633,1.002592,1.001841"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.687639,-0.690514,-0.693847,-0.698181,-0.694830,-0.696927,-0.697873"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.003085,0.999596,1.000665,1.000595,1.001507,1.002472,1.001726"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.697717,-0.700500,-0.704185,-0.708738,-0.705580,-0.707464,-0.707990"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.002591,0.999132,0.998568,1.000121,1.001059,1.002029,1.001276"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.698874,-0.701646,-0.705306,-0.709885,-0.706762,-0.708620,-0.709172"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.003180,1.002133,1.000772,1.001891,1.001556,1.002513,1.001790"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.687745,-0.690636,-0.693995,-0.698329,-0.694900,-0.697075,-0.697924"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.938213; - fall_capacitance : 0.844573; - rise_capacitance : 0.938213; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN & SN"; - sdf_cond : "RN_AND_SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.064968,0.076008,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.044518,0.048357,0.198733"); - } - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.388054,7.358343,7.369916,7.548288,7.919499,8.514179,9.375411"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.040599,8.020639,7.996282,8.099521,8.416704,9.019916,9.929101"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.939917,3.912686,3.923939,4.096151,4.459782,5.033114,5.869406"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.000770,3.983163,3.975168,4.092113,4.415356,5.009386,5.904624"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.388060,7.358347,7.369924,7.548293,7.919516,8.514196,9.374997"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.040624,8.020664,7.996315,8.099557,8.416719,9.019948,9.929133"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.942115,3.914878,3.926123,4.098325,4.462586,5.035345,5.872597"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.691059,3.673110,3.664927,3.782211,4.104908,4.702317,5.592710"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.388049,7.358338,7.369912,7.548284,7.919479,8.514162,9.375386"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.040580,8.020618,7.996247,8.099494,8.416686,9.019876,9.929064"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.941983,3.914748,3.925975,4.098173,4.462468,5.035292,5.872547"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.691096,3.673137,3.664944,3.782218,4.104952,4.702267,5.592684"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.896035,5.865825,5.877954,6.053931,6.417347,6.998464,7.842992"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.933131,4.915838,4.907237,5.034780,5.378181,5.999878,6.920028"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.339291,6.311783,6.325067,6.508850,6.883949,7.479241,8.335062"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("21.756030,21.737060,21.734170,21.869470,22.221990,22.854790,23.795880"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.335702,7.306238,7.317355,7.498697,7.876932,8.482466,9.359508"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.095230,11.074080,11.031720,11.124960,11.446420,12.065540,13.010380"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.944231,3.915297,3.928051,4.101497,4.460598,5.035405,5.872474"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.700240,3.682402,3.673980,3.791199,4.114677,4.711279,5.601784"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.451671,7.417947,7.428308,7.610404,7.987672,8.593472,9.469880"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.335704,7.306237,7.317359,7.498699,7.876944,8.482482,9.359529"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.095260,11.074110,11.031750,11.124990,11.446430,12.065570,13.010410"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.944237,3.915301,3.928059,4.101504,4.460597,5.035422,5.872473"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.700262,3.682424,3.674011,3.791230,4.114710,4.711311,5.601816"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.451684,7.417966,7.428335,7.610433,7.987682,8.593505,9.469893"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.335454,7.305993,7.317113,7.498458,7.876709,8.482365,9.359461"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.095220,11.074070,11.031680,11.124930,11.446390,12.065490,13.010330"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.944030,3.915150,3.927884,4.101367,4.460470,5.035370,5.872466"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.700296,3.682450,3.674023,3.791121,4.114722,4.711259,5.601790"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.451436,7.417710,7.428075,7.610181,7.987460,8.593366,9.469839"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.072980,4.043407,4.057212,4.232017,4.595289,5.176218,6.017319"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.563814,3.544651,3.532832,3.650470,3.983006,4.582290,5.483038"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.469646,7.439036,7.451350,7.629992,8.006091,8.592389,9.445705"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.073098,4.043295,4.056447,4.232454,4.593841,5.175481,6.016451"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.567906,3.550463,3.540805,3.656911,3.989239,4.591244,5.486497"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.896072,5.865844,5.877618,6.053953,6.417415,6.998519,7.843073"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.933213,4.915945,4.907365,5.034911,5.378243,6.000003,6.920154"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.339290,6.311814,6.325109,6.509294,6.884025,7.479624,8.335301"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("21.755700,21.736920,21.734270,21.869570,22.222030,22.854900,23.795740"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.895048,5.865551,5.877437,6.053185,6.416719,6.997984,7.841865"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.967773,4.946446,4.939464,5.066070,5.410818,6.031876,6.951996"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.339282,6.311811,6.325104,6.509293,6.884015,7.479614,8.335287"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("21.755700,21.736900,21.734250,21.869550,22.222020,22.854890,23.795720"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.385795,7.356109,7.367642,7.547546,7.917803,8.512016,9.372196"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.131524,8.109915,8.085432,8.189724,8.510776,9.111570,10.022400"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.941984,3.914746,3.925975,4.098171,4.462490,5.035314,5.872575"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.691125,3.673170,3.664990,3.782259,4.104974,4.702310,5.592722"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & !SN & !Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.896026,5.865819,5.877946,6.053924,6.417333,6.998450,7.842976"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.933114,4.915818,4.907210,5.034755,5.378169,5.999853,6.920003"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.339284,6.311785,6.325059,6.508844,6.883936,7.479228,8.335055"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("21.756010,21.736830,21.734140,21.869440,22.221980,22.854770,23.795860"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.072960,4.043427,4.057246,4.232044,4.595351,5.176295,6.017407"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.563911,3.544747,3.532964,3.650599,3.983061,4.583614,5.483160"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.462894,7.426615,7.441996,7.624761,7.998320,8.586001,9.439541"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.073122,4.043315,4.056480,4.232481,4.593837,5.175548,6.016450"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.567884,3.550572,3.540936,3.657034,3.989362,4.591364,5.486619"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.072952,4.043423,4.057240,4.232039,4.595341,5.176284,6.017395"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.563898,3.544731,3.532946,3.650580,3.983052,4.583595,5.483142"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.462869,7.426591,7.441969,7.624733,7.998303,8.585972,9.439526"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.073115,4.043311,4.056474,4.232475,4.593837,5.175537,6.016449"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.567871,3.550557,3.540917,3.657015,3.989343,4.591347,5.486600"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.335473,7.306009,7.317134,7.498479,7.876711,8.482393,9.359487"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.095250,11.074100,11.031720,11.124970,11.446410,12.065540,13.010370"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.944032,3.915147,3.927884,4.101367,4.460469,5.035393,5.872465"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.700325,3.682483,3.674068,3.791162,4.114767,4.711302,5.601828"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.451477,7.417755,7.428132,7.610236,7.987491,8.593412,9.469857"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.072970,4.043401,4.057204,4.232009,4.595276,5.176204,6.017303"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.563797,3.544630,3.532806,3.650445,3.982994,4.582263,5.483013"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.462691,7.427235,7.439694,7.624579,7.998274,8.585860,9.439470"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.073089,4.043289,4.056439,4.232446,4.593840,5.175467,6.016450"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.567889,3.550442,3.540778,3.656886,3.989214,4.591219,5.486472"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.107379,0.110828,0.113984,0.119612,0.129694,0.148430,0.185061", \ - "0.108866,0.112314,0.115474,0.121100,0.131180,0.149920,0.186556", \ - "0.113931,0.117379,0.120537,0.126163,0.136246,0.154983,0.191612", \ - "0.119617,0.123068,0.126219,0.131846,0.141928,0.160666,0.197304", \ - "0.123829,0.127272,0.130424,0.136052,0.146132,0.164874,0.201496", \ - "0.126555,0.130003,0.133111,0.138771,0.148820,0.167551,0.204178", \ - "0.127450,0.130896,0.134048,0.139645,0.149703,0.168427,0.205047"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.114319,0.119216,0.123870,0.132872,0.150846,0.186995,0.259544", \ - "0.115795,0.120692,0.125348,0.134353,0.152326,0.188477,0.261019", \ - "0.120954,0.125852,0.130508,0.139514,0.157486,0.193637,0.266180", \ - "0.126911,0.131806,0.136461,0.145470,0.163443,0.199593,0.272135", \ - "0.131550,0.136447,0.141102,0.150113,0.168083,0.204232,0.276773", \ - "0.134635,0.139531,0.144186,0.153196,0.171174,0.207321,0.279863", \ - "0.135719,0.140616,0.145269,0.154274,0.172249,0.208420,0.280960"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005708,0.007570,0.009363,0.012793,0.019808,0.034729,0.066114", \ - "0.005711,0.007572,0.009363,0.012791,0.019812,0.034728,0.066119", \ - "0.005709,0.007569,0.009361,0.012794,0.019809,0.034730,0.066117", \ - "0.005707,0.007568,0.009364,0.012794,0.019812,0.034729,0.066116", \ - "0.005712,0.007568,0.009360,0.012794,0.019812,0.034727,0.066116", \ - "0.005712,0.007571,0.009365,0.012792,0.019809,0.034722,0.066107", \ - "0.005714,0.007571,0.009364,0.012795,0.019813,0.034728,0.066127"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006225,0.009878,0.013750,0.021649,0.038266,0.072553,0.141569", \ - "0.006225,0.009878,0.013750,0.021648,0.038265,0.072553,0.141573", \ - "0.006227,0.009878,0.013752,0.021648,0.038265,0.072551,0.141572", \ - "0.006229,0.009878,0.013750,0.021648,0.038267,0.072552,0.141573", \ - "0.006225,0.009876,0.013750,0.021648,0.038266,0.072553,0.141573", \ - "0.006225,0.009877,0.013753,0.021646,0.038267,0.072551,0.141570", \ - "0.006225,0.009878,0.013750,0.021648,0.038267,0.072549,0.141572"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023120,0.027220,0.030801,0.036787,0.047088,0.065949,0.102666", \ - "0.024675,0.028771,0.032352,0.038339,0.048640,0.067507,0.104220", \ - "0.030977,0.035044,0.038613,0.044604,0.054914,0.073787,0.110503", \ - "0.042052,0.046361,0.050100,0.056240,0.066619,0.085484,0.122163", \ - "0.053519,0.058355,0.062536,0.069300,0.080308,0.099514,0.136134", \ - "0.065431,0.070747,0.075393,0.082857,0.094670,0.114419,0.151238", \ - "0.078061,0.083843,0.088943,0.097156,0.109986,0.130664,0.167778"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024833,0.030623,0.036023,0.045810,0.064331,0.100757,0.173370", \ - "0.026071,0.031860,0.037257,0.047043,0.065564,0.101992,0.174609", \ - "0.031103,0.036863,0.042232,0.051979,0.070464,0.106899,0.179533", \ - "0.038837,0.044764,0.050188,0.059944,0.078342,0.114671,0.187271", \ - "0.045335,0.051776,0.057438,0.067260,0.085619,0.121901,0.194374", \ - "0.050445,0.057447,0.063646,0.073851,0.092191,0.128271,0.200713", \ - "0.054111,0.061592,0.068425,0.079468,0.098137,0.134119,0.206360"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004858,0.006696,0.008571,0.012165,0.019385,0.034481,0.065931", \ - "0.004859,0.006696,0.008573,0.012169,0.019391,0.034480,0.065926", \ - "0.004877,0.006724,0.008601,0.012185,0.019395,0.034487,0.065926", \ - "0.006178,0.007804,0.009475,0.012757,0.019679,0.034578,0.065939", \ - "0.008220,0.009838,0.011469,0.014601,0.021055,0.035210,0.066025", \ - "0.010435,0.012094,0.013773,0.016837,0.022912,0.036354,0.066548", \ - "0.012918,0.014628,0.016378,0.019522,0.025382,0.038054,0.067232"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005981,0.009613,0.013510,0.021504,0.038229,0.072534,0.141630", \ - "0.005977,0.009613,0.013513,0.021504,0.038220,0.072553,0.141630", \ - "0.005985,0.009627,0.013526,0.021514,0.038240,0.072548,0.141619", \ - "0.006928,0.010310,0.014045,0.021800,0.038298,0.072552,0.141637", \ - "0.008501,0.011739,0.015103,0.022425,0.038681,0.072684,0.141617", \ - "0.010396,0.013755,0.016971,0.023596,0.039085,0.072943,0.141771", \ - "0.012675,0.016109,0.019515,0.025702,0.040128,0.073284,0.141933"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023116,0.027218,0.030797,0.036783,0.047083,0.065943,0.102661", \ - "0.024673,0.028768,0.032349,0.038335,0.048636,0.067505,0.104217", \ - "0.030974,0.035042,0.038610,0.044603,0.054911,0.073782,0.110501", \ - "0.042049,0.046362,0.050098,0.056239,0.066614,0.085478,0.122159", \ - "0.053515,0.058351,0.062536,0.069298,0.080301,0.099512,0.136138", \ - "0.065427,0.070745,0.075390,0.082853,0.094670,0.114417,0.151232", \ - "0.078055,0.083835,0.088933,0.097152,0.109985,0.130654,0.167770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004860,0.006695,0.008570,0.012169,0.019384,0.034480,0.066001", \ - "0.004858,0.006696,0.008575,0.012170,0.019389,0.034480,0.065997", \ - "0.004877,0.006727,0.008596,0.012183,0.019396,0.034487,0.065999", \ - "0.006179,0.007799,0.009471,0.012758,0.019678,0.034572,0.066014", \ - "0.008222,0.009839,0.011472,0.014597,0.021050,0.035212,0.066100", \ - "0.010437,0.012093,0.013773,0.016836,0.022901,0.036353,0.066598", \ - "0.012921,0.014627,0.016375,0.019522,0.025381,0.038055,0.067268"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023120,0.027220,0.030801,0.036787,0.047088,0.065949,0.102666", \ - "0.024675,0.028771,0.032352,0.038339,0.048640,0.067507,0.104220", \ - "0.030977,0.035044,0.038613,0.044604,0.054914,0.073787,0.110503", \ - "0.042052,0.046361,0.050100,0.056240,0.066619,0.085484,0.122163", \ - "0.053519,0.058355,0.062536,0.069300,0.080308,0.099514,0.136134", \ - "0.065431,0.070747,0.075393,0.082857,0.094670,0.114419,0.151238", \ - "0.078061,0.083843,0.088943,0.097156,0.109986,0.130664,0.167778"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024833,0.030623,0.036023,0.045810,0.064331,0.100757,0.173370", \ - "0.026071,0.031860,0.037257,0.047043,0.065564,0.101992,0.174609", \ - "0.031103,0.036863,0.042232,0.051979,0.070464,0.106899,0.179533", \ - "0.038837,0.044764,0.050188,0.059944,0.078342,0.114671,0.187271", \ - "0.045335,0.051776,0.057438,0.067260,0.085619,0.121901,0.194374", \ - "0.050445,0.057447,0.063646,0.073851,0.092191,0.128271,0.200713", \ - "0.054111,0.061592,0.068425,0.079468,0.098137,0.134119,0.206360"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004858,0.006696,0.008571,0.012165,0.019385,0.034481,0.065931", \ - "0.004859,0.006696,0.008573,0.012169,0.019391,0.034480,0.065926", \ - "0.004877,0.006724,0.008601,0.012184,0.019395,0.034487,0.065926", \ - "0.006178,0.007804,0.009475,0.012757,0.019679,0.034578,0.065939", \ - "0.008220,0.009838,0.011469,0.014601,0.021055,0.035210,0.066025", \ - "0.010435,0.012094,0.013773,0.016837,0.022912,0.036354,0.066548", \ - "0.012918,0.014628,0.016378,0.019522,0.025382,0.038054,0.067232"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005981,0.009613,0.013510,0.021504,0.038229,0.072534,0.141630", \ - "0.005977,0.009613,0.013513,0.021504,0.038220,0.072553,0.141630", \ - "0.005985,0.009627,0.013526,0.021514,0.038240,0.072548,0.141619", \ - "0.006928,0.010310,0.014045,0.021800,0.038298,0.072552,0.141637", \ - "0.008501,0.011739,0.015103,0.022425,0.038681,0.072684,0.141617", \ - "0.010396,0.013755,0.016971,0.023596,0.039085,0.072943,0.141771", \ - "0.012675,0.016109,0.019515,0.025702,0.040128,0.073284,0.141933"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023116,0.027218,0.030797,0.036783,0.047083,0.065943,0.102661", \ - "0.024673,0.028768,0.032349,0.038335,0.048636,0.067505,0.104217", \ - "0.030974,0.035042,0.038610,0.044603,0.054911,0.073782,0.110501", \ - "0.042049,0.046362,0.050098,0.056239,0.066614,0.085478,0.122159", \ - "0.053515,0.058351,0.062536,0.069298,0.080301,0.099512,0.136138", \ - "0.065427,0.070745,0.075390,0.082853,0.094670,0.114417,0.151232", \ - "0.078055,0.083835,0.088933,0.097152,0.109985,0.130654,0.167770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004860,0.006695,0.008570,0.012169,0.019384,0.034480,0.065999", \ - "0.004858,0.006696,0.008575,0.012170,0.019389,0.034480,0.065997", \ - "0.004877,0.006727,0.008596,0.012183,0.019396,0.034487,0.065999", \ - "0.006179,0.007799,0.009471,0.012758,0.019678,0.034572,0.066014", \ - "0.008222,0.009839,0.011472,0.014597,0.021050,0.035212,0.066100", \ - "0.010437,0.012093,0.013773,0.016836,0.022901,0.036353,0.066598", \ - "0.012921,0.014627,0.016375,0.019522,0.025381,0.038055,0.067268"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023120,0.027220,0.030801,0.036787,0.047088,0.065949,0.102666", \ - "0.024675,0.028771,0.032352,0.038339,0.048640,0.067507,0.104220", \ - "0.030977,0.035044,0.038613,0.044604,0.054914,0.073787,0.110503", \ - "0.042052,0.046361,0.050100,0.056240,0.066619,0.085484,0.122163", \ - "0.053519,0.058355,0.062536,0.069300,0.080308,0.099514,0.136134", \ - "0.065431,0.070747,0.075393,0.082857,0.094670,0.114419,0.151238", \ - "0.078061,0.083843,0.088943,0.097156,0.109986,0.130664,0.167778"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024833,0.030623,0.036023,0.045810,0.064331,0.100757,0.173370", \ - "0.026071,0.031860,0.037257,0.047043,0.065564,0.101992,0.174609", \ - "0.031104,0.036863,0.042232,0.051979,0.070464,0.106899,0.179533", \ - "0.038837,0.044764,0.050188,0.059944,0.078342,0.114669,0.187271", \ - "0.045335,0.051776,0.057438,0.067260,0.085619,0.121901,0.194374", \ - "0.050445,0.057447,0.063646,0.073851,0.092191,0.128271,0.200713", \ - "0.054111,0.061592,0.068425,0.079468,0.098137,0.134119,0.206360"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004858,0.006696,0.008571,0.012165,0.019385,0.034481,0.065931", \ - "0.004859,0.006696,0.008573,0.012169,0.019391,0.034480,0.065926", \ - "0.004877,0.006724,0.008601,0.012185,0.019395,0.034487,0.065926", \ - "0.006178,0.007804,0.009475,0.012757,0.019679,0.034578,0.065939", \ - "0.008220,0.009838,0.011469,0.014601,0.021055,0.035210,0.066025", \ - "0.010435,0.012094,0.013773,0.016837,0.022912,0.036354,0.066548", \ - "0.012918,0.014628,0.016378,0.019522,0.025382,0.038054,0.067232"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005981,0.009613,0.013510,0.021504,0.038229,0.072534,0.141630", \ - "0.005977,0.009613,0.013513,0.021504,0.038220,0.072553,0.141630", \ - "0.005983,0.009627,0.013526,0.021514,0.038240,0.072548,0.141619", \ - "0.006928,0.010310,0.014045,0.021800,0.038298,0.072545,0.141637", \ - "0.008501,0.011739,0.015103,0.022425,0.038681,0.072684,0.141617", \ - "0.010396,0.013755,0.016971,0.023596,0.039085,0.072943,0.141771", \ - "0.012675,0.016109,0.019515,0.025702,0.040128,0.073284,0.141933"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023116,0.027218,0.030797,0.036783,0.047083,0.065943,0.102661", \ - "0.024673,0.028768,0.032349,0.038335,0.048636,0.067505,0.104217", \ - "0.030974,0.035042,0.038610,0.044603,0.054911,0.073782,0.110501", \ - "0.042049,0.046362,0.050098,0.056239,0.066614,0.085478,0.122159", \ - "0.053515,0.058351,0.062536,0.069298,0.080301,0.099512,0.136138", \ - "0.065427,0.070745,0.075390,0.082853,0.094670,0.114417,0.151232", \ - "0.078055,0.083835,0.088933,0.097152,0.109985,0.130654,0.167770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004860,0.006695,0.008570,0.012169,0.019384,0.034480,0.066001", \ - "0.004858,0.006696,0.008575,0.012170,0.019389,0.034480,0.065997", \ - "0.004877,0.006727,0.008596,0.012183,0.019396,0.034487,0.065999", \ - "0.006179,0.007799,0.009471,0.012758,0.019678,0.034572,0.066014", \ - "0.008222,0.009839,0.011472,0.014597,0.021050,0.035212,0.066100", \ - "0.010437,0.012093,0.013773,0.016836,0.022901,0.036353,0.066598", \ - "0.012921,0.014627,0.016375,0.019522,0.025381,0.038055,0.067268"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023133,0.027233,0.030814,0.036802,0.047101,0.065960,0.102675", \ - "0.024687,0.028783,0.032365,0.038353,0.048656,0.067517,0.104229", \ - "0.030989,0.035057,0.038626,0.044620,0.054929,0.073797,0.110515", \ - "0.042068,0.046379,0.050118,0.056258,0.066638,0.085500,0.122181", \ - "0.053541,0.058380,0.062565,0.069325,0.080333,0.099538,0.136158", \ - "0.065466,0.070783,0.075432,0.082892,0.094696,0.114464,0.151276", \ - "0.078107,0.083882,0.088981,0.097206,0.110028,0.130695,0.167822"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024857,0.030648,0.036047,0.045835,0.064354,0.100780,0.173394", \ - "0.026096,0.031884,0.037281,0.047067,0.065589,0.102021,0.174633", \ - "0.031130,0.036888,0.042257,0.052004,0.070490,0.106926,0.179565", \ - "0.038872,0.044802,0.050223,0.059981,0.078376,0.114703,0.187304", \ - "0.045377,0.051818,0.057481,0.067304,0.085666,0.121941,0.194420", \ - "0.050490,0.057494,0.063696,0.073900,0.092245,0.128318,0.200759", \ - "0.054150,0.061636,0.068468,0.079513,0.098185,0.134171,0.206418"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004860,0.006697,0.008571,0.012166,0.019386,0.034485,0.065936", \ - "0.004860,0.006697,0.008572,0.012169,0.019386,0.034483,0.065928", \ - "0.004879,0.006725,0.008602,0.012187,0.019396,0.034489,0.065926", \ - "0.006179,0.007806,0.009474,0.012759,0.019676,0.034577,0.065939", \ - "0.008221,0.009839,0.011469,0.014595,0.021060,0.035209,0.066023", \ - "0.010439,0.012095,0.013770,0.016838,0.022905,0.036357,0.066542", \ - "0.012923,0.014631,0.016380,0.019522,0.025389,0.038051,0.067230"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005983,0.009615,0.013515,0.021505,0.038221,0.072542,0.141631", \ - "0.005978,0.009614,0.013514,0.021501,0.038224,0.072554,0.141630", \ - "0.005985,0.009627,0.013527,0.021515,0.038239,0.072533,0.141609", \ - "0.006926,0.010309,0.014045,0.021800,0.038302,0.072546,0.141637", \ - "0.008502,0.011736,0.015105,0.022425,0.038681,0.072684,0.141618", \ - "0.010398,0.013756,0.016975,0.023594,0.039084,0.072942,0.141768", \ - "0.012678,0.016114,0.019517,0.025699,0.040129,0.073276,0.141935"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023130,0.027232,0.030810,0.036799,0.047098,0.065958,0.102672", \ - "0.024685,0.028782,0.032362,0.038352,0.048653,0.067518,0.104227", \ - "0.030987,0.035054,0.038621,0.044615,0.054924,0.073791,0.110509", \ - "0.042063,0.046376,0.050112,0.056251,0.066638,0.085507,0.122191", \ - "0.053534,0.058377,0.062561,0.069320,0.080325,0.099547,0.136181", \ - "0.065456,0.070779,0.075427,0.082885,0.094686,0.114468,0.151294", \ - "0.078091,0.083876,0.088978,0.097204,0.110028,0.130706,0.167851"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004860,0.006697,0.008570,0.012169,0.019392,0.034480,0.066000", \ - "0.004861,0.006696,0.008572,0.012167,0.019386,0.034479,0.065995", \ - "0.004877,0.006731,0.008597,0.012182,0.019397,0.034489,0.065998", \ - "0.006177,0.007800,0.009471,0.012758,0.019672,0.034569,0.066014", \ - "0.008220,0.009836,0.011470,0.014597,0.021041,0.035212,0.066099", \ - "0.010435,0.012093,0.013770,0.016835,0.022891,0.036351,0.066599", \ - "0.012923,0.014624,0.016374,0.019514,0.025377,0.038048,0.067263"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023133,0.027233,0.030814,0.036802,0.047101,0.065960,0.102675", \ - "0.024687,0.028783,0.032365,0.038353,0.048656,0.067517,0.104229", \ - "0.030989,0.035057,0.038626,0.044620,0.054929,0.073797,0.110515", \ - "0.042068,0.046379,0.050118,0.056258,0.066638,0.085500,0.122181", \ - "0.053541,0.058380,0.062565,0.069325,0.080333,0.099538,0.136158", \ - "0.065466,0.070783,0.075432,0.082892,0.094696,0.114464,0.151276", \ - "0.078107,0.083882,0.088981,0.097206,0.110028,0.130695,0.167822"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024857,0.030648,0.036047,0.045835,0.064354,0.100780,0.173394", \ - "0.026096,0.031884,0.037281,0.047067,0.065589,0.102021,0.174633", \ - "0.031130,0.036888,0.042257,0.052004,0.070490,0.106926,0.179565", \ - "0.038872,0.044802,0.050223,0.059981,0.078376,0.114703,0.187304", \ - "0.045377,0.051818,0.057481,0.067304,0.085666,0.121941,0.194420", \ - "0.050490,0.057494,0.063696,0.073900,0.092245,0.128318,0.200759", \ - "0.054150,0.061636,0.068468,0.079513,0.098185,0.134171,0.206418"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004860,0.006697,0.008571,0.012166,0.019386,0.034485,0.065936", \ - "0.004860,0.006697,0.008572,0.012169,0.019386,0.034483,0.065928", \ - "0.004879,0.006725,0.008602,0.012187,0.019396,0.034489,0.065926", \ - "0.006179,0.007806,0.009474,0.012759,0.019676,0.034577,0.065939", \ - "0.008221,0.009839,0.011469,0.014595,0.021060,0.035209,0.066023", \ - "0.010439,0.012095,0.013770,0.016838,0.022905,0.036357,0.066542", \ - "0.012923,0.014631,0.016380,0.019522,0.025389,0.038051,0.067230"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005983,0.009615,0.013515,0.021505,0.038221,0.072542,0.141631", \ - "0.005978,0.009614,0.013514,0.021501,0.038224,0.072554,0.141630", \ - "0.005985,0.009627,0.013527,0.021515,0.038239,0.072533,0.141609", \ - "0.006926,0.010309,0.014045,0.021800,0.038302,0.072546,0.141637", \ - "0.008502,0.011736,0.015105,0.022425,0.038681,0.072684,0.141618", \ - "0.010398,0.013756,0.016975,0.023594,0.039084,0.072942,0.141768", \ - "0.012678,0.016114,0.019517,0.025699,0.040129,0.073276,0.141935"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023130,0.027232,0.030810,0.036799,0.047098,0.065958,0.102672", \ - "0.024685,0.028782,0.032362,0.038352,0.048653,0.067518,0.104227", \ - "0.030987,0.035054,0.038621,0.044615,0.054924,0.073791,0.110509", \ - "0.042063,0.046376,0.050112,0.056251,0.066638,0.085507,0.122191", \ - "0.053534,0.058377,0.062561,0.069320,0.080325,0.099547,0.136181", \ - "0.065456,0.070779,0.075427,0.082885,0.094686,0.114468,0.151294", \ - "0.078091,0.083876,0.088978,0.097204,0.110028,0.130704,0.167851"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004860,0.006697,0.008570,0.012169,0.019392,0.034480,0.066000", \ - "0.004861,0.006696,0.008572,0.012167,0.019386,0.034479,0.065995", \ - "0.004877,0.006731,0.008597,0.012182,0.019397,0.034489,0.065998", \ - "0.006177,0.007800,0.009471,0.012758,0.019672,0.034569,0.066014", \ - "0.008220,0.009836,0.011470,0.014597,0.021041,0.035212,0.066099", \ - "0.010435,0.012093,0.013770,0.016835,0.022891,0.036351,0.066597", \ - "0.012923,0.014624,0.016374,0.019514,0.025377,0.038048,0.067263"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023133,0.027233,0.030814,0.036802,0.047101,0.065960,0.102675", \ - "0.024687,0.028783,0.032365,0.038353,0.048656,0.067517,0.104229", \ - "0.030989,0.035057,0.038626,0.044620,0.054929,0.073797,0.110515", \ - "0.042068,0.046379,0.050118,0.056258,0.066638,0.085500,0.122181", \ - "0.053541,0.058380,0.062565,0.069325,0.080333,0.099538,0.136158", \ - "0.065466,0.070783,0.075432,0.082892,0.094696,0.114464,0.151276", \ - "0.078107,0.083882,0.088981,0.097206,0.110028,0.130695,0.167822"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024857,0.030648,0.036047,0.045835,0.064354,0.100780,0.173394", \ - "0.026096,0.031884,0.037281,0.047067,0.065589,0.102021,0.174633", \ - "0.031130,0.036888,0.042257,0.052004,0.070490,0.106926,0.179565", \ - "0.038872,0.044802,0.050223,0.059981,0.078376,0.114703,0.187304", \ - "0.045377,0.051818,0.057481,0.067304,0.085666,0.121941,0.194420", \ - "0.050490,0.057494,0.063696,0.073900,0.092245,0.128318,0.200759", \ - "0.054150,0.061636,0.068468,0.079513,0.098185,0.134171,0.206418"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004860,0.006697,0.008571,0.012166,0.019386,0.034485,0.065936", \ - "0.004860,0.006697,0.008572,0.012169,0.019386,0.034483,0.065928", \ - "0.004879,0.006725,0.008602,0.012187,0.019396,0.034489,0.065926", \ - "0.006179,0.007806,0.009474,0.012759,0.019676,0.034577,0.065939", \ - "0.008221,0.009839,0.011469,0.014595,0.021060,0.035209,0.066023", \ - "0.010439,0.012095,0.013770,0.016838,0.022905,0.036357,0.066542", \ - "0.012923,0.014631,0.016380,0.019522,0.025389,0.038051,0.067230"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005983,0.009615,0.013515,0.021505,0.038221,0.072542,0.141631", \ - "0.005978,0.009614,0.013514,0.021501,0.038224,0.072554,0.141630", \ - "0.005985,0.009627,0.013527,0.021515,0.038239,0.072533,0.141609", \ - "0.006926,0.010309,0.014045,0.021800,0.038302,0.072546,0.141637", \ - "0.008502,0.011736,0.015105,0.022425,0.038681,0.072684,0.141618", \ - "0.010398,0.013756,0.016975,0.023594,0.039084,0.072942,0.141768", \ - "0.012678,0.016114,0.019517,0.025699,0.040129,0.073276,0.141935"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023130,0.027232,0.030810,0.036799,0.047098,0.065958,0.102672", \ - "0.024685,0.028782,0.032362,0.038352,0.048653,0.067518,0.104227", \ - "0.030987,0.035054,0.038621,0.044615,0.054924,0.073791,0.110509", \ - "0.042063,0.046376,0.050112,0.056251,0.066638,0.085507,0.122191", \ - "0.053534,0.058377,0.062561,0.069320,0.080325,0.099547,0.136181", \ - "0.065456,0.070779,0.075427,0.082885,0.094686,0.114468,0.151294", \ - "0.078091,0.083876,0.088978,0.097204,0.110028,0.130704,0.167851"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004860,0.006697,0.008570,0.012169,0.019392,0.034479,0.066000", \ - "0.004861,0.006696,0.008572,0.012167,0.019386,0.034479,0.065995", \ - "0.004877,0.006731,0.008597,0.012182,0.019397,0.034489,0.065998", \ - "0.006177,0.007800,0.009471,0.012758,0.019672,0.034569,0.066014", \ - "0.008220,0.009836,0.011470,0.014597,0.021041,0.035212,0.066099", \ - "0.010435,0.012093,0.013770,0.016835,0.022891,0.036351,0.066599", \ - "0.012923,0.014624,0.016374,0.019514,0.025377,0.038048,0.067263"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023120,0.027220,0.030801,0.036787,0.047088,0.065949,0.102666", \ - "0.024675,0.028771,0.032352,0.038339,0.048640,0.067507,0.104220", \ - "0.030977,0.035044,0.038613,0.044604,0.054914,0.073787,0.110503", \ - "0.042052,0.046361,0.050100,0.056240,0.066619,0.085484,0.122163", \ - "0.053519,0.058355,0.062536,0.069300,0.080308,0.099514,0.136134", \ - "0.065431,0.070747,0.075393,0.082857,0.094670,0.114419,0.151238", \ - "0.078061,0.083843,0.088943,0.097156,0.109986,0.130664,0.167778"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024833,0.030623,0.036023,0.045810,0.064331,0.100757,0.173370", \ - "0.026071,0.031860,0.037257,0.047043,0.065564,0.101992,0.174609", \ - "0.031104,0.036863,0.042232,0.051979,0.070464,0.106899,0.179533", \ - "0.038837,0.044764,0.050188,0.059944,0.078342,0.114669,0.187271", \ - "0.045335,0.051776,0.057438,0.067260,0.085619,0.121901,0.194374", \ - "0.050445,0.057447,0.063646,0.073851,0.092191,0.128271,0.200713", \ - "0.054111,0.061592,0.068425,0.079468,0.098137,0.134119,0.206360"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004858,0.006696,0.008571,0.012165,0.019385,0.034481,0.065931", \ - "0.004859,0.006696,0.008573,0.012169,0.019391,0.034480,0.065926", \ - "0.004877,0.006724,0.008601,0.012185,0.019395,0.034487,0.065926", \ - "0.006178,0.007804,0.009475,0.012757,0.019679,0.034578,0.065939", \ - "0.008220,0.009838,0.011469,0.014601,0.021055,0.035210,0.066025", \ - "0.010435,0.012094,0.013773,0.016837,0.022912,0.036354,0.066537", \ - "0.012918,0.014628,0.016378,0.019522,0.025382,0.038054,0.067232"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005981,0.009613,0.013510,0.021504,0.038229,0.072534,0.141630", \ - "0.005977,0.009613,0.013513,0.021504,0.038220,0.072553,0.141630", \ - "0.005983,0.009627,0.013526,0.021514,0.038240,0.072548,0.141619", \ - "0.006928,0.010310,0.014045,0.021800,0.038298,0.072545,0.141637", \ - "0.008501,0.011739,0.015103,0.022425,0.038681,0.072684,0.141617", \ - "0.010396,0.013755,0.016971,0.023596,0.039085,0.072943,0.141771", \ - "0.012675,0.016109,0.019515,0.025702,0.040128,0.073284,0.141933"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023116,0.027218,0.030797,0.036783,0.047083,0.065944,0.102661", \ - "0.024673,0.028768,0.032349,0.038335,0.048636,0.067505,0.104217", \ - "0.030974,0.035042,0.038610,0.044603,0.054911,0.073782,0.110501", \ - "0.042049,0.046362,0.050098,0.056239,0.066614,0.085478,0.122159", \ - "0.053515,0.058351,0.062536,0.069298,0.080301,0.099512,0.136138", \ - "0.065427,0.070745,0.075390,0.082853,0.094670,0.114417,0.151232", \ - "0.078055,0.083835,0.088933,0.097152,0.109985,0.130654,0.167770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004860,0.006695,0.008570,0.012169,0.019384,0.034480,0.066001", \ - "0.004858,0.006696,0.008575,0.012170,0.019389,0.034480,0.065997", \ - "0.004877,0.006727,0.008596,0.012183,0.019396,0.034487,0.065999", \ - "0.006179,0.007799,0.009471,0.012758,0.019678,0.034572,0.066014", \ - "0.008222,0.009839,0.011472,0.014597,0.021050,0.035212,0.066100", \ - "0.010437,0.012093,0.013773,0.016836,0.022901,0.036353,0.066598", \ - "0.012921,0.014627,0.016375,0.019522,0.025381,0.038055,0.067268"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023133,0.027233,0.030814,0.036802,0.047101,0.065960,0.102675", \ - "0.024687,0.028783,0.032365,0.038353,0.048656,0.067517,0.104229", \ - "0.030989,0.035057,0.038626,0.044620,0.054929,0.073797,0.110515", \ - "0.042068,0.046379,0.050118,0.056258,0.066638,0.085500,0.122181", \ - "0.053541,0.058380,0.062565,0.069325,0.080333,0.099538,0.136158", \ - "0.065466,0.070783,0.075432,0.082892,0.094696,0.114464,0.151276", \ - "0.078107,0.083882,0.088981,0.097206,0.110028,0.130695,0.167822"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024857,0.030648,0.036047,0.045835,0.064354,0.100780,0.173394", \ - "0.026096,0.031884,0.037281,0.047067,0.065589,0.102021,0.174633", \ - "0.031130,0.036888,0.042257,0.052004,0.070490,0.106926,0.179565", \ - "0.038872,0.044802,0.050223,0.059981,0.078376,0.114703,0.187304", \ - "0.045377,0.051818,0.057481,0.067304,0.085666,0.121941,0.194420", \ - "0.050490,0.057494,0.063696,0.073900,0.092245,0.128318,0.200759", \ - "0.054150,0.061636,0.068468,0.079513,0.098185,0.134171,0.206418"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004860,0.006697,0.008571,0.012166,0.019386,0.034485,0.065936", \ - "0.004860,0.006697,0.008572,0.012169,0.019386,0.034483,0.065928", \ - "0.004879,0.006725,0.008602,0.012187,0.019396,0.034489,0.065926", \ - "0.006179,0.007806,0.009474,0.012759,0.019676,0.034577,0.065939", \ - "0.008221,0.009839,0.011469,0.014595,0.021060,0.035209,0.066023", \ - "0.010439,0.012095,0.013770,0.016838,0.022905,0.036357,0.066542", \ - "0.012923,0.014631,0.016380,0.019522,0.025389,0.038051,0.067230"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005983,0.009615,0.013515,0.021505,0.038221,0.072542,0.141631", \ - "0.005978,0.009614,0.013514,0.021501,0.038224,0.072554,0.141630", \ - "0.005985,0.009627,0.013527,0.021515,0.038239,0.072533,0.141609", \ - "0.006926,0.010309,0.014045,0.021800,0.038302,0.072546,0.141637", \ - "0.008502,0.011736,0.015105,0.022425,0.038681,0.072684,0.141618", \ - "0.010398,0.013756,0.016975,0.023594,0.039084,0.072942,0.141768", \ - "0.012678,0.016114,0.019517,0.025699,0.040129,0.073276,0.141935"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023130,0.027232,0.030810,0.036799,0.047098,0.065958,0.102672", \ - "0.024685,0.028782,0.032362,0.038352,0.048653,0.067518,0.104227", \ - "0.030987,0.035054,0.038621,0.044615,0.054924,0.073791,0.110509", \ - "0.042063,0.046376,0.050112,0.056251,0.066638,0.085507,0.122191", \ - "0.053534,0.058377,0.062561,0.069320,0.080325,0.099547,0.136181", \ - "0.065456,0.070779,0.075427,0.082885,0.094686,0.114468,0.151294", \ - "0.078091,0.083876,0.088978,0.097204,0.110028,0.130704,0.167851"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004860,0.006697,0.008570,0.012169,0.019392,0.034480,0.066000", \ - "0.004861,0.006696,0.008572,0.012167,0.019386,0.034479,0.065995", \ - "0.004877,0.006731,0.008597,0.012182,0.019397,0.034489,0.065998", \ - "0.006177,0.007800,0.009471,0.012758,0.019672,0.034569,0.066014", \ - "0.008220,0.009836,0.011470,0.014597,0.021041,0.035212,0.066099", \ - "0.010435,0.012093,0.013770,0.016835,0.022891,0.036351,0.066599", \ - "0.012923,0.014624,0.016374,0.019514,0.025377,0.038048,0.067263"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023133,0.027231,0.030812,0.036800,0.047098,0.065959,0.102680", \ - "0.024687,0.028785,0.032364,0.038352,0.048653,0.067514,0.104232", \ - "0.030989,0.035057,0.038627,0.044620,0.054929,0.073797,0.110526", \ - "0.042068,0.046381,0.050118,0.056258,0.066638,0.085497,0.122191", \ - "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ - "0.065475,0.070793,0.075437,0.082897,0.094700,0.114461,0.151275", \ - "0.078102,0.083877,0.088976,0.097199,0.110033,0.130699,0.167810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024834,0.030617,0.036013,0.045795,0.064312,0.100748,0.173391", \ - "0.026070,0.031854,0.037248,0.047029,0.065551,0.101988,0.174623", \ - "0.031106,0.036860,0.042223,0.051967,0.070458,0.106896,0.179560", \ - "0.038843,0.044765,0.050186,0.059936,0.078327,0.114666,0.187288", \ - "0.045335,0.051774,0.057434,0.067253,0.085609,0.121886,0.194394", \ - "0.050447,0.057445,0.063639,0.073841,0.092180,0.128259,0.200700", \ - "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004859,0.006696,0.008574,0.012171,0.019385,0.034484,0.065950", \ - "0.004860,0.006698,0.008574,0.012172,0.019386,0.034485,0.065944", \ - "0.004879,0.006725,0.008602,0.012186,0.019397,0.034487,0.065953", \ - "0.006179,0.007800,0.009475,0.012759,0.019676,0.034576,0.065957", \ - "0.008223,0.009839,0.011469,0.014598,0.021058,0.035206,0.066051", \ - "0.010435,0.012093,0.013772,0.016838,0.022904,0.036357,0.066539", \ - "0.012923,0.014630,0.016381,0.019524,0.025382,0.038052,0.067271"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005972,0.009603,0.013503,0.021496,0.038230,0.072588,0.141671", \ - "0.005968,0.009603,0.013502,0.021492,0.038223,0.072573,0.141674", \ - "0.005976,0.009616,0.013516,0.021506,0.038228,0.072604,0.141681", \ - "0.006922,0.010301,0.014037,0.021794,0.038294,0.072580,0.141656", \ - "0.008497,0.011734,0.015096,0.022422,0.038678,0.072710,0.141643", \ - "0.010396,0.013752,0.016965,0.023586,0.039080,0.072980,0.141754", \ - "0.012672,0.016110,0.019514,0.025697,0.040119,0.073307,0.142002"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023131,0.027233,0.030812,0.036804,0.047106,0.065963,0.102677", \ - "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ - "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ - "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ - "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ - "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ - "0.078087,0.083872,0.088973,0.097197,0.110029,0.130658,0.167770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034471,0.065941", \ - "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ - "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065942", \ - "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ - "0.008222,0.009840,0.011473,0.014597,0.021044,0.035206,0.066094", \ - "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ - "0.012928,0.014634,0.016379,0.019523,0.025388,0.038009,0.067416"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023133,0.027231,0.030812,0.036800,0.047098,0.065959,0.102680", \ - "0.024687,0.028785,0.032364,0.038352,0.048653,0.067514,0.104232", \ - "0.030989,0.035057,0.038627,0.044620,0.054929,0.073797,0.110526", \ - "0.042068,0.046381,0.050118,0.056258,0.066638,0.085498,0.122191", \ - "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ - "0.065475,0.070793,0.075437,0.082897,0.094700,0.114461,0.151275", \ - "0.078102,0.083877,0.088976,0.097199,0.110033,0.130699,0.167810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024834,0.030617,0.036013,0.045795,0.064313,0.100748,0.173391", \ - "0.026070,0.031854,0.037248,0.047030,0.065551,0.101988,0.174623", \ - "0.031106,0.036860,0.042223,0.051967,0.070458,0.106896,0.179560", \ - "0.038843,0.044765,0.050186,0.059936,0.078328,0.114666,0.187288", \ - "0.045335,0.051774,0.057434,0.067253,0.085609,0.121886,0.194394", \ - "0.050447,0.057445,0.063639,0.073841,0.092180,0.128259,0.200700", \ - "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004859,0.006696,0.008574,0.012171,0.019385,0.034484,0.065950", \ - "0.004860,0.006698,0.008574,0.012172,0.019386,0.034485,0.065944", \ - "0.004879,0.006725,0.008602,0.012186,0.019397,0.034488,0.065953", \ - "0.006179,0.007800,0.009475,0.012759,0.019676,0.034576,0.065957", \ - "0.008223,0.009839,0.011469,0.014598,0.021058,0.035206,0.066051", \ - "0.010435,0.012093,0.013772,0.016838,0.022904,0.036357,0.066539", \ - "0.012923,0.014630,0.016381,0.019523,0.025381,0.038052,0.067271"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005972,0.009603,0.013503,0.021496,0.038230,0.072589,0.141671", \ - "0.005968,0.009603,0.013502,0.021492,0.038222,0.072573,0.141674", \ - "0.005976,0.009616,0.013516,0.021505,0.038228,0.072603,0.141681", \ - "0.006922,0.010301,0.014037,0.021794,0.038294,0.072581,0.141656", \ - "0.008497,0.011734,0.015096,0.022421,0.038678,0.072709,0.141643", \ - "0.010396,0.013752,0.016965,0.023586,0.039080,0.072980,0.141754", \ - "0.012672,0.016110,0.019514,0.025697,0.040119,0.073307,0.142002"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023131,0.027233,0.030812,0.036804,0.047106,0.065963,0.102677", \ - "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ - "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ - "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ - "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ - "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ - "0.078087,0.083872,0.088973,0.097197,0.110029,0.130658,0.167770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034472,0.065941", \ - "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ - "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065942", \ - "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ - "0.008222,0.009840,0.011473,0.014597,0.021044,0.035210,0.066094", \ - "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ - "0.012928,0.014634,0.016379,0.019523,0.025388,0.038009,0.067416"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023133,0.027231,0.030812,0.036800,0.047098,0.065959,0.102680", \ - "0.024687,0.028785,0.032364,0.038352,0.048653,0.067514,0.104232", \ - "0.030989,0.035057,0.038627,0.044620,0.054929,0.073797,0.110526", \ - "0.042068,0.046381,0.050118,0.056258,0.066638,0.085497,0.122191", \ - "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ - "0.065475,0.070793,0.075437,0.082897,0.094700,0.114461,0.151275", \ - "0.078102,0.083877,0.088976,0.097199,0.110033,0.130699,0.167810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024834,0.030617,0.036013,0.045795,0.064312,0.100748,0.173391", \ - "0.026070,0.031854,0.037248,0.047029,0.065551,0.101988,0.174623", \ - "0.031106,0.036860,0.042224,0.051967,0.070458,0.106896,0.179560", \ - "0.038843,0.044765,0.050186,0.059936,0.078327,0.114666,0.187288", \ - "0.045335,0.051774,0.057434,0.067253,0.085609,0.121886,0.194394", \ - "0.050447,0.057445,0.063639,0.073841,0.092180,0.128259,0.200700", \ - "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004859,0.006696,0.008574,0.012171,0.019385,0.034484,0.065950", \ - "0.004860,0.006698,0.008574,0.012172,0.019386,0.034485,0.065944", \ - "0.004879,0.006725,0.008602,0.012186,0.019397,0.034487,0.065953", \ - "0.006179,0.007800,0.009475,0.012759,0.019676,0.034576,0.065957", \ - "0.008223,0.009839,0.011469,0.014598,0.021058,0.035206,0.066051", \ - "0.010435,0.012093,0.013772,0.016838,0.022904,0.036357,0.066539", \ - "0.012923,0.014630,0.016381,0.019524,0.025382,0.038052,0.067271"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005972,0.009603,0.013503,0.021496,0.038230,0.072588,0.141671", \ - "0.005968,0.009603,0.013502,0.021492,0.038223,0.072573,0.141674", \ - "0.005976,0.009616,0.013515,0.021506,0.038228,0.072604,0.141681", \ - "0.006922,0.010301,0.014037,0.021794,0.038294,0.072580,0.141656", \ - "0.008497,0.011734,0.015096,0.022422,0.038678,0.072710,0.141643", \ - "0.010396,0.013752,0.016965,0.023586,0.039080,0.072980,0.141754", \ - "0.012672,0.016110,0.019514,0.025697,0.040119,0.073307,0.142002"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023131,0.027233,0.030812,0.036804,0.047106,0.065962,0.102677", \ - "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ - "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ - "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ - "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ - "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ - "0.078087,0.083872,0.088973,0.097197,0.110029,0.130658,0.167770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034472,0.065941", \ - "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ - "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065941", \ - "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ - "0.008222,0.009840,0.011473,0.014597,0.021044,0.035210,0.066094", \ - "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ - "0.012928,0.014634,0.016379,0.019523,0.025388,0.038008,0.067416"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023133,0.027231,0.030812,0.036800,0.047098,0.065959,0.102680", \ - "0.024687,0.028785,0.032364,0.038352,0.048653,0.067514,0.104232", \ - "0.030989,0.035057,0.038627,0.044620,0.054929,0.073797,0.110526", \ - "0.042068,0.046381,0.050118,0.056258,0.066638,0.085497,0.122191", \ - "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ - "0.065475,0.070793,0.075437,0.082897,0.094700,0.114461,0.151275", \ - "0.078102,0.083877,0.088976,0.097199,0.110033,0.130699,0.167810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024834,0.030617,0.036013,0.045795,0.064312,0.100748,0.173391", \ - "0.026070,0.031854,0.037248,0.047029,0.065551,0.101988,0.174623", \ - "0.031106,0.036860,0.042223,0.051967,0.070458,0.106896,0.179560", \ - "0.038843,0.044765,0.050186,0.059936,0.078327,0.114666,0.187288", \ - "0.045335,0.051774,0.057434,0.067253,0.085609,0.121886,0.194394", \ - "0.050447,0.057445,0.063639,0.073841,0.092180,0.128259,0.200700", \ - "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004859,0.006696,0.008574,0.012171,0.019385,0.034484,0.065950", \ - "0.004860,0.006698,0.008574,0.012172,0.019386,0.034485,0.065944", \ - "0.004879,0.006725,0.008602,0.012186,0.019397,0.034487,0.065953", \ - "0.006179,0.007800,0.009475,0.012759,0.019676,0.034576,0.065957", \ - "0.008223,0.009839,0.011469,0.014598,0.021058,0.035206,0.066051", \ - "0.010435,0.012093,0.013772,0.016838,0.022904,0.036357,0.066539", \ - "0.012923,0.014630,0.016381,0.019524,0.025382,0.038052,0.067271"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005972,0.009603,0.013503,0.021496,0.038230,0.072588,0.141671", \ - "0.005968,0.009603,0.013502,0.021492,0.038223,0.072573,0.141674", \ - "0.005976,0.009616,0.013516,0.021506,0.038228,0.072604,0.141681", \ - "0.006922,0.010301,0.014037,0.021794,0.038294,0.072580,0.141656", \ - "0.008497,0.011734,0.015096,0.022422,0.038678,0.072710,0.141643", \ - "0.010396,0.013752,0.016965,0.023586,0.039080,0.072980,0.141754", \ - "0.012672,0.016110,0.019514,0.025697,0.040119,0.073307,0.142002"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023131,0.027233,0.030812,0.036804,0.047106,0.065962,0.102677", \ - "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ - "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ - "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ - "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ - "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ - "0.078087,0.083872,0.088973,0.097197,0.110029,0.130659,0.167772"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034472,0.065941", \ - "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ - "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065942", \ - "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ - "0.008222,0.009840,0.011473,0.014597,0.021044,0.035206,0.066094", \ - "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ - "0.012928,0.014634,0.016379,0.019523,0.025388,0.038008,0.067416"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023133,0.027231,0.030812,0.036800,0.047099,0.065958,0.102680", \ - "0.024687,0.028785,0.032364,0.038352,0.048653,0.067515,0.104232", \ - "0.030989,0.035057,0.038627,0.044620,0.054929,0.073797,0.110526", \ - "0.042068,0.046381,0.050118,0.056258,0.066638,0.085498,0.122191", \ - "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ - "0.065475,0.070793,0.075437,0.082898,0.094699,0.114461,0.151275", \ - "0.078102,0.083877,0.088976,0.097198,0.110033,0.130699,0.167810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024834,0.030617,0.036013,0.045796,0.064313,0.100748,0.173391", \ - "0.026070,0.031854,0.037248,0.047030,0.065552,0.101989,0.174623", \ - "0.031106,0.036860,0.042224,0.051967,0.070457,0.106896,0.179560", \ - "0.038843,0.044765,0.050186,0.059936,0.078329,0.114664,0.187288", \ - "0.045335,0.051774,0.057434,0.067253,0.085610,0.121885,0.194394", \ - "0.050447,0.057445,0.063639,0.073841,0.092179,0.128259,0.200700", \ - "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004859,0.006696,0.008574,0.012170,0.019385,0.034484,0.065950", \ - "0.004860,0.006698,0.008574,0.012172,0.019386,0.034484,0.065944", \ - "0.004879,0.006725,0.008602,0.012186,0.019396,0.034488,0.065953", \ - "0.006179,0.007800,0.009475,0.012757,0.019676,0.034576,0.065957", \ - "0.008223,0.009839,0.011469,0.014600,0.021057,0.035207,0.066051", \ - "0.010435,0.012093,0.013772,0.016838,0.022905,0.036357,0.066539", \ - "0.012923,0.014630,0.016381,0.019523,0.025378,0.038052,0.067271"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005972,0.009603,0.013503,0.021496,0.038228,0.072593,0.141671", \ - "0.005968,0.009603,0.013502,0.021492,0.038219,0.072572,0.141674", \ - "0.005976,0.009616,0.013517,0.021503,0.038230,0.072603,0.141681", \ - "0.006922,0.010301,0.014037,0.021794,0.038295,0.072584,0.141656", \ - "0.008497,0.011734,0.015096,0.022421,0.038677,0.072711,0.141643", \ - "0.010396,0.013752,0.016965,0.023586,0.039079,0.072980,0.141754", \ - "0.012672,0.016110,0.019514,0.025698,0.040121,0.073307,0.142002"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023131,0.027233,0.030812,0.036804,0.047106,0.065963,0.102677", \ - "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ - "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ - "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ - "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ - "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ - "0.078087,0.083872,0.088973,0.097197,0.110029,0.130658,0.167770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034472,0.065941", \ - "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ - "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065942", \ - "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ - "0.008222,0.009840,0.011473,0.014597,0.021044,0.035206,0.066094", \ - "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ - "0.012928,0.014634,0.016379,0.019523,0.025388,0.038009,0.067416"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023133,0.027231,0.030812,0.036800,0.047098,0.065960,0.102680", \ - "0.024687,0.028785,0.032364,0.038352,0.048653,0.067514,0.104232", \ - "0.030989,0.035057,0.038627,0.044619,0.054929,0.073797,0.110526", \ - "0.042068,0.046381,0.050118,0.056258,0.066638,0.085498,0.122191", \ - "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ - "0.065475,0.070793,0.075437,0.082896,0.094701,0.114461,0.151275", \ - "0.078102,0.083877,0.088976,0.097199,0.110033,0.130699,0.167810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024834,0.030617,0.036013,0.045795,0.064312,0.100748,0.173391", \ - "0.026070,0.031854,0.037248,0.047029,0.065551,0.101986,0.174623", \ - "0.031106,0.036860,0.042223,0.051966,0.070459,0.106896,0.179560", \ - "0.038843,0.044765,0.050186,0.059935,0.078326,0.114668,0.187288", \ - "0.045335,0.051774,0.057434,0.067253,0.085610,0.121887,0.194394", \ - "0.050447,0.057445,0.063639,0.073841,0.092179,0.128259,0.200700", \ - "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004859,0.006696,0.008574,0.012170,0.019384,0.034484,0.065950", \ - "0.004860,0.006698,0.008574,0.012171,0.019385,0.034485,0.065944", \ - "0.004879,0.006725,0.008602,0.012185,0.019397,0.034486,0.065953", \ - "0.006179,0.007800,0.009475,0.012761,0.019676,0.034576,0.065957", \ - "0.008223,0.009839,0.011469,0.014597,0.021058,0.035208,0.066051", \ - "0.010435,0.012093,0.013772,0.016838,0.022903,0.036357,0.066539", \ - "0.012923,0.014630,0.016381,0.019524,0.025386,0.038052,0.067271"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005972,0.009603,0.013503,0.021495,0.038230,0.072586,0.141671", \ - "0.005968,0.009603,0.013502,0.021492,0.038225,0.072573,0.141674", \ - "0.005976,0.009616,0.013516,0.021507,0.038226,0.072604,0.141681", \ - "0.006922,0.010301,0.014037,0.021794,0.038294,0.072577,0.141656", \ - "0.008497,0.011734,0.015096,0.022421,0.038678,0.072713,0.141643", \ - "0.010396,0.013752,0.016965,0.023585,0.039081,0.072980,0.141754", \ - "0.012672,0.016110,0.019514,0.025695,0.040118,0.073307,0.142002"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023131,0.027233,0.030812,0.036804,0.047106,0.065963,0.102677", \ - "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ - "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ - "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ - "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ - "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ - "0.078087,0.083872,0.088973,0.097197,0.110029,0.130658,0.167770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034471,0.065941", \ - "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ - "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065942", \ - "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ - "0.008222,0.009840,0.011473,0.014597,0.021044,0.035206,0.066094", \ - "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ - "0.012928,0.014634,0.016379,0.019523,0.025388,0.038009,0.067416"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023133,0.027231,0.030812,0.036800,0.047098,0.065960,0.102680", \ - "0.024687,0.028785,0.032364,0.038352,0.048653,0.067514,0.104232", \ - "0.030989,0.035057,0.038627,0.044620,0.054929,0.073797,0.110526", \ - "0.042068,0.046381,0.050118,0.056258,0.066638,0.085498,0.122191", \ - "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ - "0.065475,0.070793,0.075437,0.082896,0.094701,0.114461,0.151275", \ - "0.078102,0.083877,0.088976,0.097199,0.110033,0.130699,0.167810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024834,0.030617,0.036013,0.045795,0.064312,0.100748,0.173391", \ - "0.026070,0.031854,0.037248,0.047029,0.065551,0.101986,0.174623", \ - "0.031106,0.036860,0.042223,0.051966,0.070459,0.106896,0.179560", \ - "0.038843,0.044765,0.050186,0.059935,0.078326,0.114668,0.187288", \ - "0.045335,0.051774,0.057434,0.067253,0.085610,0.121887,0.194394", \ - "0.050447,0.057445,0.063639,0.073841,0.092179,0.128259,0.200700", \ - "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004859,0.006696,0.008574,0.012170,0.019384,0.034484,0.065950", \ - "0.004860,0.006698,0.008574,0.012171,0.019385,0.034485,0.065944", \ - "0.004879,0.006725,0.008602,0.012185,0.019397,0.034486,0.065953", \ - "0.006179,0.007800,0.009475,0.012761,0.019676,0.034576,0.065957", \ - "0.008223,0.009839,0.011469,0.014597,0.021058,0.035208,0.066051", \ - "0.010435,0.012093,0.013772,0.016838,0.022903,0.036357,0.066539", \ - "0.012923,0.014630,0.016381,0.019524,0.025386,0.038052,0.067271"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005972,0.009603,0.013503,0.021495,0.038230,0.072586,0.141671", \ - "0.005968,0.009603,0.013502,0.021492,0.038225,0.072573,0.141674", \ - "0.005976,0.009616,0.013516,0.021507,0.038226,0.072604,0.141681", \ - "0.006922,0.010301,0.014037,0.021794,0.038294,0.072577,0.141656", \ - "0.008497,0.011734,0.015096,0.022421,0.038678,0.072713,0.141643", \ - "0.010396,0.013752,0.016965,0.023585,0.039081,0.072980,0.141754", \ - "0.012672,0.016110,0.019514,0.025695,0.040118,0.073307,0.142002"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023131,0.027233,0.030812,0.036804,0.047105,0.065962,0.102677", \ - "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ - "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ - "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ - "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ - "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ - "0.078087,0.083872,0.088973,0.097197,0.110029,0.130658,0.167770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034472,0.065942", \ - "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ - "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065941", \ - "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ - "0.008222,0.009840,0.011473,0.014597,0.021044,0.035206,0.066094", \ - "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ - "0.012928,0.014634,0.016379,0.019523,0.025388,0.038008,0.067416"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI & !SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023133,0.027231,0.030812,0.036800,0.047099,0.065958,0.102680", \ - "0.024687,0.028785,0.032364,0.038352,0.048653,0.067515,0.104232", \ - "0.030989,0.035057,0.038627,0.044620,0.054929,0.073797,0.110526", \ - "0.042068,0.046381,0.050118,0.056258,0.066638,0.085498,0.122191", \ - "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ - "0.065475,0.070793,0.075437,0.082898,0.094699,0.114461,0.151275", \ - "0.078102,0.083877,0.088976,0.097198,0.110033,0.130699,0.167810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.024834,0.030617,0.036013,0.045796,0.064313,0.100748,0.173391", \ - "0.026070,0.031854,0.037248,0.047030,0.065552,0.101989,0.174623", \ - "0.031106,0.036860,0.042224,0.051967,0.070457,0.106896,0.179560", \ - "0.038843,0.044765,0.050186,0.059936,0.078329,0.114664,0.187288", \ - "0.045335,0.051774,0.057434,0.067253,0.085610,0.121885,0.194394", \ - "0.050447,0.057445,0.063639,0.073841,0.092179,0.128259,0.200700", \ - "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004859,0.006696,0.008574,0.012170,0.019385,0.034484,0.065950", \ - "0.004860,0.006698,0.008574,0.012172,0.019386,0.034484,0.065944", \ - "0.004879,0.006725,0.008602,0.012186,0.019396,0.034488,0.065953", \ - "0.006179,0.007800,0.009475,0.012757,0.019676,0.034576,0.065957", \ - "0.008223,0.009839,0.011469,0.014600,0.021057,0.035207,0.066051", \ - "0.010435,0.012093,0.013772,0.016838,0.022905,0.036357,0.066539", \ - "0.012923,0.014630,0.016381,0.019523,0.025378,0.038052,0.067271"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005972,0.009603,0.013503,0.021496,0.038228,0.072593,0.141671", \ - "0.005968,0.009603,0.013502,0.021492,0.038219,0.072572,0.141674", \ - "0.005976,0.009616,0.013515,0.021503,0.038230,0.072603,0.141681", \ - "0.006922,0.010301,0.014037,0.021794,0.038295,0.072584,0.141656", \ - "0.008497,0.011734,0.015096,0.022421,0.038677,0.072711,0.141643", \ - "0.010396,0.013752,0.016965,0.023586,0.039079,0.072980,0.141754", \ - "0.012672,0.016110,0.019514,0.025698,0.040121,0.073307,0.142002"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.023131,0.027233,0.030812,0.036804,0.047106,0.065963,0.102677", \ - "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ - "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ - "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ - "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ - "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ - "0.078087,0.083872,0.088973,0.097197,0.110029,0.130658,0.167770"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034472,0.065941", \ - "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ - "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065942", \ - "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ - "0.008222,0.009840,0.011473,0.014597,0.021044,0.035206,0.066094", \ - "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ - "0.012928,0.014634,0.016379,0.019523,0.025388,0.038009,0.067416"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058296,0.063193,0.067874,0.076930,0.094953,0.131137,0.203714", \ - "0.059875,0.064772,0.069455,0.078512,0.096536,0.132721,0.205293", \ - "0.066051,0.070947,0.075630,0.084686,0.102703,0.138886,0.211457", \ - "0.078575,0.083442,0.088081,0.097077,0.115036,0.151181,0.223736", \ - "0.095050,0.099683,0.104048,0.112667,0.130312,0.166259,0.238707", \ - "0.112214,0.116708,0.120793,0.128976,0.146228,0.181910,0.254206", \ - "0.130192,0.134600,0.138466,0.146233,0.163048,0.198419,0.270523"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006210,0.009867,0.013741,0.021642,0.038266,0.072552,0.141566", \ - "0.006212,0.009861,0.013741,0.021643,0.038264,0.072550,0.141566", \ - "0.006210,0.009867,0.013741,0.021642,0.038265,0.072553,0.141567", \ - "0.006222,0.009877,0.013749,0.021652,0.038267,0.072554,0.141568", \ - "0.006326,0.009985,0.013857,0.021714,0.038295,0.072554,0.141572", \ - "0.006533,0.010196,0.014043,0.021830,0.038345,0.072571,0.141569", \ - "0.006806,0.010480,0.014297,0.021987,0.038415,0.072589,0.141575"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058296,0.063193,0.067874,0.076930,0.094952,0.131137,0.203714", \ - "0.059875,0.064772,0.069455,0.078512,0.096536,0.132721,0.205293", \ - "0.066051,0.070947,0.075630,0.084686,0.102703,0.138886,0.211457", \ - "0.078575,0.083442,0.088081,0.097077,0.115036,0.151181,0.223736", \ - "0.095050,0.099683,0.104048,0.112667,0.130312,0.166259,0.238707", \ - "0.112218,0.116708,0.120793,0.128976,0.146228,0.181910,0.254206", \ - "0.130192,0.134600,0.138466,0.146233,0.163048,0.198419,0.270523"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006210,0.009867,0.013741,0.021642,0.038264,0.072552,0.141563", \ - "0.006212,0.009861,0.013741,0.021643,0.038264,0.072550,0.141566", \ - "0.006210,0.009867,0.013741,0.021642,0.038265,0.072553,0.141567", \ - "0.006222,0.009877,0.013749,0.021652,0.038267,0.072554,0.141568", \ - "0.006326,0.009985,0.013857,0.021714,0.038295,0.072554,0.141572", \ - "0.006538,0.010196,0.014043,0.021830,0.038345,0.072571,0.141569", \ - "0.006806,0.010480,0.014297,0.021987,0.038415,0.072589,0.141575"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058296,0.063193,0.067874,0.076930,0.094951,0.131137,0.203714", \ - "0.059875,0.064772,0.069455,0.078512,0.096536,0.132721,0.205293", \ - "0.066051,0.070947,0.075630,0.084686,0.102702,0.138886,0.211457", \ - "0.078575,0.083442,0.088081,0.097077,0.115036,0.151181,0.223736", \ - "0.095050,0.099683,0.104048,0.112667,0.130312,0.166259,0.238707", \ - "0.112218,0.116708,0.120793,0.128976,0.146228,0.181910,0.254206", \ - "0.130192,0.134600,0.138466,0.146233,0.163048,0.198419,0.270523"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006210,0.009867,0.013741,0.021642,0.038265,0.072552,0.141566", \ - "0.006212,0.009861,0.013741,0.021643,0.038264,0.072550,0.141566", \ - "0.006210,0.009867,0.013741,0.021642,0.038265,0.072553,0.141567", \ - "0.006222,0.009877,0.013749,0.021652,0.038267,0.072554,0.141568", \ - "0.006326,0.009985,0.013857,0.021714,0.038295,0.072554,0.141572", \ - "0.006538,0.010196,0.014043,0.021830,0.038345,0.072571,0.141569", \ - "0.006806,0.010480,0.014297,0.021987,0.038415,0.072589,0.141575"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058293,0.063189,0.067871,0.076929,0.094951,0.131138,0.203712", \ - "0.059872,0.064769,0.069452,0.078510,0.096530,0.132718,0.205291", \ - "0.066048,0.070944,0.075628,0.084681,0.102700,0.138882,0.211454", \ - "0.078572,0.083440,0.088083,0.097074,0.115033,0.151177,0.223733", \ - "0.095044,0.099676,0.104040,0.112661,0.130309,0.166256,0.238701", \ - "0.112210,0.116696,0.120781,0.128967,0.146224,0.181903,0.254201", \ - "0.130180,0.134595,0.138461,0.146231,0.163044,0.198406,0.270513"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006210,0.009867,0.013741,0.021641,0.038265,0.072550,0.141564", \ - "0.006212,0.009861,0.013741,0.021642,0.038264,0.072550,0.141571", \ - "0.006210,0.009867,0.013741,0.021642,0.038264,0.072553,0.141567", \ - "0.006222,0.009877,0.013749,0.021652,0.038267,0.072554,0.141568", \ - "0.006326,0.009983,0.013857,0.021713,0.038296,0.072555,0.141572", \ - "0.006533,0.010195,0.014039,0.021827,0.038347,0.072571,0.141572", \ - "0.006809,0.010477,0.014297,0.021988,0.038414,0.072589,0.141580"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058293,0.063189,0.067871,0.076929,0.094951,0.131138,0.203713", \ - "0.059872,0.064769,0.069452,0.078510,0.096530,0.132718,0.205291", \ - "0.066048,0.070944,0.075628,0.084681,0.102700,0.138882,0.211455", \ - "0.078572,0.083440,0.088083,0.097074,0.115033,0.151177,0.223733", \ - "0.095044,0.099676,0.104040,0.112661,0.130309,0.166256,0.238701", \ - "0.112210,0.116696,0.120781,0.128967,0.146224,0.181903,0.254201", \ - "0.130180,0.134595,0.138461,0.146231,0.163044,0.198406,0.270513"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006210,0.009867,0.013741,0.021641,0.038265,0.072550,0.141562", \ - "0.006212,0.009861,0.013741,0.021642,0.038264,0.072550,0.141571", \ - "0.006210,0.009867,0.013741,0.021642,0.038264,0.072553,0.141567", \ - "0.006222,0.009877,0.013752,0.021652,0.038267,0.072554,0.141568", \ - "0.006326,0.009983,0.013857,0.021713,0.038296,0.072555,0.141572", \ - "0.006533,0.010195,0.014039,0.021827,0.038347,0.072571,0.141572", \ - "0.006809,0.010477,0.014297,0.021988,0.038414,0.072589,0.141580"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058293,0.063189,0.067871,0.076929,0.094951,0.131138,0.203712", \ - "0.059872,0.064769,0.069452,0.078510,0.096530,0.132718,0.205291", \ - "0.066048,0.070944,0.075628,0.084681,0.102700,0.138882,0.211454", \ - "0.078572,0.083440,0.088083,0.097074,0.115033,0.151177,0.223733", \ - "0.095044,0.099676,0.104040,0.112661,0.130309,0.166256,0.238701", \ - "0.112210,0.116696,0.120781,0.128967,0.146224,0.181903,0.254201", \ - "0.130180,0.134595,0.138461,0.146231,0.163044,0.198406,0.270513"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006210,0.009867,0.013741,0.021641,0.038265,0.072551,0.141564", \ - "0.006212,0.009861,0.013741,0.021642,0.038264,0.072550,0.141571", \ - "0.006210,0.009867,0.013741,0.021642,0.038264,0.072553,0.141567", \ - "0.006222,0.009877,0.013753,0.021652,0.038267,0.072554,0.141568", \ - "0.006326,0.009983,0.013857,0.021713,0.038296,0.072555,0.141572", \ - "0.006533,0.010195,0.014039,0.021827,0.038347,0.072571,0.141572", \ - "0.006809,0.010477,0.014297,0.021988,0.038414,0.072589,0.141580"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058296,0.063193,0.067874,0.076930,0.094951,0.131137,0.203714", \ - "0.059875,0.064772,0.069455,0.078512,0.096536,0.132721,0.205293", \ - "0.066051,0.070947,0.075630,0.084686,0.102702,0.138886,0.211457", \ - "0.078575,0.083442,0.088081,0.097077,0.115036,0.151181,0.223736", \ - "0.095050,0.099683,0.104048,0.112667,0.130312,0.166259,0.238707", \ - "0.112218,0.116708,0.120793,0.128976,0.146228,0.181910,0.254206", \ - "0.130192,0.134600,0.138466,0.146233,0.163048,0.198419,0.270523"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006210,0.009867,0.013741,0.021642,0.038265,0.072552,0.141566", \ - "0.006212,0.009861,0.013741,0.021643,0.038264,0.072550,0.141566", \ - "0.006210,0.009867,0.013741,0.021642,0.038265,0.072553,0.141567", \ - "0.006222,0.009877,0.013753,0.021652,0.038267,0.072554,0.141568", \ - "0.006326,0.009985,0.013857,0.021714,0.038295,0.072554,0.141572", \ - "0.006538,0.010196,0.014043,0.021830,0.038345,0.072571,0.141569", \ - "0.006806,0.010480,0.014297,0.021987,0.038415,0.072589,0.141575"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058293,0.063189,0.067871,0.076929,0.094951,0.131138,0.203712", \ - "0.059872,0.064769,0.069452,0.078510,0.096530,0.132718,0.205291", \ - "0.066048,0.070944,0.075628,0.084681,0.102700,0.138882,0.211454", \ - "0.078572,0.083440,0.088083,0.097074,0.115033,0.151177,0.223733", \ - "0.095044,0.099676,0.104040,0.112661,0.130309,0.166256,0.238701", \ - "0.112210,0.116696,0.120781,0.128967,0.146224,0.181903,0.254201", \ - "0.130180,0.134595,0.138461,0.146231,0.163044,0.198406,0.270513"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006210,0.009867,0.013741,0.021641,0.038265,0.072550,0.141562", \ - "0.006212,0.009861,0.013741,0.021642,0.038264,0.072550,0.141571", \ - "0.006210,0.009867,0.013741,0.021642,0.038264,0.072553,0.141567", \ - "0.006222,0.009877,0.013752,0.021652,0.038267,0.072554,0.141568", \ - "0.006326,0.009983,0.013857,0.021713,0.038296,0.072555,0.141572", \ - "0.006533,0.010195,0.014039,0.021827,0.038347,0.072571,0.141572", \ - "0.006809,0.010477,0.014297,0.021988,0.038414,0.072589,0.141580"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203657", \ - "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ - "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ - "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ - "0.094950,0.099567,0.103932,0.112560,0.130211,0.166162,0.238605", \ - "0.112049,0.116521,0.120604,0.128792,0.146048,0.181738,0.254035", \ - "0.129953,0.134347,0.138204,0.145966,0.162773,0.198150,0.270263"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006202,0.009857,0.013734,0.021634,0.038257,0.072549,0.141565", \ - "0.006204,0.009853,0.013733,0.021634,0.038257,0.072546,0.141570", \ - "0.006201,0.009855,0.013738,0.021633,0.038260,0.072547,0.141569", \ - "0.006213,0.009865,0.013745,0.021642,0.038260,0.072546,0.141568", \ - "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141572", \ - "0.006517,0.010180,0.014022,0.021812,0.038334,0.072568,0.141573", \ - "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203657", \ - "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ - "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ - "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ - "0.094950,0.099567,0.103932,0.112559,0.130211,0.166162,0.238605", \ - "0.112049,0.116521,0.120604,0.128792,0.146048,0.181738,0.254035", \ - "0.129953,0.134347,0.138204,0.145966,0.162773,0.198149,0.270263"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006202,0.009857,0.013734,0.021634,0.038257,0.072549,0.141565", \ - "0.006204,0.009853,0.013733,0.021634,0.038257,0.072546,0.141570", \ - "0.006201,0.009855,0.013738,0.021633,0.038260,0.072547,0.141569", \ - "0.006213,0.009865,0.013741,0.021642,0.038260,0.072546,0.141568", \ - "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141571", \ - "0.006517,0.010180,0.014022,0.021812,0.038334,0.072568,0.141573", \ - "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203657", \ - "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ - "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ - "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ - "0.094950,0.099567,0.103932,0.112559,0.130211,0.166162,0.238605", \ - "0.112049,0.116521,0.120604,0.128792,0.146048,0.181738,0.254035", \ - "0.129953,0.134347,0.138204,0.145966,0.162773,0.198150,0.270263"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006202,0.009857,0.013734,0.021634,0.038257,0.072550,0.141565", \ - "0.006204,0.009853,0.013733,0.021634,0.038257,0.072546,0.141570", \ - "0.006201,0.009855,0.013738,0.021633,0.038260,0.072547,0.141569", \ - "0.006213,0.009865,0.013741,0.021642,0.038260,0.072546,0.141568", \ - "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141572", \ - "0.006517,0.010180,0.014022,0.021812,0.038334,0.072568,0.141573", \ - "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203657", \ - "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ - "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ - "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ - "0.094950,0.099567,0.103932,0.112559,0.130211,0.166162,0.238605", \ - "0.112049,0.116521,0.120604,0.128792,0.146048,0.181737,0.254035", \ - "0.129953,0.134347,0.138204,0.145966,0.162773,0.198149,0.270263"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006202,0.009857,0.013735,0.021634,0.038257,0.072550,0.141565", \ - "0.006204,0.009853,0.013733,0.021633,0.038257,0.072546,0.141570", \ - "0.006201,0.009855,0.013738,0.021633,0.038261,0.072547,0.141569", \ - "0.006213,0.009865,0.013745,0.021642,0.038260,0.072546,0.141568", \ - "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141572", \ - "0.006517,0.010180,0.014022,0.021812,0.038333,0.072571,0.141574", \ - "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203657", \ - "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ - "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ - "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ - "0.094950,0.099567,0.103932,0.112559,0.130211,0.166162,0.238605", \ - "0.112049,0.116521,0.120604,0.128792,0.146048,0.181737,0.254035", \ - "0.129953,0.134347,0.138204,0.145966,0.162773,0.198149,0.270263"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006202,0.009857,0.013735,0.021634,0.038257,0.072550,0.141565", \ - "0.006204,0.009853,0.013733,0.021633,0.038257,0.072546,0.141570", \ - "0.006201,0.009855,0.013738,0.021633,0.038261,0.072547,0.141569", \ - "0.006213,0.009865,0.013745,0.021642,0.038260,0.072546,0.141568", \ - "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141572", \ - "0.006517,0.010180,0.014022,0.021812,0.038333,0.072571,0.141574", \ - "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203657", \ - "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ - "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ - "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ - "0.094950,0.099567,0.103932,0.112559,0.130211,0.166162,0.238605", \ - "0.112049,0.116521,0.120604,0.128792,0.146048,0.181737,0.254035", \ - "0.129953,0.134347,0.138204,0.145966,0.162773,0.198149,0.270263"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006202,0.009857,0.013735,0.021633,0.038257,0.072550,0.141565", \ - "0.006204,0.009853,0.013733,0.021633,0.038257,0.072546,0.141570", \ - "0.006201,0.009855,0.013738,0.021633,0.038261,0.072547,0.141569", \ - "0.006213,0.009865,0.013741,0.021642,0.038260,0.072546,0.141568", \ - "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141572", \ - "0.006517,0.010180,0.014022,0.021812,0.038333,0.072571,0.141574", \ - "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203656", \ - "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ - "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ - "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ - "0.094950,0.099567,0.103932,0.112559,0.130211,0.166162,0.238605", \ - "0.112049,0.116521,0.120604,0.128792,0.146048,0.181738,0.254035", \ - "0.129953,0.134347,0.138204,0.145966,0.162773,0.198150,0.270263"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006202,0.009857,0.013734,0.021634,0.038257,0.072550,0.141565", \ - "0.006204,0.009853,0.013733,0.021634,0.038257,0.072546,0.141570", \ - "0.006201,0.009855,0.013738,0.021633,0.038260,0.072547,0.141569", \ - "0.006213,0.009865,0.013745,0.021642,0.038260,0.072546,0.141568", \ - "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141572", \ - "0.006517,0.010180,0.014022,0.021812,0.038334,0.072568,0.141573", \ - "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203656", \ - "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ - "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ - "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ - "0.094950,0.099567,0.103932,0.112559,0.130211,0.166162,0.238605", \ - "0.112049,0.116521,0.120604,0.128792,0.146048,0.181737,0.254035", \ - "0.129953,0.134347,0.138204,0.145966,0.162773,0.198149,0.270263"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006202,0.009857,0.013735,0.021634,0.038257,0.072550,0.141565", \ - "0.006204,0.009853,0.013733,0.021633,0.038257,0.072546,0.141570", \ - "0.006201,0.009855,0.013738,0.021633,0.038261,0.072547,0.141569", \ - "0.006213,0.009865,0.013745,0.021642,0.038260,0.072546,0.141568", \ - "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141572", \ - "0.006517,0.010180,0.014022,0.021812,0.038333,0.072571,0.141574", \ - "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.099395,8.185556,8.263441,8.399388,8.666089,8.748022,8.794106", \ - "8.092593,8.168769,8.251488,8.399709,8.659850,8.727563,8.780640", \ - "8.091487,8.161082,8.245070,8.380384,8.648537,8.726786,8.753118", \ - "8.150621,8.218910,8.301756,8.449151,8.705242,8.778127,8.830195", \ - "8.296452,8.377702,8.463182,8.599343,8.868220,8.943782,8.867643", \ - "8.619173,8.686036,8.766279,8.909819,9.169360,9.145070,9.299250", \ - "9.080527,9.155104,9.235611,9.382710,9.632203,9.609608,9.754452"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.365437,8.401684,8.462494,8.510302,8.571208,8.596047,8.667095", \ - "8.354525,8.392801,8.450520,8.502928,8.539521,8.594399,8.566205", \ - "8.352781,8.404480,8.450736,8.504515,8.502872,8.615127,8.515786", \ - "8.397246,8.450025,8.505413,8.558351,8.589492,8.687608,8.688589", \ - "8.569737,8.629835,8.663484,8.727870,8.783448,8.755087,8.873930", \ - "8.879418,8.912809,8.977527,9.024969,9.096798,9.135843,9.087998", \ - "9.344960,9.399650,9.437247,9.491577,9.546474,9.600850,9.598923"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.700179,6.113506,6.447988,6.803155,7.131774,7.524947,8.106788", \ - "5.659243,6.047503,6.368630,6.742033,7.077774,7.476755,8.060791", \ - "5.652078,6.033666,6.328341,6.708347,7.053831,7.440446,8.023746", \ - "6.017266,6.319042,6.559275,6.917407,7.245601,7.649862,8.203388", \ - "6.487718,6.604172,6.813234,7.233094,7.710412,8.081155,8.655486", \ - "7.438256,7.410607,7.518413,7.778772,8.224017,8.820137,9.393147", \ - "8.898027,8.766077,8.706091,8.815768,9.215752,9.703083,10.536970"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.538614,4.032889,4.436126,4.774055,5.135398,5.316158,5.353649", \ - "3.571587,4.048688,4.357752,4.840469,4.991644,5.175279,5.228365", \ - "3.519864,3.949297,4.369421,4.811729,4.950738,4.997902,5.118685", \ - "4.000759,4.397344,4.652139,4.868726,5.152728,5.313379,4.861040", \ - "4.726487,5.057030,5.242337,5.460140,5.595287,5.105884,5.425276", \ - "5.843895,6.026660,6.220558,6.388493,6.349606,6.108945,5.949176", \ - "7.359940,7.379191,7.691102,7.745741,7.941913,7.654622,7.588761"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.986272,7.097983,7.224415,7.355896,7.374463,7.444859,7.290228", \ - "6.948559,7.056404,7.183073,7.273428,7.314623,7.292951,7.127884", \ - "6.933024,7.073136,7.193768,7.289508,7.335006,7.315850,7.341051", \ - "7.176588,7.261109,7.280089,7.388926,7.370865,7.331452,7.253744", \ - "7.642628,7.601748,7.628909,7.683340,7.695893,7.528862,7.580254", \ - "8.351652,8.184218,8.147027,8.123125,8.164772,8.112186,8.098170", \ - "9.329671,9.080865,8.984227,8.893936,8.802809,8.714000,8.452866"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.700213,6.113529,6.448008,6.803167,7.131780,7.524950,8.106789", \ - "5.659096,6.047526,6.368647,6.742045,7.077780,7.476758,8.060792", \ - "5.652108,6.033686,6.328358,6.708358,7.053837,7.440447,8.023742", \ - "6.017299,6.319068,6.559292,6.917418,7.245606,7.649865,8.203380", \ - "6.487743,6.604195,6.813254,7.233109,7.710418,8.081154,8.655480", \ - "7.438276,7.410625,7.518430,7.778784,8.224024,8.820142,9.393138", \ - "8.898044,8.766095,8.706105,8.815779,9.215759,9.703085,10.536970"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.538624,4.032898,4.436136,4.774061,5.135402,5.316164,5.353653", \ - "3.571599,4.048699,4.357763,4.840484,4.991647,5.175283,5.228369", \ - "3.519875,3.949307,4.369432,4.811739,4.950734,4.997903,5.118688", \ - "4.000769,4.397356,4.652150,4.868733,5.152734,5.313387,4.861035", \ - "4.726496,5.057042,5.242346,5.460148,5.595293,5.105877,5.425274", \ - "5.843908,6.026669,6.220567,6.388527,6.349609,6.108943,5.949171", \ - "7.359949,7.379200,7.691113,7.745750,7.941919,7.654627,7.588768"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.985821,7.097519,7.223925,7.355399,7.374243,7.444281,7.289961", \ - "6.948106,7.055941,7.182600,7.272928,7.314088,7.292354,7.127435", \ - "6.932605,7.072678,7.193303,7.289018,7.334479,7.315263,7.340395", \ - "7.176021,7.260670,7.279636,7.388452,7.370289,7.330874,7.253115", \ - "7.642249,7.601341,7.628485,7.682893,7.695474,7.528277,7.579652", \ - "8.351301,8.183853,8.142490,8.122557,8.164339,8.111694,8.097591", \ - "9.329377,9.080560,8.983870,8.893598,8.802431,8.713551,8.452342"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.700102,6.113425,6.447913,6.803094,7.131739,7.524932,8.106781", \ - "5.659102,6.047426,6.368553,6.741971,7.077737,7.476740,8.060781", \ - "5.652004,6.033587,6.328267,6.708285,7.053793,7.440431,8.023740", \ - "6.017200,6.318971,6.559206,6.917348,7.245565,7.649845,8.203387", \ - "6.487665,6.604117,6.813179,7.233042,7.710374,8.081142,8.655484", \ - "7.438211,7.410562,7.518367,7.778728,8.223983,8.820116,9.393147", \ - "8.897985,8.766036,8.706052,8.815732,9.215720,9.703063,10.528490"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.538592,4.032849,4.436071,4.774002,5.135357,5.316127,5.353622", \ - "3.571565,4.048646,4.357701,4.840420,4.991607,5.175252,5.228343", \ - "3.542568,3.949259,4.369368,4.811676,4.950712,4.997878,5.118665", \ - "4.000742,4.397309,4.652090,4.934990,5.152689,5.313959,4.861027", \ - "4.726466,5.056998,5.242293,5.460092,5.595246,5.105864,5.425258", \ - "5.843858,6.026627,6.220516,6.388446,6.349571,6.108922,5.949162", \ - "7.359914,7.379158,7.691058,7.745698,7.941872,7.654593,7.588736"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.985956,7.097675,7.224067,7.355710,7.396837,7.444428,7.289783", \ - "6.948245,7.056086,7.182745,7.273066,7.314241,7.292496,7.126572", \ - "6.932580,7.072817,7.193439,7.289154,7.334629,7.315401,7.340558", \ - "7.176294,7.193640,7.279768,7.388582,7.370677,7.331024,7.253297", \ - "7.642286,7.601462,7.628601,7.683009,7.695268,7.528989,7.579835", \ - "8.351403,8.183964,8.142592,8.122665,8.164460,8.111849,8.097753", \ - "9.329475,9.080662,8.984196,8.893696,8.802555,8.713705,8.452532"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.981978,7.425863,7.744930,8.110576,8.453601,8.830854,9.401018", \ - "6.888537,7.321425,7.657244,8.020351,8.359984,8.743120,9.327688", \ - "6.903733,7.316697,7.623496,7.997534,8.328598,8.724861,9.305874", \ - "7.426267,7.762533,8.017146,8.383469,8.701619,9.105670,9.655743", \ - "8.160363,8.376239,8.602446,9.039216,9.510349,9.880269,10.459830", \ - "9.650704,9.691485,9.837869,10.127430,10.558560,11.172030,11.760020", \ - "11.757000,11.712600,11.713540,11.905740,12.329430,12.831930,13.680380"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.320628,4.827491,5.232585,5.575320,5.932181,6.104686,6.132092", \ - "4.332576,4.820413,5.135251,5.573352,5.762038,5.937516,5.979938", \ - "4.320929,4.715854,5.137411,5.582416,5.619835,5.753934,5.862976", \ - "4.886667,5.291356,5.543624,5.758349,6.032620,6.186020,5.720100", \ - "5.901226,6.243230,6.435339,6.678906,6.783029,6.278110,6.583431", \ - "7.467208,7.712333,7.925876,8.111456,8.223262,7.818817,7.643784", \ - "9.639973,9.727072,10.091830,10.375710,10.428660,10.152990,10.062760"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.676113,7.801529,7.913059,8.043406,8.011025,8.130315,7.973963", \ - "7.617272,7.725055,7.851088,8.011742,7.990700,7.955874,7.822883", \ - "7.604918,7.748957,7.869004,7.964024,8.041112,8.106323,8.012465", \ - "7.933997,8.018428,8.036387,8.144572,8.248399,8.084939,8.006385", \ - "8.606360,8.528899,8.554835,8.606937,8.615373,8.435172,8.501065", \ - "9.551267,9.386231,9.343249,9.325459,9.363226,9.307483,9.292104", \ - "10.910180,10.739840,10.557490,10.483540,10.392940,10.300410,10.036910"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.981894,7.425820,7.744909,8.110565,8.453593,8.830834,9.400984", \ - "6.888456,7.321377,7.657216,8.020339,8.359975,8.743102,9.327675", \ - "6.903651,7.316651,7.623470,7.997524,8.328584,8.724838,9.305841", \ - "7.426192,7.762482,8.017110,8.383456,8.701605,9.105656,9.655690", \ - "8.160299,8.376194,8.602411,9.039195,9.510332,9.880238,10.459800", \ - "9.650648,9.691441,9.837838,10.127410,10.558540,11.172010,11.759990", \ - "11.756950,11.712560,11.713510,11.905710,12.329410,12.831890,13.667890"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.320585,4.827430,5.232551,5.575289,5.932155,6.104670,6.132158", \ - "4.332541,4.820372,5.135213,5.573326,5.762005,5.937492,5.979911", \ - "4.320890,4.715802,5.137374,5.582394,5.619792,5.753899,5.862941", \ - "4.886635,5.291321,5.543590,5.758314,6.032597,6.186005,5.720035", \ - "5.901192,6.243195,6.435305,6.678883,6.783007,6.278039,6.583384", \ - "7.467176,7.712293,7.925838,8.111425,8.223243,7.818769,7.643721", \ - "9.639946,9.727034,10.091800,10.375690,10.428640,10.152970,10.062750"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.676617,7.802337,7.913559,8.043937,8.011578,8.130149,7.974696", \ - "7.617739,7.725560,7.851588,8.012300,7.991268,7.956574,7.823651", \ - "7.605407,7.749458,7.869500,7.964552,8.041671,8.107030,8.013259", \ - "7.934380,8.018900,8.036876,8.145085,8.248994,8.085640,8.007071", \ - "8.606797,8.529336,8.555301,8.607430,8.615937,8.435770,8.501712", \ - "9.551654,9.388811,9.353139,9.325884,9.363701,9.308065,9.292753", \ - "10.910500,10.740170,10.557840,10.483890,10.393340,10.300100,10.036310"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.981931,7.425843,7.744926,8.110574,8.453599,8.830841,9.400992", \ - "6.888493,7.321405,7.657237,8.020350,8.359982,8.743109,9.327680", \ - "6.903689,7.316676,7.623487,7.997535,8.328592,8.724846,9.305849", \ - "7.426233,7.762510,8.017130,8.383467,8.701613,9.105662,9.655701", \ - "8.160333,8.376221,8.602433,9.039210,9.510341,9.880247,10.459810", \ - "9.650677,9.691466,9.837858,10.127420,10.558550,11.172020,11.760000", \ - "11.756980,11.712590,11.713530,11.905730,12.329420,12.831900,13.666440"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.320602,4.827448,5.232562,5.575300,5.932164,6.104678,6.132169", \ - "4.332557,4.820385,5.135226,5.573336,5.762016,5.937502,5.979922", \ - "4.320906,4.715818,5.137387,5.582404,5.619805,5.753911,5.862954", \ - "4.886648,5.291334,5.543602,5.758325,6.032606,6.186013,5.720051", \ - "5.901205,6.243207,6.435317,6.678893,6.783016,6.278057,6.583397", \ - "7.467189,7.712308,7.925851,8.111442,8.223252,7.818782,7.643737", \ - "9.639960,9.727049,10.091810,10.375700,10.428650,10.152980,10.062760"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.676630,7.802223,7.913573,8.043950,8.011605,8.130631,7.974743", \ - "7.617753,7.725574,7.851602,8.012310,7.991499,7.956580,7.823663", \ - "7.605420,7.749470,7.869513,7.964564,8.041687,8.107036,8.013263", \ - "7.934468,8.018913,8.036889,8.145098,8.249005,8.085645,8.007084", \ - "8.606808,8.529349,8.555312,8.607440,8.615948,8.435782,8.501725", \ - "9.551666,9.388828,9.343676,9.325960,9.363715,9.308031,9.292836", \ - "10.910510,10.740190,10.557850,10.483910,10.393360,10.300110,10.036320"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.700126,6.113440,6.447925,6.803104,7.131743,7.524932,8.106777", \ - "5.658989,6.047439,6.368565,6.741978,7.077740,7.476740,8.060781", \ - "5.652022,6.033601,6.328277,6.708292,7.053797,7.440429,8.023733", \ - "6.017220,6.318987,6.559216,6.917355,7.245567,7.649846,8.203374", \ - "6.487680,6.604131,6.813191,7.233051,7.710377,8.081137,8.655474", \ - "7.438222,7.410572,7.518377,7.778736,8.223986,8.820118,9.411706", \ - "8.897995,8.766047,8.706060,8.815736,9.215723,9.703062,10.524690"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.538597,4.032852,4.436078,4.774007,5.135360,5.316132,5.353625", \ - "3.571571,4.048653,4.357707,4.840420,4.991607,5.175254,5.228342", \ - "3.542574,3.949263,4.369375,4.811684,4.950710,4.997876,5.118662", \ - "4.000748,4.397317,4.652097,4.934991,5.152692,5.313964,4.861014", \ - "4.726471,5.057002,5.242298,5.460097,5.595250,5.105850,5.425250", \ - "5.843864,6.026631,6.220520,6.388455,6.349571,6.108916,5.949149", \ - "7.359919,7.379162,7.691065,7.745701,7.941877,7.654595,7.588739"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.985525,7.097228,7.223610,7.355222,7.394169,7.443755,7.289029", \ - "6.947826,7.055640,7.182288,7.272571,7.313692,7.291835,7.125814", \ - "6.932146,7.072370,7.192982,7.288664,7.334083,7.314746,7.339772", \ - "7.175729,7.193206,7.279311,7.388096,7.370141,7.330371,7.252538", \ - "7.641877,7.601039,7.628157,7.682535,7.694726,7.528348,7.579093", \ - "8.351019,8.183567,8.142176,8.122224,8.163965,8.111263,8.097009", \ - "9.329131,9.080305,8.983822,8.893297,8.802102,8.713146,8.451841"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.982004,7.425880,7.744943,8.110583,8.453605,8.830860,9.401026", \ - "6.888563,7.321444,7.657257,8.020358,8.359989,8.743125,9.327692", \ - "6.903760,7.316714,7.623509,7.997542,8.328604,8.724867,9.305882", \ - "7.426293,7.762552,8.017160,8.383476,8.701625,9.105674,9.655755", \ - "8.160385,8.376256,8.602461,9.039226,9.510355,9.880277,10.459840", \ - "9.650723,9.691500,9.837882,10.127440,10.558570,11.172040,11.760030", \ - "11.757020,11.712620,11.713550,11.905750,12.329440,12.831930,13.667920"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.320639,4.827501,5.232593,5.575328,5.932187,6.104691,6.132096", \ - "4.332585,4.820422,5.135260,5.573360,5.762047,5.937523,5.979946", \ - "4.320940,4.715866,5.137420,5.582422,5.619846,5.753944,5.862986", \ - "4.886675,5.291365,5.543633,5.758358,6.032627,6.186025,5.720115", \ - "5.901234,6.243239,6.435348,6.678912,6.783036,6.278126,6.583443", \ - "7.467217,7.712343,7.925886,8.111465,8.223268,7.818829,7.643800", \ - "9.639982,9.727083,10.091840,10.375720,10.428660,10.153000,10.062770"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.676697,7.802094,7.913663,8.044041,8.011711,8.131112,7.974861", \ - "7.617836,7.725651,7.851691,8.012387,7.991546,7.956656,7.823756", \ - "7.605490,7.749546,7.869601,7.964652,8.041788,8.107100,8.013333", \ - "7.934507,8.018992,8.036970,8.145182,8.249073,8.085707,8.007210", \ - "8.606874,8.529427,8.555385,8.607514,8.616012,8.435875,8.501854", \ - "9.551728,9.388891,9.343745,9.326039,9.363795,9.308129,9.292880", \ - "10.910570,10.740250,10.557920,10.483980,10.393440,10.300170,10.036440"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.691926,7.076049,7.348763,7.644445,7.798329,7.859714,7.870170", \ - "6.604734,7.006706,7.260065,7.553831,7.708883,7.769822,7.786970", \ - "6.620521,6.981736,7.239411,7.524561,7.692072,7.754863,7.783195", \ - "7.130741,7.416673,7.621151,7.893383,8.054326,8.109951,8.139100", \ - "7.854226,8.021576,8.201838,8.549758,8.851407,8.916803,8.927394", \ - "9.334752,9.306772,9.406308,9.623172,9.916057,10.210520,10.244920", \ - "11.371440,11.241980,11.230610,11.339950,11.636830,11.877190,12.147670"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.826087,4.127121,4.321920,4.409803,4.543356,4.035626,4.659057", \ - "3.800950,4.084504,4.196094,4.244439,4.377879,4.533097,4.497624", \ - "3.788134,4.105001,4.198508,4.232388,4.190877,4.354003,4.380003", \ - "4.282805,4.493143,4.549833,4.549014,4.636776,4.141291,4.236414", \ - "5.262649,5.424961,5.432943,5.388710,5.383365,4.831985,5.095230", \ - "6.817219,6.871012,6.965536,6.894338,6.670225,6.385879,6.126955", \ - "8.882624,8.827544,9.028522,8.961847,8.783339,8.594669,8.506329"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.541493,8.707051,8.840144,8.898074,8.994368,8.819699,8.752861", \ - "8.483751,8.647953,8.780284,8.867420,8.963910,8.935023,8.899424", \ - "8.469530,8.663501,8.789335,8.880619,8.844923,9.005075,8.785523", \ - "8.807334,8.925329,9.004413,9.051277,9.140805,9.160180,9.178577", \ - "9.436324,9.470734,9.436444,9.463146,9.526331,9.483934,9.300261", \ - "10.402700,10.291940,10.253800,10.242750,10.195230,10.127760,10.052590", \ - "11.609410,11.503040,11.365110,11.254720,11.146150,11.186760,11.044890"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.691957,7.076068,7.348775,7.642964,7.798354,7.859718,7.870164", \ - "6.604766,7.006725,7.260077,7.553777,7.708181,7.769490,7.786969", \ - "6.620554,6.981754,7.239423,7.524564,7.691387,7.754862,7.783193", \ - "7.130779,7.416697,7.621165,7.893430,8.053635,8.120092,8.139095", \ - "7.854254,8.021598,8.201855,8.549781,8.851375,8.916799,8.927387", \ - "9.334776,9.306791,9.406324,9.623183,9.916042,10.193280,10.244910", \ - "11.371470,11.242000,11.230620,11.337710,11.635580,11.877190,12.149010"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.826095,4.127138,4.321928,4.405254,4.538714,4.030396,4.659062", \ - "3.800960,4.084513,4.196102,4.239670,4.373048,4.528182,4.497628", \ - "3.788143,4.105010,4.198517,4.227708,4.185953,4.348949,4.380006", \ - "4.282813,4.493153,4.549842,4.544367,4.631994,4.141289,4.236409", \ - "5.262657,5.424969,5.432950,5.388690,5.378510,4.826590,5.095230", \ - "6.817227,6.871020,6.965544,6.889590,6.665255,6.380553,6.126949", \ - "8.882634,8.827554,9.028532,8.956733,8.783317,8.591587,8.506336"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.541052,8.706642,8.839728,8.897631,8.993939,9.001377,8.752324", \ - "8.483351,8.647535,8.779868,8.866971,8.963427,8.934484,8.898823", \ - "8.469397,8.663096,8.788897,8.880185,8.844941,9.004912,8.784928", \ - "8.806888,8.924937,9.003642,9.050845,9.140340,9.159752,9.178003", \ - "9.437133,9.470381,9.436060,9.462761,9.525900,9.483434,9.299722", \ - "10.402410,10.291630,10.253470,10.242410,10.194860,10.127340,10.052080", \ - "11.609190,11.503220,11.364860,11.254450,11.145850,11.231180,11.044440"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.691777,7.075911,7.348645,7.644364,7.798295,7.859708,7.870172", \ - "6.604589,7.006570,7.259949,7.553751,7.708849,7.769817,7.786969", \ - "6.620386,6.981607,7.239299,7.524483,7.692040,7.754859,7.783195", \ - "7.130629,7.416562,7.621050,7.893309,8.054294,8.109947,8.139103", \ - "7.854135,8.021488,8.201757,8.549693,8.851372,8.916797,8.927399", \ - "9.334672,9.306698,9.406240,9.623116,9.916025,10.193270,10.244920", \ - "11.371370,11.241910,11.230550,11.339900,11.636800,11.877180,12.153130"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.826070,4.127093,4.321877,4.409756,4.543318,4.035611,4.659034", \ - "3.800933,4.084472,4.196053,4.244396,4.377843,4.533071,4.497604", \ - "3.788116,4.104967,4.201624,4.232345,4.190844,4.353979,4.379985", \ - "4.282784,4.493111,4.549792,4.548971,4.636738,4.141273,4.236403", \ - "5.262625,5.424927,5.432902,5.388666,5.383327,4.831968,5.095213", \ - "6.817187,6.870976,6.965492,6.894294,6.670191,6.385857,6.126942", \ - "8.882586,8.827502,9.028473,8.961799,8.783300,8.594640,8.506305"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.540804,8.706681,8.839647,8.897523,8.993572,9.002123,8.751753", \ - "8.483315,8.647564,8.779826,8.866844,8.963201,8.934049,8.898233", \ - "8.464775,8.662887,8.788827,8.880068,8.840690,8.999488,8.784362", \ - "8.789782,8.924889,8.950405,9.050727,9.140095,9.157776,9.177450", \ - "9.432200,9.470377,9.435938,9.462766,9.525680,9.483056,9.299215", \ - "10.404830,10.291650,10.253620,10.242300,10.194660,10.126990,10.051570", \ - "11.609220,11.501160,11.364740,11.254370,11.145850,11.186030,11.043970"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.692068,7.076151,7.348846,7.644487,7.798366,7.859734,7.870209", \ - "6.604853,7.006808,7.260148,7.553879,7.708910,7.769847,7.786998", \ - "6.620645,6.981833,7.239490,7.524609,7.692102,7.754890,7.783222", \ - "7.130856,7.416776,7.621226,7.893434,8.054353,8.109989,8.139148", \ - "7.854325,8.021657,8.201910,8.549808,8.851442,8.916829,8.927443", \ - "9.334830,9.306848,9.406372,9.623226,9.916110,10.210550,10.244940", \ - "11.371520,11.242060,11.230680,11.340010,11.636870,11.877240,12.153160"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.826097,4.127122,4.321922,4.409795,4.543343,4.035670,4.659047", \ - "3.800954,4.084510,4.196111,4.244444,4.377875,4.533082,4.497628", \ - "3.788129,4.105004,4.198516,4.232390,4.190902,4.354003,4.380018", \ - "4.282803,4.493145,4.549845,4.549016,4.636765,4.141335,4.236463", \ - "5.262659,5.424971,5.432957,5.388720,5.383354,4.832029,5.095263", \ - "6.817250,6.871035,6.965554,6.894342,6.670227,6.385898,6.127015", \ - "8.882641,8.827572,9.028543,8.961860,8.783368,8.591593,8.506337"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.541208,8.706750,8.839324,8.896756,8.991860,8.986636,8.745895", \ - "8.483486,8.647545,8.779534,8.866009,8.961424,8.930370,8.892263", \ - "8.461753,8.662815,8.788482,8.879222,8.838965,9.004249,8.778438", \ - "8.831202,8.924830,8.950105,9.049862,9.138223,9.111714,9.171877", \ - "9.432348,9.403483,9.435616,9.461905,9.523815,9.479633,9.293446", \ - "10.402470,10.291590,10.253220,10.241380,10.192870,10.123610,10.045690", \ - "11.609310,11.500930,11.364330,11.253510,11.144090,11.183440,11.039080"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.691994,7.076100,7.348811,7.637699,7.798378,7.859706,7.870188", \ - "6.604777,7.006759,7.260114,7.553559,7.705079,7.768624,7.786989", \ - "6.620571,6.981783,7.239455,7.524642,7.688357,7.754865,7.783212", \ - "7.130786,7.416724,7.621187,7.893573,8.050539,8.118666,8.139125", \ - "7.854265,8.021613,8.201872,8.549893,8.851235,8.916820,8.927416", \ - "9.334780,9.306806,9.406340,9.623209,9.915968,10.193300,10.244940", \ - "11.371480,11.242020,11.230640,11.333650,11.633020,11.877210,12.153150"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.826068,4.127086,4.321904,4.384873,4.517999,4.007375,4.659029", \ - "3.800929,4.084484,4.196084,4.378438,4.351943,4.506444,4.497602", \ - "3.788103,4.104982,4.198715,4.207011,4.164216,4.326796,4.379984", \ - "4.282785,4.493124,4.549823,4.523644,4.610881,4.141265,4.236398", \ - "5.262636,5.424948,5.432934,5.388526,5.357114,4.802880,5.095217", \ - "6.817231,6.871006,6.965531,6.868522,6.643375,6.357115,6.126950", \ - "8.882621,8.827542,9.028520,8.934095,8.783081,8.591572,8.506319"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.541655,8.712355,8.839789,8.897234,8.992328,8.979966,8.746594", \ - "8.483900,8.648005,8.779992,8.866515,8.961980,8.931048,8.893034", \ - "8.462107,8.663270,8.788937,8.879713,8.839484,9.004893,8.779186", \ - "8.788227,8.925257,8.950553,9.050335,9.138782,9.112389,9.172568", \ - "9.432721,9.403866,9.436030,9.462346,9.524341,9.480215,9.294048", \ - "10.402800,10.291920,10.253580,10.241760,10.193290,10.124090,10.046360", \ - "11.609550,11.501180,11.364610,11.253800,11.144420,11.182360,11.038770"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.692026,7.076121,7.348824,7.637934,7.798348,7.859725,7.870192", \ - "6.604811,7.006781,7.260125,7.553919,7.711735,7.771186,7.786991", \ - "6.620605,6.981805,7.239469,7.524608,7.694857,7.754908,7.783214", \ - "7.130824,7.416749,7.621203,7.893324,8.044487,8.109971,8.139129", \ - "7.854296,8.021636,8.201891,8.549870,8.851572,8.916822,8.927421", \ - "9.334806,9.306827,9.406357,9.623183,9.916150,10.193310,10.244940", \ - "11.371500,11.242040,11.230660,11.349080,11.641890,11.877220,12.153150"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.826081,4.127105,4.321912,4.428118,4.233529,4.056841,4.659038", \ - "3.800941,4.084495,4.196094,4.263832,4.397410,4.553014,4.497613", \ - "3.788115,4.104992,4.198503,4.251378,4.210861,4.374416,4.379996", \ - "4.282794,4.493134,4.549832,4.567933,4.656082,4.141230,4.236414", \ - "5.262646,5.424958,5.432943,5.388822,5.276516,4.853794,5.095231", \ - "6.817241,6.871018,6.965541,6.913514,6.690459,6.407444,6.126967", \ - "8.882632,8.827555,9.028531,8.982553,8.783416,8.594669,8.506329"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.541666,8.709618,8.839797,8.897244,8.992471,8.814342,8.746632", \ - "8.483913,8.648014,8.780000,8.866522,8.961988,8.931051,8.893035", \ - "8.462108,8.663279,8.788946,8.879721,8.839496,9.004889,8.779189", \ - "8.831640,8.925268,8.950563,9.050343,9.138787,9.112389,9.172575", \ - "9.432717,9.403877,9.436041,9.462355,9.524344,9.480220,9.294062", \ - "10.402810,10.291940,10.253590,10.241770,10.193300,10.124100,10.046370", \ - "11.609560,11.501200,11.364620,11.253810,11.144430,11.182360,11.038780"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.691800,7.075925,7.348653,7.637786,7.798285,7.859701,7.870165", \ - "6.604612,7.006585,7.259957,7.553804,7.711682,7.771160,7.786967", \ - "6.620409,6.981620,7.239308,7.524495,7.694801,7.754883,7.783192", \ - "7.130653,7.416578,7.621059,7.893213,8.044426,8.109938,8.139096", \ - "7.854152,8.021502,8.201767,8.549781,8.851511,8.916793,8.927390", \ - "9.334686,9.306709,9.406249,9.623093,9.916078,10.210510,10.244910", \ - "11.371380,11.241920,11.230550,11.348990,11.641830,11.877180,12.153120"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.826075,4.127099,4.321883,4.428097,4.233505,4.056823,4.659036", \ - "3.800939,4.084477,4.196057,4.263807,4.397397,4.553019,4.497603", \ - "3.788122,4.104973,4.198471,4.251354,4.210828,4.374413,4.379983", \ - "4.282790,4.493117,4.549797,4.567907,4.656071,4.141206,4.236390", \ - "5.262629,5.424931,5.432906,5.388782,5.276481,4.853771,5.095207", \ - "6.817192,6.870980,6.965497,6.913485,6.690441,6.407429,6.126929", \ - "8.882593,8.827507,9.028480,8.982514,8.783367,8.594642,8.506308"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.540414,8.706271,8.839226,8.897072,8.993092,9.005914,8.750959", \ - "8.482934,8.647153,8.779406,8.866384,8.962686,8.933432,8.897477", \ - "8.464592,8.662476,8.788406,8.879611,8.840188,8.998968,8.783610", \ - "8.789407,8.924488,8.949985,9.050276,9.139583,9.157199,9.176717", \ - "9.431836,9.469994,9.435537,9.462335,9.525188,9.482495,9.298512", \ - "10.404720,10.291310,10.253260,10.241910,10.194220,10.126460,10.050880", \ - "11.608950,11.500760,11.364430,11.254030,11.145470,11.185540,11.043340"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & !SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.692091,7.076166,7.348857,7.637720,7.798397,7.859711,7.870214", \ - "6.604876,7.006823,7.260158,7.553583,7.705093,7.768635,7.787000", \ - "6.620670,6.981848,7.239500,7.524662,7.688369,7.754879,7.783224", \ - "7.130880,7.416793,7.621238,7.893593,8.050549,8.118671,8.139153", \ - "7.854345,8.021672,8.201923,8.549922,8.851250,8.916828,8.927448", \ - "9.334847,9.306862,9.406383,9.623243,9.915994,10.193330,10.244950", \ - "11.371540,11.242070,11.230690,11.333700,11.633050,11.877240,12.149060"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("3.826106,4.127131,4.321928,4.384901,4.518022,4.007465,4.659053", \ - "3.800962,4.084518,4.196118,4.378459,4.351980,4.506473,4.497636", \ - "3.788138,4.105011,4.201687,4.207047,4.164265,4.326838,4.380028", \ - "4.282810,4.493151,4.549852,4.523678,4.610907,4.141353,4.236479", \ - "5.262666,5.424979,5.432964,5.388551,5.357137,4.802965,5.095276", \ - "6.817256,6.871043,6.965563,6.868552,6.643408,6.357170,6.127030", \ - "8.882648,8.827582,9.028551,8.934133,8.783116,8.591600,8.506344"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & SN"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.541730,8.710100,8.839878,8.897332,8.992449,8.816009,8.746688", \ - "8.483992,8.648085,8.780081,8.866596,8.962063,8.931113,8.893105", \ - "8.462197,8.663348,8.789025,8.879798,8.839586,9.004944,8.779268", \ - "8.831706,8.925340,8.950642,9.050418,9.138846,9.112436,9.172675", \ - "9.432787,9.403951,9.436106,9.462423,9.524397,9.480295,9.294195", \ - "10.402860,10.292000,10.253640,10.241840,10.193370,10.124200,10.046420", \ - "11.609610,11.501260,11.364670,11.253870,11.144500,11.182410,11.038870"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.223188,6.369378,6.541455,6.775932,6.828172,6.839734,6.845919", \ - "6.204653,6.325454,6.497609,6.716717,6.818679,6.990588,7.007103", \ - "6.182957,6.337308,6.502373,6.660107,6.837815,6.810243,6.884133", \ - "6.376494,6.472499,6.612562,6.738198,6.975327,6.845988,7.072468", \ - "6.799028,6.861808,6.886433,7.073600,7.145213,7.155536,7.240324", \ - "7.394663,7.365359,7.412846,7.524836,7.560147,7.463812,7.610056", \ - "8.240759,8.162127,8.134727,8.117434,8.186626,8.223623,8.148350"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.223123,6.369304,6.541374,6.775805,6.863919,6.839571,7.009854", \ - "6.204584,6.325380,6.497527,6.716618,6.818552,6.990423,7.006862", \ - "6.182889,6.337233,6.502274,6.660009,6.837690,6.810081,6.883892", \ - "6.376410,6.472423,6.612478,6.738100,6.975203,6.845827,7.072255", \ - "6.798965,6.861736,6.886354,7.073507,7.145097,7.155384,7.240130", \ - "7.431760,7.365301,7.412776,7.524754,7.560045,7.463588,7.609871", \ - "8.240728,8.162089,8.134684,8.117407,8.186548,8.223513,8.148193"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.223312,6.369516,6.541609,6.776066,6.838122,6.840078,6.846365", \ - "6.204775,6.325593,6.497762,6.716913,6.818940,6.990945,7.007551", \ - "6.183079,6.337449,6.502516,6.660300,6.838074,6.810593,6.884575", \ - "6.376611,6.472640,6.612723,6.738390,6.975578,6.846318,7.072902", \ - "6.799147,6.861941,6.886582,7.073781,7.145448,7.155857,7.240733", \ - "7.431866,7.365466,7.412964,7.524982,7.560352,7.464091,7.610433", \ - "8.240814,8.162189,8.134801,8.117553,8.186780,8.223861,8.148685"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.152916,6.298722,6.470320,6.689311,6.822601,6.957839,6.851311", \ - "6.135488,6.256323,6.428489,6.647475,6.749262,6.931248,6.940330", \ - "6.115983,6.268875,6.361156,6.647268,6.769247,6.747198,6.818090", \ - "6.269098,6.404545,6.544619,6.670113,6.907008,6.778488,7.003017", \ - "6.732171,6.797052,6.819239,7.006390,7.077598,7.089108,7.175492", \ - "7.327849,7.292607,7.371001,7.473485,7.493206,7.575768,7.362828", \ - "8.177883,8.099063,8.071078,8.043489,8.118922,8.119010,8.084078"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.152972,6.298779,6.470373,6.689396,6.806357,6.955957,6.962330", \ - "6.135546,6.256379,6.428541,6.647558,6.749381,6.931445,6.940565", \ - "6.116043,6.268935,6.361202,6.647322,6.769360,6.747378,6.818313", \ - "6.269142,6.404597,6.544680,6.670184,6.907105,6.778591,7.003161", \ - "6.732213,6.797101,6.819300,7.006465,7.077687,7.089265,7.175654", \ - "7.327884,7.292642,7.371055,7.473537,7.493293,7.575890,7.362941", \ - "8.177905,8.099084,8.071114,8.043530,8.118984,8.119144,8.084232"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.153240,6.299072,6.470683,6.689754,6.807433,6.949300,6.851361", \ - "6.135818,6.256673,6.428852,6.647934,6.749856,6.932085,6.941369", \ - "6.116314,6.269232,6.361511,6.647711,6.769829,6.748009,6.819106", \ - "6.269412,6.404892,6.545091,6.670549,6.907557,6.779156,7.003929", \ - "6.732476,6.797386,6.819610,7.006818,7.078113,7.089834,7.176360", \ - "7.328109,7.292882,7.371336,7.473833,7.493676,7.576395,7.363584", \ - "8.178059,8.099255,8.071309,8.043756,8.119284,8.119585,8.084826"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.223113,6.369294,6.541369,6.775778,6.837271,6.839642,6.845735", \ - "6.204575,6.325371,6.497523,6.716626,6.818572,6.990446,7.006980", \ - "6.182875,6.337224,6.502279,6.660017,6.837710,6.810079,6.884005", \ - "6.376416,6.472401,6.612545,6.738106,6.975226,6.845870,7.072433", \ - "6.798952,6.861726,6.886347,7.073512,7.145116,7.155421,7.240187", \ - "7.431745,7.365279,7.412838,7.524755,7.560058,7.463674,7.609945", \ - "8.240708,8.162068,8.134662,8.117385,8.186551,8.223525,8.148231"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.153180,6.299011,6.470626,6.689683,6.821104,6.960551,6.970816", \ - "6.135755,6.256612,6.428796,6.647848,6.749732,6.931869,6.941202", \ - "6.116252,6.269169,6.361461,6.647626,6.769710,6.747805,6.818854", \ - "6.269363,6.404837,6.544934,6.670473,6.907454,6.779049,7.003761", \ - "6.732428,6.797331,6.819545,7.006738,7.078019,7.089670,7.176191", \ - "7.328068,7.292843,7.371267,7.473778,7.493582,7.576266,7.363467", \ - "8.178032,8.099230,8.071268,8.043712,8.119217,8.119445,8.084666"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.152917,6.288525,6.400311,6.520486,7.112101,8.996998,9.091428", \ - "6.109234,6.244693,6.356908,6.509720,7.116716,8.956820,9.251715", \ - "6.152171,6.259975,6.318610,6.452715,7.124084,8.890613,9.127587", \ - "6.346980,6.399859,6.456863,6.582445,7.190172,9.008631,9.203907", \ - "6.793212,6.804327,6.814468,6.899095,7.502021,9.148014,9.494167", \ - "7.400666,7.313032,7.284376,7.331188,7.851658,9.526086,9.699535", \ - "8.241374,8.055750,7.954562,7.908642,8.285686,10.214520,10.490710"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.152857,6.288456,6.400234,6.520394,7.117591,9.010090,9.091263", \ - "6.109174,6.244624,6.356831,6.509627,7.115328,8.956667,9.251477", \ - "6.152109,6.259902,6.318528,6.452623,7.124122,8.890467,9.127359", \ - "6.346918,6.399788,6.471936,6.582353,7.190066,9.008435,9.203711", \ - "6.793154,6.804260,6.772610,6.899008,7.501917,9.147857,9.494208", \ - "7.400618,7.312977,7.284317,7.331128,7.851515,9.525958,9.699356", \ - "8.241345,8.055715,7.954521,7.908589,8.285616,10.214410,10.490560"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.153033,6.288658,6.400459,6.520668,7.117418,8.982975,9.091883", \ - "6.109351,6.244827,6.357055,6.509906,7.115888,8.957185,9.252122", \ - "6.152290,6.260107,6.318744,6.452899,7.124439,8.890967,9.127999", \ - "6.347103,6.399994,6.472169,6.582627,7.190419,9.008944,9.204328", \ - "6.793329,6.804455,6.814613,6.899268,7.502239,9.148308,9.494567", \ - "7.400760,7.313134,7.284506,7.331365,7.851821,9.526345,9.699904", \ - "8.241423,8.055810,7.954632,7.908737,8.285826,10.214730,10.491040"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.152947,6.288527,6.400307,6.520469,7.135214,8.978077,9.093891", \ - "6.109231,6.244695,6.356913,6.509755,7.153555,8.957309,9.254143", \ - "6.152163,6.259964,6.318603,6.452707,7.124831,8.798531,9.129978", \ - "6.346968,6.399854,6.457229,6.582589,7.189722,9.009520,9.206327", \ - "6.793203,6.804327,6.772695,6.899061,7.501589,9.149946,9.496569", \ - "7.400647,7.313030,7.284381,7.330651,7.864949,9.391593,9.904714", \ - "8.241317,8.055735,7.954557,7.908660,8.285382,10.196850,10.492820"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.152991,6.288580,6.400356,6.520524,7.136622,8.974249,9.094161", \ - "6.109276,6.244747,6.356961,6.509830,7.154702,8.957499,9.254499", \ - "6.152214,6.260020,6.318646,6.452767,7.125047,8.797642,9.130352", \ - "6.347011,6.399900,6.457364,6.582666,7.189738,9.009810,9.206608", \ - "6.793240,6.804372,6.772753,6.899126,7.501617,9.150250,9.497034", \ - "7.400679,7.313064,7.284423,7.330570,7.863411,9.394158,9.900187", \ - "8.241327,8.055749,7.954586,7.908699,8.285405,10.197010,10.493050"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.153251,6.288867,6.400660,6.520865,7.136047,8.974766,9.094910", \ - "6.109538,6.245035,6.357265,6.510197,7.155106,8.958122,9.255260", \ - "6.152484,6.260312,6.318954,6.453125,7.125511,8.798328,9.131079", \ - "6.347278,6.400189,6.472394,6.583026,7.190190,9.010362,9.207338", \ - "6.793499,6.804651,6.814845,6.899474,7.502053,9.150800,9.497512", \ - "7.400901,7.313303,7.284691,7.330908,7.863911,9.394451,9.901140", \ - "8.241482,8.055917,7.954776,7.908925,8.285710,10.197450,10.493630"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.152837,6.288440,6.400222,6.520391,7.116046,8.981609,9.091238", \ - "6.109155,6.244608,6.356819,6.509621,7.115636,8.956706,9.251552", \ - "6.152087,6.259886,6.318514,6.452620,7.124051,8.890515,9.127426", \ - "6.346902,6.399774,6.456792,6.582349,7.190084,9.008497,9.203767", \ - "6.793137,6.804244,6.814379,6.899001,7.501916,9.147886,9.494033", \ - "7.400600,7.312958,7.284310,7.331144,7.851527,9.525969,9.699409", \ - "8.241318,8.055691,7.954496,7.908570,8.285605,10.214410,10.490600"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.153203,6.288809,6.400607,6.520818,7.135351,8.973402,9.094549", \ - "6.109490,6.244977,6.357212,6.510118,7.153735,8.957883,9.254914", \ - "6.152425,6.260251,6.318902,6.453060,7.125230,8.799444,9.130748", \ - "6.347230,6.400137,6.457516,6.582941,7.190166,9.010004,9.207026", \ - "6.793456,6.804602,6.814780,6.899403,7.502019,9.150452,9.497226", \ - "7.400862,7.313262,7.284636,7.330982,7.865800,9.391426,9.702449", \ - "8.241464,8.055899,7.954745,7.908882,8.285688,10.197270,10.493380"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.083961,0.088843,0.093050,0.099933,0.111302,0.131098,0.168187", \ - "0.085440,0.090320,0.094528,0.101414,0.112782,0.132580,0.169664", \ - "0.090598,0.095479,0.099687,0.106575,0.117943,0.137739,0.174822", \ - "0.096556,0.101434,0.105641,0.112532,0.123899,0.143696,0.180784", \ - "0.101202,0.106072,0.110282,0.117175,0.128540,0.148334,0.185415", \ - "0.104279,0.109163,0.113366,0.120257,0.131631,0.151424,0.188508", \ - "0.105362,0.110242,0.114448,0.121336,0.132714,0.152523,0.189602"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.080925,0.087443,0.093380,0.103806,0.122897,0.159564,0.232211", \ - "0.082412,0.088930,0.094865,0.105291,0.124385,0.161051,0.233696", \ - "0.087477,0.093994,0.099930,0.110357,0.129450,0.166114,0.238761", \ - "0.093163,0.099681,0.105613,0.116040,0.135132,0.171802,0.244447", \ - "0.097373,0.103886,0.109818,0.120247,0.139337,0.176005,0.248646", \ - "0.100096,0.106614,0.112504,0.122964,0.142023,0.178690,0.251325", \ - "0.100985,0.107505,0.113441,0.123838,0.142907,0.179561,0.252196"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006215,0.008236,0.010234,0.013924,0.021053,0.035578,0.066269", \ - "0.006215,0.008234,0.010235,0.013923,0.021052,0.035576,0.066267", \ - "0.006214,0.008235,0.010236,0.013924,0.021051,0.035578,0.066262", \ - "0.006215,0.008235,0.010234,0.013925,0.021052,0.035573,0.066270", \ - "0.006215,0.008234,0.010238,0.013924,0.021052,0.035575,0.066275", \ - "0.006215,0.008236,0.010235,0.013925,0.021053,0.035568,0.066265", \ - "0.006217,0.008237,0.010236,0.013924,0.021051,0.035577,0.066271"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006914,0.010816,0.014786,0.022670,0.038926,0.072645,0.141416", \ - "0.006916,0.010821,0.014786,0.022672,0.038926,0.072645,0.141420", \ - "0.006915,0.010820,0.014787,0.022671,0.038926,0.072647,0.141415", \ - "0.006915,0.010822,0.014789,0.022673,0.038925,0.072646,0.141413", \ - "0.006916,0.010821,0.014791,0.022676,0.038927,0.072643,0.141416", \ - "0.006927,0.010827,0.014795,0.022677,0.038927,0.072646,0.141418", \ - "0.006936,0.010837,0.014801,0.022682,0.038930,0.072646,0.141420"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.179326,0.186387,0.192645,0.203268,0.222233,0.258651,0.331065", \ - "0.180808,0.187865,0.194131,0.204753,0.223718,0.260126,0.332543", \ - "0.186924,0.193979,0.200249,0.210873,0.229843,0.266243,0.338666", \ - "0.197012,0.204071,0.210339,0.220963,0.239936,0.276346,0.348766", \ - "0.211705,0.218764,0.225021,0.235638,0.254597,0.291009,0.363432", \ - "0.232731,0.239826,0.246073,0.256686,0.275615,0.312015,0.384444", \ - "0.260390,0.267476,0.273762,0.284394,0.303363,0.339778,0.412182"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.008633,0.012406,0.016122,0.023459,0.039130,0.072737,0.141419", \ - "0.008631,0.012405,0.016120,0.023456,0.039132,0.072752,0.141430", \ - "0.008633,0.012407,0.016119,0.023457,0.039138,0.072748,0.141430", \ - "0.008630,0.012406,0.016119,0.023456,0.039136,0.072729,0.141426", \ - "0.008632,0.012405,0.016121,0.023457,0.039138,0.072741,0.141423", \ - "0.008636,0.012410,0.016123,0.023457,0.039136,0.072736,0.141433", \ - "0.008754,0.012528,0.016222,0.023528,0.039167,0.072751,0.141431"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.179326,0.186387,0.192645,0.203268,0.222233,0.258651,0.331062", \ - "0.180808,0.187866,0.194131,0.204753,0.223718,0.260127,0.332543", \ - "0.186924,0.193980,0.200249,0.210873,0.229843,0.266244,0.338666", \ - "0.197014,0.204072,0.210339,0.220963,0.239936,0.276346,0.348766", \ - "0.211706,0.218764,0.225021,0.235639,0.254597,0.291009,0.363433", \ - "0.232731,0.239827,0.246075,0.256688,0.275616,0.312015,0.384444", \ - "0.260390,0.267476,0.273762,0.284394,0.303363,0.339778,0.412182"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.008633,0.012406,0.016122,0.023459,0.039130,0.072737,0.141419", \ - "0.008631,0.012405,0.016120,0.023456,0.039132,0.072752,0.141430", \ - "0.008633,0.012407,0.016119,0.023457,0.039138,0.072748,0.141430", \ - "0.008630,0.012406,0.016119,0.023456,0.039136,0.072729,0.141426", \ - "0.008632,0.012405,0.016121,0.023457,0.039138,0.072741,0.141423", \ - "0.008636,0.012410,0.016124,0.023457,0.039136,0.072736,0.141433", \ - "0.008754,0.012528,0.016222,0.023528,0.039167,0.072751,0.141431"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.179325,0.186385,0.192644,0.203268,0.222235,0.258650,0.331064", \ - "0.180807,0.187864,0.194129,0.204752,0.223717,0.260126,0.332542", \ - "0.186923,0.193978,0.200248,0.210871,0.229842,0.266243,0.338665", \ - "0.197011,0.204070,0.210338,0.220962,0.239935,0.276345,0.348766", \ - "0.211704,0.218763,0.225020,0.235637,0.254596,0.291008,0.363432", \ - "0.232730,0.239825,0.246073,0.256686,0.275614,0.312014,0.384443", \ - "0.260389,0.267475,0.273761,0.284393,0.303362,0.339777,0.412181"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.008633,0.012406,0.016122,0.023457,0.039129,0.072737,0.141419", \ - "0.008631,0.012405,0.016120,0.023456,0.039132,0.072752,0.141430", \ - "0.008633,0.012407,0.016119,0.023457,0.039138,0.072748,0.141430", \ - "0.008630,0.012405,0.016119,0.023456,0.039136,0.072729,0.141426", \ - "0.008632,0.012405,0.016120,0.023457,0.039138,0.072741,0.141423", \ - "0.008636,0.012410,0.016124,0.023457,0.039136,0.072736,0.141433", \ - "0.008754,0.012528,0.016222,0.023528,0.039167,0.072751,0.141431"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.179347,0.186410,0.192679,0.203305,0.222273,0.258687,0.331108", \ - "0.180833,0.187890,0.194154,0.204777,0.223742,0.260159,0.332579", \ - "0.186949,0.194003,0.200272,0.210894,0.229865,0.266270,0.338699", \ - "0.197068,0.204124,0.210392,0.221017,0.239991,0.276399,0.348822", \ - "0.211779,0.218834,0.225098,0.235726,0.254674,0.291098,0.363516", \ - "0.232858,0.239908,0.246172,0.256753,0.275707,0.312134,0.384565", \ - "0.260523,0.267609,0.273895,0.284539,0.303497,0.339923,0.412347"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.008628,0.012405,0.016119,0.023457,0.039131,0.072737,0.141418", \ - "0.008628,0.012402,0.016117,0.023455,0.039132,0.072749,0.141431", \ - "0.008630,0.012404,0.016118,0.023455,0.039137,0.072749,0.141428", \ - "0.008628,0.012403,0.016115,0.023452,0.039136,0.072733,0.141426", \ - "0.008629,0.012403,0.016119,0.023457,0.039137,0.072726,0.141422", \ - "0.008632,0.012407,0.016121,0.023456,0.039136,0.072738,0.141433", \ - "0.008755,0.012517,0.016217,0.023526,0.039162,0.072755,0.141440"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.179347,0.186410,0.192679,0.203305,0.222273,0.258678,0.331108", \ - "0.180833,0.187890,0.194154,0.204777,0.223742,0.260159,0.332579", \ - "0.186949,0.194003,0.200272,0.210894,0.229865,0.266270,0.338699", \ - "0.197068,0.204124,0.210392,0.221017,0.239991,0.276399,0.348822", \ - "0.211779,0.218834,0.225098,0.235726,0.254674,0.291098,0.363516", \ - "0.232858,0.239908,0.246171,0.256753,0.275707,0.312134,0.384564", \ - "0.260523,0.267609,0.273895,0.284539,0.303497,0.339927,0.412355"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.008628,0.012405,0.016119,0.023457,0.039131,0.072733,0.141418", \ - "0.008628,0.012402,0.016117,0.023455,0.039132,0.072749,0.141431", \ - "0.008630,0.012404,0.016118,0.023455,0.039137,0.072749,0.141428", \ - "0.008628,0.012403,0.016115,0.023452,0.039136,0.072733,0.141426", \ - "0.008629,0.012403,0.016119,0.023457,0.039137,0.072726,0.141422", \ - "0.008632,0.012407,0.016121,0.023456,0.039136,0.072738,0.141429", \ - "0.008755,0.012517,0.016217,0.023526,0.039162,0.072755,0.141440"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.179347,0.186410,0.192679,0.203305,0.222273,0.258686,0.331108", \ - "0.180833,0.187890,0.194154,0.204777,0.223742,0.260159,0.332579", \ - "0.186949,0.194003,0.200272,0.210894,0.229865,0.266270,0.338699", \ - "0.197068,0.204124,0.210392,0.221017,0.239991,0.276399,0.348822", \ - "0.211779,0.218834,0.225098,0.235726,0.254674,0.291098,0.363516", \ - "0.232858,0.239909,0.246172,0.256753,0.275707,0.312134,0.384565", \ - "0.260523,0.267609,0.273895,0.284539,0.303497,0.339927,0.412355"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.008628,0.012405,0.016119,0.023457,0.039131,0.072737,0.141418", \ - "0.008628,0.012402,0.016117,0.023455,0.039132,0.072749,0.141431", \ - "0.008630,0.012404,0.016118,0.023455,0.039137,0.072749,0.141428", \ - "0.008628,0.012403,0.016115,0.023452,0.039136,0.072733,0.141426", \ - "0.008629,0.012403,0.016119,0.023457,0.039137,0.072726,0.141422", \ - "0.008632,0.012407,0.016121,0.023456,0.039136,0.072738,0.141433", \ - "0.008755,0.012517,0.016217,0.023526,0.039162,0.072755,0.141440"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.179325,0.186385,0.192644,0.203268,0.222235,0.258651,0.331064", \ - "0.180807,0.187864,0.194129,0.204752,0.223717,0.260126,0.332542", \ - "0.186923,0.193978,0.200248,0.210871,0.229842,0.266243,0.338665", \ - "0.197012,0.204070,0.210338,0.220962,0.239935,0.276345,0.348766", \ - "0.211704,0.218763,0.225020,0.235637,0.254596,0.291008,0.363432", \ - "0.232730,0.239825,0.246073,0.256686,0.275614,0.312014,0.384443", \ - "0.260389,0.267475,0.273761,0.284393,0.303362,0.339777,0.412181"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.008633,0.012406,0.016122,0.023457,0.039130,0.072737,0.141419", \ - "0.008631,0.012405,0.016120,0.023456,0.039132,0.072752,0.141430", \ - "0.008633,0.012407,0.016119,0.023457,0.039138,0.072748,0.141430", \ - "0.008630,0.012405,0.016119,0.023456,0.039136,0.072729,0.141426", \ - "0.008632,0.012405,0.016120,0.023457,0.039138,0.072741,0.141423", \ - "0.008636,0.012410,0.016124,0.023457,0.039136,0.072736,0.141433", \ - "0.008754,0.012528,0.016222,0.023528,0.039167,0.072751,0.141431"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.179347,0.186410,0.192679,0.203305,0.222273,0.258687,0.331108", \ - "0.180833,0.187890,0.194154,0.204777,0.223742,0.260159,0.332579", \ - "0.186949,0.194003,0.200272,0.210894,0.229865,0.266270,0.338699", \ - "0.197068,0.204124,0.210392,0.221017,0.239991,0.276399,0.348822", \ - "0.211779,0.218834,0.225098,0.235726,0.254674,0.291098,0.363516", \ - "0.232858,0.239908,0.246172,0.256753,0.275707,0.312134,0.384565", \ - "0.260523,0.267609,0.273895,0.284539,0.303497,0.339927,0.412355"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.008628,0.012405,0.016119,0.023457,0.039131,0.072737,0.141418", \ - "0.008628,0.012402,0.016117,0.023455,0.039132,0.072749,0.141431", \ - "0.008630,0.012404,0.016118,0.023455,0.039137,0.072749,0.141428", \ - "0.008628,0.012403,0.016115,0.023452,0.039136,0.072733,0.141426", \ - "0.008629,0.012403,0.016119,0.023457,0.039137,0.072726,0.141422", \ - "0.008632,0.012407,0.016121,0.023456,0.039136,0.072738,0.141433", \ - "0.008755,0.012517,0.016217,0.023526,0.039162,0.072755,0.141440"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.162960,0.169448,0.175329,0.185607,0.204415,0.240975,0.313590", \ - "0.164384,0.170864,0.176743,0.187021,0.205825,0.242380,0.314998", \ - "0.170601,0.177078,0.182957,0.193242,0.212038,0.248590,0.321211", \ - "0.179827,0.186320,0.192181,0.202458,0.221268,0.257806,0.330426", \ - "0.189966,0.196456,0.202333,0.212605,0.231428,0.267966,0.340584", \ - "0.201373,0.207868,0.213745,0.224013,0.242860,0.279428,0.352028", \ - "0.214404,0.220876,0.226746,0.237012,0.255874,0.292443,0.365053"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006898,0.010722,0.014608,0.022356,0.038586,0.072526,0.141361", \ - "0.006889,0.010715,0.014599,0.022349,0.038582,0.072542,0.141355", \ - "0.006888,0.010714,0.014600,0.022345,0.038576,0.072539,0.141363", \ - "0.006884,0.010711,0.014595,0.022343,0.038571,0.072539,0.141368", \ - "0.006883,0.010709,0.014593,0.022345,0.038574,0.072525,0.141357", \ - "0.006887,0.010709,0.014594,0.022346,0.038580,0.072515,0.141354", \ - "0.006881,0.010707,0.014591,0.022328,0.038556,0.072517,0.141352"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.162960,0.169448,0.175329,0.185608,0.204416,0.240964,0.313587", \ - "0.164384,0.170864,0.176743,0.187021,0.205825,0.242381,0.314999", \ - "0.170601,0.177079,0.182958,0.193243,0.212039,0.248590,0.321211", \ - "0.179827,0.186320,0.192182,0.202458,0.221268,0.257806,0.330426", \ - "0.189967,0.196457,0.202334,0.212605,0.231428,0.267967,0.340584", \ - "0.201374,0.207868,0.213746,0.224013,0.242861,0.279428,0.352028", \ - "0.214404,0.220876,0.226746,0.237013,0.255874,0.292441,0.365053"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006898,0.010722,0.014608,0.022356,0.038586,0.072535,0.141361", \ - "0.006888,0.010715,0.014599,0.022349,0.038582,0.072542,0.141355", \ - "0.006888,0.010714,0.014600,0.022345,0.038576,0.072539,0.141363", \ - "0.006884,0.010711,0.014595,0.022343,0.038571,0.072539,0.141368", \ - "0.006883,0.010709,0.014593,0.022345,0.038574,0.072525,0.141357", \ - "0.006887,0.010709,0.014594,0.022346,0.038580,0.072515,0.141354", \ - "0.006881,0.010707,0.014591,0.022328,0.038556,0.072517,0.141352"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.162953,0.169443,0.175328,0.185602,0.204411,0.240960,0.313583", \ - "0.164374,0.170859,0.176738,0.187016,0.205820,0.242376,0.314995", \ - "0.170596,0.177074,0.182957,0.193237,0.212034,0.248586,0.321207", \ - "0.179820,0.186313,0.192176,0.202453,0.221263,0.257802,0.330422", \ - "0.189961,0.196452,0.202330,0.212600,0.231423,0.267963,0.340581", \ - "0.201370,0.207863,0.213737,0.224008,0.242856,0.279424,0.352025", \ - "0.214399,0.220872,0.226742,0.237008,0.255870,0.292440,0.365050"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006897,0.010721,0.014608,0.022356,0.038586,0.072535,0.141361", \ - "0.006890,0.010715,0.014599,0.022349,0.038582,0.072541,0.141355", \ - "0.006887,0.010714,0.014596,0.022345,0.038577,0.072539,0.141362", \ - "0.006885,0.010709,0.014594,0.022343,0.038571,0.072539,0.141368", \ - "0.006882,0.010709,0.014593,0.022345,0.038575,0.072526,0.141356", \ - "0.006887,0.010709,0.014593,0.022346,0.038580,0.072515,0.141354", \ - "0.006882,0.010707,0.014588,0.022329,0.038556,0.072517,0.141352"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.162940,0.169425,0.175308,0.185587,0.204396,0.240946,0.313570", \ - "0.164358,0.170843,0.176722,0.187001,0.205805,0.242362,0.314983", \ - "0.170580,0.177059,0.182941,0.193222,0.212019,0.248572,0.321196", \ - "0.179800,0.186297,0.192162,0.202438,0.221249,0.257793,0.330407", \ - "0.189947,0.196438,0.202316,0.212587,0.231409,0.267949,0.340570", \ - "0.201354,0.207846,0.213724,0.223995,0.242843,0.279412,0.352015", \ - "0.214387,0.220860,0.226730,0.236996,0.255859,0.292429,0.365039"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006897,0.010722,0.014609,0.022352,0.038586,0.072535,0.141360", \ - "0.006890,0.010715,0.014599,0.022349,0.038582,0.072542,0.141355", \ - "0.006886,0.010714,0.014595,0.022345,0.038576,0.072539,0.141363", \ - "0.006883,0.010709,0.014594,0.022342,0.038571,0.072531,0.141358", \ - "0.006882,0.010709,0.014593,0.022344,0.038578,0.072526,0.141357", \ - "0.006884,0.010708,0.014594,0.022346,0.038580,0.072514,0.141354", \ - "0.006882,0.010707,0.014588,0.022329,0.038556,0.072517,0.141354"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.162940,0.169425,0.175312,0.185587,0.204396,0.240946,0.313571", \ - "0.164358,0.170843,0.176722,0.187001,0.205805,0.242362,0.314983", \ - "0.170580,0.177059,0.182941,0.193222,0.212019,0.248572,0.321196", \ - "0.179805,0.186297,0.192161,0.202438,0.221249,0.257793,0.330407", \ - "0.189947,0.196438,0.202316,0.212587,0.231409,0.267949,0.340570", \ - "0.201354,0.207846,0.213724,0.223995,0.242843,0.279412,0.352015", \ - "0.214387,0.220860,0.226730,0.236996,0.255859,0.292426,0.365041"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006897,0.010723,0.014608,0.022352,0.038586,0.072535,0.141360", \ - "0.006890,0.010715,0.014599,0.022349,0.038582,0.072542,0.141355", \ - "0.006886,0.010714,0.014595,0.022345,0.038576,0.072539,0.141363", \ - "0.006884,0.010709,0.014594,0.022342,0.038571,0.072531,0.141358", \ - "0.006882,0.010709,0.014593,0.022344,0.038578,0.072526,0.141357", \ - "0.006884,0.010708,0.014594,0.022346,0.038580,0.072514,0.141354", \ - "0.006882,0.010707,0.014588,0.022329,0.038556,0.072516,0.141352"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.162940,0.169425,0.175312,0.185587,0.204395,0.240948,0.313571", \ - "0.164358,0.170843,0.176722,0.187001,0.205805,0.242362,0.314983", \ - "0.170580,0.177059,0.182941,0.193222,0.212019,0.248572,0.321196", \ - "0.179800,0.186297,0.192161,0.202438,0.221249,0.257793,0.330407", \ - "0.189947,0.196438,0.202316,0.212587,0.231409,0.267949,0.340570", \ - "0.201354,0.207846,0.213724,0.223995,0.242843,0.279412,0.352015", \ - "0.214387,0.220860,0.226730,0.236996,0.255859,0.292426,0.365041"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006897,0.010723,0.014608,0.022352,0.038586,0.072534,0.141361", \ - "0.006890,0.010715,0.014599,0.022349,0.038582,0.072542,0.141355", \ - "0.006886,0.010714,0.014595,0.022345,0.038576,0.072539,0.141363", \ - "0.006883,0.010709,0.014594,0.022342,0.038571,0.072531,0.141358", \ - "0.006882,0.010709,0.014593,0.022344,0.038578,0.072526,0.141357", \ - "0.006884,0.010708,0.014594,0.022346,0.038580,0.072514,0.141354", \ - "0.006882,0.010707,0.014588,0.022329,0.038556,0.072516,0.141352"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & !SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.162953,0.169443,0.175328,0.185602,0.204412,0.240960,0.313587", \ - "0.164374,0.170859,0.176738,0.187016,0.205820,0.242376,0.314995", \ - "0.170596,0.177074,0.182957,0.193238,0.212034,0.248586,0.321207", \ - "0.179820,0.186313,0.192176,0.202453,0.221263,0.257802,0.330422", \ - "0.189961,0.196452,0.202331,0.212600,0.231423,0.267962,0.340581", \ - "0.201370,0.207863,0.213737,0.224008,0.242856,0.279424,0.352025", \ - "0.214400,0.220872,0.226742,0.237008,0.255871,0.292440,0.365050"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006897,0.010721,0.014608,0.022356,0.038586,0.072535,0.141360", \ - "0.006890,0.010715,0.014599,0.022349,0.038582,0.072541,0.141355", \ - "0.006887,0.010714,0.014596,0.022345,0.038576,0.072539,0.141362", \ - "0.006885,0.010709,0.014594,0.022343,0.038571,0.072539,0.141368", \ - "0.006882,0.010709,0.014593,0.022345,0.038575,0.072525,0.141356", \ - "0.006887,0.010709,0.014593,0.022346,0.038580,0.072515,0.141354", \ - "0.006882,0.010707,0.014588,0.022329,0.038556,0.072517,0.141352"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & SI & SN"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.162940,0.169425,0.175312,0.185587,0.204396,0.240956,0.313571", \ - "0.164358,0.170843,0.176722,0.187001,0.205805,0.242362,0.314983", \ - "0.170580,0.177059,0.182941,0.193222,0.212019,0.248572,0.321196", \ - "0.179800,0.186297,0.192161,0.202438,0.221249,0.257793,0.330407", \ - "0.189947,0.196438,0.202316,0.212587,0.231409,0.267949,0.340570", \ - "0.201354,0.207846,0.213724,0.223995,0.242843,0.279412,0.352015", \ - "0.214387,0.220860,0.226730,0.236996,0.255859,0.292426,0.365041"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006897,0.010723,0.014608,0.022352,0.038586,0.072526,0.141361", \ - "0.006890,0.010715,0.014599,0.022349,0.038582,0.072542,0.141355", \ - "0.006886,0.010714,0.014595,0.022345,0.038576,0.072539,0.141363", \ - "0.006883,0.010709,0.014594,0.022342,0.038571,0.072531,0.141358", \ - "0.006882,0.010709,0.014593,0.022344,0.038578,0.072526,0.141357", \ - "0.006884,0.010708,0.014594,0.022346,0.038580,0.072514,0.141354", \ - "0.006882,0.010707,0.014588,0.022329,0.038556,0.072516,0.141352"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028272,0.032930,0.036944,0.043541,0.054540,0.073969,0.110886", \ - "0.029852,0.034510,0.038525,0.045122,0.056124,0.075555,0.112480", \ - "0.036054,0.040698,0.044705,0.051301,0.062309,0.081748,0.118671", \ - "0.048174,0.052912,0.056948,0.063576,0.074614,0.094065,0.130986", \ - "0.061460,0.066780,0.071326,0.078612,0.090294,0.110088,0.146994", \ - "0.074949,0.080813,0.085856,0.093900,0.106499,0.127088,0.164335", \ - "0.089028,0.095385,0.100915,0.109726,0.123401,0.145058,0.182810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029862,0.036241,0.042048,0.052283,0.071108,0.107630,0.180294", \ - "0.031144,0.037520,0.043326,0.053559,0.072386,0.108896,0.181581", \ - "0.036147,0.042524,0.048318,0.058532,0.077334,0.113847,0.186526", \ - "0.045214,0.051678,0.057501,0.067684,0.086401,0.122820,0.195474", \ - "0.053463,0.060439,0.066553,0.076945,0.095719,0.132049,0.204544", \ - "0.060136,0.067694,0.074368,0.085217,0.104073,0.140292,0.212690", \ - "0.065316,0.073384,0.080660,0.092423,0.111737,0.147879,0.220105"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005631,0.007605,0.009567,0.013232,0.020363,0.035069,0.066055", \ - "0.005626,0.007606,0.009567,0.013231,0.020358,0.035064,0.066064", \ - "0.005629,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ - "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066077", \ - "0.008557,0.010348,0.012112,0.015397,0.021882,0.035738,0.066178", \ - "0.010773,0.012653,0.014475,0.017738,0.023958,0.037189,0.066741", \ - "0.013179,0.015152,0.017059,0.020431,0.026522,0.039084,0.067639"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006584,0.010414,0.014331,0.022149,0.038488,0.072553,0.141545", \ - "0.006590,0.010415,0.014330,0.022154,0.038478,0.072536,0.141548", \ - "0.006586,0.010416,0.014330,0.022157,0.038488,0.072538,0.141561", \ - "0.007229,0.010908,0.014696,0.022361,0.038561,0.072547,0.141549", \ - "0.008781,0.012338,0.015886,0.023172,0.038986,0.072640,0.141528", \ - "0.010622,0.014289,0.017769,0.024473,0.039559,0.072922,0.141631", \ - "0.012791,0.016539,0.020220,0.026639,0.040699,0.073264,0.141795"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028272,0.032930,0.036944,0.043541,0.054540,0.073969,0.110886", \ - "0.029852,0.034510,0.038525,0.045122,0.056124,0.075555,0.112480", \ - "0.036054,0.040698,0.044705,0.051301,0.062309,0.081748,0.118671", \ - "0.048174,0.052912,0.056948,0.063576,0.074614,0.094065,0.130986", \ - "0.061460,0.066780,0.071326,0.078612,0.090294,0.110088,0.146994", \ - "0.074949,0.080813,0.085856,0.093900,0.106499,0.127088,0.164335", \ - "0.089028,0.095385,0.100915,0.109726,0.123401,0.145058,0.182810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029862,0.036241,0.042048,0.052283,0.071108,0.107630,0.180294", \ - "0.031144,0.037520,0.043326,0.053559,0.072386,0.108896,0.181581", \ - "0.036147,0.042524,0.048318,0.058532,0.077334,0.113847,0.186526", \ - "0.045214,0.051678,0.057501,0.067684,0.086401,0.122820,0.195474", \ - "0.053463,0.060439,0.066553,0.076945,0.095719,0.132049,0.204544", \ - "0.060136,0.067694,0.074368,0.085217,0.104073,0.140292,0.212690", \ - "0.065316,0.073384,0.080660,0.092423,0.111737,0.147879,0.220104"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005631,0.007605,0.009567,0.013232,0.020363,0.035069,0.066055", \ - "0.005626,0.007606,0.009567,0.013231,0.020358,0.035064,0.066064", \ - "0.005629,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ - "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066077", \ - "0.008557,0.010348,0.012112,0.015397,0.021882,0.035738,0.066178", \ - "0.010773,0.012653,0.014475,0.017738,0.023958,0.037189,0.066741", \ - "0.013179,0.015152,0.017059,0.020431,0.026522,0.039084,0.067639"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006584,0.010414,0.014331,0.022149,0.038488,0.072553,0.141545", \ - "0.006590,0.010415,0.014330,0.022154,0.038478,0.072536,0.141548", \ - "0.006586,0.010416,0.014330,0.022157,0.038488,0.072538,0.141561", \ - "0.007229,0.010908,0.014696,0.022361,0.038561,0.072547,0.141549", \ - "0.008781,0.012338,0.015886,0.023172,0.038986,0.072640,0.141528", \ - "0.010622,0.014289,0.017769,0.024473,0.039559,0.072922,0.141631", \ - "0.012791,0.016539,0.020220,0.026639,0.040699,0.073264,0.141784"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028272,0.032930,0.036944,0.043541,0.054540,0.073969,0.110886", \ - "0.029852,0.034510,0.038525,0.045122,0.056124,0.075555,0.112480", \ - "0.036054,0.040698,0.044705,0.051301,0.062309,0.081748,0.118671", \ - "0.048174,0.052912,0.056948,0.063576,0.074614,0.094065,0.130988", \ - "0.061460,0.066780,0.071326,0.078612,0.090294,0.110088,0.146994", \ - "0.074949,0.080813,0.085856,0.093900,0.106499,0.127088,0.164335", \ - "0.089028,0.095385,0.100915,0.109726,0.123401,0.145058,0.182810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029862,0.036241,0.042048,0.052283,0.071108,0.107630,0.180294", \ - "0.031144,0.037520,0.043326,0.053559,0.072386,0.108896,0.181581", \ - "0.036147,0.042524,0.048318,0.058532,0.077334,0.113847,0.186526", \ - "0.045214,0.051678,0.057501,0.067684,0.086401,0.122820,0.195474", \ - "0.053463,0.060439,0.066553,0.076945,0.095719,0.132049,0.204544", \ - "0.060136,0.067694,0.074368,0.085217,0.104073,0.140292,0.212690", \ - "0.065316,0.073384,0.080660,0.092423,0.111737,0.147879,0.220104"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005631,0.007605,0.009567,0.013232,0.020363,0.035069,0.066055", \ - "0.005626,0.007606,0.009567,0.013231,0.020358,0.035064,0.066064", \ - "0.005629,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ - "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066078", \ - "0.008557,0.010348,0.012112,0.015397,0.021882,0.035738,0.066178", \ - "0.010773,0.012653,0.014475,0.017738,0.023958,0.037189,0.066741", \ - "0.013179,0.015152,0.017059,0.020431,0.026522,0.039084,0.067639"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006584,0.010414,0.014331,0.022149,0.038488,0.072553,0.141545", \ - "0.006590,0.010415,0.014330,0.022154,0.038478,0.072536,0.141548", \ - "0.006586,0.010416,0.014330,0.022157,0.038488,0.072538,0.141561", \ - "0.007229,0.010908,0.014696,0.022361,0.038561,0.072547,0.141549", \ - "0.008781,0.012338,0.015886,0.023172,0.038986,0.072640,0.141528", \ - "0.010622,0.014289,0.017769,0.024473,0.039559,0.072922,0.141631", \ - "0.012791,0.016539,0.020220,0.026639,0.040699,0.073264,0.141784"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028271,0.032930,0.036943,0.043541,0.054540,0.073968,0.110886", \ - "0.029851,0.034510,0.038524,0.045122,0.056125,0.075557,0.112475", \ - "0.036053,0.040697,0.044705,0.051301,0.062308,0.081747,0.118670", \ - "0.048174,0.052911,0.056947,0.063575,0.074613,0.094065,0.130987", \ - "0.061452,0.066774,0.071327,0.078610,0.090294,0.110088,0.146994", \ - "0.074949,0.080811,0.085860,0.093909,0.106492,0.127077,0.164332", \ - "0.089025,0.095384,0.100914,0.109747,0.123416,0.145070,0.182819"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029863,0.036242,0.042049,0.052284,0.071107,0.107629,0.180304", \ - "0.031142,0.037520,0.043327,0.053560,0.072385,0.108897,0.181583", \ - "0.036147,0.042524,0.048319,0.058532,0.077336,0.113849,0.186538", \ - "0.045209,0.051676,0.057501,0.067684,0.086401,0.122821,0.195474", \ - "0.053457,0.060438,0.066551,0.076945,0.095722,0.132050,0.204547", \ - "0.060139,0.067682,0.074362,0.085210,0.104084,0.140285,0.212690", \ - "0.065320,0.073353,0.080648,0.092379,0.111728,0.147871,0.220099"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005631,0.007605,0.009566,0.013232,0.020363,0.035069,0.066055", \ - "0.005626,0.007606,0.009567,0.013231,0.020358,0.035067,0.066060", \ - "0.005630,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ - "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066078", \ - "0.008558,0.010349,0.012110,0.015400,0.021882,0.035738,0.066178", \ - "0.010773,0.012655,0.014474,0.017736,0.023957,0.037188,0.066741", \ - "0.013182,0.015153,0.017059,0.020430,0.026522,0.039087,0.067638"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006582,0.010414,0.014330,0.022149,0.038478,0.072555,0.141563", \ - "0.006586,0.010414,0.014331,0.022154,0.038490,0.072541,0.141559", \ - "0.006585,0.010417,0.014333,0.022153,0.038484,0.072533,0.141565", \ - "0.007230,0.010905,0.014696,0.022361,0.038561,0.072551,0.141549", \ - "0.008777,0.012339,0.015886,0.023171,0.038987,0.072641,0.141587", \ - "0.010622,0.014289,0.017770,0.024475,0.039555,0.072922,0.141630", \ - "0.012791,0.016544,0.020218,0.026647,0.040698,0.073275,0.141825"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028388,0.033152,0.037278,0.044063,0.055359,0.075207,0.112313", \ - "0.029966,0.034730,0.038857,0.045645,0.056943,0.076793,0.113900", \ - "0.036178,0.040920,0.045036,0.051822,0.063124,0.082979,0.120088", \ - "0.048352,0.053178,0.057317,0.064140,0.075479,0.095349,0.132441", \ - "0.061746,0.067203,0.071886,0.079399,0.091407,0.111606,0.148615", \ - "0.075379,0.081406,0.086623,0.094967,0.108016,0.129089,0.166231", \ - "0.089622,0.096171,0.101909,0.111126,0.125402,0.147637,0.184958"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005772,0.007826,0.009871,0.013642,0.020941,0.035603,0.066082", \ - "0.005772,0.007824,0.009868,0.013642,0.020936,0.035604,0.066099", \ - "0.005772,0.007840,0.009885,0.013650,0.020940,0.035602,0.066084", \ - "0.006608,0.008440,0.010326,0.013947,0.021109,0.035656,0.066085", \ - "0.008782,0.010672,0.012533,0.015919,0.022520,0.036218,0.066121", \ - "0.011090,0.013101,0.015053,0.018480,0.024835,0.037662,0.066442", \ - "0.013615,0.015746,0.017823,0.021412,0.027701,0.039539,0.066905"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028388,0.033152,0.037278,0.044063,0.055358,0.075207,0.112314", \ - "0.029966,0.034730,0.038857,0.045645,0.056943,0.076793,0.113900", \ - "0.036178,0.040920,0.045036,0.051822,0.063124,0.082979,0.120088", \ - "0.048352,0.053178,0.057317,0.064140,0.075479,0.095349,0.132441", \ - "0.061746,0.067203,0.071886,0.079399,0.091407,0.111606,0.148615", \ - "0.075379,0.081406,0.086623,0.094967,0.108016,0.129089,0.166231", \ - "0.089622,0.096171,0.101909,0.111126,0.125402,0.147637,0.184958"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005772,0.007826,0.009871,0.013642,0.020942,0.035603,0.066081", \ - "0.005772,0.007824,0.009868,0.013642,0.020936,0.035604,0.066099", \ - "0.005772,0.007840,0.009885,0.013650,0.020940,0.035602,0.066084", \ - "0.006608,0.008440,0.010326,0.013947,0.021109,0.035656,0.066085", \ - "0.008782,0.010672,0.012533,0.015919,0.022520,0.036218,0.066121", \ - "0.011088,0.013101,0.015053,0.018480,0.024835,0.037662,0.066442", \ - "0.013615,0.015746,0.017823,0.021412,0.027701,0.039539,0.066905"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028388,0.033152,0.037278,0.044063,0.055358,0.075207,0.112313", \ - "0.029966,0.034730,0.038857,0.045645,0.056943,0.076793,0.113900", \ - "0.036178,0.040920,0.045036,0.051822,0.063124,0.082979,0.120088", \ - "0.048352,0.053178,0.057317,0.064140,0.075479,0.095349,0.132441", \ - "0.061746,0.067203,0.071886,0.079399,0.091407,0.111606,0.148615", \ - "0.075379,0.081406,0.086623,0.094967,0.108016,0.129089,0.166231", \ - "0.089622,0.096171,0.101909,0.111126,0.125401,0.147637,0.184958"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005772,0.007826,0.009871,0.013642,0.020939,0.035603,0.066082", \ - "0.005772,0.007824,0.009868,0.013642,0.020936,0.035604,0.066099", \ - "0.005772,0.007840,0.009885,0.013650,0.020940,0.035602,0.066084", \ - "0.006608,0.008440,0.010326,0.013947,0.021109,0.035656,0.066085", \ - "0.008782,0.010672,0.012533,0.015919,0.022520,0.036218,0.066121", \ - "0.011088,0.013101,0.015053,0.018480,0.024835,0.037662,0.066442", \ - "0.013615,0.015746,0.017823,0.021412,0.027701,0.039539,0.066905"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028385,0.033148,0.037275,0.044060,0.055357,0.075206,0.112312", \ - "0.029963,0.034727,0.038854,0.045643,0.056939,0.076789,0.113899", \ - "0.036175,0.040917,0.045033,0.051818,0.063121,0.082975,0.120085", \ - "0.048349,0.053176,0.057320,0.064137,0.075476,0.095346,0.132438", \ - "0.061740,0.067197,0.071880,0.079391,0.091405,0.111603,0.148609", \ - "0.075374,0.081390,0.086609,0.094960,0.108012,0.129084,0.166223", \ - "0.089609,0.096162,0.101902,0.111124,0.125398,0.147628,0.184946"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005772,0.007826,0.009871,0.013641,0.020941,0.035602,0.066080", \ - "0.005772,0.007824,0.009868,0.013642,0.020938,0.035604,0.066088", \ - "0.005772,0.007840,0.009885,0.013651,0.020940,0.035602,0.066084", \ - "0.006608,0.008443,0.010326,0.013947,0.021109,0.035656,0.066085", \ - "0.008782,0.010671,0.012533,0.015920,0.022519,0.036218,0.066129", \ - "0.011091,0.013106,0.015054,0.018480,0.024835,0.037661,0.066429", \ - "0.013616,0.015749,0.017824,0.021413,0.027701,0.039543,0.066904"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028271,0.032930,0.036943,0.043541,0.054540,0.073968,0.110886", \ - "0.029851,0.034510,0.038524,0.045122,0.056125,0.075557,0.112475", \ - "0.036053,0.040697,0.044705,0.051301,0.062308,0.081747,0.118670", \ - "0.048174,0.052911,0.056947,0.063575,0.074613,0.094065,0.130987", \ - "0.061452,0.066774,0.071327,0.078610,0.090294,0.110088,0.146994", \ - "0.074949,0.080811,0.085860,0.093909,0.106492,0.127077,0.164332", \ - "0.089025,0.095384,0.100914,0.109747,0.123416,0.145070,0.182819"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029863,0.036242,0.042049,0.052284,0.071107,0.107629,0.180304", \ - "0.031142,0.037520,0.043327,0.053560,0.072385,0.108897,0.181583", \ - "0.036147,0.042524,0.048319,0.058532,0.077336,0.113849,0.186538", \ - "0.045209,0.051676,0.057501,0.067684,0.086401,0.122821,0.195474", \ - "0.053457,0.060438,0.066551,0.076945,0.095722,0.132050,0.204547", \ - "0.060139,0.067682,0.074362,0.085210,0.104084,0.140285,0.212687", \ - "0.065320,0.073353,0.080648,0.092379,0.111728,0.147871,0.220099"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005631,0.007605,0.009566,0.013232,0.020363,0.035069,0.066055", \ - "0.005626,0.007606,0.009567,0.013231,0.020358,0.035067,0.066060", \ - "0.005630,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ - "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066078", \ - "0.008558,0.010349,0.012110,0.015400,0.021882,0.035738,0.066178", \ - "0.010773,0.012655,0.014474,0.017736,0.023957,0.037188,0.066741", \ - "0.013182,0.015153,0.017059,0.020430,0.026522,0.039087,0.067638"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006582,0.010414,0.014330,0.022149,0.038478,0.072555,0.141563", \ - "0.006586,0.010414,0.014331,0.022154,0.038490,0.072541,0.141559", \ - "0.006585,0.010417,0.014333,0.022153,0.038484,0.072533,0.141565", \ - "0.007230,0.010905,0.014696,0.022361,0.038561,0.072551,0.141549", \ - "0.008777,0.012339,0.015886,0.023171,0.038987,0.072641,0.141587", \ - "0.010622,0.014289,0.017770,0.024475,0.039555,0.072922,0.141655", \ - "0.012791,0.016544,0.020218,0.026647,0.040698,0.073275,0.141825"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028271,0.032930,0.036943,0.043541,0.054540,0.073968,0.110886", \ - "0.029851,0.034510,0.038524,0.045122,0.056125,0.075557,0.112475", \ - "0.036053,0.040697,0.044705,0.051301,0.062308,0.081747,0.118670", \ - "0.048174,0.052911,0.056947,0.063575,0.074613,0.094065,0.130985", \ - "0.061452,0.066774,0.071327,0.078610,0.090294,0.110088,0.146994", \ - "0.074949,0.080811,0.085860,0.093909,0.106492,0.127077,0.164332", \ - "0.089025,0.095384,0.100914,0.109747,0.123416,0.145070,0.182819"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029863,0.036242,0.042049,0.052284,0.071107,0.107629,0.180304", \ - "0.031142,0.037520,0.043327,0.053560,0.072385,0.108897,0.181583", \ - "0.036147,0.042524,0.048319,0.058532,0.077336,0.113849,0.186538", \ - "0.045209,0.051676,0.057501,0.067684,0.086401,0.122821,0.195474", \ - "0.053457,0.060438,0.066551,0.076945,0.095722,0.132050,0.204547", \ - "0.060139,0.067682,0.074362,0.085210,0.104084,0.140285,0.212687", \ - "0.065320,0.073353,0.080648,0.092379,0.111728,0.147871,0.220099"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005631,0.007605,0.009566,0.013232,0.020363,0.035069,0.066055", \ - "0.005626,0.007606,0.009567,0.013231,0.020358,0.035067,0.066060", \ - "0.005630,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ - "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066077", \ - "0.008558,0.010349,0.012110,0.015400,0.021882,0.035738,0.066178", \ - "0.010773,0.012655,0.014474,0.017736,0.023957,0.037188,0.066741", \ - "0.013182,0.015153,0.017059,0.020430,0.026522,0.039087,0.067638"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006582,0.010414,0.014330,0.022149,0.038478,0.072555,0.141563", \ - "0.006586,0.010414,0.014331,0.022154,0.038490,0.072541,0.141559", \ - "0.006585,0.010417,0.014333,0.022153,0.038484,0.072533,0.141565", \ - "0.007230,0.010905,0.014696,0.022361,0.038561,0.072551,0.141549", \ - "0.008777,0.012339,0.015886,0.023171,0.038987,0.072641,0.141587", \ - "0.010622,0.014289,0.017770,0.024475,0.039555,0.072922,0.141655", \ - "0.012791,0.016544,0.020218,0.026647,0.040698,0.073275,0.141825"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028272,0.032930,0.036944,0.043541,0.054540,0.073969,0.110886", \ - "0.029852,0.034510,0.038525,0.045122,0.056124,0.075555,0.112480", \ - "0.036054,0.040698,0.044705,0.051301,0.062309,0.081748,0.118671", \ - "0.048174,0.052912,0.056948,0.063576,0.074614,0.094065,0.130988", \ - "0.061460,0.066780,0.071326,0.078612,0.090294,0.110088,0.146994", \ - "0.074949,0.080813,0.085856,0.093900,0.106499,0.127088,0.164335", \ - "0.089028,0.095385,0.100915,0.109726,0.123401,0.145058,0.182810"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029862,0.036241,0.042048,0.052283,0.071108,0.107630,0.180294", \ - "0.031144,0.037520,0.043326,0.053559,0.072386,0.108896,0.181581", \ - "0.036147,0.042524,0.048318,0.058532,0.077334,0.113847,0.186526", \ - "0.045214,0.051678,0.057501,0.067684,0.086401,0.122820,0.195474", \ - "0.053463,0.060439,0.066553,0.076945,0.095719,0.132049,0.204544", \ - "0.060135,0.067694,0.074368,0.085217,0.104073,0.140292,0.212690", \ - "0.065316,0.073384,0.080660,0.092423,0.111737,0.147879,0.220104"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005631,0.007605,0.009567,0.013232,0.020363,0.035069,0.066055", \ - "0.005626,0.007606,0.009567,0.013231,0.020358,0.035064,0.066064", \ - "0.005629,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ - "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066078", \ - "0.008557,0.010348,0.012112,0.015397,0.021882,0.035738,0.066178", \ - "0.010773,0.012653,0.014475,0.017738,0.023958,0.037189,0.066741", \ - "0.013179,0.015152,0.017059,0.020431,0.026522,0.039084,0.067639"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006584,0.010414,0.014331,0.022149,0.038488,0.072553,0.141545", \ - "0.006590,0.010415,0.014330,0.022154,0.038478,0.072536,0.141548", \ - "0.006586,0.010416,0.014330,0.022157,0.038488,0.072538,0.141561", \ - "0.007229,0.010908,0.014696,0.022361,0.038561,0.072547,0.141549", \ - "0.008781,0.012338,0.015886,0.023172,0.038986,0.072640,0.141528", \ - "0.010622,0.014289,0.017769,0.024473,0.039559,0.072922,0.141631", \ - "0.012791,0.016539,0.020220,0.026639,0.040699,0.073264,0.141784"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028271,0.032930,0.036943,0.043541,0.054540,0.073968,0.110886", \ - "0.029851,0.034510,0.038524,0.045122,0.056125,0.075557,0.112475", \ - "0.036053,0.040697,0.044705,0.051301,0.062308,0.081747,0.118670", \ - "0.048174,0.052911,0.056947,0.063575,0.074613,0.094065,0.130987", \ - "0.061452,0.066774,0.071327,0.078610,0.090294,0.110088,0.146994", \ - "0.074949,0.080811,0.085860,0.093909,0.106492,0.127077,0.164332", \ - "0.089025,0.095384,0.100914,0.109747,0.123416,0.145070,0.182819"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.029863,0.036242,0.042049,0.052284,0.071107,0.107629,0.180304", \ - "0.031142,0.037520,0.043327,0.053560,0.072385,0.108897,0.181583", \ - "0.036147,0.042524,0.048319,0.058532,0.077336,0.113849,0.186538", \ - "0.045209,0.051676,0.057501,0.067684,0.086401,0.122821,0.195474", \ - "0.053457,0.060438,0.066551,0.076945,0.095722,0.132050,0.204547", \ - "0.060139,0.067682,0.074362,0.085210,0.104084,0.140285,0.212687", \ - "0.065320,0.073353,0.080648,0.092379,0.111728,0.147871,0.220099"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005631,0.007605,0.009566,0.013232,0.020363,0.035069,0.066055", \ - "0.005626,0.007606,0.009567,0.013231,0.020358,0.035067,0.066060", \ - "0.005630,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ - "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066078", \ - "0.008558,0.010349,0.012110,0.015400,0.021882,0.035738,0.066178", \ - "0.010773,0.012655,0.014474,0.017736,0.023957,0.037188,0.066741", \ - "0.013182,0.015153,0.017059,0.020430,0.026522,0.039087,0.067638"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006582,0.010414,0.014330,0.022149,0.038478,0.072555,0.141563", \ - "0.006586,0.010414,0.014331,0.022154,0.038490,0.072541,0.141559", \ - "0.006585,0.010417,0.014333,0.022153,0.038484,0.072533,0.141565", \ - "0.007230,0.010905,0.014696,0.022361,0.038561,0.072551,0.141549", \ - "0.008777,0.012339,0.015886,0.023171,0.038987,0.072641,0.141587", \ - "0.010622,0.014289,0.017770,0.024475,0.039555,0.072922,0.141655", \ - "0.012791,0.016544,0.020218,0.026647,0.040698,0.073275,0.141825"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028385,0.033148,0.037275,0.044060,0.055357,0.075206,0.112312", \ - "0.029963,0.034727,0.038854,0.045643,0.056939,0.076789,0.113899", \ - "0.036175,0.040917,0.045033,0.051818,0.063121,0.082975,0.120085", \ - "0.048349,0.053176,0.057321,0.064137,0.075476,0.095346,0.132438", \ - "0.061740,0.067197,0.071880,0.079391,0.091405,0.111603,0.148609", \ - "0.075374,0.081390,0.086609,0.094960,0.108012,0.129084,0.166223", \ - "0.089609,0.096162,0.101902,0.111124,0.125398,0.147628,0.184946"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005772,0.007826,0.009871,0.013641,0.020941,0.035602,0.066081", \ - "0.005772,0.007824,0.009868,0.013642,0.020938,0.035604,0.066088", \ - "0.005772,0.007840,0.009885,0.013651,0.020940,0.035602,0.066084", \ - "0.006608,0.008443,0.010326,0.013947,0.021109,0.035656,0.066085", \ - "0.008782,0.010671,0.012533,0.015920,0.022519,0.036218,0.066129", \ - "0.011091,0.013106,0.015054,0.018480,0.024835,0.037661,0.066429", \ - "0.013616,0.015749,0.017824,0.021413,0.027701,0.039543,0.066904"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028385,0.033148,0.037275,0.044060,0.055357,0.075206,0.112312", \ - "0.029963,0.034727,0.038854,0.045643,0.056939,0.076789,0.113899", \ - "0.036175,0.040917,0.045033,0.051818,0.063121,0.082975,0.120085", \ - "0.048349,0.053176,0.057319,0.064137,0.075476,0.095346,0.132438", \ - "0.061740,0.067197,0.071880,0.079391,0.091405,0.111603,0.148609", \ - "0.075374,0.081390,0.086609,0.094960,0.108012,0.129084,0.166223", \ - "0.089609,0.096162,0.101902,0.111124,0.125398,0.147628,0.184946"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005772,0.007826,0.009871,0.013641,0.020941,0.035602,0.066080", \ - "0.005772,0.007824,0.009868,0.013642,0.020938,0.035604,0.066088", \ - "0.005772,0.007840,0.009885,0.013651,0.020940,0.035602,0.066084", \ - "0.006608,0.008443,0.010326,0.013947,0.021109,0.035656,0.066085", \ - "0.008782,0.010671,0.012533,0.015920,0.022519,0.036218,0.066129", \ - "0.011091,0.013106,0.015054,0.018480,0.024835,0.037661,0.066429", \ - "0.013616,0.015749,0.017824,0.021413,0.027701,0.039543,0.066904"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028388,0.033152,0.037278,0.044063,0.055358,0.075207,0.112313", \ - "0.029966,0.034730,0.038857,0.045645,0.056943,0.076793,0.113900", \ - "0.036178,0.040920,0.045036,0.051822,0.063124,0.082979,0.120088", \ - "0.048352,0.053178,0.057317,0.064140,0.075479,0.095349,0.132441", \ - "0.061746,0.067203,0.071886,0.079399,0.091407,0.111606,0.148615", \ - "0.075379,0.081406,0.086623,0.094967,0.108016,0.129089,0.166231", \ - "0.089622,0.096171,0.101909,0.111126,0.125401,0.147637,0.184958"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005772,0.007826,0.009871,0.013642,0.020939,0.035603,0.066082", \ - "0.005772,0.007824,0.009868,0.013642,0.020936,0.035604,0.066099", \ - "0.005772,0.007840,0.009885,0.013650,0.020940,0.035602,0.066084", \ - "0.006608,0.008440,0.010326,0.013947,0.021109,0.035656,0.066085", \ - "0.008782,0.010672,0.012533,0.015919,0.022520,0.036218,0.066121", \ - "0.011088,0.013101,0.015053,0.018480,0.024835,0.037662,0.066442", \ - "0.013615,0.015746,0.017823,0.021412,0.027701,0.039539,0.066904"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028385,0.033148,0.037275,0.044060,0.055357,0.075206,0.112313", \ - "0.029963,0.034727,0.038854,0.045643,0.056939,0.076789,0.113899", \ - "0.036175,0.040917,0.045033,0.051818,0.063121,0.082975,0.120085", \ - "0.048349,0.053176,0.057321,0.064137,0.075476,0.095346,0.132438", \ - "0.061740,0.067197,0.071880,0.079391,0.091405,0.111603,0.148609", \ - "0.075374,0.081390,0.086609,0.094960,0.108012,0.129084,0.166223", \ - "0.089609,0.096162,0.101902,0.111124,0.125398,0.147628,0.184946"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005772,0.007826,0.009871,0.013641,0.020941,0.035602,0.066081", \ - "0.005772,0.007824,0.009868,0.013642,0.020938,0.035604,0.066088", \ - "0.005772,0.007840,0.009885,0.013651,0.020940,0.035602,0.066084", \ - "0.006608,0.008443,0.010326,0.013947,0.021109,0.035656,0.066085", \ - "0.008782,0.010671,0.012533,0.015920,0.022519,0.036218,0.066129", \ - "0.011091,0.013106,0.015054,0.018480,0.024835,0.037661,0.066429", \ - "0.013616,0.015749,0.017824,0.021413,0.027701,0.039543,0.066904"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074057,0.110973", \ - "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ - "0.036101,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ - "0.048226,0.052969,0.057008,0.063640,0.074691,0.094155,0.131068", \ - "0.061517,0.066846,0.071397,0.078690,0.090382,0.110186,0.147085", \ - "0.075023,0.080894,0.085946,0.093983,0.106607,0.127211,0.164464", \ - "0.089169,0.095506,0.101033,0.109857,0.123520,0.145188,0.182945"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.147440,0.153897,0.159747,0.170002,0.188817,0.225310,0.297955", \ - "0.148695,0.155158,0.161010,0.171264,0.190085,0.226572,0.299221", \ - "0.151749,0.158213,0.164063,0.174318,0.193135,0.229623,0.302274", \ - "0.156717,0.163177,0.169032,0.179268,0.198099,0.234599,0.307250", \ - "0.163677,0.170123,0.175994,0.186256,0.204989,0.241515,0.314155", \ - "0.172276,0.178729,0.184574,0.194809,0.213558,0.250052,0.322702", \ - "0.184724,0.191374,0.197235,0.207625,0.226392,0.262892,0.335529"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035079,0.066064", \ - "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ - "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ - "0.006460,0.008227,0.010030,0.013552,0.020548,0.035144,0.066101", \ - "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ - "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066760", \ - "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006877,0.010693,0.014573,0.022325,0.038563,0.072557,0.141544", \ - "0.006870,0.010694,0.014571,0.022320,0.038562,0.072563,0.141531", \ - "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141529", \ - "0.006874,0.010691,0.014574,0.022323,0.038557,0.072547,0.141528", \ - "0.006876,0.010695,0.014572,0.022325,0.038565,0.072530,0.141519", \ - "0.006860,0.010681,0.014565,0.022314,0.038552,0.072560,0.141531", \ - "0.007551,0.011268,0.015043,0.022649,0.038755,0.072637,0.141559"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074057,0.110973", \ - "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ - "0.036101,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ - "0.048226,0.052969,0.057008,0.063640,0.074691,0.094155,0.131068", \ - "0.061517,0.066846,0.071397,0.078690,0.090382,0.110186,0.147085", \ - "0.075023,0.080894,0.085946,0.093983,0.106607,0.127211,0.164464", \ - "0.089169,0.095507,0.101033,0.109857,0.123520,0.145188,0.182945"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.147440,0.153897,0.159747,0.170002,0.188817,0.225310,0.297955", \ - "0.148695,0.155158,0.161010,0.171264,0.190085,0.226572,0.299221", \ - "0.151749,0.158213,0.164063,0.174318,0.193135,0.229623,0.302274", \ - "0.156717,0.163177,0.169032,0.179268,0.198099,0.234599,0.307250", \ - "0.163677,0.170123,0.175994,0.186256,0.204990,0.241515,0.314155", \ - "0.172276,0.178729,0.184574,0.194809,0.213558,0.250052,0.322702", \ - "0.184724,0.191374,0.197235,0.207625,0.226392,0.262892,0.335529"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035079,0.066064", \ - "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ - "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ - "0.006459,0.008227,0.010030,0.013552,0.020548,0.035144,0.066101", \ - "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ - "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066760", \ - "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006877,0.010693,0.014573,0.022325,0.038563,0.072557,0.141544", \ - "0.006870,0.010694,0.014571,0.022320,0.038562,0.072563,0.141531", \ - "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141529", \ - "0.006874,0.010691,0.014574,0.022323,0.038557,0.072547,0.141529", \ - "0.006876,0.010695,0.014572,0.022325,0.038565,0.072530,0.141519", \ - "0.006860,0.010681,0.014565,0.022314,0.038552,0.072560,0.141531", \ - "0.007551,0.011268,0.015043,0.022649,0.038755,0.072637,0.141559"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074057,0.110973", \ - "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ - "0.036101,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ - "0.048226,0.052969,0.057008,0.063640,0.074691,0.094156,0.131068", \ - "0.061517,0.066846,0.071397,0.078690,0.090382,0.110186,0.147085", \ - "0.075023,0.080894,0.085946,0.093983,0.106607,0.127211,0.164464", \ - "0.089169,0.095507,0.101033,0.109857,0.123520,0.145188,0.182945"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.147440,0.153897,0.159747,0.170002,0.188817,0.225310,0.297955", \ - "0.148695,0.155158,0.161010,0.171264,0.190085,0.226572,0.299221", \ - "0.151749,0.158213,0.164063,0.174318,0.193135,0.229623,0.302274", \ - "0.156717,0.163177,0.169032,0.179268,0.198099,0.234599,0.307250", \ - "0.163677,0.170123,0.175994,0.186256,0.204990,0.241515,0.314155", \ - "0.172276,0.178729,0.184574,0.194809,0.213558,0.250052,0.322702", \ - "0.184724,0.191374,0.197235,0.207625,0.226392,0.262892,0.335529"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035079,0.066064", \ - "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ - "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ - "0.006459,0.008227,0.010030,0.013552,0.020548,0.035141,0.066101", \ - "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ - "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066760", \ - "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006877,0.010693,0.014573,0.022325,0.038563,0.072557,0.141544", \ - "0.006870,0.010694,0.014571,0.022320,0.038562,0.072563,0.141531", \ - "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141529", \ - "0.006874,0.010691,0.014574,0.022323,0.038557,0.072547,0.141528", \ - "0.006876,0.010695,0.014572,0.022325,0.038565,0.072530,0.141519", \ - "0.006860,0.010681,0.014565,0.022314,0.038552,0.072560,0.141531", \ - "0.007551,0.011268,0.015043,0.022649,0.038755,0.072637,0.141559"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074058,0.110973", \ - "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ - "0.036102,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ - "0.048226,0.052969,0.057008,0.063640,0.074691,0.094156,0.131068", \ - "0.061517,0.066846,0.071398,0.078690,0.090382,0.110186,0.147086", \ - "0.075029,0.080894,0.085947,0.093983,0.106607,0.127211,0.164464", \ - "0.089169,0.095507,0.101033,0.109857,0.123520,0.145188,0.182945"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.147448,0.153905,0.159754,0.170010,0.188825,0.225318,0.297965", \ - "0.148705,0.155167,0.161015,0.171274,0.190097,0.226581,0.299230", \ - "0.151758,0.158222,0.164072,0.174327,0.193144,0.229631,0.302286", \ - "0.156728,0.163188,0.169041,0.179290,0.198105,0.234613,0.307255", \ - "0.163687,0.170139,0.176005,0.186267,0.205006,0.241520,0.314165", \ - "0.172291,0.178742,0.184586,0.194821,0.213570,0.250062,0.322713", \ - "0.184739,0.191302,0.197265,0.207640,0.226405,0.262908,0.335535"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035074,0.066064", \ - "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ - "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ - "0.006459,0.008227,0.010030,0.013552,0.020548,0.035141,0.066101", \ - "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ - "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066759", \ - "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006877,0.010693,0.014570,0.022325,0.038563,0.072557,0.141532", \ - "0.006872,0.010691,0.014572,0.022320,0.038562,0.072563,0.141568", \ - "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141549", \ - "0.006878,0.010694,0.014574,0.022320,0.038557,0.072548,0.141527", \ - "0.006875,0.010694,0.014574,0.022321,0.038550,0.072543,0.141521", \ - "0.006861,0.010680,0.014565,0.022313,0.038549,0.072560,0.141532", \ - "0.007551,0.011267,0.015044,0.022649,0.038754,0.072637,0.141559"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028397,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ - "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ - "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ - "0.048358,0.053176,0.057306,0.064090,0.075349,0.095044,0.132062", \ - "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ - "0.075354,0.081350,0.086548,0.094842,0.107769,0.128637,0.165968", \ - "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ - "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066200", \ - "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ - "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ - "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ - "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066870", \ - "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028397,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ - "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ - "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ - "0.048358,0.053176,0.057305,0.064090,0.075349,0.095044,0.132062", \ - "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ - "0.075354,0.081350,0.086548,0.094842,0.107769,0.128637,0.165968", \ - "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ - "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066200", \ - "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ - "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ - "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ - "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066870", \ - "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028397,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ - "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ - "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ - "0.048358,0.053176,0.057305,0.064090,0.075349,0.095044,0.132062", \ - "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ - "0.075354,0.081350,0.086548,0.094842,0.107769,0.128637,0.165968", \ - "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ - "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066200", \ - "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ - "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ - "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ - "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066870", \ - "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028396,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ - "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ - "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ - "0.048358,0.053176,0.057306,0.064090,0.075349,0.095044,0.132062", \ - "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ - "0.075354,0.081350,0.086548,0.094842,0.107769,0.128637,0.165968", \ - "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ - "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066201", \ - "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ - "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ - "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ - "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066871", \ - "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074058,0.110973", \ - "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ - "0.036102,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ - "0.048226,0.052969,0.057008,0.063640,0.074691,0.094156,0.131068", \ - "0.061517,0.066846,0.071398,0.078690,0.090382,0.110186,0.147086", \ - "0.075029,0.080894,0.085947,0.093983,0.106607,0.127211,0.164464", \ - "0.089169,0.095507,0.101033,0.109857,0.123520,0.145188,0.182945"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.147448,0.153905,0.159754,0.170010,0.188825,0.225318,0.297965", \ - "0.148705,0.155167,0.161015,0.171274,0.190097,0.226581,0.299230", \ - "0.151758,0.158222,0.164072,0.174327,0.193144,0.229631,0.302286", \ - "0.156728,0.163188,0.169041,0.179290,0.198105,0.234613,0.307255", \ - "0.163687,0.170139,0.176005,0.186267,0.205006,0.241520,0.314165", \ - "0.172291,0.178742,0.184586,0.194821,0.213570,0.250062,0.322713", \ - "0.184739,0.191302,0.197265,0.207639,0.226405,0.262908,0.335535"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035074,0.066064", \ - "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ - "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ - "0.006460,0.008227,0.010030,0.013552,0.020548,0.035141,0.066101", \ - "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ - "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066759", \ - "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006877,0.010693,0.014570,0.022325,0.038563,0.072557,0.141532", \ - "0.006872,0.010691,0.014572,0.022320,0.038562,0.072563,0.141568", \ - "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141549", \ - "0.006878,0.010694,0.014574,0.022320,0.038557,0.072548,0.141527", \ - "0.006875,0.010694,0.014574,0.022321,0.038550,0.072543,0.141521", \ - "0.006861,0.010680,0.014565,0.022313,0.038549,0.072560,0.141532", \ - "0.007551,0.011267,0.015044,0.022649,0.038754,0.072637,0.141559"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074058,0.110973", \ - "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ - "0.036102,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ - "0.048226,0.052969,0.057008,0.063640,0.074691,0.094155,0.131068", \ - "0.061517,0.066846,0.071398,0.078690,0.090382,0.110186,0.147086", \ - "0.075029,0.080894,0.085947,0.093983,0.106607,0.127211,0.164464", \ - "0.089169,0.095507,0.101033,0.109857,0.123520,0.145188,0.182945"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.147448,0.153905,0.159754,0.170010,0.188825,0.225318,0.297965", \ - "0.148705,0.155167,0.161015,0.171274,0.190097,0.226581,0.299230", \ - "0.151758,0.158222,0.164072,0.174327,0.193144,0.229631,0.302286", \ - "0.156728,0.163188,0.169041,0.179290,0.198105,0.234613,0.307255", \ - "0.163687,0.170139,0.176005,0.186267,0.205006,0.241520,0.314165", \ - "0.172291,0.178742,0.184586,0.194821,0.213570,0.250062,0.322713", \ - "0.184739,0.191302,0.197265,0.207640,0.226405,0.262908,0.335535"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035074,0.066064", \ - "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ - "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ - "0.006460,0.008227,0.010030,0.013552,0.020548,0.035144,0.066101", \ - "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ - "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066759", \ - "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006877,0.010693,0.014570,0.022325,0.038563,0.072557,0.141532", \ - "0.006872,0.010691,0.014572,0.022320,0.038562,0.072563,0.141568", \ - "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141549", \ - "0.006878,0.010694,0.014574,0.022320,0.038557,0.072548,0.141527", \ - "0.006875,0.010694,0.014574,0.022321,0.038550,0.072543,0.141521", \ - "0.006861,0.010680,0.014565,0.022313,0.038549,0.072560,0.141532", \ - "0.007551,0.011267,0.015044,0.022649,0.038754,0.072637,0.141559"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074057,0.110973", \ - "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ - "0.036101,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ - "0.048226,0.052969,0.057008,0.063640,0.074691,0.094156,0.131068", \ - "0.061517,0.066846,0.071397,0.078690,0.090382,0.110186,0.147085", \ - "0.075023,0.080894,0.085946,0.093983,0.106607,0.127211,0.164464", \ - "0.089169,0.095507,0.101033,0.109857,0.123520,0.145188,0.182945"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.147440,0.153897,0.159747,0.170002,0.188817,0.225310,0.297955", \ - "0.148695,0.155158,0.161010,0.171264,0.190085,0.226572,0.299221", \ - "0.151749,0.158213,0.164063,0.174318,0.193135,0.229623,0.302274", \ - "0.156717,0.163177,0.169032,0.179268,0.198099,0.234599,0.307250", \ - "0.163677,0.170123,0.175994,0.186256,0.204990,0.241515,0.314155", \ - "0.172276,0.178729,0.184574,0.194809,0.213558,0.250052,0.322702", \ - "0.184724,0.191374,0.197235,0.207625,0.226392,0.262892,0.335529"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035079,0.066064", \ - "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ - "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ - "0.006460,0.008227,0.010030,0.013552,0.020548,0.035141,0.066101", \ - "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ - "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066760", \ - "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006877,0.010693,0.014573,0.022325,0.038563,0.072557,0.141544", \ - "0.006870,0.010694,0.014571,0.022320,0.038562,0.072563,0.141531", \ - "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141529", \ - "0.006874,0.010691,0.014574,0.022323,0.038557,0.072547,0.141528", \ - "0.006876,0.010695,0.014572,0.022325,0.038565,0.072530,0.141519", \ - "0.006860,0.010681,0.014565,0.022314,0.038552,0.072560,0.141531", \ - "0.007551,0.011268,0.015043,0.022649,0.038755,0.072637,0.141559"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074058,0.110973", \ - "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ - "0.036102,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ - "0.048226,0.052969,0.057008,0.063640,0.074691,0.094156,0.131068", \ - "0.061517,0.066846,0.071398,0.078690,0.090382,0.110186,0.147086", \ - "0.075029,0.080894,0.085947,0.093983,0.106607,0.127211,0.164464", \ - "0.089169,0.095507,0.101033,0.109857,0.123520,0.145188,0.182945"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.147448,0.153905,0.159754,0.170010,0.188825,0.225318,0.297965", \ - "0.148705,0.155167,0.161015,0.171274,0.190097,0.226581,0.299230", \ - "0.151758,0.158222,0.164072,0.174327,0.193144,0.229631,0.302286", \ - "0.156728,0.163188,0.169041,0.179290,0.198105,0.234613,0.307255", \ - "0.163687,0.170139,0.176005,0.186267,0.205006,0.241520,0.314165", \ - "0.172291,0.178742,0.184586,0.194821,0.213570,0.250062,0.322713", \ - "0.184739,0.191302,0.197265,0.207640,0.226405,0.262908,0.335535"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035074,0.066064", \ - "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ - "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ - "0.006459,0.008227,0.010030,0.013552,0.020548,0.035141,0.066101", \ - "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ - "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066759", \ - "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.006877,0.010693,0.014570,0.022325,0.038563,0.072557,0.141532", \ - "0.006872,0.010691,0.014572,0.022320,0.038562,0.072563,0.141568", \ - "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141549", \ - "0.006878,0.010694,0.014574,0.022320,0.038557,0.072548,0.141527", \ - "0.006875,0.010694,0.014574,0.022321,0.038550,0.072543,0.141521", \ - "0.006861,0.010680,0.014565,0.022313,0.038549,0.072560,0.141532", \ - "0.007551,0.011267,0.015044,0.022649,0.038754,0.072637,0.141559"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028396,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ - "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ - "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ - "0.048358,0.053176,0.057306,0.064090,0.075349,0.095044,0.132062", \ - "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ - "0.075354,0.081350,0.086548,0.094841,0.107769,0.128637,0.165968", \ - "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ - "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066201", \ - "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ - "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ - "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ - "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066872", \ - "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028396,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ - "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ - "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ - "0.048358,0.053176,0.057305,0.064090,0.075349,0.095044,0.132062", \ - "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ - "0.075354,0.081350,0.086548,0.094841,0.107769,0.128637,0.165968", \ - "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ - "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066201", \ - "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ - "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ - "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ - "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066872", \ - "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028397,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ - "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ - "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ - "0.048358,0.053176,0.057306,0.064090,0.075349,0.095044,0.132062", \ - "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ - "0.075354,0.081350,0.086548,0.094842,0.107769,0.128637,0.165968", \ - "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ - "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066200", \ - "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ - "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ - "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ - "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066870", \ - "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & RN & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.028396,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ - "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ - "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ - "0.048358,0.053176,0.057306,0.064090,0.075349,0.095044,0.132062", \ - "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ - "0.075354,0.081350,0.086548,0.094842,0.107769,0.128637,0.165968", \ - "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ - "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066201", \ - "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ - "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ - "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ - "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066871", \ - "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.365437,8.401684,8.462494,8.510302,8.571208,8.596047,8.667095", \ - "8.354525,8.392801,8.450520,8.502928,8.539521,8.594399,8.566205", \ - "8.352781,8.404480,8.450736,8.504515,8.502872,8.615127,8.515786", \ - "8.397246,8.450025,8.505413,8.558351,8.589492,8.687608,8.688589", \ - "8.569737,8.629835,8.663484,8.727870,8.783448,8.755087,8.873930", \ - "8.879418,8.912809,8.977527,9.024969,9.096798,9.135843,9.087998", \ - "9.344960,9.399650,9.437247,9.491577,9.546474,9.600850,9.598923"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.099395,8.185556,8.263441,8.399388,8.666089,8.748022,8.794106", \ - "8.092593,8.168769,8.251488,8.399709,8.659850,8.727563,8.780640", \ - "8.091487,8.161082,8.245070,8.380384,8.648537,8.726786,8.753118", \ - "8.150621,8.218910,8.301756,8.449151,8.705242,8.778127,8.830195", \ - "8.296452,8.377702,8.463182,8.599343,8.868220,8.943782,8.867643", \ - "8.619173,8.686036,8.766279,8.909819,9.169360,9.145070,9.299250", \ - "9.080527,9.155104,9.235611,9.382710,9.632203,9.609608,9.754452"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.986272,7.097983,7.224415,7.355896,7.374463,7.444859,7.290228", \ - "6.948559,7.056404,7.183073,7.273428,7.314623,7.292951,7.127884", \ - "6.933024,7.073136,7.193768,7.289508,7.335006,7.315850,7.341051", \ - "7.176588,7.261109,7.280089,7.388926,7.370865,7.331452,7.253744", \ - "7.642628,7.601748,7.628909,7.683340,7.695893,7.528862,7.580254", \ - "8.351652,8.184218,8.147027,8.123125,8.164772,8.112186,8.098170", \ - "9.329671,9.080865,8.984227,8.893936,8.802809,8.714000,8.452866"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.985821,7.097519,7.223925,7.355399,7.374243,7.444281,7.289961", \ - "6.948106,7.055941,7.182600,7.272928,7.314088,7.292354,7.127435", \ - "6.932605,7.072678,7.193303,7.289018,7.334479,7.315263,7.340395", \ - "7.176021,7.260670,7.279636,7.388452,7.370289,7.330874,7.253115", \ - "7.642249,7.601341,7.628485,7.682893,7.695474,7.528277,7.579652", \ - "8.351301,8.183853,8.142490,8.122557,8.164339,8.111694,8.097591", \ - "9.329377,9.080560,8.983870,8.893598,8.802431,8.713551,8.452342"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.985956,7.097675,7.224067,7.355710,7.396837,7.444428,7.289783", \ - "6.948245,7.056086,7.182745,7.273066,7.314241,7.292496,7.126572", \ - "6.932580,7.072817,7.193439,7.289154,7.334629,7.315401,7.340558", \ - "7.176294,7.193640,7.279768,7.388582,7.370677,7.331024,7.253297", \ - "7.642286,7.601462,7.628601,7.683009,7.695268,7.528989,7.579835", \ - "8.351403,8.183964,8.142592,8.122665,8.164460,8.111849,8.097753", \ - "9.329475,9.080662,8.984196,8.893696,8.802555,8.713705,8.452532"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.676113,7.801529,7.913059,8.043406,8.011025,8.130315,7.973963", \ - "7.617272,7.725055,7.851088,8.011742,7.990700,7.955874,7.822883", \ - "7.604918,7.748957,7.869004,7.964024,8.041112,8.106323,8.012465", \ - "7.933997,8.018428,8.036387,8.144572,8.248399,8.084939,8.006385", \ - "8.606360,8.528899,8.554835,8.606937,8.615373,8.435172,8.501065", \ - "9.551267,9.386231,9.343249,9.325459,9.363226,9.307483,9.292104", \ - "10.910180,10.739840,10.557490,10.483540,10.392940,10.300410,10.036910"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.676617,7.802337,7.913559,8.043937,8.011578,8.130149,7.974696", \ - "7.617739,7.725560,7.851588,8.012300,7.991268,7.956574,7.823651", \ - "7.605407,7.749458,7.869500,7.964552,8.041671,8.107030,8.013259", \ - "7.934380,8.018900,8.036876,8.145085,8.248994,8.085640,8.007071", \ - "8.606797,8.529336,8.555301,8.607430,8.615937,8.435770,8.501712", \ - "9.551654,9.388811,9.353139,9.325884,9.363701,9.308065,9.292753", \ - "10.910500,10.740170,10.557840,10.483890,10.393340,10.300100,10.036310"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.676630,7.802223,7.913573,8.043950,8.011605,8.130631,7.974743", \ - "7.617753,7.725574,7.851602,8.012310,7.991499,7.956580,7.823663", \ - "7.605420,7.749470,7.869513,7.964564,8.041687,8.107036,8.013263", \ - "7.934468,8.018913,8.036889,8.145098,8.249005,8.085645,8.007084", \ - "8.606808,8.529349,8.555312,8.607440,8.615948,8.435782,8.501725", \ - "9.551666,9.388828,9.343676,9.325960,9.363715,9.308031,9.292836", \ - "10.910510,10.740190,10.557850,10.483910,10.393360,10.300110,10.036320"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.985525,7.097228,7.223610,7.355222,7.394169,7.443755,7.289029", \ - "6.947826,7.055640,7.182288,7.272571,7.313692,7.291835,7.125814", \ - "6.932146,7.072370,7.192982,7.288664,7.334083,7.314746,7.339772", \ - "7.175729,7.193206,7.279311,7.388096,7.370141,7.330371,7.252538", \ - "7.641877,7.601039,7.628157,7.682535,7.694726,7.528348,7.579093", \ - "8.351019,8.183567,8.142176,8.122224,8.163965,8.111263,8.097009", \ - "9.329131,9.080305,8.983822,8.893297,8.802102,8.713146,8.451841"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.676697,7.802094,7.913663,8.044041,8.011711,8.131112,7.974861", \ - "7.617836,7.725651,7.851691,8.012387,7.991546,7.956656,7.823756", \ - "7.605490,7.749546,7.869601,7.964652,8.041788,8.107100,8.013333", \ - "7.934507,8.018992,8.036970,8.145182,8.249073,8.085707,8.007210", \ - "8.606874,8.529427,8.555385,8.607514,8.616012,8.435875,8.501854", \ - "9.551728,9.388891,9.343745,9.326039,9.363795,9.308129,9.292880", \ - "10.910570,10.740250,10.557920,10.483980,10.393440,10.300170,10.036440"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.541493,8.707051,8.840144,8.898074,8.994368,8.819699,8.752861", \ - "8.483751,8.647953,8.780284,8.867420,8.963910,8.935023,8.899424", \ - "8.469530,8.663501,8.789335,8.880619,8.844923,9.005075,8.785523", \ - "8.807334,8.925329,9.004413,9.051277,9.140805,9.160180,9.178577", \ - "9.436324,9.470734,9.436444,9.463146,9.526331,9.483934,9.300261", \ - "10.402700,10.291940,10.253800,10.242750,10.195230,10.127760,10.052590", \ - "11.609410,11.503040,11.365110,11.254720,11.146150,11.186760,11.044890"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.541052,8.706642,8.839728,8.897631,8.993939,9.001377,8.752324", \ - "8.483351,8.647535,8.779868,8.866971,8.963427,8.934484,8.898823", \ - "8.469397,8.663096,8.788897,8.880185,8.844941,9.004912,8.784928", \ - "8.806888,8.924937,9.003642,9.050845,9.140340,9.159752,9.178003", \ - "9.437133,9.470381,9.436060,9.462761,9.525900,9.483434,9.299722", \ - "10.402410,10.291630,10.253470,10.242410,10.194860,10.127340,10.052080", \ - "11.609190,11.503220,11.364860,11.254450,11.145850,11.231180,11.044440"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.540804,8.706681,8.839647,8.897523,8.993572,9.002123,8.751753", \ - "8.483315,8.647564,8.779826,8.866844,8.963201,8.934049,8.898233", \ - "8.464775,8.662887,8.788827,8.880068,8.840690,8.999488,8.784362", \ - "8.789782,8.924889,8.950405,9.050727,9.140095,9.157776,9.177450", \ - "9.432200,9.470377,9.435938,9.462766,9.525680,9.483056,9.299215", \ - "10.404830,10.291650,10.253620,10.242300,10.194660,10.126990,10.051570", \ - "11.609220,11.501160,11.364740,11.254370,11.145850,11.186030,11.043970"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.541208,8.706750,8.839324,8.896756,8.991860,8.986636,8.745895", \ - "8.483486,8.647545,8.779534,8.866009,8.961424,8.930370,8.892263", \ - "8.461753,8.662815,8.788482,8.879222,8.838965,9.004249,8.778438", \ - "8.831202,8.924830,8.950105,9.049862,9.138223,9.111714,9.171877", \ - "9.432348,9.403483,9.435616,9.461905,9.523815,9.479633,9.293446", \ - "10.402470,10.291590,10.253220,10.241380,10.192870,10.123610,10.045690", \ - "11.609310,11.500930,11.364330,11.253510,11.144090,11.183440,11.039080"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.541655,8.712355,8.839789,8.897234,8.992328,8.979966,8.746594", \ - "8.483900,8.648005,8.779992,8.866515,8.961980,8.931048,8.893034", \ - "8.462107,8.663270,8.788937,8.879713,8.839484,9.004893,8.779186", \ - "8.788227,8.925257,8.950553,9.050335,9.138782,9.112389,9.172568", \ - "9.432721,9.403866,9.436030,9.462346,9.524341,9.480215,9.294048", \ - "10.402800,10.291920,10.253580,10.241760,10.193290,10.124090,10.046360", \ - "11.609550,11.501180,11.364610,11.253800,11.144420,11.182360,11.038770"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.541666,8.709618,8.839797,8.897244,8.992471,8.814342,8.746632", \ - "8.483913,8.648014,8.780000,8.866522,8.961988,8.931051,8.893035", \ - "8.462108,8.663279,8.788946,8.879721,8.839496,9.004889,8.779189", \ - "8.831640,8.925268,8.950563,9.050343,9.138787,9.112389,9.172575", \ - "9.432717,9.403877,9.436041,9.462355,9.524344,9.480220,9.294062", \ - "10.402810,10.291940,10.253590,10.241770,10.193300,10.124100,10.046370", \ - "11.609560,11.501200,11.364620,11.253810,11.144430,11.182360,11.038780"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.540414,8.706271,8.839226,8.897072,8.993092,9.005914,8.750959", \ - "8.482934,8.647153,8.779406,8.866384,8.962686,8.933432,8.897477", \ - "8.464592,8.662476,8.788406,8.879611,8.840188,8.998968,8.783610", \ - "8.789407,8.924488,8.949985,9.050276,9.139583,9.157199,9.176717", \ - "9.431836,9.469994,9.435537,9.462335,9.525188,9.482495,9.298512", \ - "10.404720,10.291310,10.253260,10.241910,10.194220,10.126460,10.050880", \ - "11.608950,11.500760,11.364430,11.254030,11.145470,11.185540,11.043340"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI & SN"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.541730,8.710100,8.839878,8.897332,8.992449,8.816009,8.746688", \ - "8.483992,8.648085,8.780081,8.866596,8.962063,8.931113,8.893105", \ - "8.462197,8.663348,8.789025,8.879798,8.839586,9.004944,8.779268", \ - "8.831706,8.925340,8.950642,9.050418,9.138846,9.112436,9.172675", \ - "9.432787,9.403951,9.436106,9.462423,9.524397,9.480295,9.294195", \ - "10.402860,10.292000,10.253640,10.241840,10.193370,10.124200,10.046420", \ - "11.609610,11.501260,11.364670,11.253870,11.144500,11.182410,11.038870"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.631036,5.968204,6.300605,6.648714,6.917652,7.012390,7.030500", \ - "5.587794,5.931659,6.259606,6.618305,6.876637,6.974156,6.981102", \ - "5.571643,5.891746,6.215661,6.566510,6.833579,6.926678,6.964684", \ - "5.874865,6.154727,6.401145,6.725538,6.990455,7.077189,7.103211", \ - "6.392247,6.560948,6.777829,7.121446,7.422546,7.517372,7.530374", \ - "7.365749,7.355386,7.456714,7.656913,8.035884,8.234190,8.225870", \ - "8.755360,8.622250,8.574777,8.694526,8.970489,9.181008,9.282823"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.063740,4.390964,4.579023,4.689573,4.738773,4.752335,4.815671", \ - "3.950660,4.394943,4.599635,4.725185,4.607455,4.595313,4.689647", \ - "3.983872,4.393050,4.584150,4.701967,4.801441,4.456034,4.564753", \ - "4.312798,4.636609,4.730462,4.872555,4.776195,4.666034,4.814397", \ - "4.917888,5.197077,5.348410,5.328578,5.285444,5.333696,5.047809", \ - "5.973578,6.115524,6.273395,6.144941,5.913169,5.703480,5.901981", \ - "7.352666,7.307314,7.431670,7.505908,7.214066,6.972237,6.500742"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.631063,5.968223,6.300620,6.648725,6.917654,7.012389,7.030496", \ - "5.587820,5.931678,6.259620,6.618313,6.876639,6.974156,6.981090", \ - "5.571670,5.891764,6.215675,6.566518,6.833581,6.926675,6.964682", \ - "5.874892,6.154746,6.401158,6.725545,6.990458,7.077186,7.103203", \ - "6.392270,6.560966,6.777845,7.121455,7.422548,7.517372,7.530375", \ - "7.365768,7.355401,7.456729,7.656921,8.035889,8.234188,8.225863", \ - "8.755378,8.622264,8.574789,8.694535,8.970493,9.181008,9.282816"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.063758,4.390981,4.579038,4.689586,4.738784,4.752328,4.815678", \ - "3.950677,4.394961,4.599651,4.725200,4.607464,4.595319,4.689653", \ - "3.983890,4.393067,4.584168,4.701987,4.801456,4.456038,4.564757", \ - "4.312821,4.636626,4.730477,4.872570,4.776208,4.666041,4.814405", \ - "4.917903,5.197093,5.348426,5.328593,5.285458,5.333715,5.047815", \ - "5.973592,6.115540,6.273411,6.144955,5.913179,5.703488,5.901993", \ - "7.352678,7.307329,7.431685,7.505921,7.214078,6.973386,6.497974"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.630970,5.968140,6.300545,6.648671,6.917627,7.012385,7.030504", \ - "5.587728,5.931594,6.259546,6.618258,6.876617,6.974154,6.981112", \ - "5.571580,5.891683,6.215601,6.566463,6.833555,6.926676,6.964683", \ - "5.874809,6.154668,6.401089,6.725492,6.990430,7.077185,7.113713", \ - "6.392200,6.560900,6.777782,7.121404,7.422520,7.517365,7.530372", \ - "7.365706,7.355345,7.456674,7.656879,8.035858,8.234184,8.225875", \ - "8.755318,8.622212,8.574742,8.694495,8.970465,9.180998,9.282826"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.063703,4.390900,4.578940,4.689484,4.738698,4.752253,4.815628", \ - "3.950626,4.394878,4.599551,4.725095,4.607382,4.595262,4.689607", \ - "3.983836,4.392983,4.584067,4.701878,4.801365,4.455985,4.564714", \ - "4.312761,4.636545,4.730381,4.872466,4.776119,4.665983,4.814355", \ - "4.917852,5.197015,5.348331,5.328492,5.285369,5.333639,5.047766", \ - "5.973539,6.115465,6.273318,6.144858,5.913094,5.703427,5.901932", \ - "7.352626,7.307259,7.431600,7.505822,7.213993,6.972183,6.497932"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.615171,5.952376,6.270855,6.633477,6.902455,6.997651,7.016933", \ - "5.571696,5.912880,6.243611,6.602517,6.860593,6.957146,6.975706", \ - "5.560422,5.875517,6.199532,6.550433,6.817697,6.910826,6.948994", \ - "5.858713,6.138600,6.385113,6.709557,6.974603,7.061373,7.098005", \ - "6.375854,6.543715,6.744941,7.101812,7.406814,7.501704,7.514778", \ - "7.349882,7.369067,7.423839,7.635744,7.987294,8.229462,8.210645", \ - "8.710008,8.606390,8.558863,8.682428,8.954904,9.157201,9.268020"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.072622,4.399835,4.587386,4.697728,4.746543,4.737960,4.822934", \ - "3.976512,4.377884,4.608607,4.733767,4.616160,4.606136,4.696263", \ - "3.993097,4.401967,4.574831,4.606434,4.810599,4.536252,4.613616", \ - "4.355227,4.675114,4.737462,4.881522,4.860614,4.676733,4.822851", \ - "4.949984,5.208295,5.357733,5.337425,5.294088,4.885301,5.061356", \ - "5.966644,6.127546,6.282965,6.154698,5.844082,5.712434,5.910674", \ - "7.361350,7.321233,7.442302,7.522809,7.308604,7.140841,6.518877"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.223188,6.369378,6.541455,6.775932,6.828172,6.839734,6.845919", \ - "6.204653,6.325454,6.497609,6.716717,6.818679,6.990588,7.007103", \ - "6.182957,6.337308,6.502373,6.660107,6.837815,6.810243,6.884133", \ - "6.376494,6.472499,6.612562,6.738198,6.975327,6.845988,7.072468", \ - "6.799028,6.861808,6.886433,7.073600,7.145213,7.155536,7.240324", \ - "7.394663,7.365359,7.412846,7.524836,7.560147,7.463812,7.610056", \ - "8.240759,8.162127,8.134727,8.117434,8.186626,8.223623,8.148350"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.223123,6.369304,6.541374,6.775805,6.863919,6.839571,7.009854", \ - "6.204584,6.325380,6.497527,6.716618,6.818552,6.990423,7.006862", \ - "6.182889,6.337233,6.502274,6.660009,6.837690,6.810081,6.883892", \ - "6.376410,6.472423,6.612478,6.738100,6.975203,6.845827,7.072255", \ - "6.798965,6.861736,6.886354,7.073507,7.145097,7.155384,7.240130", \ - "7.431760,7.365301,7.412776,7.524754,7.560045,7.463588,7.609871", \ - "8.240728,8.162089,8.134684,8.117407,8.186548,8.223513,8.148193"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.223312,6.369516,6.541609,6.776066,6.838122,6.840078,6.846365", \ - "6.204775,6.325593,6.497762,6.716913,6.818940,6.990945,7.007551", \ - "6.183079,6.337449,6.502516,6.660300,6.838074,6.810593,6.884575", \ - "6.376611,6.472640,6.612723,6.738390,6.975578,6.846318,7.072902", \ - "6.799147,6.861941,6.886582,7.073781,7.145448,7.155857,7.240733", \ - "7.431866,7.365466,7.412964,7.524982,7.560352,7.464091,7.610433", \ - "8.240814,8.162189,8.134801,8.117553,8.186780,8.223861,8.148685"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.152916,6.298722,6.470320,6.689311,6.822601,6.957839,6.851311", \ - "6.135488,6.256323,6.428489,6.647475,6.749262,6.931248,6.940330", \ - "6.115983,6.268875,6.361156,6.647268,6.769247,6.747198,6.818090", \ - "6.269098,6.404545,6.544619,6.670113,6.907008,6.778488,7.003017", \ - "6.732171,6.797052,6.819239,7.006390,7.077598,7.089108,7.175492", \ - "7.327849,7.292607,7.371001,7.473485,7.493206,7.575768,7.362828", \ - "8.177883,8.099063,8.071078,8.043489,8.118922,8.119010,8.084078"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.615122,5.952337,6.270825,6.633457,6.902445,6.997643,7.016912", \ - "5.571648,5.912846,6.243584,6.602500,6.860583,6.957138,6.975683", \ - "5.560374,5.875477,6.199504,6.550414,6.817688,6.910807,6.948986", \ - "5.858662,6.138562,6.385082,6.709536,6.974597,7.061359,7.098002", \ - "6.375809,6.543678,6.744908,7.101784,7.406800,7.501701,7.514777", \ - "7.349843,7.369037,7.423810,7.635714,7.987270,8.229459,8.210618", \ - "8.709972,8.606361,8.558836,8.682408,8.954888,9.157185,9.267994"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.072585,4.399789,4.587344,4.697688,4.746501,4.737908,4.822883", \ - "3.976465,4.377838,4.608570,4.733732,4.616106,4.606074,4.696202", \ - "3.993054,4.401926,4.574793,4.606390,4.810569,4.536198,4.613579", \ - "4.355193,4.675075,4.737415,4.881488,4.860575,4.676675,4.822797", \ - "4.949946,5.208253,5.357698,5.337389,5.294055,4.885231,5.061298", \ - "5.966613,6.127507,6.282930,6.154655,5.844027,5.712380,5.908541", \ - "7.361321,7.321191,7.442256,7.522773,7.308565,7.140811,6.518803"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.615150,5.952357,6.270840,6.633466,6.902449,6.997645,7.016916", \ - "5.571675,5.912861,6.243598,6.602508,6.860586,6.957139,6.975687", \ - "5.560401,5.875497,6.199518,6.550423,6.817691,6.910811,6.948988", \ - "5.858691,6.138582,6.385097,6.709545,6.974600,7.061362,7.087446", \ - "6.375834,6.543698,6.744925,7.101796,7.406804,7.501702,7.514778", \ - "7.349864,7.369054,7.423825,7.635726,7.987278,8.229460,8.210622", \ - "8.709991,8.606376,8.558849,8.682418,8.954894,9.157189,9.267999"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.072604,4.399809,4.587362,4.697705,4.746518,4.737928,4.822904", \ - "3.976487,4.377858,4.608587,4.733748,4.616126,4.606095,4.696224", \ - "3.993075,4.401944,4.574809,4.606408,4.810584,4.536207,4.613574", \ - "4.355211,4.675093,4.737434,4.881504,4.860593,4.676696,4.822819", \ - "4.949964,5.208272,5.357715,5.337405,5.294071,4.885254,5.061320", \ - "5.966629,6.127525,6.282947,6.154672,5.844047,5.712400,5.908560", \ - "7.361335,7.321210,7.442275,7.522789,7.308583,7.140828,6.518828"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.630987,5.968151,6.300554,6.648676,6.917628,7.012384,7.030497", \ - "5.587745,5.931607,6.259556,6.618262,6.876617,6.974152,6.981096", \ - "5.571597,5.891694,6.215610,6.566467,6.833555,6.926670,6.964681", \ - "5.874825,6.154680,6.401097,6.725496,6.990431,7.077181,7.113712", \ - "6.392213,6.560912,6.777793,7.121409,7.422520,7.517365,7.530373", \ - "7.365717,7.355353,7.456684,7.656885,8.035859,8.234180,8.225866", \ - "8.755332,8.622219,8.574749,8.694500,8.970467,9.180999,9.282817"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.063714,4.390909,4.578950,4.689491,4.738702,4.752280,4.815627", \ - "3.950635,4.394889,4.599562,4.725104,4.607383,4.595259,4.689603", \ - "3.983847,4.392995,4.584078,4.701889,4.801374,4.455980,4.564708", \ - "4.312773,4.636556,4.730389,4.872475,4.776122,4.665981,4.814354", \ - "4.917860,5.197025,5.348341,5.328501,5.285376,5.333641,5.047764", \ - "5.973548,6.115475,6.273329,6.144865,5.913097,5.703427,5.901937", \ - "7.352633,7.307267,7.431608,7.505834,7.213998,6.973324,6.497924"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.615188,5.952389,6.270865,6.633483,6.902458,6.997653,7.016938", \ - "5.571714,5.912893,6.243621,6.602523,6.860597,6.957148,6.975711", \ - "5.560439,5.875530,6.199541,6.550439,6.817700,6.910830,6.948996", \ - "5.858732,6.138613,6.385123,6.709564,6.974605,7.061377,7.098006", \ - "6.375869,6.543728,6.744952,7.101820,7.406818,7.501705,7.514778", \ - "7.349895,7.369077,7.423848,7.635753,7.987300,8.229463,8.210651", \ - "8.710020,8.606400,8.558872,8.682435,8.954909,9.157205,9.268025"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("4.072634,4.399849,4.587399,4.697741,4.746557,4.737975,4.822950", \ - "3.976526,4.377898,4.608619,4.733778,4.616176,4.606154,4.696280", \ - "3.993111,4.401979,4.574843,4.606448,4.810609,4.536270,4.613634", \ - "4.355238,4.675126,4.737476,4.881533,4.860625,4.676750,4.822867", \ - "4.949996,5.208308,5.357745,5.337436,5.294099,4.885320,5.061373", \ - "5.966654,6.127558,6.282977,6.154711,5.844098,5.712450,5.908589", \ - "7.361361,7.321245,7.442316,7.522821,7.308616,7.140852,6.518897"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.152972,6.298779,6.470373,6.689396,6.806357,6.955957,6.962330", \ - "6.135546,6.256379,6.428541,6.647558,6.749381,6.931445,6.940565", \ - "6.116043,6.268935,6.361202,6.647322,6.769360,6.747378,6.818313", \ - "6.269142,6.404597,6.544680,6.670184,6.907105,6.778591,7.003161", \ - "6.732213,6.797101,6.819300,7.006465,7.077687,7.089265,7.175654", \ - "7.327884,7.292642,7.371055,7.473537,7.493293,7.575890,7.362941", \ - "8.177905,8.099084,8.071114,8.043530,8.118984,8.119144,8.084232"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.153240,6.299072,6.470683,6.689754,6.807433,6.949300,6.851361", \ - "6.135818,6.256673,6.428852,6.647934,6.749856,6.932085,6.941369", \ - "6.116314,6.269232,6.361511,6.647711,6.769829,6.748009,6.819106", \ - "6.269412,6.404892,6.545091,6.670549,6.907557,6.779156,7.003929", \ - "6.732476,6.797386,6.819610,7.006818,7.078113,7.089834,7.176360", \ - "7.328109,7.292882,7.371336,7.473833,7.493676,7.576395,7.363584", \ - "8.178059,8.099255,8.071309,8.043756,8.119284,8.119585,8.084826"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.223113,6.369294,6.541369,6.775778,6.837271,6.839642,6.845735", \ - "6.204575,6.325371,6.497523,6.716626,6.818572,6.990446,7.006980", \ - "6.182875,6.337224,6.502279,6.660017,6.837710,6.810079,6.884005", \ - "6.376416,6.472401,6.612545,6.738106,6.975226,6.845870,7.072433", \ - "6.798952,6.861726,6.886347,7.073512,7.145116,7.155421,7.240187", \ - "7.431745,7.365279,7.412838,7.524755,7.560058,7.463674,7.609945", \ - "8.240708,8.162068,8.134662,8.117385,8.186551,8.223525,8.148231"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.153180,6.299011,6.470626,6.689683,6.821104,6.960551,6.970816", \ - "6.135755,6.256612,6.428796,6.647848,6.749732,6.931869,6.941202", \ - "6.116252,6.269169,6.361461,6.647626,6.769710,6.747805,6.818854", \ - "6.269363,6.404837,6.544934,6.670473,6.907454,6.779049,7.003761", \ - "6.732428,6.797331,6.819545,7.006738,7.078019,7.089670,7.176191", \ - "7.328068,7.292843,7.371267,7.473778,7.493582,7.576266,7.363467", \ - "8.178032,8.099230,8.071268,8.043712,8.119217,8.119445,8.084666"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.955872,6.361618,6.742945,7.200734,7.634039,8.130106,10.411710", \ - "5.910751,6.317585,6.699940,7.154729,7.590167,8.104400,10.377140", \ - "5.915768,6.277260,6.648480,7.100525,7.543320,8.044722,10.403310", \ - "6.210785,6.579631,6.858915,7.283604,7.723331,8.244156,10.576480", \ - "6.769343,6.973014,7.239718,7.689769,8.188074,8.683240,11.076950", \ - "7.764509,7.853232,7.968488,8.263479,8.786855,9.403924,11.709930", \ - "9.213204,9.136197,9.171758,9.310648,9.766771,10.284200,12.493810"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.984674,8.398887,8.658640,8.698824,8.856419,8.793441,8.493168", \ - "8.024339,8.431530,8.689172,8.760836,8.637296,8.978023,9.071872", \ - "7.991442,8.467567,8.595458,8.753896,8.800894,8.671742,8.569011", \ - "8.009921,8.357459,8.616784,8.757010,8.802551,8.464865,8.591862", \ - "7.943983,8.421633,8.547682,8.752711,8.729296,8.643494,8.800811", \ - "8.012903,8.417875,8.618001,8.747963,8.802506,8.809831,9.007230", \ - "8.193367,8.613829,8.852090,8.840868,8.920641,9.027249,8.618773"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.955903,6.361642,6.742451,7.200748,7.634048,8.130111,10.411720", \ - "5.910783,6.317610,6.699959,7.154742,7.590175,8.104408,10.377140", \ - "5.915710,6.277285,6.648499,7.100539,7.543328,8.044727,10.403320", \ - "6.274146,6.579657,6.859681,7.283618,7.723340,8.244165,10.576480", \ - "6.769371,6.973038,7.239739,7.689772,8.188084,8.683246,11.076960", \ - "7.764532,7.853254,7.968507,8.263493,8.786866,9.403932,11.709940", \ - "9.213225,9.136215,9.171776,9.310661,9.766781,10.284210,12.493820"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.984823,8.398904,8.658655,8.698836,8.856129,8.793457,8.493149", \ - "8.024220,8.431551,8.689188,8.760849,8.637302,8.978034,9.071882", \ - "7.991465,8.467586,8.595472,8.753907,8.800904,8.672182,8.569009", \ - "8.009946,8.357477,8.616799,8.757027,8.802562,8.464864,8.591831", \ - "7.944005,8.421652,8.547696,8.752724,8.729284,8.643499,8.800815", \ - "8.012925,8.417889,8.618017,8.747976,8.802516,8.809840,9.007240", \ - "8.193387,8.613845,8.852106,8.840880,8.920633,9.027260,8.618772"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.955794,6.361542,6.742330,7.200673,7.633995,8.130077,10.411670", \ - "5.910676,6.317511,6.699868,7.154669,7.590126,8.104371,10.377090", \ - "5.915612,6.277188,6.648410,7.100465,7.543279,8.044694,10.403250", \ - "6.262729,6.579562,6.859594,7.283546,7.717621,8.187833,10.576430", \ - "6.769287,6.972958,7.239661,7.689715,8.188031,8.683212,11.076900", \ - "7.764458,7.853181,7.968438,8.263433,8.786816,9.403894,11.709880", \ - "9.213155,9.136151,9.171713,9.310607,9.766735,10.284180,12.493760"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.984717,8.398782,8.658528,8.698723,8.856041,8.793401,8.493117", \ - "8.024063,8.431428,8.689060,8.760734,8.637220,8.977964,9.071821", \ - "7.991355,8.467461,8.595346,8.753792,8.800816,8.672124,8.568970", \ - "8.009831,8.357355,8.616671,8.756910,8.802473,8.464813,8.591806", \ - "7.943894,8.421526,8.547570,8.752607,8.729194,8.643441,8.800763", \ - "8.012814,8.417766,8.617889,8.747860,8.802427,8.809775,9.007180", \ - "8.193287,8.613729,8.851983,8.840769,8.920540,9.027189,8.618731"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.955980,6.361823,6.743680,7.200879,7.633990,8.134072,10.410660", \ - "5.910866,6.317744,6.700066,7.154666,7.590388,8.104673,10.378910", \ - "5.854680,6.277388,6.648613,7.100671,7.543494,8.044865,10.403020", \ - "6.274261,6.579782,6.859821,7.283779,7.723531,8.188029,10.578190", \ - "6.769519,6.974229,7.239597,7.684808,8.188291,8.685474,11.077460", \ - "7.776583,7.853454,7.968790,8.263749,8.786723,9.404345,11.708600", \ - "9.213183,9.136620,9.172338,9.310928,9.767118,10.284720,12.495920"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.995336,8.397927,8.698407,8.697894,8.817068,8.819852,8.496136", \ - "7.955765,8.430174,8.688263,8.759767,8.666484,8.976888,9.066837", \ - "7.990500,8.466627,8.594451,8.752944,8.799857,8.640021,8.567228", \ - "8.008773,8.435584,8.615710,8.754591,8.801711,8.463213,8.589524", \ - "7.975561,8.419667,8.546353,8.752196,8.640230,8.639936,8.798369", \ - "8.018248,8.410166,8.616692,8.746677,8.800730,8.808632,9.005223", \ - "8.191611,8.622740,8.848886,8.839101,8.920821,9.026231,8.617632"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.152917,6.288525,6.400311,6.520486,7.112101,8.996998,9.091428", \ - "6.109234,6.244693,6.356908,6.509720,7.116716,8.956820,9.251715", \ - "6.152171,6.259975,6.318610,6.452715,7.124084,8.890613,9.127587", \ - "6.346980,6.399859,6.456863,6.582445,7.190172,9.008631,9.203907", \ - "6.793212,6.804327,6.814468,6.899095,7.502021,9.148014,9.494167", \ - "7.400666,7.313032,7.284376,7.331188,7.851658,9.526086,9.699535", \ - "8.241374,8.055750,7.954562,7.908642,8.285686,10.214520,10.490710"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.152857,6.288456,6.400234,6.520394,7.117591,9.010090,9.091263", \ - "6.109174,6.244624,6.356831,6.509627,7.115328,8.956667,9.251477", \ - "6.152109,6.259902,6.318528,6.452623,7.124122,8.890467,9.127359", \ - "6.346918,6.399788,6.471936,6.582353,7.190066,9.008435,9.203711", \ - "6.793154,6.804260,6.772610,6.899008,7.501917,9.147857,9.494208", \ - "7.400618,7.312977,7.284317,7.331128,7.851515,9.525958,9.699356", \ - "8.241345,8.055715,7.954521,7.908589,8.285616,10.214410,10.490560"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.153033,6.288658,6.400459,6.520668,7.117418,8.982975,9.091883", \ - "6.109351,6.244827,6.357055,6.509906,7.115888,8.957185,9.252122", \ - "6.152290,6.260107,6.318744,6.452899,7.124439,8.890967,9.127999", \ - "6.347103,6.399994,6.472169,6.582627,7.190419,9.008944,9.204328", \ - "6.793329,6.804455,6.814613,6.899268,7.502239,9.148308,9.494567", \ - "7.400760,7.313134,7.284506,7.331365,7.851821,9.526345,9.699904", \ - "8.241423,8.055810,7.954632,7.908737,8.285826,10.214730,10.491040"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.152947,6.288527,6.400307,6.520469,7.135214,8.978077,9.093891", \ - "6.109231,6.244695,6.356913,6.509755,7.153555,8.957309,9.254143", \ - "6.152163,6.259964,6.318603,6.452707,7.124831,8.798531,9.129978", \ - "6.346968,6.399854,6.457229,6.582589,7.189722,9.009520,9.206327", \ - "6.793203,6.804327,6.772695,6.899061,7.501589,9.149946,9.496569", \ - "7.400647,7.313030,7.284381,7.330651,7.864949,9.391593,9.904714", \ - "8.241317,8.055735,7.954557,7.908660,8.285382,10.196850,10.492820"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.955919,6.361772,6.743004,7.200858,7.633964,8.134048,10.410320", \ - "5.910805,6.317697,6.700025,7.154635,7.590362,8.104667,10.377460", \ - "5.854606,6.277337,6.648572,7.100639,7.543469,8.044839,10.403500", \ - "6.210874,6.579733,6.859777,7.283746,7.724088,8.187989,10.576850", \ - "6.769464,6.974179,7.239552,7.684776,8.188269,8.685674,11.077440", \ - "7.776534,7.853415,7.968754,8.263725,8.786698,9.404339,11.708160", \ - "9.213140,9.136580,9.172305,9.310891,9.767101,10.284690,12.495870"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.995284,8.397874,8.698330,8.697871,8.817038,8.819810,8.496050", \ - "7.955710,8.430125,8.688224,8.759750,8.666420,8.976882,9.066817", \ - "7.990449,8.466582,8.594402,8.752927,8.799840,8.639968,8.567148", \ - "8.008727,8.435434,8.615664,8.754576,8.801696,8.463162,8.589448", \ - "7.975514,8.419622,8.546302,8.752194,8.640202,8.639903,8.798315", \ - "8.018203,8.410118,8.616647,8.746661,8.800716,8.808612,9.005187", \ - "8.191559,8.622693,8.848848,8.839083,8.920783,9.026226,8.617553"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.955953,6.361799,6.743059,7.200872,7.633975,8.134065,10.410340", \ - "5.910839,6.317723,6.700046,7.154649,7.590373,8.104677,10.377480", \ - "5.854646,6.277363,6.648593,7.100654,7.543479,8.044850,10.403510", \ - "6.210910,6.579759,6.859052,7.283761,7.717851,8.245430,10.576860", \ - "6.769494,6.974205,7.239575,7.684793,8.188279,8.685685,11.077450", \ - "7.776561,7.853437,7.968773,8.263742,8.786710,9.404348,11.708180", \ - "9.213163,9.136600,9.172323,9.310908,9.767112,10.284700,12.495880"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.995314,8.397897,8.698482,8.697890,8.817035,8.819843,8.496076", \ - "7.955737,8.430147,8.688242,8.759768,8.666440,8.976898,9.066835", \ - "7.990475,8.466603,8.594422,8.752944,8.799858,8.639983,8.567173", \ - "8.008751,8.435522,8.615684,8.754593,8.801713,8.463186,8.589473", \ - "7.975539,8.419643,8.546324,8.752189,8.640222,8.639924,8.798336", \ - "8.018227,8.410140,8.616667,8.746679,8.800733,8.808631,9.005205", \ - "8.191584,8.622715,8.848866,8.839101,8.920800,9.026242,8.617578"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.955814,6.361558,6.742348,7.200681,7.634001,8.130079,10.411670", \ - "5.910696,6.317526,6.699881,7.154677,7.590130,8.104375,10.377090", \ - "5.915621,6.277203,6.648422,7.100473,7.543283,8.044695,10.403260", \ - "6.210740,6.579578,6.859606,7.283554,7.723295,8.187827,10.576430", \ - "6.769304,6.972971,7.239673,7.689711,8.188037,8.683213,11.076900", \ - "7.764471,7.853194,7.968450,8.263442,8.786822,9.403898,11.709880", \ - "9.213167,9.136161,9.171724,9.310614,9.766741,10.284180,12.493770"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.984731,8.398793,8.658539,8.698730,8.856044,8.793401,8.493104", \ - "8.024076,8.431441,8.689072,8.760743,8.637221,8.977972,9.071826", \ - "7.991369,8.467475,8.595356,8.753801,8.800822,8.672117,8.568960", \ - "8.009846,8.357367,8.616682,8.756920,8.802480,8.464806,8.591797", \ - "7.943909,8.421540,8.547579,8.752617,8.729197,8.643440,8.800762", \ - "8.012957,8.417778,8.617900,8.747869,8.802434,8.809779,9.007185", \ - "8.193298,8.613741,8.851995,8.840777,8.920545,9.027197,8.618721"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("5.956000,6.361838,6.743097,7.200894,7.633993,8.134084,10.410380", \ - "5.910886,6.317763,6.700077,7.154672,7.590392,8.104689,10.377510", \ - "5.854699,6.277403,6.648624,7.100678,7.543498,8.044875,10.403530", \ - "6.274281,6.579797,6.859833,7.283786,7.723534,8.188045,10.576900", \ - "6.769537,6.974243,7.239609,7.684825,8.188294,8.685707,11.077470", \ - "7.776599,7.853466,7.968799,8.263770,8.786728,9.404363,11.708190", \ - "9.213196,9.136630,9.172346,9.310936,9.767130,10.284740,12.495890"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.995354,8.397944,8.698432,8.697933,8.817074,8.819870,8.496159", \ - "7.955783,8.430190,8.688276,8.759803,8.666500,8.976923,9.066849", \ - "7.990517,8.466641,8.594466,8.752980,8.799894,8.640037,8.567249", \ - "8.008789,8.435611,8.615724,8.754627,8.801747,8.463260,8.589544", \ - "7.975578,8.419682,8.546369,8.752208,8.640270,8.639979,8.798385", \ - "8.018264,8.410182,8.616707,8.746714,8.800767,8.808672,9.005236", \ - "8.191628,8.622755,8.848898,8.839140,8.920834,9.026269,8.617654"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.152991,6.288580,6.400356,6.520524,7.136622,8.974249,9.094161", \ - "6.109276,6.244747,6.356961,6.509830,7.154702,8.957499,9.254499", \ - "6.152214,6.260020,6.318646,6.452767,7.125047,8.797642,9.130352", \ - "6.347011,6.399900,6.457364,6.582666,7.189738,9.009810,9.206608", \ - "6.793240,6.804372,6.772753,6.899126,7.501617,9.150250,9.497034", \ - "7.400679,7.313064,7.284423,7.330570,7.863411,9.394158,9.900187", \ - "8.241327,8.055749,7.954586,7.908699,8.285405,10.197010,10.493050"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.153251,6.288867,6.400660,6.520865,7.136047,8.974766,9.094910", \ - "6.109538,6.245035,6.357265,6.510197,7.155106,8.958122,9.255260", \ - "6.152484,6.260312,6.318954,6.453125,7.125511,8.798328,9.131079", \ - "6.347278,6.400189,6.472394,6.583026,7.190190,9.010362,9.207338", \ - "6.793499,6.804651,6.814845,6.899474,7.502053,9.150800,9.497512", \ - "7.400901,7.313303,7.284691,7.330908,7.863911,9.394451,9.901140", \ - "8.241482,8.055917,7.954776,7.908925,8.285710,10.197450,10.493630"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.152837,6.288440,6.400222,6.520391,7.116046,8.981609,9.091238", \ - "6.109155,6.244608,6.356819,6.509621,7.115636,8.956706,9.251552", \ - "6.152087,6.259886,6.318514,6.452620,7.124051,8.890515,9.127426", \ - "6.346902,6.399774,6.456792,6.582349,7.190084,9.008497,9.203767", \ - "6.793137,6.804244,6.814379,6.899001,7.501916,9.147886,9.494033", \ - "7.400600,7.312958,7.284310,7.331144,7.851527,9.525969,9.699409", \ - "8.241318,8.055691,7.954496,7.908570,8.285605,10.214410,10.490600"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & RN & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.153203,6.288809,6.400607,6.520818,7.135351,8.973402,9.094549", \ - "6.109490,6.244977,6.357212,6.510118,7.153735,8.957883,9.254914", \ - "6.152425,6.260251,6.318902,6.453060,7.125230,8.799444,9.130748", \ - "6.347230,6.400137,6.457516,6.582941,7.190166,9.010004,9.207026", \ - "6.793456,6.804602,6.814780,6.899403,7.502019,9.150452,9.497226", \ - "7.400862,7.313262,7.284636,7.330982,7.865800,9.391426,9.702449", \ - "8.241464,8.055899,7.954745,7.908882,8.285688,10.197270,10.493380"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFR_X1 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and drive strength X1 - *******************************************************************************************/ - - cell (SDFFR_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - clear : "!RN"; - } - - area : 6.650000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 105.258197; - - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & !Q & QN"; - value : 82.734630; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & !Q & QN"; - value : 93.260178; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & !Q & QN"; - value : 86.802969; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & !Q & QN"; - value : 99.817311; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & !Q & QN"; - value : 95.590264; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & Q & !QN"; - value : 105.660918; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & !Q & QN"; - value : 106.115922; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & Q & !QN"; - value : 116.186576; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & !Q & QN"; - value : 99.658713; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & Q & !QN"; - value : 109.729257; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & !Q & QN"; - value : 110.254848; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & Q & !QN"; - value : 115.187413; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & !Q & QN"; - value : 99.944581; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & !Q & QN"; - value : 105.333283; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & !Q & QN"; - value : 100.749242; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & !Q & QN"; - value : 106.923751; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & !Q & QN"; - value : 110.382118; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & Q & !QN"; - value : 115.314683; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & !Q & QN"; - value : 115.770820; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & Q & !QN"; - value : 120.703385; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & !Q & QN"; - value : 113.604986; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & Q & !QN"; - value : 123.675530; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & !Q & QN"; - value : 117.361288; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & Q & !QN"; - value : 122.293853; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & !Q & QN"; - value : 79.158453; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & !Q & QN"; - value : 89.683781; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & !Q & QN"; - value : 83.226462; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & !Q & QN"; - value : 91.510804; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & !Q & QN"; - value : 90.713568; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & Q & !QN"; - value : 107.073307; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & !Q & QN"; - value : 101.239006; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & Q & !QN"; - value : 117.598635; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & !Q & QN"; - value : 94.781687; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & Q & !QN"; - value : 111.141316; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & !Q & QN"; - value : 103.066249; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & Q & !QN"; - value : 114.293718; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & !Q & QN"; - value : 91.638184; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & !Q & QN"; - value : 97.026886; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & !Q & QN"; - value : 97.172405; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & !Q & QN"; - value : 98.617574; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & !Q & QN"; - value : 103.193629; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & Q & !QN"; - value : 114.420988; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & !Q & QN"; - value : 108.582441; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & Q & !QN"; - value : 119.809800; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & !Q & QN"; - value : 108.727520; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & Q & !QN"; - value : 125.087149; - } - leakage_power () { - when : "CK & D & RN & SE & SI & !Q & QN"; - value : 110.173019; - } - leakage_power () { - when : "CK & D & RN & SE & SI & Q & !QN"; - value : 121.400378; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.153532; - fall_capacitance : 1.096761; - rise_capacitance : 1.153532; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & !SE"; - sdf_cond : "RN_AND_NEG_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.023055,-0.009779,-0.004547", \ - "-0.030652,-0.016399,-0.008915", \ - "0.114986,0.129503,0.137034"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.011479,-0.002903,-0.004649", \ - "-0.011646,-0.004396,-0.009733", \ - "0.065893,0.072697,0.060760"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & !SE"; - sdf_cond : "RN_AND_NEG_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.073371,0.066813,0.079677", \ - "0.090759,0.084272,0.097051", \ - "0.133112,0.126315,0.138276"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.065770,0.051355,0.044071", \ - "0.076325,0.061734,0.054370", \ - "0.084012,0.069500,0.061991"); - } - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.110806,5.087619,5.057175,5.069674,5.215122,5.568869,6.144641"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.162556,4.126675,4.081152,4.097807,4.233022,4.568861,5.115814"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.110495,5.086974,5.057014,5.069083,5.214657,5.567235,6.143780"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.136470,4.094753,4.055404,4.065933,4.206790,4.542541,5.088120"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717836,0.693676,0.681940,0.678536,0.676230,0.675553,0.674395"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.603501,-0.609312,-0.614721,-0.618370,-0.621437,-0.623187,-0.624992"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650144,0.657851,0.660051,0.659515,0.658709,0.657899,0.657988"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.422922,-0.488794,-0.545647,-0.567113,-0.579335,-0.586675,-0.592254"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.738770,6.713753,6.684332,6.695806,6.841044,7.191908,7.762809"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.584465,4.548317,4.508610,4.519468,4.657872,4.986900,5.536377"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.707501,6.685250,6.656037,6.668237,6.811764,7.163337,7.733938"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.583710,4.549995,4.510392,4.520201,4.658167,4.997969,5.541713"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.738671,6.713382,6.677787,6.695340,6.840534,7.191321,7.762278"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.588018,4.551456,4.506426,4.522945,4.661336,4.990395,5.539936"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.705677,6.683170,6.654043,6.665878,6.809355,7.160852,7.731203"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.583666,4.547595,4.507545,4.520144,4.658098,4.997936,5.541643"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716987,0.692824,0.681089,0.677689,0.674944,0.674719,0.673009"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605762,-0.611571,-0.616975,-0.620625,-0.623255,-0.625440,-0.627242"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717406,0.693194,0.681856,0.678458,0.676133,0.675490,0.674053"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.603462,-0.609094,-0.614540,-0.618235,-0.621390,-0.623143,-0.625524"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649812,0.657518,0.659717,0.659180,0.657972,0.657568,0.657154"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424005,-0.489876,-0.546732,-0.568193,-0.580001,-0.587749,-0.593322"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650328,0.658029,0.660233,0.659691,0.658467,0.658083,0.657635"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.422173,-0.488040,-0.544887,-0.566350,-0.578163,-0.585915,-0.591497"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.274127,3.252199,3.222005,3.238062,3.384228,3.732888,4.295117"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.526592,1.490458,1.453216,1.470189,1.614559,1.948829,2.494836"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.271820,3.249918,3.219542,3.235715,3.381822,3.730406,4.292535"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.526702,1.490594,1.453015,1.470215,1.614314,1.948854,2.494702"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716392,0.692546,0.680834,0.677449,0.675114,0.674422,0.673039"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.606391,-0.612059,-0.617523,-0.621231,-0.624249,-0.626152,-0.628371"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649573,0.657300,0.659441,0.658913,0.658068,0.657263,0.656908"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424626,-0.490609,-0.547474,-0.568906,-0.581047,-0.588728,-0.594374"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.272833,3.250298,3.219970,3.236459,3.382330,3.731052,4.293191"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.585905,1.549676,1.512099,1.528554,1.672598,2.007321,2.553920"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.211417,3.187523,3.159357,3.174929,3.323968,3.674872,4.238562"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.561707,1.525497,1.487860,1.503255,1.646139,1.978497,2.523636"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.272399,3.249926,3.219540,3.236002,3.381941,3.730473,4.288988"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.589448,1.553119,1.515552,1.532016,1.675883,2.010809,2.557607"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.209138,3.184988,3.155775,3.172990,3.321547,3.672393,4.235931"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.561755,1.525514,1.489163,1.503279,1.646183,1.978522,2.523525"); - } - - } - - internal_power () { - - when : "CK & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716736,0.692587,0.680832,0.677443,0.675113,0.674439,0.673053"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.606237,-0.612057,-0.617489,-0.621142,-0.624155,-0.625960,-0.628246"); - } - - } - - internal_power () { - - when : "CK & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.721882,0.693648,0.681954,0.678551,0.675784,0.675556,0.673848"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.603899,-0.609519,-0.614999,-0.618611,-0.621299,-0.623650,-0.625319"); - } - - } - - internal_power () { - - when : "CK & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649571,0.657269,0.659445,0.658915,0.658070,0.657261,0.657140"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424609,-0.490525,-0.547400,-0.568856,-0.580996,-0.588411,-0.594399"); - } - - } - - internal_power () { - - when : "CK & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.651590,0.659277,0.661462,0.660921,0.660103,0.659287,0.659362"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.419443,-0.485345,-0.542195,-0.563648,-0.575857,-0.583205,-0.588781"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.490977; - fall_capacitance : 1.470155; - rise_capacitance : 1.490977; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.032969,-0.046939,-0.055266", \ - "-0.024577,-0.038576,-0.046779", \ - "-0.026374,-0.040643,-0.049010"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.100127,0.113544,0.121267", \ - "0.155024,0.168190,0.176025", \ - "0.347303,0.360456,0.368378"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.075040,0.108575,0.199362"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.689187,0.665495,0.652978,0.649087,0.647236,0.646462,0.644964"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.606772,-0.608201,-0.610559,-0.613650,-0.616790,-0.616972,-0.617640"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.689310,0.665201,0.653041,0.649164,0.647310,0.646594,0.644812"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.607435,-0.608831,-0.610693,-0.613634,-0.615880,-0.616898,-0.619289"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.689175,0.665486,0.652966,0.649077,0.647218,0.646444,0.644942"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.606794,-0.608226,-0.610590,-0.613681,-0.616805,-0.617004,-0.617672"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.349656,2.329326,2.316303,2.345556,2.426703,2.572129,2.767383"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.144612,-0.148674,-0.154230,-0.152329,-0.124879,-0.048424,0.094993"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.349691,2.329346,2.316336,2.345584,2.426764,2.572191,2.767461"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.144540,-0.148585,-0.154119,-0.152217,-0.124833,-0.048312,0.095103"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.349684,2.329341,2.316331,2.345580,2.426755,2.572182,2.767449"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.144552,-0.148612,-0.154138,-0.152236,-0.124842,-0.048331,0.095084"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.689188,0.665494,0.652979,0.649088,0.647240,0.646467,0.644971"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.606764,-0.608191,-0.610547,-0.613638,-0.616785,-0.616961,-0.617629"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.349648,2.329321,2.316295,2.345550,2.426691,2.572116,2.767367"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.144630,-0.148694,-0.154255,-0.152353,-0.124891,-0.048449,0.094968"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.634879,0.635741,0.634561,0.633718,0.633625,0.633110,0.632562"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.607357,-0.608705,-0.609828,-0.612837,-0.612912,-0.612905,-0.613582"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.634350,0.635211,0.634034,0.633191,0.633107,0.632593,0.632042"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.608539,-0.609885,-0.611004,-0.614014,-0.614105,-0.614085,-0.614783"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.634012,0.634876,0.633695,0.632853,0.632745,0.632246,0.631706"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.609856,-0.611294,-0.612240,-0.615070,-0.615264,-0.615265,-0.615986"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.633179,0.634044,0.632865,0.632031,0.631919,0.631402,0.630884"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.611608,-0.612972,-0.614116,-0.617123,-0.617142,-0.617191,-0.617796"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.633744,0.634591,0.633427,0.632586,0.632505,0.631991,0.631440"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.610319,-0.611753,-0.612691,-0.615514,-0.615744,-0.615715,-0.616478"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.633768,0.634622,0.633455,0.632614,0.632530,0.632010,0.631466"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.609985,-0.611336,-0.612461,-0.615468,-0.615545,-0.615539,-0.616216"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.634362,0.635225,0.634047,0.633203,0.633109,0.632602,0.632054"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.608443,-0.609791,-0.610913,-0.613926,-0.614007,-0.613999,-0.614685"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.633168,0.634037,0.632854,0.632266,0.631903,0.631387,0.630872"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.611667,-0.613014,-0.614147,-0.616366,-0.617166,-0.617190,-0.617779"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.000805; - fall_capacitance : 1.883372; - rise_capacitance : 2.000805; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.017591,-0.009001,-0.011670", \ - "-0.033874,-0.026506,-0.029002", \ - "0.108753,0.115252,0.103076"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.015975,-0.003381,-0.002207", \ - "-0.020201,-0.006799,-0.008288", \ - "0.068846,0.082857,0.072978"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.075881,0.061390,0.070008", \ - "0.095136,0.080728,0.087567", \ - "0.130159,0.116153,0.126057"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.082982,0.076018,0.088902", \ - "0.089739,0.082860,0.095627", \ - "0.090247,0.083754,0.095955"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.391041,2.353578,2.406963,2.612041,2.995158,3.609137,4.483496"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.060224,-0.094185,-0.088256,0.075616,0.469683,1.110705,2.013559"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.938984,5.866583,5.924049,6.268824,6.966407,8.087313,9.695571"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.489348,3.446132,3.433281,3.682936,4.346355,5.491013,7.140707"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.390091,2.352722,2.406112,2.611191,2.993893,3.608149,4.482135"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.062505,-0.096448,-0.090512,0.073359,0.467848,1.108347,2.011308"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.391476,2.353993,2.407392,2.612468,2.995607,3.609587,4.483651"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.046073,-0.079611,-0.073630,0.090341,0.484272,1.125355,2.026398"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.561068,7.491140,7.547310,7.891741,8.582846,9.709738,11.316080"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.979356,3.937234,3.922934,4.171590,4.830344,5.967247,7.615241"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.527672,7.460502,7.515778,7.860519,8.557269,9.679330,11.285700"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.943299,3.904510,3.887283,4.136687,4.801772,5.939931,7.592585"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.339511,6.307660,6.406402,6.693345,7.177281,7.899910,8.874287"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.321252,4.290739,4.232833,4.345679,4.717437,5.361210,6.304800"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.231420,2.198841,2.256909,2.467702,2.855291,3.472557,4.349241"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.036862,0.008278,0.015209,0.172591,0.557826,1.196339,2.099655"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.814350,6.781730,6.881633,7.167327,7.647510,8.372485,9.346114"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.948380,5.912286,5.859885,5.973336,6.342100,6.987955,7.928658"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.865101,6.835448,6.935353,7.221134,7.704478,8.426101,9.404601"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.985187,5.956747,5.900292,6.012772,6.381019,7.028683,7.967681"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.231190,2.198686,2.256580,2.467363,2.854551,3.472231,4.348413"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.035759,0.007219,0.014143,0.171524,0.557159,1.195265,2.098596"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.233251,2.198640,2.256705,2.467489,2.854666,3.472366,4.348520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.036337,0.007761,0.014700,0.172083,0.557718,1.195824,2.099153"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.391168,2.353751,2.407441,2.612561,2.995634,3.609683,4.483659"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.046542,-0.079898,-0.073483,0.090307,0.484548,1.125265,2.026196"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.097255,4.025200,4.086584,4.435914,5.131127,6.242804,7.836163"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.882042,0.839524,0.832544,1.090335,1.754951,2.894172,4.531670"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.389870,2.352132,2.405835,2.610952,2.994059,3.607644,4.482132"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.063731,-0.096987,-0.091094,0.072908,0.466944,1.107813,2.010304"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.390371,2.352958,2.406642,2.611751,2.994156,3.608918,4.482603"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.048535,-0.081837,-0.075424,0.088961,0.482949,1.123310,2.024918"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.096791,4.024626,4.086143,4.435571,5.130666,6.242286,7.835802"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.979955,0.937552,0.929964,1.186797,1.848453,2.984120,4.616084"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.030697,3.959871,4.021373,4.374215,5.071851,6.186324,7.782186"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.916163,0.875414,0.867713,1.121420,1.786836,2.921693,4.559078"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.822589,3.790170,3.889111,4.174867,4.659711,5.378380,6.350961"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.360627,2.341817,2.327704,2.474341,2.863662,3.518495,4.453147"); - } - - } - - internal_power () { - - when : "CK & D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.233816,2.201064,2.259505,2.470671,2.858116,3.474225,4.352291"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.150009,-0.178853,-0.171585,-0.013411,0.373740,1.012564,1.914626"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.814187,3.781979,3.881670,4.168839,4.656038,5.376897,6.352313"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.509731,2.479706,2.420355,2.534940,2.907339,3.556547,4.492189"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.848786,3.816237,3.916258,4.203138,4.690004,5.410478,6.385655"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.454881,2.424398,2.364392,2.479754,2.850019,3.499352,4.434724"); - } - - } - - internal_power () { - - when : "CK & D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.230816,2.198177,2.256465,2.467257,2.855036,3.471283,4.348398"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.035262,0.006626,0.013499,0.171101,0.556260,1.194593,2.097475"); - } - - } - - internal_power () { - - when : "CK & D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.231270,2.198648,2.257066,2.467849,2.855649,3.471897,4.349232"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.036990,0.008365,0.015262,0.172861,0.557959,1.196350,2.099634"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.875562; - fall_capacitance : 0.838906; - rise_capacitance : 0.875562; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SE"; - sdf_cond : "RN_AND_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.026657,-0.013189,-0.007257", \ - "-0.030147,-0.016282,-0.009611", \ - "0.107809,0.122690,0.129913"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.012014,-0.003429,-0.005799", \ - "-0.011693,-0.004184,-0.010208", \ - "0.054108,0.061715,0.049735"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SE"; - sdf_cond : "RN_AND_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.081568,0.074748,0.087584", \ - "0.099228,0.092371,0.105110", \ - "0.144899,0.137297,0.149303"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.068278,0.053862,0.046383", \ - "0.080008,0.065564,0.058418", \ - "0.091190,0.076313,0.069113"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.543026,0.526497,0.519463,0.515762,0.514117,0.512899,0.511811"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.465026,-0.468919,-0.471235,-0.472464,-0.473852,-0.474379,-0.475072"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.073006,5.059900,5.038068,5.045261,5.141623,5.390712,5.805422"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.690097,3.664179,3.638121,3.647129,3.733359,3.970514,4.357003"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542177,0.525643,0.518614,0.514914,0.512817,0.512066,0.510409"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467284,-0.471178,-0.473489,-0.474719,-0.475655,-0.476631,-0.477321"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.543006,0.526477,0.519442,0.515747,0.514123,0.512921,0.511548"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.464828,-0.468624,-0.470867,-0.472198,-0.473719,-0.473998,-0.475413"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.695055,6.684293,6.660472,6.668244,6.759237,7.007652,7.422899"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.117394,4.090733,4.064419,4.069297,4.160297,4.384618,4.777691"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.671265,6.655252,6.627263,6.639750,6.735641,6.986710,7.396216"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.117732,4.093285,4.067225,4.071610,4.166417,4.396392,4.783106"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481156,0.489127,0.491078,0.490659,0.490379,0.490512,0.490334"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321142,-0.370058,-0.409900,-0.425469,-0.434381,-0.439476,-0.443525"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.073028,5.059299,5.037424,5.042415,5.140859,5.389882,5.804473"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.694037,3.673451,3.641773,3.650696,3.737127,3.973880,4.360123"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480839,0.488804,0.490756,0.490330,0.489572,0.490182,0.489416"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.322215,-0.371136,-0.410977,-0.426542,-0.434972,-0.440540,-0.444583"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480973,0.488931,0.490887,0.490457,0.489685,0.490318,0.489524"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321664,-0.370581,-0.410415,-0.425980,-0.434411,-0.439981,-0.444027"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.692541,6.683678,6.659820,6.667546,6.758485,7.006796,7.421957"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.120828,4.094410,4.067991,4.072864,4.164120,4.388618,4.780603"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.666596,6.651676,6.623656,6.636088,6.731828,6.982871,7.392210"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.148950,4.126311,4.096438,4.100837,4.189444,4.424888,4.811866"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.543075,0.526575,0.519508,0.515827,0.514140,0.512937,0.511611"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.464825,-0.468641,-0.470932,-0.472265,-0.473663,-0.474066,-0.475326"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.232995,3.220636,3.198233,3.206584,3.305366,3.544082,3.945066"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.122448,1.096427,1.071880,1.080920,1.175999,1.407168,1.796321"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.541923,0.525404,0.518360,0.514667,0.513000,0.511786,0.510462"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467756,-0.471664,-0.474003,-0.475235,-0.476570,-0.477152,-0.478343"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542278,0.525755,0.518709,0.515020,0.512893,0.512180,0.510500"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466836,-0.470640,-0.472895,-0.474225,-0.475225,-0.476022,-0.476786"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.229957,3.217730,3.195188,3.203539,3.302317,3.541035,3.942222"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.122449,1.096311,1.071887,1.080931,1.175847,1.407208,1.796340"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.174216,3.161180,3.139775,3.147844,3.246491,3.488854,3.891194"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.099871,1.071769,1.049599,1.056047,1.152616,1.379753,1.767536"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.482206,0.489128,0.491013,0.490587,0.490256,0.490389,0.489955"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321392,-0.369946,-0.410113,-0.425590,-0.434355,-0.439394,-0.443913"); - } - - } - - internal_power () { - - when : "CK & D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.229377,3.217007,3.194761,3.202888,3.301573,3.539532,3.941135"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.063642,1.037573,1.013183,1.022945,1.118578,1.349138,1.738075"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480575,0.488553,0.490487,0.490065,0.489739,0.489877,0.489446"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.322838,-0.371781,-0.411636,-0.427201,-0.436030,-0.441199,-0.445742"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481182,0.489144,0.491092,0.490656,0.490360,0.490491,0.490305"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321108,-0.370046,-0.409877,-0.425440,-0.434331,-0.439440,-0.443489"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.229370,3.216983,3.194564,3.202852,3.301566,3.539621,3.941068"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.126026,1.099993,1.075461,1.084495,1.179604,1.411004,1.799653"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.170220,3.157109,3.135692,3.143622,3.242683,3.484549,3.886818"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.125174,1.097233,1.075121,1.081500,1.177744,1.405075,1.791860"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 1.026344; - fall_capacitance : 0.939316; - rise_capacitance : 1.026344; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.063747,0.075086,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.062526,0.062797,0.198733"); - } - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.361975,4.331321,4.340161,4.516303,4.883238,5.464028,6.308264"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.974576,2.954306,2.945007,3.062446,3.386857,3.978425,4.869581"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.358437,4.327463,4.336619,4.512864,4.879677,5.460347,6.304655"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.217439,3.197209,3.188093,3.304798,3.629693,4.220222,5.113003"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.361845,4.331241,4.340020,4.516283,4.883117,5.463995,6.308234"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.974686,2.955366,2.945092,3.062700,3.386920,3.978414,4.869598"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.573688,6.543223,6.554232,6.741466,7.121779,7.721920,8.581196"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.354670,11.336550,11.335780,11.475800,11.830860,12.471930,13.432740"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.363799,4.333589,4.342181,4.516652,4.883937,5.465820,6.308912"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.981434,2.962530,2.952222,3.070173,3.395574,3.984997,4.877159"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.423562,7.384317,7.393626,7.576079,7.948780,8.552145,9.419525"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.363801,4.333623,4.342188,4.516659,4.883937,5.465838,6.308912"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.981458,2.962872,2.952249,3.070210,3.395605,3.985033,4.877190"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.422371,7.383130,7.392446,7.574900,7.947597,8.550964,9.418339"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.363689,4.333492,4.342064,4.516521,4.883822,5.465782,6.308903"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.981533,2.962617,2.952294,3.070237,3.395643,3.984983,4.877183"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.893119,7.851048,7.858296,8.042925,8.421539,9.017167,9.884478"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.102637,7.065887,7.076064,7.260647,7.638663,8.230630,9.090410"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.390361,4.359763,4.370082,4.547751,4.915134,5.500763,6.346746"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.880515,2.860541,2.846666,2.966700,3.296076,3.889849,4.783941"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.573725,6.543245,6.554278,6.741537,7.121868,7.721810,8.581781"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.354980,11.335830,11.336230,11.475480,11.831080,12.472000,13.432860"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.573712,6.543240,6.554272,6.741532,7.121836,7.721798,8.581769"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.354980,11.335810,11.336210,11.475460,11.830690,12.471990,13.432840"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.361846,4.331220,4.340016,4.516266,4.883140,5.464017,6.308262"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.974711,2.954431,2.945128,3.062578,3.386937,3.978462,4.869639"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.573679,6.543218,6.554225,6.741459,7.121788,7.721908,8.581181"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("11.354660,11.336490,11.335750,11.475780,11.831230,12.471900,13.432720"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.102712,7.066651,7.076177,7.260749,7.638712,8.230723,9.090464"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.388160,4.357534,4.367870,4.545539,4.912893,5.498577,6.344522"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.880489,2.860524,2.846668,2.966728,3.296112,3.889923,4.783975"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.102696,7.066630,7.076157,7.260731,7.638702,8.230705,9.090457"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.388151,4.357528,4.367870,4.545535,4.912893,5.498567,6.344522"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.880477,2.860509,2.846649,2.966709,3.296093,3.889906,4.783956"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.363693,4.333521,4.342066,4.516517,4.883822,5.465804,6.308903"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.981569,2.962979,2.952330,3.070274,3.395682,3.985030,4.877222"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.423169,7.380598,7.389910,7.573739,7.947434,8.551603,9.417051"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.102618,7.065867,7.076038,7.260424,7.638651,8.230605,9.090401"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.388121,4.357505,4.367839,4.545506,4.912897,5.498509,6.344522"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.880389,2.860466,2.846522,2.966575,3.295955,3.889774,4.783826"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.424800; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.063286,0.068774,0.074235,0.083131,0.097409,0.120404,0.159837", \ - "0.064758,0.070247,0.075710,0.084606,0.098886,0.121876,0.161315", \ - "0.069749,0.075231,0.080694,0.089593,0.103870,0.126864,0.166304", \ - "0.075527,0.081008,0.086469,0.095362,0.109645,0.132644,0.172087", \ - "0.079988,0.085471,0.090936,0.099830,0.114117,0.137125,0.176558", \ - "0.083130,0.088615,0.094075,0.102971,0.117217,0.140236,0.179674", \ - "0.084770,0.090241,0.095697,0.104601,0.118878,0.141895,0.181360"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.065182,0.070769,0.076571,0.086845,0.105844,0.142509,0.215114", \ - "0.066658,0.072246,0.078049,0.088320,0.107320,0.143986,0.216588", \ - "0.071850,0.077441,0.083246,0.093509,0.112511,0.149175,0.221788", \ - "0.077875,0.083463,0.089259,0.099540,0.118536,0.155199,0.227813", \ - "0.082590,0.088182,0.093983,0.104255,0.123234,0.159904,0.232529", \ - "0.085705,0.091295,0.097098,0.107363,0.126363,0.163027,0.235639", \ - "0.086823,0.092410,0.098217,0.108499,0.127485,0.164138,0.236761"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.010222,0.012423,0.014815,0.019115,0.026733,0.040663,0.069477", \ - "0.010224,0.012421,0.014815,0.019114,0.026733,0.040671,0.069476", \ - "0.010228,0.012427,0.014819,0.019120,0.026738,0.040671,0.069488", \ - "0.010226,0.012428,0.014821,0.019123,0.026739,0.040669,0.069488", \ - "0.010248,0.012446,0.014848,0.019150,0.026765,0.040685,0.069495", \ - "0.010272,0.012477,0.014874,0.019174,0.026784,0.040699,0.069478", \ - "0.010378,0.012580,0.014970,0.019263,0.026856,0.040746,0.069524"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007023,0.010403,0.014340,0.022251,0.038662,0.072439,0.141114", \ - "0.007023,0.010402,0.014342,0.022253,0.038662,0.072439,0.141116", \ - "0.007025,0.010403,0.014340,0.022251,0.038663,0.072439,0.141114", \ - "0.007026,0.010402,0.014344,0.022251,0.038663,0.072440,0.141116", \ - "0.007024,0.010405,0.014344,0.022255,0.038663,0.072441,0.141117", \ - "0.007032,0.010411,0.014351,0.022259,0.038666,0.072439,0.141115", \ - "0.007045,0.010423,0.014359,0.022264,0.038670,0.072443,0.141116"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.067223,0.072863,0.078500,0.087687,0.102013,0.124199,0.162499", \ - "0.068866,0.074516,0.080148,0.089335,0.103659,0.125846,0.164148", \ - "0.074573,0.080220,0.085854,0.095042,0.109370,0.131561,0.169873", \ - "0.084033,0.089679,0.095306,0.104488,0.118817,0.141004,0.179316", \ - "0.098447,0.104095,0.109717,0.118890,0.133184,0.155353,0.193637", \ - "0.115636,0.121548,0.127458,0.137042,0.151664,0.174000,0.212278", \ - "0.135333,0.141488,0.147683,0.157711,0.172715,0.195308,0.233606"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.011000,0.013221,0.015660,0.019818,0.026471,0.039128,0.067841", \ - "0.011002,0.013217,0.015658,0.019819,0.026471,0.039135,0.067844", \ - "0.010999,0.013219,0.015660,0.019817,0.026469,0.039136,0.067837", \ - "0.010995,0.013217,0.015662,0.019823,0.026472,0.039129,0.067837", \ - "0.011443,0.013567,0.015937,0.020011,0.026590,0.039190,0.067866", \ - "0.012927,0.015101,0.017468,0.021370,0.027481,0.039589,0.067961", \ - "0.014546,0.016761,0.019148,0.022930,0.028595,0.040205,0.068244"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.067223,0.072863,0.078500,0.087687,0.102014,0.124197,0.162500", \ - "0.068866,0.074516,0.080148,0.089335,0.103660,0.125846,0.164149", \ - "0.074573,0.080220,0.085854,0.095043,0.109371,0.131560,0.169873", \ - "0.084034,0.089679,0.095306,0.104489,0.118818,0.141004,0.179316", \ - "0.098447,0.104095,0.109717,0.118891,0.133184,0.155353,0.193637", \ - "0.115636,0.121548,0.127459,0.137042,0.151665,0.173999,0.212279", \ - "0.135333,0.141488,0.147683,0.157711,0.172715,0.195308,0.233606"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.011000,0.013221,0.015660,0.019818,0.026470,0.039129,0.067840", \ - "0.011002,0.013217,0.015658,0.019819,0.026471,0.039135,0.067844", \ - "0.010999,0.013219,0.015660,0.019817,0.026469,0.039136,0.067837", \ - "0.010995,0.013217,0.015662,0.019823,0.026472,0.039129,0.067837", \ - "0.011443,0.013567,0.015937,0.020011,0.026590,0.039190,0.067866", \ - "0.012927,0.015101,0.017468,0.021370,0.027481,0.039594,0.067961", \ - "0.014546,0.016761,0.019148,0.022930,0.028595,0.040205,0.068244"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.067222,0.072863,0.078500,0.087687,0.102013,0.124197,0.162500", \ - "0.068865,0.074516,0.080147,0.089335,0.103659,0.125846,0.164148", \ - "0.074572,0.080220,0.085854,0.095042,0.109370,0.131560,0.169873", \ - "0.084033,0.089678,0.095306,0.104488,0.118817,0.141004,0.179315", \ - "0.098447,0.104095,0.109716,0.118890,0.133183,0.155353,0.193636", \ - "0.115636,0.121512,0.127458,0.137019,0.151664,0.174000,0.212278", \ - "0.135333,0.141488,0.147684,0.157711,0.172715,0.195308,0.233606"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.011000,0.013221,0.015660,0.019818,0.026470,0.039129,0.067840", \ - "0.011002,0.013217,0.015660,0.019819,0.026471,0.039135,0.067844", \ - "0.010999,0.013219,0.015660,0.019817,0.026469,0.039136,0.067837", \ - "0.010994,0.013217,0.015662,0.019823,0.026472,0.039129,0.067837", \ - "0.011443,0.013567,0.015937,0.020011,0.026590,0.039190,0.067866", \ - "0.012927,0.015104,0.017468,0.021372,0.027481,0.039589,0.067961", \ - "0.014546,0.016761,0.019147,0.022930,0.028595,0.040205,0.068244"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.067202,0.072848,0.078483,0.087672,0.102000,0.124185,0.162487", \ - "0.068851,0.074495,0.080131,0.089321,0.103649,0.125832,0.164131", \ - "0.074554,0.080203,0.085833,0.095027,0.109355,0.131549,0.169854", \ - "0.084023,0.089664,0.095290,0.104478,0.118802,0.140987,0.179297", \ - "0.098418,0.104064,0.109687,0.118864,0.133150,0.155320,0.193611", \ - "0.115581,0.121529,0.127441,0.137013,0.151646,0.173958,0.212231", \ - "0.135157,0.141398,0.147611,0.157726,0.172675,0.195266,0.233620"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.011001,0.013214,0.015655,0.019811,0.026460,0.039120,0.067826", \ - "0.011002,0.013214,0.015653,0.019812,0.026461,0.039123,0.067828", \ - "0.010997,0.013212,0.015653,0.019811,0.026462,0.039120,0.067841", \ - "0.010994,0.013210,0.015658,0.019817,0.026465,0.039122,0.067826", \ - "0.011446,0.013565,0.015933,0.020004,0.026582,0.039181,0.067850", \ - "0.012925,0.015096,0.017458,0.021362,0.027473,0.039583,0.067950", \ - "0.014552,0.016757,0.019141,0.022917,0.028589,0.040202,0.068231"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.067202,0.072844,0.078483,0.087671,0.101999,0.124185,0.162487", \ - "0.068851,0.074493,0.080131,0.089319,0.103649,0.125832,0.164131", \ - "0.074552,0.080201,0.085833,0.095026,0.109356,0.131549,0.169854", \ - "0.084021,0.089664,0.095291,0.104476,0.118802,0.140987,0.179297", \ - "0.098417,0.104063,0.109687,0.118864,0.133150,0.155320,0.193611", \ - "0.115581,0.121529,0.127441,0.137013,0.151646,0.173958,0.212230", \ - "0.135157,0.141399,0.147611,0.157726,0.172675,0.195266,0.233620"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.011001,0.013218,0.015655,0.019811,0.026462,0.039120,0.067826", \ - "0.011002,0.013214,0.015653,0.019812,0.026461,0.039123,0.067828", \ - "0.010997,0.013211,0.015653,0.019811,0.026462,0.039120,0.067841", \ - "0.010994,0.013211,0.015658,0.019817,0.026465,0.039122,0.067826", \ - "0.011445,0.013566,0.015933,0.020004,0.026582,0.039181,0.067850", \ - "0.012925,0.015096,0.017458,0.021362,0.027473,0.039583,0.067950", \ - "0.014552,0.016757,0.019141,0.022917,0.028590,0.040202,0.068231"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.067202,0.072849,0.078484,0.087671,0.102000,0.124185,0.162487", \ - "0.068851,0.074495,0.080130,0.089319,0.103649,0.125832,0.164131", \ - "0.074554,0.080203,0.085835,0.095026,0.109356,0.131548,0.169854", \ - "0.084023,0.089664,0.095292,0.104476,0.118803,0.140987,0.179297", \ - "0.098417,0.104067,0.109686,0.118864,0.133150,0.155320,0.193611", \ - "0.115581,0.121530,0.127445,0.137014,0.151646,0.173958,0.212231", \ - "0.135157,0.141398,0.147611,0.157726,0.172675,0.195266,0.233620"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.011001,0.013214,0.015655,0.019811,0.026462,0.039120,0.067825", \ - "0.011002,0.013214,0.015656,0.019812,0.026461,0.039123,0.067838", \ - "0.010997,0.013212,0.015653,0.019811,0.026462,0.039120,0.067841", \ - "0.010994,0.013210,0.015658,0.019817,0.026465,0.039122,0.067826", \ - "0.011445,0.013564,0.015932,0.020004,0.026582,0.039181,0.067850", \ - "0.012924,0.015095,0.017460,0.021362,0.027473,0.039583,0.067950", \ - "0.014552,0.016757,0.019141,0.022917,0.028590,0.040202,0.068231"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.067222,0.072863,0.078500,0.087687,0.102014,0.124197,0.162502", \ - "0.068866,0.074515,0.080148,0.089336,0.103660,0.125846,0.164149", \ - "0.074573,0.080220,0.085854,0.095042,0.109371,0.131560,0.169874", \ - "0.084033,0.089678,0.095306,0.104489,0.118817,0.141004,0.179316", \ - "0.098447,0.104095,0.109717,0.118891,0.133184,0.155353,0.193637", \ - "0.115636,0.121512,0.127459,0.137042,0.151664,0.174000,0.212279", \ - "0.135333,0.141488,0.147684,0.157711,0.172715,0.195308,0.233606"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.011000,0.013220,0.015660,0.019818,0.026471,0.039135,0.067836", \ - "0.011002,0.013217,0.015658,0.019819,0.026471,0.039135,0.067844", \ - "0.010999,0.013219,0.015660,0.019817,0.026469,0.039136,0.067837", \ - "0.010995,0.013217,0.015662,0.019823,0.026472,0.039129,0.067837", \ - "0.011443,0.013567,0.015937,0.020011,0.026590,0.039190,0.067866", \ - "0.012927,0.015104,0.017468,0.021370,0.027481,0.039589,0.067961", \ - "0.014546,0.016761,0.019147,0.022930,0.028595,0.040205,0.068244"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.067202,0.072844,0.078483,0.087671,0.101999,0.124185,0.162486", \ - "0.068851,0.074493,0.080131,0.089319,0.103649,0.125832,0.164132", \ - "0.074554,0.080201,0.085833,0.095025,0.109355,0.131549,0.169853", \ - "0.084022,0.089664,0.095290,0.104476,0.118802,0.140987,0.179296", \ - "0.098417,0.104063,0.109685,0.118864,0.133150,0.155320,0.193604", \ - "0.115581,0.121529,0.127441,0.137013,0.151646,0.173958,0.212231", \ - "0.135157,0.141399,0.147611,0.157705,0.172674,0.195267,0.233620"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.011001,0.013218,0.015655,0.019811,0.026462,0.039120,0.067828", \ - "0.011002,0.013214,0.015653,0.019812,0.026461,0.039123,0.067833", \ - "0.010997,0.013211,0.015653,0.019811,0.026462,0.039120,0.067841", \ - "0.010994,0.013211,0.015658,0.019817,0.026465,0.039122,0.067826", \ - "0.011445,0.013566,0.015932,0.020004,0.026582,0.039181,0.067848", \ - "0.012924,0.015096,0.017458,0.021362,0.027473,0.039583,0.067950", \ - "0.014552,0.016757,0.019141,0.022919,0.028590,0.040203,0.068231"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.149588,0.155082,0.160557,0.169538,0.184268,0.208006,0.248024", \ - "0.151084,0.156582,0.162066,0.170980,0.185614,0.209255,0.249239", \ - "0.157257,0.162744,0.168213,0.177147,0.191713,0.215297,0.255243", \ - "0.170202,0.175694,0.181157,0.190084,0.204659,0.228214,0.268130", \ - "0.189696,0.195180,0.200645,0.209568,0.224053,0.247579,0.287467", \ - "0.212004,0.217494,0.222957,0.231817,0.246214,0.269757,0.309651", \ - "0.235545,0.241032,0.246498,0.255371,0.269864,0.293130,0.333256"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.010391,0.012585,0.015013,0.019529,0.027685,0.041664,0.070105", \ - "0.010386,0.012573,0.014975,0.019424,0.027508,0.041542,0.070077", \ - "0.010382,0.012570,0.014962,0.019370,0.027395,0.041464,0.070044", \ - "0.010384,0.012567,0.014959,0.019355,0.027352,0.041427,0.070024", \ - "0.010385,0.012570,0.014957,0.019344,0.027330,0.041411,0.070023", \ - "0.010385,0.012567,0.014959,0.019340,0.027319,0.041400,0.070015", \ - "0.010385,0.012567,0.014961,0.019338,0.027309,0.041391,0.070009"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.149589,0.155082,0.160557,0.169539,0.184269,0.208006,0.248032", \ - "0.151085,0.156579,0.162066,0.170980,0.185614,0.209255,0.249239", \ - "0.157257,0.162745,0.168213,0.177148,0.191713,0.215297,0.255243", \ - "0.170203,0.175695,0.181157,0.190084,0.204659,0.228214,0.268130", \ - "0.189696,0.195180,0.200645,0.209568,0.224054,0.247579,0.287468", \ - "0.212005,0.217494,0.222957,0.231817,0.246214,0.269758,0.309651", \ - "0.235545,0.241032,0.246498,0.255371,0.269864,0.293130,0.333256"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.010391,0.012585,0.015013,0.019529,0.027685,0.041664,0.070105", \ - "0.010386,0.012573,0.014975,0.019424,0.027508,0.041542,0.070077", \ - "0.010382,0.012570,0.014962,0.019370,0.027395,0.041464,0.070044", \ - "0.010384,0.012567,0.014959,0.019355,0.027352,0.041427,0.070024", \ - "0.010385,0.012570,0.014957,0.019344,0.027330,0.041411,0.070023", \ - "0.010385,0.012567,0.014959,0.019340,0.027319,0.041400,0.070015", \ - "0.010385,0.012567,0.014961,0.019338,0.027309,0.041391,0.070009"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.149585,0.155078,0.160553,0.169535,0.184265,0.208002,0.248026", \ - "0.151082,0.156580,0.162062,0.170975,0.185610,0.209252,0.249236", \ - "0.157254,0.162741,0.168209,0.177144,0.191709,0.215294,0.255240", \ - "0.170199,0.175691,0.181153,0.190080,0.204655,0.228210,0.268127", \ - "0.189693,0.195177,0.200642,0.209564,0.224050,0.247576,0.287465", \ - "0.212001,0.217491,0.222954,0.231814,0.246211,0.269755,0.309648", \ - "0.235542,0.241029,0.246495,0.255368,0.269861,0.293125,0.333253"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.010391,0.012585,0.015012,0.019529,0.027685,0.041663,0.070104", \ - "0.010386,0.012574,0.014975,0.019424,0.027508,0.041541,0.070076", \ - "0.010382,0.012570,0.014962,0.019369,0.027395,0.041464,0.070043", \ - "0.010384,0.012566,0.014958,0.019354,0.027352,0.041425,0.070024", \ - "0.010381,0.012570,0.014957,0.019343,0.027330,0.041411,0.070023", \ - "0.010385,0.012567,0.014958,0.019340,0.027318,0.041400,0.070015", \ - "0.010385,0.012567,0.014961,0.019337,0.027309,0.041392,0.070002"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.149574,0.155067,0.160542,0.169523,0.184253,0.207990,0.248017", \ - "0.151074,0.156570,0.162028,0.170965,0.185598,0.209239,0.249225", \ - "0.157243,0.162730,0.168198,0.177132,0.191697,0.215282,0.255229", \ - "0.170190,0.175678,0.181142,0.190075,0.204644,0.228198,0.268116", \ - "0.189683,0.195167,0.200631,0.209554,0.224039,0.247564,0.287454", \ - "0.211991,0.217481,0.222944,0.231804,0.246200,0.269744,0.309638", \ - "0.235533,0.241019,0.246488,0.255358,0.269849,0.293116,0.333243"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.010389,0.012583,0.015011,0.019527,0.027683,0.041662,0.070104", \ - "0.010382,0.012572,0.014974,0.019422,0.027506,0.041540,0.070075", \ - "0.010381,0.012569,0.014960,0.019368,0.027393,0.041462,0.070042", \ - "0.010381,0.012565,0.014958,0.019352,0.027350,0.041429,0.070023", \ - "0.010385,0.012568,0.014955,0.019342,0.027328,0.041409,0.070022", \ - "0.010384,0.012565,0.014956,0.019338,0.027317,0.041399,0.070014", \ - "0.010384,0.012566,0.014958,0.019336,0.027305,0.041390,0.070001"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.149574,0.155067,0.160542,0.169523,0.184253,0.207990,0.248010", \ - "0.151073,0.156572,0.162028,0.170965,0.185598,0.209239,0.249225", \ - "0.157243,0.162730,0.168198,0.177132,0.191697,0.215282,0.255229", \ - "0.170190,0.175678,0.181142,0.190074,0.204644,0.228198,0.268116", \ - "0.189683,0.195167,0.200631,0.209554,0.224039,0.247564,0.287454", \ - "0.211991,0.217481,0.222944,0.231804,0.246200,0.269744,0.309638", \ - "0.235533,0.241019,0.246488,0.255358,0.269849,0.293116,0.333243"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.010389,0.012583,0.015011,0.019527,0.027683,0.041662,0.070103", \ - "0.010383,0.012570,0.014974,0.019422,0.027506,0.041540,0.070075", \ - "0.010381,0.012569,0.014960,0.019368,0.027393,0.041462,0.070042", \ - "0.010381,0.012565,0.014958,0.019352,0.027350,0.041429,0.070023", \ - "0.010385,0.012568,0.014955,0.019342,0.027328,0.041409,0.070022", \ - "0.010384,0.012565,0.014956,0.019338,0.027317,0.041399,0.070014", \ - "0.010384,0.012566,0.014958,0.019336,0.027305,0.041390,0.070001"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.149574,0.155067,0.160542,0.169523,0.184253,0.207990,0.248017", \ - "0.151073,0.156571,0.162028,0.170965,0.185598,0.209239,0.249225", \ - "0.157243,0.162730,0.168198,0.177132,0.191697,0.215282,0.255229", \ - "0.170190,0.175678,0.181142,0.190074,0.204644,0.228198,0.268116", \ - "0.189683,0.195167,0.200631,0.209554,0.224039,0.247564,0.287454", \ - "0.211991,0.217481,0.222944,0.231804,0.246200,0.269744,0.309638", \ - "0.235533,0.241019,0.246488,0.255358,0.269849,0.293116,0.333243"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.010389,0.012583,0.015011,0.019527,0.027683,0.041662,0.070104", \ - "0.010383,0.012570,0.014974,0.019422,0.027506,0.041540,0.070075", \ - "0.010381,0.012569,0.014960,0.019368,0.027393,0.041462,0.070042", \ - "0.010381,0.012565,0.014958,0.019352,0.027350,0.041429,0.070023", \ - "0.010385,0.012568,0.014955,0.019342,0.027328,0.041409,0.070022", \ - "0.010384,0.012565,0.014956,0.019338,0.027317,0.041399,0.070014", \ - "0.010384,0.012566,0.014958,0.019336,0.027305,0.041390,0.070001"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.149585,0.155078,0.160553,0.169535,0.184265,0.208002,0.248026", \ - "0.151082,0.156580,0.162062,0.170975,0.185610,0.209252,0.249236", \ - "0.157254,0.162741,0.168209,0.177144,0.191709,0.215294,0.255240", \ - "0.170199,0.175691,0.181153,0.190081,0.204655,0.228210,0.268128", \ - "0.189693,0.195177,0.200642,0.209565,0.224050,0.247576,0.287465", \ - "0.212001,0.217491,0.222954,0.231814,0.246211,0.269755,0.309648", \ - "0.235542,0.241029,0.246495,0.255368,0.269861,0.293126,0.333253"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.010391,0.012585,0.015012,0.019529,0.027685,0.041663,0.070104", \ - "0.010386,0.012574,0.014975,0.019424,0.027508,0.041541,0.070076", \ - "0.010382,0.012570,0.014962,0.019369,0.027395,0.041464,0.070043", \ - "0.010384,0.012566,0.014958,0.019354,0.027352,0.041425,0.070024", \ - "0.010382,0.012570,0.014957,0.019343,0.027330,0.041411,0.070023", \ - "0.010385,0.012567,0.014958,0.019340,0.027318,0.041400,0.070015", \ - "0.010385,0.012567,0.014961,0.019337,0.027309,0.041392,0.070002"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.149574,0.155067,0.160542,0.169523,0.184253,0.207990,0.248018", \ - "0.151074,0.156570,0.162028,0.170965,0.185598,0.209239,0.249225", \ - "0.157243,0.162730,0.168198,0.177132,0.191697,0.215282,0.255229", \ - "0.170190,0.175678,0.181142,0.190074,0.204644,0.228198,0.268116", \ - "0.189683,0.195167,0.200631,0.209554,0.224039,0.247564,0.287454", \ - "0.211991,0.217481,0.222944,0.231804,0.246200,0.269744,0.309638", \ - "0.235533,0.241019,0.246488,0.255358,0.269849,0.293116,0.333243"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.010389,0.012583,0.015011,0.019527,0.027683,0.041662,0.070104", \ - "0.010382,0.012572,0.014974,0.019422,0.027506,0.041540,0.070075", \ - "0.010381,0.012569,0.014960,0.019368,0.027393,0.041462,0.070042", \ - "0.010381,0.012565,0.014958,0.019352,0.027350,0.041429,0.070023", \ - "0.010385,0.012568,0.014955,0.019342,0.027328,0.041409,0.070022", \ - "0.010384,0.012565,0.014956,0.019338,0.027317,0.041399,0.070014", \ - "0.010384,0.012566,0.014958,0.019336,0.027305,0.041390,0.070001"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.132138,5.118499,5.088042,5.110456,5.154354,5.177123,5.172860", \ - "5.121677,5.109103,5.086647,5.111547,5.145302,5.153857,5.177521", \ - "5.097940,5.096193,5.083649,5.095907,5.125414,5.140738,5.121046", \ - "5.151406,5.156216,5.131192,5.152197,5.167667,5.184483,5.185428", \ - "5.328418,5.318348,5.292369,5.313903,5.346188,5.356776,5.319385", \ - "5.649974,5.631096,5.598325,5.604220,5.639755,5.647986,5.579901", \ - "6.121444,6.110000,6.071932,6.091704,6.114705,6.143611,6.117971"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.738367,4.835744,4.908990,4.991733,5.160252,5.198478,5.159774", \ - "4.739723,4.824316,4.896761,4.981034,5.149162,5.168926,5.216030", \ - "4.727980,4.811346,4.899103,4.964218,5.130381,5.186179,5.187362", \ - "4.792574,4.870434,4.946878,5.034166,5.191908,5.221298,5.272241", \ - "4.954835,5.040754,5.118079,5.196871,5.345759,5.385934,5.428522", \ - "5.264062,5.349575,5.420443,5.504377,5.669077,5.712905,5.715877", \ - "5.704641,5.802198,5.884643,5.960943,6.118798,6.160555,6.176931"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.326021,4.328309,4.279688,4.295877,4.305677,4.215228,4.314395", \ - "4.310150,4.300012,4.289843,4.266845,4.293080,4.273232,4.165249", \ - "4.298580,4.287973,4.277964,4.250636,4.241123,4.291713,4.287201", \ - "4.296622,4.286080,4.275299,4.259775,4.280849,4.261369,4.215511", \ - "4.391601,4.346875,4.330684,4.320081,4.299677,4.289880,4.319159", \ - "4.598380,4.582177,4.520320,4.461519,4.461590,4.423432,4.413533", \ - "4.865621,4.807152,4.731595,4.685424,4.661389,4.535834,4.546020"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.331682,4.328021,4.279395,4.295651,4.305258,4.214796,4.260192", \ - "4.309768,4.299727,4.289552,4.266519,4.292636,4.272758,4.164676", \ - "4.298315,4.287692,4.277670,4.250303,4.240716,4.291247,4.286633", \ - "4.296326,4.285812,4.275012,4.259274,4.280462,4.260913,4.214947", \ - "4.391364,4.346621,4.330413,4.319745,4.299307,4.289397,4.318555", \ - "4.598168,4.581955,4.520062,4.461259,4.461236,4.422985,4.413025", \ - "4.865466,4.806954,4.731413,4.685236,4.661119,4.535489,4.545545"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.324004,4.328051,4.279431,4.295468,4.305354,4.214925,4.260341", \ - "4.309940,4.299757,4.289441,4.266558,4.292749,4.272856,4.164848", \ - "4.298342,4.287725,4.277708,4.250366,4.240808,4.291348,4.286798", \ - "4.296492,4.285849,4.275049,4.259458,4.280555,4.261002,4.215104", \ - "4.391402,4.346659,4.330478,4.319829,4.299404,4.289468,4.318626", \ - "4.598207,4.549863,4.520121,4.461682,4.461340,4.423110,4.413191", \ - "4.865481,4.806978,4.749472,4.685262,4.661203,4.535594,4.545707"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.156856,5.158773,5.114316,5.118792,5.147197,5.056166,5.099829", \ - "5.130954,5.129470,5.124136,5.114484,5.134098,5.114656,5.005527", \ - "5.120541,5.117183,5.111917,5.111936,5.083035,5.133674,5.127913", \ - "5.131184,5.145962,5.125021,5.112731,5.140284,5.120899,5.073963", \ - "5.260115,5.228602,5.216891,5.214406,5.199159,5.173969,5.223150", \ - "5.526685,5.479642,5.464571,5.420151,5.428470,5.391151,5.380747", \ - "5.853951,5.813679,5.756227,5.731358,5.725963,5.605908,5.611744"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.157080,5.159085,5.114627,5.119296,5.147777,5.056745,5.100541", \ - "5.131230,5.129815,5.124459,5.115026,5.134567,5.115204,5.006129", \ - "5.120506,5.127862,5.112234,5.104774,5.083473,5.134215,5.128542", \ - "5.131441,5.129899,5.125348,5.113106,5.140695,5.121455,5.074632", \ - "5.260426,5.228623,5.217208,5.214751,5.199552,5.174440,5.223799", \ - "5.526884,5.479926,5.464849,5.420440,5.428855,5.391694,5.381328", \ - "5.854097,5.834798,5.756434,5.731588,5.726284,5.606281,5.612308"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.157117,5.159097,5.114596,5.119300,5.147790,5.056730,5.100544", \ - "5.131273,5.129789,5.124351,5.115019,5.134565,5.115216,5.146473", \ - "5.120829,5.117506,5.112211,5.105287,5.083473,5.134220,5.128545", \ - "5.131463,5.148196,5.125322,5.113107,5.140696,5.121452,5.074630", \ - "5.260435,5.249637,5.217143,5.214752,5.199553,5.174449,5.223795", \ - "5.526780,5.479870,5.464931,5.420412,5.428856,5.391670,5.381313", \ - "5.854107,5.813872,5.756449,5.731573,5.726286,5.606272,5.612296"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.338584,4.327783,4.279146,4.295275,4.305038,4.283977,4.259573", \ - "4.309650,4.299490,4.289296,4.266240,4.292310,4.272342,4.164157", \ - "4.298085,4.287451,4.277425,4.250036,4.240394,4.290837,4.286111", \ - "4.296184,4.285581,4.274760,4.259059,4.280148,4.260487,4.214403", \ - "4.391155,4.346396,4.330167,4.319487,4.299000,4.288984,4.318309", \ - "4.597975,4.549614,4.519828,4.461012,4.460971,4.422621,4.412534", \ - "4.865282,4.806765,4.731141,4.684990,4.660876,4.535151,4.545105"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.157237,5.159133,5.114693,5.119330,5.147830,5.056792,5.100586", \ - "5.131329,5.129864,5.124517,5.115080,5.134606,5.115263,5.006174", \ - "5.120884,5.128105,5.112295,5.105246,5.083527,5.134273,5.128657", \ - "5.131508,5.129959,5.125398,5.113164,5.140756,5.121494,5.074712", \ - "5.260484,5.228679,5.217230,5.214801,5.199610,5.174517,5.212423", \ - "5.526749,5.479981,5.464892,5.420496,5.428890,5.391700,5.381391", \ - "5.854174,5.834839,5.756479,5.732676,5.726323,5.626720,5.612340"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.634197,5.618835,5.599028,5.633972,5.643775,5.720220,5.661306", \ - "5.611227,5.614114,5.586780,5.622690,5.623207,5.636149,5.709841", \ - "5.580726,5.601590,5.582966,5.589447,5.627144,5.639383,5.647233", \ - "5.615852,5.606260,5.584960,5.610784,5.635887,5.713565,5.641278", \ - "5.637425,5.648123,5.619969,5.649500,5.686521,5.682483,5.735390", \ - "5.724402,5.708249,5.682615,5.710536,5.713687,5.789342,5.819311", \ - "5.785102,5.802516,5.781037,5.789856,5.828002,5.843254,5.914697"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.633783,5.618138,5.598605,5.633522,5.643660,5.719670,5.692922", \ - "5.610819,5.613719,5.585970,5.622256,5.622727,5.635613,5.709243", \ - "5.580306,5.601187,5.582546,5.589011,5.626674,5.638854,5.646643", \ - "5.615459,5.606959,5.584510,5.599043,5.635434,5.712850,5.640689", \ - "5.637050,5.647736,5.619586,5.649091,5.686076,5.681972,5.734823", \ - "5.724071,5.707909,5.682264,5.710160,5.713278,5.788837,5.818779", \ - "5.784818,5.802237,5.780774,5.789543,5.827911,5.842593,5.914209"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.633631,5.617920,5.598311,5.633147,5.643007,5.719028,5.657300", \ - "5.610466,5.613263,5.587741,5.621944,5.622260,5.635018,5.708522", \ - "5.580335,5.600876,5.582254,5.588647,5.626215,5.638259,5.645941", \ - "5.615252,5.605680,5.583257,5.598686,5.634999,5.660759,5.639997", \ - "5.636797,5.647549,5.619138,5.648738,5.685675,5.681589,5.734164", \ - "5.723902,5.707657,5.682028,5.712669,5.712907,5.788732,5.818167", \ - "5.784831,5.801951,5.780523,5.789285,5.827297,5.772560,5.913608"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.632632,5.606974,5.596920,5.631267,5.639824,5.714687,5.689158", \ - "5.609469,5.612088,5.571753,5.619984,5.619512,5.630792,5.701953", \ - "5.579800,5.599452,5.580849,5.586858,5.623477,5.681369,5.639431", \ - "5.614305,5.586905,5.574069,5.596538,5.632331,5.707617,5.633472", \ - "5.636045,5.645433,5.617202,5.646993,5.683011,5.677744,5.727685", \ - "5.723024,5.706302,5.680725,5.716957,5.710325,5.784747,5.811787", \ - "5.784474,5.800567,5.779270,5.787634,5.824670,5.768604,5.907307"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.633107,5.607413,5.597399,5.631801,5.640628,5.715394,5.653638", \ - "5.609946,5.612603,5.572231,5.620510,5.620100,5.631438,5.702660", \ - "5.580245,5.599936,5.581327,5.587358,5.624051,5.681962,5.640115", \ - "5.614745,5.587353,5.574548,5.597031,5.632862,5.709088,5.634211", \ - "5.636449,5.645869,5.617663,5.647479,5.683535,5.678331,5.728392", \ - "5.723405,5.706698,5.681145,5.717394,5.710818,5.785377,5.812436", \ - "5.784776,5.800894,5.779619,5.787992,5.825101,5.769083,5.907951"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.633116,5.607417,5.597408,5.631805,5.640258,5.715415,5.701926", \ - "5.609957,5.612571,5.572236,5.620514,5.620101,5.631440,5.702663", \ - "5.580258,5.599944,5.581334,5.587364,5.624052,5.681972,5.640120", \ - "5.614756,5.587363,5.574555,5.597036,5.632866,5.709303,5.634209", \ - "5.636459,5.645880,5.617669,5.647482,5.683538,5.678335,5.728389", \ - "5.723414,5.706706,5.681150,5.717418,5.710820,5.785377,5.812437", \ - "5.784788,5.800902,5.779624,5.787997,5.825103,5.769087,5.907946"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.633214,5.617549,5.597876,5.632675,5.642574,5.734729,5.656497", \ - "5.610048,5.612863,5.587407,5.621476,5.621734,5.634401,5.707777", \ - "5.579913,5.600442,5.581817,5.588184,5.625692,5.704038,5.645202", \ - "5.614839,5.607006,5.582624,5.598231,5.634486,5.660220,5.639246", \ - "5.636388,5.647125,5.618704,5.648275,5.685162,5.680992,5.733422", \ - "5.723513,5.707257,5.681612,5.712190,5.712413,5.788131,5.817454", \ - "5.784485,5.801595,5.780152,5.788892,5.826845,5.772027,5.912928"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.633190,5.607511,5.597491,5.631875,5.640299,5.715426,5.627013", \ - "5.610024,5.612662,5.572322,5.620587,5.620170,5.631523,5.702776", \ - "5.580342,5.600013,5.581414,5.587446,5.624125,5.682068,5.640242", \ - "5.614837,5.587450,5.574629,5.597116,5.632953,5.708916,5.634288", \ - "5.636539,5.645949,5.617737,5.647552,5.683613,5.678421,5.728472", \ - "5.723487,5.706775,5.681214,5.717507,5.710885,5.785407,5.812527", \ - "5.784859,5.800964,5.779681,5.788064,5.825157,5.769168,5.907997"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.424800; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.090995,0.094377,0.097844,0.103838,0.114261,0.133224,0.169905", \ - "0.092469,0.095856,0.099324,0.105315,0.115739,0.134699,0.171377", \ - "0.097659,0.101044,0.104512,0.110505,0.120931,0.139893,0.176578", \ - "0.103686,0.107066,0.110533,0.116527,0.126953,0.145914,0.182592", \ - "0.108403,0.111787,0.115251,0.121240,0.131660,0.150618,0.187310", \ - "0.111521,0.114905,0.118369,0.124358,0.134778,0.153732,0.190424", \ - "0.112647,0.116024,0.119492,0.125491,0.135898,0.154843,0.191538"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.094607,0.098455,0.102405,0.110354,0.127444,0.163083,0.235228", \ - "0.096080,0.099929,0.103880,0.111829,0.128924,0.164551,0.236704", \ - "0.101076,0.104921,0.108868,0.116818,0.133905,0.169535,0.241688", \ - "0.106853,0.110696,0.114643,0.122588,0.139679,0.175311,0.247466", \ - "0.111341,0.115175,0.119125,0.127068,0.144150,0.179777,0.251916", \ - "0.114485,0.118320,0.122263,0.130217,0.147255,0.182882,0.255041", \ - "0.116187,0.120000,0.123931,0.131861,0.148906,0.184494,0.256656"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006140,0.007860,0.009690,0.013150,0.020120,0.034844,0.066035", \ - "0.006140,0.007858,0.009690,0.013150,0.020115,0.034845,0.066028", \ - "0.006142,0.007857,0.009688,0.013147,0.020118,0.034846,0.066032", \ - "0.006142,0.007859,0.009691,0.013150,0.020114,0.034846,0.066029", \ - "0.006139,0.007860,0.009691,0.013149,0.020115,0.034847,0.066034", \ - "0.006140,0.007858,0.009688,0.013149,0.020119,0.034831,0.066029", \ - "0.006144,0.007862,0.009692,0.013152,0.020124,0.034842,0.066057"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007305,0.010141,0.013554,0.021141,0.037898,0.072275,0.141182", \ - "0.007302,0.010143,0.013551,0.021139,0.037896,0.072274,0.141186", \ - "0.007306,0.010140,0.013557,0.021139,0.037896,0.072275,0.141186", \ - "0.007306,0.010140,0.013557,0.021142,0.037895,0.072273,0.141185", \ - "0.007307,0.010141,0.013560,0.021141,0.037898,0.072274,0.141182", \ - "0.007312,0.010150,0.013560,0.021141,0.037895,0.072275,0.141187", \ - "0.007329,0.010165,0.013572,0.021144,0.037897,0.072275,0.141185"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.099148,0.102902,0.106770,0.114671,0.131774,0.167455,0.239692", \ - "0.100794,0.104551,0.108419,0.116320,0.133423,0.169103,0.241337", \ - "0.106496,0.110256,0.114124,0.122023,0.139130,0.174818,0.247062", \ - "0.115941,0.119700,0.123568,0.131470,0.148580,0.184259,0.256499", \ - "0.130417,0.134158,0.138023,0.145920,0.162986,0.198631,0.270842", \ - "0.149129,0.152802,0.156581,0.164333,0.181205,0.216743,0.288872", \ - "0.170487,0.174072,0.177760,0.185343,0.201977,0.237387,0.309342"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007245,0.010007,0.013409,0.021069,0.037896,0.072274,0.141177", \ - "0.007243,0.009999,0.013409,0.021067,0.037899,0.072273,0.141181", \ - "0.007249,0.009998,0.013411,0.021067,0.037898,0.072273,0.141180", \ - "0.007251,0.010000,0.013405,0.021067,0.037895,0.072271,0.141176", \ - "0.007267,0.010025,0.013422,0.021072,0.037898,0.072273,0.141180", \ - "0.007504,0.010250,0.013578,0.021133,0.037912,0.072275,0.141183", \ - "0.007783,0.010549,0.013797,0.021218,0.037927,0.072282,0.141181"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.099149,0.102902,0.106770,0.114671,0.131775,0.167452,0.239692", \ - "0.100794,0.104551,0.108418,0.116320,0.133424,0.169103,0.241337", \ - "0.106496,0.110256,0.114124,0.122024,0.139131,0.174818,0.247062", \ - "0.115942,0.119700,0.123568,0.131471,0.148581,0.184259,0.256499", \ - "0.130417,0.134159,0.138023,0.145921,0.162987,0.198631,0.270841", \ - "0.149129,0.152802,0.156582,0.164333,0.181207,0.216744,0.288872", \ - "0.170487,0.174072,0.177760,0.185343,0.201977,0.237387,0.309343"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007246,0.010007,0.013409,0.021069,0.037895,0.072274,0.141177", \ - "0.007243,0.009999,0.013408,0.021067,0.037899,0.072273,0.141181", \ - "0.007249,0.009998,0.013411,0.021067,0.037898,0.072273,0.141179", \ - "0.007251,0.010000,0.013405,0.021067,0.037895,0.072271,0.141176", \ - "0.007267,0.010025,0.013422,0.021072,0.037899,0.072273,0.141180", \ - "0.007504,0.010250,0.013578,0.021133,0.037912,0.072275,0.141183", \ - "0.007783,0.010549,0.013797,0.021218,0.037927,0.072282,0.141181"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.099148,0.102902,0.106770,0.114670,0.131774,0.167452,0.239691", \ - "0.100794,0.104551,0.108418,0.116319,0.133423,0.169102,0.241337", \ - "0.106495,0.110256,0.114124,0.122023,0.139130,0.174818,0.247062", \ - "0.115941,0.119700,0.123568,0.131471,0.148580,0.184259,0.256499", \ - "0.130417,0.134158,0.138022,0.145920,0.162986,0.198631,0.270841", \ - "0.149129,0.152767,0.156581,0.164311,0.181205,0.216743,0.288872", \ - "0.170487,0.174072,0.177761,0.185343,0.201976,0.237387,0.309342"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007246,0.010007,0.013409,0.021069,0.037895,0.072274,0.141177", \ - "0.007243,0.009999,0.013412,0.021067,0.037898,0.072273,0.141181", \ - "0.007249,0.009998,0.013411,0.021067,0.037898,0.072273,0.141180", \ - "0.007251,0.010000,0.013405,0.021067,0.037895,0.072271,0.141176", \ - "0.007267,0.010025,0.013422,0.021072,0.037898,0.072273,0.141180", \ - "0.007504,0.010251,0.013578,0.021133,0.037912,0.072275,0.141183", \ - "0.007783,0.010549,0.013799,0.021218,0.037927,0.072282,0.141181"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.099127,0.102884,0.106750,0.114652,0.131757,0.167439,0.239679", \ - "0.100771,0.104531,0.108398,0.116301,0.133405,0.169091,0.241325", \ - "0.106474,0.110232,0.114099,0.122005,0.139111,0.174803,0.247045", \ - "0.115930,0.119682,0.123550,0.131456,0.148562,0.184244,0.256492", \ - "0.130383,0.134126,0.137990,0.145891,0.162949,0.198600,0.270816", \ - "0.149075,0.152778,0.156559,0.164302,0.181187,0.216700,0.288822", \ - "0.170319,0.173982,0.177685,0.185357,0.201940,0.237349,0.309363"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007247,0.009999,0.013405,0.021067,0.037898,0.072274,0.141177", \ - "0.007244,0.009998,0.013405,0.021068,0.037897,0.072273,0.141182", \ - "0.007249,0.009998,0.013407,0.021070,0.037897,0.072272,0.141181", \ - "0.007251,0.010004,0.013410,0.021068,0.037897,0.072271,0.141182", \ - "0.007268,0.010021,0.013421,0.021072,0.037897,0.072276,0.141180", \ - "0.007503,0.010251,0.013576,0.021133,0.037912,0.072275,0.141183", \ - "0.007787,0.010551,0.013797,0.021217,0.037927,0.072284,0.141181"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.099127,0.102881,0.106750,0.114651,0.131758,0.167439,0.239680", \ - "0.100771,0.104529,0.108399,0.116299,0.133405,0.169090,0.241325", \ - "0.106473,0.110232,0.114099,0.122004,0.139111,0.174803,0.247046", \ - "0.115928,0.119680,0.123550,0.131455,0.148562,0.184244,0.256492", \ - "0.130381,0.134125,0.137990,0.145891,0.162949,0.198600,0.270816", \ - "0.149075,0.152777,0.156559,0.164302,0.181187,0.216699,0.288821", \ - "0.170317,0.173983,0.177685,0.185357,0.201939,0.237349,0.309363"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007247,0.010002,0.013405,0.021069,0.037898,0.072274,0.141178", \ - "0.007244,0.009998,0.013405,0.021068,0.037897,0.072273,0.141182", \ - "0.007250,0.010002,0.013407,0.021070,0.037897,0.072272,0.141181", \ - "0.007251,0.009999,0.013410,0.021068,0.037897,0.072271,0.141182", \ - "0.007268,0.010021,0.013421,0.021072,0.037897,0.072276,0.141180", \ - "0.007503,0.010251,0.013575,0.021133,0.037912,0.072275,0.141183", \ - "0.007787,0.010551,0.013797,0.021217,0.037927,0.072284,0.141181"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.099127,0.102884,0.106752,0.114651,0.131758,0.167439,0.239680", \ - "0.100771,0.104531,0.108399,0.116299,0.133405,0.169091,0.241324", \ - "0.106474,0.110232,0.114101,0.122004,0.139111,0.174803,0.247046", \ - "0.115930,0.119682,0.123551,0.131455,0.148562,0.184244,0.256492", \ - "0.130381,0.134129,0.137990,0.145891,0.162949,0.198600,0.270816", \ - "0.149075,0.152778,0.156562,0.164303,0.181187,0.216700,0.288822", \ - "0.170317,0.173981,0.177685,0.185357,0.201939,0.237349,0.309363"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007247,0.009998,0.013404,0.021069,0.037898,0.072274,0.141179", \ - "0.007244,0.009998,0.013410,0.021068,0.037897,0.072273,0.141180", \ - "0.007249,0.009998,0.013407,0.021070,0.037897,0.072272,0.141181", \ - "0.007251,0.010004,0.013410,0.021068,0.037897,0.072271,0.141182", \ - "0.007268,0.010022,0.013421,0.021072,0.037897,0.072276,0.141180", \ - "0.007503,0.010251,0.013578,0.021133,0.037912,0.072275,0.141183", \ - "0.007787,0.010551,0.013796,0.021217,0.037927,0.072284,0.141181"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.099148,0.102902,0.106770,0.114671,0.131774,0.167452,0.239697", \ - "0.100794,0.104551,0.108419,0.116320,0.133424,0.169102,0.241338", \ - "0.106496,0.110256,0.114124,0.122023,0.139131,0.174818,0.247063", \ - "0.115941,0.119700,0.123567,0.131471,0.148581,0.184259,0.256500", \ - "0.130417,0.134158,0.138022,0.145920,0.162986,0.198631,0.270842", \ - "0.149129,0.152767,0.156581,0.164333,0.181205,0.216743,0.288872", \ - "0.170487,0.174072,0.177760,0.185343,0.201976,0.237387,0.309343"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007246,0.010007,0.013409,0.021069,0.037896,0.072272,0.141182", \ - "0.007243,0.009999,0.013409,0.021067,0.037899,0.072273,0.141181", \ - "0.007249,0.009998,0.013411,0.021067,0.037898,0.072273,0.141179", \ - "0.007251,0.010000,0.013405,0.021067,0.037895,0.072271,0.141176", \ - "0.007267,0.010025,0.013422,0.021072,0.037899,0.072273,0.141180", \ - "0.007504,0.010251,0.013578,0.021133,0.037912,0.072275,0.141183", \ - "0.007783,0.010549,0.013797,0.021218,0.037927,0.072282,0.141181"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.099127,0.102881,0.106750,0.114651,0.131758,0.167439,0.239677", \ - "0.100771,0.104529,0.108398,0.116299,0.133405,0.169090,0.241324", \ - "0.106474,0.110232,0.114099,0.122004,0.139111,0.174803,0.247045", \ - "0.115928,0.119680,0.123550,0.131455,0.148562,0.184244,0.256491", \ - "0.130381,0.134125,0.137989,0.145891,0.162949,0.198600,0.270811", \ - "0.149075,0.152777,0.156559,0.164302,0.181186,0.216699,0.288822", \ - "0.170319,0.173983,0.177685,0.185337,0.201939,0.237349,0.309363"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007247,0.010002,0.013405,0.021069,0.037898,0.072274,0.141176", \ - "0.007244,0.009998,0.013405,0.021068,0.037897,0.072273,0.141180", \ - "0.007249,0.010002,0.013407,0.021070,0.037897,0.072272,0.141181", \ - "0.007251,0.009999,0.013410,0.021068,0.037897,0.072271,0.141182", \ - "0.007268,0.010021,0.013421,0.021072,0.037897,0.072276,0.141180", \ - "0.007503,0.010251,0.013575,0.021133,0.037912,0.072275,0.141183", \ - "0.007787,0.010551,0.013797,0.021218,0.037927,0.072284,0.141181"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.180777,0.184625,0.188587,0.196550,0.213659,0.249340,0.321583", \ - "0.182182,0.186011,0.189978,0.197906,0.215033,0.250717,0.322963", \ - "0.188311,0.192123,0.196064,0.204026,0.221150,0.256845,0.329086", \ - "0.201244,0.205053,0.208988,0.216946,0.234102,0.269797,0.342022", \ - "0.220729,0.224531,0.228466,0.236421,0.253502,0.289184,0.361381", \ - "0.243036,0.246839,0.250772,0.258664,0.275665,0.311370,0.383581", \ - "0.266558,0.270360,0.274292,0.282202,0.299303,0.334744,0.407183"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007332,0.010163,0.013573,0.021150,0.037921,0.072294,0.141198", \ - "0.007298,0.010125,0.013541,0.021139,0.037917,0.072295,0.141198", \ - "0.007281,0.010106,0.013517,0.021128,0.037917,0.072298,0.141197", \ - "0.007272,0.010096,0.013511,0.021125,0.037916,0.072296,0.141198", \ - "0.007269,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ - "0.007269,0.010083,0.013501,0.021119,0.037912,0.072295,0.141195", \ - "0.007267,0.010084,0.013500,0.021121,0.037913,0.072293,0.141198"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.180777,0.184626,0.188587,0.196550,0.213660,0.249340,0.321592", \ - "0.182182,0.186012,0.189978,0.197907,0.215033,0.250717,0.322963", \ - "0.188311,0.192123,0.196064,0.204026,0.221150,0.256845,0.329086", \ - "0.201244,0.205053,0.208989,0.216945,0.234103,0.269797,0.342022", \ - "0.220729,0.224531,0.228466,0.236421,0.253502,0.289184,0.361381", \ - "0.243037,0.246839,0.250772,0.258664,0.275665,0.311370,0.383581", \ - "0.266558,0.270360,0.274292,0.282202,0.299303,0.334744,0.407183"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007332,0.010163,0.013573,0.021150,0.037921,0.072294,0.141199", \ - "0.007298,0.010129,0.013541,0.021139,0.037917,0.072295,0.141198", \ - "0.007281,0.010106,0.013517,0.021128,0.037917,0.072298,0.141197", \ - "0.007272,0.010096,0.013511,0.021125,0.037916,0.072296,0.141198", \ - "0.007269,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ - "0.007269,0.010083,0.013501,0.021119,0.037912,0.072295,0.141195", \ - "0.007267,0.010084,0.013500,0.021121,0.037913,0.072293,0.141198"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.180774,0.184622,0.188583,0.196546,0.213656,0.249337,0.321585", \ - "0.182178,0.186010,0.189974,0.197902,0.215029,0.250713,0.322960", \ - "0.188307,0.192119,0.196060,0.204022,0.221147,0.256841,0.329083", \ - "0.201240,0.205049,0.208984,0.216941,0.234099,0.269795,0.342020", \ - "0.220725,0.224527,0.228462,0.236417,0.253499,0.289181,0.361378", \ - "0.243033,0.246835,0.250769,0.258661,0.275662,0.311367,0.383579", \ - "0.266554,0.270356,0.274289,0.282199,0.299300,0.334737,0.407181"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007333,0.010163,0.013573,0.021150,0.037921,0.072294,0.141198", \ - "0.007301,0.010123,0.013541,0.021136,0.037917,0.072297,0.141198", \ - "0.007281,0.010106,0.013517,0.021128,0.037917,0.072298,0.141197", \ - "0.007272,0.010095,0.013510,0.021125,0.037916,0.072293,0.141198", \ - "0.007269,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ - "0.007269,0.010083,0.013501,0.021119,0.037912,0.072296,0.141196", \ - "0.007267,0.010085,0.013500,0.021120,0.037914,0.072292,0.141198"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.180761,0.184609,0.188570,0.196534,0.213644,0.249326,0.321579", \ - "0.182168,0.186000,0.189939,0.197891,0.215017,0.250705,0.322951", \ - "0.188295,0.192106,0.196048,0.204010,0.221136,0.256831,0.329074", \ - "0.201228,0.205034,0.208973,0.216934,0.234088,0.269781,0.342011", \ - "0.220714,0.224516,0.228451,0.236406,0.253488,0.289171,0.361370", \ - "0.243022,0.246824,0.250758,0.258650,0.275652,0.311358,0.383571", \ - "0.266544,0.270346,0.274281,0.282189,0.299292,0.334728,0.407173"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007334,0.010161,0.013572,0.021150,0.037921,0.072294,0.141199", \ - "0.007293,0.010126,0.013540,0.021139,0.037917,0.072292,0.141198", \ - "0.007280,0.010106,0.013517,0.021128,0.037916,0.072297,0.141197", \ - "0.007270,0.010088,0.013510,0.021125,0.037916,0.072297,0.141198", \ - "0.007271,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ - "0.007268,0.010083,0.013500,0.021118,0.037912,0.072296,0.141196", \ - "0.007267,0.010084,0.013500,0.021120,0.037914,0.072292,0.141198"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.180761,0.184609,0.188570,0.196534,0.213644,0.249326,0.321572", \ - "0.182167,0.186000,0.189939,0.197891,0.215017,0.250705,0.322951", \ - "0.188295,0.192106,0.196048,0.204010,0.221136,0.256831,0.329074", \ - "0.201228,0.205034,0.208973,0.216934,0.234088,0.269781,0.342011", \ - "0.220714,0.224516,0.228451,0.236406,0.253488,0.289171,0.361370", \ - "0.243022,0.246824,0.250758,0.258650,0.275652,0.311358,0.383571", \ - "0.266544,0.270346,0.274281,0.282189,0.299292,0.334728,0.407173"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007334,0.010161,0.013572,0.021150,0.037921,0.072294,0.141198", \ - "0.007293,0.010125,0.013540,0.021139,0.037917,0.072292,0.141198", \ - "0.007280,0.010106,0.013517,0.021128,0.037916,0.072297,0.141197", \ - "0.007270,0.010088,0.013510,0.021125,0.037916,0.072297,0.141198", \ - "0.007272,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ - "0.007268,0.010083,0.013500,0.021118,0.037912,0.072296,0.141196", \ - "0.007267,0.010084,0.013500,0.021120,0.037914,0.072292,0.141198"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.180761,0.184609,0.188570,0.196534,0.213644,0.249326,0.321580", \ - "0.182167,0.186000,0.189939,0.197891,0.215017,0.250705,0.322951", \ - "0.188295,0.192106,0.196048,0.204010,0.221136,0.256831,0.329074", \ - "0.201228,0.205034,0.208973,0.216934,0.234088,0.269781,0.342011", \ - "0.220714,0.224516,0.228451,0.236406,0.253488,0.289171,0.361370", \ - "0.243022,0.246824,0.250758,0.258650,0.275652,0.311358,0.383571", \ - "0.266544,0.270346,0.274281,0.282189,0.299292,0.334728,0.407173"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007334,0.010161,0.013572,0.021150,0.037921,0.072294,0.141199", \ - "0.007293,0.010128,0.013540,0.021139,0.037917,0.072292,0.141198", \ - "0.007280,0.010106,0.013517,0.021128,0.037916,0.072297,0.141197", \ - "0.007270,0.010088,0.013510,0.021125,0.037916,0.072297,0.141198", \ - "0.007272,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ - "0.007268,0.010083,0.013500,0.021118,0.037912,0.072296,0.141196", \ - "0.007267,0.010084,0.013500,0.021120,0.037914,0.072292,0.141198"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.180774,0.184622,0.188583,0.196546,0.213656,0.249337,0.321585", \ - "0.182178,0.186011,0.189974,0.197902,0.215029,0.250713,0.322960", \ - "0.188307,0.192119,0.196060,0.204022,0.221147,0.256842,0.329083", \ - "0.201240,0.205049,0.208984,0.216941,0.234099,0.269795,0.342020", \ - "0.220725,0.224527,0.228462,0.236417,0.253499,0.289181,0.361378", \ - "0.243033,0.246835,0.250769,0.258661,0.275662,0.311367,0.383579", \ - "0.266554,0.270357,0.274289,0.282199,0.299300,0.334737,0.407181"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007333,0.010163,0.013573,0.021150,0.037921,0.072294,0.141198", \ - "0.007301,0.010124,0.013541,0.021136,0.037917,0.072297,0.141198", \ - "0.007281,0.010106,0.013517,0.021128,0.037917,0.072298,0.141197", \ - "0.007272,0.010096,0.013510,0.021125,0.037916,0.072293,0.141198", \ - "0.007269,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ - "0.007269,0.010083,0.013501,0.021119,0.037912,0.072296,0.141196", \ - "0.007267,0.010085,0.013500,0.021120,0.037914,0.072292,0.141198"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.180761,0.184609,0.188570,0.196534,0.213644,0.249326,0.321581", \ - "0.182168,0.186000,0.189939,0.197891,0.215017,0.250705,0.322951", \ - "0.188295,0.192106,0.196048,0.204010,0.221136,0.256831,0.329074", \ - "0.201228,0.205034,0.208973,0.216934,0.234088,0.269781,0.342011", \ - "0.220714,0.224516,0.228451,0.236406,0.253488,0.289171,0.361370", \ - "0.243022,0.246824,0.250758,0.258650,0.275652,0.311358,0.383571", \ - "0.266544,0.270346,0.274281,0.282189,0.299292,0.334728,0.407173"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.007334,0.010161,0.013572,0.021150,0.037921,0.072294,0.141200", \ - "0.007293,0.010126,0.013540,0.021139,0.037917,0.072292,0.141198", \ - "0.007280,0.010106,0.013517,0.021128,0.037916,0.072297,0.141197", \ - "0.007270,0.010088,0.013510,0.021125,0.037916,0.072297,0.141198", \ - "0.007272,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ - "0.007268,0.010083,0.013500,0.021118,0.037912,0.072296,0.141196", \ - "0.007267,0.010084,0.013500,0.021120,0.037914,0.072292,0.141198"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.738367,4.835744,4.908990,4.991733,5.160252,5.198478,5.159774", \ - "4.739723,4.824316,4.896761,4.981034,5.149162,5.168926,5.216030", \ - "4.727980,4.811346,4.899103,4.964218,5.130381,5.186179,5.187362", \ - "4.792574,4.870434,4.946878,5.034166,5.191908,5.221298,5.272241", \ - "4.954835,5.040754,5.118079,5.196871,5.345759,5.385934,5.428522", \ - "5.264062,5.349575,5.420443,5.504377,5.669077,5.712905,5.715877", \ - "5.704641,5.802198,5.884643,5.960943,6.118798,6.160555,6.176931"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.132138,5.118499,5.088042,5.110456,5.154354,5.177123,5.172860", \ - "5.121677,5.109103,5.086647,5.111547,5.145302,5.153857,5.177521", \ - "5.097940,5.096193,5.083649,5.095907,5.125414,5.140738,5.121046", \ - "5.151406,5.156216,5.131192,5.152197,5.167667,5.184483,5.185428", \ - "5.328418,5.318348,5.292369,5.313903,5.346188,5.356776,5.319385", \ - "5.649974,5.631096,5.598325,5.604220,5.639755,5.647986,5.579901", \ - "6.121444,6.110000,6.071932,6.091704,6.114705,6.143611,6.117971"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.326021,4.328309,4.279688,4.295877,4.305677,4.215228,4.314395", \ - "4.310150,4.300012,4.289843,4.266845,4.293080,4.273232,4.165249", \ - "4.298580,4.287973,4.277964,4.250636,4.241123,4.291713,4.287201", \ - "4.296622,4.286080,4.275299,4.259775,4.280849,4.261369,4.215511", \ - "4.391601,4.346875,4.330684,4.320081,4.299677,4.289880,4.319159", \ - "4.598380,4.582177,4.520320,4.461519,4.461590,4.423432,4.413533", \ - "4.865621,4.807152,4.731595,4.685424,4.661389,4.535834,4.546020"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.331682,4.328021,4.279395,4.295651,4.305258,4.214796,4.260192", \ - "4.309768,4.299727,4.289552,4.266519,4.292636,4.272758,4.164676", \ - "4.298315,4.287692,4.277670,4.250303,4.240716,4.291247,4.286633", \ - "4.296326,4.285812,4.275012,4.259274,4.280462,4.260913,4.214947", \ - "4.391364,4.346621,4.330413,4.319745,4.299307,4.289397,4.318555", \ - "4.598168,4.581955,4.520062,4.461259,4.461236,4.422985,4.413025", \ - "4.865466,4.806954,4.731413,4.685236,4.661119,4.535489,4.545545"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.324004,4.328051,4.279431,4.295468,4.305354,4.214925,4.260341", \ - "4.309940,4.299757,4.289441,4.266558,4.292749,4.272856,4.164848", \ - "4.298342,4.287725,4.277708,4.250366,4.240808,4.291348,4.286798", \ - "4.296492,4.285849,4.275049,4.259458,4.280555,4.261002,4.215104", \ - "4.391402,4.346659,4.330478,4.319829,4.299404,4.289468,4.318626", \ - "4.598207,4.549863,4.520121,4.461682,4.461340,4.423110,4.413191", \ - "4.865481,4.806978,4.749472,4.685262,4.661203,4.535594,4.545707"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.156856,5.158773,5.114316,5.118792,5.147197,5.056166,5.099829", \ - "5.130954,5.129470,5.124136,5.114484,5.134098,5.114656,5.005527", \ - "5.120541,5.117183,5.111917,5.111936,5.083035,5.133674,5.127913", \ - "5.131184,5.145962,5.125021,5.112731,5.140284,5.120899,5.073963", \ - "5.260115,5.228602,5.216891,5.214406,5.199159,5.173969,5.223150", \ - "5.526685,5.479642,5.464571,5.420151,5.428470,5.391151,5.380747", \ - "5.853951,5.813679,5.756227,5.731358,5.725963,5.605908,5.611744"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.157080,5.159085,5.114627,5.119296,5.147777,5.056745,5.100541", \ - "5.131230,5.129815,5.124459,5.115026,5.134567,5.115204,5.006129", \ - "5.120506,5.127862,5.112234,5.104774,5.083473,5.134215,5.128542", \ - "5.131441,5.129899,5.125348,5.113106,5.140695,5.121455,5.074632", \ - "5.260426,5.228623,5.217208,5.214751,5.199552,5.174440,5.223799", \ - "5.526884,5.479926,5.464849,5.420440,5.428855,5.391694,5.381328", \ - "5.854097,5.834798,5.756434,5.731588,5.726284,5.606281,5.612308"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.157117,5.159097,5.114596,5.119300,5.147790,5.056730,5.100544", \ - "5.131273,5.129789,5.124351,5.115019,5.134565,5.115216,5.146473", \ - "5.120829,5.117506,5.112211,5.105287,5.083473,5.134220,5.128545", \ - "5.131463,5.148196,5.125322,5.113107,5.140696,5.121452,5.074630", \ - "5.260435,5.249637,5.217143,5.214752,5.199553,5.174449,5.223795", \ - "5.526780,5.479870,5.464931,5.420412,5.428856,5.391670,5.381313", \ - "5.854107,5.813872,5.756449,5.731573,5.726286,5.606272,5.612296"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.338584,4.327783,4.279146,4.295275,4.305038,4.283977,4.259573", \ - "4.309650,4.299490,4.289296,4.266240,4.292310,4.272342,4.164157", \ - "4.298085,4.287451,4.277425,4.250036,4.240394,4.290837,4.286111", \ - "4.296184,4.285581,4.274760,4.259059,4.280148,4.260487,4.214403", \ - "4.391155,4.346396,4.330167,4.319487,4.299000,4.288984,4.318309", \ - "4.597975,4.549614,4.519828,4.461012,4.460971,4.422621,4.412534", \ - "4.865282,4.806765,4.731141,4.684990,4.660876,4.535151,4.545105"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.157237,5.159133,5.114693,5.119330,5.147830,5.056792,5.100586", \ - "5.131329,5.129864,5.124517,5.115080,5.134606,5.115263,5.006174", \ - "5.120884,5.128105,5.112295,5.105246,5.083527,5.134273,5.128657", \ - "5.131508,5.129959,5.125398,5.113164,5.140756,5.121494,5.074712", \ - "5.260484,5.228679,5.217230,5.214801,5.199610,5.174517,5.212423", \ - "5.526749,5.479981,5.464892,5.420496,5.428890,5.391700,5.381391", \ - "5.854174,5.834839,5.756479,5.732676,5.726323,5.626720,5.612340"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.634197,5.618835,5.599028,5.633972,5.643775,5.720220,5.661306", \ - "5.611227,5.614114,5.586780,5.622690,5.623207,5.636149,5.709841", \ - "5.580726,5.601590,5.582966,5.589447,5.627144,5.639383,5.647233", \ - "5.615852,5.606260,5.584960,5.610784,5.635887,5.713565,5.641278", \ - "5.637425,5.648123,5.619969,5.649500,5.686521,5.682483,5.735390", \ - "5.724402,5.708249,5.682615,5.710536,5.713687,5.789342,5.819311", \ - "5.785102,5.802516,5.781037,5.789856,5.828002,5.843254,5.914697"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.633783,5.618138,5.598605,5.633522,5.643660,5.719670,5.692922", \ - "5.610819,5.613719,5.585970,5.622256,5.622727,5.635613,5.709243", \ - "5.580306,5.601187,5.582546,5.589011,5.626674,5.638854,5.646643", \ - "5.615459,5.606959,5.584510,5.599043,5.635434,5.712850,5.640689", \ - "5.637050,5.647736,5.619586,5.649091,5.686076,5.681972,5.734823", \ - "5.724071,5.707909,5.682264,5.710160,5.713278,5.788837,5.818779", \ - "5.784818,5.802237,5.780774,5.789543,5.827911,5.842593,5.914209"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.633631,5.617920,5.598311,5.633147,5.643007,5.719028,5.657300", \ - "5.610466,5.613263,5.587741,5.621944,5.622260,5.635018,5.708522", \ - "5.580335,5.600876,5.582254,5.588647,5.626215,5.638259,5.645941", \ - "5.615252,5.605680,5.583257,5.598686,5.634999,5.660759,5.639997", \ - "5.636797,5.647549,5.619138,5.648738,5.685675,5.681589,5.734164", \ - "5.723902,5.707657,5.682028,5.712669,5.712907,5.788732,5.818167", \ - "5.784831,5.801951,5.780523,5.789285,5.827297,5.772560,5.913608"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.632632,5.606974,5.596920,5.631267,5.639824,5.714687,5.689158", \ - "5.609469,5.612088,5.571753,5.619984,5.619512,5.630792,5.701953", \ - "5.579800,5.599452,5.580849,5.586858,5.623477,5.681369,5.639431", \ - "5.614305,5.586905,5.574069,5.596538,5.632331,5.707617,5.633472", \ - "5.636045,5.645433,5.617202,5.646993,5.683011,5.677744,5.727685", \ - "5.723024,5.706302,5.680725,5.716957,5.710325,5.784747,5.811787", \ - "5.784474,5.800567,5.779270,5.787634,5.824670,5.768604,5.907307"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.633107,5.607413,5.597399,5.631801,5.640628,5.715394,5.653638", \ - "5.609946,5.612603,5.572231,5.620510,5.620100,5.631438,5.702660", \ - "5.580245,5.599936,5.581327,5.587358,5.624051,5.681962,5.640115", \ - "5.614745,5.587353,5.574548,5.597031,5.632862,5.709088,5.634211", \ - "5.636449,5.645869,5.617663,5.647479,5.683535,5.678331,5.728392", \ - "5.723405,5.706698,5.681145,5.717394,5.710818,5.785377,5.812436", \ - "5.784776,5.800894,5.779619,5.787992,5.825101,5.769083,5.907951"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.633116,5.607417,5.597408,5.631805,5.640258,5.715415,5.701926", \ - "5.609957,5.612571,5.572236,5.620514,5.620101,5.631440,5.702663", \ - "5.580258,5.599944,5.581334,5.587364,5.624052,5.681972,5.640120", \ - "5.614756,5.587363,5.574555,5.597036,5.632866,5.709303,5.634209", \ - "5.636459,5.645880,5.617669,5.647482,5.683538,5.678335,5.728389", \ - "5.723414,5.706706,5.681150,5.717418,5.710820,5.785377,5.812437", \ - "5.784788,5.800902,5.779624,5.787997,5.825103,5.769087,5.907946"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.633214,5.617549,5.597876,5.632675,5.642574,5.734729,5.656497", \ - "5.610048,5.612863,5.587407,5.621476,5.621734,5.634401,5.707777", \ - "5.579913,5.600442,5.581817,5.588184,5.625692,5.704038,5.645202", \ - "5.614839,5.607006,5.582624,5.598231,5.634486,5.660220,5.639246", \ - "5.636388,5.647125,5.618704,5.648275,5.685162,5.680992,5.733422", \ - "5.723513,5.707257,5.681612,5.712190,5.712413,5.788131,5.817454", \ - "5.784485,5.801595,5.780152,5.788892,5.826845,5.772027,5.912928"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("5.633190,5.607511,5.597491,5.631875,5.640299,5.715426,5.627013", \ - "5.610024,5.612662,5.572322,5.620587,5.620170,5.631523,5.702776", \ - "5.580342,5.600013,5.581414,5.587446,5.624125,5.682068,5.640242", \ - "5.614837,5.587450,5.574629,5.597116,5.632953,5.708916,5.634288", \ - "5.636539,5.645949,5.617737,5.647552,5.683613,5.678421,5.728472", \ - "5.723487,5.706775,5.681214,5.717507,5.710885,5.785407,5.812527", \ - "5.784859,5.800964,5.779681,5.788064,5.825157,5.769168,5.907997"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFR_X2 - Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and drive strength X2 - *******************************************************************************************/ - - cell (SDFFR_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - clear : "!RN"; - } - - area : 6.916000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 141.961514; - - leakage_power () { - when : "!CK & !D & !RN & !SE & !SI & !Q & QN"; - value : 120.871300; - } - leakage_power () { - when : "!CK & !D & !RN & !SE & SI & !Q & QN"; - value : 131.396078; - } - leakage_power () { - when : "!CK & !D & !RN & SE & !SI & !Q & QN"; - value : 124.939287; - } - leakage_power () { - when : "!CK & !D & !RN & SE & SI & !Q & QN"; - value : 137.953101; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & !Q & QN"; - value : 133.728694; - } - leakage_power () { - when : "!CK & !D & RN & !SE & !SI & Q & !QN"; - value : 139.500625; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & !Q & QN"; - value : 144.253472; - } - leakage_power () { - when : "!CK & !D & RN & !SE & SI & Q & !QN"; - value : 150.026503; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & !Q & QN"; - value : 137.796681; - } - leakage_power () { - when : "!CK & !D & RN & SE & !SI & Q & !QN"; - value : 143.569712; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & !Q & QN"; - value : 148.391958; - } - leakage_power () { - when : "!CK & !D & RN & SE & SI & Q & !QN"; - value : 149.025800; - } - leakage_power () { - when : "!CK & D & !RN & !SE & !SI & !Q & QN"; - value : 138.080811; - } - leakage_power () { - when : "!CK & D & !RN & !SE & SI & !Q & QN"; - value : 143.468644; - } - leakage_power () { - when : "!CK & D & !RN & SE & !SI & !Q & QN"; - value : 138.885791; - } - leakage_power () { - when : "!CK & D & !RN & SE & SI & !Q & QN"; - value : 145.059981; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & !Q & QN"; - value : 148.519668; - } - leakage_power () { - when : "!CK & D & RN & !SE & !SI & Q & !QN"; - value : 149.153510; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & !Q & QN"; - value : 153.907501; - } - leakage_power () { - when : "!CK & D & RN & !SE & SI & Q & !QN"; - value : 154.542443; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & !Q & QN"; - value : 151.743185; - } - leakage_power () { - when : "!CK & D & RN & SE & !SI & Q & !QN"; - value : 157.515116; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & !Q & QN"; - value : 155.498838; - } - leakage_power () { - when : "!CK & D & RN & SE & SI & Q & !QN"; - value : 156.132680; - } - leakage_power () { - when : "CK & !D & !RN & !SE & !SI & !Q & QN"; - value : 117.296883; - } - leakage_power () { - when : "CK & !D & !RN & !SE & SI & !Q & QN"; - value : 127.821661; - } - leakage_power () { - when : "CK & !D & !RN & SE & !SI & !Q & QN"; - value : 121.364540; - } - leakage_power () { - when : "CK & !D & !RN & SE & SI & !Q & QN"; - value : 129.648794; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & !Q & QN"; - value : 128.851888; - } - leakage_power () { - when : "CK & !D & RN & !SE & !SI & Q & !QN"; - value : 140.903807; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & !Q & QN"; - value : 139.376666; - } - leakage_power () { - when : "CK & !D & RN & !SE & SI & Q & !QN"; - value : 151.429685; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & !Q & QN"; - value : 132.919655; - } - leakage_power () { - when : "CK & !D & RN & SE & !SI & Q & !QN"; - value : 144.971794; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & !Q & QN"; - value : 141.204239; - } - leakage_power () { - when : "CK & !D & RN & SE & SI & Q & !QN"; - value : 148.124438; - } - leakage_power () { - when : "CK & D & !RN & !SE & !SI & !Q & QN"; - value : 129.776405; - } - leakage_power () { - when : "CK & D & !RN & !SE & SI & !Q & QN"; - value : 135.165327; - } - leakage_power () { - when : "CK & D & !RN & SE & !SI & !Q & QN"; - value : 135.310274; - } - leakage_power () { - when : "CK & D & !RN & SE & SI & !Q & QN"; - value : 136.755454; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & !Q & QN"; - value : 141.331399; - } - leakage_power () { - when : "CK & D & RN & !SE & !SI & Q & !QN"; - value : 148.251818; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & !Q & QN"; - value : 146.720332; - } - leakage_power () { - when : "CK & D & RN & !SE & SI & Q & !QN"; - value : 153.640751; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & !Q & QN"; - value : 146.865279; - } - leakage_power () { - when : "CK & D & RN & SE & !SI & Q & !QN"; - value : 158.918298; - } - leakage_power () { - when : "CK & D & RN & SE & SI & !Q & QN"; - value : 148.310899; - } - leakage_power () { - when : "CK & D & RN & SE & SI & Q & !QN"; - value : 155.230988; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.137331; - fall_capacitance : 1.090635; - rise_capacitance : 1.137331; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & !SE"; - sdf_cond : "RN_AND_NEG_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.023152,-0.010317,-0.004935", \ - "-0.029812,-0.015559,-0.008155", \ - "0.116519,0.130464,0.138264"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.011838,-0.003667,-0.005707", \ - "-0.011123,-0.004157,-0.010323", \ - "0.067464,0.074232,0.061904"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & !SE"; - sdf_cond : "RN_AND_NEG_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.071497,0.065696,0.078747", \ - "0.088982,0.083076,0.096067", \ - "0.131548,0.124786,0.137139"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.064745,0.050527,0.043237", \ - "0.075156,0.060912,0.053628", \ - "0.082552,0.068608,0.060811"); - } - } - - internal_power () { - - when : "!CK & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.089726,5.066568,5.036160,5.048784,5.194712,5.547868,6.119902"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.054446,4.018426,3.978225,3.989792,4.129314,4.462074,5.010742"); - } - - } - - internal_power () { - - when : "!CK & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.089410,5.065614,5.035839,5.048435,5.194393,5.547397,6.118951"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.028244,3.991329,3.951860,3.963639,4.098100,4.436152,4.982748"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717956,0.691959,0.682060,0.678594,0.676429,0.675758,0.674633"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.603417,-0.609046,-0.614389,-0.618015,-0.621340,-0.622824,-0.624587"); - } - - } - - internal_power () { - - when : "!CK & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650986,0.657994,0.660186,0.659654,0.658823,0.658051,0.657973"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.422653,-0.488570,-0.545275,-0.566929,-0.579050,-0.586310,-0.591838"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.562825,6.540944,6.504447,6.522503,6.667070,7.023655,7.590035"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.471254,4.435703,4.394640,4.405841,4.545272,4.880397,5.429433"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.532324,6.510292,6.479621,6.488196,6.637215,6.993800,7.560619"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.477003,4.441595,4.398826,4.410643,4.550301,4.886893,5.435159"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.562394,6.540515,6.504008,6.522043,6.666666,7.022616,7.589345"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.474703,4.439154,4.398108,4.409469,4.548768,4.887383,5.432975"); - } - - } - - internal_power () { - - when : "!CK & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.529422,6.508347,6.477466,6.490476,6.634974,6.991488,7.558285"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.477564,4.442056,4.399269,4.411083,4.550699,4.887337,5.435435"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717110,0.691110,0.681214,0.677750,0.674946,0.674926,0.673000"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605668,-0.611295,-0.616633,-0.620259,-0.622948,-0.625066,-0.626827"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.718203,0.691453,0.682053,0.678591,0.676393,0.675760,0.674255"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.602961,-0.608663,-0.613996,-0.617563,-0.620981,-0.622625,-0.625232"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650653,0.657662,0.659854,0.659320,0.657888,0.657720,0.656891"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423725,-0.489654,-0.546350,-0.568000,-0.579508,-0.587374,-0.592898"); - } - - } - - internal_power () { - - when : "!CK & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.651185,0.658194,0.660384,0.659847,0.658409,0.658238,0.657399"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.421889,-0.487809,-0.544513,-0.566164,-0.577676,-0.585547,-0.591078"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.253850,3.230328,3.201265,3.216537,3.364227,3.712935,4.275881"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.526780,1.490854,1.453224,1.470708,1.615340,1.950789,2.497100"); - } - - } - - internal_power () { - - when : "CK & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.251547,3.227857,3.198946,3.214195,3.361624,3.710457,4.273360"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.526815,1.490879,1.453330,1.470730,1.615401,1.950807,2.497118"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717115,0.690852,0.680954,0.677504,0.675319,0.674630,0.673172"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.606050,-0.611773,-0.617150,-0.620787,-0.624071,-0.625774,-0.628247"); - } - - } - - internal_power () { - - when : "CK & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650571,0.657417,0.659578,0.659052,0.658162,0.657415,0.656958"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424353,-0.490368,-0.547075,-0.568553,-0.580873,-0.588353,-0.594242"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.251582,3.228436,3.200765,3.214686,3.362543,3.711101,4.273958"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.586146,1.550098,1.512418,1.529124,1.673622,2.009292,2.556440"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.190819,3.167276,3.137733,3.155015,3.303596,3.654898,4.219284"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.561716,1.525810,1.488013,1.504161,1.647466,1.980482,2.526496"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.251156,3.228014,3.200334,3.214232,3.361621,3.710484,4.273292"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.589681,1.553575,1.515878,1.532583,1.677306,2.012727,2.559968"); - } - - } - - internal_power () { - - when : "CK & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.189263,3.165718,3.136169,3.153259,3.301809,3.653174,4.217433"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.563228,1.527295,1.489443,1.505590,1.648776,1.981898,2.527934"); - } - - } - - internal_power () { - - when : "CK & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716857,0.690878,0.680957,0.677505,0.675314,0.674647,0.673177"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.606128,-0.611778,-0.617143,-0.620771,-0.624043,-0.625583,-0.628076"); - } - - } - - internal_power () { - - when : "CK & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.722482,0.691938,0.682057,0.678599,0.675795,0.675736,0.673841"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.603602,-0.609309,-0.614656,-0.618266,-0.621013,-0.623331,-0.625006"); - } - - } - - internal_power () { - - when : "CK & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650425,0.657406,0.659581,0.659055,0.658185,0.657414,0.657009"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424334,-0.490301,-0.547017,-0.568662,-0.580702,-0.588037,-0.594223"); - } - - } - - internal_power () { - - when : "CK & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.652419,0.659390,0.661570,0.661034,0.660179,0.659400,0.659304"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.419257,-0.485216,-0.541914,-0.563556,-0.575641,-0.582932,-0.588457"); - } - - } - } - - pin (RN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.527906; - fall_capacitance : 1.506472; - rise_capacitance : 1.527906; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.030832,-0.044791,-0.053077", \ - "-0.021814,-0.036107,-0.044263", \ - "-0.022307,-0.036240,-0.044526"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.037548,0.050929,0.058707", \ - "0.030408,0.043513,0.051181", \ - "0.036383,0.049134,0.056378"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.100680,0.134382,0.238959"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.688660,0.664695,0.651512,0.646126,0.647583,0.645101,0.645542"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.602714,-0.604752,-0.608502,-0.612323,-0.616561,-0.617259,-0.617987"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.687860,0.663911,0.651585,0.646226,0.647641,0.645244,0.645438"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.603359,-0.604748,-0.608446,-0.613068,-0.616362,-0.617277,-0.617925"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.688646,0.664686,0.651499,0.646115,0.647565,0.645083,0.645520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.602735,-0.604776,-0.608532,-0.612354,-0.616577,-0.617291,-0.618019"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.198441,2.173885,2.164534,2.195425,2.278815,2.421316,2.622541"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.143656,-0.147745,-0.153673,-0.151592,-0.122199,-0.039395,0.109407"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.198479,2.173916,2.164571,2.195449,2.278878,2.421381,2.622621"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.143570,-0.147654,-0.153550,-0.151468,-0.122140,-0.039270,0.109530"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.198473,2.173913,2.164565,2.195444,2.278869,2.421371,2.622609"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.143582,-0.147669,-0.153568,-0.151487,-0.122149,-0.039289,0.109511"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.688660,0.664693,0.651512,0.646125,0.647588,0.645106,0.645548"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.602705,-0.604742,-0.608489,-0.612311,-0.616556,-0.617248,-0.617976"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.198433,2.173881,2.164527,2.195418,2.278802,2.421302,2.622525"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.143673,-0.147765,-0.153698,-0.151618,-0.122211,-0.039420,0.109382"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.635303,0.636089,0.634844,0.634104,0.633977,0.633415,0.632737"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.606829,-0.607602,-0.610868,-0.612605,-0.612915,-0.612614,-0.613950"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.634774,0.635558,0.634317,0.633576,0.633459,0.632899,0.632218"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.608012,-0.608782,-0.612044,-0.613781,-0.614108,-0.613793,-0.615151"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.635669,0.635222,0.634007,0.633239,0.633106,0.632534,0.631880"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.609194,-0.610275,-0.612595,-0.614834,-0.615407,-0.614978,-0.616083"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.633602,0.634403,0.633149,0.632416,0.632264,0.631698,0.631058"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.611084,-0.611873,-0.615159,-0.616891,-0.617146,-0.616902,-0.618167"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.635400,0.634948,0.633743,0.632970,0.632852,0.632285,0.631613"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.609662,-0.610742,-0.613049,-0.615284,-0.615891,-0.615433,-0.616582"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.634191,0.634980,0.633738,0.632999,0.632873,0.632305,0.631641"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.609464,-0.610240,-0.613506,-0.615239,-0.615552,-0.615251,-0.616590"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.634782,0.635570,0.634324,0.633586,0.633463,0.632893,0.632227"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.607931,-0.608702,-0.611969,-0.613708,-0.614024,-0.613722,-0.615066"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.633591,0.634457,0.633139,0.632407,0.632248,0.631683,0.631047"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.611155,-0.611899,-0.615197,-0.616976,-0.617173,-0.616918,-0.618183"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.922469; - fall_capacitance : 1.812240; - rise_capacitance : 1.922469; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.017430,-0.009762,-0.012070", \ - "-0.033139,-0.026804,-0.029635", \ - "0.110433,0.116830,0.104053"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.017163,-0.004533,-0.003150", \ - "-0.020647,-0.006923,-0.008306", \ - "0.069922,0.083962,0.074415"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.074764,0.060448,0.068765", \ - "0.093792,0.079680,0.086298", \ - "0.129090,0.115055,0.124627"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.081005,0.074987,0.087822", \ - "0.087922,0.081610,0.094602", \ - "0.088638,0.082242,0.095023"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.398568,2.361555,2.415112,2.620227,3.002880,3.616765,4.490940"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.059535,-0.093646,-0.088395,0.075080,0.468429,1.108744,2.011280"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.925858,5.853692,5.911094,6.256740,6.954313,8.076164,9.684150"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.380882,3.337431,3.323654,3.578641,4.241113,5.384476,7.032787"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.397717,2.360702,2.414264,2.619379,3.001417,3.616179,4.489332"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.061790,-0.095897,-0.090642,0.072836,0.466802,1.106411,2.009039"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.398968,2.362097,2.415583,2.620688,3.003365,3.616987,4.491020"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.045520,-0.078289,-0.073802,0.089619,0.482857,1.123419,2.023926"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.396987,7.321294,7.380696,7.727257,8.423279,9.548235,11.151350"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.866731,3.824137,3.809875,4.058939,4.718588,5.858292,7.506097"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.365733,7.292498,7.350674,7.694706,8.392396,9.517554,11.120720"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.835478,3.790360,3.778071,4.026046,4.688504,5.832972,7.484655"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.239139,6.207576,6.308057,6.593598,7.079013,7.801368,8.775006"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.301408,4.271826,4.211933,4.325895,4.693213,5.338837,6.282168"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.239083,2.206634,2.265346,2.475649,2.863741,3.480340,4.358773"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.037350,0.008614,0.015209,0.172032,0.556366,1.194500,2.097715"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.707766,6.676608,6.776338,7.062098,7.546948,8.268309,9.244825"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.775200,5.743458,5.683998,5.799294,6.163737,6.809139,7.751361"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.765755,6.735832,6.836252,7.122003,7.606117,8.326496,9.304281"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.816750,5.784444,5.725380,5.837666,6.207381,6.856438,7.791200"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.238613,2.206278,2.265008,2.475308,2.862798,3.480010,4.357699"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.036267,0.007469,0.014145,0.170961,0.555895,1.193432,2.096656"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.239184,2.206830,2.265541,2.475837,2.863323,3.480530,4.358207"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.038114,0.009336,0.015982,0.172794,0.557726,1.195259,2.098476"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.398788,2.362129,2.415719,2.620741,3.003676,3.617243,4.490992"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.045332,-0.079145,-0.073644,0.089591,0.482500,1.123318,2.023777"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.085461,4.012884,4.074615,4.424191,5.119613,6.232093,7.825759"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.882459,0.839721,0.832398,1.089749,1.754843,2.894998,4.532623"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.397337,2.360292,2.413991,2.619142,3.001790,3.615906,4.489465"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.063145,-0.096473,-0.091193,0.072334,0.465638,1.105880,2.007802"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.397979,2.361339,2.414923,2.619931,3.002240,3.616458,4.489801"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.047367,-0.081307,-0.075809,0.087599,0.481285,1.121314,2.022662"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.083638,4.012117,4.073818,4.423832,5.119158,6.231740,7.825311"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.980121,0.937556,0.929760,1.186435,1.848668,2.985056,4.617116"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.018158,3.947784,4.009152,4.362941,5.060738,6.176271,7.772517"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.918201,0.875634,0.867481,1.123872,1.788173,2.923896,4.561856"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.830956,3.799246,3.898419,4.184470,4.669432,5.388034,6.360108"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.343160,2.324583,2.309846,2.454265,2.842066,3.497470,4.430820"); - } - - } - - internal_power () { - - when : "CK & D & !RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.240937,2.208964,2.267866,2.478258,2.866189,3.482826,4.359649"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.149633,-0.178530,-0.171505,-0.013967,0.372084,1.010776,1.912419"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.822654,3.791035,3.891050,4.178474,4.665997,5.386666,6.361669"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.491434,2.460827,2.400439,2.515132,2.884874,3.534872,4.470299"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.858383,3.825338,3.925152,4.212810,4.699807,5.420178,6.394975"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.436122,2.405425,2.344389,2.459283,2.828494,3.476894,4.413606"); - } - - } - - internal_power () { - - when : "CK & D & RN & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.237939,2.205862,2.264834,2.475138,2.863102,3.479537,4.357784"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.035496,0.006906,0.013481,0.170556,0.554796,1.192726,2.095288"); - } - - } - - internal_power () { - - when : "CK & D & RN & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.239916,2.207965,2.266823,2.477109,2.865097,3.481522,4.360099"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.040572,0.011993,0.018586,0.175659,0.559857,1.197830,2.101045"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.878615; - fall_capacitance : 0.842726; - rise_capacitance : 0.878615; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "RN & SE"; - sdf_cond : "RN_AND_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.026219,-0.013352,-0.007748", \ - "-0.029676,-0.015935,-0.009344", \ - "0.109018,0.123759,0.130486"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.012184,-0.004297,-0.006489", \ - "-0.010527,-0.003996,-0.010360", \ - "0.056463,0.062964,0.051223"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "RN & SE"; - sdf_cond : "RN_AND_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.079816,0.073660,0.086461", \ - "0.097106,0.091141,0.103883", \ - "0.142551,0.136056,0.147821"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.066848,0.052829,0.045394", \ - "0.078884,0.064536,0.057217", \ - "0.089982,0.075246,0.068542"); - } - } - - internal_power () { - - when : "!CK & !D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.543119,0.526551,0.519566,0.515856,0.514313,0.513098,0.512098"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.464896,-0.468619,-0.470854,-0.472093,-0.473683,-0.474008,-0.474479"); - } - - } - - internal_power () { - - when : "!CK & !D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.052541,5.038955,5.016679,5.021841,5.120582,5.371250,5.786624"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.587473,3.560653,3.535191,3.538736,3.625830,3.856614,4.250390"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542274,0.525699,0.518718,0.515010,0.512815,0.512267,0.510449"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467144,-0.470868,-0.473098,-0.474336,-0.475276,-0.476250,-0.476718"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.543113,0.526449,0.519557,0.515839,0.514320,0.513076,0.511690"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.464468,-0.468299,-0.470447,-0.471749,-0.473481,-0.473581,-0.475228"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.522919,6.505443,6.483616,6.493140,6.585158,6.838122,7.252678"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.004245,3.978313,3.952429,3.956566,4.047976,4.282803,4.669577"); - } - - } - - internal_power () { - - when : "!CK & !D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.489192,6.477213,6.459447,6.465716,6.558484,6.813368,7.224611"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.009480,3.983523,3.956990,3.961988,4.053829,4.285487,4.675824"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.482215,0.489175,0.491185,0.490747,0.490580,0.490711,0.490580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.320787,-0.369709,-0.409505,-0.425084,-0.434194,-0.439089,-0.443202"); - } - - } - - internal_power () { - - when : "!CK & D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.050745,5.038416,5.016901,5.021146,5.119828,5.370453,5.785681"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.588219,3.561348,3.538860,3.542306,3.629126,3.860242,4.254123"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481897,0.488849,0.490862,0.490417,0.489575,0.490381,0.489412"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321861,-0.370792,-0.410583,-0.426158,-0.434587,-0.440154,-0.444261"); - } - - } - - internal_power () { - - when : "!CK & D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.482012,0.488964,0.490976,0.490528,0.489682,0.490488,0.489514"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321396,-0.370326,-0.410118,-0.425692,-0.434121,-0.439690,-0.443799"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.521903,6.504824,6.482953,6.492450,6.584415,6.841224,7.251716"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.008052,3.982456,3.956003,3.960142,4.051338,4.286381,4.673018"); - } - - } - - internal_power () { - - when : "!CK & D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.485565,6.473603,6.451966,6.462013,6.554709,6.809509,7.220631"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.040162,4.012074,3.987314,3.992266,4.083310,4.313818,4.703997"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.543173,0.526531,0.519614,0.515909,0.514339,0.513095,0.511748"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.464506,-0.468349,-0.470538,-0.471843,-0.473477,-0.473678,-0.475197"); - } - - } - - internal_power () { - - when : "CK & !D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.212535,3.200137,3.177909,3.186322,3.285476,3.524235,3.926320"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.122843,1.096506,1.072197,1.081420,1.176628,1.408498,1.797829"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542020,0.525460,0.518464,0.514765,0.513198,0.511987,0.510635"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467613,-0.471349,-0.473607,-0.474849,-0.476387,-0.476767,-0.477984"); - } - - } - - internal_power () { - - when : "CK & !D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542366,0.525709,0.518808,0.515092,0.512897,0.512319,0.510510"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466554,-0.470391,-0.472553,-0.473851,-0.474888,-0.475682,-0.476456"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.209498,3.197074,3.174865,3.183285,3.282433,3.521182,3.923248"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.122843,1.096733,1.072203,1.081433,1.176647,1.408535,1.797900"); - } - - } - - internal_power () { - - when : "CK & !D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.153527,3.140546,3.119295,3.127456,3.226350,3.469348,3.871526"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.099743,1.071967,1.050038,1.058030,1.153391,1.381037,1.769157"); - } - - } - - internal_power () { - - when : "CK & D & !RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481181,0.489186,0.491116,0.490607,0.490450,0.490586,0.490077"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321119,-0.369625,-0.409725,-0.425151,-0.434173,-0.439022,-0.443769"); - } - - } - - internal_power () { - - when : "CK & D & !RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.208810,3.196492,3.174234,3.182644,3.281705,3.520820,3.922181"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.064049,1.038024,1.013493,1.023233,1.119091,1.350874,1.739867"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481634,0.488597,0.490592,0.490150,0.489932,0.490076,0.489572"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.322487,-0.371435,-0.411242,-0.426817,-0.435845,-0.440816,-0.445671"); - } - - } - - internal_power () { - - when : "CK & D & RN & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.482224,0.489178,0.491181,0.490728,0.490530,0.490662,0.490516"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.320849,-0.369796,-0.409589,-0.425160,-0.434226,-0.439158,-0.443269"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.208811,3.196477,3.174169,3.182599,3.281684,3.521069,3.922328"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.126486,1.100322,1.075886,1.085002,1.180096,1.412335,1.801467"); - } - - } - - internal_power () { - - when : "CK & D & RN & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.149846,3.136808,3.115559,3.123642,3.223021,3.465357,3.868112"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.124074,1.097945,1.076015,1.084126,1.178393,1.406846,1.794582"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.962924; - fall_capacitance : 0.872463; - rise_capacitance : 0.962924; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "RN"; - sdf_cond : "RN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.062526,0.073857,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.089081,0.088297,0.198733"); - } - } - - internal_power () { - - when : "!D & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.288986,4.257389,4.266527,4.441599,4.808297,5.390972,6.236183"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.041088,3.025199,3.011409,3.129759,3.458410,4.051230,4.944215"); - } - - } - - internal_power () { - - when : "!D & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.285629,4.252791,4.263066,4.438071,4.804779,5.387319,6.232570"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.285192,3.264801,3.254210,3.371663,3.698378,4.295293,5.187235"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.288928,4.257149,4.266402,4.441458,4.808174,5.390935,6.236150"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.042707,3.021911,3.011468,3.129837,3.458494,4.051216,4.944234"); - } - - } - - internal_power () { - - when : "!D & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.397062,6.365474,6.376174,6.563485,6.943632,7.544267,8.406155"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("10.357300,10.333900,10.334100,10.477770,10.827990,11.474430,12.439850"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.289121,4.257501,4.267246,4.443358,4.808946,5.392278,6.237509"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.053757,3.034137,3.020079,3.137886,3.466905,4.059043,4.952071"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.190148,7.152254,7.158527,7.341174,7.717406,8.318733,9.187970"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.289124,4.257497,4.267252,4.443367,4.808946,5.392295,6.237508"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.053781,3.034162,3.020111,3.137919,3.466940,4.059079,4.952102"); - } - - } - - internal_power () { - - when : "!D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.660825,7.619221,7.631893,7.811686,8.191127,8.791235,9.654414"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.288949,4.257338,4.267097,4.443238,4.808834,5.392245,6.237500"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.053847,3.034196,3.020163,3.137972,3.466972,4.059034,4.952091"); - } - - } - - internal_power () { - - when : "!D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.666048,7.620180,7.632795,7.812820,8.183690,8.792170,9.655347"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.921220,6.884278,6.894025,7.079396,7.455857,8.051595,8.911715"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.311535,4.279909,4.290350,4.468447,4.835274,5.421835,6.269731"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.952465,2.931198,2.915673,3.038005,3.369559,3.968318,4.864408"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.397026,6.365509,6.376281,6.563549,6.943735,7.544351,8.406240"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("10.355670,10.333620,10.337010,10.479600,10.828040,11.474240,12.439900"); - } - - } - - internal_power () { - - when : "D & !RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.397015,6.365502,6.376275,6.563545,6.943725,7.544339,8.406228"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("10.355660,10.333600,10.336990,10.479580,10.828030,11.474220,12.439880"); - } - - } - - internal_power () { - - when : "D & !RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.288933,4.257147,4.266403,4.441461,4.808196,5.390958,6.236178"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.042728,3.021934,3.011498,3.129871,3.458512,4.051257,4.944278"); - } - - } - - internal_power () { - - when : "D & !RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.397062,6.365466,6.376167,6.563479,6.943619,7.544254,8.406139"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("10.358050,10.333880,10.334080,10.477750,10.827980,11.474400,12.439820"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.921292,6.884413,6.894021,7.079506,7.455978,8.051736,8.911778"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.309183,4.277543,4.287998,4.466080,4.832896,5.419532,6.267365"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.952345,2.930909,2.915642,3.037950,3.369505,3.968255,4.864358"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.921276,6.884395,6.894001,7.079493,7.455964,8.051715,8.911771"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.311560,4.279923,4.290377,4.468467,4.835267,5.421891,6.269731"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.952525,2.931084,2.915801,3.038114,3.369673,3.968424,4.864512"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.288948,4.257421,4.267092,4.443095,4.808834,5.392268,6.237499"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.053898,3.030300,3.020199,3.139396,3.467008,4.059082,4.952132"); - } - - } - - internal_power () { - - when : "D & RN & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.641347,7.594506,7.607368,7.790233,8.162152,8.766874,9.629209"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.921201,6.884257,6.894000,7.079371,7.455846,8.051570,8.911706"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & RN & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.311525,4.279904,4.290342,4.468440,4.835274,5.421820,6.269730"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.952448,2.931177,2.915648,3.037980,3.369534,3.968293,4.864383"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.086281,0.093516,0.099995,0.110653,0.127588,0.153496,0.195678", \ - "0.087759,0.094996,0.101474,0.112132,0.129069,0.154977,0.197156", \ - "0.092760,0.099999,0.106472,0.117128,0.134064,0.159975,0.202155", \ - "0.098380,0.105617,0.112089,0.122746,0.139681,0.165593,0.207782", \ - "0.102632,0.109870,0.116343,0.126997,0.143931,0.169825,0.212020", \ - "0.105468,0.112710,0.119179,0.129847,0.146742,0.172657,0.214856", \ - "0.106882,0.114119,0.120632,0.131292,0.148161,0.174075,0.216279"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.075096,0.082243,0.088795,0.100110,0.120148,0.157228,0.229767", \ - "0.076576,0.083721,0.090270,0.101587,0.121623,0.158704,0.231253", \ - "0.081733,0.088879,0.095430,0.106746,0.126781,0.163860,0.236405", \ - "0.087653,0.094799,0.101350,0.112666,0.132711,0.169789,0.242337", \ - "0.092293,0.099437,0.105990,0.117310,0.137340,0.174414,0.246965", \ - "0.095365,0.102507,0.109053,0.120377,0.140416,0.177489,0.250036", \ - "0.096459,0.103605,0.110154,0.121485,0.141513,0.178582,0.251137"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016095,0.018754,0.021403,0.026122,0.033811,0.047114,0.074685", \ - "0.016095,0.018754,0.021406,0.026123,0.033812,0.047113,0.074698", \ - "0.016098,0.018757,0.021407,0.026124,0.033814,0.047114,0.074695", \ - "0.016099,0.018754,0.021407,0.026125,0.033815,0.047115,0.074694", \ - "0.016124,0.018784,0.021426,0.026138,0.033824,0.047121,0.074684", \ - "0.016133,0.018792,0.021443,0.026160,0.033845,0.047132,0.074710", \ - "0.016201,0.018856,0.021505,0.026216,0.033890,0.047173,0.074693"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.008500,0.012681,0.016873,0.024871,0.040723,0.073476,0.141624", \ - "0.008498,0.012680,0.016873,0.024871,0.040722,0.073476,0.141619", \ - "0.008500,0.012679,0.016873,0.024872,0.040723,0.073473,0.141622", \ - "0.008499,0.012682,0.016873,0.024870,0.040724,0.073476,0.141620", \ - "0.008495,0.012678,0.016871,0.024875,0.040726,0.073474,0.141624", \ - "0.008506,0.012689,0.016880,0.024878,0.040728,0.073475,0.141627", \ - "0.008516,0.012697,0.016887,0.024883,0.040732,0.073479,0.141623"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.090765,0.098185,0.104830,0.115610,0.131912,0.156090,0.196142", \ - "0.092434,0.099846,0.106494,0.117274,0.133576,0.157756,0.197806", \ - "0.098215,0.105631,0.112279,0.123061,0.139361,0.163554,0.203611", \ - "0.107715,0.115134,0.121780,0.132558,0.148857,0.173048,0.213107", \ - "0.122364,0.129767,0.136386,0.147166,0.163431,0.187603,0.227651", \ - "0.142243,0.149727,0.156463,0.167310,0.183646,0.207770,0.247752", \ - "0.164795,0.172472,0.179498,0.190728,0.207249,0.231584,0.271623"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016944,0.019655,0.022222,0.026226,0.032179,0.043939,0.071289", \ - "0.016940,0.019653,0.022221,0.026227,0.032178,0.043937,0.071309", \ - "0.016946,0.019652,0.022222,0.026226,0.032180,0.043930,0.071287", \ - "0.016945,0.019650,0.022221,0.026227,0.032182,0.043936,0.071292", \ - "0.016934,0.019658,0.022242,0.026259,0.032226,0.043962,0.071304", \ - "0.018365,0.020928,0.023337,0.027052,0.032686,0.044193,0.071391", \ - "0.020550,0.023115,0.025468,0.028897,0.033969,0.044896,0.071688"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.090765,0.098186,0.104830,0.115609,0.131914,0.156090,0.196142", \ - "0.092430,0.099846,0.106494,0.117274,0.133577,0.157756,0.197806", \ - "0.098214,0.105631,0.112281,0.123061,0.139363,0.163554,0.203611", \ - "0.107714,0.115134,0.121779,0.132557,0.148858,0.173049,0.213107", \ - "0.122365,0.129771,0.136386,0.147186,0.163432,0.187603,0.227651", \ - "0.142243,0.149726,0.156463,0.167310,0.183646,0.207770,0.247752", \ - "0.164795,0.172472,0.179498,0.190728,0.207249,0.231584,0.271623"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016945,0.019655,0.022222,0.026226,0.032179,0.043939,0.071288", \ - "0.016941,0.019653,0.022221,0.026227,0.032178,0.043937,0.071309", \ - "0.016946,0.019652,0.022222,0.026226,0.032180,0.043930,0.071287", \ - "0.016945,0.019650,0.022221,0.026227,0.032182,0.043936,0.071292", \ - "0.016934,0.019661,0.022242,0.026260,0.032226,0.043962,0.071304", \ - "0.018365,0.020928,0.023337,0.027052,0.032686,0.044193,0.071391", \ - "0.020550,0.023115,0.025468,0.028897,0.033969,0.044896,0.071688"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.090765,0.098185,0.104829,0.115609,0.131913,0.156087,0.196142", \ - "0.092434,0.099846,0.106493,0.117274,0.133576,0.157755,0.197805", \ - "0.098215,0.105630,0.112279,0.123060,0.139361,0.163555,0.203610", \ - "0.107715,0.115134,0.121781,0.132557,0.148857,0.173048,0.213107", \ - "0.122365,0.129755,0.136388,0.147167,0.163432,0.187603,0.227651", \ - "0.142242,0.149727,0.156463,0.167310,0.183647,0.207769,0.247751", \ - "0.164795,0.172472,0.179498,0.190728,0.207249,0.231583,0.271622"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016944,0.019654,0.022222,0.026226,0.032179,0.043937,0.071285", \ - "0.016939,0.019653,0.022221,0.026227,0.032178,0.043937,0.071309", \ - "0.016946,0.019652,0.022222,0.026226,0.032180,0.043930,0.071287", \ - "0.016945,0.019650,0.022221,0.026227,0.032182,0.043930,0.071292", \ - "0.016934,0.019661,0.022242,0.026259,0.032226,0.043962,0.071304", \ - "0.018364,0.020928,0.023337,0.027052,0.032686,0.044193,0.071391", \ - "0.020550,0.023115,0.025468,0.028897,0.033969,0.044896,0.071688"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.090746,0.098158,0.104807,0.115588,0.131891,0.156068,0.196122", \ - "0.092406,0.099823,0.106472,0.117253,0.133554,0.157736,0.197781", \ - "0.098190,0.105609,0.112254,0.123038,0.139343,0.163532,0.203585", \ - "0.107693,0.115102,0.121758,0.132539,0.148834,0.173028,0.213080", \ - "0.122303,0.129703,0.136335,0.147083,0.163346,0.187519,0.227557", \ - "0.142117,0.149630,0.156351,0.167206,0.183488,0.207631,0.247614", \ - "0.164597,0.172394,0.179421,0.190599,0.207203,0.231486,0.271490"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016933,0.019650,0.022218,0.026220,0.032169,0.043931,0.071282", \ - "0.016939,0.019650,0.022216,0.026219,0.032169,0.043929,0.071288", \ - "0.016944,0.019645,0.022217,0.026219,0.032170,0.043922,0.071273", \ - "0.016940,0.019648,0.022217,0.026222,0.032179,0.043924,0.071281", \ - "0.016934,0.019659,0.022236,0.026253,0.032210,0.043951,0.071282", \ - "0.018371,0.020931,0.023338,0.027050,0.032677,0.044180,0.071380", \ - "0.020562,0.023119,0.025469,0.028897,0.033957,0.044884,0.071674"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.090746,0.098158,0.104807,0.115588,0.131893,0.156068,0.196120", \ - "0.092405,0.099823,0.106472,0.117253,0.133556,0.157736,0.197781", \ - "0.098189,0.105609,0.112254,0.123038,0.139343,0.163530,0.203587", \ - "0.107693,0.115111,0.121758,0.132539,0.148834,0.173026,0.213081", \ - "0.122303,0.129703,0.136335,0.147083,0.163344,0.187518,0.227558", \ - "0.142116,0.149630,0.156351,0.167206,0.183480,0.207630,0.247614", \ - "0.164597,0.172394,0.179401,0.190599,0.207201,0.231484,0.271490"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016933,0.019650,0.022218,0.026220,0.032170,0.043927,0.071279", \ - "0.016939,0.019650,0.022216,0.026219,0.032169,0.043929,0.071288", \ - "0.016944,0.019645,0.022217,0.026219,0.032170,0.043922,0.071273", \ - "0.016940,0.019646,0.022217,0.026222,0.032179,0.043928,0.071282", \ - "0.016934,0.019659,0.022236,0.026253,0.032210,0.043951,0.071282", \ - "0.018371,0.020931,0.023338,0.027050,0.032677,0.044180,0.071380", \ - "0.020562,0.023119,0.025469,0.028897,0.033957,0.044884,0.071674"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.090746,0.098158,0.104807,0.115588,0.131891,0.156069,0.196121", \ - "0.092406,0.099823,0.106472,0.117253,0.133554,0.157736,0.197780", \ - "0.098190,0.105609,0.112254,0.123038,0.139343,0.163532,0.203585", \ - "0.107693,0.115102,0.121758,0.132539,0.148834,0.173028,0.213080", \ - "0.122303,0.129703,0.136335,0.147083,0.163346,0.187519,0.227557", \ - "0.142116,0.149630,0.156351,0.167206,0.183488,0.207631,0.247614", \ - "0.164597,0.172394,0.179401,0.190599,0.207203,0.231485,0.271490"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016933,0.019650,0.022218,0.026220,0.032169,0.043924,0.071278", \ - "0.016939,0.019650,0.022216,0.026219,0.032169,0.043929,0.071288", \ - "0.016944,0.019645,0.022217,0.026219,0.032170,0.043922,0.071273", \ - "0.016940,0.019648,0.022217,0.026222,0.032179,0.043924,0.071281", \ - "0.016934,0.019659,0.022236,0.026253,0.032210,0.043951,0.071282", \ - "0.018371,0.020931,0.023338,0.027050,0.032677,0.044180,0.071380", \ - "0.020562,0.023119,0.025469,0.028897,0.033957,0.044879,0.071675"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.090765,0.098185,0.104830,0.115609,0.131913,0.156086,0.196141", \ - "0.092434,0.099846,0.106494,0.117274,0.133576,0.157755,0.197805", \ - "0.098215,0.105630,0.112281,0.123061,0.139362,0.163554,0.203610", \ - "0.107714,0.115134,0.121781,0.132557,0.148857,0.173048,0.213106", \ - "0.122365,0.129770,0.136386,0.147186,0.163432,0.187603,0.227651", \ - "0.142242,0.149726,0.156463,0.167310,0.183647,0.207769,0.247751", \ - "0.164794,0.172472,0.179498,0.190728,0.207249,0.231583,0.271623"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016944,0.019654,0.022222,0.026226,0.032179,0.043934,0.071285", \ - "0.016939,0.019653,0.022221,0.026227,0.032178,0.043937,0.071309", \ - "0.016946,0.019652,0.022222,0.026226,0.032180,0.043930,0.071287", \ - "0.016945,0.019650,0.022221,0.026227,0.032182,0.043930,0.071292", \ - "0.016934,0.019661,0.022242,0.026260,0.032226,0.043962,0.071304", \ - "0.018364,0.020928,0.023337,0.027052,0.032686,0.044193,0.071391", \ - "0.020550,0.023115,0.025468,0.028897,0.033969,0.044896,0.071689"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.090746,0.098158,0.104807,0.115588,0.131893,0.156069,0.196122", \ - "0.092406,0.099823,0.106472,0.117253,0.133556,0.157736,0.197781", \ - "0.098190,0.105609,0.112254,0.123038,0.139343,0.163532,0.203585", \ - "0.107693,0.115102,0.121758,0.132539,0.148834,0.173028,0.213080", \ - "0.122303,0.129703,0.136335,0.147083,0.163344,0.187518,0.227557", \ - "0.142117,0.149630,0.156351,0.167206,0.183488,0.207631,0.247614", \ - "0.164597,0.172394,0.179401,0.190599,0.207203,0.231486,0.271490"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016933,0.019650,0.022218,0.026220,0.032170,0.043931,0.071281", \ - "0.016939,0.019650,0.022216,0.026219,0.032169,0.043929,0.071288", \ - "0.016944,0.019645,0.022217,0.026219,0.032170,0.043922,0.071273", \ - "0.016940,0.019648,0.022217,0.026222,0.032179,0.043924,0.071281", \ - "0.016934,0.019659,0.022236,0.026253,0.032210,0.043948,0.071282", \ - "0.018371,0.020931,0.023338,0.027050,0.032677,0.044180,0.071380", \ - "0.020562,0.023119,0.025469,0.028897,0.033957,0.044884,0.071674"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.168215,0.175468,0.181979,0.192792,0.210302,0.236876,0.279584", \ - "0.169686,0.176933,0.183429,0.194191,0.211594,0.238089,0.280779", \ - "0.175883,0.183126,0.189615,0.200344,0.217684,0.244121,0.286777", \ - "0.188886,0.196126,0.202612,0.213335,0.230633,0.257062,0.299707", \ - "0.207940,0.215180,0.221632,0.232345,0.249694,0.276106,0.318707", \ - "0.229354,0.236599,0.243075,0.253732,0.271098,0.297367,0.340083", \ - "0.252379,0.259621,0.266108,0.276742,0.293984,0.320340,0.362988"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016135,0.018849,0.021621,0.026742,0.034902,0.048070,0.075326", \ - "0.016117,0.018809,0.021542,0.026579,0.034712,0.047963,0.075286", \ - "0.016110,0.018791,0.021505,0.026486,0.034586,0.047892,0.075244", \ - "0.016113,0.018792,0.021494,0.026453,0.034537,0.047852,0.075230", \ - "0.016111,0.018790,0.021490,0.026437,0.034510,0.047836,0.075225", \ - "0.016111,0.018784,0.021487,0.026426,0.034495,0.047824,0.075218", \ - "0.016101,0.018786,0.021487,0.026418,0.034485,0.047824,0.075209"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.168215,0.175469,0.181979,0.192792,0.210303,0.236874,0.279585", \ - "0.169686,0.176934,0.183429,0.194191,0.211594,0.238089,0.280779", \ - "0.175884,0.183126,0.189615,0.200344,0.217685,0.244121,0.286778", \ - "0.188886,0.196126,0.202612,0.213335,0.230634,0.257063,0.299707", \ - "0.207940,0.215147,0.221632,0.232345,0.249694,0.276106,0.318711", \ - "0.229354,0.236599,0.243076,0.253732,0.271098,0.297368,0.340083", \ - "0.252379,0.259621,0.266108,0.276742,0.293984,0.320340,0.362988"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016135,0.018849,0.021621,0.026742,0.034902,0.048069,0.075325", \ - "0.016117,0.018809,0.021542,0.026579,0.034712,0.047963,0.075286", \ - "0.016110,0.018791,0.021505,0.026486,0.034586,0.047892,0.075244", \ - "0.016113,0.018792,0.021494,0.026453,0.034537,0.047853,0.075230", \ - "0.016111,0.018787,0.021490,0.026437,0.034511,0.047836,0.075225", \ - "0.016111,0.018784,0.021487,0.026426,0.034495,0.047824,0.075218", \ - "0.016101,0.018786,0.021487,0.026418,0.034485,0.047824,0.075210"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.168211,0.175464,0.181974,0.192788,0.210298,0.236870,0.279580", \ - "0.169682,0.176928,0.183424,0.194186,0.211589,0.238085,0.280775", \ - "0.175879,0.183121,0.189610,0.200339,0.217680,0.244117,0.286774", \ - "0.188882,0.196122,0.202607,0.213331,0.230629,0.257058,0.299703", \ - "0.207936,0.215176,0.221628,0.232341,0.249690,0.276102,0.318708", \ - "0.229350,0.236595,0.243072,0.253728,0.271094,0.297364,0.340078", \ - "0.252375,0.259617,0.266104,0.276739,0.293980,0.320337,0.362985"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016135,0.018848,0.021620,0.026742,0.034901,0.048064,0.075324", \ - "0.016117,0.018809,0.021541,0.026578,0.034711,0.047963,0.075285", \ - "0.016109,0.018792,0.021504,0.026486,0.034586,0.047892,0.075244", \ - "0.016111,0.018791,0.021494,0.026452,0.034538,0.047852,0.075230", \ - "0.016111,0.018789,0.021489,0.026436,0.034510,0.047835,0.075224", \ - "0.016111,0.018783,0.021487,0.026426,0.034495,0.047823,0.075219", \ - "0.016100,0.018786,0.021487,0.026418,0.034484,0.047824,0.075209"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.168195,0.175448,0.181959,0.192772,0.210281,0.236853,0.279564", \ - "0.169667,0.176913,0.183409,0.194170,0.211573,0.238068,0.280758", \ - "0.175863,0.183106,0.189595,0.200323,0.217663,0.244101,0.286758", \ - "0.188867,0.196107,0.202592,0.213316,0.230613,0.257042,0.299687", \ - "0.207922,0.215128,0.221613,0.232326,0.249675,0.276086,0.318684", \ - "0.229337,0.236581,0.243058,0.253714,0.271079,0.297348,0.340063", \ - "0.252362,0.259604,0.266091,0.276725,0.293966,0.320323,0.362971"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016133,0.018846,0.021618,0.026740,0.034898,0.048065,0.075323", \ - "0.016114,0.018806,0.021539,0.026575,0.034709,0.047960,0.075284", \ - "0.016110,0.018790,0.021502,0.026483,0.034583,0.047880,0.075242", \ - "0.016109,0.018789,0.021492,0.026450,0.034534,0.047849,0.075228", \ - "0.016108,0.018785,0.021487,0.026433,0.034507,0.047840,0.075225", \ - "0.016107,0.018783,0.021485,0.026423,0.034492,0.047821,0.075216", \ - "0.016098,0.018784,0.021485,0.026416,0.034482,0.047822,0.075207"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.168195,0.175448,0.181959,0.192772,0.210281,0.236852,0.279571", \ - "0.169667,0.176913,0.183409,0.194170,0.211573,0.238068,0.280758", \ - "0.175863,0.183106,0.189595,0.200323,0.217663,0.244101,0.286757", \ - "0.188867,0.196107,0.202592,0.213316,0.230613,0.257042,0.299687", \ - "0.207922,0.215128,0.221613,0.232326,0.249675,0.276086,0.318691", \ - "0.229337,0.236581,0.243058,0.253714,0.271079,0.297348,0.340063", \ - "0.252362,0.259604,0.266091,0.276725,0.293966,0.320323,0.362971"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016133,0.018846,0.021618,0.026740,0.034899,0.048065,0.075321", \ - "0.016114,0.018806,0.021539,0.026575,0.034709,0.047960,0.075284", \ - "0.016110,0.018790,0.021502,0.026483,0.034583,0.047889,0.075242", \ - "0.016109,0.018789,0.021492,0.026450,0.034534,0.047849,0.075228", \ - "0.016108,0.018785,0.021487,0.026433,0.034507,0.047840,0.075227", \ - "0.016107,0.018783,0.021485,0.026423,0.034492,0.047821,0.075216", \ - "0.016098,0.018784,0.021485,0.026416,0.034482,0.047822,0.075207"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.168195,0.175448,0.181959,0.192772,0.210281,0.236852,0.279572", \ - "0.169667,0.176913,0.183409,0.194170,0.211573,0.238068,0.280758", \ - "0.175863,0.183106,0.189595,0.200323,0.217663,0.244101,0.286757", \ - "0.188867,0.196107,0.202592,0.213316,0.230613,0.257042,0.299687", \ - "0.207922,0.215128,0.221613,0.232326,0.249675,0.276086,0.318684", \ - "0.229337,0.236581,0.243058,0.253714,0.271079,0.297348,0.340063", \ - "0.252362,0.259604,0.266091,0.276725,0.293966,0.320323,0.362971"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016133,0.018846,0.021618,0.026740,0.034899,0.048065,0.075342", \ - "0.016114,0.018806,0.021539,0.026575,0.034709,0.047960,0.075284", \ - "0.016110,0.018790,0.021502,0.026483,0.034583,0.047889,0.075242", \ - "0.016109,0.018789,0.021492,0.026450,0.034534,0.047849,0.075228", \ - "0.016108,0.018785,0.021487,0.026433,0.034507,0.047840,0.075225", \ - "0.016107,0.018783,0.021485,0.026423,0.034492,0.047821,0.075216", \ - "0.016098,0.018784,0.021485,0.026416,0.034482,0.047822,0.075207"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.168211,0.175464,0.181975,0.192788,0.210298,0.236870,0.279580", \ - "0.169682,0.176929,0.183424,0.194186,0.211589,0.238085,0.280775", \ - "0.175879,0.183121,0.189611,0.200339,0.217680,0.244117,0.286774", \ - "0.188882,0.196123,0.202607,0.213332,0.230629,0.257058,0.299703", \ - "0.207936,0.215176,0.221628,0.232341,0.249690,0.276102,0.318704", \ - "0.229350,0.236595,0.243072,0.253729,0.271094,0.297364,0.340078", \ - "0.252376,0.259618,0.266104,0.276739,0.293980,0.320337,0.362985"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016135,0.018848,0.021620,0.026742,0.034901,0.048068,0.075324", \ - "0.016117,0.018809,0.021541,0.026578,0.034711,0.047963,0.075285", \ - "0.016109,0.018792,0.021504,0.026486,0.034586,0.047892,0.075244", \ - "0.016111,0.018791,0.021494,0.026452,0.034538,0.047852,0.075230", \ - "0.016111,0.018789,0.021489,0.026436,0.034510,0.047835,0.075225", \ - "0.016111,0.018783,0.021487,0.026426,0.034495,0.047823,0.075219", \ - "0.016100,0.018786,0.021487,0.026418,0.034484,0.047824,0.075209"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : clear; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.168195,0.175448,0.181959,0.192772,0.210281,0.236855,0.279564", \ - "0.169667,0.176913,0.183409,0.194170,0.211573,0.238068,0.280758", \ - "0.175863,0.183106,0.189595,0.200323,0.217663,0.244101,0.286757", \ - "0.188867,0.196107,0.202592,0.213316,0.230613,0.257042,0.299687", \ - "0.207922,0.215128,0.221613,0.232326,0.249675,0.276086,0.318691", \ - "0.229337,0.236581,0.243058,0.253714,0.271079,0.297348,0.340063", \ - "0.252362,0.259604,0.266091,0.276725,0.293966,0.320323,0.362971"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.016133,0.018846,0.021618,0.026740,0.034898,0.048072,0.075323", \ - "0.016114,0.018806,0.021539,0.026575,0.034709,0.047960,0.075284", \ - "0.016110,0.018790,0.021502,0.026483,0.034583,0.047880,0.075242", \ - "0.016109,0.018789,0.021492,0.026450,0.034534,0.047849,0.075228", \ - "0.016108,0.018785,0.021487,0.026433,0.034507,0.047840,0.075227", \ - "0.016107,0.018783,0.021485,0.026423,0.034492,0.047821,0.075216", \ - "0.016098,0.018784,0.021485,0.026416,0.034482,0.047822,0.075207"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.785368,8.603799,8.333330,8.129238,8.027870,8.038626,7.860315", \ - "8.759230,8.562085,8.316598,8.111286,7.993685,8.012197,7.982765", \ - "8.766644,8.593613,8.342597,8.091763,7.977131,7.983441,7.936344", \ - "8.820539,8.637407,8.395945,8.156958,8.058394,8.043873,7.786781", \ - "8.941507,8.781821,8.548758,8.304755,8.201811,8.219868,8.109380", \ - "9.280465,9.116571,8.835746,8.615229,8.524334,8.516202,8.469299", \ - "9.776429,9.534008,9.333689,9.086344,8.954056,8.935412,8.882717"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.920925,6.967888,7.041359,7.354872,7.503505,7.499942,7.593122", \ - "6.909812,6.966224,7.041027,7.344560,7.489431,7.466753,7.489762", \ - "6.902866,6.952344,7.029777,7.317725,7.487934,7.513809,7.559769", \ - "6.971424,7.009641,7.096248,7.391725,7.552247,7.593146,7.635581", \ - "7.133061,7.167321,7.256761,7.557156,7.700356,7.715704,7.800913", \ - "7.470148,7.489450,7.573350,7.868752,8.014045,8.008872,8.078607", \ - "7.902698,7.944733,8.035733,8.343837,8.470147,8.497370,8.389310"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.026882,7.795994,7.521749,7.327714,7.201561,7.016980,6.780810", \ - "7.991499,7.744873,7.534266,7.314198,7.186053,7.050807,6.943556", \ - "7.973931,7.808376,7.514749,7.305055,7.204597,7.109485,6.854974", \ - "7.999311,7.801192,7.507217,7.293560,7.173358,7.076763,7.046671", \ - "8.059252,7.821674,7.604479,7.357252,7.216576,7.085513,6.845427", \ - "8.391462,8.175863,7.881461,7.592899,7.440308,7.258260,7.192426", \ - "8.936763,8.668560,8.390543,8.029446,7.826723,7.582350,7.512638"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.026575,7.792057,7.521387,7.327031,7.201170,7.017523,6.778671", \ - "8.005229,7.744195,7.533930,7.315227,7.185580,7.050318,6.942958", \ - "7.973731,7.808062,7.514340,7.304699,7.204226,7.109004,6.854384", \ - "7.999009,7.800882,7.507222,7.293216,7.173010,7.076290,7.046105", \ - "8.058870,7.821297,7.604208,7.356798,7.217533,7.085051,6.844867", \ - "8.391220,8.175640,7.882725,7.592595,7.440015,7.332530,7.191925", \ - "8.936534,8.668439,8.390332,8.029174,7.826431,7.581980,7.512180"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.026494,7.795062,7.522048,7.327220,7.201115,7.104686,7.070061", \ - "7.982315,7.744548,7.533980,7.314178,7.185615,7.050396,6.943055", \ - "7.973606,7.808103,7.514400,7.304667,7.204202,7.109009,6.854507", \ - "7.999010,7.800840,7.506220,7.293193,7.172994,7.078644,7.046240", \ - "8.058874,7.822752,7.603858,7.356864,7.216946,7.085140,6.845012", \ - "8.390757,8.175550,7.882720,7.592577,7.440563,7.257936,7.192067", \ - "8.936012,8.666741,8.390315,8.029172,7.826519,7.582081,7.512338"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.790849,8.556058,8.280244,8.079779,7.871404,7.787242,7.576228", \ - "8.763718,8.507835,8.297273,8.074620,7.914803,7.814265,7.844892", \ - "8.736993,8.491505,8.277860,8.065604,7.928876,7.873812,7.618844", \ - "8.767621,8.583147,8.288349,8.072772,7.951618,7.860138,7.829311", \ - "8.883577,8.646391,8.348749,8.165717,8.030644,7.916074,7.672127", \ - "9.285659,9.071088,8.758812,8.488726,8.336621,8.154737,8.090053", \ - "9.875765,9.616543,9.368532,9.023847,8.785124,8.575698,8.506817"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.791178,8.556381,8.280598,8.080188,7.964162,7.767800,7.629507", \ - "8.767868,8.508146,8.297629,8.075025,7.922156,7.814794,7.706910", \ - "8.737121,8.493790,8.278208,8.065975,7.928513,7.874416,7.619457", \ - "8.768489,8.582997,8.288672,8.073127,7.951875,7.860747,7.842195", \ - "8.883892,8.646717,8.349049,8.166066,8.030991,7.916914,7.672634", \ - "9.285954,9.071470,8.759110,8.489036,8.239218,8.155283,8.090597", \ - "9.875977,9.616764,9.369909,9.024108,8.785884,8.603896,8.507371"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.791195,8.556402,8.280588,8.080200,7.871900,7.880054,7.623330", \ - "8.771247,8.508174,8.297647,8.075041,7.915134,7.814805,7.816565", \ - "8.737131,8.493821,8.278227,8.065990,7.928484,7.874347,7.619575", \ - "8.768520,8.583501,8.288691,8.073143,7.951946,7.860681,7.829985", \ - "8.883909,8.646727,8.349069,8.166080,8.031087,7.916980,7.672732", \ - "9.285965,9.071412,8.759101,8.489038,8.337128,8.155233,8.090619", \ - "9.875996,9.616778,9.369917,9.024120,8.785476,8.609801,8.507389"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.026203,7.794887,7.521030,7.326831,7.200757,7.050829,7.073070", \ - "7.981806,7.744249,7.533579,7.313903,7.185196,7.049865,6.942375", \ - "7.973318,7.807770,7.513992,7.304325,7.203797,7.108559,6.853804", \ - "7.998698,7.800550,7.505813,7.292835,7.172565,7.078135,7.045558", \ - "8.058582,7.821027,7.603868,7.356433,7.216555,7.084606,6.844355", \ - "8.390472,8.175335,7.882422,7.592250,7.440287,7.257449,7.191423", \ - "8.892224,8.666667,8.390048,8.028876,7.826163,7.581590,7.511524"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.791271,8.556491,8.280675,8.080259,7.962749,7.787201,7.846584", \ - "8.771414,8.508248,8.297726,8.075117,7.922251,7.814900,7.845312", \ - "8.737370,8.492250,8.278307,8.066075,7.929496,7.874439,7.619633", \ - "8.768138,8.583577,8.288778,8.073230,7.952155,7.860756,7.830068", \ - "8.883972,8.646797,8.349156,8.166159,8.031062,7.912539,7.672844", \ - "9.286010,9.071435,8.759175,8.489120,8.339223,8.155293,8.090727", \ - "9.876052,9.616843,9.369988,9.024183,8.785425,8.576204,8.507447"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("9.174958,8.943845,8.779289,8.497493,8.400017,8.422093,8.300820", \ - "9.145647,8.976852,8.705870,8.495581,8.411470,8.401068,8.131751", \ - "9.108261,8.921425,8.729608,8.455711,8.348950,8.309062,8.354788", \ - "9.108159,8.970197,8.713254,8.488128,8.392526,8.391120,8.328277", \ - "9.180252,8.930948,8.745555,8.506448,8.454772,8.447987,8.168178", \ - "9.195677,9.029052,8.836025,8.594085,8.492592,8.456434,8.327139", \ - "9.316102,9.160675,8.902195,8.671633,8.543759,8.485429,8.471299"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("9.174577,8.943400,8.780314,8.497011,8.399238,8.426664,8.300109", \ - "9.145282,8.976445,8.705630,8.495079,8.398925,8.400525,8.131169", \ - "9.107833,8.920970,8.729156,8.455338,8.348121,8.308222,8.354175", \ - "9.107743,8.969762,8.713525,8.487669,8.390287,8.390611,8.327685", \ - "9.179876,8.933160,8.746284,8.505520,8.454307,8.447911,8.166916", \ - "9.195333,9.028680,8.835647,8.593694,8.492070,8.456102,8.326606", \ - "9.315801,9.160315,8.901886,8.671220,8.543413,8.485074,8.470805"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("9.173164,8.943414,8.773822,8.529391,8.404119,8.420722,8.299428", \ - "9.144413,8.976088,8.705121,8.495095,8.417378,8.403327,8.411242", \ - "9.107681,8.920686,8.728864,8.452991,8.354305,8.308293,8.353504", \ - "9.145598,8.969520,8.696878,8.489835,8.416560,8.389758,8.327042", \ - "9.179158,8.930360,8.750678,8.507741,8.453983,8.444583,8.166313", \ - "9.206088,9.028458,8.835407,8.593408,8.493654,8.452765,8.492279", \ - "9.315685,9.160845,8.901672,8.672478,8.542757,8.483623,8.470286"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("9.144228,8.939727,8.741133,8.526857,8.400913,8.419198,8.293120", \ - "9.129864,8.901312,8.703986,8.492983,8.404141,8.389891,8.124135", \ - "9.052540,8.919599,8.727462,8.450746,8.342600,8.370480,8.347059", \ - "9.106748,8.915843,8.712551,8.485600,8.379197,8.384541,8.320792", \ - "9.177267,8.931828,8.741857,8.492126,8.451337,8.329717,8.429415", \ - "9.200066,9.027508,8.834091,8.591736,8.493958,8.444949,8.489017", \ - "9.321676,9.161045,8.900440,8.676458,8.538932,8.477232,8.464168"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("9.144705,8.940186,8.741629,8.527389,8.401595,8.419898,8.293276", \ - "9.130298,8.901777,8.704484,8.493513,8.394923,8.390554,8.124885", \ - "9.053000,8.920066,8.727957,8.451236,8.343461,8.317616,8.347849", \ - "9.107221,8.916717,8.712730,8.486098,8.380330,8.385176,8.321516", \ - "9.177713,8.932275,8.742301,8.492623,8.451892,8.330378,8.160955", \ - "9.200499,9.027912,8.834493,8.592152,8.494399,8.445550,8.489622", \ - "9.322007,9.161384,8.900764,8.676816,8.539366,8.477781,8.464770"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("9.144729,8.940213,8.741649,8.527407,8.401603,8.419875,8.345629", \ - "9.130326,8.901802,8.704504,8.493531,8.394821,8.390569,8.124887", \ - "9.053025,8.920089,8.727976,8.451239,8.343453,8.317645,8.347849", \ - "9.107243,8.916715,8.712740,8.486115,8.380285,8.385186,8.321525", \ - "9.177733,8.932298,8.742321,8.492640,8.451903,8.330383,8.430102", \ - "9.200497,9.027933,8.834513,8.592171,8.494424,8.445546,8.489644", \ - "9.322053,9.161407,8.900784,8.676836,8.539378,8.477785,8.464780"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("9.172729,8.942965,8.773346,8.529062,8.403516,8.424487,8.298663", \ - "9.143983,8.975635,8.704654,8.494601,8.416301,8.402701,8.410599", \ - "9.107235,8.920231,8.728397,8.452559,8.355007,8.307709,8.352725", \ - "9.145485,8.969062,8.696419,8.489449,8.415413,8.389135,8.326283", \ - "9.178723,8.929910,8.750273,8.507238,8.453446,8.443939,8.166279", \ - "9.205781,9.028035,8.834975,8.592956,8.493123,8.452214,8.491527", \ - "9.315315,9.160453,8.901288,8.672041,8.542297,8.483079,8.469599"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("9.144835,8.940324,8.741756,8.527497,8.401634,8.417635,8.293978", \ - "9.130414,8.901916,8.704610,8.493627,8.404689,8.390646,8.125004", \ - "9.053134,8.920202,8.728079,8.451359,8.343438,8.371187,8.347925", \ - "9.107338,8.917145,8.712939,8.486217,8.380151,8.385275,8.321622", \ - "9.177825,8.932398,8.742427,8.492730,8.451979,8.330444,8.161097", \ - "9.200547,9.028026,8.834610,8.592270,8.494540,8.445618,8.489773", \ - "9.322150,9.161487,8.900880,8.676929,8.539448,8.477831,8.464867"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.099437,0.102426,0.105395,0.110850,0.120736,0.139324,0.175947", \ - "0.100916,0.103903,0.106867,0.112327,0.122213,0.140798,0.177428", \ - "0.106073,0.109062,0.112028,0.117485,0.127372,0.145953,0.182578", \ - "0.111992,0.114981,0.117944,0.123403,0.133297,0.151876,0.188515", \ - "0.116637,0.119623,0.122587,0.128052,0.137928,0.156508,0.193147", \ - "0.119710,0.122695,0.125651,0.131116,0.141003,0.159574,0.196209", \ - "0.120813,0.123796,0.126762,0.132215,0.142100,0.160666,0.197308"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.119898,0.123445,0.126621,0.133436,0.149504,0.184599,0.256709", \ - "0.121376,0.124923,0.128100,0.134916,0.150985,0.186080,0.258185", \ - "0.126375,0.129928,0.133098,0.139913,0.155983,0.191076,0.263185", \ - "0.131998,0.135544,0.138717,0.145533,0.161598,0.196695,0.268810", \ - "0.136263,0.139806,0.142976,0.149783,0.165855,0.200932,0.273040", \ - "0.139112,0.142652,0.145818,0.152644,0.168663,0.203752,0.275869", \ - "0.140558,0.144095,0.147292,0.154094,0.170075,0.205151,0.277262"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.005765,0.007593,0.009251,0.012532,0.019461,0.034417,0.065951", \ - "0.005764,0.007594,0.009248,0.012529,0.019460,0.034417,0.065959", \ - "0.005762,0.007592,0.009250,0.012528,0.019458,0.034417,0.065956", \ - "0.005765,0.007591,0.009247,0.012529,0.019457,0.034416,0.065960", \ - "0.005766,0.007592,0.009248,0.012529,0.019459,0.034417,0.065964", \ - "0.005768,0.007594,0.009247,0.012531,0.019462,0.034408,0.065955", \ - "0.005770,0.007595,0.009250,0.012533,0.019462,0.034415,0.065966"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007671,0.011264,0.014240,0.021143,0.037718,0.072199,0.141380", \ - "0.007670,0.011265,0.014241,0.021144,0.037718,0.072199,0.141376", \ - "0.007678,0.011270,0.014237,0.021143,0.037719,0.072201,0.141379", \ - "0.007673,0.011267,0.014237,0.021144,0.037718,0.072202,0.141380", \ - "0.007671,0.011267,0.014240,0.021145,0.037719,0.072201,0.141381", \ - "0.007677,0.011271,0.014244,0.021146,0.037718,0.072198,0.141379", \ - "0.007692,0.011282,0.014253,0.021153,0.037720,0.072200,0.141382"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.124717,0.128149,0.131224,0.137996,0.154095,0.189259,0.261460", \ - "0.126380,0.129808,0.132887,0.139663,0.155758,0.190921,0.263125", \ - "0.132164,0.135593,0.138672,0.145448,0.161548,0.196721,0.268931", \ - "0.141668,0.145092,0.148174,0.154947,0.171046,0.206215,0.278422", \ - "0.156280,0.159711,0.162785,0.169589,0.185673,0.220823,0.293017", \ - "0.176752,0.180122,0.183208,0.189918,0.205926,0.240923,0.313004", \ - "0.200983,0.204164,0.207173,0.213654,0.229215,0.264005,0.335975"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007633,0.011064,0.013987,0.021007,0.037685,0.072189,0.141365", \ - "0.007630,0.011065,0.013991,0.021006,0.037684,0.072191,0.141364", \ - "0.007629,0.011065,0.013992,0.021007,0.037686,0.072190,0.141368", \ - "0.007632,0.011065,0.013991,0.021005,0.037685,0.072191,0.141360", \ - "0.007639,0.011067,0.013988,0.021004,0.037685,0.072191,0.141365", \ - "0.007743,0.011190,0.014093,0.021051,0.037693,0.072193,0.141362", \ - "0.008127,0.011616,0.014451,0.021201,0.037720,0.072201,0.141363"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.124717,0.128150,0.131224,0.137996,0.154096,0.189259,0.261461", \ - "0.126381,0.129809,0.132887,0.139664,0.155759,0.190921,0.263125", \ - "0.132163,0.135593,0.138674,0.145447,0.161550,0.196721,0.268931", \ - "0.141667,0.145092,0.148173,0.154946,0.171048,0.206215,0.278422", \ - "0.156281,0.159712,0.162785,0.169610,0.185675,0.220824,0.293018", \ - "0.176753,0.180122,0.183207,0.189918,0.205926,0.240923,0.313004", \ - "0.200983,0.204164,0.207174,0.213654,0.229215,0.264005,0.335975"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007632,0.011064,0.013987,0.021007,0.037685,0.072189,0.141365", \ - "0.007629,0.011065,0.013991,0.021005,0.037684,0.072192,0.141364", \ - "0.007629,0.011065,0.013992,0.021007,0.037686,0.072190,0.141368", \ - "0.007632,0.011065,0.013991,0.021005,0.037685,0.072191,0.141360", \ - "0.007639,0.011067,0.013988,0.021004,0.037685,0.072191,0.141365", \ - "0.007743,0.011190,0.014093,0.021051,0.037693,0.072193,0.141362", \ - "0.008127,0.011616,0.014451,0.021201,0.037720,0.072201,0.141363"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.124719,0.128149,0.131223,0.137996,0.154096,0.189261,0.261459", \ - "0.126381,0.129808,0.132886,0.139663,0.155759,0.190920,0.263125", \ - "0.132164,0.135592,0.138672,0.145448,0.161548,0.196722,0.268931", \ - "0.141668,0.145092,0.148174,0.154946,0.171047,0.206214,0.278422", \ - "0.156281,0.159712,0.162787,0.169590,0.185674,0.220823,0.293017", \ - "0.176752,0.180123,0.183207,0.189918,0.205927,0.240922,0.313004", \ - "0.200983,0.204163,0.207173,0.213654,0.229215,0.264006,0.335974"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007634,0.011064,0.013987,0.021007,0.037685,0.072188,0.141361", \ - "0.007630,0.011065,0.013991,0.021006,0.037684,0.072191,0.141364", \ - "0.007629,0.011065,0.013992,0.021007,0.037686,0.072190,0.141368", \ - "0.007632,0.011065,0.013991,0.021005,0.037685,0.072191,0.141360", \ - "0.007639,0.011067,0.013988,0.021004,0.037685,0.072191,0.141365", \ - "0.007743,0.011190,0.014093,0.021051,0.037693,0.072193,0.141362", \ - "0.008127,0.011616,0.014451,0.021201,0.037720,0.072201,0.141363"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.124691,0.128119,0.131197,0.137972,0.154071,0.189236,0.261440", \ - "0.126355,0.129785,0.132863,0.139636,0.155736,0.190899,0.263100", \ - "0.132135,0.135568,0.138645,0.145422,0.161525,0.196699,0.268907", \ - "0.141642,0.145072,0.148149,0.154926,0.171026,0.206194,0.278403", \ - "0.156215,0.159643,0.162728,0.169502,0.185581,0.220733,0.292920", \ - "0.176632,0.180029,0.183096,0.189811,0.205753,0.240764,0.312846", \ - "0.200796,0.204087,0.207095,0.213524,0.229160,0.263890,0.335806"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007634,0.011063,0.013986,0.021005,0.037684,0.072188,0.141363", \ - "0.007628,0.011064,0.013990,0.021006,0.037686,0.072192,0.141365", \ - "0.007629,0.011064,0.013991,0.021005,0.037687,0.072190,0.141367", \ - "0.007630,0.011064,0.013990,0.021004,0.037686,0.072189,0.141363", \ - "0.007637,0.011066,0.013987,0.021003,0.037684,0.072189,0.141363", \ - "0.007742,0.011190,0.014094,0.021051,0.037694,0.072193,0.141362", \ - "0.008123,0.011618,0.014451,0.021202,0.037721,0.072199,0.141363"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.124690,0.128119,0.131197,0.137972,0.154072,0.189239,0.261441", \ - "0.126354,0.129785,0.132863,0.139636,0.155738,0.190899,0.263101", \ - "0.132135,0.135567,0.138645,0.145422,0.161525,0.196697,0.268909", \ - "0.141642,0.145072,0.148149,0.154926,0.171026,0.206192,0.278404", \ - "0.156215,0.159643,0.162728,0.169502,0.185579,0.220730,0.292921", \ - "0.176632,0.180029,0.183096,0.189811,0.205745,0.240763,0.312846", \ - "0.200796,0.204087,0.207075,0.213524,0.229158,0.263888,0.335806"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007634,0.011063,0.013986,0.021005,0.037684,0.072189,0.141369", \ - "0.007629,0.011064,0.013990,0.021006,0.037686,0.072192,0.141366", \ - "0.007629,0.011064,0.013991,0.021005,0.037687,0.072190,0.141367", \ - "0.007630,0.011064,0.013990,0.021004,0.037686,0.072190,0.141363", \ - "0.007637,0.011066,0.013987,0.021003,0.037684,0.072190,0.141370", \ - "0.007742,0.011190,0.014094,0.021051,0.037694,0.072193,0.141362", \ - "0.008123,0.011618,0.014451,0.021202,0.037721,0.072200,0.141363"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.124690,0.128119,0.131197,0.137972,0.154071,0.189240,0.261438", \ - "0.126354,0.129785,0.132863,0.139636,0.155736,0.190899,0.263104", \ - "0.132135,0.135567,0.138645,0.145422,0.161525,0.196699,0.268907", \ - "0.141642,0.145072,0.148149,0.154926,0.171026,0.206194,0.278402", \ - "0.156215,0.159643,0.162728,0.169502,0.185581,0.220732,0.292919", \ - "0.176632,0.180029,0.183096,0.189811,0.205753,0.240764,0.312846", \ - "0.200796,0.204087,0.207075,0.213524,0.229160,0.263888,0.335806"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007634,0.011063,0.013986,0.021005,0.037684,0.072188,0.141359", \ - "0.007629,0.011064,0.013989,0.021006,0.037686,0.072192,0.141363", \ - "0.007629,0.011064,0.013991,0.021005,0.037687,0.072190,0.141367", \ - "0.007630,0.011064,0.013990,0.021004,0.037686,0.072189,0.141363", \ - "0.007637,0.011066,0.013987,0.021003,0.037684,0.072190,0.141370", \ - "0.007742,0.011190,0.014094,0.021051,0.037694,0.072193,0.141362", \ - "0.008123,0.011618,0.014451,0.021202,0.037721,0.072199,0.141363"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.124719,0.128149,0.131224,0.137996,0.154096,0.189261,0.261459", \ - "0.126381,0.129808,0.132887,0.139663,0.155759,0.190920,0.263124", \ - "0.132164,0.135592,0.138674,0.145448,0.161549,0.196721,0.268931", \ - "0.141666,0.145094,0.148175,0.154946,0.171047,0.206214,0.278421", \ - "0.156281,0.159711,0.162784,0.169610,0.185674,0.220823,0.293018", \ - "0.176752,0.180122,0.183207,0.189918,0.205927,0.240922,0.313004", \ - "0.200985,0.204163,0.207173,0.213654,0.229215,0.264004,0.335975"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007634,0.011064,0.013987,0.021007,0.037685,0.072188,0.141361", \ - "0.007630,0.011065,0.013991,0.021006,0.037684,0.072191,0.141364", \ - "0.007629,0.011065,0.013992,0.021007,0.037686,0.072190,0.141368", \ - "0.007632,0.011065,0.013991,0.021005,0.037685,0.072191,0.141360", \ - "0.007639,0.011067,0.013988,0.021004,0.037685,0.072191,0.141365", \ - "0.007743,0.011190,0.014093,0.021051,0.037693,0.072192,0.141362", \ - "0.008121,0.011616,0.014451,0.021201,0.037720,0.072201,0.141363"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.124690,0.128119,0.131197,0.137972,0.154072,0.189236,0.261440", \ - "0.126354,0.129785,0.132863,0.139636,0.155738,0.190899,0.263100", \ - "0.132135,0.135568,0.138645,0.145422,0.161525,0.196699,0.268907", \ - "0.141642,0.145072,0.148149,0.154926,0.171026,0.206194,0.278402", \ - "0.156215,0.159643,0.162728,0.169502,0.185579,0.220733,0.292920", \ - "0.176632,0.180029,0.183096,0.189811,0.205753,0.240764,0.312846", \ - "0.200796,0.204087,0.207075,0.213524,0.229161,0.263890,0.335806"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007634,0.011063,0.013986,0.021005,0.037684,0.072188,0.141363", \ - "0.007629,0.011064,0.013990,0.021006,0.037686,0.072192,0.141365", \ - "0.007629,0.011064,0.013991,0.021005,0.037687,0.072190,0.141367", \ - "0.007630,0.011064,0.013990,0.021004,0.037686,0.072189,0.141363", \ - "0.007637,0.011066,0.013987,0.021003,0.037684,0.072189,0.141363", \ - "0.007742,0.011190,0.014094,0.021051,0.037694,0.072193,0.141362", \ - "0.008123,0.011618,0.014451,0.021202,0.037721,0.072199,0.141363"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.201767,0.205331,0.208558,0.215430,0.231531,0.266659,0.338838", \ - "0.203140,0.206682,0.209895,0.216770,0.232882,0.268021,0.340217", \ - "0.209293,0.212818,0.216021,0.222886,0.239022,0.274156,0.346349", \ - "0.222282,0.225803,0.228997,0.235867,0.251987,0.287134,0.359328", \ - "0.241329,0.244811,0.248007,0.254868,0.271055,0.306204,0.378350", \ - "0.262736,0.266259,0.269446,0.276249,0.292466,0.327484,0.399750", \ - "0.285760,0.289279,0.292467,0.299252,0.315351,0.350465,0.422666"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007718,0.011351,0.014344,0.021222,0.037757,0.072219,0.141388", \ - "0.007684,0.011300,0.014294,0.021196,0.037752,0.072219,0.141389", \ - "0.007662,0.011272,0.014261,0.021180,0.037745,0.072216,0.141390", \ - "0.007658,0.011258,0.014251,0.021174,0.037745,0.072216,0.141389", \ - "0.007657,0.011252,0.014243,0.021169,0.037746,0.072218,0.141389", \ - "0.007651,0.011252,0.014239,0.021168,0.037743,0.072215,0.141390", \ - "0.007653,0.011248,0.014237,0.021164,0.037742,0.072213,0.141387"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.201767,0.205331,0.208558,0.215430,0.231531,0.266655,0.338842", \ - "0.203141,0.206682,0.209895,0.216771,0.232882,0.268021,0.340217", \ - "0.209293,0.212818,0.216021,0.222886,0.239022,0.274156,0.346350", \ - "0.222283,0.225803,0.228997,0.235867,0.251987,0.287134,0.359329", \ - "0.241330,0.244812,0.248007,0.254868,0.271056,0.306204,0.378351", \ - "0.262736,0.266259,0.269446,0.276249,0.292466,0.327484,0.399750", \ - "0.285761,0.289280,0.292468,0.299252,0.315352,0.350465,0.422667"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007718,0.011351,0.014344,0.021222,0.037757,0.072222,0.141390", \ - "0.007684,0.011300,0.014294,0.021197,0.037752,0.072219,0.141389", \ - "0.007662,0.011272,0.014261,0.021180,0.037746,0.072216,0.141390", \ - "0.007658,0.011258,0.014251,0.021174,0.037745,0.072216,0.141389", \ - "0.007657,0.011252,0.014243,0.021169,0.037746,0.072218,0.141389", \ - "0.007651,0.011252,0.014239,0.021168,0.037743,0.072215,0.141390", \ - "0.007653,0.011248,0.014237,0.021164,0.037742,0.072213,0.141387"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.201762,0.205326,0.208552,0.215423,0.231526,0.266654,0.338838", \ - "0.203136,0.206677,0.209890,0.216766,0.232877,0.268017,0.340213", \ - "0.209288,0.212813,0.216016,0.222882,0.239017,0.274152,0.346346", \ - "0.222278,0.225799,0.228992,0.235863,0.251982,0.287131,0.359325", \ - "0.241325,0.244844,0.248003,0.254864,0.271052,0.306198,0.378347", \ - "0.262731,0.266255,0.269442,0.276245,0.292462,0.327480,0.399749", \ - "0.285757,0.289276,0.292464,0.299248,0.315348,0.350462,0.422664"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007718,0.011351,0.014348,0.021221,0.037757,0.072219,0.141389", \ - "0.007684,0.011299,0.014294,0.021196,0.037750,0.072219,0.141390", \ - "0.007662,0.011272,0.014261,0.021180,0.037746,0.072216,0.141390", \ - "0.007658,0.011258,0.014248,0.021173,0.037746,0.072216,0.141389", \ - "0.007657,0.011252,0.014244,0.021169,0.037745,0.072212,0.141390", \ - "0.007653,0.011252,0.014239,0.021168,0.037743,0.072216,0.141392", \ - "0.007652,0.011248,0.014237,0.021164,0.037742,0.072213,0.141387"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.201744,0.205309,0.208536,0.215408,0.231511,0.266636,0.338826", \ - "0.203119,0.206660,0.209874,0.216750,0.232861,0.268002,0.340201", \ - "0.209271,0.212796,0.216000,0.222865,0.239001,0.274136,0.346334", \ - "0.222261,0.225782,0.228977,0.235847,0.251967,0.287117,0.359313", \ - "0.241309,0.244791,0.247987,0.254850,0.271036,0.306187,0.378334", \ - "0.262716,0.266239,0.269427,0.276231,0.292448,0.327468,0.399738", \ - "0.285745,0.289261,0.292449,0.299235,0.315335,0.350450,0.422653"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007717,0.011350,0.014344,0.021220,0.037757,0.072222,0.141389", \ - "0.007683,0.011299,0.014296,0.021196,0.037753,0.072219,0.141389", \ - "0.007667,0.011272,0.014260,0.021180,0.037745,0.072216,0.141390", \ - "0.007657,0.011257,0.014251,0.021173,0.037745,0.072216,0.141388", \ - "0.007656,0.011251,0.014242,0.021169,0.037746,0.072215,0.141388", \ - "0.007651,0.011251,0.014238,0.021168,0.037743,0.072216,0.141392", \ - "0.007654,0.011247,0.014236,0.021164,0.037742,0.072213,0.141387"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.201744,0.205309,0.208536,0.215408,0.231511,0.266636,0.338830", \ - "0.203119,0.206660,0.209874,0.216750,0.232861,0.268002,0.340201", \ - "0.209271,0.212796,0.216000,0.222865,0.239001,0.274136,0.346334", \ - "0.222261,0.225782,0.228977,0.235847,0.251967,0.287117,0.359313", \ - "0.241309,0.244791,0.247987,0.254850,0.271036,0.306187,0.378334", \ - "0.262716,0.266239,0.269427,0.276231,0.292448,0.327468,0.399738", \ - "0.285745,0.289261,0.292449,0.299235,0.315335,0.350449,0.422653"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007717,0.011350,0.014344,0.021220,0.037757,0.072222,0.141390", \ - "0.007683,0.011299,0.014296,0.021196,0.037753,0.072219,0.141389", \ - "0.007667,0.011272,0.014260,0.021180,0.037745,0.072216,0.141390", \ - "0.007657,0.011257,0.014251,0.021173,0.037745,0.072216,0.141388", \ - "0.007656,0.011251,0.014242,0.021169,0.037746,0.072215,0.141388", \ - "0.007651,0.011251,0.014238,0.021168,0.037743,0.072216,0.141392", \ - "0.007654,0.011247,0.014236,0.021164,0.037742,0.072213,0.141387"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.201744,0.205309,0.208536,0.215408,0.231511,0.266636,0.338829", \ - "0.203119,0.206660,0.209874,0.216750,0.232861,0.268002,0.340201", \ - "0.209271,0.212796,0.216000,0.222865,0.239001,0.274136,0.346334", \ - "0.222261,0.225782,0.228977,0.235847,0.251967,0.287117,0.359313", \ - "0.241309,0.244791,0.247987,0.254850,0.271036,0.306187,0.378336", \ - "0.262716,0.266239,0.269427,0.276231,0.292448,0.327468,0.399738", \ - "0.285745,0.289261,0.292449,0.299235,0.315335,0.350449,0.422653"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007717,0.011350,0.014344,0.021220,0.037757,0.072222,0.141393", \ - "0.007683,0.011299,0.014296,0.021195,0.037753,0.072219,0.141389", \ - "0.007667,0.011272,0.014260,0.021180,0.037745,0.072216,0.141390", \ - "0.007657,0.011257,0.014251,0.021173,0.037745,0.072216,0.141388", \ - "0.007656,0.011251,0.014242,0.021169,0.037746,0.072215,0.141389", \ - "0.007651,0.011251,0.014238,0.021168,0.037743,0.072216,0.141392", \ - "0.007654,0.011247,0.014236,0.021164,0.037742,0.072213,0.141387"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.201762,0.205326,0.208553,0.215425,0.231526,0.266651,0.338838", \ - "0.203136,0.206677,0.209890,0.216766,0.232877,0.268017,0.340214", \ - "0.209288,0.212813,0.216016,0.222882,0.239017,0.274152,0.346346", \ - "0.222278,0.225799,0.228992,0.235863,0.251982,0.287131,0.359325", \ - "0.241325,0.244844,0.248004,0.254864,0.271052,0.306198,0.378347", \ - "0.262732,0.266255,0.269442,0.276245,0.292462,0.327480,0.399749", \ - "0.285757,0.289276,0.292464,0.299248,0.315348,0.350462,0.422664"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007718,0.011351,0.014348,0.021223,0.037757,0.072222,0.141389", \ - "0.007684,0.011299,0.014294,0.021196,0.037750,0.072219,0.141390", \ - "0.007662,0.011272,0.014261,0.021180,0.037746,0.072216,0.141390", \ - "0.007658,0.011258,0.014248,0.021173,0.037746,0.072216,0.141389", \ - "0.007657,0.011252,0.014244,0.021169,0.037745,0.072212,0.141390", \ - "0.007653,0.011252,0.014239,0.021168,0.037743,0.072216,0.141392", \ - "0.007652,0.011248,0.014237,0.021164,0.037742,0.072213,0.141387"); - } - } - - timing () { - - related_pin : "RN"; - timing_type : preset; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.201744,0.205309,0.208536,0.215408,0.231511,0.266639,0.338826", \ - "0.203119,0.206660,0.209874,0.216750,0.232861,0.268002,0.340201", \ - "0.209271,0.212796,0.216000,0.222865,0.239001,0.274136,0.346334", \ - "0.222261,0.225782,0.228977,0.235847,0.251967,0.287117,0.359313", \ - "0.241309,0.244791,0.247987,0.254850,0.271036,0.306187,0.378341", \ - "0.262716,0.266239,0.269427,0.276231,0.292448,0.327467,0.399738", \ - "0.285745,0.289261,0.292449,0.299235,0.315335,0.350449,0.422653"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007717,0.011350,0.014344,0.021220,0.037757,0.072222,0.141389", \ - "0.007683,0.011299,0.014296,0.021196,0.037753,0.072219,0.141389", \ - "0.007667,0.011272,0.014260,0.021180,0.037745,0.072216,0.141390", \ - "0.007657,0.011257,0.014251,0.021173,0.037745,0.072216,0.141388", \ - "0.007656,0.011251,0.014242,0.021169,0.037746,0.072215,0.141390", \ - "0.007651,0.011251,0.014238,0.021168,0.037743,0.072216,0.141392", \ - "0.007654,0.011247,0.014236,0.021164,0.037742,0.072213,0.141387"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("6.920952,6.960731,7.034262,7.364637,7.494302,7.555077,7.578615", \ - "6.909779,6.951173,7.044557,7.352012,7.488713,7.457981,7.576194", \ - "6.902894,6.950866,7.024065,7.344587,7.485576,7.454914,7.551781", \ - "6.971451,7.007875,7.094366,7.402335,7.547898,7.593160,7.612909", \ - "7.133005,7.166673,7.259460,7.571768,7.700994,7.710873,7.682438", \ - "7.454854,7.495538,7.574792,7.872135,8.000843,8.059751,8.086631", \ - "7.902675,7.950649,8.035394,8.328146,8.475247,8.438562,8.555984"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("8.785347,8.617490,8.370445,8.113165,7.997792,7.998732,7.984421", \ - "8.738780,8.592241,8.332031,8.115137,7.974878,8.016923,7.894151", \ - "8.767832,8.578638,8.340759,8.076379,8.017856,8.004444,7.816758", \ - "8.821718,8.632685,8.387228,8.141380,8.028861,8.007336,8.004637", \ - "8.944898,8.810686,8.564032,8.300845,8.181401,8.208343,8.179254", \ - "9.280434,9.076866,8.829551,8.609373,8.492795,8.503355,8.474357", \ - "9.771795,9.528856,9.355152,9.097120,8.948859,8.954057,8.938905"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("8.030394,7.787510,7.569084,7.329542,7.224959,7.142210,6.998549", \ - "7.991376,7.739521,7.525350,7.308737,7.176952,6.990255,6.822587", \ - "7.973949,7.803624,7.505774,7.306857,7.175867,7.054519,7.071956", \ - "7.999310,7.796401,7.500513,7.286331,7.160677,7.016864,6.927713", \ - "8.062820,7.816792,7.595759,7.343481,7.206568,7.025249,7.063166", \ - "8.391452,8.170942,7.862106,7.601419,7.458959,7.197728,7.137591", \ - "8.936752,8.652229,8.375157,8.047734,7.822111,7.605185,7.468578"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("8.030088,7.787175,7.568747,7.328909,7.224476,7.141703,6.997948", \ - "8.005197,7.739166,7.525014,7.290940,7.176575,6.989766,6.821989", \ - "7.973749,7.803308,7.505365,7.306495,7.175494,7.054063,7.071363", \ - "7.999008,7.796075,7.500561,7.285987,7.160300,7.016390,6.927143", \ - "8.062443,7.816487,7.595489,7.341774,7.205884,7.024788,7.062611", \ - "8.391210,8.170719,7.861066,7.601129,7.458624,7.197311,7.137054", \ - "8.936523,8.652062,8.374947,8.047457,7.821821,7.604810,7.468108"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("8.030014,7.787189,7.568781,7.329065,7.224534,7.141672,7.083369", \ - "7.982318,7.739244,7.525064,7.314144,7.176562,6.989845,6.822081", \ - "7.973623,7.803351,7.505425,7.306476,7.175473,7.054709,7.071480", \ - "7.999009,7.796059,7.499413,7.285962,7.160291,7.016486,6.927281", \ - "8.062443,7.816406,7.595364,7.343166,7.206022,7.024878,7.062747", \ - "8.390756,8.170631,7.861037,7.601127,7.458587,7.197416,7.136935", \ - "8.936001,8.651174,8.374929,8.047461,7.821803,7.604781,7.468150"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("8.794355,8.551097,8.332594,8.071559,7.988406,7.905594,7.760729", \ - "8.763787,8.502971,8.294745,8.076567,7.850362,7.753821,7.799780", \ - "8.737013,8.567080,8.268879,8.065239,7.895634,7.854126,7.835680", \ - "8.767599,8.577881,8.279338,8.064320,7.959958,7.833008,7.710317", \ - "8.887114,8.641439,8.418501,8.167663,8.021260,7.851943,7.889670", \ - "9.285659,9.064921,8.744372,8.507169,8.207787,8.192993,8.008907", \ - "9.879533,9.681029,9.359209,9.011339,8.812914,8.588853,8.451034"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("8.794685,8.551418,8.332951,8.071969,7.988812,7.906131,7.761780", \ - "8.767563,8.503286,8.295092,8.076974,7.857131,7.754342,7.805809", \ - "8.737139,8.567284,8.269226,8.065479,7.896065,7.854150,7.836325", \ - "8.768467,8.578214,8.279659,8.064692,7.956365,7.835163,7.710883", \ - "8.887429,8.641765,8.418812,8.168017,8.021638,7.852433,7.890311", \ - "9.285954,9.065215,8.744659,8.507513,8.335315,8.193853,8.009563", \ - "9.879745,9.685869,9.360589,9.011600,8.813379,8.603934,8.451583"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("8.794701,8.551440,8.332971,8.071980,7.988919,7.906081,7.761270", \ - "8.771175,8.503312,8.295389,8.076992,7.850687,7.754358,7.586070", \ - "8.737150,8.567302,8.269245,8.065491,7.896077,7.854578,7.836438", \ - "8.768498,8.578235,8.279678,8.064707,7.955688,7.833785,7.710983", \ - "8.887446,8.641774,8.418831,8.168030,8.021703,7.852378,7.890403", \ - "9.285964,9.065222,8.744663,8.507484,8.208135,8.193493,8.009463", \ - "9.879764,9.682658,9.360598,9.011612,8.813271,8.589297,8.451602"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("8.029724,7.786867,7.568397,7.328679,7.224111,7.141129,7.086676", \ - "7.981813,7.738878,7.524664,7.315329,7.176136,6.989316,6.821401", \ - "7.973335,7.803018,7.505018,7.306116,7.175066,7.053528,7.070771", \ - "7.998698,7.795661,7.498685,7.285604,7.159864,7.015958,6.926597", \ - "8.062151,7.816263,7.594716,7.341408,7.205601,7.024344,7.062069", \ - "8.390470,8.170414,7.860739,7.600801,7.458191,7.279721,7.136265", \ - "8.892212,8.651013,8.374663,8.047164,7.821441,7.604451,7.467124"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "!CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("8.794777,8.551526,8.333047,8.072037,7.988874,7.906255,7.761495", \ - "8.771340,8.503391,8.295365,8.077069,7.857231,7.754455,7.800509", \ - "8.737389,8.567517,8.269326,8.065690,7.896166,7.854744,7.836477", \ - "8.768116,8.578310,8.279767,8.064778,7.960611,7.833597,7.711073", \ - "8.887509,8.641845,8.418910,8.168106,8.021709,7.852535,7.890393", \ - "9.286009,9.065283,8.744740,8.507573,8.348185,8.193546,8.009580", \ - "9.879820,9.681398,9.360669,9.011676,8.813287,8.589361,8.451665"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("9.174980,9.008025,8.775795,8.481559,8.470418,8.362187,8.433926", \ - "9.145649,8.972194,8.697145,8.483555,8.365945,8.375920,8.379542", \ - "9.108266,8.916622,8.720973,8.445202,8.397929,8.294821,8.235192", \ - "9.111693,8.965618,8.699696,8.472801,8.433496,8.280791,8.208176", \ - "9.180269,8.953691,8.734880,8.544536,8.425460,8.391850,8.416319", \ - "9.195672,9.024276,8.827350,8.578944,8.533540,8.532531,8.205687", \ - "9.319586,9.147834,8.893337,8.696564,8.533795,8.442846,8.599595"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("9.174599,9.007576,8.775577,8.545345,8.469821,8.401668,8.179255", \ - "9.145284,8.971759,8.696687,8.479778,8.407785,8.375379,8.379301", \ - "9.107839,8.916176,8.720520,8.444747,8.397437,8.293980,8.234589", \ - "9.111261,8.965178,8.699687,8.472343,8.433007,8.280261,8.207583", \ - "9.179893,8.950643,8.734459,8.544100,8.424992,8.390661,8.415637", \ - "9.195329,9.023902,8.826972,8.578553,8.533123,8.532054,8.205154", \ - "9.319285,9.147459,8.893027,8.696235,8.533451,8.442488,8.599012"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("9.173185,8.940961,8.747888,8.536691,8.366733,8.360884,8.178519", \ - "9.144415,8.971537,8.696185,8.517605,8.370296,8.374654,8.372181", \ - "9.107687,8.915918,8.720233,8.444404,8.397031,8.294236,8.233926", \ - "9.145532,8.964937,8.687943,8.512762,8.432398,8.279734,8.206946", \ - "9.179174,8.941484,8.734313,8.543734,8.424600,8.422023,8.401721", \ - "9.206074,9.023709,8.826735,8.578267,8.532760,8.531577,8.215779", \ - "9.319169,9.148215,8.892816,8.695966,8.532806,8.441061,8.599780"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("9.147742,8.937384,8.773558,8.526719,8.364160,8.394119,8.172304", \ - "9.129869,8.970362,8.695081,8.491442,8.416398,8.365114,8.374948", \ - "9.056198,8.914839,8.718840,8.440879,8.394461,8.354794,8.227511", \ - "9.110287,8.963753,8.686926,8.470286,8.429970,8.275702,8.394416", \ - "9.177281,8.937280,8.733021,8.541923,8.429561,8.297045,8.418950", \ - "9.200059,9.022615,8.825432,8.576604,8.530269,8.441583,8.210705", \ - "9.321672,9.148900,8.891595,8.694304,8.529087,8.434681,8.343384"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("9.148218,8.937851,8.779309,8.527865,8.364768,8.394818,8.172700", \ - "9.130303,8.970843,8.695578,8.492203,8.415440,8.365772,8.375739", \ - "9.056658,8.915305,8.719334,8.441390,8.395015,8.355266,8.228282", \ - "9.110760,8.964233,8.687384,8.470783,8.430549,8.276319,8.395067", \ - "9.177727,8.937884,8.733470,8.542851,8.430314,8.297580,8.419536", \ - "9.200491,9.023019,8.825833,8.577019,8.530732,8.442184,8.211283", \ - "9.322002,9.149239,8.891917,8.694671,8.529520,8.435226,8.343973"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("9.148242,8.937875,8.778182,8.527800,8.364783,8.394781,8.277790", \ - "9.130331,8.970865,8.695598,8.492289,8.415172,8.365787,8.375743", \ - "9.056683,8.915328,8.719352,8.441408,8.395030,8.355295,8.228294", \ - "9.110782,8.964253,8.687405,8.470801,8.430560,8.276331,8.395080", \ - "9.177747,8.937906,8.733490,8.542874,8.430340,8.297577,8.408990", \ - "9.200490,9.023040,8.825853,8.577037,8.530747,8.442182,8.211306", \ - "9.322048,9.149263,8.891938,8.694687,8.529531,8.435230,8.343984"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("9.172751,8.940519,8.746523,8.480649,8.366178,8.399431,8.177754", \ - "9.143986,8.971084,8.695718,8.517152,8.370511,8.374031,8.371524", \ - "9.107241,8.915465,8.719766,8.443914,8.396493,8.293668,8.233147", \ - "9.145419,8.964480,8.687486,8.512435,8.431855,8.279108,8.206188", \ - "9.178739,8.941073,8.733859,8.543254,8.424064,8.421585,8.401039", \ - "9.205766,9.023286,8.826304,8.577816,8.532262,8.530975,8.215051", \ - "9.318799,9.147818,8.892432,8.695554,8.532345,8.440517,8.599067"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "RN"; - when : "CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("9.148348,8.937990,8.779407,8.528048,8.364860,8.394872,8.173161", \ - "9.130419,8.970974,8.695704,8.492083,8.416738,8.365869,8.375837", \ - "9.056792,8.915442,8.719456,8.441511,8.395132,8.355501,8.228376", \ - "9.110877,8.964355,8.687518,8.470903,8.430640,8.276433,8.395210", \ - "9.177839,8.937955,8.733594,8.542722,8.430306,8.297514,8.419875", \ - "9.200540,9.023133,8.825951,8.577138,8.530846,8.442253,8.211455", \ - "9.322146,9.149343,8.892034,8.694769,8.529603,8.435281,8.344083"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFS_X1 - Cell Description : Pos.edge D-Flip-Flop with active high scan, and active low set, and drive strength X1 - *******************************************************************************************/ - - cell (SDFFS_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - preset : "!SN"; - } - - area : 6.650000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 107.724855; - - leakage_power () { - when : "!CK & !D & !SE & !SI & !SN & Q & !QN"; - value : 83.200480; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & SN & !Q & QN"; - value : 99.291225; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & SN & Q & !QN"; - value : 98.663895; - } - leakage_power () { - when : "!CK & !D & !SE & SI & !SN & Q & !QN"; - value : 93.726149; - } - leakage_power () { - when : "!CK & !D & !SE & SI & SN & !Q & QN"; - value : 109.816894; - } - leakage_power () { - when : "!CK & !D & !SE & SI & SN & Q & !QN"; - value : 109.189564; - } - leakage_power () { - when : "!CK & !D & SE & !SI & !SN & Q & !QN"; - value : 87.268808; - } - leakage_power () { - when : "!CK & !D & SE & !SI & SN & !Q & QN"; - value : 103.359553; - } - leakage_power () { - when : "!CK & !D & SE & !SI & SN & Q & !QN"; - value : 102.732223; - } - leakage_power () { - when : "!CK & !D & SE & SI & !SN & Q & !QN"; - value : 96.970082; - } - leakage_power () { - when : "!CK & !D & SE & SI & SN & !Q & QN"; - value : 116.203538; - } - leakage_power () { - when : "!CK & !D & SE & SI & SN & Q & !QN"; - value : 110.440209; - } - leakage_power () { - when : "!CK & D & !SE & !SI & !SN & Q & !QN"; - value : 97.097341; - } - leakage_power () { - when : "!CK & D & !SE & !SI & SN & !Q & QN"; - value : 116.330797; - } - leakage_power () { - when : "!CK & D & !SE & !SI & SN & Q & !QN"; - value : 110.567468; - } - leakage_power () { - when : "!CK & D & !SE & SI & !SN & Q & !QN"; - value : 102.486054; - } - leakage_power () { - when : "!CK & D & !SE & SI & SN & !Q & QN"; - value : 121.719510; - } - leakage_power () { - when : "!CK & D & !SE & SI & SN & Q & !QN"; - value : 115.956181; - } - leakage_power () { - when : "!CK & D & SE & !SI & !SN & Q & !QN"; - value : 101.215202; - } - leakage_power () { - when : "!CK & D & SE & !SI & SN & !Q & QN"; - value : 117.305837; - } - leakage_power () { - when : "!CK & D & SE & !SI & SN & Q & !QN"; - value : 116.678617; - } - leakage_power () { - when : "!CK & D & SE & SI & !SN & Q & !QN"; - value : 104.076511; - } - leakage_power () { - when : "!CK & D & SE & SI & SN & !Q & QN"; - value : 123.309967; - } - leakage_power () { - when : "!CK & D & SE & SI & SN & Q & !QN"; - value : 117.546748; - } - leakage_power () { - when : "CK & !D & !SE & !SI & !SN & Q & !QN"; - value : 93.875023; - } - leakage_power () { - when : "CK & !D & !SE & !SI & SN & !Q & QN"; - value : 94.814819; - } - leakage_power () { - when : "CK & !D & !SE & !SI & SN & Q & !QN"; - value : 107.859719; - } - leakage_power () { - when : "CK & !D & !SE & SI & !SN & Q & !QN"; - value : 104.400362; - } - leakage_power () { - when : "CK & !D & !SE & SI & SN & !Q & QN"; - value : 105.340158; - } - leakage_power () { - when : "CK & !D & !SE & SI & SN & Q & !QN"; - value : 118.385058; - } - leakage_power () { - when : "CK & !D & SE & !SI & !SN & Q & !QN"; - value : 97.943131; - } - leakage_power () { - when : "CK & !D & SE & !SI & SN & !Q & QN"; - value : 98.882817; - } - leakage_power () { - when : "CK & !D & SE & !SI & SN & Q & !QN"; - value : 111.927827; - } - leakage_power () { - when : "CK & !D & SE & SI & !SN & Q & !QN"; - value : 101.094015; - } - leakage_power () { - when : "CK & !D & SE & SI & SN & !Q & QN"; - value : 107.168050; - } - leakage_power () { - when : "CK & !D & SE & SI & SN & Q & !QN"; - value : 115.080251; - } - leakage_power () { - when : "CK & D & !SE & !SI & !SN & Q & !QN"; - value : 101.221384; - } - leakage_power () { - when : "CK & D & !SE & !SI & SN & !Q & QN"; - value : 107.295419; - } - leakage_power () { - when : "CK & D & !SE & !SI & SN & Q & !QN"; - value : 115.207620; - } - leakage_power () { - when : "CK & D & !SE & SI & !SN & Q & !QN"; - value : 106.610207; - } - leakage_power () { - when : "CK & D & !SE & SI & SN & !Q & QN"; - value : 112.684242; - } - leakage_power () { - when : "CK & D & !SE & SI & SN & Q & !QN"; - value : 120.596443; - } - leakage_power () { - when : "CK & D & SE & !SI & !SN & Q & !QN"; - value : 111.888975; - } - leakage_power () { - when : "CK & D & SE & !SI & SN & !Q & QN"; - value : 112.828771; - } - leakage_power () { - when : "CK & D & SE & !SI & SN & Q & !QN"; - value : 125.873671; - } - leakage_power () { - when : "CK & D & SE & SI & !SN & Q & !QN"; - value : 108.200653; - } - leakage_power () { - when : "CK & D & SE & SI & SN & !Q & QN"; - value : 114.274699; - } - leakage_power () { - when : "CK & D & SE & SI & SN & Q & !QN"; - value : 122.186889; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.149948; - fall_capacitance : 1.098754; - rise_capacitance : 1.149948; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "!SE & SN"; - sdf_cond : "NEG_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.023109,-0.009854,-0.005062", \ - "-0.030215,-0.016419,-0.009884", \ - "0.115202,0.129284,0.135589"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.011324,-0.002673,-0.004727", \ - "-0.012273,-0.004993,-0.010483", \ - "0.063724,0.069932,0.055961"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "!SE & SN"; - sdf_cond : "NEG_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.074911,0.069138,0.084164", \ - "0.092551,0.086823,0.101399", \ - "0.135282,0.129080,0.143076"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.065245,0.050986,0.044992", \ - "0.075897,0.061759,0.055344", \ - "0.083798,0.069721,0.063439"); - } - } - - internal_power () { - - when : "!CK & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.001027,6.978288,6.948384,6.959771,7.104673,7.460729,8.034620"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.983992,4.947688,4.909185,4.918651,5.057046,5.389003,5.935939"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.115322,7.092838,7.063020,7.074214,7.218573,7.575514,8.140967"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.157611,5.120705,5.083276,5.093358,5.232117,5.561490,6.109008"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.078230,7.055704,7.025976,7.037057,7.182002,7.537652,8.110655"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.002724,4.966878,4.927771,4.938116,5.078406,5.407058,5.954690"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.000602,6.977864,6.947946,6.959302,7.104158,7.459957,8.033954"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.987465,4.951154,4.912574,4.922124,5.060098,5.397530,5.939887"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.114893,7.087852,7.062580,7.073748,7.218041,7.574931,8.140511"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.161091,5.124309,5.086764,5.096830,5.235625,5.564996,6.112620"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.077797,7.055275,7.025535,7.036590,7.181506,7.537061,8.109988"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.006195,4.970346,4.931243,4.941588,5.080040,5.410643,5.958221"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716966,0.693181,0.681578,0.678010,0.675953,0.674954,0.673921"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.604300,-0.610126,-0.615550,-0.619110,-0.622282,-0.623974,-0.625969"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716546,0.692756,0.681161,0.677591,0.675085,0.674559,0.672953"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605611,-0.611432,-0.616845,-0.620404,-0.623138,-0.625265,-0.627257"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716950,0.693160,0.681565,0.677995,0.675488,0.674961,0.673354"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.604766,-0.610586,-0.615999,-0.619557,-0.622289,-0.624414,-0.626402"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650574,0.657673,0.659794,0.659227,0.658876,0.658269,0.658369"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424074,-0.489914,-0.546501,-0.568136,-0.580274,-0.587532,-0.593137"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650999,0.658232,0.660370,0.659374,0.659468,0.658857,0.658966"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.422520,-0.488345,-0.545174,-0.566746,-0.578766,-0.586397,-0.592100"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650474,0.657571,0.659696,0.659129,0.658384,0.658182,0.657785"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424561,-0.490399,-0.546979,-0.568612,-0.580355,-0.588003,-0.593604"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.315463,3.293072,3.265439,3.280494,3.427765,3.775209,4.338326"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.525975,1.490175,1.453044,1.468532,1.609702,1.940223,2.483262"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.379556,3.356731,3.326772,3.340645,3.485310,3.831513,4.389548"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.556719,1.520363,1.483250,1.499205,1.642323,1.974773,2.520204"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.314351,3.291486,3.263537,3.278668,3.425928,3.773355,4.336463"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.594917,1.559701,1.522827,1.536360,1.677313,2.008451,2.551981"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.313165,3.291221,3.263118,3.278144,3.425291,3.772732,4.335712"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.526023,1.490210,1.453033,1.468668,1.609652,1.940533,2.483415"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.379117,3.356474,3.326339,3.340186,3.484800,3.830937,4.388862"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.560190,1.523821,1.486703,1.502663,1.645763,1.978236,2.523821"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.313886,3.291000,3.263108,3.278211,3.425339,3.772786,4.335674"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.598388,1.563149,1.526296,1.539818,1.680698,2.011912,2.555711"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717113,0.692689,0.681168,0.677505,0.675461,0.674459,0.673225"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605896,-0.611637,-0.617097,-0.620764,-0.623956,-0.625653,-0.628087"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716546,0.692768,0.681159,0.677593,0.675521,0.674528,0.673491"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605373,-0.611199,-0.616636,-0.620201,-0.623342,-0.625067,-0.627063"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716219,0.692631,0.681037,0.677466,0.675431,0.674442,0.673147"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.608606,-0.611946,-0.617357,-0.620918,-0.624123,-0.625775,-0.628358"); - } - - } - - internal_power () { - - when : "CK & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650425,0.657576,0.659662,0.659076,0.658716,0.658109,0.657989"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424722,-0.490576,-0.547259,-0.568976,-0.580947,-0.588554,-0.594347"); - } - - } - - internal_power () { - - when : "CK & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650514,0.657793,0.660219,0.659633,0.659230,0.658657,0.658547"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423262,-0.489133,-0.545979,-0.567496,-0.579345,-0.586933,-0.592621"); - } - - } - - internal_power () { - - when : "CK & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650444,0.657490,0.659612,0.659046,0.658696,0.658092,0.657965"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424951,-0.490799,-0.547392,-0.569020,-0.581154,-0.588404,-0.594494"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.002657; - fall_capacitance : 1.894106; - rise_capacitance : 2.002657; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.018506,-0.010308,-0.013038", \ - "-0.036480,-0.028541,-0.031204", \ - "0.103679,0.109508,0.095334"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.014346,-0.002225,-0.002353", \ - "-0.019768,-0.007065,-0.009071", \ - "0.065876,0.079554,0.068190"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.077139,0.063067,0.074044", \ - "0.096933,0.082918,0.091905", \ - "0.133129,0.119457,0.130845"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.085679,0.079635,0.094283", \ - "0.093230,0.087529,0.101874", \ - "0.095322,0.089499,0.103699"); - } - } - - internal_power () { - - when : "!CK & !D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.567721,2.530666,2.575916,2.774706,3.145204,3.741242,4.599012"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.039605,0.008483,0.009703,0.161443,0.535134,1.155370,2.046546"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.567275,2.530237,2.575497,2.774285,3.144018,3.740845,4.597606"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.038255,0.007182,0.008411,0.160148,0.530627,1.154084,2.045224"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.567705,2.530642,2.575901,2.774691,3.144423,3.741247,4.598008"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.039148,0.008020,0.009252,0.160991,0.531472,1.154931,2.046074"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.010792,7.937826,7.982826,8.318820,9.002138,10.106260,11.700940"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.483676,4.444009,4.426652,4.654632,5.292086,6.415712,8.041142"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.122823,8.050047,8.094778,8.430949,9.114080,10.213310,11.807120"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.657348,4.618403,4.601127,4.828340,5.463271,6.584653,8.214503"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.088686,8.015174,8.060369,8.396976,9.078827,10.183230,11.777000"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.502777,4.464125,4.445668,4.672759,5.309373,6.430387,8.059045"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.462975,7.432780,7.525287,7.804067,8.278786,8.981173,9.936078"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.381085,6.354186,6.291376,6.390594,6.739752,7.371523,8.299842"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.433975,7.401508,7.492639,7.771506,8.243118,8.942752,9.894970"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.327218,6.311315,6.286943,6.413902,6.776536,7.415416,8.340336"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.478059,7.445302,7.538761,7.817648,8.291354,8.994589,9.949480"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.475696,6.449395,6.386825,6.483751,6.835115,7.465103,8.392739"); - } - - } - - internal_power () { - - when : "!CK & D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.411982,2.376328,2.425769,2.629855,3.004055,3.605180,4.465557"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.139001,0.112413,0.116207,0.258073,0.622735,1.243849,2.132862"); - } - - } - - internal_power () { - - when : "!CK & D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.413091,2.379685,2.429220,2.633311,3.007602,3.608154,4.468736"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.167748,0.141102,0.144610,0.286309,0.650651,1.272133,2.160711"); - } - - } - - internal_power () { - - when : "!CK & D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.411881,2.376226,2.425671,2.629757,3.003566,3.605093,4.464977"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.138513,0.111928,0.115728,0.257596,0.622653,1.243376,2.132397"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.567478,2.530112,2.575840,2.774838,3.144748,3.741100,4.597489"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.052512,0.022049,0.022802,0.173330,0.547884,1.168159,2.058919"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.567612,2.530479,2.575759,2.774564,3.144733,3.741088,4.598360"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.039272,0.008048,0.009230,0.160901,0.531041,1.154995,2.046167"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.567227,2.530075,2.575362,2.774169,3.144363,3.740735,4.597739"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.037820,0.006622,0.007861,0.158760,0.529569,1.153594,2.044203"); - } - - } - - internal_power () { - - when : "CK & !D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.322182,4.247010,4.295501,4.638502,5.318633,6.411618,7.985018"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.986579,0.947159,0.935431,1.169122,1.807628,2.925195,4.539723"); - } - - } - - internal_power () { - - when : "CK & !D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.384854,4.312581,4.361241,4.702654,5.379144,6.467951,8.039566"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.056535,1.016932,1.005538,1.241238,1.879113,2.991953,4.604477"); - } - - } - - internal_power () { - - when : "CK & !D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.319059,4.246446,4.295075,4.638528,5.318647,6.411503,7.984594"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.094756,1.054609,1.041955,1.275134,1.913194,3.023038,4.634060"); - } - - } - - internal_power () { - - when : "CK & D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.021185,3.988687,4.079786,4.358490,4.832480,5.532967,6.485067"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.522028,2.506124,2.487631,2.613520,2.979635,3.618633,4.537048"); - } - - } - - internal_power () { - - when : "CK & D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.987736,3.955485,4.046278,4.325219,4.799142,5.500230,6.452337"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.580883,2.565544,2.547373,2.674495,3.041988,3.674583,4.600198"); - } - - } - - internal_power () { - - when : "CK & D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.015235,3.982802,4.074315,4.354102,4.827606,5.531394,6.486174"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.660943,2.634308,2.571394,2.669479,3.021853,3.651798,4.576247"); - } - - } - - internal_power () { - - when : "CK & D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.412466,2.379317,2.428814,2.632655,3.006981,3.606964,4.467453"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.047737,-0.074617,-0.070459,0.072183,0.438570,1.060541,1.948235"); - } - - } - - internal_power () { - - when : "CK & D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.412818,2.379895,2.429126,2.632892,3.006988,3.607991,4.467929"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.167185,0.140549,0.144040,0.285673,0.650588,1.271744,2.160654"); - } - - } - - internal_power () { - - when : "CK & D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.411746,2.376433,2.425814,2.629514,3.003906,3.603915,4.464974"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.138209,0.111374,0.115276,0.257394,0.621871,1.243115,2.131599"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.899169; - fall_capacitance : 0.863892; - rise_capacitance : 0.899169; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SE & SN"; - sdf_cond : "SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.026346,-0.013584,-0.008538", \ - "-0.029769,-0.016007,-0.010810", \ - "0.107519,0.121588,0.128388"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.011805,-0.003440,-0.005845", \ - "-0.011947,-0.005101,-0.010931", \ - "0.051925,0.058389,0.044925"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SE & SN"; - sdf_cond : "SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.083412,0.077726,0.092083", \ - "0.101038,0.095291,0.109469", \ - "0.147083,0.140625,0.154114"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.067858,0.053847,0.047631", \ - "0.079968,0.065638,0.059615", \ - "0.091479,0.077415,0.070638"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542865,0.526095,0.519036,0.515520,0.513550,0.512615,0.511432"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.465643,-0.469472,-0.471924,-0.473261,-0.474784,-0.475121,-0.475726"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542444,0.525670,0.518618,0.515102,0.512669,0.512220,0.510447"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466947,-0.470771,-0.473215,-0.474550,-0.475620,-0.476407,-0.477010"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542846,0.526072,0.519022,0.515505,0.513072,0.512622,0.510848"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466109,-0.469931,-0.472375,-0.473708,-0.474777,-0.475561,-0.476160"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.968072,6.952503,6.931439,6.936242,7.036550,7.284290,7.704770"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.517574,4.491531,4.465648,4.470439,4.559788,4.790451,5.181521"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.074412,7.060286,7.037375,7.043721,7.148235,7.390917,7.811428"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.690628,4.664709,4.639822,4.642810,4.733803,4.963003,5.354531"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.044181,7.030427,7.007338,7.013408,7.111189,7.361303,7.780992"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.536804,4.510809,4.484482,4.489136,4.579077,4.808426,5.200149"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481265,0.488924,0.490623,0.490206,0.489924,0.489701,0.489834"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321661,-0.370626,-0.410967,-0.426297,-0.435315,-0.440474,-0.444473"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481984,0.489525,0.491437,0.490921,0.490655,0.490428,0.490270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.320202,-0.368655,-0.408896,-0.424331,-0.433219,-0.438198,-0.442840"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481163,0.488821,0.490524,0.490108,0.489365,0.489614,0.489165"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.322149,-0.371111,-0.411445,-0.426774,-0.435329,-0.440945,-0.444940"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.967462,6.951878,6.930794,6.935560,7.035784,7.283436,7.703609"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.521125,4.495181,4.469206,4.473501,4.563213,4.794319,5.185081"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.073866,7.059641,7.036739,7.043041,7.147483,7.390480,7.810466"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.695477,4.668452,4.644082,4.646255,4.737363,4.966403,5.358203"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.043569,7.029802,7.006681,7.012717,7.110429,7.360460,7.779840"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.540390,4.514276,4.488558,4.492417,4.582663,4.811993,5.204058"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542307,0.525468,0.518475,0.515047,0.513004,0.512065,0.510606"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467062,-0.470927,-0.473349,-0.474733,-0.476206,-0.476652,-0.477911"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542718,0.525959,0.518891,0.515380,0.513395,0.512463,0.511275"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466017,-0.469885,-0.472319,-0.473662,-0.475156,-0.475524,-0.476131"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542317,0.525545,0.518494,0.514977,0.513030,0.512103,0.510650"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467468,-0.471316,-0.473732,-0.475070,-0.476626,-0.476922,-0.478133"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.278429,3.264038,3.242457,3.250186,3.348990,3.590276,3.987801"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.131962,1.105878,1.081767,1.089539,1.181676,1.409953,1.796015"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.333781,3.321066,3.298564,3.307311,3.403887,3.642586,4.039989"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.094427,1.068440,1.044439,1.053269,1.146870,1.376277,1.763597"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.274958,3.260904,3.239299,3.246971,3.345877,3.587159,3.984673"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.132278,1.105558,1.081841,1.089616,1.181357,1.409672,1.795693"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.482424,0.489979,0.491875,0.491354,0.491053,0.490822,0.490686"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.319455,-0.367934,-0.408178,-0.423609,-0.432425,-0.437465,-0.442021"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481730,0.489292,0.491182,0.490668,0.489941,0.490129,0.489731"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321124,-0.369606,-0.409861,-0.425293,-0.433664,-0.439151,-0.443151"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481086,0.488752,0.490444,0.490025,0.489745,0.489522,0.489391"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.322550,-0.371532,-0.411856,-0.427180,-0.436191,-0.441345,-0.445913"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.274741,3.259718,3.238692,3.246334,3.345088,3.585881,3.983705"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.063765,1.038147,1.013724,1.022354,1.114704,1.342601,1.727461"); - } - - } - - internal_power () { - - when : "CK & D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.333164,3.320477,3.296915,3.306629,3.402869,3.641750,4.039060"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.097998,1.072004,1.047942,1.056838,1.150471,1.379867,1.767147"); - } - - } - - internal_power () { - - when : "CK & D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.274397,3.260360,3.238669,3.246286,3.345125,3.586307,3.983717"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.135855,1.109115,1.085407,1.093187,1.184923,1.413257,1.799254"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.338417; - fall_capacitance : 1.317773; - rise_capacitance : 1.338417; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.054032,-0.069039,-0.078413", \ - "-0.051587,-0.066350,-0.075081", \ - "-0.014175,-0.032467,-0.042604"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.178580,0.188743,0.197902", \ - "0.233293,0.243489,0.252440", \ - "0.425190,0.435614,0.444616"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.148601,0.177395,0.303383"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.692965,0.672117,0.663618,0.660113,0.657953,0.656355,0.655075"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.503102,-0.511329,-0.523615,-0.531182,-0.535344,-0.536576,-0.538506"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.692975,0.672122,0.663628,0.660121,0.657970,0.656373,0.655096"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.503079,-0.511302,-0.523583,-0.531150,-0.535328,-0.536543,-0.538473"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.692954,0.672107,0.663606,0.660102,0.657935,0.656338,0.655053"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.503124,-0.511354,-0.523647,-0.531214,-0.535359,-0.536608,-0.538538"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.681262,0.664018,0.657553,0.654431,0.652416,0.650769,0.649272"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.612026,-0.613756,-0.616133,-0.616777,-0.617355,-0.616708,-0.617069"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.681299,0.664040,0.657590,0.654462,0.652480,0.650835,0.649352"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.611939,-0.613654,-0.616009,-0.616654,-0.617297,-0.616585,-0.616946"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.681293,0.664036,0.657584,0.654457,0.652471,0.650825,0.649340"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.611952,-0.613670,-0.616028,-0.616672,-0.617305,-0.616603,-0.616964"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.692966,0.672114,0.663619,0.660112,0.657958,0.656360,0.655081"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.503093,-0.511319,-0.523604,-0.531171,-0.535339,-0.536565,-0.538495"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.681254,0.664013,0.657546,0.654425,0.652403,0.650756,0.649255"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.612044,-0.613777,-0.616158,-0.616802,-0.617367,-0.616733,-0.617094"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.707280,0.678051,0.666316,0.661281,0.657687,0.656548,0.653824"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.610025,-0.613208,-0.612670,-0.615779,-0.617046,-0.616906,-0.617706"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.706752,0.677520,0.665789,0.660753,0.657169,0.656031,0.653304"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.611210,-0.614391,-0.613847,-0.616958,-0.618240,-0.618088,-0.618909"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.707432,0.678152,0.666384,0.661455,0.657866,0.656731,0.654022"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.609343,-0.612645,-0.612081,-0.615314,-0.616422,-0.616213,-0.617041"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.706646,0.677393,0.661791,0.660638,0.657006,0.655867,0.653185"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.611669,-0.614843,-0.614343,-0.617442,-0.618624,-0.618561,-0.619265"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.707783,0.678517,0.666896,0.661783,0.658188,0.657051,0.654345"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.608247,-0.611565,-0.610970,-0.614190,-0.615308,-0.615089,-0.615937"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.707234,0.677970,0.662379,0.661219,0.657614,0.656472,0.653766"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.610056,-0.613218,-0.612698,-0.615796,-0.617036,-0.616918,-0.617694"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.706750,0.677519,0.665787,0.660753,0.657163,0.656025,0.653302"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.611190,-0.614373,-0.613834,-0.616944,-0.618217,-0.618076,-0.618883"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.706638,0.677386,0.661784,0.660631,0.656992,0.655853,0.653175"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.611635,-0.614870,-0.614375,-0.617634,-0.618645,-0.618589,-0.619217"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.979064; - fall_capacitance : 0.893468; - rise_capacitance : 0.979064; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.063747,0.074164,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.061610,0.061875,0.198733"); - } - } - - internal_power () { - - when : "!D & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.000795,6.969827,6.979106,7.164655,7.550071,8.162884,9.047553"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("15.686770,15.666600,15.643260,15.751010,16.083530,16.706410,17.666330"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.000181,3.972428,3.986302,4.155165,4.523093,5.097234,5.935841"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.420814,3.401726,3.390544,3.509824,3.837759,4.434003,5.327908"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.126891,7.090968,7.099635,7.284690,7.670252,8.281655,9.165282"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.000799,6.969833,6.979120,7.164667,7.550092,8.162901,9.047573"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("15.686790,15.666630,15.643290,15.751040,16.083540,16.706440,17.666360"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.000186,3.972434,3.986304,4.155172,4.523092,5.097251,5.935840"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.420836,3.401748,3.390581,3.509856,3.837788,4.434032,5.327936"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.126912,7.090997,7.099669,7.284725,7.670278,8.281690,9.165296"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.000448,6.969507,6.978772,7.164331,7.549763,8.162762,9.047503"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("15.686760,15.666580,15.643230,15.750990,16.083520,16.706380,17.666310"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.999997,3.972197,3.986144,4.155011,4.522927,5.097186,5.935830"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.420906,3.401810,3.390585,3.509897,3.837820,4.433980,5.327915"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.126535,7.090589,7.099289,7.284352,7.669880,8.281560,9.165247"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.140438,4.109317,4.120932,4.298722,4.663587,5.247136,6.091760"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.279600,3.258865,3.245165,3.363171,3.697808,4.302378,5.200550"); - } - - } - - internal_power () { - - when : "!D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.470261,7.433201,7.442992,7.619197,7.983299,8.561718,9.404298"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.139950,4.108531,4.120675,4.298078,4.662616,5.246253,6.091928"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.285337,3.262449,3.248542,3.367175,3.702884,4.303692,5.203470"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.140480,4.109336,4.120966,4.298748,4.663649,5.247204,6.091833"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.279675,3.258965,3.245290,3.363297,3.697864,4.302493,5.200671"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.404630,7.369221,7.380501,7.557561,7.918813,8.495258,9.339397"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.139992,4.108552,4.120652,4.298453,4.662611,5.246318,6.091954"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.285422,3.262602,3.248623,3.367300,3.703005,4.303863,5.203591"); - } - - } - - internal_power () { - - when : "D & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.140463,4.109329,4.120958,4.298743,4.663638,5.247194,6.091820"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.279668,3.258951,3.244884,3.363278,3.697855,4.302476,5.200654"); - } - - } - - internal_power () { - - when : "D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.471938,7.437007,7.444931,7.622600,7.985432,8.563844,9.406835"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.139975,4.108546,4.120644,4.298197,4.662611,5.246308,6.091955"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.285417,3.262588,3.248702,3.367282,3.702986,4.303845,5.203572"); - } - - } - - internal_power () { - - when : "D & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.000469,6.969478,6.978798,7.164351,7.549797,8.162787,9.047528"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("15.686790,15.666620,15.643270,15.751030,16.083540,16.706420,17.666350"); - } - - } - - internal_power () { - - when : "D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.999990,3.972190,3.986137,4.155000,4.522925,5.097209,5.935830"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.420932,3.401843,3.390626,3.509932,3.837860,4.434029,5.327966"); - } - - } - - internal_power () { - - when : "D & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.126565,7.090622,7.099354,7.284361,7.669885,8.281607,9.165265"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.140426,4.109311,4.120925,4.298715,4.663573,5.247121,6.091743"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.279583,3.258842,3.245139,3.363146,3.697795,4.302353,5.200525"); - } - - } - - internal_power () { - - when : "D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.470510,7.435561,7.443242,7.620948,7.983543,8.561951,9.404531"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.139938,4.108524,4.120667,4.298169,4.662614,5.246239,6.091928"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.285321,3.262430,3.248517,3.367150,3.702859,4.303667,5.203445"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.119600; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.061256,0.066209,0.071264,0.079610,0.093201,0.115773,0.154742", \ - "0.062738,0.067691,0.072748,0.081095,0.094687,0.117262,0.156228", \ - "0.067793,0.072742,0.077799,0.086152,0.099748,0.122325,0.161305", \ - "0.073390,0.078336,0.083393,0.091748,0.105353,0.127937,0.166922", \ - "0.077525,0.082471,0.087528,0.095886,0.109497,0.132093,0.171081", \ - "0.080219,0.085167,0.090220,0.098561,0.112154,0.134758,0.173747", \ - "0.081242,0.086196,0.091254,0.099615,0.113208,0.135817,0.174843"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.063156,0.068352,0.073918,0.083947,0.102730,0.139149,0.211411", \ - "0.064635,0.069832,0.075396,0.085429,0.104207,0.140629,0.212884", \ - "0.069782,0.074979,0.080545,0.090578,0.109360,0.145776,0.218034", \ - "0.075676,0.080877,0.086444,0.096480,0.115263,0.151684,0.223945", \ - "0.080287,0.085484,0.091050,0.101080,0.119839,0.156259,0.228531", \ - "0.083311,0.088501,0.094077,0.104105,0.122889,0.159300,0.231556", \ - "0.084340,0.089542,0.095109,0.105146,0.123914,0.160325,0.232581"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.010123,0.012145,0.014417,0.018547,0.026198,0.040461,0.069093", \ - "0.010123,0.012144,0.014420,0.018544,0.026198,0.040461,0.069098", \ - "0.010135,0.012158,0.014432,0.018559,0.026207,0.040467,0.069104", \ - "0.010147,0.012172,0.014442,0.018570,0.026217,0.040476,0.069115", \ - "0.010175,0.012201,0.014474,0.018604,0.026248,0.040495,0.069119", \ - "0.010213,0.012240,0.014515,0.018640,0.026274,0.040514,0.069111", \ - "0.010343,0.012361,0.014626,0.018740,0.026354,0.040566,0.069157"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.007545,0.010791,0.014693,0.022625,0.039026,0.072714,0.141121", \ - "0.007545,0.010789,0.014693,0.022624,0.039026,0.072714,0.141124", \ - "0.007545,0.010789,0.014692,0.022622,0.039025,0.072716,0.141122", \ - "0.007548,0.010790,0.014692,0.022623,0.039025,0.072718,0.141120", \ - "0.007550,0.010792,0.014693,0.022624,0.039028,0.072718,0.141121", \ - "0.007560,0.010800,0.014702,0.022629,0.039029,0.072716,0.141122", \ - "0.007573,0.010814,0.014711,0.022637,0.039034,0.072718,0.141120"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.088633,0.094498,0.100850,0.112057,0.131868,0.168696,0.240854", \ - "0.090207,0.096066,0.102420,0.113627,0.133436,0.170269,0.242427", \ - "0.096510,0.102373,0.108726,0.119941,0.139747,0.176581,0.248734", \ - "0.108927,0.114745,0.121044,0.132182,0.151919,0.188708,0.260841", \ - "0.124683,0.130331,0.136428,0.147271,0.166759,0.203379,0.275416", \ - "0.141506,0.147062,0.152991,0.163517,0.182652,0.219024,0.290899", \ - "0.159558,0.165079,0.170901,0.181114,0.199847,0.235916,0.307615"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.011041,0.014745,0.018906,0.026694,0.042166,0.074492,0.142111", \ - "0.011040,0.014743,0.018905,0.026693,0.042166,0.074492,0.142116", \ - "0.011042,0.014745,0.018908,0.026694,0.042165,0.074494,0.142113", \ - "0.011044,0.014746,0.018908,0.026697,0.042168,0.074491,0.142119", \ - "0.011062,0.014762,0.018925,0.026710,0.042169,0.074491,0.142122", \ - "0.011106,0.014797,0.018956,0.026733,0.042196,0.074502,0.142114", \ - "0.011158,0.014860,0.019026,0.026801,0.042224,0.074513,0.142127"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.088632,0.094498,0.100850,0.112057,0.131864,0.168695,0.240854", \ - "0.090206,0.096066,0.102420,0.113627,0.133436,0.170269,0.242427", \ - "0.096510,0.102373,0.108726,0.119941,0.139746,0.176581,0.248734", \ - "0.108926,0.114744,0.121044,0.132182,0.151918,0.188708,0.260840", \ - "0.124683,0.130331,0.136428,0.147271,0.166759,0.203379,0.275417", \ - "0.141506,0.147062,0.152992,0.163517,0.182652,0.219024,0.290899", \ - "0.159558,0.165079,0.170901,0.181114,0.199847,0.235916,0.307615"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.011041,0.014745,0.018906,0.026694,0.042167,0.074496,0.142111", \ - "0.011041,0.014743,0.018905,0.026693,0.042166,0.074492,0.142116", \ - "0.011042,0.014745,0.018908,0.026694,0.042165,0.074494,0.142113", \ - "0.011044,0.014746,0.018908,0.026697,0.042168,0.074491,0.142119", \ - "0.011062,0.014762,0.018925,0.026710,0.042169,0.074491,0.142122", \ - "0.011106,0.014797,0.018956,0.026733,0.042196,0.074502,0.142114", \ - "0.011158,0.014860,0.019026,0.026801,0.042224,0.074513,0.142127"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.088633,0.094498,0.100850,0.112057,0.131870,0.168696,0.240854", \ - "0.090207,0.096066,0.102420,0.113627,0.133436,0.170269,0.242427", \ - "0.096510,0.102373,0.108726,0.119941,0.139746,0.176581,0.248734", \ - "0.108927,0.114745,0.121044,0.132182,0.151918,0.188708,0.260840", \ - "0.124683,0.130331,0.136428,0.147271,0.166759,0.203379,0.275416", \ - "0.141506,0.147062,0.152992,0.163517,0.182652,0.219024,0.290899", \ - "0.159558,0.165079,0.170901,0.181114,0.199847,0.235916,0.307615"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.011042,0.014745,0.018906,0.026694,0.042166,0.074492,0.142111", \ - "0.011040,0.014743,0.018905,0.026693,0.042166,0.074492,0.142116", \ - "0.011042,0.014745,0.018908,0.026694,0.042165,0.074494,0.142113", \ - "0.011044,0.014746,0.018908,0.026697,0.042168,0.074491,0.142119", \ - "0.011062,0.014762,0.018925,0.026710,0.042169,0.074491,0.142122", \ - "0.011106,0.014797,0.018956,0.026733,0.042196,0.074502,0.142114", \ - "0.011158,0.014860,0.019026,0.026801,0.042224,0.074513,0.142127"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.088639,0.094505,0.100858,0.112071,0.131888,0.168726,0.240911", \ - "0.090208,0.096073,0.102425,0.113640,0.133457,0.170300,0.242482", \ - "0.096516,0.102385,0.108738,0.119951,0.139768,0.176615,0.248791", \ - "0.108933,0.114749,0.121050,0.132190,0.151937,0.188736,0.260890", \ - "0.124683,0.130330,0.136430,0.147277,0.166765,0.203399,0.275459", \ - "0.141504,0.147064,0.152995,0.163509,0.182660,0.219038,0.290941", \ - "0.159560,0.165076,0.170903,0.181121,0.199843,0.235938,0.307651"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.011042,0.014747,0.018912,0.026700,0.042179,0.074524,0.142153", \ - "0.011044,0.014744,0.018915,0.026699,0.042179,0.074515,0.142155", \ - "0.011043,0.014748,0.018912,0.026702,0.042178,0.074520,0.142157", \ - "0.011045,0.014748,0.018912,0.026704,0.042180,0.074515,0.142154", \ - "0.011063,0.014763,0.018929,0.026717,0.042181,0.074515,0.142159", \ - "0.011109,0.014800,0.018959,0.026736,0.042209,0.074527,0.142153", \ - "0.011159,0.014863,0.019029,0.026808,0.042240,0.074536,0.142166"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.088639,0.094505,0.100858,0.112071,0.131888,0.168726,0.240911", \ - "0.090208,0.096073,0.102425,0.113640,0.133457,0.170300,0.242482", \ - "0.096516,0.102385,0.108738,0.119951,0.139768,0.176615,0.248791", \ - "0.108933,0.114749,0.121050,0.132190,0.151937,0.188736,0.260890", \ - "0.124683,0.130330,0.136430,0.147277,0.166765,0.203399,0.275459", \ - "0.141504,0.147064,0.152995,0.163509,0.182660,0.219038,0.290941", \ - "0.159560,0.165076,0.170903,0.181121,0.199843,0.235938,0.307651"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.011042,0.014747,0.018912,0.026700,0.042179,0.074524,0.142153", \ - "0.011044,0.014742,0.018915,0.026699,0.042179,0.074515,0.142155", \ - "0.011043,0.014748,0.018912,0.026702,0.042178,0.074520,0.142157", \ - "0.011045,0.014748,0.018912,0.026704,0.042180,0.074515,0.142154", \ - "0.011063,0.014763,0.018929,0.026717,0.042181,0.074515,0.142159", \ - "0.011109,0.014800,0.018959,0.026736,0.042209,0.074527,0.142153", \ - "0.011159,0.014863,0.019029,0.026808,0.042240,0.074536,0.142166"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.088639,0.094505,0.100858,0.112071,0.131888,0.168729,0.240911", \ - "0.090208,0.096073,0.102425,0.113640,0.133457,0.170299,0.242482", \ - "0.096516,0.102385,0.108738,0.119951,0.139768,0.176615,0.248791", \ - "0.108933,0.114749,0.121050,0.132190,0.151937,0.188736,0.260890", \ - "0.124683,0.130330,0.136430,0.147277,0.166765,0.203399,0.275459", \ - "0.141504,0.147064,0.152995,0.163509,0.182660,0.219038,0.290941", \ - "0.159560,0.165076,0.170903,0.181121,0.199843,0.235938,0.307651"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.011042,0.014747,0.018912,0.026700,0.042179,0.074525,0.142153", \ - "0.011044,0.014742,0.018915,0.026699,0.042179,0.074515,0.142155", \ - "0.011043,0.014748,0.018912,0.026702,0.042178,0.074520,0.142157", \ - "0.011045,0.014748,0.018912,0.026704,0.042180,0.074515,0.142154", \ - "0.011063,0.014763,0.018929,0.026717,0.042181,0.074515,0.142159", \ - "0.011109,0.014800,0.018959,0.026736,0.042209,0.074527,0.142153", \ - "0.011159,0.014863,0.019029,0.026808,0.042240,0.074536,0.142166"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.088632,0.094498,0.100850,0.112057,0.131870,0.168693,0.240854", \ - "0.090205,0.096065,0.102420,0.113627,0.133436,0.170268,0.242427", \ - "0.096509,0.102373,0.108726,0.119941,0.139746,0.176580,0.248734", \ - "0.108926,0.114744,0.121044,0.132182,0.151918,0.188708,0.260840", \ - "0.124682,0.130331,0.136427,0.147271,0.166759,0.203379,0.275416", \ - "0.141506,0.147062,0.152992,0.163518,0.182652,0.219024,0.290899", \ - "0.159557,0.165078,0.170901,0.181114,0.199847,0.235916,0.307615"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.011041,0.014745,0.018906,0.026694,0.042166,0.074498,0.142111", \ - "0.011041,0.014743,0.018905,0.026693,0.042166,0.074492,0.142116", \ - "0.011042,0.014745,0.018908,0.026694,0.042165,0.074494,0.142113", \ - "0.011044,0.014746,0.018908,0.026697,0.042168,0.074491,0.142119", \ - "0.011062,0.014762,0.018925,0.026710,0.042169,0.074491,0.142122", \ - "0.011106,0.014797,0.018956,0.026733,0.042196,0.074502,0.142114", \ - "0.011158,0.014860,0.019026,0.026801,0.042224,0.074513,0.142127"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.088639,0.094505,0.100858,0.112071,0.131888,0.168734,0.240911", \ - "0.090208,0.096073,0.102425,0.113640,0.133457,0.170300,0.242482", \ - "0.096516,0.102385,0.108738,0.119951,0.139768,0.176615,0.248791", \ - "0.108933,0.114749,0.121050,0.132190,0.151937,0.188736,0.260890", \ - "0.124683,0.130330,0.136430,0.147277,0.166765,0.203399,0.275459", \ - "0.141504,0.147064,0.152995,0.163509,0.182660,0.219038,0.290941", \ - "0.159560,0.165076,0.170903,0.181121,0.199843,0.235938,0.307651"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.011042,0.014747,0.018912,0.026700,0.042179,0.074511,0.142153", \ - "0.011044,0.014742,0.018915,0.026699,0.042179,0.074515,0.142155", \ - "0.011043,0.014748,0.018912,0.026702,0.042178,0.074520,0.142156", \ - "0.011045,0.014748,0.018912,0.026704,0.042180,0.074515,0.142154", \ - "0.011063,0.014763,0.018929,0.026717,0.042181,0.074515,0.142159", \ - "0.011109,0.014800,0.018959,0.026736,0.042209,0.074527,0.142153", \ - "0.011159,0.014863,0.019029,0.026808,0.042240,0.074536,0.142166"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.199011,0.204659,0.210507,0.220604,0.239229,0.275472,0.347585", \ - "0.200571,0.206214,0.212035,0.222160,0.240753,0.277023,0.349150", \ - "0.206566,0.212218,0.218038,0.228170,0.246750,0.283037,0.355166", \ - "0.216385,0.222030,0.227854,0.237997,0.256588,0.292872,0.364991", \ - "0.231147,0.236787,0.242606,0.252719,0.271306,0.307575,0.379693", \ - "0.252572,0.258219,0.264049,0.274159,0.292649,0.328912,0.400995", \ - "0.281148,0.286818,0.292633,0.302724,0.321283,0.357525,0.429558"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.009125,0.012214,0.015807,0.023186,0.039091,0.072801,0.141211", \ - "0.009130,0.012216,0.015805,0.023186,0.039094,0.072820,0.141212", \ - "0.009125,0.012212,0.015805,0.023187,0.039096,0.072819,0.141198", \ - "0.009127,0.012214,0.015809,0.023186,0.039095,0.072823,0.141210", \ - "0.009122,0.012211,0.015803,0.023183,0.039085,0.072821,0.141200", \ - "0.009130,0.012218,0.015808,0.023186,0.039095,0.072806,0.141207", \ - "0.009172,0.012258,0.015847,0.023209,0.039100,0.072812,0.141216"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.199011,0.204659,0.210507,0.220604,0.239229,0.275471,0.347586", \ - "0.200570,0.206214,0.212035,0.222160,0.240753,0.277023,0.349150", \ - "0.206566,0.212218,0.218038,0.228170,0.246750,0.283037,0.355166", \ - "0.216385,0.222030,0.227854,0.237997,0.256588,0.292872,0.364991", \ - "0.231147,0.236787,0.242606,0.252719,0.271306,0.307575,0.379693", \ - "0.252572,0.258219,0.264049,0.274159,0.292649,0.328912,0.400995", \ - "0.281147,0.286818,0.292631,0.302724,0.321283,0.357510,0.429549"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.009125,0.012214,0.015807,0.023186,0.039091,0.072802,0.141212", \ - "0.009129,0.012216,0.015805,0.023186,0.039094,0.072820,0.141212", \ - "0.009125,0.012212,0.015805,0.023187,0.039096,0.072819,0.141198", \ - "0.009127,0.012214,0.015809,0.023186,0.039095,0.072823,0.141210", \ - "0.009122,0.012211,0.015803,0.023183,0.039085,0.072821,0.141200", \ - "0.009130,0.012218,0.015808,0.023186,0.039095,0.072804,0.141207", \ - "0.009172,0.012258,0.015846,0.023209,0.039100,0.072803,0.141210"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.199011,0.204659,0.210507,0.220604,0.239229,0.275472,0.347585", \ - "0.200561,0.206214,0.212035,0.222160,0.240753,0.277023,0.349150", \ - "0.206566,0.212218,0.218038,0.228170,0.246750,0.283037,0.355166", \ - "0.216385,0.222029,0.227854,0.237997,0.256588,0.292872,0.364991", \ - "0.231147,0.236787,0.242606,0.252719,0.271306,0.307575,0.379693", \ - "0.252572,0.258219,0.264049,0.274159,0.292649,0.328912,0.400995", \ - "0.281147,0.286818,0.292631,0.302724,0.321283,0.357510,0.429549"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.009125,0.012214,0.015807,0.023186,0.039092,0.072801,0.141210", \ - "0.009127,0.012216,0.015805,0.023186,0.039094,0.072820,0.141212", \ - "0.009125,0.012212,0.015805,0.023187,0.039096,0.072819,0.141198", \ - "0.009127,0.012214,0.015809,0.023186,0.039095,0.072823,0.141210", \ - "0.009122,0.012211,0.015803,0.023183,0.039085,0.072821,0.141200", \ - "0.009130,0.012218,0.015808,0.023186,0.039095,0.072806,0.141207", \ - "0.009172,0.012258,0.015846,0.023209,0.039100,0.072803,0.141210"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.199068,0.204715,0.210563,0.220660,0.239270,0.275520,0.347631", \ - "0.200617,0.206270,0.212091,0.222217,0.240806,0.277071,0.349189", \ - "0.206621,0.212272,0.218092,0.228223,0.246800,0.283086,0.355205", \ - "0.216438,0.222083,0.227907,0.238049,0.256637,0.292915,0.365029", \ - "0.231199,0.236838,0.242657,0.252769,0.271355,0.307619,0.379729", \ - "0.252621,0.258269,0.264098,0.274208,0.292695,0.328955,0.401032", \ - "0.281198,0.286869,0.292690,0.302774,0.321331,0.357557,0.429586"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.009128,0.012216,0.015808,0.023188,0.039090,0.072801,0.141212", \ - "0.009130,0.012218,0.015806,0.023188,0.039094,0.072821,0.141213", \ - "0.009125,0.012214,0.015808,0.023187,0.039093,0.072817,0.141199", \ - "0.009129,0.012216,0.015810,0.023188,0.039095,0.072823,0.141210", \ - "0.009122,0.012212,0.015804,0.023183,0.039085,0.072821,0.141201", \ - "0.009132,0.012219,0.015809,0.023187,0.039090,0.072804,0.141207", \ - "0.009176,0.012259,0.015848,0.023210,0.039101,0.072813,0.141209"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.199072,0.204720,0.210567,0.220665,0.239285,0.275522,0.347627", \ - "0.200621,0.206275,0.212095,0.222221,0.240810,0.277074,0.349192", \ - "0.206626,0.212277,0.218097,0.228228,0.246803,0.283090,0.355207", \ - "0.216442,0.222087,0.227912,0.238053,0.256641,0.292918,0.365031", \ - "0.231203,0.236842,0.242661,0.252773,0.271358,0.307622,0.379732", \ - "0.252625,0.258273,0.264101,0.274211,0.292698,0.328958,0.401034", \ - "0.281202,0.286873,0.292694,0.302777,0.321334,0.357560,0.429588"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.009129,0.012216,0.015808,0.023188,0.039091,0.072801,0.141210", \ - "0.009130,0.012218,0.015806,0.023188,0.039094,0.072821,0.141213", \ - "0.009125,0.012214,0.015808,0.023187,0.039093,0.072817,0.141199", \ - "0.009129,0.012216,0.015807,0.023188,0.039095,0.072823,0.141210", \ - "0.009122,0.012212,0.015804,0.023183,0.039085,0.072821,0.141201", \ - "0.009132,0.012219,0.015809,0.023188,0.039089,0.072804,0.141207", \ - "0.009174,0.012259,0.015848,0.023210,0.039101,0.072813,0.141209"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.199072,0.204719,0.210567,0.220664,0.239285,0.275522,0.347633", \ - "0.200621,0.206275,0.212095,0.222220,0.240809,0.277074,0.349192", \ - "0.206625,0.212276,0.218096,0.228227,0.246803,0.283089,0.355207", \ - "0.216442,0.222087,0.227911,0.238053,0.256641,0.292918,0.365031", \ - "0.231202,0.236841,0.242661,0.252773,0.271358,0.307622,0.379731", \ - "0.252624,0.258272,0.264101,0.274211,0.292698,0.328958,0.401034", \ - "0.281202,0.286872,0.292691,0.302777,0.321334,0.357559,0.429588"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.009129,0.012216,0.015808,0.023188,0.039091,0.072801,0.141212", \ - "0.009130,0.012218,0.015806,0.023188,0.039094,0.072821,0.141213", \ - "0.009125,0.012214,0.015808,0.023187,0.039093,0.072817,0.141199", \ - "0.009129,0.012216,0.015807,0.023188,0.039095,0.072823,0.141210", \ - "0.009122,0.012212,0.015804,0.023183,0.039085,0.072821,0.141201", \ - "0.009132,0.012219,0.015809,0.023188,0.039089,0.072804,0.141207", \ - "0.009174,0.012259,0.015847,0.023210,0.039101,0.072813,0.141209"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.199011,0.204659,0.210507,0.220604,0.239229,0.275473,0.347586", \ - "0.200561,0.206214,0.212035,0.222160,0.240753,0.277023,0.349150", \ - "0.206566,0.212218,0.218038,0.228170,0.246750,0.283037,0.355166", \ - "0.216385,0.222029,0.227854,0.237997,0.256588,0.292872,0.364991", \ - "0.231147,0.236787,0.242606,0.252719,0.271306,0.307575,0.379693", \ - "0.252572,0.258219,0.264049,0.274159,0.292649,0.328912,0.400995", \ - "0.281148,0.286818,0.292633,0.302724,0.321283,0.357510,0.429549"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.009125,0.012214,0.015807,0.023186,0.039092,0.072801,0.141214", \ - "0.009127,0.012216,0.015805,0.023186,0.039094,0.072820,0.141212", \ - "0.009125,0.012212,0.015805,0.023187,0.039096,0.072819,0.141198", \ - "0.009127,0.012214,0.015809,0.023186,0.039095,0.072823,0.141210", \ - "0.009122,0.012211,0.015803,0.023183,0.039085,0.072821,0.141200", \ - "0.009130,0.012218,0.015808,0.023186,0.039095,0.072804,0.141207", \ - "0.009172,0.012258,0.015847,0.023209,0.039100,0.072803,0.141210"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.199066,0.204714,0.210561,0.220659,0.239281,0.275519,0.347624", \ - "0.200617,0.206269,0.212089,0.222215,0.240805,0.277070,0.349189", \ - "0.206620,0.212271,0.218091,0.228222,0.246799,0.283085,0.355204", \ - "0.216437,0.222082,0.227905,0.238048,0.256636,0.292914,0.365028", \ - "0.231198,0.236837,0.242656,0.252768,0.271353,0.307618,0.379729", \ - "0.252620,0.258268,0.264097,0.274207,0.292694,0.328955,0.401031", \ - "0.281197,0.286868,0.292689,0.302773,0.321330,0.357556,0.429586"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("0.009128,0.012216,0.015808,0.023188,0.039093,0.072801,0.141210", \ - "0.009130,0.012218,0.015806,0.023188,0.039094,0.072821,0.141213", \ - "0.009125,0.012214,0.015807,0.023187,0.039093,0.072817,0.141199", \ - "0.009129,0.012216,0.015810,0.023188,0.039095,0.072823,0.141210", \ - "0.009122,0.012212,0.015804,0.023183,0.039085,0.072821,0.141201", \ - "0.009132,0.012219,0.015809,0.023187,0.039090,0.072805,0.141207", \ - "0.009176,0.012259,0.015848,0.023210,0.039101,0.072813,0.141209"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.089984,5.112794,5.113264,5.123980,5.148437,5.147092,5.041284", \ - "5.069804,5.102138,5.088838,5.113205,5.136443,5.141113,5.153144", \ - "5.076394,5.078465,5.092990,5.103633,5.127315,5.148510,5.058858", \ - "5.135965,5.145492,5.150863,5.161048,5.185984,5.203278,5.210536", \ - "5.301736,5.305369,5.309205,5.322164,5.349632,5.366581,5.358376", \ - "5.609825,5.627776,5.621897,5.625343,5.633416,5.660221,5.602918", \ - "6.094094,6.086874,6.095936,6.099570,6.123105,6.129890,6.108713"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("4.600795,4.687896,4.759812,4.879031,4.998501,5.036755,5.004438", \ - "4.588331,4.677131,4.743657,4.881645,4.988203,5.026842,4.950232", \ - "4.581198,4.671881,4.739696,4.876941,4.986781,5.015810,5.031942", \ - "4.641884,4.731201,4.800452,4.934045,5.046349,5.071246,5.105695", \ - "4.813931,4.894945,4.968885,5.099652,5.212938,5.233778,5.184333", \ - "5.132849,5.214704,5.274442,5.408420,5.505487,5.462268,5.572493", \ - "5.573915,5.661573,5.723038,5.871105,5.978081,5.965942,5.996068"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.781738,3.798007,3.822055,3.879060,3.870716,3.762773,3.814755", \ - "3.759469,3.783274,3.820495,3.868152,3.887509,3.883110,3.890936", \ - "3.768616,3.769794,3.794219,3.844104,3.845190,3.838387,3.833104", \ - "3.858639,3.861512,3.873115,3.907570,3.939497,3.933454,3.899316", \ - "4.124709,4.076134,4.083974,4.092051,4.069345,4.059951,4.090400", \ - "4.480244,4.404880,4.362217,4.366811,4.314429,4.300138,4.231635", \ - "4.931630,4.819310,4.765106,4.714226,4.701805,4.571548,4.626799"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.781649,3.797913,3.822176,3.878939,3.847842,3.762608,3.814538", \ - "3.759355,3.783181,3.820389,3.868032,3.887007,3.882913,3.890725", \ - "3.768525,3.769696,3.794113,3.843985,3.845051,3.838216,3.832894", \ - "3.858548,3.861413,3.873010,3.907453,3.939358,3.933286,3.899114", \ - "4.124622,4.076050,4.083874,4.091943,4.069212,4.059790,4.090192", \ - "4.480169,4.404799,4.362123,4.366712,4.314311,4.299994,4.231450", \ - "4.931575,4.819251,4.765039,4.714153,4.701762,4.571419,4.626632"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.781945,3.798216,3.822583,3.879313,3.857384,3.763148,3.815212", \ - "3.759665,3.783484,3.820719,3.868403,3.834221,3.883465,3.891396", \ - "3.768814,3.770000,3.794442,3.844356,3.845491,3.838755,3.833557", \ - "3.858833,3.861721,3.873334,3.907815,3.939789,3.933816,3.899765", \ - "4.124893,4.076334,4.084182,4.092285,4.069620,4.060290,4.090823", \ - "4.480400,4.405048,4.362388,4.367011,4.314673,4.300450,4.232028", \ - "4.931744,4.819436,4.765241,4.714388,4.702057,4.571810,4.627145"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.711327,3.716965,3.744175,3.812681,3.822449,3.835075,3.744564", \ - "3.691072,3.694680,3.751873,3.799318,3.813979,3.791267,3.822231", \ - "3.700642,3.712444,3.725915,3.775760,3.776616,3.770114,3.765205", \ - "3.816592,3.794449,3.805586,3.839974,3.871499,3.866171,3.832391", \ - "4.058066,3.996989,4.017081,4.026471,4.001967,3.993089,4.023944", \ - "4.414546,4.338321,4.295303,4.299748,4.247739,4.233838,4.165527", \ - "4.865928,4.753931,4.699548,4.641195,4.638255,4.505457,4.561404"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.711409,3.717033,3.744254,3.812945,3.822566,3.835262,3.744716", \ - "3.691154,3.694733,3.751960,3.799411,3.814113,3.791360,3.822456", \ - "3.700725,3.712514,3.725994,3.775863,3.776730,3.770254,3.765423", \ - "3.816666,3.794530,3.805657,3.840053,3.871601,3.866337,3.832559", \ - "4.058136,3.997065,4.017157,4.026554,4.002057,3.993207,4.024088", \ - "4.414606,4.338392,4.295363,4.299833,4.247833,4.233996,4.165736", \ - "4.865975,4.753986,4.699590,4.641256,4.638331,4.505534,4.561551"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.711752,3.717386,3.744640,3.813247,3.823057,3.835782,3.745470", \ - "3.691497,3.695086,3.752350,3.799843,3.814639,3.791955,3.823237", \ - "3.701068,3.712870,3.726379,3.776300,3.777238,3.770864,3.766183", \ - "3.817004,3.794893,3.806034,3.840471,3.872092,3.866960,3.833285", \ - "4.058457,3.997411,4.017521,4.026955,4.002527,3.993773,4.024792", \ - "4.414885,4.338695,4.295678,4.300194,4.248255,4.234546,4.166427", \ - "4.866188,4.754220,4.699840,4.641532,4.638680,4.505982,4.562149"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.781678,3.797959,3.823131,3.878968,3.856566,3.861161,3.814611", \ - "3.759379,3.783229,3.820419,3.868062,3.833817,3.882985,3.890792", \ - "3.768549,3.769725,3.794144,3.844012,3.845093,3.838274,3.832961", \ - "3.858574,3.861441,3.873042,3.907482,3.939402,3.933338,3.899185", \ - "4.124645,4.076121,4.083902,4.091962,4.069253,4.059844,4.090267", \ - "4.480187,4.404818,4.362146,4.366725,4.314344,4.300035,4.231505", \ - "4.931584,4.819262,4.765054,4.713869,4.701783,4.571460,4.626685"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("3.711665,3.717314,3.744557,3.813137,3.822965,3.835569,3.745298", \ - "3.691412,3.695012,3.752258,3.799746,3.814497,3.791819,3.822998", \ - "3.700982,3.712797,3.726296,3.776193,3.777118,3.770728,3.765976", \ - "3.816926,3.794808,3.805959,3.840388,3.871986,3.866785,3.833114", \ - "4.058385,3.997330,4.017440,4.026867,4.002427,3.993653,4.024640", \ - "4.414822,4.338620,4.295614,4.300103,4.248156,4.234379,4.166199", \ - "4.866138,4.754160,4.699787,4.641473,4.638600,4.505901,4.561995"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.710570,5.819376,5.895508,5.977796,6.055053,6.130187,6.009513", \ - "5.683387,5.791880,5.883649,5.966532,6.038585,6.048310,6.085344", \ - "5.682138,5.775707,5.871741,5.966841,6.029671,6.071979,6.036977", \ - "5.813755,5.894067,5.939044,6.032417,6.068119,6.109778,6.016989", \ - "6.056494,6.101750,6.152275,6.206162,6.276016,6.248581,6.219318", \ - "6.472781,6.476836,6.476600,6.521156,6.528317,6.478476,6.543101", \ - "7.070448,7.045308,7.003997,7.024539,7.039548,7.048012,7.005471"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.710402,5.819204,5.895328,5.977610,6.054836,6.130194,6.009352", \ - "5.683109,5.791709,5.883407,5.966346,6.038438,6.048089,6.085103", \ - "5.681974,5.775537,5.871567,5.966637,6.029473,6.071761,6.036738", \ - "5.813597,5.893904,5.938881,6.032240,6.067927,6.109567,6.016750", \ - "6.056347,6.101609,6.152113,6.206033,6.275845,6.248379,6.219087", \ - "6.472649,6.476699,6.476451,6.521005,6.528153,6.477994,6.542892", \ - "7.070335,7.045191,7.034427,7.024408,7.039403,7.048463,7.000124"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.710933,5.819745,5.895897,5.978203,6.050525,6.130673,6.009889", \ - "5.683791,5.792247,5.883818,5.966934,6.039052,6.048788,6.085872", \ - "5.682495,5.776066,5.872119,5.967190,6.030097,6.072449,6.037499", \ - "5.814096,5.894419,5.939414,6.032795,6.068526,6.110237,6.017496", \ - "6.056811,6.102081,6.152610,6.206550,6.276406,6.249011,6.219803", \ - "6.473061,6.477126,6.476894,6.521475,6.528666,6.478876,6.543558", \ - "7.070681,7.045551,7.034803,7.024809,7.039850,7.048986,7.000735"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.709466,5.818332,5.896733,5.983150,6.016272,6.130992,6.012014", \ - "5.682273,5.790753,5.884519,5.966155,6.045064,6.049054,6.087737", \ - "5.683329,5.776975,5.872043,5.968345,6.029515,6.114134,6.039335", \ - "5.812742,5.893084,5.938056,6.031774,6.067919,6.110350,6.019374", \ - "6.057885,6.102526,6.153407,6.205850,6.274021,6.249419,6.221672", \ - "6.471768,6.475907,6.478533,6.521928,6.528163,6.478865,6.545337", \ - "7.069432,7.044357,7.003008,7.023901,7.043317,7.049257,7.002474"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.709499,5.818363,5.896941,5.983963,6.059256,6.131437,6.011882", \ - "5.682289,5.790776,5.884717,5.966583,6.045672,6.049231,6.088047", \ - "5.683535,5.777200,5.872262,5.968773,6.029632,6.111734,6.039627", \ - "5.812765,5.893125,5.957046,6.031834,6.068002,6.110567,6.019600", \ - "6.058298,6.102672,6.153594,6.205837,6.274009,6.249555,6.221868", \ - "6.471774,6.475934,6.478753,6.522215,6.528259,6.479022,6.545606", \ - "7.069357,7.044367,7.003003,7.023934,7.042644,7.049371,7.002662"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.710129,5.818995,5.897576,5.984814,6.052056,6.132247,6.013125", \ - "5.682909,5.791406,5.885391,5.967186,6.046359,6.050021,6.088936", \ - "5.684141,5.777803,5.872914,5.969425,6.030343,6.112707,6.040502", \ - "5.813368,5.893746,5.957540,6.032484,6.068676,6.111329,6.020429", \ - "6.058867,6.103255,6.154160,6.206433,6.274663,6.250260,6.222663", \ - "6.472274,6.476451,6.479260,6.522751,6.528854,6.479704,6.546391", \ - "7.069787,7.044812,7.034865,7.024411,7.043216,7.049966,7.003367"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.710439,5.819245,5.895380,5.977662,6.047939,6.130258,6.065035", \ - "5.683299,5.791749,5.883308,5.966397,6.038496,6.048162,6.085179", \ - "5.682011,5.775575,5.871611,5.966664,6.029533,6.071833,6.036814", \ - "5.813632,5.893941,5.938937,6.032291,6.067987,6.109635,6.016832", \ - "6.056377,6.101643,6.152153,6.206080,6.275898,6.248447,6.219167", \ - "6.472674,6.476725,6.476483,6.521043,6.528199,6.478050,6.542951", \ - "7.070352,7.045210,7.003899,7.024439,7.039442,7.048515,7.000184"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); - values ("5.710109,5.818978,5.897355,5.983667,6.017202,6.131778,6.012625", \ - "5.682920,5.791398,5.885063,5.966705,6.045656,6.049831,6.088606", \ - "5.683911,5.777547,5.872646,5.968956,6.030241,6.115710,6.040191", \ - "5.813347,5.893706,5.938680,6.032417,6.068597,6.111096,6.020185", \ - "6.058320,6.103097,6.153956,6.206487,6.274722,6.250117,6.222451", \ - "6.472289,6.476436,6.479012,6.522420,6.528759,6.481295,6.546114", \ - "7.069875,7.044811,7.003471,7.024386,7.044075,7.049851,7.003177"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.084709,0.088080,0.091523,0.097447,0.107761,0.126640,0.163353", \ - "0.086193,0.089563,0.093002,0.098924,0.109236,0.128117,0.164829", \ - "0.091339,0.094708,0.098153,0.104076,0.114387,0.133267,0.169977", \ - "0.097236,0.100607,0.104050,0.109975,0.120288,0.139169,0.175886", \ - "0.101842,0.105210,0.108654,0.114577,0.124865,0.143746,0.180466", \ - "0.104874,0.108231,0.111682,0.117600,0.127912,0.146787,0.183497", \ - "0.105908,0.109270,0.112714,0.118640,0.128940,0.147810,0.184524"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.097996,0.102640,0.107238,0.115692,0.132969,0.168633,0.240921", \ - "0.099480,0.104122,0.108723,0.117178,0.134453,0.170118,0.242404", \ - "0.104548,0.109189,0.113786,0.122239,0.139514,0.175175,0.247467", \ - "0.110152,0.114788,0.119386,0.127836,0.145112,0.180777,0.253069", \ - "0.114306,0.118944,0.123539,0.131990,0.149260,0.184921,0.257197", \ - "0.117012,0.121649,0.126243,0.134672,0.151915,0.187565,0.259861", \ - "0.118105,0.122733,0.127320,0.135757,0.152966,0.188593,0.260892"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005511,0.007182,0.009020,0.012515,0.019573,0.034464,0.065836", \ - "0.005510,0.007182,0.009018,0.012517,0.019574,0.034464,0.065836", \ - "0.005508,0.007181,0.009017,0.012517,0.019571,0.034464,0.065839", \ - "0.005509,0.007182,0.009018,0.012515,0.019574,0.034458,0.065824", \ - "0.005511,0.007182,0.009021,0.012516,0.019566,0.034461,0.065835", \ - "0.005510,0.007182,0.009023,0.012517,0.019574,0.034451,0.065824", \ - "0.005514,0.007185,0.009019,0.012517,0.019568,0.034463,0.065840"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.007394,0.010514,0.014170,0.021727,0.038095,0.072305,0.141343", \ - "0.007394,0.010511,0.014172,0.021728,0.038095,0.072305,0.141348", \ - "0.007394,0.010513,0.014169,0.021729,0.038094,0.072305,0.141347", \ - "0.007396,0.010510,0.014169,0.021730,0.038094,0.072305,0.141342", \ - "0.007402,0.010520,0.014180,0.021732,0.038099,0.072304,0.141344", \ - "0.007408,0.010522,0.014180,0.021735,0.038094,0.072304,0.141346", \ - "0.007418,0.010530,0.014187,0.021741,0.038102,0.072305,0.141343"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026299,0.030177,0.033995,0.040309,0.051022,0.070338,0.107393", \ - "0.027868,0.031748,0.035565,0.041881,0.052595,0.071916,0.108974", \ - "0.034240,0.038095,0.041905,0.048225,0.058945,0.078276,0.115336", \ - "0.046209,0.050221,0.054119,0.060508,0.071277,0.090611,0.127650", \ - "0.059201,0.063739,0.068133,0.075177,0.086582,0.106226,0.143165", \ - "0.072825,0.077829,0.082698,0.090454,0.102681,0.122934,0.159903", \ - "0.087567,0.093001,0.098301,0.106731,0.119867,0.140852,0.177788"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005179,0.006884,0.008818,0.012490,0.019780,0.034803,0.065909", \ - "0.005179,0.006888,0.008818,0.012487,0.019777,0.034807,0.065906", \ - "0.005184,0.006901,0.008836,0.012500,0.019783,0.034803,0.065916", \ - "0.006094,0.007629,0.009385,0.012855,0.019968,0.034859,0.065914", \ - "0.007980,0.009545,0.011279,0.014571,0.021212,0.035341,0.065928", \ - "0.009936,0.011566,0.013350,0.016619,0.022970,0.036320,0.066120", \ - "0.011999,0.013681,0.015519,0.018840,0.025012,0.037467,0.066321"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026299,0.030177,0.033995,0.040309,0.051020,0.070337,0.107393", \ - "0.027868,0.031748,0.035565,0.041881,0.052595,0.071916,0.108974", \ - "0.034240,0.038095,0.041905,0.048225,0.058945,0.078276,0.115336", \ - "0.046209,0.050221,0.054119,0.060508,0.071277,0.090611,0.127650", \ - "0.059201,0.063739,0.068133,0.075177,0.086582,0.106226,0.143165", \ - "0.072825,0.077829,0.082698,0.090454,0.102681,0.122934,0.159903", \ - "0.087567,0.093001,0.098301,0.106731,0.119867,0.140852,0.177788"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005179,0.006884,0.008818,0.012490,0.019777,0.034807,0.065909", \ - "0.005179,0.006888,0.008818,0.012488,0.019777,0.034807,0.065906", \ - "0.005184,0.006901,0.008836,0.012500,0.019783,0.034803,0.065916", \ - "0.006094,0.007629,0.009385,0.012855,0.019968,0.034859,0.065914", \ - "0.007980,0.009545,0.011279,0.014571,0.021212,0.035341,0.065928", \ - "0.009936,0.011566,0.013350,0.016619,0.022970,0.036320,0.066120", \ - "0.011999,0.013681,0.015519,0.018840,0.025012,0.037467,0.066321"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026299,0.030177,0.033995,0.040309,0.051021,0.070337,0.107393", \ - "0.027868,0.031748,0.035565,0.041881,0.052595,0.071916,0.108974", \ - "0.034240,0.038095,0.041905,0.048225,0.058945,0.078276,0.115336", \ - "0.046209,0.050221,0.054119,0.060508,0.071277,0.090611,0.127650", \ - "0.059201,0.063739,0.068133,0.075177,0.086582,0.106226,0.143165", \ - "0.072825,0.077829,0.082698,0.090454,0.102681,0.122934,0.159903", \ - "0.087567,0.093001,0.098301,0.106731,0.119867,0.140852,0.177788"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005179,0.006884,0.008818,0.012490,0.019780,0.034807,0.065909", \ - "0.005179,0.006888,0.008818,0.012488,0.019777,0.034807,0.065906", \ - "0.005184,0.006901,0.008836,0.012500,0.019783,0.034803,0.065916", \ - "0.006094,0.007629,0.009385,0.012855,0.019968,0.034859,0.065914", \ - "0.007980,0.009545,0.011279,0.014571,0.021212,0.035341,0.065928", \ - "0.009936,0.011566,0.013350,0.016619,0.022970,0.036320,0.066120", \ - "0.011999,0.013682,0.015519,0.018840,0.025012,0.037467,0.066321"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026293,0.030175,0.033989,0.040304,0.051017,0.070336,0.107392", \ - "0.027865,0.031744,0.035558,0.041874,0.052590,0.071909,0.108967", \ - "0.034234,0.038095,0.041902,0.048218,0.058944,0.078274,0.115334", \ - "0.046208,0.050216,0.054115,0.060504,0.071273,0.090606,0.127643", \ - "0.059194,0.063733,0.068127,0.075169,0.086569,0.106217,0.143156", \ - "0.072816,0.077825,0.082695,0.090443,0.102675,0.122928,0.159893", \ - "0.087566,0.092995,0.098299,0.106730,0.119855,0.140850,0.177782"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005177,0.006885,0.008816,0.012490,0.019776,0.034805,0.065904", \ - "0.005178,0.006886,0.008820,0.012489,0.019776,0.034808,0.065904", \ - "0.005184,0.006901,0.008834,0.012501,0.019782,0.034805,0.065914", \ - "0.006092,0.007629,0.009385,0.012850,0.019966,0.034859,0.065916", \ - "0.007977,0.009545,0.011283,0.014575,0.021213,0.035341,0.065917", \ - "0.009936,0.011567,0.013350,0.016619,0.022969,0.036322,0.066120", \ - "0.011999,0.013680,0.015519,0.018841,0.025013,0.037467,0.066322"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026293,0.030175,0.033989,0.040304,0.051017,0.070335,0.107392", \ - "0.027865,0.031744,0.035558,0.041874,0.052590,0.071909,0.108967", \ - "0.034234,0.038095,0.041902,0.048218,0.058944,0.078274,0.115334", \ - "0.046208,0.050216,0.054115,0.060504,0.071273,0.090606,0.127643", \ - "0.059194,0.063733,0.068127,0.075169,0.086569,0.106217,0.143156", \ - "0.072816,0.077825,0.082695,0.090443,0.102675,0.122928,0.159893", \ - "0.087566,0.092995,0.098299,0.106730,0.119855,0.140850,0.177782"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005177,0.006885,0.008816,0.012490,0.019776,0.034807,0.065904", \ - "0.005178,0.006886,0.008820,0.012489,0.019776,0.034808,0.065904", \ - "0.005184,0.006901,0.008834,0.012501,0.019782,0.034804,0.065914", \ - "0.006092,0.007629,0.009385,0.012850,0.019966,0.034859,0.065916", \ - "0.007977,0.009545,0.011283,0.014575,0.021213,0.035341,0.065917", \ - "0.009936,0.011567,0.013350,0.016619,0.022969,0.036322,0.066120", \ - "0.011999,0.013680,0.015519,0.018841,0.025013,0.037467,0.066321"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026293,0.030175,0.033989,0.040304,0.051017,0.070336,0.107392", \ - "0.027865,0.031744,0.035558,0.041874,0.052590,0.071909,0.108967", \ - "0.034234,0.038095,0.041902,0.048218,0.058944,0.078274,0.115334", \ - "0.046208,0.050216,0.054115,0.060504,0.071273,0.090606,0.127643", \ - "0.059194,0.063733,0.068127,0.075169,0.086569,0.106217,0.143156", \ - "0.072816,0.077825,0.082695,0.090443,0.102675,0.122928,0.159893", \ - "0.087566,0.092995,0.098299,0.106730,0.119855,0.140850,0.177782"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005177,0.006885,0.008816,0.012490,0.019776,0.034805,0.065904", \ - "0.005178,0.006886,0.008820,0.012489,0.019776,0.034808,0.065904", \ - "0.005184,0.006901,0.008834,0.012501,0.019782,0.034805,0.065914", \ - "0.006092,0.007629,0.009385,0.012850,0.019966,0.034859,0.065916", \ - "0.007977,0.009545,0.011283,0.014575,0.021213,0.035341,0.065917", \ - "0.009936,0.011567,0.013350,0.016619,0.022969,0.036322,0.066120", \ - "0.011999,0.013680,0.015519,0.018841,0.025013,0.037467,0.066322"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026299,0.030177,0.033995,0.040309,0.051021,0.070336,0.107393", \ - "0.027868,0.031748,0.035565,0.041881,0.052595,0.071916,0.108974", \ - "0.034240,0.038095,0.041905,0.048225,0.058945,0.078276,0.115336", \ - "0.046209,0.050221,0.054119,0.060508,0.071277,0.090611,0.127650", \ - "0.059201,0.063739,0.068133,0.075177,0.086582,0.106226,0.143165", \ - "0.072825,0.077829,0.082698,0.090454,0.102681,0.122934,0.159903", \ - "0.087567,0.093001,0.098301,0.106731,0.119867,0.140852,0.177788"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005179,0.006884,0.008818,0.012490,0.019781,0.034804,0.065909", \ - "0.005179,0.006888,0.008818,0.012488,0.019777,0.034807,0.065906", \ - "0.005184,0.006901,0.008836,0.012500,0.019783,0.034803,0.065917", \ - "0.006094,0.007629,0.009385,0.012855,0.019968,0.034859,0.065914", \ - "0.007980,0.009545,0.011279,0.014571,0.021212,0.035341,0.065928", \ - "0.009936,0.011566,0.013350,0.016619,0.022970,0.036320,0.066120", \ - "0.011999,0.013681,0.015519,0.018840,0.025012,0.037467,0.066321"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026293,0.030175,0.033989,0.040304,0.051017,0.070335,0.107392", \ - "0.027865,0.031744,0.035558,0.041874,0.052590,0.071909,0.108967", \ - "0.034234,0.038095,0.041902,0.048218,0.058944,0.078274,0.115334", \ - "0.046208,0.050216,0.054115,0.060504,0.071273,0.090606,0.127643", \ - "0.059194,0.063733,0.068127,0.075169,0.086569,0.106217,0.143156", \ - "0.072816,0.077825,0.082695,0.090443,0.102675,0.122928,0.159893", \ - "0.087566,0.092995,0.098299,0.106730,0.119855,0.140850,0.177782"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005177,0.006885,0.008816,0.012490,0.019776,0.034807,0.065904", \ - "0.005178,0.006886,0.008820,0.012489,0.019776,0.034808,0.065904", \ - "0.005184,0.006901,0.008834,0.012501,0.019782,0.034804,0.065914", \ - "0.006092,0.007629,0.009385,0.012850,0.019966,0.034859,0.065916", \ - "0.007977,0.009545,0.011283,0.014575,0.021213,0.035341,0.065917", \ - "0.009936,0.011567,0.013350,0.016619,0.022969,0.036322,0.066120", \ - "0.011999,0.013680,0.015519,0.018841,0.025013,0.037467,0.066322"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069849,0.106713", \ - "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ - "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ - "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ - "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ - "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ - "0.087443,0.092817,0.098072,0.106418,0.119349,0.140190,0.177524"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005121,0.006812,0.008722,0.012336,0.019513,0.034489,0.065936", \ - "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ - "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ - "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ - "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066038", \ - "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ - "0.011788,0.013474,0.015314,0.018580,0.024658,0.037579,0.067008"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069848,0.106713", \ - "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ - "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ - "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ - "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ - "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ - "0.087443,0.092817,0.098072,0.106418,0.119349,0.140195,0.177520"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005121,0.006812,0.008722,0.012336,0.019513,0.034492,0.065936", \ - "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ - "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ - "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ - "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066038", \ - "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ - "0.011788,0.013474,0.015314,0.018580,0.024658,0.037579,0.067010"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069849,0.106713", \ - "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ - "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ - "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ - "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ - "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ - "0.087443,0.092817,0.098072,0.106418,0.119349,0.140195,0.177520"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005121,0.006812,0.008722,0.012336,0.019513,0.034489,0.065919", \ - "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ - "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ - "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ - "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066038", \ - "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ - "0.011788,0.013474,0.015314,0.018580,0.024658,0.037579,0.067010"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069848,0.106713", \ - "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ - "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ - "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ - "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ - "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ - "0.087443,0.092817,0.098072,0.106418,0.119349,0.140195,0.177520"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005121,0.006812,0.008722,0.012336,0.019512,0.034489,0.065918", \ - "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ - "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ - "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ - "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066037", \ - "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ - "0.011788,0.013474,0.015314,0.018581,0.024658,0.037579,0.067010"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069848,0.106713", \ - "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ - "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ - "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ - "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ - "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ - "0.087443,0.092817,0.098072,0.106418,0.119349,0.140195,0.177520"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005121,0.006812,0.008722,0.012336,0.019513,0.034492,0.065936", \ - "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ - "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ - "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ - "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066037", \ - "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ - "0.011788,0.013474,0.015314,0.018581,0.024658,0.037579,0.067010"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069848,0.106713", \ - "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ - "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ - "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ - "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ - "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ - "0.087443,0.092817,0.098072,0.106418,0.119349,0.140195,0.177520"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005121,0.006812,0.008722,0.012336,0.019513,0.034492,0.065918", \ - "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ - "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ - "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ - "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066037", \ - "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ - "0.011788,0.013474,0.015314,0.018581,0.024658,0.037579,0.067010"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069849,0.106713", \ - "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ - "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ - "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ - "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ - "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ - "0.087443,0.092817,0.098072,0.106418,0.119349,0.140195,0.177520"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005121,0.006812,0.008722,0.012336,0.019513,0.034489,0.065934", \ - "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ - "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ - "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ - "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066038", \ - "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ - "0.011788,0.013474,0.015314,0.018580,0.024658,0.037579,0.067010"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069848,0.106713", \ - "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ - "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ - "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ - "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ - "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ - "0.087443,0.092817,0.098072,0.106418,0.119349,0.140195,0.177520"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.005121,0.006812,0.008722,0.012336,0.019513,0.034488,0.065936", \ - "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ - "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ - "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ - "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066037", \ - "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ - "0.011788,0.013474,0.015314,0.018581,0.024658,0.037579,0.067010"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.600784,4.686965,4.755602,4.893813,5.002893,5.025278,5.051832", \ - "4.588354,4.677673,4.750912,4.880717,4.989347,5.004524,4.994657", \ - "4.581165,4.670891,4.738889,4.876750,4.976073,5.004714,4.942757", \ - "4.645639,4.732880,4.804745,4.936063,5.039164,5.037525,5.103298", \ - "4.812184,4.894660,4.966489,5.094991,5.202241,5.201230,5.263533", \ - "5.132996,5.215516,5.271296,5.411175,5.501913,5.540083,5.526631", \ - "5.573918,5.663618,5.740502,5.877142,5.966472,5.999724,5.972599"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.094815,5.110854,5.107804,5.120462,5.129384,5.164519,5.121339", \ - "5.069774,5.099064,5.083159,5.113526,5.123699,5.157348,5.166338", \ - "5.076389,5.077900,5.091647,5.102828,5.127514,5.146054,5.138220", \ - "5.129238,5.142496,5.149662,5.151678,5.180444,5.198397,5.138318", \ - "5.303214,5.302477,5.312896,5.320111,5.343604,5.356588,5.350071", \ - "5.614732,5.624391,5.616310,5.626097,5.643715,5.644305,5.681937", \ - "6.094216,6.083259,6.104008,6.106000,6.120734,6.089624,6.018780"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.759750,3.799110,3.840421,3.868503,3.891449,3.861913,3.893680", \ - "3.762260,3.783421,3.815570,3.866845,3.881062,3.780124,3.801875", \ - "3.746714,3.780646,3.819815,3.833389,3.837943,3.793692,3.795479", \ - "3.861690,3.858948,3.867702,3.896793,3.917437,3.889585,3.809478", \ - "4.127642,4.070162,4.078779,4.067439,4.052211,4.015667,4.001506", \ - "4.483363,4.401699,4.356433,4.356249,4.331301,4.255986,4.310408", \ - "4.908563,4.815931,4.759313,4.709652,4.678153,4.525729,4.537372"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.759661,3.799006,3.840314,3.868382,3.891086,3.861665,3.893463", \ - "3.762144,3.783330,3.815464,3.866486,3.880918,3.779948,3.801661", \ - "3.746623,3.780539,3.819709,3.833270,3.837201,3.793518,3.795374", \ - "3.861599,3.858850,3.867597,3.896675,3.917298,3.889420,3.809274", \ - "4.127555,4.070065,4.078680,4.067322,4.052077,4.015505,4.001303", \ - "4.483288,4.401619,4.356339,4.356150,4.331115,4.255840,4.310222", \ - "4.908507,4.815866,4.759246,4.709577,4.678119,4.525599,4.537204"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.759956,3.799278,3.840645,3.868756,3.891602,3.862206,3.894139", \ - "3.762457,3.783633,3.815794,3.866516,3.881367,3.780496,3.802337", \ - "3.746910,3.780848,3.820040,3.833641,3.837455,3.794059,3.796017", \ - "3.861884,3.859157,3.867921,3.897037,3.917729,3.889952,3.809930", \ - "4.127826,4.070356,4.078988,4.067664,4.052486,4.016007,4.001940", \ - "4.483519,4.401868,4.356604,4.356450,4.331479,4.256299,4.310803", \ - "4.908676,4.816051,4.759447,4.709815,4.678415,4.525993,4.537723"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.689269,3.728648,3.769846,3.797715,3.820369,3.791067,3.823662", \ - "3.693827,3.717361,3.747067,3.788920,3.812157,3.726144,3.733109", \ - "3.678726,3.712274,3.751540,3.791666,3.753646,3.725371,3.674778", \ - "3.794654,3.803437,3.800275,3.829163,3.849305,3.822256,3.840104", \ - "4.061009,3.994031,4.011946,4.025336,3.988100,3.948919,3.935091", \ - "4.417652,4.335120,4.289510,4.289263,4.224609,4.189800,4.244639", \ - "4.868843,4.750585,4.693737,4.637705,4.612454,4.459626,4.471992"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.689343,3.728717,3.769929,3.797812,3.820484,3.791382,3.823837", \ - "3.693909,3.717430,3.747153,3.789011,3.812289,3.726260,3.733319", \ - "3.678801,3.712345,3.751626,3.791771,3.753756,3.725502,3.674971", \ - "3.794720,3.803519,3.800344,3.829240,3.849403,3.822412,3.840200", \ - "4.061078,3.994108,4.012020,4.025420,3.988193,3.949028,3.935218", \ - "4.417711,4.335190,4.289569,4.289346,4.224698,4.189948,4.244865", \ - "4.868890,4.750639,4.693784,4.638303,4.612525,4.459695,4.472122"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.689681,3.729072,3.770321,3.798248,3.820997,3.791977,3.824591", \ - "3.694252,3.717786,3.747542,3.789442,3.812812,3.726659,3.734095", \ - "3.679140,3.712701,3.752016,3.792211,3.754263,3.726109,3.675734", \ - "3.795053,3.803885,3.800721,3.829657,3.849893,3.823029,3.840928", \ - "4.061400,3.994453,4.012383,4.025823,3.988656,3.949592,3.935919", \ - "4.417991,4.335493,4.289884,4.289706,4.225120,4.190497,4.245563", \ - "4.869102,4.750874,4.694032,4.638613,4.612876,4.460143,4.472719"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.759691,3.799081,3.840342,3.868411,3.891139,3.861718,3.893535", \ - "3.762168,3.783381,3.815494,3.866540,3.880960,3.780008,3.801730", \ - "3.746648,3.780536,3.819740,3.833298,3.836956,3.793577,3.796056", \ - "3.861625,3.858878,3.867628,3.896705,3.917342,3.889474,3.809348", \ - "4.127578,4.070105,4.078708,4.067348,4.052119,4.015560,4.001382", \ - "4.483306,4.401638,4.356362,4.356166,4.331144,4.255883,4.310277", \ - "4.908517,4.815877,4.759261,4.709074,4.678141,4.525641,4.537249"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("3.689601,3.728999,3.770231,3.798147,3.820873,3.791844,3.824403", \ - "3.694164,3.717690,3.747452,3.789347,3.812673,3.726735,3.733874", \ - "3.679062,3.712629,3.751926,3.792095,3.754147,3.725983,3.675549", \ - "3.794984,3.803796,3.800648,3.829577,3.849792,3.822867,3.840768", \ - "4.061327,3.994373,4.012304,4.025734,3.988561,3.949481,3.935785", \ - "4.417927,4.335419,4.289820,4.289618,4.225026,4.190340,4.245317", \ - "4.869052,4.750815,4.693981,4.637985,4.612791,4.460069,4.472582"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.714776,5.816985,5.900595,5.983888,6.066780,6.086341,6.089088", \ - "5.687732,5.789385,5.885167,5.960013,6.056880,6.002726,5.995520", \ - "5.682125,5.777825,5.874311,5.960788,6.006668,6.027621,6.115656", \ - "5.817947,5.891478,5.954049,6.021691,6.117736,6.064071,6.096226", \ - "6.061081,6.109992,6.158998,6.204996,6.264314,6.215104,6.298362", \ - "6.477157,6.473622,6.495067,6.509937,6.504696,6.593095,6.452504", \ - "7.074802,7.041858,7.033820,7.012967,7.016612,7.003218,6.908247"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.714608,5.816812,5.900384,5.983920,6.066578,6.086371,6.088931", \ - "5.687542,5.789214,5.884989,5.955445,6.056677,6.002505,5.995277", \ - "5.681961,5.777655,5.874138,5.960474,6.006469,6.027403,6.115418", \ - "5.817789,5.891315,5.953878,6.021514,6.117543,6.063860,6.095989", \ - "6.060933,6.109860,6.158840,6.204763,6.264134,6.214911,6.298133", \ - "6.477024,6.473485,6.494924,6.509786,6.504531,6.592912,6.452293", \ - "7.074690,7.041741,7.033697,7.012836,7.016467,7.003597,6.908519"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.715139,5.817354,5.901047,5.984503,6.067156,6.086827,6.088919", \ - "5.688237,5.789752,5.885546,5.956035,6.057315,6.003206,5.996052", \ - "5.682481,5.778185,5.874701,5.961060,6.007094,6.028093,6.116179", \ - "5.818288,5.891830,5.954409,6.022071,6.118144,6.064531,6.096735", \ - "6.061398,6.110329,6.159336,6.205306,6.264698,6.215567,6.298848", \ - "6.477436,6.473911,6.495369,6.510256,6.505045,6.593497,6.452964", \ - "7.075036,7.042101,7.034074,7.013238,7.016915,7.004123,6.909139"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.713707,5.815925,5.902253,5.988832,6.066581,6.087023,6.090723", \ - "5.686742,5.788240,5.884234,5.964047,6.056539,6.003482,5.997943", \ - "5.683294,5.779460,5.875426,5.970819,6.006415,6.027531,6.118041", \ - "5.816969,5.890478,5.955070,6.021033,6.117615,6.064813,6.098599", \ - "6.059980,6.110255,6.160007,6.216460,6.264179,6.213493,6.300697", \ - "6.476177,6.472660,6.494270,6.509311,6.504383,6.585432,6.454724", \ - "7.073828,7.040868,7.032977,7.016497,7.039985,7.004425,6.910844"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.713742,5.815953,5.902524,5.989704,6.066723,6.087557,6.091604", \ - "5.686769,5.788261,5.884291,5.964735,6.056679,6.003647,5.998214", \ - "5.683498,5.779679,5.875636,5.949433,6.006526,6.027703,6.118198", \ - "5.816995,5.890517,5.955251,6.021089,6.117713,6.064987,6.099736", \ - "6.059990,6.110386,6.160181,6.216522,6.264271,6.213885,6.300909", \ - "6.476185,6.472683,6.494301,6.509366,6.504461,6.585096,6.454978", \ - "7.073752,7.040875,7.032990,7.016408,7.039219,7.004525,6.911013"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.714372,5.816585,5.903081,5.990492,6.067381,6.088365,6.091859", \ - "5.687397,5.788891,5.884942,5.965061,6.057409,6.004434,5.999101", \ - "5.684103,5.780285,5.876290,5.949954,6.007236,6.028478,6.119082", \ - "5.817598,5.891139,5.955865,6.021738,6.118393,6.065749,6.100575", \ - "6.060556,6.110970,6.160748,6.217123,6.264916,6.214600,6.301711", \ - "6.476685,6.473200,6.494824,6.509920,6.505054,6.585819,6.455761", \ - "7.074182,7.041320,7.033443,7.016892,7.039798,7.005119,6.911717"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.714645,5.816853,5.900529,5.983918,6.066557,6.086157,6.089061", \ - "5.687745,5.789254,5.885030,5.959570,6.056737,6.002579,5.995358", \ - "5.681998,5.777693,5.874191,5.960512,6.006530,6.027476,6.115491", \ - "5.817824,5.891352,5.953922,6.021564,6.117603,6.063928,6.096069", \ - "6.060964,6.109895,6.158878,6.204809,6.264187,6.214984,6.298210", \ - "6.477049,6.473511,6.494957,6.509824,6.504578,6.592966,6.452355", \ - "7.074707,7.041760,7.033722,7.012867,7.016506,7.003651,6.908583"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("5.714348,5.816572,5.902859,5.989246,6.067141,6.087854,6.092195", \ - "5.687386,5.788886,5.884897,5.964579,6.057270,6.004260,5.998817", \ - "5.683876,5.780034,5.876033,5.971097,6.007140,6.028292,6.118904", \ - "5.817573,5.891101,5.955650,6.021676,6.118297,6.065564,6.099421", \ - "6.060547,6.110816,6.160555,6.217069,6.264835,6.214167,6.301486", \ - "6.476697,6.473190,6.494810,6.509871,6.504978,6.586273,6.455500", \ - "7.074270,7.041324,7.033440,7.017016,7.040773,7.005019,6.911546"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFFS_X2 - Cell Description : Pos.edge D-Flip-Flop with active high scan, and active low set, and drive strength X2 - *******************************************************************************************/ - - cell (SDFFS_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - preset : "!SN"; - } - - area : 7.182000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 140.592991; - - leakage_power () { - when : "!CK & !D & !SE & !SI & !SN & Q & !QN"; - value : 113.679500; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & SN & !Q & QN"; - value : 146.874970; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & SN & Q & !QN"; - value : 119.221300; - } - leakage_power () { - when : "!CK & !D & !SE & SI & !SN & Q & !QN"; - value : 124.205378; - } - leakage_power () { - when : "!CK & !D & !SE & SI & SN & !Q & QN"; - value : 157.400848; - } - leakage_power () { - when : "!CK & !D & !SE & SI & SN & Q & !QN"; - value : 129.747178; - } - leakage_power () { - when : "!CK & !D & SE & !SI & !SN & Q & !QN"; - value : 117.748598; - } - leakage_power () { - when : "!CK & !D & SE & !SI & SN & !Q & QN"; - value : 150.944068; - } - leakage_power () { - when : "!CK & !D & SE & !SI & SN & Q & !QN"; - value : 123.289298; - } - leakage_power () { - when : "!CK & !D & SE & SI & !SN & Q & !QN"; - value : 127.448101; - } - leakage_power () { - when : "!CK & !D & SE & SI & SN & !Q & QN"; - value : 163.785171; - } - leakage_power () { - when : "!CK & !D & SE & SI & SN & Q & !QN"; - value : 130.996272; - } - leakage_power () { - when : "!CK & D & !SE & !SI & !SN & Q & !QN"; - value : 127.575811; - } - leakage_power () { - when : "!CK & D & !SE & !SI & SN & !Q & QN"; - value : 163.911781; - } - leakage_power () { - when : "!CK & D & !SE & !SI & SN & Q & !QN"; - value : 131.123322; - } - leakage_power () { - when : "!CK & D & !SE & SI & !SN & Q & !QN"; - value : 132.963633; - } - leakage_power () { - when : "!CK & D & !SE & SI & SN & !Q & QN"; - value : 169.300703; - } - leakage_power () { - when : "!CK & D & !SE & SI & SN & Q & !QN"; - value : 136.512244; - } - leakage_power () { - when : "!CK & D & SE & !SI & !SN & Q & !QN"; - value : 131.695102; - } - leakage_power () { - when : "!CK & D & SE & !SI & SN & !Q & QN"; - value : 164.889472; - } - leakage_power () { - when : "!CK & D & SE & !SI & SN & Q & !QN"; - value : 137.235802; - } - leakage_power () { - when : "!CK & D & SE & SI & !SN & Q & !QN"; - value : 134.554541; - } - leakage_power () { - when : "!CK & D & SE & SI & SN & !Q & QN"; - value : 170.890951; - } - leakage_power () { - when : "!CK & D & SE & SI & SN & Q & !QN"; - value : 138.102712; - } - leakage_power () { - when : "CK & !D & !SE & !SI & !SN & Q & !QN"; - value : 124.348983; - } - leakage_power () { - when : "CK & !D & !SE & !SI & SN & !Q & QN"; - value : 142.378863; - } - leakage_power () { - when : "CK & !D & !SE & !SI & SN & Q & !QN"; - value : 128.411294; - } - leakage_power () { - when : "CK & !D & !SE & SI & !SN & Q & !QN"; - value : 134.874861; - } - leakage_power () { - when : "CK & !D & !SE & SI & SN & !Q & QN"; - value : 152.904741; - } - leakage_power () { - when : "CK & !D & !SE & SI & SN & Q & !QN"; - value : 138.937172; - } - leakage_power () { - when : "CK & !D & SE & !SI & !SN & Q & !QN"; - value : 128.418081; - } - leakage_power () { - when : "CK & !D & SE & !SI & SN & !Q & QN"; - value : 146.447961; - } - leakage_power () { - when : "CK & !D & SE & !SI & SN & Q & !QN"; - value : 132.479292; - } - leakage_power () { - when : "CK & !D & SE & SI & !SN & Q & !QN"; - value : 131.568514; - } - leakage_power () { - when : "CK & !D & SE & SI & SN & !Q & QN"; - value : 154.732655; - } - leakage_power () { - when : "CK & !D & SE & SI & SN & Q & !QN"; - value : 135.632255; - } - leakage_power () { - when : "CK & D & !SE & !SI & !SN & Q & !QN"; - value : 131.695894; - } - leakage_power () { - when : "CK & D & !SE & !SI & SN & !Q & QN"; - value : 154.859474; - } - leakage_power () { - when : "CK & D & !SE & !SI & SN & Q & !QN"; - value : 135.759305; - } - leakage_power () { - when : "CK & D & !SE & SI & !SN & Q & !QN"; - value : 137.084816; - } - leakage_power () { - when : "CK & D & !SE & SI & SN & !Q & QN"; - value : 160.248396; - } - leakage_power () { - when : "CK & D & !SE & SI & SN & Q & !QN"; - value : 141.148227; - } - leakage_power () { - when : "CK & D & SE & !SI & !SN & Q & !QN"; - value : 142.363485; - } - leakage_power () { - when : "CK & D & SE & !SI & SN & !Q & QN"; - value : 160.393365; - } - leakage_power () { - when : "CK & D & SE & !SI & SN & Q & !QN"; - value : 146.425796; - } - leakage_power () { - when : "CK & D & SE & SI & !SN & Q & !QN"; - value : 138.675163; - } - leakage_power () { - when : "CK & D & SE & SI & SN & !Q & QN"; - value : 161.839304; - } - leakage_power () { - when : "CK & D & SE & SI & SN & Q & !QN"; - value : 142.738904; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.119363; - fall_capacitance : 1.063787; - rise_capacitance : 1.119363; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "!SE & SN"; - sdf_cond : "NEG_SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.025096,-0.012980,-0.008195", \ - "-0.034662,-0.021497,-0.016466", \ - "0.108487,0.122387,0.128523"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.012041,-0.004188,-0.006916", \ - "-0.013143,-0.006563,-0.013214", \ - "0.062431,0.067688,0.052954"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "!SE & SN"; - sdf_cond : "NEG_SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.076025,0.071312,0.087198", \ - "0.093865,0.088832,0.104618", \ - "0.136583,0.131332,0.146091"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.072100,0.058276,0.052413", \ - "0.082595,0.068751,0.062943", \ - "0.090521,0.076626,0.070513"); - } - } - - internal_power () { - - when : "!CK & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.668612,7.645444,7.615774,7.627503,7.772373,8.125195,8.699078"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.158697,5.123182,5.083964,5.093474,5.230265,5.563304,6.107816"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.776652,7.753519,7.724850,7.735050,7.880150,8.232960,8.809483"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.382603,5.347703,5.308461,5.318614,5.454931,5.786788,6.332179"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.745999,7.723073,7.693423,7.704663,7.848185,8.203458,8.775558"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.176736,5.140015,5.101299,5.111396,5.250798,5.581736,6.125692"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.668182,7.645257,7.615358,7.627038,7.771853,8.124612,8.698067"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.162175,5.126624,5.086179,5.096303,5.233749,5.566604,6.111444"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.777567,7.753088,7.724405,7.734581,7.879638,8.232376,8.809624"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.387498,5.351058,5.311902,5.321810,5.458314,5.790108,6.335421"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.745572,7.722644,7.692955,7.704190,7.847632,8.202956,8.774855"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.180218,5.143488,5.105444,5.114960,5.254328,5.584349,6.129195"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717578,0.695890,0.681675,0.678113,0.676075,0.675100,0.674110"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.604175,-0.609859,-0.615252,-0.618853,-0.622143,-0.623706,-0.625726"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717199,0.690664,0.681300,0.677732,0.675061,0.674770,0.672956"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605368,-0.611035,-0.616400,-0.620000,-0.622691,-0.624850,-0.626867"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717605,0.691082,0.681704,0.678141,0.675500,0.675138,0.673387"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.604002,-0.609683,-0.615072,-0.618675,-0.621371,-0.623534,-0.625558"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649818,0.657840,0.659890,0.659298,0.659106,0.658417,0.658587"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423807,-0.489615,-0.546165,-0.567805,-0.580110,-0.587201,-0.592824"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.651260,0.658249,0.660491,0.659264,0.659745,0.659053,0.659246"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.422188,-0.487948,-0.544865,-0.566470,-0.578576,-0.586201,-0.591798"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649775,0.657796,0.659847,0.659255,0.658516,0.658376,0.657860"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423633,-0.489441,-0.545990,-0.567632,-0.579388,-0.587036,-0.592665"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.328264,3.305562,3.278012,3.292084,3.440275,3.787346,4.350215"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.526746,1.490732,1.453302,1.468568,1.609267,1.939452,2.482040"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.390160,3.367765,3.339230,3.352878,3.497551,3.843442,4.401206"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.556458,1.520288,1.483030,1.498903,1.641356,1.973338,2.518379"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.326632,3.303955,3.276197,3.290242,3.438473,3.785523,4.348288"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.596010,1.561306,1.522612,1.538178,1.677371,2.008459,2.551188"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.325972,3.303259,3.275694,3.289734,3.437870,3.784874,4.347637"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.526798,1.490775,1.453304,1.468577,1.609298,1.939455,2.482007"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.389940,3.367596,3.339063,3.352695,3.497319,3.843145,4.400776"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.560643,1.524444,1.487161,1.503119,1.645537,1.977476,2.522241"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.326213,3.303289,3.275790,3.289782,3.437966,3.784963,4.347743"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.599465,1.564743,1.526101,1.541679,1.680870,2.011687,2.554679"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716881,0.690992,0.681618,0.678041,0.676054,0.675037,0.673760"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.607305,-0.610644,-0.616091,-0.619695,-0.623089,-0.624650,-0.627302"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717204,0.690676,0.681300,0.677738,0.675716,0.674744,0.673763"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605099,-0.610788,-0.616180,-0.619784,-0.623093,-0.624640,-0.626662"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717109,0.690580,0.681205,0.677642,0.675621,0.674650,0.673307"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605255,-0.610945,-0.616336,-0.619940,-0.623254,-0.624799,-0.627600"); - } - - } - - internal_power () { - - when : "CK & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649785,0.657521,0.659732,0.659144,0.658943,0.658256,0.658100"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424479,-0.490262,-0.546833,-0.568487,-0.580786,-0.588197,-0.594229"); - } - - } - - internal_power () { - - when : "CK & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650928,0.657627,0.660327,0.659732,0.659499,0.658869,0.658701"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.422993,-0.488814,-0.545605,-0.567161,-0.579133,-0.586725,-0.592487"); - } - - } - - internal_power () { - - when : "CK & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.649750,0.657714,0.659763,0.659171,0.658973,0.658285,0.658135"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424022,-0.489846,-0.546409,-0.568044,-0.580337,-0.587441,-0.593741"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.912194; - fall_capacitance : 1.784183; - rise_capacitance : 1.912194; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.019079,-0.011580,-0.015092", \ - "-0.035822,-0.029611,-0.033340", \ - "0.102709,0.107811,0.092428"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.017408,-0.005801,-0.004598", \ - "-0.023856,-0.011371,-0.011587", \ - "0.059273,0.073039,0.064692"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.083788,0.069637,0.077604", \ - "0.103260,0.089526,0.095228", \ - "0.139800,0.126034,0.134385"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.086782,0.081651,0.097217", \ - "0.094575,0.089201,0.105109", \ - "0.096300,0.091204,0.106612"); - } - } - - internal_power () { - - when : "!CK & !D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.531959,2.494559,2.541374,2.741106,3.113617,3.711533,4.571267"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.039417,0.008164,0.010007,0.161846,0.538469,1.164350,2.053498"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.531471,2.494162,2.540997,2.740740,3.112254,3.711199,4.570136"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.037856,0.006987,0.008856,0.160694,0.534197,1.163200,2.052355"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.531976,2.494584,2.541404,2.741173,3.112698,3.711571,4.570569"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.039596,0.008336,0.010196,0.162816,0.535520,1.164519,2.053666"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.643513,8.569064,8.615861,8.953304,9.634614,10.741350,12.336650"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.657880,4.618528,4.600210,4.828746,5.466701,6.587933,8.217762"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.756876,8.682111,8.727759,9.062270,9.747279,10.853920,12.444200"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.881677,4.843102,4.824739,5.054245,5.692065,6.811193,8.440830"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("8.721044,8.646597,8.693543,9.030850,9.712058,10.818680,12.413800"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.675554,4.637308,4.618827,4.846703,5.483576,6.605326,8.233511"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.597455,7.566242,7.660001,7.940534,8.416321,9.121144,10.081580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.049131,7.021962,6.959821,7.059644,7.409683,8.042580,8.972508"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.565126,7.533070,7.625241,7.904838,8.378538,9.081093,10.037580"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.989172,6.972906,6.954456,7.083618,7.449029,8.087072,9.014579"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.608488,7.576984,7.670647,7.951997,8.428078,9.134868,10.092320"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.143485,7.113286,7.053484,7.153748,7.504657,8.136193,9.067173"); - } - - } - - internal_power () { - - when : "!CK & D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.372897,2.340237,2.390685,2.596308,2.972367,3.575189,4.438960"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.139210,0.111866,0.115668,0.258916,0.625897,1.249677,2.140529"); - } - - } - - internal_power () { - - when : "!CK & D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.378421,2.343829,2.394301,2.599778,2.975925,3.579116,4.442087"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.167763,0.140807,0.144569,0.287284,0.654013,1.278133,2.168202"); - } - - } - - internal_power () { - - when : "!CK & D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.374848,2.340192,2.390643,2.596265,2.971780,3.575148,4.438237"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.139382,0.112040,0.115843,0.259088,0.626612,1.249841,2.140689"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.532175,2.494477,2.541429,2.741034,3.113293,3.711395,4.570750"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.052696,0.019900,0.022478,0.175071,0.551481,1.177108,2.064101"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.531381,2.494166,2.540969,2.740740,3.112969,3.711175,4.570884"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.038536,0.007259,0.008464,0.160944,0.533820,1.163362,2.052654"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.530958,2.494078,2.540876,2.740672,3.112862,3.711079,4.570425"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.038381,0.007125,0.008316,0.161585,0.533686,1.163203,2.051737"); - } - - } - - internal_power () { - - when : "CK & !D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.297706,4.223552,4.273827,4.617477,5.300000,6.394496,7.970640"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.986384,0.946507,0.934993,1.170036,1.811703,2.927856,4.544547"); - } - - } - - internal_power () { - - when : "CK & !D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.363267,4.288070,4.339248,4.680925,5.359467,6.450635,8.024673"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.056757,1.016894,1.004686,1.242188,1.881421,2.995909,4.609583"); - } - - } - - internal_power () { - - when : "CK & !D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.296930,4.222893,4.273367,4.617610,5.299614,6.394114,7.969754"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.093880,1.055539,1.042187,1.276729,1.916077,3.027638,4.638838"); - } - - } - - internal_power () { - - when : "CK & D & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.981152,3.949403,4.041729,4.321177,4.796451,5.499661,6.454702"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.532628,2.516070,2.498021,2.625645,2.996558,3.636078,4.556003"); - } - - } - - internal_power () { - - when : "CK & D & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.947718,3.915482,4.007826,4.287675,4.762728,5.466970,6.421912"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.591781,2.575217,2.557303,2.686336,3.057263,3.691245,4.618579"); - } - - } - - internal_power () { - - when : "CK & D & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.972999,3.941117,4.034114,4.316680,4.792034,5.497631,6.455133"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.672895,2.645541,2.582813,2.682930,3.037386,3.669644,4.595009"); - } - - } - - internal_power () { - - when : "CK & D & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.375835,2.343146,2.393759,2.599218,2.975336,3.577788,4.440674"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.047647,-0.074987,-0.070754,0.072940,0.441821,1.066542,1.955293"); - } - - } - - internal_power () { - - when : "CK & D & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.378265,2.343753,2.394570,2.599445,2.975152,3.578556,4.441338"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.167572,0.140279,0.144002,0.286669,0.654164,1.277842,2.167772"); - } - - } - - internal_power () { - - when : "CK & D & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.372871,2.340101,2.390831,2.596124,2.972291,3.574748,4.438276"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.138890,0.111668,0.115477,0.258747,0.625803,1.249635,2.139125"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.912904; - fall_capacitance : 0.877114; - rise_capacitance : 0.912904; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SE & SN"; - sdf_cond : "SE_AND_SN === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.028983,-0.016740,-0.012176", \ - "-0.035025,-0.021453,-0.016377", \ - "0.100989,0.114866,0.121182"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.012906,-0.004734,-0.008125", \ - "-0.012850,-0.006329,-0.013934", \ - "0.050293,0.056104,0.041632"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SE & SN"; - sdf_cond : "SE_AND_SN === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.084989,0.079650,0.094940", \ - "0.102382,0.097302,0.112951", \ - "0.148723,0.142918,0.157414"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.074489,0.060748,0.055048", \ - "0.086736,0.072624,0.066863", \ - "0.098020,0.084148,0.077855"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542972,0.526127,0.519118,0.515588,0.513693,0.512605,0.511625"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.465505,-0.469396,-0.471673,-0.473062,-0.474696,-0.474878,-0.475472"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542589,0.525735,0.518743,0.515206,0.512665,0.512275,0.510455"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466698,-0.470573,-0.472817,-0.474209,-0.475230,-0.476022,-0.476613"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542997,0.526150,0.519146,0.515615,0.513104,0.512643,0.510886"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.465332,-0.469220,-0.471490,-0.472884,-0.473910,-0.474706,-0.475303"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.633693,7.623710,7.601008,7.606619,7.706007,7.952374,8.368717"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.691276,4.666078,4.640415,4.643755,4.734187,4.965142,5.353733"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.741246,7.730714,7.708977,7.714281,7.812740,8.064738,8.474958"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.917374,4.891172,4.865087,4.869812,4.957961,5.188388,5.577750"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.713930,7.701012,7.678481,7.683699,7.782243,8.029428,8.444995"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.709365,4.683696,4.658694,4.661529,4.752721,4.982774,5.371387"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481248,0.488926,0.490692,0.490290,0.490086,0.489873,0.490006"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321384,-0.370309,-0.410683,-0.425975,-0.435168,-0.440159,-0.444156"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481986,0.489630,0.491545,0.491023,0.490866,0.490650,0.490381"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.319559,-0.368165,-0.408517,-0.423952,-0.433024,-0.437782,-0.442680"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481200,0.488879,0.490648,0.490246,0.489428,0.489831,0.489194"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321211,-0.370135,-0.410508,-0.425802,-0.434378,-0.439994,-0.443996"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.633076,7.623261,7.600357,7.605917,7.705245,7.950894,8.367605"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.694856,4.669862,4.643991,4.647512,4.737270,4.968782,5.357247"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.740632,7.730496,7.708329,7.713586,7.811982,8.063856,8.473993"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.920850,4.894663,4.868890,4.873138,4.961246,5.191908,5.580790"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.713314,7.700490,7.677834,7.682980,7.781501,8.028611,8.444118"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.712906,4.687309,4.662270,4.666360,4.754788,4.986516,5.374890"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542408,0.525531,0.518575,0.515123,0.513160,0.512066,0.510708"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466990,-0.470568,-0.473035,-0.474430,-0.476067,-0.476347,-0.477913"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542592,0.525747,0.518742,0.515212,0.513331,0.512248,0.511277"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466446,-0.470342,-0.472596,-0.473992,-0.475647,-0.475812,-0.476408"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542496,0.525655,0.518649,0.515117,0.513237,0.512154,0.510814"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466604,-0.470498,-0.472754,-0.474149,-0.475808,-0.475972,-0.477363"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.291981,3.277433,3.255315,3.262979,3.361540,3.602896,4.000776"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.132791,1.106824,1.082762,1.090576,1.182265,1.410405,1.795732"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.346351,3.332148,3.309868,3.319700,3.416384,3.655132,4.052459"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.094525,1.068609,1.044815,1.053556,1.146795,1.375907,1.763009"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.287144,3.273896,3.251837,3.259500,3.358035,3.599428,3.997278"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.133926,1.107781,1.082445,1.090183,1.181939,1.409982,1.795380"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.482817,0.490482,0.492365,0.491844,0.491628,0.491408,0.491179"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.318222,-0.366861,-0.407235,-0.422664,-0.431614,-0.436478,-0.441224"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481884,0.489550,0.491439,0.490919,0.490092,0.490504,0.489855"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.320196,-0.368830,-0.409195,-0.424626,-0.432976,-0.438448,-0.442439"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481130,0.488816,0.490569,0.490163,0.489953,0.489739,0.489516"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321618,-0.370561,-0.410924,-0.426212,-0.435389,-0.440398,-0.445156"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.286831,3.273233,3.251089,3.258702,3.357203,3.598489,3.995984"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.063591,1.039432,1.015498,1.022586,1.114446,1.342147,1.726608"); - } - - } - - internal_power () { - - when : "CK & D & SE & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.346681,3.331864,3.310701,3.319297,3.415651,3.654554,4.051768"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.098807,1.072876,1.049229,1.057771,1.150991,1.380284,1.767130"); - } - - } - - internal_power () { - - when : "CK & D & SE & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.285640,3.273310,3.251199,3.258815,3.357303,3.598580,3.996320"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.136353,1.111399,1.086023,1.093840,1.185546,1.413602,1.798915"); - } - - } - } - - pin (SN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.224456; - fall_capacitance : 2.224456; - rise_capacitance : 2.195969; - - timing () { - - related_pin : "CK"; - timing_type : recovery_rising; - rise_constraint(Recovery_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.064411,-0.080088,-0.091551", \ - "-0.069696,-0.083632,-0.092062", \ - "-0.032942,-0.050706,-0.059901"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : removal_rising; - rise_constraint(Removal_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.171864,0.180763,0.189769", \ - "0.226540,0.235466,0.244579", \ - "0.418934,0.427752,0.436608"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.180650,0.199515,0.318468"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.262215,1.268487,1.270578,1.271772,1.271559,1.271495,1.270768"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.763982,-0.771130,-0.785536,-0.793785,-0.797302,-0.798536,-0.798236"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.262220,1.268493,1.270588,1.271780,1.271575,1.271513,1.270789"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.763959,-0.771104,-0.785504,-0.793753,-0.797287,-0.798504,-0.798203"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.262198,1.268475,1.270563,1.271760,1.271540,1.271477,1.270746"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.764003,-0.771155,-0.785567,-0.793818,-0.797318,-0.798569,-0.798268"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.253080,1.262583,1.266314,1.267637,1.267315,1.267082,1.266533"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.876146,-0.879466,-0.878183,-0.880539,-0.880720,-0.878365,-0.876955"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.253119,1.262605,1.266350,1.267667,1.267379,1.267148,1.266613"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.876059,-0.879365,-0.878060,-0.880416,-0.880661,-0.878242,-0.876832"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.253110,1.262601,1.266344,1.267662,1.267370,1.267138,1.266601"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.876072,-0.879380,-0.878078,-0.880434,-0.880670,-0.878261,-0.876850"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.262208,1.268482,1.270576,1.271771,1.271562,1.271500,1.270774"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.763972,-0.771120,-0.785524,-0.793774,-0.797297,-0.798525,-0.798225"); - } - - } - - internal_power () { - - when : "!CK & D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.253071,1.262578,1.266306,1.267630,1.267302,1.267069,1.266516"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.876164,-0.879487,-0.878208,-0.880564,-0.880732,-0.878390,-0.876980"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.270032,1.269122,1.268964,1.272128,1.272550,1.271401,1.270794"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.869263,-0.872255,-0.875485,-0.879114,-0.875704,-0.878258,-0.878024"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.269500,1.268590,1.268436,1.271600,1.272031,1.270884,1.270274"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.870447,-0.873437,-0.876661,-0.880293,-0.876898,-0.879438,-0.879226"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.269691,1.272223,1.269150,1.272246,1.272734,1.271594,1.270993"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.854257,-0.858046,-0.860712,-0.864175,-0.860368,-0.863831,-0.863825"); - } - - } - - internal_power () { - - when : "CK & !D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.269384,1.268493,1.268218,1.271485,1.271862,1.270712,1.270154"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.870885,-0.873920,-0.877156,-0.880778,-0.877282,-0.879917,-0.879581"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.270025,1.272553,1.269381,1.272566,1.273049,1.271901,1.271313"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.853155,-0.856938,-0.859607,-0.863061,-0.859259,-0.862724,-0.862724"); - } - - } - - internal_power () { - - when : "CK & D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.269972,1.269069,1.268805,1.272066,1.272470,1.271317,1.270734"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.869273,-0.872295,-0.875511,-0.879133,-0.875695,-0.878274,-0.878010"); - } - - } - - internal_power () { - - when : "CK & D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.269493,1.268587,1.268431,1.271598,1.272024,1.270877,1.270271"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.870432,-0.873423,-0.876652,-0.880284,-0.876879,-0.879431,-0.879204"); - } - - } - - internal_power () { - - when : "CK & D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.269375,1.268481,1.268210,1.271478,1.271849,1.270699,1.270145"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.870946,-0.874333,-0.877197,-0.880807,-0.877300,-0.879913,-0.879590"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.961605; - fall_capacitance : 0.873316; - rise_capacitance : 0.961605; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - when : "SN"; - sdf_cond : "SN === 1'b1"; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.069241,0.077851,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.092439,0.091369,0.198733"); - } - } - - internal_power () { - - when : "!D & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.509289,7.476823,7.488472,7.675463,8.060947,8.672013,9.561544"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("21.004770,20.954460,20.682750,20.598360,20.920760,21.653740,22.821310"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.860116,3.830977,3.841755,4.017383,4.378292,4.957110,5.803276"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.387605,3.366681,3.356953,3.478253,3.812643,4.416110,5.317463"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.628847,7.592478,7.602802,7.791473,8.175564,8.788555,9.675033"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.509344,7.476813,7.488469,7.675457,8.060947,8.672029,9.561563"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("21.005090,20.954490,20.682790,20.598390,20.920770,21.653780,22.821340"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.860125,3.830991,3.841780,4.017404,4.378310,4.957144,5.803292"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.387646,3.366737,3.357002,3.478313,3.812691,4.416159,5.317512"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.628851,7.592487,7.602822,7.791500,8.175592,8.788589,9.675046"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.508453,7.476614,7.488149,7.675136,8.060680,8.671897,9.561495"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("21.004410,20.954510,20.682760,20.598340,20.920720,21.653680,22.821250"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.859947,3.830802,3.841586,4.017248,4.378138,4.957071,5.803270"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.387705,3.366805,3.357045,3.478359,3.812717,4.416103,5.317492"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.628555,7.592204,7.602536,7.791228,8.175394,8.788455,9.674993"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.990536,3.959715,3.972493,4.152905,4.519629,5.106161,5.960439"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.247075,3.225840,3.212698,3.334342,3.674639,4.282807,5.191443"); - } - - } - - internal_power () { - - when : "!D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.542607,7.507802,7.519739,7.695749,8.058818,8.641083,9.492886"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.990126,3.958533,3.972102,4.152121,4.518417,5.105436,5.957863"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.255798,3.233032,3.220681,3.341138,3.679842,4.287508,5.195049"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.990577,3.959734,3.972527,4.152932,4.519690,5.106228,5.960505"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.247154,3.225811,3.212827,3.334470,3.674689,4.282924,5.191566"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.543328,7.508520,7.520742,7.696801,8.060392,8.642485,9.494346"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.990176,3.958556,3.972135,4.152394,4.518415,5.105601,5.957862"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.255867,3.233138,3.220806,3.341263,3.679967,4.287625,5.195171"); - } - - } - - internal_power () { - - when : "D & !SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.990560,3.959728,3.972520,4.152927,4.519679,5.106218,5.960494"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.247152,3.225797,3.212809,3.334451,3.674681,4.282904,5.191546"); - } - - } - - internal_power () { - - when : "D & !SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.544253,7.509452,7.521250,7.697743,8.061155,8.643478,9.495319"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.990153,3.958548,3.972129,4.152389,4.518414,5.105591,5.957863"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.255878,3.233124,3.220787,3.341245,3.679949,4.287607,5.195153"); - } - - } - - internal_power () { - - when : "D & SE & !SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.508958,7.476631,7.488163,7.675131,8.060682,8.671922,9.561523"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("21.005740,20.954560,20.682810,20.598380,20.920740,21.653730,22.821290"); - } - - } - - internal_power () { - - when : "D & SE & !SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.859970,3.830824,3.841613,4.017271,4.378153,4.957112,5.803287"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.387751,3.366864,3.357103,3.478413,3.812775,4.416171,5.317548"); - } - - } - - internal_power () { - - when : "D & SE & !SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.628581,7.592233,7.602575,7.791270,8.175408,8.788502,9.675009"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & !SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.990524,3.959709,3.972485,4.152899,4.519615,5.106147,5.960422"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.247059,3.225820,3.212672,3.334317,3.674628,4.282782,5.191418"); - } - - } - - internal_power () { - - when : "D & SE & SI & SN & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.542705,7.508037,7.519443,7.695967,8.059240,8.641310,9.493140"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & SN & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.990114,3.958527,3.972093,4.152114,4.518416,5.105422,5.957863"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.255782,3.233011,3.220656,3.341113,3.679817,4.287482,5.195024"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.084973,0.091996,0.098286,0.108634,0.125175,0.151077,0.193174", \ - "0.086457,0.093479,0.099780,0.110127,0.126663,0.152569,0.194650", \ - "0.091506,0.098523,0.104822,0.115170,0.131709,0.157618,0.199699", \ - "0.096888,0.103911,0.110204,0.120553,0.137102,0.163006,0.205095", \ - "0.100763,0.107792,0.114084,0.124449,0.140968,0.166885,0.208976", \ - "0.103124,0.110147,0.116446,0.126797,0.143323,0.169239,0.211350", \ - "0.103920,0.110951,0.117243,0.127637,0.144152,0.170067,0.212178"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.072099,0.079176,0.085652,0.096850,0.116748,0.153794,0.226346", \ - "0.073573,0.080648,0.087126,0.098320,0.118222,0.155270,0.227819", \ - "0.078660,0.085735,0.092212,0.103411,0.123303,0.160352,0.232898", \ - "0.084341,0.091413,0.097888,0.109092,0.128989,0.166042,0.238592", \ - "0.088751,0.095827,0.102303,0.113501,0.133394,0.170443,0.242993", \ - "0.091609,0.098682,0.105160,0.116370,0.136258,0.173292,0.245853", \ - "0.092494,0.099570,0.106050,0.117253,0.137138,0.174177,0.246729"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.015581,0.018101,0.020675,0.025277,0.033288,0.047016,0.074500", \ - "0.015583,0.018102,0.020675,0.025278,0.033289,0.047012,0.074496", \ - "0.015587,0.018113,0.020683,0.025287,0.033295,0.047015,0.074499", \ - "0.015597,0.018121,0.020691,0.025293,0.033302,0.047021,0.074505", \ - "0.015626,0.018147,0.020720,0.025312,0.033315,0.047032,0.074510", \ - "0.015637,0.018159,0.020729,0.025332,0.033339,0.047049,0.074516", \ - "0.015701,0.018221,0.020789,0.025387,0.033384,0.047079,0.074512"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.008340,0.012480,0.016638,0.024599,0.040516,0.073418,0.141582", \ - "0.008336,0.012479,0.016639,0.024601,0.040517,0.073418,0.141582", \ - "0.008335,0.012479,0.016635,0.024601,0.040515,0.073417,0.141586", \ - "0.008338,0.012475,0.016637,0.024600,0.040516,0.073419,0.141580", \ - "0.008337,0.012472,0.016635,0.024604,0.040520,0.073419,0.141584", \ - "0.008344,0.012483,0.016644,0.024605,0.040521,0.073417,0.141588", \ - "0.008354,0.012495,0.016652,0.024612,0.040523,0.073422,0.141583"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.108308,0.116123,0.123730,0.137030,0.159622,0.198886,0.272305", \ - "0.109846,0.117662,0.125270,0.138570,0.161163,0.200429,0.273847", \ - "0.116142,0.123963,0.131569,0.144863,0.167451,0.206714,0.280130", \ - "0.128104,0.135790,0.143274,0.156429,0.178893,0.218075,0.291450", \ - "0.142296,0.149777,0.157015,0.169831,0.192011,0.231004,0.304267", \ - "0.157443,0.164857,0.171925,0.184405,0.206206,0.244920,0.317989", \ - "0.173942,0.181396,0.188396,0.200567,0.221920,0.260249,0.333104"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.014785,0.018930,0.023540,0.031955,0.047544,0.078738,0.144519", \ - "0.014784,0.018929,0.023539,0.031957,0.047547,0.078737,0.144506", \ - "0.014786,0.018930,0.023538,0.031956,0.047545,0.078741,0.144513", \ - "0.014784,0.018930,0.023539,0.031955,0.047550,0.078743,0.144507", \ - "0.014789,0.018934,0.023544,0.031958,0.047551,0.078726,0.144521", \ - "0.014798,0.018947,0.023559,0.031984,0.047571,0.078735,0.144523", \ - "0.014842,0.018976,0.023581,0.031997,0.047580,0.078737,0.144521"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.108308,0.116123,0.123730,0.137030,0.159622,0.198886,0.272308", \ - "0.109846,0.117662,0.125270,0.138570,0.161163,0.200429,0.273847", \ - "0.116142,0.123963,0.131569,0.144863,0.167451,0.206714,0.280130", \ - "0.128104,0.135790,0.143274,0.156429,0.178893,0.218075,0.291450", \ - "0.142296,0.149777,0.157015,0.169831,0.192011,0.231004,0.304267", \ - "0.157443,0.164857,0.171925,0.184405,0.206206,0.244920,0.317989", \ - "0.173942,0.181396,0.188396,0.200567,0.221920,0.260249,0.333104"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.014785,0.018930,0.023540,0.031955,0.047547,0.078738,0.144519", \ - "0.014784,0.018929,0.023539,0.031957,0.047547,0.078737,0.144506", \ - "0.014786,0.018930,0.023538,0.031956,0.047545,0.078741,0.144513", \ - "0.014784,0.018930,0.023539,0.031955,0.047550,0.078743,0.144507", \ - "0.014789,0.018934,0.023544,0.031958,0.047551,0.078726,0.144521", \ - "0.014798,0.018947,0.023559,0.031984,0.047571,0.078735,0.144523", \ - "0.014842,0.018976,0.023581,0.031997,0.047580,0.078737,0.144521"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.108308,0.116123,0.123730,0.137030,0.159621,0.198886,0.272305", \ - "0.109846,0.117663,0.125270,0.138570,0.161163,0.200429,0.273847", \ - "0.116142,0.123963,0.131569,0.144863,0.167451,0.206714,0.280130", \ - "0.128104,0.135790,0.143274,0.156429,0.178893,0.218075,0.291450", \ - "0.142296,0.149777,0.157015,0.169831,0.192011,0.231004,0.304267", \ - "0.157443,0.164857,0.171925,0.184405,0.206206,0.244920,0.317989", \ - "0.173942,0.181397,0.188396,0.200567,0.221920,0.260249,0.333104"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.014785,0.018930,0.023540,0.031955,0.047544,0.078738,0.144519", \ - "0.014784,0.018929,0.023539,0.031957,0.047547,0.078737,0.144506", \ - "0.014786,0.018930,0.023538,0.031956,0.047545,0.078741,0.144513", \ - "0.014784,0.018930,0.023539,0.031955,0.047550,0.078743,0.144507", \ - "0.014789,0.018934,0.023544,0.031958,0.047551,0.078726,0.144521", \ - "0.014798,0.018947,0.023559,0.031984,0.047571,0.078735,0.144523", \ - "0.014842,0.018976,0.023581,0.031997,0.047580,0.078737,0.144521"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.108323,0.116140,0.123748,0.137051,0.159648,0.198923,0.272363", \ - "0.109863,0.117679,0.125287,0.138591,0.161190,0.200466,0.273906", \ - "0.116159,0.123980,0.131588,0.144885,0.167478,0.206751,0.280188", \ - "0.128115,0.135802,0.143288,0.156445,0.178914,0.218107,0.291505", \ - "0.142302,0.149784,0.157022,0.169845,0.192031,0.231027,0.304313", \ - "0.157445,0.164861,0.171929,0.184411,0.206219,0.244940,0.318028", \ - "0.173944,0.181392,0.188390,0.200573,0.221930,0.260275,0.333148"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.014787,0.018934,0.023542,0.031962,0.047556,0.078761,0.144551", \ - "0.014784,0.018932,0.023542,0.031963,0.047559,0.078759,0.144547", \ - "0.014788,0.018932,0.023541,0.031961,0.047556,0.078764,0.144547", \ - "0.014787,0.018933,0.023542,0.031961,0.047561,0.078765,0.144549", \ - "0.014791,0.018935,0.023547,0.031964,0.047560,0.078764,0.144561", \ - "0.014800,0.018950,0.023563,0.031989,0.047583,0.078775,0.144561", \ - "0.014842,0.018978,0.023587,0.032004,0.047590,0.078752,0.144560"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.108324,0.116140,0.123748,0.137051,0.159648,0.198923,0.272363", \ - "0.109863,0.117679,0.125287,0.138591,0.161190,0.200466,0.273906", \ - "0.116159,0.123980,0.131588,0.144885,0.167478,0.206751,0.280188", \ - "0.128115,0.135802,0.143288,0.156445,0.178914,0.218107,0.291505", \ - "0.142302,0.149784,0.157022,0.169845,0.192031,0.231027,0.304313", \ - "0.157445,0.164861,0.171929,0.184411,0.206219,0.244940,0.318028", \ - "0.173944,0.181392,0.188390,0.200573,0.221930,0.260275,0.333148"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.014787,0.018934,0.023542,0.031962,0.047556,0.078761,0.144551", \ - "0.014784,0.018932,0.023542,0.031963,0.047559,0.078759,0.144547", \ - "0.014788,0.018932,0.023541,0.031961,0.047556,0.078764,0.144547", \ - "0.014787,0.018933,0.023542,0.031961,0.047561,0.078765,0.144549", \ - "0.014791,0.018935,0.023547,0.031964,0.047560,0.078764,0.144561", \ - "0.014800,0.018950,0.023563,0.031989,0.047583,0.078775,0.144561", \ - "0.014842,0.018978,0.023587,0.032004,0.047590,0.078752,0.144560"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.108323,0.116140,0.123748,0.137051,0.159648,0.198923,0.272363", \ - "0.109863,0.117679,0.125287,0.138591,0.161190,0.200466,0.273906", \ - "0.116159,0.123980,0.131588,0.144885,0.167478,0.206751,0.280188", \ - "0.128115,0.135802,0.143288,0.156445,0.178914,0.218107,0.291505", \ - "0.142302,0.149784,0.157022,0.169845,0.192031,0.231027,0.304313", \ - "0.157445,0.164861,0.171929,0.184411,0.206219,0.244940,0.318028", \ - "0.173944,0.181392,0.188390,0.200573,0.221930,0.260275,0.333148"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.014787,0.018934,0.023542,0.031962,0.047556,0.078761,0.144551", \ - "0.014784,0.018932,0.023542,0.031963,0.047559,0.078759,0.144547", \ - "0.014788,0.018932,0.023541,0.031961,0.047556,0.078764,0.144547", \ - "0.014787,0.018933,0.023542,0.031961,0.047561,0.078765,0.144549", \ - "0.014791,0.018935,0.023547,0.031964,0.047560,0.078764,0.144561", \ - "0.014800,0.018950,0.023563,0.031989,0.047583,0.078775,0.144561", \ - "0.014842,0.018978,0.023587,0.032004,0.047590,0.078752,0.144560"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.108308,0.116123,0.123730,0.137030,0.159622,0.198886,0.272305", \ - "0.109846,0.117662,0.125270,0.138570,0.161163,0.200429,0.273847", \ - "0.116142,0.123963,0.131569,0.144863,0.167451,0.206714,0.280130", \ - "0.128104,0.135790,0.143274,0.156429,0.178893,0.218075,0.291450", \ - "0.142296,0.149777,0.157015,0.169831,0.192011,0.231004,0.304267", \ - "0.157443,0.164857,0.171925,0.184405,0.206206,0.244920,0.317989", \ - "0.173942,0.181396,0.188396,0.200567,0.221920,0.260249,0.333104"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.014785,0.018930,0.023540,0.031955,0.047544,0.078738,0.144519", \ - "0.014784,0.018929,0.023539,0.031957,0.047547,0.078737,0.144506", \ - "0.014786,0.018930,0.023538,0.031956,0.047545,0.078741,0.144513", \ - "0.014784,0.018930,0.023539,0.031955,0.047550,0.078743,0.144507", \ - "0.014789,0.018934,0.023544,0.031958,0.047551,0.078726,0.144521", \ - "0.014798,0.018947,0.023559,0.031984,0.047571,0.078735,0.144523", \ - "0.014842,0.018976,0.023581,0.031997,0.047580,0.078737,0.144521"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.108323,0.116140,0.123748,0.137051,0.159648,0.198923,0.272363", \ - "0.109863,0.117679,0.125287,0.138591,0.161190,0.200466,0.273906", \ - "0.116159,0.123980,0.131588,0.144885,0.167478,0.206751,0.280188", \ - "0.128115,0.135802,0.143288,0.156445,0.178914,0.218107,0.291505", \ - "0.142302,0.149784,0.157022,0.169845,0.192031,0.231027,0.304313", \ - "0.157445,0.164861,0.171929,0.184411,0.206219,0.244940,0.318028", \ - "0.173944,0.181392,0.188390,0.200573,0.221930,0.260275,0.333148"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.014787,0.018934,0.023542,0.031962,0.047556,0.078761,0.144551", \ - "0.014784,0.018932,0.023542,0.031963,0.047559,0.078758,0.144547", \ - "0.014788,0.018932,0.023541,0.031961,0.047556,0.078764,0.144547", \ - "0.014786,0.018933,0.023542,0.031961,0.047561,0.078765,0.144548", \ - "0.014791,0.018935,0.023547,0.031964,0.047560,0.078764,0.144561", \ - "0.014800,0.018950,0.023563,0.031989,0.047583,0.078775,0.144561", \ - "0.014842,0.018978,0.023587,0.032004,0.047590,0.078752,0.144560"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.225027,0.232392,0.239053,0.250310,0.269921,0.306697,0.379006", \ - "0.226567,0.233928,0.240586,0.251841,0.271454,0.308225,0.380532", \ - "0.232666,0.240028,0.246694,0.257949,0.277564,0.314343,0.386654", \ - "0.242681,0.250054,0.256711,0.267972,0.287588,0.324374,0.396681", \ - "0.257451,0.264815,0.271467,0.282713,0.302309,0.339070,0.411370", \ - "0.278696,0.286046,0.292693,0.303935,0.323487,0.360246,0.432528", \ - "0.307301,0.314656,0.321311,0.332540,0.352112,0.388811,0.461119"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009837,0.013747,0.017676,0.025143,0.040520,0.073411,0.141660", \ - "0.009834,0.013749,0.017675,0.025142,0.040520,0.073423,0.141662", \ - "0.009835,0.013742,0.017677,0.025143,0.040521,0.073415,0.141668", \ - "0.009833,0.013748,0.017675,0.025142,0.040515,0.073401,0.141657", \ - "0.009838,0.013743,0.017676,0.025142,0.040518,0.073421,0.141665", \ - "0.009838,0.013751,0.017677,0.025147,0.040520,0.073407,0.141675", \ - "0.009858,0.013764,0.017692,0.025159,0.040522,0.073416,0.141683"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.225027,0.232392,0.239053,0.250310,0.269924,0.306697,0.379006", \ - "0.226567,0.233928,0.240586,0.251841,0.271454,0.308225,0.380532", \ - "0.232661,0.240028,0.246694,0.257949,0.277564,0.314343,0.386654", \ - "0.242681,0.250054,0.256711,0.267972,0.287588,0.324374,0.396681", \ - "0.257451,0.264815,0.271467,0.282713,0.302309,0.339070,0.411370", \ - "0.278696,0.286046,0.292693,0.303935,0.323487,0.360246,0.432528", \ - "0.307301,0.314656,0.321311,0.332540,0.352112,0.388811,0.461119"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009837,0.013747,0.017676,0.025143,0.040527,0.073411,0.141659", \ - "0.009834,0.013749,0.017675,0.025142,0.040520,0.073423,0.141662", \ - "0.009839,0.013742,0.017677,0.025143,0.040521,0.073415,0.141668", \ - "0.009833,0.013748,0.017675,0.025142,0.040515,0.073401,0.141657", \ - "0.009838,0.013743,0.017676,0.025142,0.040518,0.073421,0.141665", \ - "0.009838,0.013751,0.017677,0.025147,0.040520,0.073407,0.141675", \ - "0.009858,0.013764,0.017692,0.025159,0.040522,0.073416,0.141683"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.225027,0.232392,0.239053,0.250310,0.269921,0.306697,0.379005", \ - "0.226567,0.233928,0.240586,0.251841,0.271454,0.308225,0.380532", \ - "0.232660,0.240028,0.246694,0.257949,0.277564,0.314343,0.386654", \ - "0.242681,0.250054,0.256711,0.267972,0.287588,0.324374,0.396681", \ - "0.257451,0.264815,0.271467,0.282713,0.302309,0.339070,0.411370", \ - "0.278696,0.286045,0.292693,0.303935,0.323487,0.360246,0.432528", \ - "0.307301,0.314656,0.321311,0.332540,0.352112,0.388811,0.461119"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009837,0.013747,0.017676,0.025143,0.040520,0.073411,0.141660", \ - "0.009834,0.013749,0.017675,0.025142,0.040520,0.073423,0.141662", \ - "0.009839,0.013742,0.017677,0.025143,0.040521,0.073415,0.141668", \ - "0.009833,0.013748,0.017675,0.025142,0.040515,0.073401,0.141657", \ - "0.009838,0.013743,0.017676,0.025142,0.040518,0.073421,0.141665", \ - "0.009838,0.013751,0.017677,0.025147,0.040520,0.073406,0.141675", \ - "0.009858,0.013764,0.017692,0.025159,0.040522,0.073416,0.141683"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.225091,0.232455,0.239116,0.250372,0.269980,0.306751,0.379051", \ - "0.226630,0.233991,0.240649,0.251903,0.271513,0.308279,0.380578", \ - "0.232729,0.240090,0.246753,0.258010,0.277622,0.314396,0.386698", \ - "0.242749,0.250114,0.256770,0.268032,0.287645,0.324425,0.396724", \ - "0.257505,0.264873,0.271525,0.282769,0.302363,0.339119,0.411412", \ - "0.278752,0.286101,0.292749,0.303990,0.323539,0.360294,0.432572", \ - "0.307357,0.314712,0.321366,0.332598,0.352164,0.388860,0.461160"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009839,0.013749,0.017678,0.025145,0.040521,0.073413,0.141673", \ - "0.009837,0.013751,0.017677,0.025143,0.040522,0.073424,0.141663", \ - "0.009837,0.013745,0.017677,0.025144,0.040521,0.073416,0.141667", \ - "0.009840,0.013751,0.017676,0.025144,0.040518,0.073404,0.141656", \ - "0.009839,0.013745,0.017678,0.025144,0.040518,0.073421,0.141664", \ - "0.009840,0.013753,0.017678,0.025148,0.040520,0.073409,0.141679", \ - "0.009859,0.013766,0.017694,0.025161,0.040522,0.073418,0.141683"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.225096,0.232460,0.239121,0.250377,0.269985,0.306755,0.379054", \ - "0.226635,0.233996,0.240654,0.251908,0.271517,0.308283,0.380580", \ - "0.232734,0.240095,0.246757,0.258015,0.277627,0.314399,0.386701", \ - "0.242753,0.250118,0.256775,0.268036,0.287649,0.324429,0.396727", \ - "0.257509,0.264877,0.271529,0.282773,0.302367,0.339123,0.411415", \ - "0.278756,0.286106,0.292753,0.303993,0.323543,0.360297,0.432574", \ - "0.307360,0.314716,0.321370,0.332602,0.352168,0.388863,0.461163"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009839,0.013749,0.017678,0.025146,0.040524,0.073413,0.141658", \ - "0.009837,0.013751,0.017677,0.025143,0.040522,0.073424,0.141663", \ - "0.009837,0.013745,0.017677,0.025144,0.040521,0.073417,0.141667", \ - "0.009840,0.013751,0.017676,0.025144,0.040518,0.073404,0.141656", \ - "0.009839,0.013745,0.017678,0.025144,0.040518,0.073421,0.141664", \ - "0.009840,0.013751,0.017678,0.025148,0.040520,0.073409,0.141679", \ - "0.009859,0.013766,0.017694,0.025161,0.040522,0.073418,0.141683"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.225096,0.232460,0.239121,0.250376,0.269985,0.306755,0.379054", \ - "0.226635,0.233996,0.240653,0.251907,0.271517,0.308283,0.380580", \ - "0.232730,0.240095,0.246757,0.258014,0.277626,0.314399,0.386701", \ - "0.242753,0.250118,0.256774,0.268036,0.287649,0.324429,0.396727", \ - "0.257509,0.264877,0.271529,0.282773,0.302367,0.339122,0.411415", \ - "0.278756,0.286105,0.292753,0.303993,0.323543,0.360297,0.432574", \ - "0.307360,0.314716,0.321369,0.332602,0.352167,0.388862,0.461163"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009839,0.013749,0.017678,0.025146,0.040525,0.073413,0.141673", \ - "0.009837,0.013751,0.017677,0.025143,0.040522,0.073424,0.141663", \ - "0.009841,0.013745,0.017677,0.025144,0.040521,0.073417,0.141667", \ - "0.009840,0.013751,0.017676,0.025144,0.040518,0.073404,0.141656", \ - "0.009839,0.013745,0.017678,0.025144,0.040518,0.073421,0.141664", \ - "0.009840,0.013753,0.017678,0.025148,0.040520,0.073409,0.141679", \ - "0.009859,0.013766,0.017694,0.025161,0.040522,0.073418,0.141683"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.225027,0.232392,0.239053,0.250310,0.269920,0.306697,0.379005", \ - "0.226567,0.233928,0.240586,0.251841,0.271454,0.308225,0.380532", \ - "0.232661,0.240028,0.246694,0.257949,0.277564,0.314343,0.386654", \ - "0.242681,0.250054,0.256711,0.267972,0.287588,0.324374,0.396681", \ - "0.257451,0.264815,0.271467,0.282713,0.302309,0.339070,0.411370", \ - "0.278696,0.286046,0.292693,0.303935,0.323487,0.360246,0.432528", \ - "0.307301,0.314656,0.321311,0.332540,0.352112,0.388811,0.461119"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009837,0.013747,0.017676,0.025143,0.040520,0.073411,0.141657", \ - "0.009834,0.013749,0.017675,0.025142,0.040520,0.073423,0.141662", \ - "0.009839,0.013742,0.017677,0.025143,0.040521,0.073415,0.141668", \ - "0.009833,0.013748,0.017675,0.025142,0.040515,0.073401,0.141657", \ - "0.009838,0.013743,0.017676,0.025142,0.040518,0.073421,0.141665", \ - "0.009838,0.013751,0.017677,0.025147,0.040520,0.073407,0.141675", \ - "0.009858,0.013764,0.017692,0.025159,0.040522,0.073416,0.141683"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : preset; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : negative_unate; - - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.225090,0.232453,0.239115,0.250371,0.269977,0.306750,0.379051", \ - "0.226629,0.233990,0.240648,0.251902,0.271511,0.308278,0.380577", \ - "0.232724,0.240089,0.246751,0.258009,0.277621,0.314395,0.386697", \ - "0.242747,0.250112,0.256769,0.268031,0.287644,0.324424,0.396723", \ - "0.257504,0.264872,0.271524,0.282768,0.302362,0.339118,0.411411", \ - "0.278751,0.286100,0.292748,0.303988,0.323538,0.360293,0.432571", \ - "0.307356,0.314711,0.321365,0.332597,0.352163,0.388859,0.461160"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.009839,0.013749,0.017677,0.025145,0.040525,0.073413,0.141659", \ - "0.009836,0.013751,0.017677,0.025143,0.040522,0.073424,0.141663", \ - "0.009840,0.013745,0.017677,0.025144,0.040521,0.073416,0.141667", \ - "0.009840,0.013750,0.017676,0.025144,0.040518,0.073404,0.141656", \ - "0.009839,0.013745,0.017678,0.025144,0.040518,0.073421,0.141664", \ - "0.009840,0.013751,0.017678,0.025148,0.040520,0.073409,0.141679", \ - "0.009859,0.013766,0.017694,0.025161,0.040522,0.073418,0.141683"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.520903,8.336620,8.134300,7.903874,7.787994,7.792400,7.737209", \ - "8.491912,8.322740,8.128504,7.894490,7.779006,7.783275,7.710758", \ - "8.516018,8.329499,8.116749,7.857478,7.754341,7.747702,7.725483", \ - "8.524815,8.384295,8.119831,7.945362,7.814327,7.786777,7.595792", \ - "8.728457,8.538229,8.327789,8.096700,7.977711,7.965824,7.892818", \ - "9.016856,8.861823,8.633736,8.396429,8.219327,8.256595,8.157729", \ - "9.534266,9.342004,9.132942,8.888037,8.720608,8.711657,8.510677"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("7.313152,7.375533,7.461481,7.700822,7.909516,7.882810,8.006232", \ - "7.299358,7.356054,7.438471,7.683545,7.913860,7.846212,8.000286", \ - "7.294540,7.359891,7.437423,7.677395,7.906368,7.958747,7.991896", \ - "7.341554,7.421517,7.506884,7.747095,7.970394,7.925060,8.045957", \ - "7.528361,7.568280,7.665461,7.916136,8.126538,8.201298,8.221482", \ - "7.839996,7.906664,8.001481,8.226014,8.445144,8.400124,8.409444", \ - "8.285384,8.369975,8.449279,8.700221,8.903402,8.821237,8.849387"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.904431,6.714203,6.698635,6.710734,6.638064,6.528949,6.545310", \ - "6.878826,6.665671,6.658531,6.635929,6.629742,6.376589,6.358005", \ - "6.850750,6.661829,6.611894,6.625095,6.555807,6.451901,6.569803", \ - "7.140200,6.840218,6.772748,6.771116,6.768620,6.526557,6.437432", \ - "7.670334,7.284242,7.166127,7.110401,7.107712,6.787676,6.962355", \ - "8.432037,7.979281,7.811867,7.690395,7.602218,7.356209,7.403780", \ - "9.411522,8.892858,8.684491,8.514587,8.345427,8.093213,8.060182"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.904325,6.714089,6.698260,6.710600,6.638195,6.528763,6.544894", \ - "6.878720,6.665559,6.658410,6.635796,6.629590,6.376407,6.357789", \ - "6.850738,6.661717,6.611775,6.624964,6.555656,6.451725,6.569591", \ - "7.140112,6.840108,6.772642,6.770987,6.768473,6.526381,6.437226", \ - "7.670237,7.284139,7.166017,7.110281,7.107574,6.787512,6.962159", \ - "8.431953,7.979192,7.811773,7.690290,7.602094,7.356057,7.403596", \ - "9.411459,8.892791,8.684419,8.514504,8.345325,8.093083,8.060021"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.904654,6.714433,6.699736,6.710996,6.638457,6.529347,6.545761", \ - "6.879047,6.665901,6.658788,6.636187,6.630045,6.376956,6.358458", \ - "6.850970,6.662058,6.612130,6.625354,6.556111,6.452236,6.570251", \ - "7.140431,6.840440,6.772951,6.771366,6.768913,6.526911,6.437871", \ - "7.670534,7.284448,7.166339,7.110631,7.107990,6.788014,6.962772", \ - "8.432204,7.979454,7.812046,7.690591,7.602454,7.356508,7.404164", \ - "9.411642,8.892983,8.684621,8.514734,8.345615,8.093466,8.060523"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.811758,6.620651,6.602258,6.616306,6.542904,6.432976,6.447399", \ - "6.787462,6.573894,6.527011,6.543359,6.536496,6.282325,6.262598", \ - "6.775007,6.571057,6.531831,6.533452,6.463392,6.358713,6.475257", \ - "7.050382,6.750148,6.683369,6.680116,6.676963,6.433947,6.614696", \ - "7.581180,7.195109,7.076797,7.020154,6.941987,6.695738,6.869669", \ - "8.344707,7.891261,7.723741,7.601371,7.512162,7.265085,7.312098", \ - "9.326014,8.807518,8.590835,8.428269,8.255209,8.003709,7.970299"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.811844,6.620740,6.601852,6.616412,6.543041,6.433128,6.447538", \ - "6.787551,6.573983,6.527093,6.543452,6.536602,6.282433,6.262767", \ - "6.775092,6.571147,6.531912,6.533555,6.463524,6.358900,6.475446", \ - "7.050458,6.750225,6.683434,6.680210,6.677071,6.434057,6.614926", \ - "7.581254,7.195185,7.076867,7.020230,6.942073,6.695875,6.869880", \ - "8.344766,7.891325,7.723802,7.601431,7.512234,7.265162,7.312271", \ - "9.326057,8.807563,8.590876,8.428323,8.255269,8.003778,7.970487"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.812252,6.621178,6.602757,6.616927,6.543635,6.433819,6.448335", \ - "6.787961,6.574419,6.527549,6.543957,6.537177,6.283099,6.263576", \ - "6.775499,6.571582,6.532381,6.534064,6.464112,6.359603,6.476255", \ - "7.050854,6.750645,6.683881,6.680703,6.677631,6.434705,6.615751", \ - "7.581628,7.195583,7.077284,7.020691,6.942594,6.696508,6.870653", \ - "8.345091,7.891675,7.724169,7.601833,7.512698,7.265719,7.312985", \ - "9.326306,8.807834,8.591166,8.428654,8.255662,8.004266,7.971145"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.904342,6.714100,6.699204,6.710604,6.637920,6.528818,6.545158", \ - "6.878736,6.665570,6.658434,6.635802,6.629603,6.376440,6.357837", \ - "6.850661,6.661728,6.611783,6.624968,6.555665,6.451719,6.569635", \ - "7.140113,6.840119,6.772625,6.770991,6.768483,6.526412,6.437255", \ - "7.670250,7.284147,7.166022,7.110282,7.107587,6.787533,6.962192", \ - "8.431960,7.979194,7.811771,7.690287,7.602097,7.356078,7.403626", \ - "9.411457,8.892783,8.684406,8.514489,8.345317,8.093093,8.060037"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.812160,6.621082,6.602713,6.616813,6.543490,6.433656,6.448186", \ - "6.787864,6.574323,6.527461,6.543857,6.537062,6.282981,6.263395", \ - "6.775407,6.571485,6.532293,6.533954,6.463971,6.359405,6.476053", \ - "7.050781,6.750563,6.683810,6.680602,6.677514,6.434586,6.615500", \ - "7.581548,7.195501,7.077208,7.020606,6.942500,6.696362,6.870428", \ - "8.345025,7.891603,7.724101,7.601767,7.512620,7.265635,7.312799", \ - "9.326259,8.807784,8.591120,8.428594,8.255595,8.004189,7.970943"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.516788,8.608874,8.718941,8.848001,8.903249,8.934616,8.863503", \ - "8.479837,8.580906,8.663861,8.767861,8.885704,8.784374,8.675344", \ - "8.461886,8.504284,8.671731,8.770446,8.830932,8.867925,8.560367", \ - "8.745459,8.743435,8.813669,8.877978,8.854513,9.032877,8.851569", \ - "9.278825,9.192342,9.208376,9.272191,9.299330,9.180621,9.252636", \ - "10.074920,9.903162,9.825575,9.852996,9.847976,9.849432,9.551169", \ - "11.194730,10.939680,10.827760,10.784360,10.655760,10.634220,10.390000"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.516609,8.608693,8.718755,8.847805,8.903398,8.934333,8.863269", \ - "8.479660,8.580724,8.663676,8.767667,8.885493,8.784150,8.675099", \ - "8.475782,8.504106,8.671548,8.770255,8.830730,8.867674,8.560124", \ - "8.745291,8.743262,8.813763,8.877796,8.854317,9.032664,8.851338", \ - "9.278667,9.192181,9.208211,9.272019,9.299144,9.180418,9.252414", \ - "10.074780,9.903017,9.825426,9.852838,9.847806,9.849243,9.550956", \ - "11.194610,10.939560,10.827630,10.784220,10.655610,10.634050,10.389810"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.517172,8.609264,8.719331,8.848404,8.903898,8.956154,8.863639", \ - "8.480220,8.581292,8.664249,8.768260,8.886111,8.784841,8.675860", \ - "8.476339,8.504665,8.672113,8.770841,8.831353,8.868410,8.560877", \ - "8.745819,8.743791,8.814231,8.878356,8.854911,9.033326,8.852055", \ - "9.279159,9.192675,9.208714,9.272540,9.299703,9.181047,9.253112", \ - "10.075220,9.895723,9.825873,9.853305,9.848311,9.850767,9.551614", \ - "11.194970,10.939930,10.828010,10.784620,10.656050,10.634560,10.390410"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.518368,8.606152,8.716042,8.845310,8.901121,8.933687,8.863100", \ - "8.481396,8.582618,8.660899,8.765081,8.881677,8.894189,8.676002", \ - "8.462135,8.501350,8.669141,8.767789,8.828772,8.867010,8.561036", \ - "8.754223,8.743971,8.794630,8.875341,8.996512,9.031121,8.852361", \ - "9.277081,9.194035,9.205828,9.269836,9.297437,9.179601,9.253478", \ - "10.074940,9.897062,9.823017,9.850700,9.846164,9.848721,9.551315", \ - "11.212400,10.937220,10.825170,10.781880,10.653760,10.633190,10.390650"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.518603,8.606062,8.715934,8.845244,8.924437,8.933703,8.863977", \ - "8.481631,8.582866,8.660763,8.764993,8.882760,8.890843,8.676146", \ - "8.462348,8.501239,8.669049,8.767721,8.828767,8.867104,8.561162", \ - "8.754181,8.744149,8.794518,8.875257,8.996492,9.031179,8.852557", \ - "9.277355,9.194251,9.205736,9.269768,9.297407,9.179654,9.253662", \ - "10.075100,9.867450,9.822913,9.850619,9.846123,9.848822,9.551453", \ - "11.212340,10.937100,10.825050,10.781800,10.653690,10.633180,10.390800"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.519274,8.606773,8.716658,8.846000,8.922861,8.934518,8.864118", \ - "8.482300,8.583553,8.661485,8.765758,8.883448,8.891693,8.677059", \ - "8.475627,8.501941,8.669761,8.768462,8.829561,8.867992,8.562063", \ - "8.754833,8.744797,8.795201,8.875983,8.997254,9.031993,8.853461", \ - "9.277944,9.194861,9.206376,9.270434,9.298121,9.180445,9.254529", \ - "10.075640,9.897801,9.823505,9.851232,9.846762,9.849527,9.552258", \ - "11.212820,10.937610,10.825560,10.782340,10.654270,10.633840,10.391560"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & !SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.516638,8.608717,8.718774,8.847823,8.903075,8.934395,8.863080", \ - "8.479688,8.580745,8.663695,8.767685,8.885493,8.784196,8.675156", \ - "8.475805,8.504129,8.671567,8.770271,8.830755,8.867766,8.560182", \ - "8.745319,8.743279,8.813665,8.877809,8.854341,9.032705,8.851383", \ - "9.278691,9.192195,9.208223,9.272029,9.299166,9.180451,9.252456", \ - "10.074800,9.903025,9.825432,9.852844,9.847822,9.849277,9.550997", \ - "11.194620,10.939560,10.827630,10.784220,10.655610,10.634070,10.389840"); - } - fall_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & SI"; - - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.519005,8.606899,8.716805,8.846117,8.901986,8.934465,8.865155", \ - "8.482032,8.583271,8.661661,8.765879,8.882170,8.896154,8.676924", \ - "8.475291,8.502092,8.669890,8.768582,8.829590,8.867829,8.561947", \ - "8.754898,8.744597,8.795362,8.876086,8.997285,9.031941,8.853274", \ - "9.277627,9.194618,9.206518,9.270548,9.298166,9.180399,9.254351", \ - "10.075450,9.868157,9.823633,9.851336,9.846837,9.849513,9.552134", \ - "11.212900,10.937750,10.825710,10.782450,10.654360,10.633860,10.391420"); - } - fall_power(scalar) {values ("0.0"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.850000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.099880,0.103037,0.106008,0.111478,0.121434,0.140055,0.176618", \ - "0.101353,0.104505,0.107481,0.112946,0.122906,0.141531,0.178095", \ - "0.106437,0.109595,0.112568,0.118037,0.127989,0.146617,0.183172", \ - "0.112118,0.115271,0.118243,0.123718,0.133671,0.152302,0.188865", \ - "0.116527,0.119683,0.122659,0.128129,0.138081,0.156712,0.193276", \ - "0.119393,0.122542,0.125515,0.130993,0.140940,0.159556,0.196132", \ - "0.120284,0.123432,0.126404,0.131877,0.141822,0.160439,0.197002"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.128782,0.132959,0.136343,0.143342,0.159395,0.194234,0.266043", \ - "0.130268,0.134442,0.137836,0.144837,0.160884,0.195726,0.267523", \ - "0.135325,0.139491,0.142883,0.149882,0.165924,0.200767,0.272567", \ - "0.140714,0.144884,0.148272,0.155268,0.171322,0.206156,0.277953", \ - "0.144619,0.148789,0.152179,0.159183,0.175200,0.210045,0.281837", \ - "0.146991,0.151157,0.154541,0.161532,0.177548,0.212378,0.284181", \ - "0.147811,0.151976,0.155345,0.162396,0.178385,0.213195,0.284993"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.005708,0.007592,0.009342,0.012684,0.019606,0.034455,0.065801", \ - "0.005708,0.007591,0.009343,0.012686,0.019608,0.034455,0.065806", \ - "0.005710,0.007592,0.009338,0.012684,0.019611,0.034454,0.065795", \ - "0.005709,0.007593,0.009340,0.012685,0.019607,0.034455,0.065791", \ - "0.005708,0.007592,0.009338,0.012684,0.019605,0.034455,0.065804", \ - "0.005708,0.007594,0.009342,0.012686,0.019612,0.034439,0.065809", \ - "0.005710,0.007593,0.009343,0.012686,0.019611,0.034455,0.065810"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.007013,0.010772,0.014509,0.021922,0.038130,0.072273,0.141209", \ - "0.007011,0.010766,0.014508,0.021920,0.038130,0.072271,0.141210", \ - "0.007014,0.010772,0.014511,0.021923,0.038130,0.072274,0.141207", \ - "0.007015,0.010770,0.014511,0.021922,0.038129,0.072272,0.141210", \ - "0.007015,0.010770,0.014510,0.021922,0.038129,0.072272,0.141210", \ - "0.007016,0.010775,0.014512,0.021924,0.038131,0.072273,0.141211", \ - "0.007023,0.010782,0.014517,0.021928,0.038131,0.072275,0.141207"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022033,0.026151,0.029717,0.035679,0.045967,0.064887,0.101776", \ - "0.023570,0.027686,0.031254,0.037218,0.047509,0.066429,0.103321", \ - "0.029878,0.033957,0.037516,0.043485,0.053786,0.072715,0.109613", \ - "0.040589,0.045000,0.048774,0.054957,0.065368,0.084311,0.121177", \ - "0.051619,0.056597,0.060843,0.067669,0.078762,0.098115,0.134941", \ - "0.063176,0.068677,0.073416,0.080981,0.092919,0.112864,0.149869", \ - "0.075498,0.081517,0.086746,0.095109,0.108140,0.129063,0.166355"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004413,0.006295,0.008195,0.011827,0.019128,0.034335,0.065837", \ - "0.004414,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ - "0.004439,0.006333,0.008225,0.011848,0.019132,0.034340,0.065837", \ - "0.005732,0.007425,0.009142,0.012471,0.019439,0.034427,0.065834", \ - "0.007563,0.009298,0.011006,0.014218,0.020783,0.035077,0.065905", \ - "0.009564,0.011384,0.013158,0.016354,0.022581,0.036158,0.066304", \ - "0.011829,0.013738,0.015618,0.018930,0.025020,0.037822,0.066809"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022033,0.026151,0.029717,0.035679,0.045968,0.064887,0.101776", \ - "0.023570,0.027686,0.031254,0.037218,0.047509,0.066429,0.103321", \ - "0.029878,0.033957,0.037516,0.043485,0.053786,0.072715,0.109613", \ - "0.040589,0.045000,0.048774,0.054957,0.065368,0.084311,0.121177", \ - "0.051619,0.056597,0.060843,0.067669,0.078762,0.098115,0.134941", \ - "0.063176,0.068677,0.073416,0.080981,0.092919,0.112864,0.149869", \ - "0.075498,0.081517,0.086746,0.095109,0.108140,0.129063,0.166355"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004413,0.006295,0.008195,0.011827,0.019123,0.034335,0.065840", \ - "0.004414,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ - "0.004439,0.006333,0.008225,0.011848,0.019132,0.034340,0.065837", \ - "0.005732,0.007425,0.009142,0.012471,0.019439,0.034427,0.065834", \ - "0.007563,0.009298,0.011006,0.014218,0.020783,0.035077,0.065905", \ - "0.009564,0.011384,0.013158,0.016354,0.022581,0.036158,0.066304", \ - "0.011829,0.013738,0.015618,0.018930,0.025020,0.037822,0.066809"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022033,0.026151,0.029717,0.035679,0.045967,0.064887,0.101776", \ - "0.023570,0.027686,0.031254,0.037218,0.047509,0.066429,0.103321", \ - "0.029878,0.033957,0.037516,0.043485,0.053786,0.072715,0.109613", \ - "0.040589,0.045000,0.048774,0.054957,0.065368,0.084311,0.121177", \ - "0.051619,0.056597,0.060843,0.067669,0.078762,0.098115,0.134941", \ - "0.063176,0.068677,0.073416,0.080981,0.092919,0.112864,0.149869", \ - "0.075498,0.081517,0.086746,0.095109,0.108140,0.129063,0.166355"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004413,0.006295,0.008195,0.011827,0.019128,0.034335,0.065837", \ - "0.004414,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ - "0.004439,0.006333,0.008225,0.011848,0.019132,0.034340,0.065837", \ - "0.005732,0.007425,0.009142,0.012471,0.019439,0.034427,0.065834", \ - "0.007563,0.009298,0.011006,0.014218,0.020783,0.035077,0.065905", \ - "0.009564,0.011384,0.013158,0.016354,0.022581,0.036158,0.066304", \ - "0.011829,0.013738,0.015618,0.018930,0.025020,0.037822,0.066809"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022031,0.026150,0.029718,0.035679,0.045966,0.064885,0.101776", \ - "0.023569,0.027686,0.031254,0.037217,0.047509,0.066428,0.103320", \ - "0.029878,0.033958,0.037516,0.043484,0.053786,0.072714,0.109612", \ - "0.040588,0.044999,0.048774,0.054956,0.065368,0.084310,0.121176", \ - "0.051619,0.056596,0.060840,0.067670,0.078761,0.098109,0.134940", \ - "0.063173,0.068675,0.073414,0.080979,0.092918,0.112863,0.149868", \ - "0.075498,0.081507,0.086733,0.095110,0.108139,0.129070,0.166356"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004414,0.006294,0.008197,0.011827,0.019124,0.034336,0.065831", \ - "0.004415,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ - "0.004439,0.006332,0.008225,0.011848,0.019132,0.034340,0.065828", \ - "0.005732,0.007425,0.009142,0.012471,0.019439,0.034426,0.065832", \ - "0.007562,0.009299,0.011006,0.014217,0.020783,0.035077,0.065906", \ - "0.009565,0.011385,0.013158,0.016355,0.022592,0.036160,0.066303", \ - "0.011828,0.013743,0.015617,0.018930,0.025020,0.037822,0.066814"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022031,0.026150,0.029718,0.035679,0.045966,0.064885,0.101776", \ - "0.023569,0.027686,0.031254,0.037217,0.047509,0.066428,0.103320", \ - "0.029878,0.033958,0.037516,0.043484,0.053786,0.072714,0.109612", \ - "0.040588,0.044999,0.048774,0.054956,0.065368,0.084310,0.121176", \ - "0.051619,0.056596,0.060840,0.067670,0.078761,0.098109,0.134940", \ - "0.063173,0.068675,0.073414,0.080979,0.092918,0.112863,0.149868", \ - "0.075498,0.081507,0.086733,0.095110,0.108139,0.129070,0.166356"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004414,0.006294,0.008197,0.011827,0.019124,0.034336,0.065831", \ - "0.004415,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ - "0.004439,0.006332,0.008225,0.011848,0.019132,0.034340,0.065828", \ - "0.005732,0.007425,0.009142,0.012471,0.019439,0.034426,0.065832", \ - "0.007562,0.009299,0.011006,0.014217,0.020783,0.035077,0.065906", \ - "0.009565,0.011385,0.013158,0.016355,0.022592,0.036160,0.066303", \ - "0.011828,0.013743,0.015617,0.018930,0.025020,0.037822,0.066814"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022031,0.026150,0.029718,0.035679,0.045966,0.064885,0.101776", \ - "0.023570,0.027686,0.031254,0.037217,0.047509,0.066428,0.103320", \ - "0.029878,0.033958,0.037516,0.043484,0.053786,0.072714,0.109612", \ - "0.040588,0.044999,0.048774,0.054956,0.065368,0.084310,0.121176", \ - "0.051619,0.056596,0.060840,0.067670,0.078761,0.098109,0.134940", \ - "0.063173,0.068675,0.073414,0.080979,0.092918,0.112863,0.149868", \ - "0.075498,0.081507,0.086733,0.095110,0.108139,0.129070,0.166356"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004414,0.006294,0.008197,0.011827,0.019124,0.034336,0.065831", \ - "0.004415,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ - "0.004439,0.006332,0.008225,0.011848,0.019132,0.034340,0.065828", \ - "0.005732,0.007425,0.009142,0.012471,0.019439,0.034426,0.065832", \ - "0.007562,0.009299,0.011006,0.014217,0.020783,0.035077,0.065906", \ - "0.009565,0.011385,0.013158,0.016355,0.022592,0.036160,0.066303", \ - "0.011828,0.013743,0.015617,0.018930,0.025020,0.037822,0.066814"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022033,0.026151,0.029717,0.035679,0.045967,0.064887,0.101776", \ - "0.023570,0.027686,0.031254,0.037218,0.047509,0.066429,0.103321", \ - "0.029878,0.033957,0.037516,0.043485,0.053786,0.072715,0.109613", \ - "0.040589,0.045000,0.048774,0.054957,0.065368,0.084311,0.121177", \ - "0.051619,0.056597,0.060843,0.067669,0.078762,0.098115,0.134941", \ - "0.063176,0.068677,0.073416,0.080981,0.092919,0.112864,0.149869", \ - "0.075498,0.081517,0.086746,0.095109,0.108140,0.129063,0.166355"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004413,0.006295,0.008195,0.011827,0.019128,0.034335,0.065837", \ - "0.004414,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ - "0.004439,0.006333,0.008225,0.011848,0.019132,0.034340,0.065837", \ - "0.005732,0.007425,0.009142,0.012471,0.019439,0.034427,0.065834", \ - "0.007563,0.009298,0.011006,0.014218,0.020783,0.035077,0.065905", \ - "0.009564,0.011384,0.013158,0.016354,0.022581,0.036158,0.066304", \ - "0.011829,0.013738,0.015618,0.018930,0.025020,0.037822,0.066809"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "!CK & D & SE & SI"; - sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022031,0.026150,0.029718,0.035679,0.045966,0.064885,0.101776", \ - "0.023569,0.027686,0.031254,0.037217,0.047509,0.066428,0.103320", \ - "0.029878,0.033958,0.037516,0.043484,0.053786,0.072714,0.109612", \ - "0.040588,0.044999,0.048774,0.054956,0.065368,0.084310,0.121176", \ - "0.051619,0.056596,0.060840,0.067670,0.078761,0.098109,0.134940", \ - "0.063173,0.068675,0.073414,0.080979,0.092918,0.112863,0.149868", \ - "0.075498,0.081507,0.086733,0.095110,0.108139,0.129070,0.166356"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004414,0.006294,0.008197,0.011827,0.019124,0.034336,0.065831", \ - "0.004415,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ - "0.004439,0.006332,0.008225,0.011848,0.019132,0.034340,0.065828", \ - "0.005732,0.007425,0.009142,0.012471,0.019439,0.034426,0.065832", \ - "0.007562,0.009299,0.011006,0.014217,0.020783,0.035077,0.065906", \ - "0.009565,0.011385,0.013158,0.016355,0.022592,0.036160,0.066303", \ - "0.011828,0.013743,0.015617,0.018930,0.025020,0.037822,0.066814"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022041,0.026158,0.029715,0.035646,0.045872,0.064662,0.101346", \ - "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ - "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ - "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ - "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ - "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ - "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004429,0.006289,0.008172,0.011767,0.018997,0.034124,0.065618", \ - "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ - "0.004454,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ - "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ - "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ - "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ - "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022041,0.026158,0.029715,0.035646,0.045872,0.064662,0.101346", \ - "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ - "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ - "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ - "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ - "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ - "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004429,0.006289,0.008172,0.011767,0.018996,0.034124,0.065619", \ - "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ - "0.004453,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ - "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ - "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ - "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ - "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022041,0.026158,0.029715,0.035646,0.045873,0.064662,0.101346", \ - "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ - "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ - "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ - "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ - "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ - "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004429,0.006289,0.008172,0.011767,0.018998,0.034124,0.065618", \ - "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ - "0.004453,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ - "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ - "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ - "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ - "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & !D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022041,0.026158,0.029715,0.035646,0.045872,0.064662,0.101346", \ - "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ - "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ - "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ - "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ - "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ - "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004429,0.006289,0.008172,0.011767,0.018997,0.034124,0.065618", \ - "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ - "0.004454,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ - "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ - "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ - "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ - "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022041,0.026158,0.029715,0.035646,0.045871,0.064662,0.101346", \ - "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ - "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ - "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ - "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ - "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ - "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004429,0.006289,0.008172,0.011767,0.019000,0.034124,0.065618", \ - "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ - "0.004453,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ - "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ - "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ - "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ - "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & !SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022041,0.026158,0.029715,0.035646,0.045871,0.064662,0.101346", \ - "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ - "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ - "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ - "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ - "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ - "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004429,0.006289,0.008172,0.011767,0.019000,0.034124,0.065618", \ - "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ - "0.004454,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ - "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ - "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ - "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ - "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & SE & !SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022041,0.026158,0.029715,0.035646,0.045872,0.064662,0.101346", \ - "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ - "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ - "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ - "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ - "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ - "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004429,0.006289,0.008172,0.011767,0.018997,0.034124,0.065618", \ - "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ - "0.004454,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ - "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ - "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ - "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ - "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); - } - } - - timing () { - - related_pin : "SN"; - timing_type : clear; - when : "CK & D & SE & SI"; - sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.022041,0.026158,0.029715,0.035646,0.045872,0.064662,0.101346", \ - "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ - "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ - "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ - "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ - "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ - "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("0.004429,0.006289,0.008172,0.011767,0.018997,0.034124,0.065618", \ - "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ - "0.004454,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ - "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ - "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ - "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ - "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("7.313155,7.375142,7.462183,7.689757,7.926341,7.874411,7.995101", \ - "7.301546,7.348906,7.448318,7.678353,7.914922,7.976200,7.997849", \ - "7.294194,7.359525,7.434410,7.690133,7.909676,7.963839,8.022202", \ - "7.341758,7.421135,7.505334,7.742816,7.971917,7.895111,7.877699", \ - "7.528426,7.567999,7.665930,7.918259,8.136584,8.200011,8.218391", \ - "7.840097,7.904960,8.001489,8.230141,8.439676,8.383384,8.560989", \ - "8.285382,8.355166,8.438816,8.697051,8.913127,8.966983,9.017934"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.522396,8.334507,8.130650,7.884974,7.773021,7.762972,7.741773", \ - "8.492389,8.325183,8.088483,7.875848,7.807388,7.753866,7.749336", \ - "8.516483,8.330949,8.115432,7.886194,7.739396,7.715575,7.604951", \ - "8.524816,8.384621,8.172456,7.947280,7.760986,7.757045,7.614912", \ - "8.728921,8.540564,8.342426,8.090170,7.913099,7.942930,7.833038", \ - "9.018330,8.859521,8.640038,8.389977,8.321974,8.251568,8.245904", \ - "9.534730,9.344967,9.129091,8.893554,8.707131,8.737166,8.449837"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.904413,6.712483,6.666712,6.705083,6.622985,6.498717,6.485831", \ - "6.879538,6.664303,6.669234,6.693984,6.614835,6.632306,6.297377", \ - "6.851482,6.659976,6.608268,6.619370,6.678903,6.421496,6.510819", \ - "7.140923,6.838276,6.799118,6.765352,6.753935,6.495782,6.713525", \ - "7.671052,7.282190,7.162335,7.104608,7.107322,7.043184,6.994392", \ - "8.432788,7.977841,7.808937,7.684642,7.587350,7.325559,7.344129", \ - "9.412292,8.890310,8.673213,8.508771,8.468149,8.062287,7.999736"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.904307,6.712369,6.666592,6.704949,6.623152,6.498530,6.561867", \ - "6.879431,6.664191,6.669113,6.693851,6.614682,6.632125,6.297160", \ - "6.851397,6.659863,6.608148,6.619238,6.678751,6.421320,6.510607", \ - "7.140818,6.838166,6.799004,6.765223,6.753787,6.495606,6.713322", \ - "7.670954,7.282084,7.162226,7.104488,7.107184,7.043021,6.994207", \ - "8.432704,7.977752,7.808843,7.684537,7.587226,7.325407,7.343945", \ - "9.412230,8.890243,8.673141,8.508688,8.468048,8.062156,7.999574"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.904636,6.712713,6.666950,6.705345,6.623382,6.499115,6.486282", \ - "6.879759,6.664525,6.669473,6.694244,6.615138,6.632677,6.297831", \ - "6.851723,6.660205,6.608504,6.619628,6.679210,6.421831,6.511269", \ - "7.141138,6.838497,6.799339,6.765602,6.754228,6.496137,6.713965", \ - "7.671252,7.282394,7.162547,7.104838,7.107600,7.043525,6.994818", \ - "8.432954,7.978014,7.809117,7.684837,7.587586,7.325859,7.344514", \ - "9.412413,8.890436,8.673343,8.508918,8.468339,8.062540,8.000078"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.811734,6.618683,6.572792,6.610554,6.527808,6.402750,6.387848", \ - "6.788165,6.578713,6.577239,6.601486,6.521574,6.538317,6.201916", \ - "6.775009,6.569197,6.517090,6.527663,6.586630,6.328308,6.416164", \ - "7.051098,6.748206,6.709394,6.674372,6.662261,6.403142,6.619772", \ - "7.581911,7.192958,7.073000,7.051838,7.010106,6.951943,6.810899", \ - "8.345452,7.889382,7.720809,7.595615,7.497295,7.234450,7.252381", \ - "9.326785,8.804908,8.586613,8.422429,8.377999,7.972715,7.909811"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.811820,6.618772,6.572873,6.610658,6.527944,6.402898,6.387981", \ - "6.788255,6.578805,6.577328,6.601586,6.521679,6.538454,6.202079", \ - "6.775094,6.569287,6.517171,6.527765,6.586777,6.328492,6.416347", \ - "7.051175,6.748282,6.709468,6.674465,6.662368,6.403249,6.620014", \ - "7.581985,7.193033,7.073069,7.051918,7.010200,6.952108,6.811104", \ - "8.345511,7.889446,7.720870,7.595674,7.497365,7.234524,7.252549", \ - "9.326827,8.804952,8.586653,8.422482,8.378074,7.972781,7.909993"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.812227,6.619209,6.573331,6.611173,6.528538,6.403589,6.388777", \ - "6.788664,6.579242,6.577789,6.602095,6.522253,6.539132,6.202887", \ - "6.775501,6.569721,6.517626,6.528274,6.587372,6.329194,6.417154", \ - "7.051569,6.748703,6.709912,6.674958,6.662927,6.403897,6.620830", \ - "7.582359,7.193431,7.073486,7.052381,7.010723,6.952751,6.811877", \ - "8.345836,7.889796,7.721237,7.596076,7.497829,7.235081,7.253262", \ - "9.327077,8.805223,8.586943,8.422813,8.378472,7.973269,7.910651"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.904325,6.712380,6.666600,6.704954,6.622841,6.498585,6.485678", \ - "6.879447,6.664195,6.669121,6.693856,6.614695,6.632157,6.297208", \ - "6.851414,6.659874,6.608157,6.619242,6.678761,6.421314,6.510652", \ - "7.140835,6.838177,6.799005,6.765227,6.753798,6.495637,6.713347", \ - "7.670968,7.282092,7.162231,7.104490,7.107197,7.043041,6.994241", \ - "8.432711,7.977754,7.808841,7.684533,7.587230,7.325429,7.343975", \ - "9.412228,8.890235,8.673128,8.508673,8.468040,8.062167,7.999590"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "!CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("6.812135,6.619113,6.573243,6.611061,6.528394,6.403429,6.388634", \ - "6.788567,6.579145,6.577693,6.601988,6.522140,6.538986,6.202712", \ - "6.775409,6.569625,6.517538,6.528164,6.587216,6.328999,6.416958", \ - "7.051488,6.748620,6.709833,6.674858,6.662812,6.403781,6.620574", \ - "7.582279,7.193349,7.073411,7.052293,7.010620,6.952577,6.811658", \ - "8.345770,7.889724,7.721170,7.596011,7.497753,7.234999,7.253082", \ - "9.327029,8.805173,8.586897,8.422754,8.378390,7.973195,7.910455"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.516759,8.607118,8.715712,8.842585,8.888744,8.906286,8.803779", \ - "8.479831,8.579027,8.660587,8.762213,8.879942,8.754736,8.614541", \ - "8.475950,8.502358,8.668514,8.764991,8.816395,8.837894,8.838872", \ - "8.745455,8.743412,8.793767,8.872334,8.983944,9.004064,8.790224", \ - "9.278816,9.189901,9.204657,9.274108,9.284796,9.149838,9.192436", \ - "10.073650,9.867509,9.822363,9.847414,9.833342,9.821536,9.827861", \ - "11.194730,10.970180,10.823660,10.785070,10.640470,10.604030,10.666940"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.516581,8.606936,8.715526,8.842390,8.889267,8.906073,8.803398", \ - "8.479653,8.578845,8.660402,8.762020,8.879737,8.754513,8.614296", \ - "8.462751,8.502180,8.668331,8.764800,8.816193,8.837643,8.838632", \ - "8.745288,8.726152,8.793594,8.872152,8.983749,9.003850,8.789992", \ - "9.278659,9.189740,9.204492,9.273953,9.284609,9.149635,9.192213", \ - "10.073510,9.867365,9.822217,9.847256,9.833173,9.821346,9.827651", \ - "11.194610,10.970060,10.823530,10.784930,10.640320,10.603860,10.666750"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.517144,8.607508,8.716102,8.842989,8.910293,8.906710,8.804329", \ - "8.480214,8.579410,8.660975,8.762612,8.880365,8.755205,8.615058", \ - "8.463305,8.502739,8.668896,8.765387,8.816816,8.838378,8.839383", \ - "8.745815,8.743776,8.794131,8.872712,8.984346,9.004513,8.790710", \ - "9.279151,9.190235,9.204995,9.274470,9.285169,9.150264,9.192912", \ - "10.073940,9.867806,9.822646,9.847722,9.833678,9.821926,9.828305", \ - "11.194980,10.970430,10.823910,10.785330,10.640760,10.604380,10.667340"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & !D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.518345,8.618776,8.712809,8.839882,8.886588,8.904902,8.804398", \ - "8.481391,8.580807,8.661828,8.759411,8.877824,8.754579,8.615193", \ - "8.474682,8.562785,8.665760,8.762329,8.864832,8.836969,8.839674", \ - "8.755218,8.743615,8.791200,8.869683,8.981899,9.002989,8.790926", \ - "9.277069,9.191495,9.202093,9.276579,9.282881,9.148964,9.193278", \ - "10.074940,9.865053,9.828171,9.845104,9.831566,9.821512,9.829097", \ - "11.213400,10.934800,10.855430,10.781320,10.638450,10.683890,10.667710"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.518580,8.619267,8.712705,8.839814,8.887183,8.905108,8.804588", \ - "8.481625,8.581060,8.661941,8.759323,8.877801,8.756419,8.615327", \ - "8.462358,8.562708,8.665672,8.762260,8.864680,8.837064,8.839836", \ - "8.755177,8.723548,8.791084,8.869598,8.981875,9.003026,8.791115", \ - "9.277342,9.191700,9.202000,9.276898,9.282848,9.149022,9.193456", \ - "10.075110,9.864962,9.828677,9.845022,9.831519,9.821515,9.829286", \ - "11.213350,10.934700,10.855020,10.781140,10.638380,10.683540,10.667890"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & !SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.519251,8.619952,8.713430,8.840570,8.887991,8.905894,8.805406", \ - "8.482293,8.581751,8.662649,8.760087,8.878583,8.757235,8.616240", \ - "8.475628,8.563412,8.666384,8.763001,8.865453,8.837951,8.840746", \ - "8.755829,8.724220,8.791767,8.870324,8.982637,9.003841,8.792018", \ - "9.277931,9.192311,9.202639,9.277530,9.283563,9.149812,9.194323", \ - "10.075640,9.865544,9.829214,9.845636,9.832158,9.822221,9.830097", \ - "11.213820,10.935190,10.855540,10.781690,10.638960,10.684230,10.668660"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & !SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.516609,8.606960,8.715545,8.842407,8.888564,8.906128,8.803547", \ - "8.479682,8.578864,8.660421,8.762038,8.879767,8.754560,8.614353", \ - "8.475807,8.502203,8.668350,8.764817,8.816218,8.837735,8.838687", \ - "8.745315,8.726173,8.793608,8.872165,8.983772,9.003892,8.790037", \ - "9.278683,9.189755,9.204504,9.273954,9.284631,9.149668,9.192256", \ - "10.073520,9.867374,9.822210,9.847261,9.833188,9.821380,9.827688", \ - "11.194620,10.970060,10.823530,10.784930,10.640330,10.603880,10.666770"); - } - rise_power(scalar) {values ("0.0"); - } - } - - internal_power () { - - related_pin : "SN"; - when : "CK & D & SE & SI"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); - values ("8.518982,8.619397,8.713573,8.840689,8.887982,8.905874,8.805409", \ - "8.482026,8.581459,8.658381,8.760210,8.878649,8.754839,8.616114", \ - "8.475292,8.563522,8.666508,8.763122,8.865710,8.837787,8.840590", \ - "8.755893,8.724356,8.791934,8.870429,8.982673,9.003815,8.791838", \ - "9.277615,9.192083,9.202784,9.277195,9.283610,9.149759,9.194151", \ - "10.075460,9.865672,9.828603,9.845740,9.832241,9.820001,9.829914", \ - "11.213900,10.935340,10.856070,10.781910,10.639060,10.684670,10.668490"); - } - rise_power(scalar) {values ("0.0"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFF_X1 - Cell Description : Pos.edge D-Flip-Flop with active high scan, and drive strength X1 - *******************************************************************************************/ - - cell (SDFF_X1) { - - drive_strength : 1; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - } - - area : 6.118000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 100.684799; - - leakage_power () { - when : "!CK & !D & !SE & !SI & !Q & QN"; - value : 87.189190; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & Q & !QN"; - value : 88.486530; - } - leakage_power () { - when : "!CK & !D & !SE & SI & !Q & QN"; - value : 97.714738; - } - leakage_power () { - when : "!CK & !D & !SE & SI & Q & !QN"; - value : 99.012188; - } - leakage_power () { - when : "!CK & !D & SE & !SI & !Q & QN"; - value : 91.257463; - } - leakage_power () { - when : "!CK & !D & SE & !SI & Q & !QN"; - value : 92.554803; - } - leakage_power () { - when : "!CK & !D & SE & SI & !Q & QN"; - value : 104.117321; - } - leakage_power () { - when : "!CK & !D & SE & SI & Q & !QN"; - value : 100.278761; - } - leakage_power () { - when : "!CK & D & !SE & !SI & !Q & QN"; - value : 104.244602; - } - leakage_power () { - when : "!CK & D & !SE & !SI & Q & !QN"; - value : 100.406042; - } - leakage_power () { - when : "!CK & D & !SE & SI & !Q & QN"; - value : 109.633304; - } - leakage_power () { - when : "!CK & D & !SE & SI & Q & !QN"; - value : 105.794744; - } - leakage_power () { - when : "!CK & D & SE & !SI & !Q & QN"; - value : 105.203758; - } - leakage_power () { - when : "!CK & D & SE & !SI & Q & !QN"; - value : 106.501098; - } - leakage_power () { - when : "!CK & D & SE & SI & !Q & QN"; - value : 111.223772; - } - leakage_power () { - when : "!CK & D & SE & SI & Q & !QN"; - value : 107.385212; - } - leakage_power () { - when : "CK & !D & !SE & !SI & !Q & QN"; - value : 82.539215; - } - leakage_power () { - when : "CK & !D & !SE & !SI & Q & !QN"; - value : 97.698414; - } - leakage_power () { - when : "CK & !D & !SE & SI & !Q & QN"; - value : 93.064543; - } - leakage_power () { - when : "CK & !D & !SE & SI & Q & !QN"; - value : 108.223742; - } - leakage_power () { - when : "CK & !D & SE & !SI & !Q & QN"; - value : 86.607158; - } - leakage_power () { - when : "CK & !D & SE & !SI & Q & !QN"; - value : 101.766357; - } - leakage_power () { - when : "CK & !D & SE & SI & !Q & QN"; - value : 94.892226; - } - leakage_power () { - when : "CK & !D & SE & SI & Q & !QN"; - value : 104.918935; - } - leakage_power () { - when : "CK & D & !SE & !SI & !Q & QN"; - value : 95.019617; - } - leakage_power () { - when : "CK & D & !SE & !SI & Q & !QN"; - value : 105.046326; - } - leakage_power () { - when : "CK & D & !SE & SI & !Q & QN"; - value : 100.408429; - } - leakage_power () { - when : "CK & D & !SE & SI & Q & !QN"; - value : 110.435138; - } - leakage_power () { - when : "CK & D & SE & !SI & !Q & QN"; - value : 100.553123; - } - leakage_power () { - when : "CK & D & SE & !SI & Q & !QN"; - value : 115.712322; - } - leakage_power () { - when : "CK & D & SE & SI & !Q & QN"; - value : 101.998897; - } - leakage_power () { - when : "CK & D & SE & SI & Q & !QN"; - value : 112.025606; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.119679; - fall_capacitance : 1.068012; - rise_capacitance : 1.119679; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "!SE"; - sdf_cond : "NEG_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.025593,-0.013933,-0.010495", \ - "-0.034771,-0.021700,-0.018670", \ - "0.109709,0.123300,0.127613"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.013251,-0.006198,-0.010054", \ - "-0.013353,-0.007277,-0.015071", \ - "0.063092,0.069236,0.054377"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "!SE"; - sdf_cond : "NEG_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.075240,0.070385,0.085764", \ - "0.092943,0.087684,0.103250", \ - "0.135913,0.129774,0.144659"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.071012,0.057465,0.053450", \ - "0.081500,0.067758,0.064129", \ - "0.089290,0.075704,0.071414"); - } - } - - internal_power () { - - when : "!CK & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.007758,6.984183,6.954266,6.966035,7.109973,7.466127,8.043180"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.132064,5.094192,5.056292,5.067134,5.203423,5.538839,6.086616"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.979573,6.955969,6.925594,6.938099,7.082504,7.437840,8.009621"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.121813,5.085764,5.050143,5.054154,5.194534,5.528886,6.076056"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.007247,6.983724,6.953747,6.965498,7.109587,7.465549,8.043289"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.135468,5.097661,5.059725,5.070597,5.209290,5.542052,6.090219"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.975373,6.953991,6.923237,6.935661,7.080214,7.435253,8.013271"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.121768,5.083543,5.050164,5.054183,5.192940,5.528917,6.076102"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716704,0.690864,0.681138,0.677611,0.674906,0.674712,0.673592"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605739,-0.611460,-0.616917,-0.620496,-0.623231,-0.625353,-0.627118"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717938,0.691272,0.681852,0.678326,0.676048,0.675416,0.674601"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.603782,-0.609321,-0.614765,-0.618333,-0.621582,-0.623396,-0.625738"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650910,0.658049,0.660220,0.659300,0.658764,0.657994,0.657686"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.422475,-0.488389,-0.545303,-0.566808,-0.578759,-0.586474,-0.592036"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650598,0.657600,0.659688,0.659152,0.657795,0.657434,0.656662"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.424038,-0.489816,-0.546636,-0.568171,-0.579874,-0.587637,-0.593211"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.323125,3.299248,3.270208,3.283820,3.429847,3.775998,4.333744"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.590592,1.555016,1.517256,1.533769,1.676714,2.009967,2.555742"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.260227,3.236606,3.207263,3.223363,3.371806,3.719797,4.283297"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.564301,1.527310,1.491128,1.506555,1.647208,1.977933,2.521051"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.322765,3.298879,3.269774,3.283363,3.429521,3.775418,4.333094"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.594068,1.558484,1.520713,1.537231,1.680234,2.013395,2.559252"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.257575,3.234053,3.204682,3.220745,3.368572,3.717131,4.280082"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.561296,1.525340,1.489248,1.504809,1.646386,1.976590,2.519821"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716944,0.691121,0.681372,0.677853,0.675572,0.674917,0.674353"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.604922,-0.610653,-0.616133,-0.619717,-0.622850,-0.624579,-0.626349"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.721192,0.690805,0.681060,0.677310,0.674852,0.674164,0.673528"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605346,-0.611279,-0.617084,-0.621374,-0.623801,-0.625998,-0.627778"); - } - - } - - internal_power () { - - when : "CK & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650600,0.658023,0.660070,0.659580,0.658576,0.657814,0.657532"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423075,-0.488973,-0.545964,-0.567491,-0.579381,-0.587088,-0.592630"); - } - - } - - internal_power () { - - when : "CK & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.651301,0.658301,0.660376,0.659839,0.658875,0.658106,0.657830"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.422285,-0.488099,-0.544910,-0.566437,-0.578537,-0.585900,-0.591473"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.889909; - fall_capacitance : 1.764159; - rise_capacitance : 1.889909; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.018688,-0.011893,-0.016140", \ - "-0.034276,-0.028542,-0.033827", \ - "0.104382,0.112385,0.097375"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.018837,-0.007806,-0.007691", \ - "-0.023408,-0.011001,-0.013204", \ - "0.063631,0.077432,0.066831"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.080803,0.067214,0.075725", \ - "0.099971,0.086266,0.093355", \ - "0.135373,0.121578,0.132203"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.084756,0.079508,0.094495", \ - "0.091599,0.086287,0.101366", \ - "0.094617,0.086620,0.101656"); - } - } - - internal_power () { - - when : "!CK & !D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.338684,2.302888,2.358012,2.564481,2.949767,3.566947,4.444865"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.063432,-0.097841,-0.090771,0.075979,0.474517,1.117614,2.022652"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.340310,2.304053,2.359159,2.565126,2.951260,3.567936,4.446248"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.047920,-0.080953,-0.074253,0.094124,0.490259,1.134199,2.037857"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.786239,7.715540,7.773401,8.120914,8.822526,9.946370,11.561280"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.527799,4.487633,4.474941,4.722555,5.382024,6.526683,8.176133"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.751252,7.681099,7.738688,8.087112,8.789279,9.916378,11.533640"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.477260,4.435762,4.423225,4.674847,5.338578,6.484427,8.137501"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.296890,7.268373,7.369210,7.661007,8.144595,8.865623,9.844021"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.096325,6.076873,6.065179,6.214943,6.604958,7.260508,8.205157"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.345531,7.313022,7.416783,7.703422,8.192087,8.916633,9.897855"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.259609,6.229182,6.170850,6.289846,6.663641,7.310795,8.255643"); - } - - } - - internal_power () { - - when : "!CK & D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.183555,2.151754,2.211980,2.424109,2.814762,3.435762,4.317555"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.063883,0.035040,0.042260,0.202200,0.590750,1.232504,2.138544"); - } - - } - - internal_power () { - - when : "!CK & D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.179984,2.147980,2.208466,2.420438,2.810817,3.432307,4.313788"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.035058,0.005865,0.013470,0.173630,0.562771,1.204127,2.110135"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.339033,2.303096,2.358229,2.564735,2.950437,3.567164,4.445627"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.063151,-0.097158,-0.090014,0.076768,0.474715,1.118373,2.023409"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.339127,2.302618,2.357792,2.563998,2.950227,3.566918,4.445325"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.047032,-0.080484,-0.074621,0.093805,0.489999,1.133405,2.037740"); - } - - } - - internal_power () { - - when : "CK & !D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.093476,4.023175,4.086318,4.437537,5.133606,6.247272,7.841860"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.984709,0.942172,0.935475,1.193682,1.857290,2.992280,4.624208"); - } - - } - - internal_power () { - - when : "CK & !D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.027219,3.958103,4.021011,4.375353,5.075366,6.191006,7.788297"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.918016,0.875600,0.866853,1.125736,1.786822,2.925902,4.562340"); - } - - } - - internal_power () { - - when : "CK & D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.764856,3.733840,3.834391,4.122150,4.609508,5.332128,6.308466"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.404186,2.384827,2.372339,2.522667,2.917038,3.575406,4.511556"); - } - - } - - internal_power () { - - when : "CK & D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.791069,3.760122,3.862050,4.150266,4.640076,5.363773,6.343106"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.500681,2.469395,2.411240,2.529410,2.902973,3.555152,4.493151"); - } - - } - - internal_power () { - - when : "CK & D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.183087,2.151635,2.211896,2.424325,2.814324,3.435336,4.316970"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.063306,0.034456,0.041623,0.201815,0.590600,1.231856,2.138399"); - } - - } - - internal_power () { - - when : "CK & D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.180159,2.148440,2.208846,2.421077,2.811791,3.432482,4.314585"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.036325,0.007160,0.014652,0.175111,0.563705,1.205360,2.111312"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.918794; - fall_capacitance : 0.875884; - rise_capacitance : 0.918794; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SE"; - sdf_cond : "SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.029805,-0.017686,-0.014403", \ - "-0.034933,-0.021913,-0.018099", \ - "0.101985,0.115655,0.119904"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.013990,-0.006658,-0.011170", \ - "-0.013026,-0.007015,-0.015517", \ - "0.051636,0.057220,0.043405"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SE"; - sdf_cond : "SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.083916,0.078564,0.093622", \ - "0.101342,0.096068,0.111261", \ - "0.147370,0.141792,0.155632"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.073530,0.059981,0.056068", \ - "0.085530,0.071948,0.067898", \ - "0.097015,0.083349,0.079123"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542393,0.525491,0.518634,0.515167,0.512784,0.512040,0.510394"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467420,-0.471181,-0.473381,-0.474707,-0.475569,-0.476539,-0.477143"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.543097,0.526208,0.519345,0.515866,0.513953,0.512738,0.511405"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.465372,-0.469009,-0.471318,-0.472656,-0.474077,-0.474511,-0.475756"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.971345,6.958522,6.936117,6.941666,7.039272,7.291352,7.710827"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.663880,4.642657,4.616552,4.617498,4.708042,4.938066,5.331959"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.944174,6.931030,6.908894,6.914605,7.014947,7.263490,7.683809"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.655234,4.629986,4.603733,4.607504,4.696079,4.928689,5.321936"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481680,0.489537,0.491292,0.490890,0.490658,0.490793,0.490341"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.320405,-0.368950,-0.408907,-0.424415,-0.433119,-0.438202,-0.442839"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.480858,0.488732,0.490483,0.490094,0.489387,0.489986,0.489241"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.322533,-0.371324,-0.411235,-0.426801,-0.435229,-0.440742,-0.444892"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.970401,6.957857,6.935471,6.940954,7.038516,7.290005,7.709729"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.671922,4.641647,4.620116,4.621288,4.712104,4.941618,5.335613"); - } - - } - - internal_power () { - - when : "!CK & D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.940611,6.927412,6.905436,6.910968,7.011254,7.261254,7.679310"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.688067,4.658527,4.635708,4.636860,4.725370,4.962106,5.350287"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542631,0.525739,0.518870,0.515406,0.513463,0.512245,0.511171"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466601,-0.470376,-0.472598,-0.473929,-0.475205,-0.475769,-0.476377"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542295,0.525402,0.518539,0.515058,0.513165,0.511942,0.510905"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467757,-0.471398,-0.473940,-0.475268,-0.476381,-0.477439,-0.478147"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.279258,3.266637,3.243956,3.253727,3.350237,3.587182,3.988154"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.127216,1.101068,1.076824,1.086225,1.180240,1.410177,1.801100"); - } - - } - - internal_power () { - - when : "CK & !D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.219257,3.206303,3.183491,3.191969,3.291068,3.531239,3.932330"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.100799,1.073013,1.048626,1.057095,1.151371,1.377014,1.765825"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481531,0.489419,0.491151,0.490752,0.490071,0.490611,0.489925"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321104,-0.369668,-0.409646,-0.425151,-0.433349,-0.438928,-0.442934"); - } - - } - - internal_power () { - - when : "CK & D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481332,0.489215,0.490958,0.490559,0.490313,0.490438,0.490274"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321301,-0.370104,-0.410007,-0.425568,-0.434457,-0.439507,-0.443658"); - } - - } - - internal_power () { - - when : "CK & D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.278248,3.266036,3.243381,3.253044,3.349529,3.586335,3.987222"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.130793,1.104697,1.080486,1.089419,1.183842,1.413738,1.804688"); - } - - } - - internal_power () { - - when : "CK & D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.217540,3.205732,3.184473,3.191185,3.290861,3.531139,3.931247"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.128242,1.102188,1.078035,1.086632,1.176914,1.405068,1.794046"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.958871; - fall_capacitance : 0.866201; - rise_capacitance : 0.958871; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.064663,0.073857,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.054590,0.055730,0.198733"); - } - } - - internal_power () { - - when : "!D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.565295,3.529210,3.545282,3.728710,4.104645,4.703470,5.566805"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.758259,2.737784,2.733878,2.864629,3.207048,3.821763,4.733914"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.964878,6.926364,6.937663,7.134807,7.538950,8.173157,9.087757"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.565291,3.529205,3.545284,3.728714,4.104644,4.703489,5.566806"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.758280,2.737811,2.733908,2.864659,3.207084,3.821801,4.733952"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.972028,6.933598,6.944530,7.142022,7.546301,8.180467,9.095728"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.565119,3.529037,3.545109,3.728467,4.104525,4.703437,5.566806"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.758390,2.737919,2.733994,2.864734,3.207099,3.821770,4.733969"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.963151,6.924740,6.935992,7.134370,7.536931,8.171353,9.086210"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.962349,6.925653,6.937103,7.124217,7.498872,8.098963,8.964156"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.716954,3.682134,3.696168,3.884326,4.263269,4.865151,5.735644"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.612807,2.588034,2.583986,2.713776,3.063784,3.681532,4.597848"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.962563,6.925700,6.938851,7.127634,7.501463,8.099912,8.965206"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.716397,3.681562,3.695680,3.883759,4.262679,4.864637,5.735065"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.612828,2.588072,2.584056,2.713842,3.063843,3.681592,4.597908"); - } - - } - - internal_power () { - - when : "D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.963489,6.926633,6.939779,7.128551,7.502411,8.100878,8.966173"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.716716,3.681555,3.695673,3.883754,4.262679,4.864626,5.735066"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.612815,2.588057,2.584038,2.713824,3.063824,3.681573,4.597889"); - } - - } - - internal_power () { - - when : "D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.565121,3.529035,3.545115,3.728465,4.104519,4.703461,5.566807"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.758433,2.737954,2.734036,2.864778,3.207148,3.821818,4.734010"); - } - - } - - internal_power () { - - when : "D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.952909,6.915017,6.925408,7.124058,7.526799,8.161227,9.075872"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.900356,6.861772,6.874669,7.063590,7.437456,8.036069,8.902083"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.716944,3.682128,3.696159,3.884319,4.263269,4.865138,5.735643"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.612790,2.588014,2.583961,2.713750,3.063758,3.681507,4.597823"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.577400; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.057953,0.063329,0.068658,0.077327,0.091225,0.113580,0.152479", \ - "0.059432,0.064811,0.070136,0.078805,0.092704,0.115059,0.153960", \ - "0.064384,0.069758,0.075085,0.083755,0.097659,0.120014,0.158926", \ - "0.069717,0.075093,0.080416,0.089089,0.102992,0.125353,0.164261", \ - "0.073632,0.079008,0.084337,0.093010,0.106917,0.129288,0.168206", \ - "0.076175,0.081553,0.086875,0.095533,0.109430,0.131818,0.170716", \ - "0.077103,0.082482,0.087815,0.096491,0.110386,0.132774,0.171730"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.055306,0.060801,0.066514,0.076697,0.095654,0.132338,0.205144", \ - "0.056779,0.062279,0.067992,0.078173,0.097128,0.133813,0.206620", \ - "0.061880,0.067380,0.073093,0.083276,0.102230,0.138918,0.211722", \ - "0.067471,0.072976,0.078695,0.088873,0.107829,0.144515,0.217321", \ - "0.071718,0.077218,0.082930,0.093112,0.112065,0.148750,0.221557", \ - "0.074257,0.079759,0.085470,0.095654,0.114611,0.151294,0.224097", \ - "0.074633,0.080133,0.085849,0.096039,0.114988,0.151665,0.224472"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.009701,0.011847,0.014197,0.018444,0.025830,0.039643,0.068818", \ - "0.009696,0.011848,0.014198,0.018442,0.025831,0.039652,0.068820", \ - "0.009708,0.011852,0.014207,0.018450,0.025832,0.039651,0.068813", \ - "0.009708,0.011863,0.014212,0.018457,0.025842,0.039655,0.068810", \ - "0.009734,0.011886,0.014238,0.018484,0.025865,0.039672,0.068819", \ - "0.009771,0.011925,0.014276,0.018519,0.025889,0.039686,0.068806", \ - "0.009900,0.012049,0.014392,0.018621,0.025972,0.039736,0.068850"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("0.006594,0.009966,0.013923,0.021927,0.038441,0.072390,0.141342", \ - "0.006595,0.009967,0.013920,0.021926,0.038438,0.072390,0.141339", \ - "0.006594,0.009968,0.013923,0.021926,0.038439,0.072389,0.141342", \ - "0.006596,0.009967,0.013926,0.021925,0.038440,0.072391,0.141345", \ - "0.006599,0.009971,0.013926,0.021923,0.038437,0.072392,0.141343", \ - "0.006611,0.009975,0.013932,0.021929,0.038441,0.072392,0.141344", \ - "0.006623,0.009989,0.013938,0.021936,0.038443,0.072395,0.141345"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.594536,4.555634,4.527504,4.571897,4.615279,4.566152,4.622506", \ - "4.598053,4.541497,4.531230,4.537930,4.600048,4.615188,4.610011", \ - "4.592248,4.542068,4.520124,4.553533,4.589024,4.616500,4.587709", \ - "4.653081,4.599572,4.591433,4.612436,4.635580,4.667832,4.691120", \ - "4.820600,4.771267,4.760208,4.785359,4.798586,4.769291,4.761409", \ - "5.157479,5.109018,5.082706,5.101500,5.103667,5.131168,5.173246", \ - "5.637251,5.587080,5.546582,5.580949,5.591892,5.609433,5.616241"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); - values ("4.230723,4.306326,4.372799,4.485595,4.596207,4.625769,4.646799", \ - "4.210399,4.279965,4.348992,4.466854,4.581579,4.619813,4.589092", \ - "4.215634,4.292151,4.358671,4.461655,4.564213,4.594270,4.547941", \ - "4.282381,4.354710,4.425574,4.536474,4.638682,4.661076,4.682723", \ - "4.448150,4.533089,4.599575,4.708495,4.823473,4.833385,4.866505", \ - "4.785728,4.859720,4.925220,5.036433,5.133528,5.160523,5.187193", \ - "5.235249,5.312836,5.371267,5.501261,5.605013,5.586868,5.658440"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 60.424800; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.077562,0.080555,0.083743,0.089386,0.099430,0.118110,0.154720", \ - "0.079035,0.082033,0.085222,0.090862,0.100904,0.119586,0.156193", \ - "0.084135,0.087134,0.090322,0.095967,0.106005,0.124688,0.161300", \ - "0.089730,0.092730,0.095919,0.101566,0.111608,0.130283,0.166899", \ - "0.093978,0.096971,0.100152,0.105796,0.115832,0.134509,0.171123", \ - "0.096520,0.099518,0.102703,0.108349,0.118388,0.137062,0.173667", \ - "0.096906,0.099894,0.103083,0.108729,0.118767,0.137439,0.174052"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.085733,0.089063,0.092703,0.100569,0.117787,0.153551,0.225794", \ - "0.087212,0.090542,0.094178,0.102046,0.119265,0.155029,0.227274", \ - "0.092171,0.095497,0.099133,0.106999,0.124218,0.159984,0.232230", \ - "0.097506,0.100835,0.104469,0.112332,0.129552,0.165318,0.237565", \ - "0.101434,0.104762,0.108399,0.116252,0.133467,0.169230,0.241478", \ - "0.103994,0.107321,0.110954,0.118799,0.135987,0.171751,0.243995", \ - "0.104988,0.108310,0.111945,0.119782,0.136930,0.172670,0.244926"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.005590,0.007201,0.008985,0.012431,0.019499,0.034504,0.065980", \ - "0.005588,0.007200,0.008986,0.012432,0.019504,0.034511,0.065971", \ - "0.005591,0.007198,0.008984,0.012432,0.019501,0.034508,0.065983", \ - "0.005591,0.007196,0.008987,0.012433,0.019505,0.034504,0.065971", \ - "0.005591,0.007198,0.008982,0.012433,0.019510,0.034513,0.065975", \ - "0.005591,0.007201,0.008985,0.012435,0.019501,0.034513,0.065959", \ - "0.005595,0.007201,0.008990,0.012436,0.019501,0.034507,0.065980"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("0.006891,0.009622,0.013081,0.020948,0.037939,0.072366,0.141304", \ - "0.006891,0.009622,0.013080,0.020949,0.037940,0.072367,0.141308", \ - "0.006892,0.009621,0.013083,0.020950,0.037939,0.072365,0.141307", \ - "0.006893,0.009625,0.013086,0.020952,0.037940,0.072366,0.141303", \ - "0.006895,0.009626,0.013083,0.020951,0.037940,0.072367,0.141302", \ - "0.006912,0.009634,0.013092,0.020951,0.037940,0.072364,0.141302", \ - "0.006922,0.009652,0.013100,0.020955,0.037941,0.072366,0.141306"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.228658,4.306699,4.366909,4.489123,4.578335,4.630096,4.545349", \ - "4.210378,4.293521,4.354225,4.470217,4.585354,4.612899,4.618885", \ - "4.214979,4.290464,4.359433,4.461769,4.571689,4.608469,4.577964", \ - "4.282594,4.354984,4.416486,4.534811,4.642203,4.675119,4.712061", \ - "4.448195,4.532663,4.599157,4.710732,4.815639,4.848108,4.759971", \ - "4.783466,4.860089,4.925569,5.036054,5.124496,5.114541,5.152747", \ - "5.235412,5.311193,5.372098,5.505810,5.608742,5.608657,5.628168"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); - values ("4.594538,4.554402,4.529362,4.564610,4.616347,4.581085,4.628278", \ - "4.596458,4.543250,4.533835,4.541588,4.605249,4.621881,4.636846", \ - "4.592255,4.542825,4.521974,4.554495,4.596640,4.563759,4.617258", \ - "4.652576,4.601465,4.581620,4.615979,4.643323,4.682406,4.644422", \ - "4.818996,4.771361,4.761979,4.767849,4.809451,4.784321,4.791378", \ - "5.160646,5.110057,5.084625,5.088595,5.117365,5.145517,5.080293", \ - "5.634314,5.588612,5.556808,5.587947,5.599911,5.624060,5.644631"); - } - } - } - - } - - - /****************************************************************************************** - Module : SDFF_X2 - Cell Description : Pos.edge D-Flip-Flop with active high scan, and drive strength X2 - *******************************************************************************************/ - - cell (SDFF_X2) { - - drive_strength : 2; - - ff ("IQ" , "IQN") { - next_state : "((SE * SI) + (D * !SE))"; - clocked_on : "CK"; - } - - area : 6.384000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 136.676074; - - leakage_power () { - when : "!CK & !D & !SE & !SI & !Q & QN"; - value : 125.347200; - } - leakage_power () { - when : "!CK & !D & !SE & !SI & Q & !QN"; - value : 122.327700; - } - leakage_power () { - when : "!CK & !D & !SE & SI & !Q & QN"; - value : 135.873078; - } - leakage_power () { - when : "!CK & !D & !SE & SI & Q & !QN"; - value : 132.853578; - } - leakage_power () { - when : "!CK & !D & SE & !SI & !Q & QN"; - value : 129.415198; - } - leakage_power () { - when : "!CK & !D & SE & !SI & Q & !QN"; - value : 126.395698; - } - leakage_power () { - when : "!CK & !D & SE & SI & !Q & QN"; - value : 142.272801; - } - leakage_power () { - when : "!CK & !D & SE & SI & Q & !QN"; - value : 134.117401; - } - leakage_power () { - when : "!CK & D & !SE & !SI & !Q & QN"; - value : 142.399422; - } - leakage_power () { - when : "!CK & D & !SE & !SI & Q & !QN"; - value : 134.245122; - } - leakage_power () { - when : "!CK & D & !SE & SI & !Q & QN"; - value : 147.788344; - } - leakage_power () { - when : "!CK & D & !SE & SI & Q & !QN"; - value : 139.634044; - } - leakage_power () { - when : "!CK & D & SE & !SI & !Q & QN"; - value : 143.361713; - } - leakage_power () { - when : "!CK & D & SE & !SI & Q & !QN"; - value : 140.342213; - } - leakage_power () { - when : "!CK & D & SE & SI & !Q & QN"; - value : 149.378592; - } - leakage_power () { - when : "!CK & D & SE & SI & Q & !QN"; - value : 141.224182; - } - leakage_power () { - when : "CK & !D & !SE & !SI & !Q & QN"; - value : 120.677205; - } - leakage_power () { - when : "CK & !D & !SE & !SI & Q & !QN"; - value : 131.528694; - } - leakage_power () { - when : "CK & !D & !SE & SI & !Q & QN"; - value : 131.203083; - } - leakage_power () { - when : "CK & !D & !SE & SI & Q & !QN"; - value : 142.053473; - } - leakage_power () { - when : "CK & !D & SE & !SI & !Q & QN"; - value : 124.745203; - } - leakage_power () { - when : "CK & !D & SE & !SI & Q & !QN"; - value : 135.596692; - } - leakage_power () { - when : "CK & !D & SE & SI & !Q & QN"; - value : 133.030656; - } - leakage_power () { - when : "CK & !D & SE & SI & Q & !QN"; - value : 138.749215; - } - leakage_power () { - when : "CK & D & !SE & !SI & !Q & QN"; - value : 133.157827; - } - leakage_power () { - when : "CK & D & !SE & !SI & Q & !QN"; - value : 138.876716; - } - leakage_power () { - when : "CK & D & !SE & SI & !Q & QN"; - value : 138.546749; - } - leakage_power () { - when : "CK & D & !SE & SI & Q & !QN"; - value : 144.265638; - } - leakage_power () { - when : "CK & D & SE & !SI & !Q & QN"; - value : 138.691718; - } - leakage_power () { - when : "CK & D & SE & !SI & Q & !QN"; - value : 149.542107; - } - leakage_power () { - when : "CK & D & SE & SI & !Q & QN"; - value : 140.137327; - } - leakage_power () { - when : "CK & D & SE & SI & Q & !QN"; - value : 145.855776; - } - - pin (D) { - - direction : input; - nextstate_type : data; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.126603; - fall_capacitance : 1.074865; - rise_capacitance : 1.126603; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "!SE"; - sdf_cond : "NEG_SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.026084,-0.013942,-0.010142", \ - "-0.035473,-0.022070,-0.018195", \ - "0.108637,0.122269,0.127063"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.013348,-0.006419,-0.009922", \ - "-0.012590,-0.006811,-0.014645", \ - "0.064540,0.069803,0.055316"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "!SE"; - sdf_cond : "NEG_SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.074282,0.069609,0.084733", \ - "0.091783,0.087140,0.102097", \ - "0.134471,0.129215,0.143727"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.071903,0.058059,0.053570", \ - "0.082643,0.068549,0.064165", \ - "0.090369,0.076743,0.071971"); - } - } - - internal_power () { - - when : "!CK & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.979297,6.961410,6.931335,6.942150,7.086080,7.434646,8.011181"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.145204,5.109180,5.070169,5.080423,5.217551,5.548236,6.094339"); - } - - } - - internal_power () { - - when : "!CK & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.949058,6.925976,6.897291,6.908724,7.051770,7.406049,7.983423"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.134368,5.097952,5.059398,5.069797,5.206095,5.538973,6.084191"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.978746,6.960980,6.930895,6.941685,7.081414,7.434067,8.010526"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.148469,5.112682,5.073629,5.083896,5.221029,5.552362,6.098381"); - } - - } - - internal_power () { - - when : "!CK & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.946382,6.923663,6.894962,6.906366,7.049359,7.403555,7.980767"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("5.134423,5.098099,5.059432,5.069831,5.206365,5.539026,6.084264"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717494,0.690921,0.681236,0.677696,0.674896,0.674892,0.673592"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605485,-0.611099,-0.616542,-0.620186,-0.622858,-0.624984,-0.626745"); - } - - } - - internal_power () { - - when : "!CK & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717805,0.691187,0.681925,0.678395,0.676199,0.675572,0.674718"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.603605,-0.608979,-0.614446,-0.618014,-0.621427,-0.623064,-0.625629"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650960,0.658216,0.660342,0.659217,0.658963,0.658175,0.657936"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.422256,-0.487928,-0.545016,-0.566488,-0.578564,-0.586285,-0.591845"); - } - - } - - internal_power () { - - when : "!CK & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650748,0.657654,0.659767,0.659250,0.657794,0.657591,0.656660"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.423909,-0.489492,-0.546213,-0.567818,-0.579527,-0.587295,-0.592864"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.375291,3.352832,3.322771,3.337204,3.483718,3.826753,4.387652"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.590964,1.555279,1.518140,1.534220,1.675534,2.007638,2.551355"); - } - - } - - internal_power () { - - when : "CK & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.313978,3.291134,3.261395,3.277306,3.425382,3.770846,4.333346"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.563349,1.528163,1.490434,1.506764,1.645912,1.974420,2.516344"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.374885,3.352459,3.322335,3.336740,3.483177,3.826175,4.387045"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.594376,1.558767,1.521597,1.537643,1.679007,2.011162,2.554765"); - } - - } - - internal_power () { - - when : "CK & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.312263,3.288565,3.258808,3.274382,3.422376,3.768202,4.329251"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.561369,1.525759,1.488934,1.505230,1.645372,1.973878,2.515233"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.717452,0.690900,0.681195,0.677661,0.675484,0.674822,0.674328"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605344,-0.610981,-0.616447,-0.620095,-0.623363,-0.624899,-0.626665"); - } - - } - - internal_power () { - - when : "CK & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.716910,0.690858,0.681132,0.677291,0.674842,0.674140,0.673503"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.605117,-0.611022,-0.616853,-0.621394,-0.623805,-0.625997,-0.627887"); - } - - } - - internal_power () { - - when : "CK & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.651659,0.658087,0.660194,0.659689,0.658775,0.657992,0.657778"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.422897,-0.488630,-0.545711,-0.567203,-0.579208,-0.586917,-0.592504"); - } - - } - - internal_power () { - - when : "CK & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.651448,0.658357,0.660457,0.659936,0.659051,0.658263,0.658050"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.422151,-0.487781,-0.544487,-0.566087,-0.578369,-0.585560,-0.591129"); - } - - } - } - - pin (SE) { - - direction : input; - nextstate_type : scan_enable; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.853232; - fall_capacitance : 1.744503; - rise_capacitance : 1.853232; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.018562,-0.011758,-0.016237", \ - "-0.032907,-0.027796,-0.033199", \ - "0.102886,0.112734,0.098793"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.020956,-0.009200,-0.007881", \ - "-0.024178,-0.011556,-0.012895", \ - "0.063114,0.076897,0.067173"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.081602,0.067814,0.074800", \ - "0.100610,0.086939,0.092859", \ - "0.135898,0.122120,0.131868"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.083718,0.078838,0.093763", \ - "0.090379,0.085682,0.100152", \ - "0.096121,0.086278,0.100245"); - } - } - - internal_power () { - - when : "!CK & !D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.325519,2.289139,2.344436,2.551152,2.937182,3.555258,4.434080"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.064498,-0.097821,-0.090258,0.077185,0.476681,1.120751,2.026233"); - } - - } - - internal_power () { - - when : "!CK & !D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.326612,2.290291,2.345570,2.552288,2.938946,3.556446,4.435602"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.048157,-0.080911,-0.073772,0.093468,0.492190,1.137219,2.041407"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.742060,7.674132,7.731887,8.075700,8.779391,9.907294,11.517220"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.541321,4.498538,4.486308,4.735943,5.395694,6.535901,8.184555"); - } - - } - - internal_power () { - - when : "!CK & !D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.709688,7.638834,7.695944,8.044744,8.745684,9.872462,11.487030"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.492594,4.447516,4.435452,4.685879,5.353727,6.493953,8.144998"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.297901,7.266173,7.367921,7.653478,8.141592,8.868472,9.842501"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.071229,6.052193,6.036417,6.193610,6.584273,7.242605,8.178711"); - } - - } - - internal_power () { - - when : "!CK & D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.338060,7.309765,7.412684,7.697408,8.187203,8.914891,9.895915"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.230379,6.200047,6.143449,6.262586,6.636273,7.292015,8.229611"); - } - - } - - internal_power () { - - when : "!CK & D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.169628,2.137880,2.198823,2.411466,2.802628,3.424548,4.307151"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.064229,0.034961,0.042702,0.203416,0.592766,1.235665,2.142056"); - } - - } - - internal_power () { - - when : "!CK & D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.166257,2.134086,2.194968,2.408011,2.798477,3.420874,4.303249"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.035240,0.005977,0.013885,0.174795,0.564990,1.207235,2.113878"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.325444,2.289336,2.344671,2.551398,2.938070,3.555475,4.435084"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.063749,-0.097121,-0.089519,0.077932,0.476823,1.121498,2.026984"); - } - - } - - internal_power () { - - when : "CK & !D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.325279,2.288892,2.344547,2.551134,2.937773,3.555056,4.434768"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.048594,-0.081980,-0.074129,0.093393,0.492026,1.136256,2.041146"); - } - - } - - internal_power () { - - when : "CK & !D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.132130,4.062869,4.125332,4.475568,5.171722,6.284033,7.877049"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.985238,0.943101,0.936763,1.194370,1.855857,2.988679,4.618771"); - } - - } - - internal_power () { - - when : "CK & !D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.068096,3.997466,4.061397,4.414640,5.113751,6.228229,7.823220"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.918288,0.876082,0.869935,1.125956,1.785556,2.920841,4.556448"); - } - - } - - internal_power () { - - when : "CK & D & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.747618,3.716713,3.817585,4.105645,4.593359,5.316925,6.294464"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.454580,2.434605,2.424217,2.575827,2.970985,3.630162,4.566420"); - } - - } - - internal_power () { - - when : "CK & D & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.773503,3.743486,3.844838,4.133549,4.623388,5.348713,6.329080"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.552428,2.521216,2.464712,2.583432,2.957923,3.611115,4.549068"); - } - - } - - internal_power () { - - when : "CK & D & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.169284,2.137496,2.198773,2.411690,2.801856,3.423984,4.306428"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.063539,0.034431,0.042076,0.202986,0.592815,1.234976,2.142157"); - } - - } - - internal_power () { - - when : "CK & D & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.166322,2.134400,2.195629,2.408851,2.799517,3.421292,4.304289"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.036452,0.007371,0.015070,0.176268,0.565785,1.208462,2.115087"); - } - - } - } - - pin (SI) { - - direction : input; - nextstate_type : scan_in; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.898201; - fall_capacitance : 0.858820; - rise_capacitance : 0.898201; - - timing () { - - related_pin : "CK"; - timing_type : hold_rising; - when : "SE"; - sdf_cond : "SE === 1'b1"; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.030263,-0.017633,-0.014378", \ - "-0.035210,-0.021870,-0.017909", \ - "0.101190,0.114563,0.119015"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("-0.013942,-0.006946,-0.010630", \ - "-0.012654,-0.006593,-0.014873", \ - "0.052762,0.058018,0.044066"); - } - } - - timing () { - - related_pin : "CK"; - timing_type : setup_rising; - when : "SE"; - sdf_cond : "SE === 1'b1"; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.082834,0.078179,0.092860", \ - "0.100557,0.095519,0.110363", \ - "0.146251,0.141001,0.154978"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.074612,0.060585,0.056488", \ - "0.086511,0.072628,0.068357", \ - "0.097817,0.084449,0.080021"); - } - } - - internal_power () { - - when : "!CK & !D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542829,0.525614,0.518773,0.515458,0.512757,0.512226,0.510399"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467252,-0.470854,-0.473040,-0.474329,-0.475143,-0.476204,-0.476754"); - } - - } - - internal_power () { - - when : "!CK & !D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.543521,0.526313,0.519467,0.515999,0.514095,0.512909,0.511526"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.465204,-0.468827,-0.470984,-0.472273,-0.473935,-0.474180,-0.475647"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.944082,6.936805,6.908379,6.920343,7.011464,7.260784,7.679217"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.678080,4.653004,4.627491,4.631130,4.719656,4.949153,5.341454"); - } - - } - - internal_power () { - - when : "!CK & !D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.916218,6.902278,6.880118,6.885915,6.985130,7.234105,7.651597"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.667776,4.642270,4.617137,4.620463,4.708944,4.939922,5.330896"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.482182,0.489721,0.491399,0.490984,0.490878,0.490883,0.490489"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.320220,-0.368623,-0.408583,-0.424115,-0.432952,-0.437857,-0.442719"); - } - - } - - internal_power () { - - when : "!CK & D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481369,0.488924,0.490575,0.490172,0.489410,0.490057,0.489258"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.322133,-0.371078,-0.410924,-0.426446,-0.434898,-0.440441,-0.444513"); - } - - } - - internal_power () { - - when : "!CK & D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.949168,6.936429,6.907739,6.919661,7.010437,7.259929,7.678253"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.681819,4.656727,4.631052,4.634700,4.724685,4.952872,5.345082"); - } - - } - - internal_power () { - - when : "!CK & D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.913136,6.898767,6.876839,6.882293,6.981180,7.230313,7.647869"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.696287,4.671665,4.644132,4.649767,4.739657,4.968086,5.359793"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.543059,0.525859,0.519004,0.515695,0.513635,0.512429,0.511425"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.466440,-0.470061,-0.472267,-0.473559,-0.474987,-0.475442,-0.475996"); - } - - } - - internal_power () { - - when : "CK & !D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.542712,0.525438,0.518663,0.515189,0.513311,0.512114,0.511130"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.467544,-0.471080,-0.473733,-0.475079,-0.476232,-0.477287,-0.478038"); - } - - } - - internal_power () { - - when : "CK & !D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.332034,3.320351,3.297663,3.306572,3.402131,3.640728,4.037727"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.126663,1.100927,1.077000,1.085946,1.178786,1.407749,1.796519"); - } - - } - - internal_power () { - - when : "CK & !D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.273373,3.259505,3.237476,3.245369,3.343473,3.582350,3.982296"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.100764,1.074580,1.050974,1.058432,1.150652,1.375321,1.762212"); - } - - } - - internal_power () { - - when : "CK & D & !SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481900,0.489458,0.491110,0.490698,0.489944,0.490555,0.489795"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.321195,-0.369618,-0.409601,-0.425132,-0.433261,-0.438864,-0.442846"); - } - - } - - internal_power () { - - when : "CK & D & !SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.481862,0.489423,0.491059,0.490646,0.490522,0.490518,0.490520"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.320863,-0.369822,-0.409661,-0.425181,-0.434268,-0.439170,-0.443243"); - } - - } - - internal_power () { - - when : "CK & D & SE & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.331453,3.319564,3.296209,3.305886,3.401487,3.639969,4.036779"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.130239,1.104574,1.080568,1.089517,1.182516,1.411291,1.800161"); - } - - } - - internal_power () { - - when : "CK & D & SE & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.272191,3.260136,3.236669,3.244567,3.343187,3.582278,3.980918"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.128163,1.101085,1.078308,1.086568,1.176543,1.403198,1.789682"); - } - - } - } - - pin (CK) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 0.983853; - fall_capacitance : 0.888069; - rise_capacitance : 0.983853; - - timing () { - - related_pin : "CK"; - timing_type : min_pulse_width; - fall_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.066189,0.075086,0.198733"); - } - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.082061,0.081845,0.198733"); - } - } - - internal_power () { - - when : "!D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.652675,3.618977,3.630548,3.813965,4.186471,4.778446,5.637718"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.835811,2.815753,2.810438,2.939641,3.276053,3.888442,4.796912"); - } - - } - - internal_power () { - - when : "!D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.969314,6.931091,6.940514,7.135342,7.536539,8.167846,9.079747"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.652675,3.618980,3.630554,3.813969,4.186471,4.778464,5.637718"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.835832,2.815777,2.810472,2.939668,3.276093,3.888473,4.796943"); - } - - } - - internal_power () { - - when : "!D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.976725,6.938224,6.948762,7.142317,7.544371,8.174635,9.087219"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.652498,3.618813,3.630377,3.813799,4.186309,4.778403,5.637698"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.835959,2.815879,2.810605,2.939750,3.276144,3.888434,4.796948"); - } - - } - - internal_power () { - - when : "!D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.967680,6.929459,6.938857,7.132829,7.534320,8.165942,9.078116"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.064911,7.027427,7.038921,7.222035,7.589855,8.183582,9.044173"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "!D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.803426,3.768480,3.781744,3.966320,4.342708,4.940309,5.805187"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.686769,2.666687,2.658451,2.787484,3.132208,3.745536,4.657273"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.999987,6.962909,6.974067,7.158045,7.530283,8.123657,8.984789"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.803248,3.767893,3.781165,3.965743,4.342106,4.939779,5.804598"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.686860,2.666789,2.658564,2.787539,3.132326,3.745658,4.657393"); - } - - } - - internal_power () { - - when : "D & !SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.066332,7.028999,7.040746,7.222883,7.591758,8.185688,9.046431"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & !SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.802875,3.767888,3.781160,3.965737,4.342106,4.939769,5.804599"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.686846,2.666773,2.658545,2.787520,3.132308,3.745639,4.657374"); - } - - } - - internal_power () { - - when : "D & SE & !SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.652517,3.618817,3.630388,3.813806,4.186307,4.778427,5.637699"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.835994,2.815914,2.810648,2.939796,3.276183,3.888475,4.796989"); - } - - } - - internal_power () { - - when : "D & SE & !SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("6.957357,6.918600,6.928297,7.122774,7.524275,8.154727,9.067135"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & !Q & QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("7.003606,6.966685,6.973643,7.160464,7.533049,8.127567,8.988047"); - } - rise_power(scalar) {values ("0.0"); - } - - } - - internal_power () { - - when : "D & SE & SI & Q & !QN"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.803413,3.768474,3.781735,3.966313,4.342707,4.940294,5.805187"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.686749,2.666663,2.658426,2.787459,3.132183,3.745511,4.657248"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 120.544000; - function : "IQ"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.082160,0.089317,0.095716,0.106263,0.122870,0.148167,0.189752", \ - "0.083646,0.090800,0.097202,0.107749,0.124356,0.149652,0.191239", \ - "0.088665,0.095821,0.102221,0.112769,0.129373,0.154672,0.196263", \ - "0.094088,0.101245,0.107651,0.118191,0.134799,0.160100,0.201692", \ - "0.098063,0.105220,0.111624,0.122173,0.138774,0.164077,0.205674", \ - "0.100577,0.107735,0.114142,0.124671,0.141271,0.166567,0.208157", \ - "0.101594,0.108757,0.115163,0.125706,0.142258,0.167593,0.209219"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.066375,0.073448,0.079928,0.091164,0.111066,0.148007,0.220550", \ - "0.067854,0.074925,0.081407,0.092641,0.112546,0.149485,0.222021", \ - "0.072986,0.080061,0.086543,0.097777,0.117677,0.154621,0.227155", \ - "0.078709,0.085778,0.092264,0.103499,0.123403,0.160342,0.232877", \ - "0.083085,0.090166,0.096644,0.107884,0.127775,0.164718,0.237252", \ - "0.085778,0.092858,0.099342,0.110583,0.130478,0.167427,0.239957", \ - "0.086362,0.093433,0.099917,0.111168,0.131059,0.168006,0.240546"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.015776,0.018411,0.021045,0.025689,0.032995,0.046132,0.073851", \ - "0.015783,0.018411,0.021045,0.025689,0.032996,0.046130,0.073846", \ - "0.015786,0.018415,0.021048,0.025692,0.032997,0.046136,0.073854", \ - "0.015792,0.018418,0.021051,0.025695,0.032999,0.046138,0.073845", \ - "0.015813,0.018445,0.021073,0.025712,0.033012,0.046145,0.073861", \ - "0.015834,0.018457,0.021091,0.025734,0.033035,0.046142,0.073879", \ - "0.015914,0.018535,0.021159,0.025796,0.033085,0.046190,0.073871"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("0.008245,0.012408,0.016597,0.024592,0.040395,0.073258,0.141535", \ - "0.008242,0.012411,0.016596,0.024592,0.040395,0.073257,0.141538", \ - "0.008247,0.012411,0.016596,0.024592,0.040396,0.073256,0.141534", \ - "0.008249,0.012412,0.016596,0.024593,0.040395,0.073257,0.141540", \ - "0.008252,0.012412,0.016596,0.024594,0.040398,0.073258,0.141538", \ - "0.008254,0.012421,0.016603,0.024598,0.040399,0.073256,0.141542", \ - "0.008263,0.012425,0.016609,0.024604,0.040403,0.073260,0.141541"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("8.225946,7.971146,7.739461,7.527120,7.450206,7.421309,7.424690", \ - "8.213853,7.939373,7.731078,7.521323,7.443510,7.414311,7.372597", \ - "8.205144,7.971406,7.732909,7.508403,7.449565,7.402805,7.301848", \ - "8.253497,8.035980,7.792570,7.571868,7.494776,7.507736,7.443041", \ - "8.421386,8.198158,7.954623,7.737728,7.661868,7.673713,7.643231", \ - "8.733400,8.521626,8.277478,8.050533,7.969435,7.890701,7.841784", \ - "9.239414,9.011267,8.764608,8.541874,8.419777,8.412741,8.404273"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); - values ("6.338905,6.359205,6.412436,6.759178,6.866607,6.876176,6.879607", \ - "6.330857,6.346532,6.384721,6.739929,6.840414,6.889617,6.936359", \ - "6.311999,6.346739,6.404100,6.732775,6.829633,6.882367,6.856973", \ - "6.379424,6.409392,6.470155,6.810992,6.906691,6.946166,6.995385", \ - "6.547532,6.564927,6.640215,6.965945,7.081129,7.096660,7.174684", \ - "6.886317,6.896200,6.938305,7.277194,7.396831,7.440326,7.448508", \ - "7.346330,7.364678,7.430085,7.766982,7.838869,7.845073,7.945564"); - } - } - } - - pin (QN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 121.155000; - function : "IQN"; - - timing () { - - related_pin : "CK"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.088053,0.090747,0.093563,0.098833,0.108526,0.126990,0.163627", \ - "0.089531,0.092224,0.095040,0.100311,0.110001,0.128465,0.165094", \ - "0.094664,0.097360,0.100178,0.105448,0.115135,0.133607,0.170225", \ - "0.100386,0.103075,0.105896,0.111169,0.120860,0.139324,0.175958", \ - "0.104763,0.107462,0.110276,0.115554,0.125231,0.143700,0.180329", \ - "0.107463,0.110154,0.112973,0.118253,0.127935,0.146406,0.183031", \ - "0.108049,0.110731,0.113551,0.118837,0.128514,0.146985,0.183623"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.112780,0.115864,0.118830,0.125609,0.141841,0.177097,0.249328", \ - "0.114266,0.117352,0.120315,0.127095,0.143326,0.178583,0.250816", \ - "0.119289,0.122374,0.125336,0.132115,0.148346,0.183603,0.255837", \ - "0.124714,0.127802,0.130762,0.137541,0.153771,0.189026,0.261266", \ - "0.128701,0.131785,0.134749,0.141528,0.157749,0.193007,0.265241", \ - "0.131225,0.134306,0.137272,0.144034,0.160245,0.195490,0.267734", \ - "0.132281,0.135359,0.138325,0.145086,0.161236,0.196487,0.268741"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.005455,0.007146,0.008758,0.012044,0.019071,0.034233,0.065922", \ - "0.005453,0.007146,0.008758,0.012045,0.019071,0.034233,0.065913", \ - "0.005456,0.007145,0.008759,0.012046,0.019072,0.034238,0.065921", \ - "0.005455,0.007146,0.008762,0.012046,0.019076,0.034238,0.065914", \ - "0.005455,0.007145,0.008759,0.012047,0.019071,0.034237,0.065924", \ - "0.005456,0.007148,0.008760,0.012048,0.019074,0.034228,0.065905", \ - "0.005458,0.007150,0.008761,0.012049,0.019077,0.034236,0.065919"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("0.007486,0.010912,0.013774,0.020898,0.037676,0.072199,0.141419", \ - "0.007487,0.010911,0.013775,0.020899,0.037676,0.072199,0.141415", \ - "0.007486,0.010913,0.013774,0.020899,0.037676,0.072198,0.141418", \ - "0.007484,0.010914,0.013777,0.020900,0.037676,0.072199,0.141416", \ - "0.007489,0.010916,0.013778,0.020899,0.037677,0.072202,0.141420", \ - "0.007491,0.010925,0.013782,0.020903,0.037677,0.072200,0.141416", \ - "0.007504,0.010936,0.013793,0.020905,0.037678,0.072200,0.141417"); - } - } - - internal_power () { - - related_pin : "CK"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("6.338661,6.354513,6.420163,6.757057,6.864210,6.822307,6.945322", \ - "6.331693,6.346446,6.383965,6.752064,6.845011,6.769960,6.859206", \ - "6.312005,6.345495,6.403409,6.736014,6.834673,6.867483,6.833742", \ - "6.379416,6.410354,6.466099,6.812020,6.909581,6.964768,6.923620", \ - "6.547530,6.569003,6.640321,6.983636,7.079300,7.132404,7.174235", \ - "6.887692,6.902617,6.938436,7.298082,7.395621,7.381792,7.431984", \ - "7.346212,7.356127,7.431571,7.769953,7.829499,7.889278,7.831687"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); - values ("8.227069,7.956973,7.732050,7.524254,7.449229,7.456564,7.370038", \ - "8.214983,7.934498,7.727293,7.517896,7.438728,7.450305,7.335948", \ - "8.205158,7.966851,7.717857,7.502761,7.426727,7.359045,7.411235", \ - "8.253449,8.031324,7.793126,7.556818,7.513152,7.478704,7.369239", \ - "8.421380,8.193583,7.956238,7.722476,7.670657,7.675217,7.612733", \ - "8.733404,8.516991,8.269861,8.052866,7.986235,7.842171,7.873097", \ - "9.240552,9.002476,8.764323,8.537584,8.462301,8.382766,8.400045"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X1 - Cell Description : Combinational tri-state cell (TBUF_X1) with drive strength X1 - *******************************************************************************************/ - - cell (TBUF_X1) { - - drive_strength : 1; - - area : 2.128000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 32.749437; - - leakage_power () { - when : "!A & !EN"; - value : 43.057960; - } - leakage_power () { - when : "!A & EN"; - value : 21.116348; - } - leakage_power () { - when : "A & !EN"; - value : 40.018110; - } - leakage_power () { - when : "A & EN"; - value : 26.805328; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.879368; - fall_capacitance : 1.769035; - rise_capacitance : 1.879368; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.726556; - fall_capacitance : 1.583854; - rise_capacitance : 1.726556; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.053973; - fall_capacitance : 1.053973; - rise_capacitance : 1.052934; - max_capacitance : 51.574700; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.419589214,2.665683214,4.277393214,7.500813214,13.94767321,26.84137321,52.62867321"); - values ("0.0303968,0.0342089,0.0380927,0.0445061,0.0553707,0.0747651,0.111662", \ - "0.0317583,0.0355651,0.0394502,0.0458663,0.0567329,0.0761286,0.113026", \ - "0.0370553,0.0408414,0.0447310,0.0511665,0.0620560,0.0814664,0.118370", \ - "0.0449625,0.0489740,0.0530518,0.0596769,0.0707009,0.0901864,0.127119", \ - "0.0543105,0.0587220,0.0631574,0.0702714,0.0818715,0.101852,0.138937", \ - "0.0662816,0.0711665,0.0760332,0.0837299,0.0959898,0.116536,0.154043", \ - "0.0806876,0.0861426,0.0915252,0.0999429,0.113077,0.134504,0.172565"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.418550429,2.664644429,4.276354429,7.499774429,13.94663443,26.84033443,52.62763443"); - values ("0.0189452,0.0232608,0.0282473,0.0376205,0.0558724,0.0921214,0.164485", \ - "0.0201649,0.0244653,0.0294451,0.0388179,0.0570762,0.0933368,0.165704", \ - "0.0247546,0.0290362,0.0339909,0.0433466,0.0616169,0.0979088,0.170308", \ - "0.0294601,0.0339978,0.0390564,0.0484977,0.0668148,0.103081,0.175495", \ - "0.0326745,0.0379140,0.0432652,0.0527695,0.0710494,0.107448,0.179829", \ - "0.0338779,0.0401693,0.0462877,0.0562618,0.0746590,0.110983,0.183514", \ - "0.0328176,0.0402765,0.0474869,0.0585526,0.0773751,0.113924,0.186454"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.419589214,2.665683214,4.277393214,7.500813214,13.94767321,26.84137321,52.62867321"); - values ("0.00494725,0.00674872,0.00883462,0.0126899,0.0200566,0.0349126,0.0658518", \ - "0.00494862,0.00675195,0.00883831,0.0126915,0.0200578,0.0349128,0.0658523", \ - "0.00499352,0.00679799,0.00887342,0.0127116,0.0200654,0.0349155,0.0658532", \ - "0.00564419,0.00739234,0.00938644,0.0130697,0.0202629,0.0349960,0.0658688", \ - "0.00662230,0.00839590,0.0104144,0.0141184,0.0212153,0.0356188,0.0660157", \ - "0.00776953,0.00960299,0.0116540,0.0153597,0.0223374,0.0364659,0.0665632", \ - "0.00912650,0.0110417,0.0131632,0.0169348,0.0238973,0.0377518,0.0672283"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.418550429,2.664644429,4.276354429,7.499774429,13.94663443,26.84033443,52.62763443"); - values ("0.00551976,0.00855381,0.0125595,0.0208464,0.0378925,0.0722846,0.141078", \ - "0.00551983,0.00855427,0.0125591,0.0208519,0.0379022,0.0722801,0.141085", \ - "0.00575160,0.00868790,0.0126337,0.0208749,0.0379081,0.0722898,0.141094", \ - "0.00670659,0.00935516,0.0131194,0.0212173,0.0380135,0.0722796,0.141092", \ - "0.00839864,0.0107265,0.0139752,0.0215493,0.0382682,0.0724922,0.141080", \ - "0.0104917,0.0129681,0.0158477,0.0225678,0.0386260,0.0726940,0.141296", \ - "0.0128595,0.0157263,0.0186568,0.0245945,0.0396111,0.0731638,0.141558"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.108047,0.113036,0.129340,0.156215,0.195366,0.247854,0.314835"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.049259,0.054376,0.070827,0.096590,0.133193,0.182060,0.244365"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.419589214,2.665683214,4.277393214,7.500813214,13.94767321,26.84137321,52.62867321"); - values ("0.025395,0.029148,0.033025,0.039463,0.050366,0.069780,0.106668", \ - "0.025989,0.029741,0.033618,0.040055,0.050958,0.070373,0.107261", \ - "0.031641,0.035397,0.039275,0.045715,0.056619,0.076034,0.112923", \ - "0.041674,0.045860,0.050036,0.056771,0.067862,0.087364,0.124264", \ - "0.052877,0.057703,0.062368,0.069637,0.081283,0.101363,0.138469", \ - "0.065878,0.071419,0.076682,0.084695,0.097007,0.117441,0.155024", \ - "0.080970,0.087332,0.093300,0.102253,0.115604,0.136824,0.174699"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.418550429,2.664644429,4.276354429,7.499774429,13.94663443,26.84033443,52.62763443"); - values ("0.032550,0.036769,0.041655,0.050897,0.069027,0.105187,0.177497", \ - "0.034049,0.038268,0.043154,0.052395,0.070526,0.106687,0.178998", \ - "0.040488,0.044709,0.049595,0.058838,0.076967,0.113126,0.185441", \ - "0.049516,0.053763,0.058668,0.067922,0.086049,0.122210,0.194522", \ - "0.059595,0.063890,0.068816,0.078083,0.096216,0.132375,0.204682", \ - "0.071182,0.075551,0.080515,0.089811,0.107952,0.144110,0.216402", \ - "0.084434,0.088919,0.093940,0.103272,0.121427,0.157588,0.229887"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.419589214,2.665683214,4.277393214,7.500813214,13.94767321,26.84137321,52.62867321"); - values ("0.005375,0.007152,0.009187,0.012960,0.020229,0.034990,0.065869", \ - "0.005373,0.007152,0.009187,0.012960,0.020229,0.034990,0.065868", \ - "0.005393,0.007162,0.009197,0.012966,0.020232,0.034992,0.065869", \ - "0.006473,0.008083,0.009990,0.013542,0.020546,0.035121,0.065894", \ - "0.007903,0.009490,0.011307,0.014715,0.021596,0.035946,0.066109", \ - "0.009469,0.011122,0.012966,0.016254,0.022708,0.036641,0.066901", \ - "0.011318,0.013041,0.014973,0.018304,0.024497,0.037778,0.067382"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.418550429,2.664644429,4.276354429,7.499774429,13.94663443,26.84033443,52.62763443"); - values ("0.005703,0.008700,0.012659,0.020894,0.037906,0.072274,0.141083", \ - "0.005703,0.008701,0.012662,0.020889,0.037907,0.072270,0.141085", \ - "0.005711,0.008704,0.012660,0.020893,0.037901,0.072273,0.141093", \ - "0.005772,0.008753,0.012699,0.020913,0.037910,0.072270,0.141090", \ - "0.005892,0.008838,0.012754,0.020945,0.037920,0.072276,0.141091", \ - "0.006072,0.008969,0.012853,0.020992,0.037938,0.072275,0.141072", \ - "0.006363,0.009172,0.012989,0.021067,0.037969,0.072290,0.141087"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.419589214,2.665683214,4.277393214,7.500813214,13.94767321,26.84137321,52.62867321"); - values ("4.291482,4.538765,4.730045,4.915571,5.052731,5.107278,5.125350", \ - "4.197525,4.449194,4.638515,4.833915,4.975139,5.034690,5.049775", \ - "4.161072,4.410009,4.590569,4.792859,4.939615,5.007702,5.026608", \ - "4.246377,4.507279,4.721018,4.933846,5.091544,5.164228,5.194416", \ - "4.355703,4.676214,4.913363,5.235895,5.521280,5.617919,5.652960", \ - "4.970593,5.259761,5.521117,5.827357,6.157775,6.432460,6.498042", \ - "5.885732,6.195835,6.488054,6.834330,7.218983,7.514325,7.762621"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.418550429,2.664644429,4.276354429,7.499774429,13.94663443,26.84033443,52.62763443"); - values ("1.945235,2.054567,2.123725,2.182638,2.171433,2.147945,2.207092", \ - "1.901036,1.996702,2.057562,2.076221,2.169427,2.056820,2.112218", \ - "1.876110,1.966559,1.990173,2.059482,2.078719,2.000929,2.073251", \ - "2.133248,2.156195,2.169046,2.226220,2.254403,2.341187,2.257675", \ - "2.690608,2.779448,2.812715,2.778127,2.772440,2.720473,2.734173", \ - "3.465272,3.684663,3.727765,3.776367,3.751541,3.779627,3.731205", \ - "4.435277,4.716927,4.939527,5.087481,5.134877,5.137490,5.160473"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.419589214,2.665683214,4.277393214,7.500813214,13.94767321,26.84137321,52.62867321"); - values ("3.976701,4.187953,4.341173,4.496713,4.621554,4.671420,4.681022", \ - "3.900772,4.081915,4.237892,4.414464,4.532155,4.581370,4.593572", \ - "3.963586,4.169666,4.328236,4.491795,4.611910,4.660330,4.672016", \ - "4.213698,4.479012,4.638145,4.831674,4.961998,5.012450,5.023319", \ - "4.616491,4.854381,5.087023,5.346463,5.601552,5.673327,5.688326", \ - "5.444437,5.695421,5.921581,6.180714,6.460071,6.691681,6.737324", \ - "6.611961,6.898900,7.144344,7.445253,7.785312,8.021197,8.234057"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.418550429,2.664644429,4.276354429,7.499774429,13.94663443,26.84033443,52.62763443"); - values ("4.204821,4.284545,4.282472,4.267196,4.289975,4.271625,4.230948", \ - "4.159624,4.213954,4.249738,4.241843,4.247482,4.249872,4.186013", \ - "4.192733,4.261687,4.293953,4.307613,4.310923,4.204343,4.332847", \ - "4.363053,4.450045,4.487070,4.503743,4.484189,4.403745,4.532127", \ - "4.548906,4.699769,4.750844,4.814050,4.865273,4.809726,4.766556", \ - "4.992791,5.158728,5.234882,5.305742,5.491846,5.492703,5.451150", \ - "5.642456,5.847963,6.006061,6.076197,6.238640,6.370448,6.336938"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X2 - Cell Description : Combinational tri-state cell (TBUF_X2) with drive strength X2 - *******************************************************************************************/ - - cell (TBUF_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 58.901131; - - leakage_power () { - when : "!A & !EN"; - value : 81.681490; - } - leakage_power () { - when : "!A & EN"; - value : 33.339570; - } - leakage_power () { - when : "A & !EN"; - value : 75.740060; - } - leakage_power () { - when : "A & EN"; - value : 44.843403; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.325056; - fall_capacitance : 3.106280; - rise_capacitance : 3.325056; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.737893; - fall_capacitance : 2.536594; - rise_capacitance : 2.737893; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.636401; - fall_capacitance : 1.636401; - rise_capacitance : 1.634360; - max_capacitance : 103.607000; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("2.002017,4.874121,8.111841,14.587301,27.538101,53.439901,105.243401"); - values ("0.0264832,0.0310108,0.0348193,0.0410836,0.0517594,0.0710238,0.108020", \ - "0.0278395,0.0323568,0.0361658,0.0424328,0.0531114,0.0723774,0.109375", \ - "0.0331735,0.0376492,0.0414612,0.0477506,0.0584530,0.0777359,0.114742", \ - "0.0405557,0.0453681,0.0494129,0.0559630,0.0668555,0.0862264,0.123254", \ - "0.0493086,0.0546075,0.0590246,0.0660555,0.0775142,0.0973865,0.134588", \ - "0.0606849,0.0665767,0.0714461,0.0790830,0.0912160,0.111649,0.149226", \ - "0.0744258,0.0810348,0.0864226,0.0948150,0.107893,0.129248,0.167393"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.999975714,4.872079714,8.109799714,14.58525971,27.53605971,53.43785971,105.2413597"); - values ("0.0170396,0.0222748,0.0273333,0.0367714,0.0551072,0.0915144,0.164200", \ - "0.0182544,0.0234672,0.0285179,0.0379567,0.0563031,0.0927225,0.165410", \ - "0.0227331,0.0279547,0.0329874,0.0424159,0.0607837,0.0972450,0.169970", \ - "0.0270467,0.0326885,0.0378418,0.0473695,0.0658062,0.102246,0.174985", \ - "0.0297047,0.0363861,0.0418915,0.0515009,0.0698995,0.106495,0.179207", \ - "0.0303020,0.0383670,0.0447451,0.0548865,0.0734088,0.109934,0.182826", \ - "0.0285675,0.0381753,0.0457148,0.0570452,0.0760590,0.112841,0.185733"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("2.002017,4.874121,8.111841,14.587301,27.538101,53.439901,105.243401"); - values ("0.00394753,0.00605674,0.00814212,0.0120074,0.0194410,0.0345144,0.0658417", \ - "0.00395212,0.00606148,0.00814547,0.0120089,0.0194407,0.0345149,0.0658417", \ - "0.00400276,0.00611180,0.00818508,0.0120313,0.0194501,0.0345162,0.0658430", \ - "0.00472873,0.00678702,0.00880037,0.0125030,0.0197087,0.0346129,0.0658598", \ - "0.00567324,0.00778517,0.00980815,0.0135114,0.0206494,0.0352606,0.0660127", \ - "0.00678975,0.00898510,0.0110563,0.0147679,0.0217766,0.0360561,0.0665411", \ - "0.00811446,0.0104147,0.0125772,0.0163778,0.0233805,0.0373817,0.0672004"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.999975714,4.872079714,8.109799714,14.58525971,27.53605971,53.43785971,105.2413597"); - values ("0.00443535,0.00796004,0.0119884,0.0203164,0.0374394,0.0719641,0.141091", \ - "0.00443740,0.00796521,0.0119896,0.0203185,0.0374355,0.0719688,0.141088", \ - "0.00474387,0.00812394,0.0120753,0.0203429,0.0374373,0.0719671,0.141087", \ - "0.00582586,0.00881601,0.0125636,0.0206991,0.0375696,0.0719701,0.141090", \ - "0.00749971,0.0102646,0.0134694,0.0210327,0.0378209,0.0721884,0.141084", \ - "0.00949004,0.0125371,0.0154073,0.0220787,0.0381987,0.0724034,0.141310", \ - "0.0117323,0.0152810,0.0182661,0.0241525,0.0392055,0.0729054,0.141576"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.093345,0.098360,0.115433,0.143673,0.184151,0.238070,0.306341"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.040025,0.045148,0.061363,0.086637,0.122846,0.171340,0.233289"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("2.002017,4.874121,8.111841,14.587301,27.538101,53.439901,105.243401"); - values ("0.021443,0.025864,0.029652,0.035930,0.046634,0.065909,0.102895", \ - "0.022051,0.026471,0.030260,0.036537,0.047239,0.066514,0.103500", \ - "0.027811,0.032247,0.036040,0.042322,0.053029,0.072305,0.109290", \ - "0.036930,0.042034,0.046178,0.052837,0.063815,0.083201,0.120191", \ - "0.047263,0.053198,0.057842,0.064986,0.076429,0.096369,0.133637", \ - "0.059473,0.066335,0.071609,0.079509,0.091604,0.111853,0.149498", \ - "0.073754,0.081707,0.087718,0.096599,0.109753,0.130783,0.168723"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.999975714,4.872079714,8.109799714,14.58525971,27.53605971,53.43785971,105.2413597"); - values ("0.034800,0.039956,0.044926,0.054244,0.072463,0.108792,0.181429", \ - "0.036301,0.041456,0.046426,0.055745,0.073965,0.110291,0.182929", \ - "0.042834,0.047990,0.052960,0.062279,0.080497,0.116825,0.189466", \ - "0.053551,0.058759,0.063748,0.073078,0.091299,0.127626,0.200260", \ - "0.065289,0.070598,0.075626,0.084982,0.103210,0.139536,0.212170", \ - "0.078287,0.083754,0.088840,0.098232,0.116477,0.152796,0.225428", \ - "0.092832,0.098536,0.103703,0.113143,0.131410,0.167732,0.240356"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("2.002017,4.874121,8.111841,14.587301,27.538101,53.439901,105.243401"); - values ("0.004328,0.006419,0.008459,0.012247,0.019589,0.034576,0.065852", \ - "0.004328,0.006419,0.008458,0.012246,0.019588,0.034575,0.065853", \ - "0.004386,0.006450,0.008480,0.012261,0.019596,0.034579,0.065853", \ - "0.005616,0.007480,0.009380,0.012986,0.020014,0.034735,0.065880", \ - "0.006952,0.008836,0.010631,0.014015,0.020943,0.035592,0.066129", \ - "0.008457,0.010432,0.012271,0.015527,0.022006,0.036161,0.066907", \ - "0.010284,0.012344,0.014275,0.017594,0.023796,0.037292,0.067340"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.999975714,4.872079714,8.109799714,14.58525971,27.53605971,53.43785971,105.2413597"); - values ("0.004715,0.008176,0.012136,0.020383,0.037446,0.071963,0.141084", \ - "0.004716,0.008177,0.012137,0.020382,0.037454,0.071962,0.141083", \ - "0.004720,0.008179,0.012138,0.020383,0.037448,0.071961,0.141077", \ - "0.004810,0.008241,0.012182,0.020405,0.037453,0.071965,0.141084", \ - "0.005006,0.008368,0.012269,0.020452,0.037469,0.071972,0.141078", \ - "0.005305,0.008561,0.012399,0.020526,0.037498,0.071972,0.141079", \ - "0.005723,0.008843,0.012584,0.020622,0.037548,0.071980,0.141081"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("2.002017,4.874121,8.111841,14.587301,27.538101,53.439901,105.243401"); - values ("7.558368,8.182751,8.550890,8.877219,9.104252,9.194079,9.222335", \ - "7.431593,8.021440,8.389703,8.715607,8.943141,9.038779,9.068029", \ - "7.365659,7.924082,8.294332,8.641947,8.889400,8.992797,9.031117", \ - "7.401152,8.155488,8.546254,8.934192,9.203007,9.331468,9.385164", \ - "7.746456,8.437943,8.949950,9.542135,10.110830,10.279800,10.343200", \ - "8.981327,9.682227,10.203960,10.816330,11.412380,11.938020,12.100220", \ - "10.951020,11.648600,12.179230,12.907370,13.630650,14.198130,14.721210"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.999975714,4.872079714,8.109799714,14.58525971,27.53605971,53.43785971,105.2413597"); - values ("3.606356,3.956379,4.087591,4.186957,4.287414,4.320386,4.264609", \ - "3.501577,3.828053,3.943573,4.052360,4.071079,4.202931,4.071828", \ - "3.430955,3.724229,3.851064,3.930368,3.897095,4.128506,4.004881", \ - "3.980067,4.164160,4.237931,4.257244,4.419213,4.159442,4.418339", \ - "5.005912,5.400844,5.479173,5.442692,5.504492,5.478097,5.427902", \ - "6.570248,7.181846,7.381597,7.436675,7.412060,7.249285,7.479384", \ - "8.527281,9.340509,9.756686,10.142290,10.292170,10.298430,9.930113"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("2.002017,4.874121,8.111841,14.587301,27.538101,53.439901,105.243401"); - values ("5.575013,6.101614,6.430445,6.731647,6.936046,7.003341,7.020671", \ - "5.404692,5.953604,6.295556,6.598804,6.795484,6.864403,6.883566", \ - "5.502316,6.047284,6.358083,6.702093,6.898545,6.973089,6.992026", \ - "5.659439,6.385468,6.780192,7.168504,7.392166,7.469744,7.492278", \ - "6.203061,6.862147,7.291794,7.828893,8.274476,8.404318,8.426125", \ - "7.379343,7.997481,8.447165,8.978311,9.438656,9.796969,9.907390", \ - "9.005671,9.629849,10.098780,10.684450,11.288850,11.665550,12.015910"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.999975714,4.872079714,8.109799714,14.58525971,27.53605971,53.43785971,105.2413597"); - values ("6.425056,6.676460,6.732533,6.778746,6.744085,6.832360,6.825083", \ - "6.376787,6.641693,6.679715,6.703703,6.707947,6.769432,6.789184", \ - "6.386531,6.610304,6.691303,6.719182,6.595634,6.507030,6.685575", \ - "6.479114,6.790755,6.842502,6.896000,6.919536,6.961088,6.659871", \ - "6.600030,6.979120,7.135987,7.266689,7.135581,7.310996,7.227131", \ - "6.992404,7.354902,7.527017,7.729708,7.804597,7.918576,7.888943", \ - "7.531785,8.056403,8.270964,8.479156,8.581533,8.760346,8.863135"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X4 - Cell Description : Combinational tri-state cell (TBUF_X4) with drive strength X4 - *******************************************************************************************/ - - cell (TBUF_X4) { - - drive_strength : 4; - - area : 2.926000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 72.121528; - - leakage_power () { - when : "!A & !EN"; - value : 113.358300; - } - leakage_power () { - when : "!A & EN"; - value : 32.983489; - } - leakage_power () { - when : "A & !EN"; - value : 95.306420; - } - leakage_power () { - when : "A & EN"; - value : 46.837901; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.380143; - fall_capacitance : 2.970036; - rise_capacitance : 3.380143; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.436324; - fall_capacitance : 2.282688; - rise_capacitance : 2.436324; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 3.222263; - fall_capacitance : 3.222263; - rise_capacitance : 3.216010; - max_capacitance : 206.909000; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("3.587878857,9.688172857,16.15406286,29.08586286,54.94956286,106.6772629,210.1312629"); - values ("0.0261475,0.0316144,0.0358790,0.0427726,0.0541924,0.0741590,0.111533", \ - "0.0268164,0.0322299,0.0364828,0.0433702,0.0547876,0.0747530,0.112127", \ - "0.0324910,0.0378137,0.0420452,0.0489329,0.0603616,0.0803369,0.117718", \ - "0.0430970,0.0487075,0.0531436,0.0602224,0.0717933,0.0918466,0.129248", \ - "0.0549434,0.0611656,0.0660522,0.0737122,0.0858742,0.106489,0.144052", \ - "0.0684646,0.0754115,0.0808709,0.0892689,0.102137,0.123181,0.161283", \ - "0.0840101,0.0918511,0.0979645,0.107292,0.121220,0.143070,0.181472"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("3.581626143,9.681920143,16.14781014,29.07961014,54.94331014,106.6710101,210.1250101"); - values ("0.0193812,0.0256254,0.0309997,0.0407124,0.0591815,0.0956152,0.168293", \ - "0.0206149,0.0268366,0.0322035,0.0419204,0.0603994,0.0968431,0.169525", \ - "0.0253861,0.0315722,0.0369191,0.0466324,0.0651376,0.101629,0.174345", \ - "0.0306546,0.0375025,0.0430250,0.0528765,0.0714069,0.107875,0.180604", \ - "0.0341043,0.0422538,0.0482508,0.0582537,0.0768161,0.113386,0.186086", \ - "0.0354495,0.0452076,0.0522026,0.0629115,0.0816009,0.118067,0.190882", \ - "0.0344020,0.0459716,0.0542001,0.0662940,0.0856071,0.122196,0.194965"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("3.587878857,9.688172857,16.15406286,29.08586286,54.94956286,106.6772629,210.1312629"); - values ("0.00501032,0.00741238,0.00958409,0.0135432,0.0210105,0.0358817,0.0667545", \ - "0.00500915,0.00741803,0.00958909,0.0135456,0.0210114,0.0358806,0.0667551", \ - "0.00502106,0.00745246,0.00962134,0.0135671,0.0210197,0.0358834,0.0667544", \ - "0.00609583,0.00836256,0.0103678,0.0140634,0.0212995,0.0360078,0.0667842", \ - "0.00765593,0.00994410,0.0119202,0.0154950,0.0225179,0.0368341,0.0670017", \ - "0.00933091,0.0117296,0.0137753,0.0172503,0.0238421,0.0377737,0.0678445", \ - "0.0112460,0.0137800,0.0159439,0.0194938,0.0258294,0.0390697,0.0684827"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("3.581626143,9.681920143,16.14781014,29.07961014,54.94331014,106.6710101,210.1250101"); - values ("0.00498491,0.00880908,0.0127993,0.0209776,0.0379098,0.0723525,0.141462", \ - "0.00498469,0.00881512,0.0128026,0.0209780,0.0379038,0.0723590,0.141458", \ - "0.00521470,0.00893717,0.0128807,0.0210088,0.0379169,0.0723690,0.141456", \ - "0.00668676,0.00988549,0.0135416,0.0214106,0.0380154,0.0723710,0.141457", \ - "0.00869742,0.0117436,0.0148004,0.0219946,0.0383832,0.0725494,0.141466", \ - "0.0111388,0.0144205,0.0172144,0.0233947,0.0388400,0.0728018,0.141654", \ - "0.0139624,0.0175953,0.0205419,0.0260249,0.0400441,0.0732331,0.141920"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.097792,0.102811,0.119930,0.148225,0.188743,0.242835,0.311474"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.042888,0.047899,0.065366,0.093160,0.132127,0.183689,0.249135"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("3.587878857,9.688172857,16.15406286,29.08586286,54.94956286,106.6772629,210.1312629"); - values ("0.029362,0.034865,0.039124,0.046030,0.057480,0.077466,0.114830", \ - "0.030735,0.036238,0.040497,0.047404,0.058853,0.078839,0.116205", \ - "0.036053,0.041556,0.045815,0.052722,0.064171,0.084158,0.121525", \ - "0.044036,0.049925,0.054372,0.061432,0.072979,0.093015,0.130387", \ - "0.053372,0.059938,0.064790,0.072394,0.084541,0.105067,0.142583", \ - "0.065238,0.072609,0.077943,0.086156,0.098989,0.120137,0.158129", \ - "0.079520,0.087840,0.093746,0.102705,0.116427,0.138470,0.177045"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("3.581626143,9.681920143,16.14781014,29.07961014,54.94331014,106.6710101,210.1250101"); - values ("0.037744,0.043896,0.049183,0.058786,0.077141,0.113496,0.186126", \ - "0.039242,0.045393,0.050680,0.060282,0.078638,0.114993,0.187622", \ - "0.045759,0.051913,0.057199,0.066803,0.085160,0.121513,0.194141", \ - "0.056629,0.062826,0.068127,0.077739,0.096096,0.132450,0.205077", \ - "0.068591,0.074886,0.080227,0.089867,0.108236,0.144584,0.217209", \ - "0.081843,0.088294,0.093690,0.103370,0.121754,0.158097,0.230719", \ - "0.096689,0.103373,0.108849,0.118584,0.136991,0.173336,0.245948"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("3.587878857,9.688172857,16.15406286,29.08586286,54.94956286,106.6772629,210.1312629"); - values ("0.005382,0.007780,0.009926,0.013824,0.021202,0.035976,0.066777", \ - "0.005384,0.007781,0.009926,0.013824,0.021202,0.035977,0.066780", \ - "0.005391,0.007784,0.009929,0.013826,0.021202,0.035977,0.066778", \ - "0.006119,0.008385,0.010416,0.014150,0.021385,0.036058,0.066798", \ - "0.007287,0.009530,0.011589,0.015337,0.022443,0.036727,0.066973", \ - "0.008720,0.010921,0.012979,0.016715,0.023712,0.037751,0.067608", \ - "0.010395,0.012588,0.014673,0.018440,0.025400,0.039160,0.068409"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("3.581626143,9.681920143,16.14781014,29.07961014,54.94331014,106.6710101,210.1250101"); - values ("0.005318,0.009070,0.012993,0.021076,0.037928,0.072356,0.141459", \ - "0.005321,0.009071,0.012993,0.021075,0.037928,0.072363,0.141454", \ - "0.005320,0.009071,0.012994,0.021076,0.037936,0.072358,0.141457", \ - "0.005406,0.009126,0.013030,0.021095,0.037932,0.072356,0.141459", \ - "0.005597,0.009256,0.013126,0.021152,0.037962,0.072357,0.141457", \ - "0.005887,0.009448,0.013268,0.021236,0.037984,0.072362,0.141455", \ - "0.006309,0.009735,0.013470,0.021351,0.038039,0.072381,0.141458"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("3.587878857,9.688172857,16.15406286,29.08586286,54.94956286,106.6772629,210.1312629"); - values ("8.521728,9.487663,10.032580,10.653930,11.114240,11.359370,11.448930", \ - "8.396277,9.322188,9.867737,10.477800,10.957370,11.197380,11.287300", \ - "8.491601,9.320542,9.845763,10.458540,10.945820,11.213990,11.320320", \ - "9.052682,9.852767,10.368200,10.949580,11.444660,11.730160,11.852750", \ - "9.880965,10.533580,11.100250,11.846600,12.514300,12.849130,12.987910", \ - "11.549190,11.988340,12.478110,13.208420,13.980400,14.640010,14.834110", \ - "13.829400,14.221020,14.661560,15.424700,16.276840,16.968980,17.514320"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("3.581626143,9.681920143,16.14781014,29.07961014,54.94331014,106.6710101,210.1250101"); - values ("5.536915,6.314922,6.536495,6.801457,6.922632,6.800661,6.940304", \ - "5.453481,6.202764,6.500899,6.698778,6.697945,6.669952,6.730938", \ - "5.382353,6.084703,6.316452,6.562493,6.599279,6.875771,6.944829", \ - "5.764823,6.382025,6.520006,6.689104,7.008271,6.871731,6.885903", \ - "6.673998,7.527402,7.692202,7.718252,7.993402,7.782469,7.230100", \ - "8.065906,9.193975,9.594740,9.817057,9.915855,9.545820,9.709557", \ - "10.011330,11.228010,11.903350,12.502040,12.507990,12.555630,12.891950"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("3.587878857,9.688172857,16.15406286,29.08586286,54.94956286,106.6772629,210.1312629"); - values ("8.173154,8.827527,9.221700,9.675034,10.053110,10.244400,10.297550", \ - "8.084857,8.732806,9.120208,9.577401,9.942007,10.124730,10.181220", \ - "8.038122,8.679078,9.092375,9.547187,9.916340,10.103590,10.162380", \ - "8.123076,8.857577,9.254102,9.738767,10.130460,10.323060,10.378570", \ - "8.126382,8.963312,9.526922,10.170070,10.723330,10.945350,11.003490", \ - "8.929667,9.732208,10.290210,10.941030,11.602500,12.107530,12.193280", \ - "10.277390,11.080800,11.626820,12.359420,13.094030,13.638300,14.018370"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("3.581626143,9.681920143,16.14781014,29.07961014,54.94331014,106.6710101,210.1250101"); - values ("9.270253,9.841218,10.001700,9.910239,9.784243,10.015590,9.582928", \ - "9.149990,9.805608,9.964391,9.914248,9.739825,9.960079,9.834431", \ - "9.232616,9.800377,9.959288,9.956919,9.903895,9.830293,9.995536", \ - "9.361634,9.969801,10.104310,10.090980,9.909154,10.170980,9.767667", \ - "9.403636,10.108400,10.445890,10.551080,10.373960,10.507080,10.468140", \ - "9.722714,10.460930,10.715090,10.855720,10.884600,11.137450,10.929490", \ - "10.257210,11.085300,11.318100,11.532850,11.859140,11.787530,12.069800"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X8 - Cell Description : Combinational tri-state cell (TBUF_X8) with drive strength X8 - *******************************************************************************************/ - - cell (TBUF_X8) { - - drive_strength : 8; - - area : 4.788000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 144.426508; - - leakage_power () { - when : "!A & !EN"; - value : 226.837600; - } - leakage_power () { - when : "!A & EN"; - value : 66.210540; - } - leakage_power () { - when : "A & !EN"; - value : 190.738460; - } - leakage_power () { - when : "A & EN"; - value : 93.919430; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.719670; - fall_capacitance : 5.905147; - rise_capacitance : 6.719670; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.985662; - fall_capacitance : 4.695010; - rise_capacitance : 4.985662; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.744279; - fall_capacitance : 6.744279; - rise_capacitance : 6.731128; - max_capacitance : 412.598000; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("7.109895429,19.63797943,32.53167943,58.31897943,109.8932794,213.0432794,419.3422794"); - values ("0.0261860,0.0317545,0.0359873,0.0428527,0.0542477,0.0741832,0.111499", \ - "0.0268781,0.0323854,0.0366055,0.0434650,0.0548563,0.0747907,0.112106", \ - "0.0325679,0.0379782,0.0421754,0.0490334,0.0604357,0.0803782,0.117700", \ - "0.0432175,0.0488808,0.0532797,0.0603192,0.0718532,0.0918763,0.129222", \ - "0.0551032,0.0613566,0.0661924,0.0737955,0.0859128,0.106491,0.143994", \ - "0.0686608,0.0756407,0.0810243,0.0893560,0.102161,0.123152,0.161200", \ - "0.0842499,0.0921106,0.0981322,0.107390,0.121234,0.143031,0.181371"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("7.096744214,19.62482821,32.51852821,58.30582821,109.8801282,213.0301282,419.3291282"); - values ("0.0198422,0.0263585,0.0317746,0.0415373,0.0600391,0.0964865,0.169173", \ - "0.0210808,0.0275751,0.0329847,0.0427532,0.0612659,0.0977234,0.170414", \ - "0.0258637,0.0323229,0.0377151,0.0474825,0.0660238,0.102524,0.175254", \ - "0.0312278,0.0383527,0.0439232,0.0538384,0.0724072,0.108893,0.181638", \ - "0.0347778,0.0432261,0.0492510,0.0593260,0.0779536,0.114544,0.187246", \ - "0.0361988,0.0463028,0.0533035,0.0640625,0.0828332,0.119337,0.192160", \ - "0.0352135,0.0471832,0.0554019,0.0675426,0.0869195,0.123561,0.196336"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("7.109895429,19.63797943,32.53167943,58.31897943,109.8932794,213.0432794,419.3422794"); - values ("0.00502766,0.00749108,0.00965356,0.0136018,0.0210543,0.0359001,0.0667207", \ - "0.00502373,0.00749529,0.00965780,0.0136042,0.0210550,0.0359008,0.0667197", \ - "0.00503413,0.00752690,0.00968847,0.0136251,0.0210657,0.0359049,0.0667202", \ - "0.00610044,0.00842308,0.0104274,0.0141201,0.0213439,0.0360283,0.0667500", \ - "0.00766588,0.00998108,0.0119593,0.0155373,0.0225564,0.0368556,0.0669680", \ - "0.00934917,0.0117609,0.0137988,0.0172765,0.0238685,0.0377889,0.0678131", \ - "0.0112582,0.0138109,0.0159636,0.0195117,0.0258460,0.0390808,0.0684496"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("7.096744214,19.62482821,32.51852821,58.30582821,109.8801282,213.0301282,419.3291282"); - values ("0.00507354,0.00904026,0.0130354,0.0211993,0.0380923,0.0725160,0.141608", \ - "0.00507882,0.00904587,0.0130412,0.0212022,0.0380917,0.0725258,0.141609", \ - "0.00528716,0.00916311,0.0131153,0.0212339,0.0381001,0.0725220,0.141600", \ - "0.00674683,0.0100865,0.0137711,0.0216306,0.0382044,0.0725307,0.141604", \ - "0.00875381,0.0119097,0.0150055,0.0222270,0.0385846,0.0727151,0.141613", \ - "0.0111938,0.0145807,0.0173876,0.0236151,0.0390396,0.0729641,0.141811", \ - "0.0140330,0.0177627,0.0207049,0.0262146,0.0402472,0.0733851,0.142067"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.101710,0.106717,0.123883,0.152348,0.192990,0.247214,0.316005"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.044403,0.049412,0.066851,0.094625,0.133546,0.185052,0.250459"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("7.109895429,19.63797943,32.53167943,58.31897943,109.8932794,213.0432794,419.3422794"); - values ("0.029357,0.034991,0.039221,0.046101,0.057525,0.077479,0.114788", \ - "0.030738,0.036373,0.040603,0.047483,0.058907,0.078862,0.116172", \ - "0.036056,0.041689,0.045920,0.052800,0.064224,0.084179,0.121490", \ - "0.044022,0.050050,0.054466,0.061499,0.073022,0.093024,0.130340", \ - "0.053339,0.060056,0.064874,0.072444,0.084561,0.105054,0.142512", \ - "0.065197,0.072742,0.078031,0.086205,0.099001,0.120111,0.158047", \ - "0.079459,0.087971,0.093827,0.102740,0.116420,0.138422,0.176945"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("7.096744214,19.62482821,32.51852821,58.30582821,109.8801282,213.0301282,419.3291282"); - values ("0.038645,0.045062,0.050392,0.060049,0.078443,0.114808,0.187444", \ - "0.040139,0.046556,0.051885,0.061544,0.079936,0.116302,0.188938", \ - "0.046639,0.053057,0.058388,0.068046,0.086438,0.122804,0.195443", \ - "0.057569,0.064030,0.069375,0.079041,0.097436,0.133799,0.206435", \ - "0.069600,0.076162,0.081543,0.091237,0.109643,0.146007,0.218639", \ - "0.082901,0.089614,0.095050,0.104784,0.123207,0.159566,0.232197", \ - "0.097766,0.104715,0.110227,0.120017,0.138462,0.174824,0.247445"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("7.109895429,19.63797943,32.53167943,58.31897943,109.8932794,213.0432794,419.3422794"); - values ("0.005383,0.007843,0.009981,0.013873,0.021242,0.035995,0.066744", \ - "0.005384,0.007843,0.009982,0.013873,0.021241,0.035995,0.066744", \ - "0.005393,0.007846,0.009984,0.013875,0.021242,0.035995,0.066745", \ - "0.006124,0.008445,0.010472,0.014199,0.021425,0.036077,0.066763", \ - "0.007292,0.009588,0.011641,0.015383,0.022481,0.036748,0.066941", \ - "0.008715,0.010976,0.013028,0.016756,0.023743,0.037766,0.067574", \ - "0.010393,0.012646,0.014723,0.018480,0.025429,0.039175,0.068376"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("7.096744214,19.62482821,32.51852821,58.30582821,109.8801282,213.0301282,419.3291282"); - values ("0.005416,0.009307,0.013237,0.021309,0.038126,0.072517,0.141605", \ - "0.005417,0.009307,0.013236,0.021309,0.038128,0.072517,0.141602", \ - "0.005420,0.009309,0.013238,0.021308,0.038120,0.072524,0.141606", \ - "0.005500,0.009358,0.013274,0.021327,0.038125,0.072521,0.141602", \ - "0.005686,0.009486,0.013368,0.021384,0.038147,0.072531,0.141608", \ - "0.005964,0.009672,0.013504,0.021462,0.038182,0.072526,0.141608", \ - "0.006378,0.009948,0.013704,0.021583,0.038232,0.072541,0.141604"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("7.109895429,19.63797943,32.53167943,58.31897943,109.8932794,213.0432794,419.3422794"); - values ("17.475070,19.385580,20.505210,21.746680,22.689070,23.183710,23.369580", \ - "17.252310,19.163200,20.196250,21.450900,22.386830,22.854860,23.046900", \ - "17.381540,19.137020,20.231890,21.410490,22.368290,22.897240,23.128120", \ - "18.646570,20.266790,21.231060,22.403520,23.373300,23.934890,24.204930", \ - "20.377450,21.607530,22.693550,24.181160,25.515720,26.160290,26.450640", \ - "23.621860,24.492650,25.478630,26.879620,28.439300,29.731340,30.130580", \ - "28.226660,28.903300,29.853190,31.262120,32.986190,34.368720,35.463720"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("7.096744214,19.62482821,32.51852821,58.30582821,109.8801282,213.0301282,419.3291282"); - values ("11.112410,12.739400,13.215740,13.588860,13.971030,13.094630,13.539900", \ - "10.985820,12.490860,13.106020,13.614960,13.525900,12.472930,13.124390", \ - "10.860990,12.277210,12.750890,12.974670,12.994450,13.826990,13.131730", \ - "11.593310,12.771640,13.217700,13.391440,13.991130,13.734710,14.646880", \ - "13.278640,15.130790,15.591130,15.457410,15.895270,16.148490,16.273890", \ - "16.308180,18.475750,19.273790,19.715860,19.385650,18.542520,19.949360", \ - "20.122380,22.573670,23.878800,24.815520,25.172910,25.549560,25.475720"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("7.109895429,19.63797943,32.53167943,58.31897943,109.8932794,213.0432794,419.3422794"); - values ("16.614050,18.001030,18.804120,19.722150,20.444880,20.830690,20.957050", \ - "16.419830,17.779980,18.585660,19.478170,20.208470,20.612050,20.728550", \ - "16.353910,17.734190,18.490180,19.415570,20.164530,20.558530,20.680540", \ - "16.348390,18.043160,18.885170,19.823700,20.590700,20.996680,21.112690", \ - "16.505570,18.267240,19.332850,20.664060,21.769940,22.230420,22.377010", \ - "18.125260,19.805000,20.871250,22.230630,23.552830,24.579460,24.760280", \ - "20.757680,22.429730,23.603660,24.980880,26.547480,27.654070,28.424470"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("7.096744214,19.62482821,32.51852821,58.30582821,109.8801282,213.0301282,419.3291282"); - values ("19.028850,20.206200,20.425090,20.391660,20.191800,20.695750,20.686550", \ - "18.747160,19.973460,20.259970,20.406680,20.312130,20.706040,20.341440", \ - "18.979960,20.077850,20.302180,20.517790,20.611810,20.663500,19.856640", \ - "19.192590,20.381880,20.548080,20.559700,20.541740,20.943940,20.758830", \ - "19.422110,20.818520,21.287980,21.681750,21.213510,21.539760,20.749190", \ - "19.892790,21.450990,21.960940,22.380550,22.808980,22.711580,21.794270", \ - "21.036730,22.692540,23.235640,23.742310,23.685950,24.725280,24.031120"); - } - } - } - - } - - - /****************************************************************************************** - Module : TBUF_X16 - Cell Description : Combinational tri-state cell (TBUF_X16) with drive strength X16 - *******************************************************************************************/ - - cell (TBUF_X16) { - - drive_strength : 16; - - area : 6.916000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 197.273423; - - leakage_power () { - when : "!A & !EN"; - value : 353.390400; - } - leakage_power () { - when : "!A & EN"; - value : 74.188620; - } - leakage_power () { - when : "A & !EN"; - value : 259.616940; - } - leakage_power () { - when : "A & EN"; - value : 101.897730; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 6.520519; - fall_capacitance : 5.723019; - rise_capacitance : 6.520519; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.928340; - fall_capacitance : 4.661883; - rise_capacitance : 4.928340; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 13.066705; - fall_capacitance : 13.066705; - rise_capacitance : 13.031985; - max_capacitance : 820.312000; - function : "A"; - three_state : "EN"; - - timing () { - - related_pin : "A"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("13.432321,38.701505,64.336205,115.605705,218.144705,423.222705,833.378705"); - values ("0.0358585,0.0423049,0.0473183,0.0553932,0.0683712,0.0900297,0.128602", \ - "0.0367644,0.0430951,0.0480723,0.0561268,0.0690948,0.0907488,0.129318", \ - "0.0422978,0.0484926,0.0534170,0.0614409,0.0743990,0.0960519,0.134624", \ - "0.0544295,0.0604408,0.0653014,0.0732993,0.0862689,0.107947,0.146533", \ - "0.0693774,0.0757581,0.0809195,0.0893493,0.102801,0.124780,0.163473", \ - "0.0855663,0.0924433,0.0980464,0.107118,0.121330,0.144070,0.183356", \ - "0.103512,0.111019,0.117145,0.127023,0.142266,0.165924,0.205755"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("13.397601,38.666785,64.301485,115.570985,218.109985,423.187985,833.343985"); - values ("0.0263636,0.0344521,0.0407306,0.0515021,0.0708314,0.107688,0.180577", \ - "0.0276514,0.0357274,0.0420012,0.0527716,0.0721056,0.108961,0.181860", \ - "0.0326748,0.0406819,0.0469218,0.0576710,0.0770119,0.113895,0.186820", \ - "0.0402547,0.0487818,0.0551789,0.0660094,0.0853644,0.122247,0.195175", \ - "0.0461133,0.0560179,0.0629259,0.0741087,0.0936558,0.130571,0.203437", \ - "0.0497898,0.0614065,0.0693097,0.0812911,0.101108,0.138020,0.210900", \ - "0.0511040,0.0646000,0.0737294,0.0871626,0.107787,0.144778,0.217564"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("13.432321,38.701505,64.336205,115.605705,218.144705,423.222705,833.378705"); - values ("0.00761070,0.0103094,0.0126961,0.0169241,0.0245977,0.0392861,0.0691556", \ - "0.00762142,0.0102950,0.0126879,0.0169220,0.0245984,0.0392875,0.0691563", \ - "0.00763792,0.0102870,0.0126884,0.0169284,0.0246022,0.0392883,0.0691563", \ - "0.00807749,0.0105575,0.0129134,0.0171057,0.0247212,0.0393524,0.0691794", \ - "0.0104116,0.0125732,0.0147378,0.0186901,0.0258798,0.0399694,0.0694054", \ - "0.0128191,0.0148944,0.0170091,0.0208668,0.0278118,0.0415599,0.0702976", \ - "0.0153154,0.0173893,0.0195436,0.0234495,0.0302059,0.0433076,0.0715033"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("13.397601,38.666785,64.301485,115.570985,218.109985,423.187985,833.343985"); - values ("0.00694822,0.0113050,0.0153797,0.0234103,0.0398273,0.0738006,0.142806", \ - "0.00694547,0.0113066,0.0153823,0.0234138,0.0398321,0.0737935,0.142812", \ - "0.00697750,0.0113487,0.0154211,0.0234380,0.0398423,0.0737966,0.142811", \ - "0.00841087,0.0122492,0.0160511,0.0237814,0.0399643,0.0738262,0.142811", \ - "0.0108019,0.0144042,0.0176928,0.0248306,0.0405427,0.0739771,0.142820", \ - "0.0136011,0.0174503,0.0204861,0.0266710,0.0413394,0.0743846,0.142957", \ - "0.0167854,0.0210383,0.0242123,0.0298127,0.0429537,0.0748650,0.143225"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.111050,0.116036,0.133102,0.161381,0.201787,0.255925,0.324892"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.047794,0.052817,0.070866,0.100858,0.142092,0.195997,0.263817"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("13.432321,38.701505,64.336205,115.605705,218.144705,423.222705,833.378705"); - values ("0.037990,0.045211,0.050355,0.058500,0.071531,0.093231,0.131819", \ - "0.039459,0.046683,0.051828,0.059974,0.073004,0.094705,0.133291", \ - "0.044710,0.051931,0.057076,0.065221,0.078252,0.099952,0.138541", \ - "0.053580,0.060954,0.066141,0.074322,0.087382,0.109099,0.147694", \ - "0.064423,0.072561,0.078166,0.086835,0.100374,0.122402,0.161111", \ - "0.077699,0.086675,0.092747,0.102013,0.116254,0.139042,0.178303", \ - "0.093479,0.103440,0.110059,0.120024,0.135102,0.158768,0.198728"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("13.397601,38.666785,64.301485,115.570985,218.109985,423.187985,833.343985"); - values ("0.044795,0.052843,0.059046,0.069709,0.088917,0.125662,0.198479", \ - "0.046290,0.054338,0.060541,0.071204,0.090411,0.127158,0.199972", \ - "0.052796,0.060846,0.067050,0.077713,0.096921,0.133665,0.206481", \ - "0.063619,0.071692,0.077904,0.088571,0.107782,0.144528,0.217343", \ - "0.075602,0.083740,0.089980,0.100671,0.119896,0.156640,0.229452", \ - "0.088981,0.097225,0.103506,0.114232,0.133475,0.170219,0.243031", \ - "0.104081,0.112496,0.118842,0.129625,0.148900,0.185649,0.258449"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("13.432321,38.701505,64.336205,115.605705,218.144705,423.222705,833.378705"); - values ("0.007973,0.010733,0.013079,0.017251,0.024849,0.039442,0.069219", \ - "0.007975,0.010733,0.013079,0.017251,0.024849,0.039442,0.069220", \ - "0.007974,0.010735,0.013080,0.017252,0.024849,0.039443,0.069218", \ - "0.008477,0.010995,0.013261,0.017370,0.024921,0.039482,0.069233", \ - "0.009967,0.012425,0.014615,0.018576,0.025844,0.039988,0.069417", \ - "0.011697,0.014060,0.016216,0.020145,0.027363,0.041327,0.070150", \ - "0.013742,0.016000,0.018123,0.022019,0.029171,0.042907,0.071286"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("13.397601,38.666785,64.301485,115.570985,218.109985,423.187985,833.343985"); - values ("0.007287,0.011569,0.015602,0.023566,0.039896,0.073809,0.142811", \ - "0.007287,0.011570,0.015603,0.023564,0.039898,0.073814,0.142812", \ - "0.007288,0.011571,0.015603,0.023564,0.039899,0.073806,0.142805", \ - "0.007343,0.011604,0.015626,0.023579,0.039906,0.073816,0.142812", \ - "0.007484,0.011704,0.015703,0.023631,0.039932,0.073817,0.142807", \ - "0.007706,0.011853,0.015820,0.023707,0.039960,0.073826,0.142811", \ - "0.008051,0.012088,0.016004,0.023829,0.040026,0.073840,0.142805"); - } - } - - internal_power () { - - related_pin : "A"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("13.432321,38.701505,64.336205,115.605705,218.144705,423.222705,833.378705"); - values ("28.102680,29.501250,31.004160,33.062610,35.038730,36.462370,37.152970", \ - "28.473590,29.572440,30.973350,32.820410,34.893330,36.269500,36.957560", \ - "29.106580,29.863510,31.060450,32.974200,34.875960,36.252550,36.940950", \ - "31.389210,31.507890,32.399820,33.962510,35.764250,37.136620,37.814830", \ - "35.580580,34.633620,35.084260,36.218490,37.848170,39.133460,39.829750", \ - "40.118180,38.373620,38.200850,39.086320,40.831570,42.462640,43.129460", \ - "45.950300,43.463970,42.712860,43.233390,44.903630,46.715850,47.940170"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("13.397601,38.666785,64.301485,115.570985,218.109985,423.187985,833.343985"); - values ("19.387210,22.395600,23.784570,24.650870,25.288610,24.393760,23.176950", \ - "19.003360,22.253350,23.773500,25.241590,24.795660,25.136380,27.023720", \ - "19.182000,22.147500,23.218530,24.824420,24.621980,24.023680,26.345770", \ - "19.762530,22.482990,23.870220,25.021690,25.498360,24.969580,23.777010", \ - "21.374740,24.414920,25.449460,26.000440,26.716120,26.338710,25.510860", \ - "24.449760,27.362600,28.724710,29.977100,30.085760,30.981880,30.727030", \ - "28.452940,31.343150,33.001550,34.575040,35.654740,34.968110,33.349050"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("13.432321,38.701505,64.336205,115.605705,218.144705,423.222705,833.378705"); - values ("23.089670,24.832720,25.974810,27.436650,28.959970,30.091950,30.634170", \ - "22.919650,24.630820,25.753450,27.255970,28.788150,29.891690,30.415720", \ - "22.813660,24.547090,25.700790,27.118800,28.720110,29.849520,30.373040", \ - "23.201540,24.938300,26.060710,27.515650,29.102830,30.244320,30.751130", \ - "23.510780,25.626900,26.888220,28.559620,30.211070,31.416300,31.927290", \ - "25.137670,27.000550,28.263380,30.013930,32.006910,33.632720,34.163260", \ - "27.602920,29.707720,30.908550,32.673250,34.743130,36.603930,37.617470"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("13.397601,38.666785,64.301485,115.570985,218.109985,423.187985,833.343985"); - values ("26.757070,29.089160,29.988360,30.528800,30.434760,31.094170,30.516220", \ - "26.663910,29.098560,29.922080,30.942370,30.407470,29.555030,30.490190", \ - "26.697990,29.041390,30.207490,30.822180,31.316880,31.280590,29.509860", \ - "26.850320,29.446780,30.546340,30.835780,31.489820,30.141150,31.073290", \ - "27.310090,30.232050,31.097050,31.198640,31.767160,32.201310,30.431300", \ - "28.039230,30.551010,31.784120,32.471210,33.179010,32.781650,33.714240", \ - "29.091990,31.902120,33.121160,34.158790,34.634860,35.352080,33.588390"); - } - } - } - - } - - - /****************************************************************************************** - Module : TINV_X1 - Cell Description : Combinational tri-state cell (TINV_X1) with drive strength X1 - *******************************************************************************************/ - - cell (TINV_X1) { - - drive_strength : 1; - - area : 1.064000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 17.885841; - - leakage_power () { - when : "!EN & !I"; - value : 8.536385; - } - leakage_power () { - when : "!EN & I"; - value : 31.422270; - } - leakage_power () { - when : "EN & !I"; - value : 9.727102; - } - leakage_power () { - when : "EN & I"; - value : 21.857605; - } - - pin (EN) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.752043; - fall_capacitance : 1.638563; - rise_capacitance : 1.752043; - } - - pin (I) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.444645; - fall_capacitance : 1.375430; - rise_capacitance : 1.444645; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.799043; - fall_capacitance : 0.798467; - rise_capacitance : 0.799043; - max_capacitance : 22.621200; - function : "!I"; - three_state : "EN"; - - timing () { - - related_pin : "EN"; - timing_type : three_state_disable; - timing_sense : positive_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.113864,0.126578,0.148915,0.180522,0.231964,0.304805,0.393006"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.061777,0.066784,0.081064,0.104262,0.143129,0.195784,0.262006"); - } - } - - timing () { - - related_pin : "EN"; - timing_type : three_state_enable; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.164083257,1.525588257,2.244537257,3.674347257,6.517887257,12.17296726,23.41966726"); - values ("0.013937,0.015175,0.017416,0.021430,0.028775,0.042773,0.070260", \ - "0.015458,0.016700,0.018948,0.022963,0.030313,0.044312,0.071801", \ - "0.021544,0.022912,0.025236,0.029286,0.036640,0.050637,0.078118", \ - "0.028946,0.030531,0.033211,0.037651,0.045276,0.059382,0.086823", \ - "0.036865,0.038749,0.041893,0.046901,0.055031,0.069380,0.096962", \ - "0.045719,0.047964,0.051666,0.057431,0.066448,0.081335,0.109104", \ - "0.055600,0.058262,0.062602,0.069360,0.079538,0.095505,0.123838"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.164659229,1.526164229,2.245113229,3.674923229,6.518463229,12.17354323,23.42024323"); - values ("0.009471,0.011820,0.016620,0.025362,0.042302,0.075844,0.142335", \ - "0.010811,0.013129,0.017925,0.026681,0.043614,0.077159,0.143650", \ - "0.015074,0.017943,0.023037,0.031841,0.048693,0.082194,0.148667", \ - "0.016655,0.020962,0.028821,0.041185,0.058834,0.092128,0.158486", \ - "0.013395,0.019554,0.029930,0.047708,0.072102,0.108026,0.174017", \ - "0.003551,0.012118,0.025810,0.048228,0.081529,0.127495,0.196251", \ - "-0.014469,-0.003021,0.014979,0.042336,0.085313,0.142886,0.225094"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.164083257,1.525588257,2.244537257,3.674347257,6.517887257,12.17296726,23.41966726"); - values ("0.006445,0.006984,0.008190,0.010812,0.016391,0.028037,0.051684", \ - "0.006445,0.006979,0.008185,0.010810,0.016391,0.028039,0.051684", \ - "0.006662,0.007219,0.008417,0.010943,0.016441,0.028048,0.051683", \ - "0.007318,0.007822,0.008904,0.011249,0.016603,0.028087,0.051691", \ - "0.008696,0.009214,0.010211,0.012300,0.017062,0.028030,0.051545", \ - "0.010533,0.011067,0.012076,0.014112,0.018448,0.028657,0.051484", \ - "0.012761,0.013316,0.014406,0.016512,0.020680,0.030198,0.052157"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.164659229,1.526164229,2.245113229,3.674923229,6.518463229,12.17354323,23.42024323"); - values ("0.008398,0.010857,0.015232,0.023173,0.039385,0.070293,0.131288", \ - "0.008300,0.010800,0.015219,0.023153,0.039391,0.070293,0.131291", \ - "0.011042,0.012707,0.016081,0.022997,0.039286,0.070281,0.131288", \ - "0.016227,0.018955,0.023083,0.028558,0.040463,0.070258,0.131291", \ - "0.023618,0.026522,0.032200,0.039701,0.050028,0.073077,0.131258", \ - "0.034301,0.036668,0.042736,0.052793,0.065301,0.086673,0.133530", \ - "0.048074,0.050479,0.055759,0.067739,0.083831,0.106872,0.147382"); - } - } - - timing () { - - related_pin : "I"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.164083257,1.525588257,2.244537257,3.674347257,6.517887257,12.17296726,23.41966726"); - values ("0.00936473,0.0104374,0.0124650,0.0162801,0.0235247,0.0375381,0.0650729", \ - "0.0105182,0.0115975,0.0136355,0.0174615,0.0247157,0.0387368,0.0662763", \ - "0.0133198,0.0145651,0.0168562,0.0209767,0.0283836,0.0424855,0.0700704", \ - "0.0158748,0.0175828,0.0206317,0.0258672,0.0346857,0.0498606,0.0776683", \ - "0.0164167,0.0187130,0.0228112,0.0297640,0.0410403,0.0591021,0.0893113", \ - "0.0146295,0.0175323,0.0227227,0.0315308,0.0457359,0.0679536,0.102711", \ - "0.0104472,0.0139171,0.0201986,0.0308586,0.0481310,0.0749788,0.115922"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.164659229,1.526164229,2.245113229,3.674923229,6.518463229,12.17354323,23.42024323"); - values ("0.0206682,0.0229054,0.0272899,0.0358914,0.0528228,0.0863329,0.152767", \ - "0.0221595,0.0244219,0.0288513,0.0375131,0.0545134,0.0880834,0.154549", \ - "0.0275711,0.0298084,0.0342077,0.0428550,0.0598862,0.0935229,0.160068", \ - "0.0350043,0.0377241,0.0428069,0.0521063,0.0690835,0.102649,0.169200", \ - "0.0436849,0.0469181,0.0529461,0.0638227,0.0831378,0.117277,0.183622", \ - "0.0549535,0.0587072,0.0656092,0.0779867,0.0997539,0.137674,0.204641", \ - "0.0687328,0.0730106,0.0808881,0.0948366,0.119069,0.160837,0.232918"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.164083257,1.525588257,2.244537257,3.674347257,6.517887257,12.17296726,23.41966726"); - values ("0.00480093,0.00558389,0.00711834,0.0101273,0.0160888,0.0279815,0.0516874", \ - "0.00477900,0.00557278,0.00711154,0.0101266,0.0160888,0.0279800,0.0516895", \ - "0.00559828,0.00634552,0.00779103,0.0105500,0.0162003,0.0279888,0.0516841", \ - "0.00829929,0.00906289,0.0104848,0.0131672,0.0183781,0.0289296,0.0517071", \ - "0.0124409,0.0133779,0.0150487,0.0179856,0.0232135,0.0332091,0.0537351", \ - "0.0175956,0.0187495,0.0207987,0.0243019,0.0301594,0.0403518,0.0599535", \ - "0.0235636,0.0250091,0.0275086,0.0317354,0.0385869,0.0497471,0.0693802"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.164659229,1.526164229,2.245113229,3.674923229,6.518463229,12.17354323,23.42024323"); - values ("0.0105909,0.0125472,0.0164412,0.0241915,0.0396202,0.0703266,0.131292", \ - "0.0105842,0.0125421,0.0164397,0.0241945,0.0396319,0.0703354,0.131303", \ - "0.0106424,0.0125566,0.0164298,0.0241916,0.0396241,0.0703597,0.131310", \ - "0.0136838,0.0154855,0.0189299,0.0254790,0.0397338,0.0703119,0.131304", \ - "0.0177147,0.0196824,0.0234467,0.0305160,0.0436937,0.0710865,0.131294", \ - "0.0224109,0.0245841,0.0287061,0.0363725,0.0505666,0.0767146,0.132029", \ - "0.0277604,0.0301830,0.0347009,0.0429977,0.0581774,0.0859404,0.137865"); - } - } - - internal_power () { - - related_pin : "EN"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.164083257,1.525588257,2.244537257,3.674347257,6.517887257,12.17296726,23.41966726"); - values ("2.502230,2.552777,2.605891,2.663690,2.705449,2.740034,2.765098", \ - "2.464131,2.511077,2.561561,2.622726,2.665679,2.697530,2.724721", \ - "2.510823,2.558842,2.628342,2.682655,2.723845,2.762390,2.786818", \ - "2.433478,2.522678,2.665684,2.864428,2.941217,2.972100,2.999581", \ - "2.578107,2.665096,2.829049,2.999161,3.177881,3.367259,3.404184", \ - "2.964127,3.054176,3.206694,3.417013,3.630562,3.820265,4.026464", \ - "3.542163,3.633845,3.801131,4.047482,4.329317,4.559633,4.772975"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.164659229,1.526164229,2.245113229,3.674923229,6.518463229,12.17354323,23.42024323"); - values ("3.040527,3.044693,3.076501,3.040836,3.066903,3.032872,3.056986", \ - "2.966969,3.015114,3.017218,3.022068,3.046088,2.999467,3.023444", \ - "3.029516,3.082220,3.066766,3.081222,3.089843,3.122678,3.095529", \ - "3.035454,3.202516,3.302464,3.322812,3.281114,3.266992,3.292631", \ - "2.923868,3.271659,3.461140,3.671220,3.690107,3.691709,3.722121", \ - "2.825816,3.319729,3.828596,4.042296,4.340050,4.314748,4.347897", \ - "2.892236,3.340110,4.226171,4.721484,5.019087,5.235445,5.211512"); - } - } - - internal_power () { - - related_pin : "I"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.164083257,1.525588257,2.244537257,3.674347257,6.517887257,12.17296726,23.41966726"); - values ("0.450105,0.467082,0.501936,0.545251,0.582691,0.615489,0.638385", \ - "0.387426,0.414864,0.460267,0.497122,0.538761,0.574564,0.601564", \ - "0.348666,0.367035,0.402952,0.450597,0.503708,0.544900,0.575507", \ - "0.501837,0.498709,0.506563,0.507932,0.504401,0.541446,0.571031", \ - "0.786183,0.775444,0.764478,0.735013,0.688555,0.621176,0.621436", \ - "1.218689,1.206855,1.189983,1.142221,1.045182,0.909128,0.770649", \ - "1.811794,1.794681,1.785711,1.712749,1.602237,1.380666,1.118057"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.164659229,1.526164229,2.245113229,3.674923229,6.518463229,12.17354323,23.42024323"); - values ("2.515527,2.516567,2.520632,2.527783,2.518325,2.561750,2.559596", \ - "2.466404,2.470098,2.478511,2.483154,2.477986,2.537862,2.507907", \ - "2.429846,2.432073,2.447212,2.460977,2.407885,2.449880,2.455862", \ - "2.465966,2.463455,2.456599,2.447116,2.424917,2.476365,2.473925", \ - "2.510515,2.513760,2.556305,2.634996,2.580483,2.569578,2.498857", \ - "2.922897,2.914756,2.909790,2.895139,2.963062,2.822831,2.653494", \ - "3.609613,3.599879,3.571922,3.519936,3.432480,3.269409,3.083865"); - } - } - } - - } - - - /****************************************************************************************** - Module : TLAT_X1 - Cell Description : High enable Latch with drive strength X1 - *******************************************************************************************/ - - cell (TLAT_X1) { - - drive_strength : 1; - - latch ("IQ" , "IQN") { - data_in : "D"; - enable : "G"; - } - - area : 3.458000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 47.996110; - - leakage_power () { - when : "!D & !G & !OE"; - value : 34.300200; - } - leakage_power () { - when : "!D & !G & OE & !Q"; - value : 56.214290; - } - leakage_power () { - when : "!D & !G & OE & Q"; - value : 37.545046; - } - leakage_power () { - when : "!D & G & !OE"; - value : 38.631373; - } - leakage_power () { - when : "!D & G & OE & !Q"; - value : 56.586123; - } - leakage_power () { - when : "D & !G & !OE"; - value : 44.819027; - } - leakage_power () { - when : "D & !G & OE & !Q"; - value : 70.151235; - } - leakage_power () { - when : "D & !G & OE & Q"; - value : 44.647845; - } - leakage_power () { - when : "D & G & !OE"; - value : 44.936166; - } - leakage_power () { - when : "D & G & OE & Q"; - value : 52.129792; - } - - pin (D) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.139798; - fall_capacitance : 1.070337; - rise_capacitance : 1.139798; - - timing () { - - related_pin : "G"; - timing_type : hold_falling; - fall_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.009534,0.028917,0.062354", \ - "0.024151,0.045131,0.081132", \ - "0.168468,0.190361,0.229306"); - } - rise_constraint(Hold_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.009722,0.026680,0.054338", \ - "0.013812,0.028305,0.052921", \ - "0.100632,0.113127,0.127774"); - } - } - - timing () { - - related_pin : "G"; - timing_type : setup_falling; - fall_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.040502,0.033014,0.037898", \ - "0.057579,0.047885,0.043548", \ - "0.098426,0.085932,0.071291"); - } - rise_constraint(Setup_3_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - index_2 ("0.00117378,0.0449324,0.198535"); - values ("0.009672,-0.009647,-0.042486", \ - "0.021136,0.000157,-0.035839", \ - "0.030588,0.008697,-0.030244"); - } - } - - internal_power () { - - when : "!G & !OE"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.734556,0.710619,0.698326,0.693147,0.688412,0.687914,0.685009"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.505059,-0.505678,-0.507564,-0.510852,-0.515256,-0.520958,-0.528197"); - } - - } - - internal_power () { - - when : "!G & OE & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.735855,0.711069,0.698713,0.693530,0.688743,0.688288,0.685396"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.484716,-0.485202,-0.487153,-0.490341,-0.494592,-0.500072,-0.507021"); - } - - } - - internal_power () { - - when : "!G & OE & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.650278,0.657597,0.659136,0.658632,0.658378,0.657830,0.657838"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("-0.354652,-0.354816,-0.355573,-0.357482,-0.360087,-0.363814,-0.368741"); - } - - } - - internal_power () { - - when : "G & !OE"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("3.794980,3.773617,3.745351,3.760764,3.906370,4.255840,4.822601"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.465438,2.429942,2.396774,2.413638,2.562158,2.898905,3.451594"); - } - - } - } - - pin (G) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - clock : true; - capacitance : 1.016017; - fall_capacitance : 0.922430; - rise_capacitance : 1.016017; - - timing () { - - related_pin : "G"; - timing_type : min_pulse_width; - rise_constraint(Pulse_width_3) { - index_1 ("0.00117378,0.0449324,0.198535"); - values ("0.030477,0.044977,0.198733"); - } - } - - internal_power () { - - when : "!D & !OE"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.796266,2.766683,2.792583,2.998426,3.395888,4.022822,4.924527"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.288462,2.265543,2.268742,2.420439,2.796394,3.447390,4.394205"); - } - - } - - internal_power () { - - when : "!D & OE & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.798313,2.767329,2.793238,2.999021,3.396498,4.023402,4.925050"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.279866,2.256840,2.259890,2.411504,2.787665,3.438544,4.385204"); - } - - } - - internal_power () { - - when : "D & !OE"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.945972,2.913256,2.939571,3.145491,3.543602,4.174655,5.087241"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("4.672101,4.649679,4.656041,4.813300,5.202465,5.871747,6.841602"); - } - - } - - internal_power () { - - when : "D & OE & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.865836,2.832822,2.860000,3.065413,3.463006,4.094045,5.006575"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("2.069611,2.046142,2.048363,2.200318,2.582492,3.241613,4.193333"); - } - - } - } - - pin (OE) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 1.497228; - fall_capacitance : 1.422286; - rise_capacitance : 1.497228; - - internal_power () { - - when : "!D & !G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.686104,1.620918,1.672699,1.891710,2.296242,2.936917,3.835367"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.256139,0.225279,0.234954,0.407846,0.813340,1.467861,2.379600"); - } - - } - - internal_power () { - - when : "!D & !G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.683762,1.648786,1.699289,1.892067,2.259234,2.850245,3.704335"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.704561,0.673969,0.679030,0.835697,1.216976,1.847663,2.739892"); - } - - } - - internal_power () { - - when : "!D & G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.685182,1.618121,1.670662,1.889242,2.294425,2.936188,3.834557"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.942633,0.914079,0.925746,1.105597,1.521799,2.188644,3.116272"); - } - - } - - internal_power () { - - when : "D & !G & !Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.687547,1.620584,1.672756,1.891310,2.295813,2.935742,3.834702"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.908156,0.878923,0.888951,1.070352,1.485518,2.152582,3.080025"); - } - - } - - internal_power () { - - when : "D & !G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.683795,1.648831,1.699323,1.892102,2.259117,2.850272,3.704274"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.704739,0.674146,0.679202,0.835869,1.217313,1.847836,2.740268"); - } - - } - - internal_power () { - - when : "D & G & Q"; - - fall_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.685957,1.649163,1.699621,1.892614,2.259885,2.851031,3.706136"); - } - rise_power(Hidden_power_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("1.784046,1.752969,1.757550,1.915344,2.294394,2.919591,3.801337"); - } - - } - } - - pin (Q) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 0.791880; - fall_capacitance : 0.791880; - rise_capacitance : 0.790253; - max_capacitance : 22.583000; - function : "IQ"; - three_state : "!OE"; - - timing () { - - related_pin : "D"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); - values ("0.0499475,0.0513791,0.0539713,0.0585474,0.0666480,0.0814187,0.109370", \ - "0.0515464,0.0529795,0.0555693,0.0601465,0.0682440,0.0830162,0.110970", \ - "0.0568124,0.0582427,0.0608311,0.0654082,0.0735067,0.0882822,0.116236", \ - "0.0658691,0.0672990,0.0698911,0.0744738,0.0825831,0.0973651,0.125323", \ - "0.0779566,0.0794689,0.0821899,0.0869341,0.0952061,0.110107,0.138126", \ - "0.0924950,0.0940989,0.0969815,0.101954,0.110472,0.125598,0.153805", \ - "0.109743,0.111456,0.114519,0.119763,0.128577,0.143979,0.172393"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); - values ("0.0414302,0.0437563,0.0482719,0.0570312,0.0741153,0.107692,0.174160", \ - "0.0426293,0.0449557,0.0494713,0.0582304,0.0753147,0.108896,0.175361", \ - "0.0461714,0.0484956,0.0530058,0.0617599,0.0788434,0.112428,0.178896", \ - "0.0515205,0.0538506,0.0583716,0.0671329,0.0842153,0.117815,0.184299", \ - "0.0564380,0.0587880,0.0633284,0.0721088,0.0892024,0.122814,0.189330", \ - "0.0597416,0.0621450,0.0667426,0.0755755,0.0926897,0.126311,0.192811", \ - "0.0609305,0.0634296,0.0681477,0.0770937,0.0942869,0.127935,0.194467"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); - values ("0.00698105,0.00768985,0.00908606,0.0118437,0.0174257,0.0287821,0.0518672", \ - "0.00698121,0.00768982,0.00908353,0.0118437,0.0174255,0.0287803,0.0518702", \ - "0.00698133,0.00769247,0.00908675,0.0118425,0.0174295,0.0287877,0.0518678", \ - "0.00703412,0.00774189,0.00913312,0.0118780,0.0174468,0.0287974,0.0518769", \ - "0.00765387,0.00834586,0.00969701,0.0123495,0.0177757,0.0289693,0.0519584", \ - "0.00838512,0.00908788,0.0104212,0.0130189,0.0183051,0.0293595,0.0521502", \ - "0.00924193,0.00995418,0.0112909,0.0138322,0.0189692,0.0298109,0.0524297"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); - values ("0.0107549,0.0127173,0.0166174,0.0243680,0.0397874,0.0704751,0.131465", \ - "0.0107526,0.0127187,0.0166193,0.0243649,0.0397911,0.0704725,0.131460", \ - "0.0107534,0.0127264,0.0166199,0.0243674,0.0397943,0.0704817,0.131435", \ - "0.0108430,0.0128149,0.0166935,0.0244138,0.0398047,0.0704656,0.131441", \ - "0.0110452,0.0129804,0.0168228,0.0245030,0.0398456,0.0705118,0.131458", \ - "0.0114361,0.0133416,0.0171038,0.0246731,0.0399353,0.0705187,0.131448", \ - "0.0121058,0.0139634,0.0176298,0.0250204,0.0401002,0.0706206,0.131470"); - } - } - - timing () { - - related_pin : "G"; - timing_type : rising_edge; - timing_sense : non_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); - values ("0.0498654,0.0512958,0.0538848,0.0584538,0.0665480,0.0813120,0.109249", \ - "0.0513245,0.0527556,0.0553406,0.0599119,0.0680056,0.0827684,0.110707", \ - "0.0558760,0.0573057,0.0598992,0.0644659,0.0725639,0.0873243,0.115262", \ - "0.0603722,0.0617993,0.0643904,0.0689625,0.0770581,0.0918227,0.119761", \ - "0.0636338,0.0650573,0.0676487,0.0722248,0.0803307,0.0950984,0.123033", \ - "0.0655106,0.0669416,0.0695487,0.0741393,0.0822603,0.0970412,0.124990", \ - "0.0655785,0.0670309,0.0696565,0.0742747,0.0824140,0.0972182,0.125189"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); - values ("0.0579124,0.0602328,0.0647316,0.0734795,0.0905459,0.124126,0.190572", \ - "0.0593885,0.0617094,0.0662118,0.0749556,0.0920266,0.125602,0.192053", \ - "0.0643113,0.0666316,0.0711349,0.0798802,0.0969500,0.130525,0.196974", \ - "0.0691609,0.0714821,0.0759865,0.0847313,0.101806,0.135373,0.201822", \ - "0.0725614,0.0748821,0.0793860,0.0881319,0.105200,0.138779,0.205234", \ - "0.0740368,0.0763583,0.0808616,0.0896081,0.106681,0.140258,0.206664", \ - "0.0731430,0.0754646,0.0799698,0.0887153,0.105789,0.139361,0.205814"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); - values ("0.00696041,0.00768709,0.00907276,0.0118388,0.0174262,0.0287816,0.0518723", \ - "0.00696784,0.00767752,0.00907588,0.0118367,0.0174242,0.0287801,0.0518657", \ - "0.00696900,0.00767472,0.00907130,0.0118372,0.0174253,0.0287829,0.0518718", \ - "0.00697935,0.00768874,0.00908214,0.0118442,0.0174283,0.0287851,0.0518706", \ - "0.00699950,0.00770750,0.00909881,0.0118593,0.0174377,0.0287797,0.0518666", \ - "0.00706405,0.00777102,0.00916167,0.0119039,0.0174667,0.0288052,0.0518912", \ - "0.00723628,0.00793252,0.00929323,0.0120078,0.0175347,0.0288408,0.0518929"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); - values ("0.0107478,0.0127203,0.0166201,0.0243673,0.0397870,0.0704672,0.131433", \ - "0.0107499,0.0127173,0.0166172,0.0243674,0.0397869,0.0704684,0.131428", \ - "0.0107531,0.0127202,0.0166186,0.0243705,0.0397914,0.0704698,0.131421", \ - "0.0107478,0.0127197,0.0166182,0.0243690,0.0397926,0.0704569,0.131433", \ - "0.0107516,0.0127187,0.0166225,0.0243668,0.0397880,0.0704554,0.131425", \ - "0.0107570,0.0127210,0.0166166,0.0243709,0.0397892,0.0704229,0.131382", \ - "0.0107554,0.0127253,0.0166222,0.0243712,0.0397905,0.0704687,0.131350"); - } - } - - timing () { - - related_pin : "OE"; - timing_type : three_state_disable; - timing_sense : negative_unate; - - fall_transition(scalar) {values ("0.0"); - } - rise_transition(scalar) {values ("0.0"); - } - cell_fall(Tristate_disable_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.151544,0.156533,0.175425,0.208781,0.255971,0.318989,0.402534"); - } - cell_rise(Tristate_disable_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - values ("0.037984,0.045358,0.061079,0.093730,0.143216,0.215937,0.312380"); - } - } - - timing () { - - related_pin : "OE"; - timing_type : three_state_enable; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); - values ("0.003783,0.004565,0.006117,0.009510,0.016711,0.030669,0.058198", \ - "0.005156,0.006026,0.007554,0.010920,0.018112,0.032062,0.059584", \ - "0.006098,0.007801,0.010651,0.015504,0.023281,0.037100,0.064566", \ - "0.003076,0.005922,0.010584,0.018198,0.030182,0.046938,0.074274", \ - "-0.005880,-0.001522,0.005424,0.016287,0.033304,0.056404,0.089592", \ - "-0.022434,-0.016204,-0.006425,0.008281,0.030904,0.061480,0.104212", \ - "-0.048325,-0.039662,-0.026390,-0.007088,0.021471,0.060888,0.114192"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); - values ("0.017294,0.019492,0.023821,0.032363,0.049240,0.082668,0.149015", \ - "0.018732,0.020931,0.025262,0.033804,0.050685,0.084113,0.150456", \ - "0.022424,0.024653,0.028989,0.037528,0.054406,0.087843,0.154176", \ - "0.024911,0.027171,0.031550,0.040184,0.057114,0.090545,0.156898", \ - "0.025712,0.028249,0.032767,0.041342,0.058306,0.091873,0.158144", \ - "0.023867,0.026978,0.032174,0.041010,0.057968,0.091553,0.157945", \ - "0.018918,0.022667,0.028859,0.038716,0.055908,0.089612,0.156174"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); - values ("0.002365,0.003086,0.004746,0.008513,0.015217,0.027636,0.051412", \ - "0.002873,0.003369,0.004782,0.008497,0.015210,0.027632,0.051411", \ - "0.005826,0.006566,0.008063,0.011033,0.015898,0.027606,0.051410", \ - "0.010382,0.011398,0.013513,0.017578,0.022622,0.030864,0.051406", \ - "0.016754,0.018010,0.020610,0.025828,0.032364,0.041806,0.057103", \ - "0.025365,0.026748,0.029588,0.035578,0.044200,0.055251,0.072202", \ - "0.036638,0.038009,0.040953,0.047376,0.058028,0.070551,0.090911"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); - values ("0.010315,0.012297,0.016278,0.024145,0.039675,0.070399,0.131341", \ - "0.010319,0.012293,0.016276,0.024150,0.039671,0.070401,0.131335", \ - "0.010020,0.012072,0.016258,0.024143,0.039672,0.070402,0.131332", \ - "0.009517,0.011251,0.015054,0.023089,0.039036,0.070380,0.131318", \ - "0.011063,0.012336,0.015411,0.022732,0.038251,0.069406,0.131270", \ - "0.013467,0.014640,0.017130,0.023370,0.038300,0.068919,0.130241", \ - "0.016404,0.017586,0.019985,0.025252,0.038898,0.069158,0.129862"); - } - } - - internal_power () { - - related_pin : "D"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); - values ("4.193039,4.291780,4.411500,4.571007,4.756421,4.896558,4.959058", \ - "4.162954,4.262933,4.382222,4.544083,4.731809,4.867210,4.928830", \ - "4.139292,4.231396,4.355501,4.518099,4.707458,4.838117,4.896802", \ - "4.156816,4.240837,4.346665,4.527555,4.708262,4.848138,4.912407", \ - "4.206163,4.288508,4.412743,4.634843,4.843641,4.988022,5.052559", \ - "4.385273,4.447994,4.602066,4.795704,5.051668,5.316551,5.395972", \ - "4.835883,4.916165,5.035666,5.239657,5.502848,5.752217,5.944506"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); - values ("4.196183,4.252978,4.319555,4.358585,4.403089,4.443506,4.447085", \ - "4.173326,4.220691,4.282591,4.327228,4.377723,4.409260,4.393659", \ - "4.132497,4.179939,4.236827,4.287567,4.306541,4.374951,4.381495", \ - "4.130956,4.180688,4.239790,4.292629,4.334612,4.334271,4.387585", \ - "4.300249,4.336512,4.400998,4.415929,4.480334,4.438372,4.489085", \ - "4.647226,4.701826,4.760469,4.805469,4.791927,4.842255,4.857489", \ - "5.130021,5.222483,5.318983,5.369615,5.393539,5.406516,5.350616"); - } - } - - internal_power () { - - related_pin : "G"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); - values ("5.089678,5.206222,5.313860,5.494955,5.680336,5.812118,5.866721", \ - "5.097771,5.172402,5.292361,5.468349,5.653973,5.786141,5.846834", \ - "5.078741,5.170326,5.296088,5.458176,5.648368,5.777013,5.840390", \ - "5.242531,5.289370,5.438473,5.602911,5.792467,5.926244,5.981042", \ - "5.626887,5.714373,5.836541,5.999001,6.170829,6.309179,6.370425", \ - "6.297872,6.384321,6.518628,6.694453,6.876368,6.994991,7.039621", \ - "7.130460,7.227428,7.388773,7.595577,7.833274,7.991585,8.039841"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); - values ("6.411067,6.464267,6.528788,6.572931,6.613593,6.664829,6.675879", \ - "6.403458,6.450335,6.505993,6.549447,6.590492,6.642482,6.631549", \ - "6.402112,6.432967,6.500439,6.571608,6.612943,6.649474,6.651424", \ - "6.561351,6.613089,6.671087,6.721211,6.762164,6.787042,6.818282", \ - "6.955256,7.001429,7.034874,7.106198,7.148407,7.194679,7.161391", \ - "7.628932,7.691933,7.744243,7.776843,7.810980,7.820421,7.847808", \ - "8.540007,8.615717,8.695104,8.758874,8.801179,8.786378,8.857440"); - } - } - - internal_power () { - - related_pin : "OE"; - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); - values ("0.507219,0.624098,0.706409,0.755352,0.791556,0.825004,0.856031", \ - "0.479841,0.583419,0.672727,0.726016,0.766289,0.799250,0.829823", \ - "0.474268,0.615822,0.696690,0.738030,0.776544,0.805736,0.836229", \ - "0.594171,0.753593,0.928716,0.955773,0.949743,0.968505,0.994733", \ - "0.786716,0.964022,1.268222,1.390496,1.396393,1.366968,1.380161", \ - "1.007454,1.181779,1.583875,1.946036,2.057635,2.055635,2.024299", \ - "1.233895,1.453885,1.838502,2.515821,2.871841,2.979828,2.955222"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); - values ("1.474630,1.489291,1.477394,1.504349,1.538483,1.553526,1.519777", \ - "1.447375,1.445860,1.452727,1.466035,1.511233,1.526081,1.480673", \ - "1.441183,1.442337,1.483954,1.474262,1.481634,1.526947,1.536840", \ - "1.558736,1.588029,1.614478,1.641912,1.656172,1.669949,1.710495", \ - "1.934400,1.964342,1.998935,2.020241,2.034431,2.065906,2.104328", \ - "2.416225,2.458190,2.543498,2.626787,2.657097,2.632191,2.689606", \ - "2.888472,3.028013,3.207363,3.345309,3.530946,3.531185,3.584581"); - } - } - } - - } - - - /****************************************************************************************** - Module : XNOR2_X1 - Cell Description : Combinational cell (XNOR2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (XNOR2_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 36.441009; - - leakage_power () { - when : "!A & !B"; - value : 36.612620; - } - leakage_power () { - when : "!A & B"; - value : 47.180650; - } - leakage_power () { - when : "A & !B"; - value : 39.303220; - } - leakage_power () { - when : "A & B"; - value : 22.667546; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.232754; - fall_capacitance : 2.125854; - rise_capacitance : 2.232754; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.573608; - fall_capacitance : 2.368165; - rise_capacitance : 2.573608; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 26.016200; - function : "!(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.007684,0.008636,0.010354,0.013766,0.020556,0.034110,0.061164", \ - "0.009044,0.010013,0.011758,0.015210,0.022051,0.035646,0.062725", \ - "0.012719,0.014085,0.016348,0.020292,0.027118,0.040690,0.067775", \ - "0.014590,0.016579,0.019892,0.025700,0.035354,0.050646,0.077483", \ - "0.014053,0.016692,0.021077,0.028730,0.041495,0.061861,0.093160", \ - "0.010812,0.014113,0.019559,0.029098,0.044991,0.070375,0.109623", \ - "0.004632,0.008539,0.015066,0.026474,0.045542,0.075985,0.123119"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.017545,0.019943,0.024217,0.032620,0.049214,0.082165,0.147886", \ - "0.018136,0.020547,0.024876,0.033402,0.050173,0.083309,0.149167", \ - "0.023583,0.025804,0.029896,0.038157,0.054747,0.087871,0.153843", \ - "0.032615,0.035642,0.040717,0.049754,0.065768,0.098242,0.163773", \ - "0.042845,0.046560,0.052824,0.064142,0.083613,0.116217,0.180687", \ - "0.054929,0.059276,0.066556,0.079834,0.103027,0.141607,0.206024", \ - "0.069059,0.074010,0.082307,0.097379,0.123851,0.168571,0.240728"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.004582,0.005394,0.006879,0.009840,0.015746,0.027499,0.050931", \ - "0.004580,0.005403,0.006897,0.009863,0.015764,0.027511,0.050935", \ - "0.007099,0.007742,0.008845,0.010878,0.015864,0.027518,0.050945", \ - "0.011830,0.012665,0.014091,0.016661,0.021128,0.029442,0.050931", \ - "0.018174,0.019226,0.020990,0.024170,0.029662,0.038874,0.055253", \ - "0.026157,0.027444,0.029620,0.033449,0.039974,0.050900,0.068754", \ - "0.035795,0.037373,0.039985,0.044546,0.052222,0.064834,0.085413"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.012424,0.014516,0.018294,0.025839,0.040922,0.071068,0.131279", \ - "0.012413,0.014504,0.018288,0.025840,0.040936,0.071064,0.131289", \ - "0.013049,0.014789,0.018228,0.025828,0.040915,0.071063,0.131288", \ - "0.018270,0.020031,0.022972,0.028319,0.041216,0.071031,0.131275", \ - "0.024019,0.026114,0.029730,0.036341,0.047633,0.072243,0.131258", \ - "0.031013,0.033318,0.037383,0.045045,0.058588,0.081238,0.132210", \ - "0.039617,0.042070,0.046446,0.054845,0.070150,0.096223,0.141450"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.030682,0.031712,0.033529,0.037039,0.043872,0.057343,0.084247", \ - "0.032185,0.033219,0.035043,0.038564,0.045406,0.058880,0.085779", \ - "0.038388,0.039442,0.041301,0.044877,0.051783,0.065296,0.092196", \ - "0.049160,0.050266,0.052216,0.055943,0.062999,0.076637,0.103576", \ - "0.060998,0.062235,0.064353,0.068269,0.075522,0.089287,0.116282", \ - "0.073337,0.074726,0.077067,0.081293,0.088881,0.102941,0.130081", \ - "0.086476,0.088032,0.090643,0.095284,0.103334,0.117787,0.145182"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.031035,0.032232,0.034336,0.038382,0.046190,0.061587,0.092486", \ - "0.032257,0.033456,0.035565,0.039620,0.047450,0.062861,0.093764", \ - "0.036850,0.038052,0.040173,0.044274,0.052189,0.067712,0.098687", \ - "0.043258,0.044497,0.046672,0.050824,0.058900,0.074540,0.105596", \ - "0.049359,0.050648,0.052896,0.057122,0.065115,0.080635,0.111654", \ - "0.054282,0.055707,0.058144,0.062594,0.070750,0.086313,0.117139", \ - "0.057577,0.059168,0.061879,0.066777,0.075322,0.091107,0.122049"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.007392,0.008161,0.009569,0.012387,0.018053,0.029528,0.052827", \ - "0.007386,0.008158,0.009567,0.012386,0.018050,0.029524,0.052825", \ - "0.007419,0.008182,0.009582,0.012391,0.018048,0.029525,0.052823", \ - "0.007699,0.008446,0.009807,0.012574,0.018182,0.029575,0.052833", \ - "0.008282,0.008932,0.010150,0.012689,0.018051,0.029379,0.052742", \ - "0.009463,0.010082,0.011202,0.013534,0.018555,0.029421,0.052318", \ - "0.011044,0.011657,0.012746,0.014938,0.019628,0.029993,0.052416"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.009707,0.010694,0.012481,0.016050,0.023264,0.037947,0.067643", \ - "0.009709,0.010697,0.012484,0.016050,0.023261,0.037953,0.067649", \ - "0.009821,0.010801,0.012562,0.016102,0.023280,0.037954,0.067648", \ - "0.009926,0.010874,0.012658,0.016236,0.023424,0.038028,0.067662", \ - "0.010989,0.011847,0.013406,0.016596,0.023309,0.037653,0.067499", \ - "0.012817,0.013644,0.015104,0.018025,0.024282,0.038048,0.067182", \ - "0.015010,0.015911,0.017424,0.020251,0.026026,0.039081,0.067745"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.009735,0.010760,0.012583,0.016143,0.023110,0.036836,0.064055", \ - "0.010988,0.012016,0.013847,0.017418,0.024397,0.038133,0.065355", \ - "0.015610,0.016832,0.018882,0.022523,0.029434,0.043127,0.070325", \ - "0.019337,0.021097,0.024081,0.029409,0.038456,0.053133,0.080085", \ - "0.020897,0.023184,0.027073,0.034045,0.045972,0.065434,0.095894", \ - "0.020168,0.022967,0.027748,0.036319,0.051034,0.075197,0.113285", \ - "0.017044,0.020342,0.025985,0.036120,0.053572,0.082315,0.127884"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.021737,0.024081,0.028296,0.036630,0.053164,0.086070,0.151778", \ - "0.023046,0.025432,0.029717,0.038173,0.054861,0.087912,0.153721", \ - "0.028139,0.030467,0.034687,0.043099,0.059828,0.093039,0.159042", \ - "0.035575,0.038380,0.043206,0.052184,0.068777,0.101870,0.167889", \ - "0.044139,0.047488,0.053211,0.063745,0.082676,0.116354,0.182092", \ - "0.054903,0.058834,0.065431,0.077476,0.098908,0.136459,0.202953", \ - "0.067824,0.072359,0.079945,0.093605,0.117581,0.159100,0.230919"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.006437,0.007245,0.008712,0.011653,0.017539,0.029306,0.052793", \ - "0.006424,0.007242,0.008720,0.011663,0.017546,0.029309,0.052791", \ - "0.008277,0.008887,0.009913,0.012198,0.017559,0.029304,0.052789", \ - "0.013067,0.013889,0.015262,0.017757,0.022143,0.030787,0.052778", \ - "0.019155,0.020229,0.022010,0.025208,0.030718,0.039866,0.056565", \ - "0.026600,0.027948,0.030155,0.034099,0.040820,0.051875,0.069733", \ - "0.035438,0.037079,0.039786,0.044536,0.052502,0.065514,0.086354"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.012432,0.014522,0.018297,0.025848,0.040935,0.071062,0.131283", \ - "0.012435,0.014523,0.018295,0.025844,0.040926,0.071058,0.131281", \ - "0.012539,0.014555,0.018303,0.025840,0.040932,0.071059,0.131287", \ - "0.015999,0.017788,0.020912,0.027181,0.041051,0.071037,0.131273", \ - "0.020732,0.022592,0.025949,0.032557,0.045145,0.071915,0.131273", \ - "0.026653,0.028587,0.032070,0.038963,0.052360,0.077704,0.132101", \ - "0.033753,0.035773,0.039395,0.046575,0.060519,0.087221,0.138130"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.031161,0.032314,0.034301,0.038047,0.045150,0.058872,0.085945", \ - "0.032710,0.033864,0.035855,0.039607,0.046716,0.060440,0.087514", \ - "0.039076,0.040225,0.042213,0.045970,0.053096,0.066841,0.093924", \ - "0.050714,0.051905,0.053953,0.057772,0.064956,0.078750,0.105869", \ - "0.063692,0.065009,0.067234,0.071258,0.078613,0.092501,0.119667", \ - "0.077355,0.078818,0.081258,0.085588,0.093214,0.107345,0.134545", \ - "0.092103,0.093711,0.096385,0.101090,0.109138,0.123535,0.150892"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.032277,0.033484,0.035604,0.039686,0.047553,0.063013,0.093945", \ - "0.033669,0.034881,0.037013,0.041117,0.049026,0.064535,0.095523", \ - "0.037237,0.038449,0.040588,0.044716,0.052687,0.068296,0.099382", \ - "0.042323,0.043574,0.045765,0.049963,0.058058,0.073785,0.104962", \ - "0.047666,0.048956,0.051217,0.055489,0.063583,0.079261,0.110415", \ - "0.051951,0.053331,0.055715,0.060143,0.068421,0.084210,0.115300", \ - "0.054553,0.056060,0.058642,0.063378,0.071959,0.088010,0.119306"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.005973,0.006693,0.008022,0.010748,0.016335,0.027746,0.050971", \ - "0.005973,0.006694,0.008021,0.010748,0.016336,0.027746,0.050967", \ - "0.005991,0.006704,0.008033,0.010753,0.016338,0.027746,0.050968", \ - "0.006441,0.007112,0.008362,0.010978,0.016448,0.027785,0.050978", \ - "0.007606,0.008209,0.009326,0.011711,0.016894,0.027978,0.051038", \ - "0.009008,0.009572,0.010617,0.012820,0.017680,0.028394,0.051089", \ - "0.010555,0.011121,0.012132,0.014199,0.018743,0.029029,0.051374"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.009708,0.010684,0.012463,0.016021,0.023221,0.037902,0.067584", \ - "0.009697,0.010685,0.012464,0.016023,0.023233,0.037913,0.067607", \ - "0.009778,0.010754,0.012525,0.016069,0.023257,0.037935,0.067648", \ - "0.009753,0.010725,0.012508,0.016100,0.023312,0.037998,0.067660", \ - "0.010413,0.011334,0.012998,0.016343,0.023226,0.037652,0.067466", \ - "0.011621,0.012518,0.014113,0.017323,0.023968,0.038021,0.067255", \ - "0.013228,0.014146,0.015732,0.018827,0.025191,0.038905,0.067808"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("-0.000536,-0.000602,-0.000722,0.012438,0.033616,0.063714,0.083738", \ - "-0.000742,-0.000809,-0.000930,0.010129,0.046846,0.092852,0.117504", \ - "-0.001477,-0.001544,-0.001663,-0.001895,0.033826,0.084490,0.120619", \ - "0.286918,0.255031,0.205045,0.133296,0.077400,0.113942,0.140161", \ - "0.820211,0.765994,0.711715,0.586666,0.432337,0.265152,0.238840", \ - "1.516851,1.494366,1.420671,1.283708,1.055682,0.751658,0.490860", \ - "2.411304,2.385441,2.330410,2.213045,1.944656,1.520030,1.033383"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("3.024155,3.043902,3.051328,3.083520,3.039879,3.111864,3.014398", \ - "2.925017,2.932120,2.962018,3.003593,2.996885,3.090605,3.033466", \ - "2.944220,2.937835,2.950153,2.964104,2.935547,3.024859,2.995993", \ - "3.210389,3.194524,3.184971,3.132376,3.071940,3.079308,3.011100", \ - "3.482039,3.521056,3.570339,3.599809,3.432069,3.253973,3.195059", \ - "4.160049,4.142554,4.138679,4.139087,4.150094,3.883131,3.602793", \ - "5.216543,5.189055,5.120524,5.032312,4.880282,4.710471,4.285567"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("4.787007,4.767305,4.792523,4.784884,4.726376,4.649254,4.571099", \ - "4.726138,4.734584,4.764616,4.749949,4.699369,4.612901,4.537413", \ - "4.696316,4.699672,4.726256,4.738108,4.709133,4.641346,4.559747", \ - "4.709504,4.742675,4.789693,4.820900,4.841098,4.803847,4.733236", \ - "4.686937,4.727060,4.823037,4.961373,5.108785,5.131296,5.081000", \ - "4.944993,4.987773,5.079398,5.202427,5.379572,5.540424,5.615840", \ - "5.491740,5.526610,5.606345,5.744034,5.942745,6.104084,6.268170"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("3.761015,3.734159,3.749473,3.770411,3.665975,3.622276,3.411267", \ - "3.732562,3.723894,3.741012,3.737365,3.716065,3.623489,3.396480", \ - "3.619335,3.617168,3.618331,3.644980,3.622151,3.599563,3.327332", \ - "3.593840,3.607410,3.625966,3.628222,3.639801,3.578111,3.580666", \ - "4.011848,4.028088,4.015603,3.976186,3.848433,3.809850,3.671099", \ - "4.627545,4.654433,4.673213,4.639777,4.551373,4.430929,4.282764", \ - "5.412667,5.448621,5.455397,5.475971,5.463541,5.305604,5.128216"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("0.315893,0.324365,0.343217,0.367572,0.393195,0.431557,0.458715", \ - "0.247764,0.252380,0.281845,0.309118,0.346742,0.385254,0.412153", \ - "0.240125,0.239858,0.262257,0.288307,0.317342,0.358595,0.388170", \ - "0.564513,0.535037,0.492835,0.419739,0.395072,0.395081,0.415407", \ - "1.091463,1.062302,0.990832,0.897755,0.745192,0.582881,0.535066", \ - "1.838938,1.798761,1.732434,1.609777,1.392489,1.092199,0.821543", \ - "2.800538,2.771029,2.702399,2.573543,2.317826,1.900635,1.403525"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("3.785043,3.809658,3.805574,3.832751,3.832479,3.758717,3.807841", \ - "3.693479,3.698490,3.726205,3.731373,3.795374,3.681355,3.739264", \ - "3.612057,3.612427,3.633454,3.651960,3.672571,3.740449,3.701044", \ - "3.635780,3.631891,3.642365,3.639732,3.665579,3.643172,3.684722", \ - "3.640999,3.683110,3.745986,3.840364,3.753552,3.775662,3.665691", \ - "4.062588,4.076034,4.071416,4.087804,4.188415,4.027458,3.809982", \ - "4.894128,4.851431,4.808810,4.716709,4.681413,4.620501,4.302926"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("4.836741,4.914878,4.977514,5.064349,5.127882,5.160218,5.172776", \ - "4.805971,4.861871,4.940489,5.037582,5.103416,5.132653,5.146146", \ - "4.794738,4.850871,4.930547,5.031412,5.105124,5.142208,5.159197", \ - "4.901685,4.953698,5.032262,5.131479,5.216197,5.266091,5.292163", \ - "4.862842,4.951007,5.067917,5.271734,5.490133,5.560495,5.595364", \ - "5.129526,5.179871,5.317601,5.475845,5.697856,5.946782,6.089225", \ - "5.725788,5.765820,5.825188,5.985904,6.201515,6.426672,6.682607"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); - values ("3.202985,3.238649,3.227288,3.230604,3.169928,3.142517,2.883393", \ - "3.138243,3.122222,3.142065,3.170983,3.159056,3.110345,2.867352", \ - "2.958604,2.995699,2.985574,3.000219,3.034336,2.984931,2.841938", \ - "2.868223,2.876847,2.906962,2.915174,2.877157,2.953761,2.819461", \ - "3.196687,3.206341,3.176842,3.147688,3.075302,2.994702,2.995114", \ - "3.694799,3.694633,3.711946,3.710181,3.608604,3.519288,3.368750", \ - "4.238509,4.306235,4.333922,4.356798,4.321324,4.271641,4.086296"); - } - } - } - - } - - - /****************************************************************************************** - Module : XNOR2_X2 - Cell Description : Combinational cell (XNOR2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (XNOR2_X2) { - - drive_strength : 2; - - area : 2.660000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 73.102975; - - leakage_power () { - when : "!A & !B"; - value : 73.267260; - } - leakage_power () { - when : "!A & B"; - value : 94.667430; - } - leakage_power () { - when : "A & !B"; - value : 78.654840; - } - leakage_power () { - when : "A & B"; - value : 45.822370; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.003783; - fall_capacitance : 3.802061; - rise_capacitance : 4.003783; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.836936; - fall_capacitance : 4.419038; - rise_capacitance : 4.836936; - } - - pin (ZN) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 52.032500; - function : "!(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.007382,0.008734,0.010463,0.013896,0.020730,0.034358,0.061559", \ - "0.008736,0.010111,0.011867,0.015341,0.022223,0.035893,0.063116", \ - "0.012251,0.014212,0.016481,0.020433,0.027289,0.040938,0.068167", \ - "0.013892,0.016748,0.020067,0.025889,0.035569,0.050900,0.077882", \ - "0.013140,0.016913,0.021295,0.028964,0.041770,0.062194,0.093578", \ - "0.009648,0.014374,0.019823,0.029383,0.045317,0.070785,0.110147", \ - "0.003230,0.008849,0.015358,0.026814,0.045942,0.076476,0.123754"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.016537,0.019933,0.024215,0.032632,0.049241,0.082223,0.147997", \ - "0.017145,0.020554,0.024890,0.033426,0.050213,0.083377,0.149287", \ - "0.022702,0.025819,0.029915,0.038185,0.054791,0.087944,0.153969", \ - "0.031343,0.035658,0.040732,0.049776,0.065807,0.098315,0.163902", \ - "0.041297,0.046567,0.052833,0.064162,0.083642,0.116279,0.180813", \ - "0.053131,0.059263,0.066555,0.079842,0.103052,0.141656,0.206134", \ - "0.067007,0.073972,0.082274,0.097375,0.123866,0.168617,0.240818"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.004266,0.005423,0.006920,0.009902,0.015842,0.027657,0.051213", \ - "0.004260,0.005434,0.006936,0.009923,0.015861,0.027668,0.051217", \ - "0.006838,0.007750,0.008861,0.010913,0.015946,0.027671,0.051223", \ - "0.011477,0.012680,0.014110,0.016685,0.021176,0.029557,0.051216", \ - "0.017710,0.019227,0.021008,0.024193,0.029718,0.038971,0.055466", \ - "0.025577,0.027434,0.029613,0.033471,0.040038,0.051007,0.068936", \ - "0.035072,0.037345,0.039958,0.044556,0.052282,0.064948,0.085620"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.011576,0.014506,0.018294,0.025860,0.040956,0.071121,0.131398", \ - "0.011556,0.014509,0.018294,0.025860,0.040940,0.071099,0.131389", \ - "0.012361,0.014774,0.018226,0.025843,0.040949,0.071120,0.131408", \ - "0.017484,0.019994,0.022951,0.028324,0.041234,0.071095,0.131404", \ - "0.023074,0.026046,0.029684,0.036310,0.047626,0.072287,0.131380", \ - "0.029953,0.033230,0.037329,0.045014,0.058568,0.081278,0.132324", \ - "0.038486,0.041964,0.046386,0.054801,0.070122,0.096237,0.141551"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.028660,0.030110,0.031918,0.035430,0.042288,0.055845,0.082945", \ - "0.030152,0.031607,0.033423,0.036945,0.043812,0.057371,0.084465", \ - "0.036372,0.037859,0.039711,0.043290,0.050221,0.063817,0.090908", \ - "0.046680,0.048246,0.050166,0.053878,0.060963,0.074669,0.101788", \ - "0.057834,0.059572,0.061660,0.065545,0.072794,0.086620,0.113769", \ - "0.069565,0.071516,0.073817,0.077988,0.085523,0.099604,0.126893", \ - "0.082100,0.084285,0.086851,0.091421,0.099400,0.113827,0.141336"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.029316,0.030985,0.033065,0.037075,0.044861,0.060281,0.091267", \ - "0.030516,0.032189,0.034273,0.038295,0.046102,0.061540,0.092529", \ - "0.035002,0.036688,0.038795,0.042876,0.050783,0.066336,0.097395", \ - "0.040951,0.042685,0.044833,0.048964,0.057010,0.072690,0.103822", \ - "0.046580,0.048395,0.050604,0.054777,0.062751,0.078293,0.109392", \ - "0.051074,0.053059,0.055444,0.059836,0.067930,0.083516,0.114452", \ - "0.053954,0.056177,0.058838,0.063656,0.072127,0.087909,0.118975"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.007020,0.008127,0.009551,0.012404,0.018132,0.029727,0.053220", \ - "0.007020,0.008125,0.009548,0.012402,0.018132,0.029726,0.053220", \ - "0.007052,0.008148,0.009564,0.012409,0.018133,0.029725,0.053218", \ - "0.007253,0.008312,0.009715,0.012528,0.018262,0.029774,0.053226", \ - "0.007856,0.008786,0.010011,0.012585,0.018032,0.029489,0.053074", \ - "0.009033,0.009889,0.011020,0.013372,0.018474,0.029492,0.052644", \ - "0.010613,0.011464,0.012538,0.014731,0.019470,0.030013,0.052704"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.009211,0.010619,0.012421,0.016030,0.023313,0.038106,0.067939", \ - "0.009217,0.010619,0.012423,0.016028,0.023311,0.038107,0.067931", \ - "0.009346,0.010729,0.012510,0.016083,0.023331,0.038113,0.067932", \ - "0.009395,0.010735,0.012480,0.016130,0.023409,0.038195,0.067952", \ - "0.010487,0.011694,0.013264,0.016491,0.023298,0.037737,0.067770", \ - "0.012291,0.013451,0.014906,0.017866,0.024224,0.038173,0.067472", \ - "0.014456,0.015717,0.017219,0.020045,0.025895,0.039194,0.068080"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.009422,0.010893,0.012740,0.016343,0.023377,0.037211,0.064616", \ - "0.010678,0.012153,0.014008,0.017620,0.024665,0.038507,0.065917", \ - "0.015274,0.017019,0.019072,0.022723,0.029696,0.043496,0.070882", \ - "0.018880,0.021401,0.024388,0.029727,0.038791,0.053497,0.080644", \ - "0.020354,0.023618,0.027507,0.034485,0.046433,0.065927,0.096458", \ - "0.019545,0.023537,0.028306,0.036880,0.051625,0.075830,0.114019", \ - "0.016317,0.021042,0.026672,0.036810,0.054293,0.083081,0.128782"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.021066,0.024381,0.028604,0.036951,0.053503,0.086439,0.152189", \ - "0.022362,0.025732,0.030024,0.038493,0.055193,0.088276,0.154135", \ - "0.027519,0.030810,0.035036,0.043461,0.060208,0.093448,0.159503", \ - "0.034764,0.038740,0.043569,0.052544,0.069161,0.102288,0.168365", \ - "0.043002,0.047777,0.053515,0.064065,0.083013,0.116726,0.182535", \ - "0.053436,0.059016,0.065651,0.077720,0.099178,0.136773,0.203347", \ - "0.066089,0.072517,0.080099,0.093788,0.117791,0.159354,0.231240"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.006240,0.007387,0.008867,0.011827,0.017746,0.029576,0.053201", \ - "0.006216,0.007381,0.008871,0.011835,0.017752,0.029579,0.053201", \ - "0.008096,0.008963,0.010000,0.012317,0.017746,0.029573,0.053199", \ - "0.012807,0.013977,0.015354,0.017860,0.022246,0.030992,0.053194", \ - "0.018796,0.020322,0.022115,0.025329,0.030844,0.040025,0.056867", \ - "0.026103,0.028024,0.030261,0.034218,0.040967,0.052056,0.069988", \ - "0.034804,0.037147,0.039873,0.044645,0.052659,0.065726,0.086641"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.011581,0.014516,0.018298,0.025854,0.040943,0.071099,0.131388", \ - "0.011586,0.014514,0.018297,0.025853,0.040938,0.071107,0.131396", \ - "0.011722,0.014558,0.018306,0.025855,0.040950,0.071118,0.131404", \ - "0.015192,0.017734,0.020860,0.027159,0.041074,0.071096,0.131400", \ - "0.019910,0.022532,0.025910,0.032524,0.045130,0.071938,0.131390", \ - "0.025869,0.028568,0.032059,0.038955,0.052353,0.077718,0.132218", \ - "0.032940,0.035767,0.039407,0.046589,0.060541,0.087244,0.138227"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.029120,0.030725,0.032681,0.036392,0.043471,0.057215,0.084414", \ - "0.030658,0.032267,0.034227,0.037944,0.045029,0.058775,0.085974", \ - "0.037043,0.038644,0.040601,0.044325,0.051429,0.065198,0.092406", \ - "0.048288,0.049952,0.051961,0.055756,0.062925,0.076744,0.103984", \ - "0.060609,0.062451,0.064630,0.068593,0.075904,0.089806,0.117073", \ - "0.073683,0.075728,0.078109,0.082353,0.089917,0.104005,0.131282", \ - "0.087890,0.090142,0.092758,0.097359,0.105277,0.119620,0.147024"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.030481,0.032164,0.034264,0.038317,0.046168,0.061653,0.092668", \ - "0.031831,0.033523,0.035635,0.039711,0.047600,0.063135,0.094198", \ - "0.035238,0.036935,0.039059,0.043171,0.051136,0.066778,0.097942", \ - "0.040021,0.041772,0.043946,0.048125,0.056204,0.071963,0.103213", \ - "0.044934,0.046745,0.048975,0.053219,0.061299,0.076997,0.108221", \ - "0.048731,0.050669,0.053024,0.057423,0.065668,0.081487,0.112661", \ - "0.050840,0.052958,0.055508,0.060200,0.068738,0.084837,0.116270"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.005503,0.006530,0.007885,0.010654,0.016306,0.027831,0.051235", \ - "0.005506,0.006532,0.007884,0.010652,0.016305,0.027831,0.051235", \ - "0.005525,0.006544,0.007898,0.010660,0.016309,0.027832,0.051235", \ - "0.006015,0.006968,0.008237,0.010897,0.016431,0.027873,0.051243", \ - "0.007164,0.008006,0.009145,0.011565,0.016826,0.028032,0.051291", \ - "0.008530,0.009329,0.010371,0.012595,0.017539,0.028401,0.051325", \ - "0.010068,0.010845,0.011846,0.013921,0.018525,0.028975,0.051572"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.009204,0.010604,0.012400,0.015998,0.023271,0.038055,0.067869", \ - "0.009202,0.010603,0.012404,0.016005,0.023282,0.038073,0.067904", \ - "0.009293,0.010684,0.012468,0.016050,0.023311,0.038094,0.067924", \ - "0.009231,0.010610,0.012384,0.016023,0.023313,0.038153,0.067934", \ - "0.009942,0.011241,0.012912,0.016289,0.023249,0.037766,0.067745", \ - "0.011156,0.012415,0.014022,0.017256,0.023983,0.038171,0.067548", \ - "0.012759,0.014047,0.015635,0.018750,0.025182,0.039076,0.068144"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("-0.001027,-0.001216,-0.001458,0.010557,0.058333,0.114789,0.153028", \ - "-0.001440,-0.001631,-0.001873,0.008235,0.084533,0.168176,0.217450", \ - "-0.002901,-0.003099,-0.003338,-0.003807,0.054676,0.153189,0.228132", \ - "0.598568,0.493370,0.403003,0.249630,0.151904,0.207059,0.265502", \ - "1.635960,1.550011,1.411428,1.169119,0.842629,0.511991,0.461168", \ - "3.080856,2.972616,2.823299,2.545582,2.082638,1.473896,0.965081", \ - "4.819323,4.775128,4.641808,4.386811,3.861855,3.001063,2.028571"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("6.014577,6.073163,6.076601,6.151362,6.097980,6.223855,6.205053", \ - "5.818738,5.886967,5.899475,6.012612,6.093156,6.145680,5.929964", \ - "5.871219,5.887717,5.876839,5.907442,5.956483,6.014035,6.137996", \ - "6.412018,6.399257,6.351611,6.291316,6.210823,6.122425,5.858660", \ - "6.960985,7.027214,7.163404,7.175458,6.964897,6.729682,6.334178", \ - "8.309271,8.264109,8.249426,8.240681,8.265305,7.843962,7.142128", \ - "10.444710,10.348970,10.211150,10.044610,9.746349,9.397947,8.583258"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("8.933824,8.955009,8.955059,8.906989,8.784743,8.629273,8.495156", \ - "8.870065,8.886019,8.863594,8.845374,8.722756,8.568772,8.420740", \ - "8.782666,8.812854,8.808707,8.822906,8.754782,8.620884,8.482115", \ - "8.830761,8.931720,8.997637,9.045945,9.054393,8.970718,8.851247", \ - "8.798536,8.958779,9.114256,9.340428,9.620724,9.659216,9.562654", \ - "9.404818,9.529543,9.640840,9.919793,10.209850,10.492810,10.669630", \ - "10.481760,10.609550,10.736960,11.028040,11.376800,11.695130,12.009090"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("7.567229,7.577536,7.591617,7.554038,7.441186,7.258465,7.191833", \ - "7.474981,7.521821,7.551570,7.539579,7.439926,7.227334,7.156536", \ - "7.228061,7.239132,7.280864,7.310353,7.253702,7.223084,7.073381", \ - "7.191072,7.230715,7.283650,7.288565,7.309965,7.325756,7.266617", \ - "8.167732,8.138670,8.102455,8.034592,7.864352,7.764462,7.811099", \ - "9.355300,9.439734,9.478047,9.432490,9.289930,9.051378,8.724134", \ - "10.925930,11.000170,11.062730,11.116390,11.071580,10.955260,10.460690"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("0.613956,0.637288,0.666647,0.719005,0.780743,0.842856,0.903802", \ - "0.487805,0.525503,0.569918,0.614584,0.691131,0.755156,0.804836", \ - "0.465746,0.491900,0.518869,0.567128,0.637332,0.705865,0.754774", \ - "1.114259,1.052891,0.967581,0.811860,0.757376,0.783814,0.813881", \ - "2.175053,2.093684,1.963819,1.769433,1.462997,1.143661,1.044838", \ - "3.651760,3.561044,3.441166,3.165727,2.746440,2.149397,1.612904", \ - "5.595614,5.483284,5.381838,5.099375,4.583523,3.758215,2.766465"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("7.683350,7.726188,7.769108,7.809878,7.824250,7.762662,7.687563", \ - "7.489896,7.558300,7.608606,7.620297,7.589674,7.779835,7.621750", \ - "7.330139,7.329008,7.370778,7.449191,7.508572,7.565733,7.700950", \ - "7.368864,7.405340,7.388598,7.391732,7.394395,7.456979,7.344309", \ - "7.347271,7.499028,7.633573,7.758852,7.678954,7.625987,7.435349", \ - "8.215645,8.206109,8.200771,8.220220,8.451469,8.116581,8.000865", \ - "9.874419,9.749557,9.663956,9.515062,9.413484,9.281872,8.595862"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("9.186775,9.316390,9.446789,9.572064,9.677548,9.718828,9.744041", \ - "9.123038,9.259910,9.385514,9.512387,9.622644,9.667317,9.687896", \ - "9.111097,9.238398,9.368554,9.493292,9.618387,9.684311,9.711592", \ - "9.255957,9.433030,9.561693,9.708579,9.862742,9.957693,10.006100", \ - "9.237127,9.437481,9.610725,9.958174,10.431220,10.566030,10.629530", \ - "9.812172,9.939405,10.151570,10.448730,10.845360,11.319080,11.644680", \ - "11.003350,11.089290,11.233440,11.520330,11.912510,12.315320,12.828220"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); - values ("6.498484,6.487245,6.490821,6.545496,6.475940,6.308935,6.266228", \ - "6.317864,6.371865,6.362945,6.393680,6.317202,6.212694,6.199786", \ - "5.940464,5.977780,5.992111,6.075141,6.026679,5.984101,6.031665", \ - "5.746590,5.771748,5.832830,5.907344,5.904182,5.896189,5.982776", \ - "6.507572,6.478806,6.474426,6.417599,6.167781,6.226532,6.296150", \ - "7.427054,7.496949,7.515297,7.557761,7.404465,7.093705,6.719890", \ - "8.653787,8.707315,8.769660,8.879673,8.840716,8.767908,8.358971"); - } - } - } - - } - - - /****************************************************************************************** - Module : XOR2_X1 - Cell Description : Combinational cell (XOR2_X1) with drive strength X1 - *******************************************************************************************/ - - cell (XOR2_X1) { - - drive_strength : 1; - - area : 1.596000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 36.163718; - - leakage_power () { - when : "!A & !B"; - value : 26.394280; - } - leakage_power () { - when : "!A & B"; - value : 42.963030; - } - leakage_power () { - when : "A & !B"; - value : 23.406273; - } - leakage_power () { - when : "A & B"; - value : 51.891290; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.232144; - fall_capacitance : 2.181232; - rise_capacitance : 2.232144; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 2.411453; - fall_capacitance : 2.411453; - rise_capacitance : 2.363548; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 25.329600; - function : "(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.044789,0.045728,0.047388,0.050431,0.055860,0.065445,0.082518", \ - "0.045292,0.046242,0.047912,0.050977,0.056431,0.066037,0.083129", \ - "0.050498,0.051453,0.053127,0.056201,0.061676,0.071300,0.088397", \ - "0.062228,0.063201,0.064900,0.068024,0.073562,0.083229,0.100344", \ - "0.077395,0.078470,0.080343,0.083725,0.089593,0.099627,0.117019", \ - "0.094293,0.095474,0.097533,0.101219,0.107525,0.118039,0.135878", \ - "0.113382,0.114692,0.116945,0.120981,0.127793,0.138991,0.157421"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.037026,0.039150,0.043049,0.050763,0.066175,0.097342,0.160484", \ - "0.038471,0.040604,0.044531,0.052312,0.067825,0.099071,0.162215", \ - "0.042092,0.044271,0.048296,0.056290,0.072182,0.103876,0.167339", \ - "0.045875,0.048032,0.052010,0.060124,0.076223,0.108226,0.172032", \ - "0.048381,0.050595,0.054659,0.062708,0.078691,0.110503,0.174548", \ - "0.048337,0.050630,0.054774,0.062887,0.078930,0.110898,0.174516", \ - "0.045197,0.047639,0.051984,0.060268,0.076351,0.108391,0.172307"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.009586,0.010138,0.011108,0.012920,0.016240,0.022445,0.034629", \ - "0.009597,0.010152,0.011119,0.012924,0.016242,0.022446,0.034628", \ - "0.009621,0.010169,0.011134,0.012932,0.016242,0.022440,0.034626", \ - "0.009963,0.010490,0.011409,0.013142,0.016384,0.022526,0.034670", \ - "0.011023,0.011552,0.012464,0.014175,0.017351,0.023386,0.035254", \ - "0.012565,0.013112,0.014034,0.015708,0.018744,0.024458,0.036060", \ - "0.014450,0.015023,0.015986,0.017718,0.020742,0.026240,0.037273"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.015334,0.017335,0.021048,0.028457,0.043237,0.072723,0.131578", \ - "0.015334,0.017339,0.021045,0.028457,0.043239,0.072737,0.131584", \ - "0.015358,0.017351,0.021054,0.028460,0.043239,0.072722,0.131566", \ - "0.014383,0.016491,0.020359,0.028094,0.043240,0.072722,0.131578", \ - "0.013985,0.015868,0.019425,0.026672,0.041488,0.071799,0.131543", \ - "0.014644,0.016409,0.019792,0.026812,0.041263,0.070531,0.130248", \ - "0.016001,0.017646,0.020782,0.027416,0.041552,0.070619,0.129168"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.007811,0.008722,0.010401,0.013729,0.020339,0.033510,0.059821", \ - "0.009128,0.010055,0.011759,0.015120,0.021770,0.034975,0.061305", \ - "0.012719,0.014029,0.016256,0.020138,0.026803,0.039977,0.066300", \ - "0.014507,0.016410,0.019669,0.025379,0.034881,0.049942,0.076042", \ - "0.013907,0.016421,0.020716,0.028252,0.040805,0.060863,0.091698", \ - "0.010563,0.013713,0.019074,0.028454,0.044094,0.069090,0.107749", \ - "0.004299,0.008035,0.014454,0.025676,0.044449,0.074421,0.120838"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.016654,0.018960,0.023155,0.031382,0.047576,0.079697,0.143718", \ - "0.017314,0.019629,0.023876,0.032222,0.048590,0.080899,0.145055", \ - "0.022916,0.024994,0.028964,0.037037,0.053235,0.085520,0.149798", \ - "0.032008,0.034887,0.039835,0.048660,0.064281,0.095933,0.159756", \ - "0.042309,0.045829,0.051937,0.062998,0.082058,0.113941,0.176704", \ - "0.054449,0.058554,0.065655,0.078620,0.101333,0.139169,0.202091", \ - "0.068540,0.073236,0.081350,0.096103,0.122016,0.165862,0.236729"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.004521,0.005285,0.006712,0.009562,0.015261,0.026657,0.049441", \ - "0.004517,0.005284,0.006712,0.009563,0.015261,0.026654,0.049443", \ - "0.007032,0.007646,0.008719,0.010674,0.015416,0.026656,0.049445", \ - "0.011704,0.012517,0.013914,0.016439,0.020809,0.028814,0.049443", \ - "0.017980,0.019015,0.020759,0.023887,0.029288,0.038327,0.054162", \ - "0.025927,0.027185,0.029326,0.033107,0.039535,0.050273,0.067792", \ - "0.035501,0.037034,0.039627,0.044148,0.051707,0.064107,0.084327"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.012518,0.014531,0.018228,0.025589,0.040271,0.069627,0.128274", \ - "0.012484,0.014515,0.018226,0.025598,0.040274,0.069614,0.128282", \ - "0.012981,0.014681,0.018065,0.025560,0.040289,0.069616,0.128279", \ - "0.018090,0.019783,0.022748,0.028009,0.040571,0.069623,0.128281", \ - "0.023827,0.025831,0.029377,0.035875,0.047037,0.070923,0.128290", \ - "0.030806,0.033024,0.036992,0.044498,0.057798,0.080093,0.129408", \ - "0.039388,0.041774,0.046041,0.054240,0.069239,0.094878,0.138999"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.048976,0.049920,0.051593,0.054649,0.060089,0.069670,0.086732", \ - "0.050349,0.051306,0.052989,0.056065,0.061530,0.071132,0.088208", \ - "0.055568,0.056525,0.058208,0.061302,0.066783,0.076412,0.093500", \ - "0.064561,0.065534,0.067233,0.070356,0.075893,0.085568,0.102692", \ - "0.076397,0.077457,0.079288,0.082628,0.088490,0.098500,0.115907", \ - "0.090991,0.092143,0.094116,0.097713,0.103948,0.114433,0.132374", \ - "0.108494,0.109730,0.111860,0.115712,0.122344,0.133404,0.151970"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.033734,0.035973,0.040079,0.048185,0.064212,0.096105,0.159897", \ - "0.035183,0.037419,0.041527,0.049643,0.065705,0.097632,0.161437", \ - "0.040127,0.042341,0.046430,0.054545,0.070673,0.102758,0.166706", \ - "0.045646,0.047810,0.051804,0.059916,0.076071,0.108227,0.172313", \ - "0.049778,0.051980,0.056013,0.064004,0.079876,0.111758,0.175905", \ - "0.051365,0.053653,0.057760,0.065809,0.081724,0.113520,0.177188", \ - "0.050117,0.052553,0.056852,0.065043,0.080983,0.112756,0.176443"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.009526,0.010078,0.011043,0.012844,0.016171,0.022392,0.034593", \ - "0.009512,0.010064,0.011024,0.012826,0.016154,0.022375,0.034585", \ - "0.009524,0.010072,0.011037,0.012832,0.016149,0.022370,0.034582", \ - "0.009794,0.010318,0.011254,0.013007,0.016270,0.022440,0.034615", \ - "0.010442,0.010987,0.011942,0.013714,0.016973,0.023096,0.035079", \ - "0.011414,0.011974,0.012945,0.014727,0.017972,0.023990,0.035792", \ - "0.012757,0.013340,0.014331,0.016146,0.019414,0.025402,0.036991"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.012660,0.014610,0.018256,0.025582,0.040261,0.069620,0.128285", \ - "0.012655,0.014610,0.018259,0.025585,0.040261,0.069610,0.128291", \ - "0.012681,0.014622,0.018261,0.025581,0.040264,0.069613,0.128295", \ - "0.012435,0.014398,0.018089,0.025515,0.040271,0.069615,0.128292", \ - "0.012951,0.014768,0.018214,0.025277,0.039704,0.069367,0.128291", \ - "0.013851,0.015546,0.018823,0.025715,0.040003,0.068969,0.127901", \ - "0.015212,0.016790,0.019842,0.026372,0.040393,0.069317,0.127486"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.009145,0.010051,0.011722,0.015042,0.021643,0.034811,0.061116", \ - "0.010488,0.011420,0.013128,0.016490,0.023135,0.036339,0.062669", \ - "0.013542,0.014677,0.016667,0.020370,0.027161,0.040450,0.066843", \ - "0.015854,0.017480,0.020255,0.025130,0.033459,0.047933,0.074547", \ - "0.015923,0.018170,0.021969,0.028554,0.039422,0.056900,0.086146", \ - "0.013260,0.016183,0.021081,0.029544,0.043406,0.065157,0.099152", \ - "0.007637,0.011237,0.017267,0.027684,0.044719,0.071277,0.111664"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.021462,0.023691,0.027798,0.035933,0.052080,0.084215,0.148284", \ - "0.022266,0.024524,0.028680,0.036891,0.053130,0.085347,0.149490", \ - "0.027701,0.029862,0.033889,0.041955,0.058071,0.090252,0.154403", \ - "0.038608,0.041162,0.045629,0.053713,0.069285,0.100904,0.164633", \ - "0.050834,0.054015,0.059595,0.069844,0.087831,0.119072,0.181796", \ - "0.064897,0.068623,0.075153,0.087228,0.108713,0.145118,0.207365", \ - "0.081228,0.085441,0.092891,0.106584,0.131130,0.173317,0.242444"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.004517,0.005288,0.006714,0.009562,0.015261,0.026655,0.049444", \ - "0.004518,0.005285,0.006713,0.009562,0.015262,0.026658,0.049445", \ - "0.005689,0.006353,0.007605,0.010065,0.015355,0.026656,0.049445", \ - "0.008896,0.009565,0.010761,0.013103,0.017846,0.027710,0.049453", \ - "0.013630,0.014420,0.015797,0.018344,0.023035,0.032300,0.051730", \ - "0.019615,0.020541,0.022185,0.025165,0.030387,0.039742,0.058246", \ - "0.026676,0.027769,0.029737,0.033267,0.039335,0.049536,0.067957"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.015278,0.017297,0.021030,0.028457,0.043238,0.072738,0.131578", \ - "0.015264,0.017294,0.021028,0.028451,0.043238,0.072727,0.131579", \ - "0.015174,0.017122,0.020943,0.028438,0.043242,0.072728,0.131584", \ - "0.019580,0.021239,0.023951,0.029871,0.043250,0.072729,0.131573", \ - "0.025268,0.027319,0.030876,0.037324,0.048579,0.073530,0.131546", \ - "0.031499,0.033952,0.038170,0.045886,0.059226,0.081757,0.132300", \ - "0.038587,0.041342,0.046158,0.054986,0.070471,0.096249,0.141095"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("4.721486,4.745663,4.760330,4.810592,4.839377,4.868265,4.869435", \ - "4.664626,4.689406,4.713179,4.759731,4.787085,4.829423,4.825019", \ - "4.639025,4.667821,4.706561,4.759273,4.797081,4.846921,4.835946", \ - "4.722704,4.739569,4.784061,4.846306,4.923999,4.970376,4.974647", \ - "4.827348,4.880403,4.945920,5.039139,5.156597,5.246117,5.252691", \ - "5.121065,5.140237,5.212549,5.295232,5.420949,5.563915,5.678456", \ - "5.654053,5.695203,5.745709,5.793044,5.908242,6.076313,6.184844"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("3.978521,3.973905,3.952753,3.935813,3.862737,3.728697,3.618129", \ - "3.965290,3.966171,3.944498,3.929794,3.867699,3.780022,3.667687", \ - "3.773469,3.774976,3.795655,3.775574,3.769975,3.677272,3.657451", \ - "3.740164,3.745379,3.743330,3.759398,3.758247,3.782964,3.747771", \ - "3.973282,3.962203,3.931928,3.913265,3.953725,3.958690,3.837131", \ - "4.456692,4.460087,4.451154,4.414171,4.365953,4.325863,4.334116", \ - "4.993683,5.017810,5.054093,5.091175,5.073917,4.969992,4.942111"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("0.146701,0.145034,0.147682,0.157420,0.164631,0.174091,0.175692", \ - "0.114691,0.127527,0.142801,0.159604,0.180111,0.198675,0.210485", \ - "0.133384,0.129509,0.136193,0.151188,0.172831,0.199902,0.219495", \ - "0.436811,0.413833,0.366952,0.297336,0.238081,0.237083,0.243460", \ - "0.922490,0.892092,0.833806,0.722980,0.572307,0.409602,0.348573", \ - "1.608670,1.573533,1.516342,1.386549,1.168761,0.878821,0.618588", \ - "2.481459,2.459655,2.398525,2.292223,2.046676,1.635018,1.161735"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("2.822286,2.840551,2.849419,2.881185,2.883625,2.944335,2.964466", \ - "2.715596,2.746616,2.779746,2.798098,2.879262,2.916638,2.928366", \ - "2.732871,2.738731,2.740166,2.751546,2.758706,2.827307,2.873691", \ - "2.998899,3.000534,2.975479,2.938506,2.902349,2.884323,2.901224", \ - "3.333983,3.341982,3.404738,3.413562,3.290154,3.192625,3.043133", \ - "4.052382,4.037931,4.005401,3.951587,3.907539,3.692721,3.552536", \ - "5.133593,5.090477,5.021601,4.905166,4.738565,4.530220,4.242656"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("5.066926,5.088427,5.136607,5.174865,5.226490,5.272599,5.258755", \ - "5.028427,5.050674,5.098984,5.139156,5.200759,5.238796,5.237073", \ - "4.963217,5.011723,5.039743,5.105974,5.153829,5.210997,5.207732", \ - "4.888803,4.907237,4.962713,5.028817,5.111455,5.190074,5.201765", \ - "4.807727,4.835129,4.909059,5.033997,5.171636,5.272756,5.300526", \ - "4.897354,4.928431,4.994125,5.109689,5.254215,5.442404,5.580982", \ - "5.272071,5.292403,5.363593,5.456214,5.592857,5.782581,5.939216"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("3.478476,3.487642,3.491393,3.519339,3.508980,3.485184,3.475018", \ - "3.453016,3.461960,3.465795,3.490346,3.513662,3.515719,3.425873", \ - "3.381027,3.387837,3.417613,3.442931,3.456617,3.430482,3.407296", \ - "3.398371,3.431927,3.434224,3.459774,3.487228,3.468038,3.421396", \ - "3.720985,3.709384,3.674086,3.625571,3.661534,3.631741,3.606658", \ - "4.204950,4.218401,4.196573,4.162852,4.068171,4.038667,4.090492", \ - "4.821777,4.831015,4.830717,4.810980,4.777491,4.616097,4.500041"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("-0.000317,-0.000352,-0.000416,-0.000542,-0.000781,-0.001226,-0.002025", \ - "-0.000408,-0.000443,-0.000507,-0.000630,-0.000867,-0.001307,-0.002099", \ - "-0.000706,-0.000738,-0.000797,-0.000914,-0.001138,-0.001561,-0.002327", \ - "-0.001234,-0.001271,-0.001337,-0.001459,-0.001678,-0.002077,-0.002813", \ - "0.307155,0.278249,0.247510,0.173135,0.063222,-0.002914,-0.003623", \ - "0.820660,0.788815,0.752728,0.660624,0.506356,0.286760,0.064190", \ - "1.473369,1.455080,1.414411,1.322537,1.147674,0.855844,0.489366"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); - values ("3.809465,3.815868,3.832602,3.842649,3.825478,3.882888,3.840656", \ - "3.722589,3.732294,3.737807,3.774632,3.777900,3.843762,3.802184", \ - "3.744826,3.755434,3.744530,3.754890,3.797425,3.800165,3.819861", \ - "4.020102,4.004733,3.985018,3.977699,3.940163,3.863691,3.806237", \ - "4.370353,4.402574,4.472985,4.428268,4.339275,4.211782,4.083734", \ - "4.993070,5.002905,5.008402,5.023772,4.963244,4.825694,4.499577", \ - "5.993099,5.992722,5.961873,5.916742,5.847054,5.676024,5.290764"); - } - } - } - - } - - - /****************************************************************************************** - Module : XOR2_X2 - Cell Description : Combinational cell (XOR2_X2) with drive strength X2 - *******************************************************************************************/ - - cell (XOR2_X2) { - - drive_strength : 2; - - area : 2.394000; - pg_pin(VDD) { - voltage_name : VDD; - pg_type : primary_power; - } - pg_pin(VSS) { - voltage_name : VSS; - pg_type : primary_ground; - } - - - cell_leakage_power : 72.593483; - - leakage_power () { - when : "!A & !B"; - value : 53.038260; - } - leakage_power () { - when : "!A & B"; - value : 86.131100; - } - leakage_power () { - when : "A & !B"; - value : 47.057560; - } - leakage_power () { - when : "A & B"; - value : 104.147010; - } - - pin (A) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.330455; - fall_capacitance : 4.235113; - rise_capacitance : 4.330455; - } - - pin (B) { - - direction : input; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - capacitance : 4.500935; - fall_capacitance : 4.500935; - rise_capacitance : 4.395627; - } - - pin (Z) { - - direction : output; - related_power_pin : "VDD"; - related_ground_pin : "VSS"; - max_capacitance : 50.506600; - function : "(A ^ B)"; - - timing () { - - related_pin : "A"; - when : "!B"; - sdf_cond : "(B == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.045569,0.046931,0.048593,0.051640,0.057097,0.066724,0.083842", \ - "0.046081,0.047454,0.049128,0.052195,0.057673,0.067324,0.084458", \ - "0.051238,0.052615,0.054295,0.057375,0.062875,0.072541,0.089682", \ - "0.062957,0.064356,0.066062,0.069184,0.074738,0.084451,0.101617", \ - "0.078243,0.079792,0.081649,0.085021,0.090900,0.100975,0.118412", \ - "0.095204,0.096923,0.098966,0.102639,0.108970,0.119521,0.137417", \ - "0.114367,0.116233,0.118486,0.122505,0.129350,0.140565,0.159071"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.037178,0.040217,0.044112,0.051818,0.067196,0.098260,0.161171", \ - "0.038629,0.041679,0.045600,0.053367,0.068843,0.099981,0.162893", \ - "0.042319,0.045423,0.049436,0.057404,0.073249,0.104842,0.168070", \ - "0.046253,0.049317,0.053330,0.061418,0.077461,0.109365,0.172952", \ - "0.048943,0.052088,0.056144,0.064179,0.080114,0.111845,0.175692", \ - "0.049052,0.052316,0.056449,0.064530,0.080569,0.112461,0.175874", \ - "0.046053,0.049539,0.053863,0.062110,0.078160,0.110146,0.173898"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.009578,0.010364,0.011339,0.013145,0.016469,0.022667,0.034805", \ - "0.009587,0.010376,0.011347,0.013151,0.016469,0.022667,0.034804", \ - "0.009610,0.010394,0.011360,0.013158,0.016467,0.022661,0.034801", \ - "0.009931,0.010684,0.011608,0.013348,0.016599,0.022740,0.034840", \ - "0.010981,0.011735,0.012654,0.014375,0.017571,0.023590,0.035407", \ - "0.012505,0.013275,0.014199,0.015890,0.018942,0.024668,0.036249", \ - "0.014369,0.015178,0.016150,0.017886,0.020925,0.026437,0.037465"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.014786,0.017634,0.021336,0.028721,0.043458,0.072851,0.131503", \ - "0.014788,0.017636,0.021336,0.028721,0.043459,0.072848,0.131498", \ - "0.014824,0.017649,0.021341,0.028722,0.043459,0.072854,0.131494", \ - "0.013903,0.016894,0.020740,0.028448,0.043458,0.072851,0.131493", \ - "0.013489,0.016173,0.019726,0.026958,0.041783,0.071979,0.131478", \ - "0.014177,0.016681,0.020065,0.027077,0.041487,0.070680,0.130217", \ - "0.015552,0.017884,0.021030,0.027671,0.041773,0.070748,0.129124"); - } - } - - timing () { - - related_pin : "A"; - when : "B"; - sdf_cond : "(B == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.007556,0.008857,0.010530,0.013848,0.020439,0.033575,0.059811", \ - "0.008871,0.010191,0.011889,0.015240,0.021869,0.035039,0.061298", \ - "0.012337,0.014217,0.016419,0.020269,0.026906,0.040044,0.066295", \ - "0.013953,0.016689,0.019909,0.025568,0.035011,0.050008,0.076038", \ - "0.013172,0.016789,0.021036,0.028495,0.040981,0.060954,0.091691", \ - "0.009646,0.014175,0.019467,0.028766,0.044314,0.069203,0.107741", \ - "0.003203,0.008585,0.014902,0.026044,0.044710,0.074553,0.120828"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.015901,0.019185,0.023364,0.031561,0.047705,0.079727,0.143554", \ - "0.016569,0.019866,0.024097,0.032414,0.048734,0.080935,0.144900", \ - "0.022282,0.025223,0.029180,0.037233,0.053381,0.085568,0.149643", \ - "0.031109,0.035219,0.040114,0.048868,0.064426,0.095982,0.159613", \ - "0.041204,0.046252,0.052305,0.063286,0.082234,0.113984,0.176567", \ - "0.053159,0.059023,0.066085,0.078973,0.101555,0.139230,0.201956", \ - "0.067099,0.073799,0.081845,0.096501,0.122281,0.165945,0.236578"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.004299,0.005391,0.006812,0.009655,0.015335,0.026698,0.049420", \ - "0.004293,0.005388,0.006811,0.009653,0.015337,0.026697,0.049419", \ - "0.006851,0.007721,0.008789,0.010738,0.015484,0.026698,0.049421", \ - "0.011460,0.012616,0.014002,0.016506,0.020866,0.028845,0.049421", \ - "0.017661,0.019122,0.020857,0.023963,0.029345,0.038354,0.054144", \ - "0.025540,0.027302,0.029419,0.033180,0.039588,0.050291,0.067767", \ - "0.035051,0.037194,0.039725,0.044220,0.051751,0.064116,0.084288"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.011937,0.014804,0.018494,0.025838,0.040476,0.069728,0.128212", \ - "0.011891,0.014783,0.018497,0.025845,0.040496,0.069733,0.128213", \ - "0.012509,0.014907,0.018311,0.025801,0.040491,0.069732,0.128217", \ - "0.017567,0.019980,0.022912,0.028176,0.040760,0.069745,0.128219", \ - "0.023211,0.026047,0.029580,0.036045,0.047170,0.071030,0.128232", \ - "0.030114,0.033240,0.037217,0.044682,0.057931,0.080167,0.129347", \ - "0.038655,0.041985,0.046261,0.054439,0.069371,0.094923,0.138941"); - } - } - - timing () { - - related_pin : "B"; - when : "!A"; - sdf_cond : "(A == 1'b0)"; - timing_sense : positive_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.049766,0.051138,0.052809,0.055874,0.061337,0.070966,0.088071", \ - "0.051148,0.052529,0.054215,0.057296,0.062785,0.072430,0.089552", \ - "0.056338,0.057723,0.059413,0.062510,0.068018,0.077686,0.094821", \ - "0.065325,0.066731,0.068434,0.071560,0.077118,0.086835,0.104009", \ - "0.077201,0.078724,0.080563,0.083907,0.089770,0.099832,0.117270", \ - "0.091818,0.093452,0.095440,0.099033,0.105266,0.115814,0.133784", \ - "0.109337,0.111110,0.113243,0.117079,0.123723,0.134829,0.153439"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.033813,0.037004,0.041102,0.049186,0.065171,0.096970,0.160561", \ - "0.035260,0.038448,0.042544,0.050640,0.066658,0.098492,0.162090", \ - "0.040256,0.043416,0.047490,0.055583,0.071663,0.103646,0.167396", \ - "0.045946,0.049030,0.053041,0.061140,0.077243,0.109294,0.173177", \ - "0.050253,0.053401,0.057431,0.065396,0.081228,0.113048,0.176988", \ - "0.052005,0.055260,0.059366,0.067396,0.083287,0.114990,0.178495", \ - "0.050903,0.054373,0.058653,0.066826,0.082742,0.114479,0.177942"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.009520,0.010304,0.011268,0.013075,0.016398,0.022611,0.034769", \ - "0.009500,0.010291,0.011250,0.013054,0.016380,0.022594,0.034761", \ - "0.009519,0.010298,0.011263,0.013058,0.016379,0.022590,0.034756", \ - "0.009764,0.010524,0.011460,0.013219,0.016486,0.022653,0.034789", \ - "0.010406,0.011188,0.012145,0.013918,0.017183,0.023296,0.035237", \ - "0.011349,0.012153,0.013124,0.014910,0.018158,0.024184,0.035963", \ - "0.012673,0.013495,0.014494,0.016317,0.019589,0.025583,0.037151"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.012129,0.014900,0.018537,0.025838,0.040475,0.069735,0.128221", \ - "0.012129,0.014906,0.018534,0.025838,0.040473,0.069728,0.128228", \ - "0.012153,0.014916,0.018544,0.025842,0.040473,0.069726,0.128215", \ - "0.011943,0.014735,0.018404,0.025802,0.040484,0.069734,0.128217", \ - "0.012474,0.015050,0.018486,0.025528,0.039944,0.069503,0.128220", \ - "0.013394,0.015811,0.019091,0.025969,0.040219,0.069083,0.127855", \ - "0.014773,0.017032,0.020096,0.026623,0.040604,0.069434,0.127423"); - } - } - - timing () { - - related_pin : "B"; - when : "A"; - sdf_cond : "(A == 1'b1)"; - timing_sense : negative_unate; - - cell_fall(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.008926,0.010218,0.011885,0.015193,0.021777,0.034908,0.061143", \ - "0.010260,0.011589,0.013290,0.016642,0.023269,0.036435,0.062693", \ - "0.013256,0.014878,0.016853,0.020533,0.027299,0.040551,0.066873", \ - "0.015423,0.017758,0.020500,0.025336,0.033615,0.048040,0.074579", \ - "0.015331,0.018544,0.022295,0.028819,0.039616,0.057020,0.086181", \ - "0.012501,0.016659,0.021499,0.029880,0.043654,0.065300,0.099189", \ - "0.006705,0.011837,0.017780,0.028095,0.045020,0.071461,0.111709"); - } - cell_rise(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.020762,0.023939,0.028032,0.036144,0.052239,0.084270,0.148126", \ - "0.021566,0.024783,0.028924,0.037110,0.053297,0.085417,0.149337", \ - "0.027044,0.030120,0.034136,0.042177,0.058249,0.090322,0.154266", \ - "0.037846,0.041487,0.045911,0.053931,0.069461,0.100980,0.164501", \ - "0.049932,0.054437,0.059966,0.070139,0.088019,0.119144,0.181678", \ - "0.063844,0.069114,0.075585,0.087589,0.108959,0.145201,0.207252", \ - "0.080014,0.086039,0.093376,0.106996,0.131415,0.173432,0.242314"); - } - fall_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.004299,0.005392,0.006811,0.009655,0.015336,0.026697,0.049420", \ - "0.004302,0.005391,0.006812,0.009654,0.015336,0.026699,0.049422", \ - "0.005498,0.006442,0.007690,0.010147,0.015426,0.026700,0.049420", \ - "0.008696,0.009649,0.010838,0.013170,0.017908,0.027748,0.049430", \ - "0.013392,0.014520,0.015891,0.018417,0.023084,0.032334,0.051712", \ - "0.019306,0.020655,0.022284,0.025249,0.030438,0.039766,0.058226", \ - "0.026293,0.027883,0.029844,0.033362,0.039386,0.049558,0.067924"); - } - rise_transition(Timing_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.014712,0.017593,0.021311,0.028715,0.043460,0.072857,0.131493", \ - "0.014693,0.017580,0.021306,0.028713,0.043465,0.072855,0.131495", \ - "0.014631,0.017402,0.021217,0.028696,0.043456,0.072859,0.131499", \ - "0.019064,0.021437,0.024144,0.030069,0.043456,0.072845,0.131505", \ - "0.024631,0.027551,0.031096,0.037503,0.048722,0.073630,0.131482", \ - "0.030752,0.034223,0.038432,0.046092,0.059363,0.081834,0.132248", \ - "0.037763,0.041666,0.046449,0.055225,0.070625,0.096299,0.141022"); - } - } - - internal_power () { - - related_pin : "A"; - when : "!B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("9.781310,9.790792,9.856194,9.953373,10.056260,10.120550,10.131410", \ - "9.657188,9.698967,9.743769,9.815652,9.964594,10.024550,10.045490", \ - "9.620646,9.692488,9.750372,9.836068,9.958626,10.049560,10.069490", \ - "9.696531,9.799595,9.860420,10.046430,10.185080,10.317770,10.343240", \ - "9.964936,10.071620,10.209890,10.423660,10.649880,10.853480,10.886070", \ - "10.491560,10.617660,10.737050,10.887260,11.198400,11.524710,11.737450", \ - "11.641290,11.673590,11.777130,11.934880,12.193780,12.513160,12.754360"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("8.118003,8.102904,8.065275,7.971609,7.853802,7.510289,7.446742", \ - "8.058910,8.081784,8.049978,7.969386,7.848380,7.700021,7.413304", \ - "7.710064,7.709623,7.696480,7.715833,7.652647,7.592975,7.385826", \ - "7.572158,7.633353,7.628947,7.664623,7.639290,7.614468,7.550287", \ - "8.074113,8.057273,7.977521,7.976671,8.009119,7.933015,8.042653", \ - "9.027917,9.032627,9.011468,8.938690,8.835185,8.885662,8.852354", \ - "10.091660,10.152030,10.260400,10.291950,10.253680,10.079730,10.135030"); - } - } - - internal_power () { - - related_pin : "A"; - when : "B"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("0.274940,0.291115,0.307115,0.309860,0.326632,0.337843,0.347722", \ - "0.237209,0.255325,0.288680,0.323022,0.361669,0.393525,0.415444", \ - "0.277510,0.253688,0.271917,0.299412,0.350100,0.395217,0.438896", \ - "0.899141,0.817443,0.725831,0.591779,0.474103,0.468094,0.485257", \ - "1.877468,1.760726,1.637492,1.425556,1.134706,0.813805,0.696686", \ - "3.242594,3.151290,3.020929,2.766801,2.325311,1.748640,1.224809", \ - "4.958671,4.883400,4.811061,4.574182,4.075904,3.255944,2.317349"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("5.727688,5.820692,5.877298,5.937542,6.003240,5.992065,6.056346", \ - "5.513747,5.612927,5.649624,5.729137,5.855835,5.945970,5.983788", \ - "5.609197,5.620781,5.624867,5.695901,5.656865,5.810054,5.874072", \ - "6.149299,6.130432,6.107829,6.015367,5.946167,5.964261,5.929586", \ - "6.777965,6.822958,6.932896,6.949793,6.663165,6.532187,6.078882", \ - "8.243519,8.149929,8.141014,8.066111,8.041652,7.685959,7.230953", \ - "10.423180,10.296290,10.164370,9.921880,9.716459,9.326371,8.611944"); - } - } - - internal_power () { - - related_pin : "B"; - when : "!A"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("10.455010,10.544790,10.608320,10.717890,10.821420,10.912880,10.925450", \ - "10.336550,10.435620,10.535500,10.620030,10.745370,10.849990,10.867810", \ - "10.261150,10.343400,10.418650,10.547010,10.688680,10.806810,10.827610", \ - "10.098190,10.179930,10.280970,10.417160,10.612990,10.756490,10.802080", \ - "9.869507,10.042100,10.183400,10.412950,10.700210,10.916830,11.011100", \ - "10.065110,10.194690,10.323520,10.535200,10.868320,11.251570,11.553640", \ - "10.791060,10.928770,11.041080,11.250260,11.534440,11.941680,12.255750"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("7.046677,7.120192,7.130224,7.186970,7.164050,7.194389,7.018311", \ - "6.996798,7.015874,7.079781,7.132737,7.090494,7.127706,6.919733", \ - "6.909414,6.924549,6.929055,6.980890,6.982089,7.092193,6.846454", \ - "6.950012,6.990521,7.008292,7.016884,7.041186,7.064958,6.896014", \ - "7.570033,7.528308,7.460876,7.361821,7.428118,7.508219,7.586076", \ - "8.530397,8.515042,8.512025,8.439459,8.239392,8.182653,8.210614", \ - "9.757573,9.776354,9.774964,9.755072,9.652195,9.389425,9.355853"); - } - } - - internal_power () { - - related_pin : "B"; - when : "A"; - - fall_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("-0.000616,-0.000716,-0.000844,-0.001093,-0.001570,-0.002457,-0.004049", \ - "-0.000799,-0.000898,-0.001024,-0.001271,-0.001742,-0.002620,-0.004200", \ - "-0.001397,-0.001490,-0.001608,-0.001841,-0.002289,-0.003130,-0.004658", \ - "-0.002453,-0.002560,-0.002691,-0.002935,-0.003370,-0.004166,-0.005637", \ - "0.635947,0.557835,0.479153,0.332968,0.108933,-0.005848,-0.007262", \ - "1.651984,1.571091,1.477994,1.305191,0.993594,0.560994,0.118202", \ - "2.958398,2.894579,2.798020,2.621552,2.288414,1.698110,0.963781"); - } - rise_power(Power_7_7) { - index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); - index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); - values ("7.722681,7.747172,7.799404,7.794008,7.783742,7.844600,7.818181", \ - "7.546855,7.622734,7.671877,7.679324,7.688438,7.772913,7.723404", \ - "7.632548,7.651670,7.646903,7.638108,7.717649,7.627273,7.647443", \ - "8.189798,8.164145,8.127663,8.081606,8.009166,7.930619,7.750263", \ - "8.849143,8.964366,9.045240,8.993941,8.803389,8.483096,8.276985", \ - "10.123050,10.147580,10.163340,10.221360,10.191240,9.756677,9.162168", \ - "12.139770,12.120900,12.059480,11.993070,11.831940,11.464830,10.576070"); - } - } - } - - } - -} -/* -* End of file -*/ diff --git a/examples/nangate45_typ.lib.gz b/examples/nangate45_typ.lib.gz new file mode 100644 index 00000000..f4ac64c6 Binary files /dev/null and b/examples/nangate45_typ.lib.gz differ diff --git a/examples/power.tcl b/examples/power.tcl index 51b616c1..bf7a0507 100644 --- a/examples/power.tcl +++ b/examples/power.tcl @@ -1,5 +1,5 @@ # report_power gcd -read_liberty sky130hd_tt.lib +read_liberty sky130hd_tt.lib.gz read_verilog gcd_sky130hd.v link_design gcd diff --git a/examples/power_vcd.tcl b/examples/power_vcd.tcl index 545faecd..94eb971b 100644 --- a/examples/power_vcd.tcl +++ b/examples/power_vcd.tcl @@ -1,5 +1,5 @@ # read_vcd_activities gcd -read_liberty sky130hd_tt.lib +read_liberty sky130hd_tt.lib.gz read_verilog gcd_sky130hd.v link_design gcd diff --git a/examples/sdf_delays.tcl b/examples/sdf_delays.tcl index 92004af4..49dfcec9 100644 --- a/examples/sdf_delays.tcl +++ b/examples/sdf_delays.tcl @@ -1,5 +1,5 @@ # sdf example -read_liberty nangate45_slow.lib +read_liberty nangate45_slow.lib.gz read_verilog example1.v link_design top read_sdf example1.sdf diff --git a/examples/sky130hd_tt.lib b/examples/sky130hd_tt.lib deleted file mode 100644 index dcef46fe..00000000 --- a/examples/sky130hd_tt.lib +++ /dev/null @@ -1,173160 +0,0 @@ -library ("sky130_fd_sc_hd__tt_025C_1v80") { - define(def_sim_opt,library,string); - define(default_arc_mode,library,string); - define(default_constraint_arc_mode,library,string); - define(driver_model,library,string); - define(leakage_sim_opt,library,string); - define(min_pulse_width_mode,library,string); - define(simulator,library,string); - define(switching_power_split_model,library,string); - define(sim_opt,timing,string); - define(violation_delay_degrade_pct,timing,string); - technology("cmos"); - delay_model : "table_lookup"; - bus_naming_style : "%s[%d]"; - time_unit : "1ns"; - voltage_unit : "1V"; - leakage_power_unit : "1nW"; - current_unit : "1mA"; - pulling_resistance_unit : "1kohm"; - capacitive_load_unit(1.0000000000, "pf"); - revision : 1.0000000000; - default_cell_leakage_power : 0.0000000000; - default_fanout_load : 1.0; - default_inout_pin_cap : 0.0000000000; - default_input_pin_cap : 0.0000000000; - default_max_transition : 1.5000000000; - default_output_pin_cap : 0.0000000000; - default_arc_mode : "worst_edges"; - default_constraint_arc_mode : "worst"; - default_leakage_power_density : 0.0000000000; - operating_conditions ("tt_025C_1v80") { - voltage : 1.8000000000; - process : 1.0000000000; - temperature : 25.000000000; - tree_type : "balanced_tree"; - } - default_operating_conditions : "tt_025C_1v80"; - - /* Wire load tables */ - - wire_load("Small") { - capacitance : 1.42e-05; - resistance : 0.0745; - slope : 8.3631; - fanout_length( 1, 23.2746); - fanout_length( 2, 32.1136); - fanout_length( 3, 48.4862); - fanout_length( 4, 64.0974); - fanout_length( 5, 86.2649); - fanout_length( 6, 84.2649); - } - - - wire_load("Medium") { - capacitance : 1.42e-05; - resistance : 0.0745; - slope : 8.3631; - fanout_length( 1, 23.2746); - fanout_length( 2, 32.1136); - fanout_length( 3, 48.4862); - fanout_length( 4, 64.0974); - fanout_length( 5, 86.2649); - fanout_length( 6, 84.2649); - } - - wire_load("Large") { - capacitance : 1.42e-05; - resistance : 0.0745; - slope : 8.3631; - fanout_length( 1, 23.2746); - fanout_length( 2, 32.1136); - fanout_length( 3, 48.4862); - fanout_length( 4, 64.0974); - fanout_length( 5, 86.2649); - fanout_length( 6, 84.2649); - } - - - wire_load("Huge") { - capacitance : 1.42e-05; - resistance : 0.0745; - slope : 8.3631; - fanout_length( 1, 23.2746); - fanout_length( 2, 32.1136); - fanout_length( 3, 48.4862); - fanout_length( 4, 64.0974); - fanout_length( 5, 86.2649); - fanout_length( 6, 84.2649); - } - - - default_wire_load : "Small" ; - default_wire_load_mode : top; - - power_lut_template ("power_inputs_1") { - variable_1 : "input_transition_time"; - index_1("1, 2, 3, 4, 5, 6, 7"); - } - power_lut_template ("power_outputs_1") { - variable_1 : "input_transition_time"; - variable_2 : "total_output_net_capacitance"; - index_1("1, 2, 3, 4, 5, 6, 7"); - index_2("1, 2, 3, 4, 5, 6, 7"); - } - lu_table_template ("constraint_3_0_1") { - variable_1 : "related_pin_transition"; - index_1("1, 2, 3"); - } - lu_table_template ("del_1_7_7") { - variable_1 : "input_net_transition"; - variable_2 : "total_output_net_capacitance"; - index_1("1, 2, 3, 4, 5, 6, 7"); - index_2("1, 2, 3, 4, 5, 6, 7"); - } - lu_table_template ("driver_waveform_template") { - variable_1 : "input_net_transition"; - variable_2 : "normalized_voltage"; - index_1("1, 2"); - index_2("1, 2"); - } - lu_table_template ("vio_3_3_1") { - variable_1 : "related_pin_transition"; - variable_2 : "constrained_pin_transition"; - index_1("1, 2, 3"); - index_2("1, 2, 3"); - } - normalized_driver_waveform ("driver_waveform_template") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224745000, 0.2823108000, 0.5000000000, 0.6507428000, 1.5000000000"); - index_2("0.0000000000, 0.5000000000, 1.0000000000"); - driver_waveform_name : "ramp"; - values("0.0000000000, 0.0083333333, 0.0166666670", \ - "0.0000000000, 0.0192088180, 0.0384176350", \ - "0.0000000000, 0.0442774400, 0.0885548810", \ - "0.0000000000, 0.1020620700, 0.2041241500", \ - "0.0000000000, 0.2352590100, 0.4705180100", \ - "0.0000000000, 0.4166666700, 0.8333333300", \ - "0.0000000000, 0.5422856800, 1.0845714000", \ - "0.0000000000, 1.2500000000, 2.5000000000"); - } - library_features("report_delay_calculation"); - voltage_map("VSS", 0.0000000000); - voltage_map("KAPWR", 1.8000000000); - voltage_map("LOWLVPWR", 1.8000000000); - voltage_map("VGND", 0.0000000000); - voltage_map("VNB", 0.0000000000); - voltage_map("VPB", 1.8000000000); - voltage_map("VPWR", 1.8000000000); - voltage_map("VPWRIN", 1.8000000000); - driver_model : "ramp"; - in_place_swap_mode : "match_footprint"; - input_threshold_pct_fall : 50.000000000; - input_threshold_pct_rise : 50.000000000; - min_pulse_width_mode : "max"; - nom_process : 1.0000000000; - nom_temperature : 25.000000000; - nom_voltage : 1.8000000000; - output_threshold_pct_fall : 50.000000000; - output_threshold_pct_rise : 50.000000000; - simulation : "true"; - slew_derate_from_library : 1.0000000000; - slew_lower_threshold_pct_fall : 20.000000000; - slew_lower_threshold_pct_rise : 20.000000000; - slew_upper_threshold_pct_fall : 80.000000000; - slew_upper_threshold_pct_rise : 80.000000000; - switching_power_split_model : "true"; - - cell ("sky130_fd_sc_hd__a2111o_1") { - leakage_power () { - value : 0.0017945000; - when : "!A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0105548000; - when : "!A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0004483000; - when : "!A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0009140000; - when : "!A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0004413000; - when : "!A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0008205000; - when : "!A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0004191000; - when : "!A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0004435000; - when : "!A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0017945000; - when : "!A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0110122000; - when : "!A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0004483000; - when : "!A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0009140000; - when : "!A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0004413000; - when : "!A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0008205000; - when : "!A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0004191000; - when : "!A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0004435000; - when : "!A1&A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0017945000; - when : "A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0108066000; - when : "A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0004483000; - when : "A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0009140000; - when : "A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0004413000; - when : "A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0008205000; - when : "A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0004191000; - when : "A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0004435000; - when : "A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0005702000; - when : "A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0020124000; - when : "A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0004360000; - when : "A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0005442000; - when : "A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0004304000; - when : "A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0005403000; - when : "A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0004162000; - when : "A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0004352000; - when : "A1&A2&B1&C1&!D1"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__a2111o"; - cell_leakage_power : 0.0016750690; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0024120000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023210000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046480000, 0.0046550000, 0.0046712000, 0.0046726000, 0.0046759000, 0.0046834000, 0.0047007000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003798300, -0.003799000, -0.003800600, -0.003794000, -0.003778800, -0.003743900, -0.003663400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025030000; - } - pin ("A2") { - capacitance : 0.0022890000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039949000, 0.0039918000, 0.0039845000, 0.0039819000, 0.0039757000, 0.0039616000, 0.0039290000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003994500, -0.003992500, -0.003987700, -0.003988100, -0.003988900, -0.003990800, -0.003995100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023900000; - } - pin ("B1") { - capacitance : 0.0024100000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041808000, 0.0041753000, 0.0041628000, 0.0041642000, 0.0041673000, 0.0041747000, 0.0041916000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003592600, -0.003620300, -0.003684100, -0.003699400, -0.003734800, -0.003816400, -0.004004400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025640000; - } - pin ("C1") { - capacitance : 0.0023750000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022080000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038916000, 0.0038899000, 0.0038862000, 0.0038879000, 0.0038919000, 0.0039010000, 0.0039220000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003421000, -0.003506000, -0.003701800, -0.003707700, -0.003721300, -0.003752600, -0.003824800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025420000; - } - pin ("D1") { - capacitance : 0.0023470000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026200000, 0.0026165000, 0.0026083000, 0.0026152000, 0.0026309000, 0.0026673000, 0.0027511000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001786200, -0.001790900, -0.001801700, -0.001800500, -0.001797600, -0.001791100, -0.001775900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025600000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2) | (B1) | (C1) | (D1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013283360, 0.0035289520, 0.0093752650, 0.0249070000, 0.0661697100, 0.1757912000"); - values("0.0149448000, 0.0139985000, 0.0115268000, 0.0038442000, -0.019194400, -0.085157200, -0.262589100", \ - "0.0147160000, 0.0137918000, 0.0113018000, 0.0037331000, -0.019396600, -0.085299900, -0.262778400", \ - "0.0144236000, 0.0134912000, 0.0109864000, 0.0034834000, -0.019690600, -0.085629300, -0.263070800", \ - "0.0141531000, 0.0132663000, 0.0107662000, 0.0031863000, -0.019922000, -0.085836900, -0.263276000", \ - "0.0140122000, 0.0130803000, 0.0105792000, 0.0029654000, -0.020154400, -0.086031500, -0.263476000", \ - "0.0139266000, 0.0129691000, 0.0104978000, 0.0029385000, -0.020232200, -0.086190200, -0.263585100", \ - "0.0187978000, 0.0174192000, 0.0137610000, 0.0042739000, -0.020785300, -0.086252600, -0.263670200"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013283360, 0.0035289520, 0.0093752650, 0.0249070000, 0.0661697100, 0.1757912000"); - values("0.0153500000, 0.0169853000, 0.0211357000, 0.0314025000, 0.0569639000, 0.1233940000, 0.3003729000", \ - "0.0152378000, 0.0168750000, 0.0210689000, 0.0312760000, 0.0568628000, 0.1239250000, 0.2986911000", \ - "0.0151158000, 0.0167507000, 0.0209164000, 0.0310910000, 0.0566883000, 0.1236972000, 0.2987928000", \ - "0.0150866000, 0.0166901000, 0.0207649000, 0.0307967000, 0.0564069000, 0.1233938000, 0.2985778000", \ - "0.0151123000, 0.0166682000, 0.0205879000, 0.0305136000, 0.0560457000, 0.1226545000, 0.2998661000", \ - "0.0163157000, 0.0176711000, 0.0212556000, 0.0304690000, 0.0559323000, 0.1222240000, 0.2998603000", \ - "0.0178701000, 0.0191675000, 0.0226900000, 0.0318558000, 0.0568748000, 0.1237405000, 0.2991926000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013283360, 0.0035289520, 0.0093752650, 0.0249070000, 0.0661697100, 0.1757912000"); - values("0.0177688000, 0.0168299000, 0.0142861000, 0.0066822000, -0.016319900, -0.082109800, -0.259423700", \ - "0.0176804000, 0.0167172000, 0.0142017000, 0.0066413000, -0.016408800, -0.082205800, -0.259522400", \ - "0.0175901000, 0.0166197000, 0.0140953000, 0.0064727000, -0.016549100, -0.082386900, -0.259720900", \ - "0.0173737000, 0.0164476000, 0.0138884000, 0.0063834000, -0.016683800, -0.082513100, -0.259856100", \ - "0.0172762000, 0.0163347000, 0.0137972000, 0.0061874000, -0.016859100, -0.082647900, -0.259941500", \ - "0.0172882000, 0.0163133000, 0.0137673000, 0.0061912000, -0.016884300, -0.082680400, -0.259993300", \ - "0.0222256000, 0.0208098000, 0.0172616000, 0.0077077000, -0.017407300, -0.082739000, -0.260040600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013283360, 0.0035289520, 0.0093752650, 0.0249070000, 0.0661697100, 0.1757912000"); - values("0.0154630000, 0.0171039000, 0.0212946000, 0.0314867000, 0.0570929000, 0.1241113000, 0.2991735000", \ - "0.0153715000, 0.0170041000, 0.0211497000, 0.0313910000, 0.0569623000, 0.1233660000, 0.3003458000", \ - "0.0152263000, 0.0168629000, 0.0210286000, 0.0312179000, 0.0568479000, 0.1238692000, 0.2989113000", \ - "0.0152516000, 0.0168509000, 0.0209523000, 0.0310704000, 0.0566701000, 0.1230209000, 0.2989175000", \ - "0.0153090000, 0.0168360000, 0.0208473000, 0.0308938000, 0.0564059000, 0.1235849000, 0.3000059000", \ - "0.0165996000, 0.0179311000, 0.0215319000, 0.0307623000, 0.0563253000, 0.1225837000, 0.2999410000", \ - "0.0177203000, 0.0190705000, 0.0227514000, 0.0319051000, 0.0568276000, 0.1236012000, 0.2981184000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013283360, 0.0035289520, 0.0093752650, 0.0249070000, 0.0661697100, 0.1757912000"); - values("0.0152325000, 0.0142988000, 0.0117558000, 0.0042041000, -0.018841500, -0.084629500, -0.261976400", \ - "0.0150779000, 0.0141426000, 0.0116163000, 0.0040504000, -0.018999900, -0.084791600, -0.262141100", \ - "0.0148576000, 0.0140208000, 0.0113858000, 0.0038932000, -0.019182100, -0.084994700, -0.262357000", \ - "0.0147100000, 0.0137563000, 0.0112714000, 0.0035870000, -0.019378500, -0.085169100, -0.262502100", \ - "0.0145976000, 0.0136425000, 0.0110788000, 0.0035609000, -0.019540400, -0.085320100, -0.262668100", \ - "0.0145563000, 0.0135616000, 0.0111124000, 0.0034657000, -0.019647000, -0.085444000, -0.262746200", \ - "0.0192461000, 0.0177695000, 0.0140674000, 0.0045185000, -0.020161700, -0.085581300, -0.262812600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013283360, 0.0035289520, 0.0093752650, 0.0249070000, 0.0661697100, 0.1757912000"); - values("0.0136037000, 0.0151354000, 0.0190044000, 0.0287797000, 0.0541618000, 0.1202089000, 0.2956793000", \ - "0.0136124000, 0.0151408000, 0.0190153000, 0.0287982000, 0.0539343000, 0.1206643000, 0.2970734000", \ - "0.0136595000, 0.0151735000, 0.0190036000, 0.0287632000, 0.0539043000, 0.1200105000, 0.2970331000", \ - "0.0135001000, 0.0149856000, 0.0187653000, 0.0284921000, 0.0536734000, 0.1205940000, 0.2954956000", \ - "0.0136467000, 0.0149833000, 0.0186257000, 0.0282559000, 0.0534121000, 0.1197658000, 0.2967698000", \ - "0.0142192000, 0.0155130000, 0.0191179000, 0.0285716000, 0.0536785000, 0.1195655000, 0.2968778000", \ - "0.0154766000, 0.0167674000, 0.0202604000, 0.0297396000, 0.0547390000, 0.1211301000, 0.2965006000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013283360, 0.0035289520, 0.0093752650, 0.0249070000, 0.0661697100, 0.1757912000"); - values("0.0131315000, 0.0121536000, 0.0096917000, 0.0019803000, -0.020947100, -0.086742900, -0.264127900", \ - "0.0129489000, 0.0120088000, 0.0095430000, 0.0019071000, -0.021145700, -0.086931500, -0.264289200", \ - "0.0127408000, 0.0118048000, 0.0092526000, 0.0017947000, -0.021313400, -0.087160500, -0.264489300", \ - "0.0126146000, 0.0116513000, 0.0091059000, 0.0015122000, -0.021503100, -0.087334800, -0.264656100", \ - "0.0124613000, 0.0115069000, 0.0089404000, 0.0014199000, -0.021668000, -0.087453000, -0.264800600", \ - "0.0124760000, 0.0114395000, 0.0089030000, 0.0012695000, -0.021829400, -0.087623200, -0.264909400", \ - "0.0175837000, 0.0160903000, 0.0123658000, 0.0027698000, -0.022078400, -0.087111400, -0.264542300"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013283360, 0.0035289520, 0.0093752650, 0.0249070000, 0.0661697100, 0.1757912000"); - values("0.0124139000, 0.0138752000, 0.0176428000, 0.0272907000, 0.0525966000, 0.1190191000, 0.2951986000", \ - "0.0124985000, 0.0139573000, 0.0177238000, 0.0273553000, 0.0524772000, 0.1185900000, 0.2940638000", \ - "0.0126462000, 0.0140932000, 0.0178367000, 0.0274606000, 0.0527777000, 0.1186275000, 0.2941056000", \ - "0.0124771000, 0.0138953000, 0.0176038000, 0.0272050000, 0.0523594000, 0.1185878000, 0.2941119000", \ - "0.0125711000, 0.0139316000, 0.0174439000, 0.0269914000, 0.0520705000, 0.1183376000, 0.2954959000", \ - "0.0126989000, 0.0140337000, 0.0176523000, 0.0271154000, 0.0521863000, 0.1185945000, 0.2937155000", \ - "0.0140074000, 0.0152955000, 0.0188319000, 0.0282826000, 0.0532835000, 0.1197277000, 0.2951247000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013283360, 0.0035289520, 0.0093752650, 0.0249070000, 0.0661697100, 0.1757912000"); - values("0.0123280000, 0.0113958000, 0.0089248000, 0.0013077000, -0.021766100, -0.087546400, -0.264890200", \ - "0.0121448000, 0.0111997000, 0.0086070000, 0.0010978000, -0.021962500, -0.087771300, -0.265104700", \ - "0.0119034000, 0.0108622000, 0.0084087000, 0.0008656000, -0.022237100, -0.088031300, -0.265335500", \ - "0.0116971000, 0.0107253000, 0.0082544000, 0.0006750000, -0.022372100, -0.088202600, -0.265520900", \ - "0.0115932000, 0.0106289000, 0.0081730000, 0.0005774000, -0.022508200, -0.088327100, -0.265625100", \ - "0.0124448000, 0.0118229000, 0.0091170000, 0.0013446000, -0.021814300, -0.087703700, -0.264998000", \ - "0.0188575000, 0.0173199000, 0.0135229000, 0.0038920000, -0.021406800, -0.086906400, -0.264171700"); - } - related_pin : "D1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013283360, 0.0035289520, 0.0093752650, 0.0249070000, 0.0661697100, 0.1757912000"); - values("0.0092569000, 0.0106562000, 0.0142655000, 0.0236749000, 0.0484970000, 0.1151158000, 0.2916287000", \ - "0.0092100000, 0.0106089000, 0.0142243000, 0.0236517000, 0.0485061000, 0.1151554000, 0.2918199000", \ - "0.0090807000, 0.0104672000, 0.0140958000, 0.0235515000, 0.0484841000, 0.1151469000, 0.2915644000", \ - "0.0088955000, 0.0102629000, 0.0138917000, 0.0233576000, 0.0483960000, 0.1151090000, 0.2908169000", \ - "0.0089571000, 0.0103281000, 0.0137447000, 0.0232338000, 0.0482307000, 0.1149365000, 0.2900442000", \ - "0.0091280000, 0.0104505000, 0.0140455000, 0.0235072000, 0.0484072000, 0.1145972000, 0.2914875000", \ - "0.0105622000, 0.0118417000, 0.0153279000, 0.0247776000, 0.0497260000, 0.1160993000, 0.2896132000"); - } - } - max_capacitance : 0.1757910000; - max_transition : 1.4999410000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.3190903000, 0.3289237000, 0.3495668000, 0.3895317000, 0.4648215000, 0.6163044000, 0.9633411000", \ - "0.3223206000, 0.3320963000, 0.3527997000, 0.3926703000, 0.4680527000, 0.6195656000, 0.9665978000", \ - "0.3323149000, 0.3421001000, 0.3625892000, 0.4023682000, 0.4776523000, 0.6293935000, 0.9767384000", \ - "0.3590631000, 0.3690398000, 0.3895445000, 0.4290070000, 0.5047419000, 0.6564694000, 1.0037350000", \ - "0.4177338000, 0.4274977000, 0.4480879000, 0.4875928000, 0.5633634000, 0.7149081000, 1.0622273000", \ - "0.5324310000, 0.5425175000, 0.5631512000, 0.6035358000, 0.6794277000, 0.8312054000, 1.1787290000", \ - "0.7254669000, 0.7366381000, 0.7595250000, 0.8035871000, 0.8850058000, 1.0440845000, 1.3957675000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0840835000, 0.0917357000, 0.1092000000, 0.1489394000, 0.2451822000, 0.4920672000, 1.1405393000", \ - "0.0882820000, 0.0959815000, 0.1134185000, 0.1530318000, 0.2492248000, 0.4960878000, 1.1430674000", \ - "0.0989385000, 0.1065995000, 0.1239122000, 0.1633020000, 0.2595427000, 0.5061135000, 1.1528150000", \ - "0.1243474000, 0.1317477000, 0.1486583000, 0.1875383000, 0.2833339000, 0.5298310000, 1.1770668000", \ - "0.1669113000, 0.1746878000, 0.1910878000, 0.2299491000, 0.3260064000, 0.5718119000, 1.2212958000", \ - "0.2187389000, 0.2278396000, 0.2464123000, 0.2849220000, 0.3800726000, 0.6263021000, 1.2752830000", \ - "0.2571726000, 0.2688372000, 0.2919402000, 0.3352114000, 0.4300004000, 0.6760532000, 1.3235429000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0390692000, 0.0458549000, 0.0611797000, 0.0907273000, 0.1569962000, 0.3131986000, 0.7382486000", \ - "0.0388887000, 0.0460319000, 0.0604493000, 0.0906267000, 0.1572972000, 0.3123424000, 0.7388531000", \ - "0.0389358000, 0.0457867000, 0.0601718000, 0.0911997000, 0.1573085000, 0.3143655000, 0.7372823000", \ - "0.0390684000, 0.0456704000, 0.0601646000, 0.0908330000, 0.1578590000, 0.3121484000, 0.7387103000", \ - "0.0389255000, 0.0459988000, 0.0602284000, 0.0908982000, 0.1559452000, 0.3123069000, 0.7389503000", \ - "0.0407768000, 0.0473649000, 0.0620398000, 0.0933479000, 0.1590979000, 0.3147353000, 0.7387339000", \ - "0.0465345000, 0.0538740000, 0.0693723000, 0.1020898000, 0.1697786000, 0.3250598000, 0.7440078000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0254432000, 0.0325754000, 0.0506950000, 0.0986632000, 0.2281000000, 0.5721540000, 1.4932626000", \ - "0.0252966000, 0.0325427000, 0.0505642000, 0.0985849000, 0.2278359000, 0.5734720000, 1.4946276000", \ - "0.0250476000, 0.0322104000, 0.0504140000, 0.0984678000, 0.2272414000, 0.5727883000, 1.4930922000", \ - "0.0247733000, 0.0317673000, 0.0496484000, 0.0978288000, 0.2268608000, 0.5718572000, 1.4914934000", \ - "0.0274668000, 0.0340614000, 0.0517402000, 0.0980554000, 0.2263613000, 0.5728195000, 1.4956867000", \ - "0.0338409000, 0.0405761000, 0.0560270000, 0.1003293000, 0.2273714000, 0.5715604000, 1.4940440000", \ - "0.0460458000, 0.0533903000, 0.0687767000, 0.1081061000, 0.2281990000, 0.5747279000, 1.4899872000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.3594698000, 0.3699910000, 0.3915546000, 0.4328507000, 0.5098555000, 0.6635300000, 1.0128205000", \ - "0.3636545000, 0.3743708000, 0.3958643000, 0.4370524000, 0.5141900000, 0.6678380000, 1.0171159000", \ - "0.3753173000, 0.3858758000, 0.4075253000, 0.4487970000, 0.5263114000, 0.6798122000, 1.0289715000", \ - "0.4020018000, 0.4125417000, 0.4338126000, 0.4750526000, 0.5523861000, 0.7061842000, 1.0551442000", \ - "0.4561451000, 0.4666785000, 0.4882129000, 0.5289315000, 0.6065682000, 0.7602695000, 1.1095891000", \ - "0.5608755000, 0.5714728000, 0.5933402000, 0.6349001000, 0.7119150000, 0.8658510000, 1.2151277000", \ - "0.7354117000, 0.7467312000, 0.7706528000, 0.8152184000, 0.8978202000, 1.0574788000, 1.4101745000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0880244000, 0.0957497000, 0.1132034000, 0.1528188000, 0.2491312000, 0.4957934000, 1.1416508000", \ - "0.0925671000, 0.1001869000, 0.1175902000, 0.1572370000, 0.2534390000, 0.5002936000, 1.1487200000", \ - "0.1023329000, 0.1099951000, 0.1273375000, 0.1668649000, 0.2628900000, 0.5097833000, 1.1558240000", \ - "0.1251233000, 0.1325927000, 0.1495871000, 0.1885837000, 0.2846892000, 0.5304560000, 1.1788474000", \ - "0.1667573000, 0.1744005000, 0.1917440000, 0.2307170000, 0.3262182000, 0.5729252000, 1.2212653000", \ - "0.2244172000, 0.2333881000, 0.2521549000, 0.2920185000, 0.3871029000, 0.6330495000, 1.2827108000", \ - "0.2818188000, 0.2933477000, 0.3159933000, 0.3591409000, 0.4547244000, 0.7007356000, 1.3472924000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0438708000, 0.0507399000, 0.0642989000, 0.0957662000, 0.1600051000, 0.3175413000, 0.7422614000", \ - "0.0436301000, 0.0502523000, 0.0645974000, 0.0958646000, 0.1602365000, 0.3175310000, 0.7427852000", \ - "0.0430019000, 0.0498861000, 0.0642083000, 0.0947925000, 0.1605816000, 0.3169968000, 0.7426369000", \ - "0.0430142000, 0.0501154000, 0.0646604000, 0.0957839000, 0.1611015000, 0.3162888000, 0.7415919000", \ - "0.0437022000, 0.0506768000, 0.0643164000, 0.0951563000, 0.1596460000, 0.3177603000, 0.7395570000", \ - "0.0442002000, 0.0509747000, 0.0651941000, 0.0956620000, 0.1605663000, 0.3179341000, 0.7424278000", \ - "0.0504117000, 0.0573907000, 0.0741602000, 0.1043977000, 0.1706796000, 0.3264520000, 0.7457623000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0253370000, 0.0325569000, 0.0506810000, 0.0987801000, 0.2274845000, 0.5733150000, 1.4946702000", \ - "0.0252911000, 0.0324111000, 0.0505358000, 0.0985369000, 0.2280276000, 0.5722391000, 1.4927543000", \ - "0.0251010000, 0.0322888000, 0.0504267000, 0.0984950000, 0.2278604000, 0.5734477000, 1.4949251000", \ - "0.0249341000, 0.0320615000, 0.0500063000, 0.0980978000, 0.2277742000, 0.5726755000, 1.4909479000", \ - "0.0271800000, 0.0342408000, 0.0514140000, 0.0979232000, 0.2269928000, 0.5722665000, 1.4925735000", \ - "0.0329031000, 0.0394216000, 0.0559004000, 0.1002220000, 0.2270916000, 0.5724417000, 1.4956580000", \ - "0.0434918000, 0.0510931000, 0.0676624000, 0.1080043000, 0.2288458000, 0.5738863000, 1.4926184000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.3393341000, 0.3498677000, 0.3714714000, 0.4128207000, 0.4898030000, 0.6434701000, 0.9927731000", \ - "0.3417429000, 0.3522357000, 0.3739633000, 0.4151378000, 0.4921604000, 0.6458582000, 0.9951169000", \ - "0.3510458000, 0.3614695000, 0.3830864000, 0.4240720000, 0.5013865000, 0.6552513000, 1.0044376000", \ - "0.3761652000, 0.3865262000, 0.4082083000, 0.4494436000, 0.5263351000, 0.6800285000, 1.0292270000", \ - "0.4326281000, 0.4433414000, 0.4647781000, 0.5056752000, 0.5832019000, 0.7368999000, 1.0861703000", \ - "0.5536628000, 0.5643304000, 0.5862446000, 0.6280919000, 0.7052632000, 0.8591741000, 1.2084369000", \ - "0.7741325000, 0.7860201000, 0.8107316000, 0.8568278000, 0.9412007000, 1.1024732000, 1.4563075000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0636804000, 0.0702286000, 0.0854631000, 0.1220142000, 0.2152184000, 0.4587050000, 1.1044814000", \ - "0.0684957000, 0.0750651000, 0.0902833000, 0.1268027000, 0.2196850000, 0.4640861000, 1.1113639000", \ - "0.0798744000, 0.0863642000, 0.1014471000, 0.1378466000, 0.2307515000, 0.4751651000, 1.1226310000", \ - "0.1042312000, 0.1107012000, 0.1256886000, 0.1618500000, 0.2551892000, 0.4991096000, 1.1437774000", \ - "0.1388102000, 0.1461107000, 0.1619729000, 0.1984673000, 0.2913217000, 0.5355452000, 1.1841924000", \ - "0.1742402000, 0.1833706000, 0.2020458000, 0.2399973000, 0.3330112000, 0.5767720000, 1.2250434000", \ - "0.1871855000, 0.1994102000, 0.2237630000, 0.2678604000, 0.3616296000, 0.6062204000, 1.2511664000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0437775000, 0.0507887000, 0.0645389000, 0.0957586000, 0.1614305000, 0.3175049000, 0.7427157000", \ - "0.0437038000, 0.0507091000, 0.0647523000, 0.0945574000, 0.1614945000, 0.3174732000, 0.7433115000", \ - "0.0430285000, 0.0502587000, 0.0643410000, 0.0961732000, 0.1611690000, 0.3176947000, 0.7398721000", \ - "0.0430522000, 0.0497144000, 0.0652657000, 0.0945576000, 0.1614348000, 0.3172590000, 0.7432553000", \ - "0.0434596000, 0.0502163000, 0.0643508000, 0.0961523000, 0.1619920000, 0.3181203000, 0.7404354000", \ - "0.0455721000, 0.0520366000, 0.0662925000, 0.0972447000, 0.1626215000, 0.3182720000, 0.7423014000", \ - "0.0539693000, 0.0609033000, 0.0778256000, 0.1081410000, 0.1753711000, 0.3279352000, 0.7441815000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0210657000, 0.0275411000, 0.0448885000, 0.0927056000, 0.2229618000, 0.5681204000, 1.4929451000", \ - "0.0210419000, 0.0275286000, 0.0449262000, 0.0927037000, 0.2224762000, 0.5691120000, 1.4940214000", \ - "0.0208809000, 0.0273894000, 0.0448075000, 0.0926644000, 0.2223974000, 0.5694518000, 1.4935460000", \ - "0.0216975000, 0.0278821000, 0.0449000000, 0.0923978000, 0.2223780000, 0.5694746000, 1.4886246000", \ - "0.0261871000, 0.0320026000, 0.0476385000, 0.0935079000, 0.2227658000, 0.5701083000, 1.4915755000", \ - "0.0351052000, 0.0405499000, 0.0549873000, 0.0968749000, 0.2233602000, 0.5679143000, 1.4940095000", \ - "0.0482355000, 0.0551261000, 0.0701301000, 0.1079765000, 0.2261601000, 0.5714155000, 1.4894329000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.3011523000, 0.3115468000, 0.3332296000, 0.3745168000, 0.4511412000, 0.6049143000, 0.9539955000", \ - "0.3033876000, 0.3137829000, 0.3354221000, 0.3768289000, 0.4542033000, 0.6070895000, 0.9560340000", \ - "0.3119252000, 0.3225163000, 0.3440170000, 0.3848583000, 0.4624144000, 0.6161989000, 0.9652688000", \ - "0.3359958000, 0.3464662000, 0.3680553000, 0.4094126000, 0.4868986000, 0.6404866000, 0.9896872000", \ - "0.3936973000, 0.4044269000, 0.4258908000, 0.4669254000, 0.5442725000, 0.6980646000, 1.0472715000", \ - "0.5249393000, 0.5356697000, 0.5577225000, 0.5996074000, 0.6775812000, 0.8317315000, 1.1811114000", \ - "0.7650701000, 0.7773504000, 0.8031371000, 0.8496877000, 0.9341286000, 1.0925684000, 1.4465286000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0602701000, 0.0666016000, 0.0813854000, 0.1172064000, 0.2097006000, 0.4537443000, 1.1012151000", \ - "0.0651033000, 0.0714248000, 0.0861847000, 0.1220496000, 0.2143847000, 0.4577487000, 1.1033011000", \ - "0.0762066000, 0.0824837000, 0.0971569000, 0.1329918000, 0.2257849000, 0.4687789000, 1.1147419000", \ - "0.0990028000, 0.1053889000, 0.1201538000, 0.1559731000, 0.2483493000, 0.4922118000, 1.1383721000", \ - "0.1295375000, 0.1368108000, 0.1525907000, 0.1888009000, 0.2812161000, 0.5252799000, 1.1712337000", \ - "0.1582018000, 0.1677070000, 0.1864661000, 0.2245319000, 0.3171472000, 0.5608062000, 1.2071658000", \ - "0.1590991000, 0.1717613000, 0.1967573000, 0.2417342000, 0.3354891000, 0.5796997000, 1.2249126000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0432811000, 0.0497532000, 0.0654149000, 0.0945718000, 0.1610237000, 0.3166531000, 0.7438703000", \ - "0.0430168000, 0.0497754000, 0.0654591000, 0.0946862000, 0.1595373000, 0.3161698000, 0.7427433000", \ - "0.0431449000, 0.0501829000, 0.0642839000, 0.0951441000, 0.1614036000, 0.3165402000, 0.7413028000", \ - "0.0431803000, 0.0498549000, 0.0642295000, 0.0948111000, 0.1609677000, 0.3172239000, 0.7426638000", \ - "0.0433990000, 0.0501725000, 0.0643075000, 0.0954885000, 0.1611962000, 0.3177330000, 0.7411498000", \ - "0.0459210000, 0.0527959000, 0.0672237000, 0.0964296000, 0.1625705000, 0.3181032000, 0.7423723000", \ - "0.0582208000, 0.0658259000, 0.0801414000, 0.1109312000, 0.1752642000, 0.3288714000, 0.7462974000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0200969000, 0.0264554000, 0.0437325000, 0.0915487000, 0.2224807000, 0.5698914000, 1.4919915000", \ - "0.0200713000, 0.0264505000, 0.0436950000, 0.0916467000, 0.2223056000, 0.5693364000, 1.4906854000", \ - "0.0200495000, 0.0264587000, 0.0437539000, 0.0914765000, 0.2219544000, 0.5697729000, 1.4944505000", \ - "0.0213702000, 0.0274396000, 0.0442047000, 0.0916843000, 0.2221821000, 0.5700579000, 1.4937632000", \ - "0.0261287000, 0.0317806000, 0.0472286000, 0.0929114000, 0.2217534000, 0.5700935000, 1.4942241000", \ - "0.0355943000, 0.0412962000, 0.0553871000, 0.0966399000, 0.2231374000, 0.5678676000, 1.4930703000", \ - "0.0497108000, 0.0568038000, 0.0723863000, 0.1094207000, 0.2261709000, 0.5720945000, 1.4877709000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.2473589000, 0.2578767000, 0.2795370000, 0.3209178000, 0.3979260000, 0.5516649000, 0.9009031000", \ - "0.2485475000, 0.2589751000, 0.2805662000, 0.3216066000, 0.3989378000, 0.5530332000, 0.9021137000", \ - "0.2546061000, 0.2648829000, 0.2864597000, 0.3277259000, 0.4051399000, 0.5588892000, 0.9081983000", \ - "0.2778454000, 0.2881622000, 0.3097453000, 0.3511371000, 0.4285267000, 0.5819825000, 0.9310154000", \ - "0.3367872000, 0.3472485000, 0.3687806000, 0.4099680000, 0.4874922000, 0.6410074000, 0.9900076000", \ - "0.4787370000, 0.4893705000, 0.5110559000, 0.5519556000, 0.6289129000, 0.7825909000, 1.1316854000", \ - "0.7192442000, 0.7318585000, 0.7585345000, 0.8047458000, 0.8852681000, 1.0415516000, 1.3958803000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0535721000, 0.0596494000, 0.0738941000, 0.1088234000, 0.2001901000, 0.4439459000, 1.0945471000", \ - "0.0583437000, 0.0644130000, 0.0786526000, 0.1137599000, 0.2053974000, 0.4486207000, 1.0967097000", \ - "0.0692800000, 0.0753079000, 0.0895226000, 0.1247745000, 0.2167038000, 0.4602358000, 1.1118598000", \ - "0.0897087000, 0.0960593000, 0.1106440000, 0.1459227000, 0.2382114000, 0.4811504000, 1.1297338000", \ - "0.1150310000, 0.1224717000, 0.1382904000, 0.1742716000, 0.2662983000, 0.5106973000, 1.1551733000", \ - "0.1352070000, 0.1452878000, 0.1646696000, 0.2030699000, 0.2951973000, 0.5385046000, 1.1866097000", \ - "0.1239338000, 0.1372535000, 0.1636653000, 0.2100409000, 0.3044862000, 0.5469789000, 1.1928603000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0429595000, 0.0496558000, 0.0653937000, 0.0946086000, 0.1599681000, 0.3173342000, 0.7433879000", \ - "0.0432642000, 0.0505936000, 0.0643092000, 0.0957585000, 0.1613658000, 0.3163494000, 0.7412822000", \ - "0.0432018000, 0.0501548000, 0.0647752000, 0.0954436000, 0.1595072000, 0.3174440000, 0.7414550000", \ - "0.0432442000, 0.0498363000, 0.0645903000, 0.0951084000, 0.1605607000, 0.3161500000, 0.7409089000", \ - "0.0427671000, 0.0493969000, 0.0649966000, 0.0956289000, 0.1616674000, 0.3164569000, 0.7415055000", \ - "0.0466531000, 0.0533440000, 0.0665588000, 0.0959869000, 0.1621598000, 0.3162744000, 0.7416454000", \ - "0.0623616000, 0.0692327000, 0.0838778000, 0.1109674000, 0.1714782000, 0.3257857000, 0.7470813000"); - } - related_pin : "D1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013283400, 0.0035289500, 0.0093752600, 0.0249070000, 0.0661697000, 0.1757910000"); - values("0.0193710000, 0.0257544000, 0.0430966000, 0.0911177000, 0.2218338000, 0.5707849000, 1.4998913000", \ - "0.0193748000, 0.0257785000, 0.0430416000, 0.0911081000, 0.2214844000, 0.5705008000, 1.4999412000", \ - "0.0195016000, 0.0258628000, 0.0431215000, 0.0911922000, 0.2216915000, 0.5710344000, 1.4998575000", \ - "0.0216109000, 0.0275779000, 0.0440799000, 0.0911708000, 0.2217520000, 0.5712891000, 1.4970206000", \ - "0.0272298000, 0.0326565000, 0.0476733000, 0.0928503000, 0.2215701000, 0.5704672000, 1.4925718000", \ - "0.0377072000, 0.0436114000, 0.0574321000, 0.0973387000, 0.2226521000, 0.5670922000, 1.4942881000", \ - "0.0536088000, 0.0610433000, 0.0763432000, 0.1126354000, 0.2266385000, 0.5732466000, 1.4889554000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a2111o_2") { - leakage_power () { - value : 0.0021893000; - when : "!A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0093488000; - when : "!A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0009247000; - when : "!A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0013982000; - when : "!A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0009175000; - when : "!A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0013030000; - when : "!A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0008951000; - when : "!A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0009198000; - when : "!A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0021893000; - when : "!A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0098256000; - when : "!A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0009247000; - when : "!A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0013982000; - when : "!A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0009175000; - when : "!A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0013030000; - when : "!A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0008951000; - when : "!A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0009198000; - when : "!A1&A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0021894000; - when : "A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0096205000; - when : "A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0009247000; - when : "A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0013982000; - when : "A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0009175000; - when : "A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0013030000; - when : "A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0008951000; - when : "A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0009198000; - when : "A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0010558000; - when : "A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0026066000; - when : "A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0009145000; - when : "A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0010283000; - when : "A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0009085000; - when : "A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0010235000; - when : "A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0008939000; - when : "A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0009118000; - when : "A1&A2&B1&C1&!D1"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__a2111o"; - cell_leakage_power : 0.0019931500; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0024650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045623000, 0.0045609000, 0.0045576000, 0.0045568000, 0.0045549000, 0.0045506000, 0.0045407000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003503400, -0.003507500, -0.003516800, -0.003508400, -0.003489100, -0.003444500, -0.003341700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025580000; - } - pin ("A2") { - capacitance : 0.0023940000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040955000, 0.0040975000, 0.0041022000, 0.0041044000, 0.0041096000, 0.0041215000, 0.0041489000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004095600, -0.004093400, -0.004088500, -0.004090400, -0.004094600, -0.004104300, -0.004126800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025000000; - } - pin ("B1") { - capacitance : 0.0023330000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021870000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038545000, 0.0038513000, 0.0038440000, 0.0038451000, 0.0038476000, 0.0038534000, 0.0038669000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003255300, -0.003289000, -0.003366800, -0.003382700, -0.003419500, -0.003504300, -0.003699800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024780000; - } - pin ("C1") { - capacitance : 0.0024560000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038798000, 0.0038800000, 0.0038805000, 0.0038787000, 0.0038745000, 0.0038650000, 0.0038430000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003398500, -0.003486000, -0.003687900, -0.003693800, -0.003707300, -0.003738500, -0.003810300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026210000; - } - pin ("D1") { - capacitance : 0.0024250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022140000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025480000, 0.0025452000, 0.0025388000, 0.0025460000, 0.0025627000, 0.0026012000, 0.0026898000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001782000, -0.001785600, -0.001793900, -0.001795100, -0.001798000, -0.001804700, -0.001820000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026360000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2) | (B1) | (C1) | (D1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014709220, 0.0043272230, 0.0127300100, 0.0374497200, 0.1101712000, 0.3241066000"); - values("0.0175895000, 0.0158676000, 0.0117819000, 3.820000e-05, -0.036712700, -0.152252300, -0.498309400", \ - "0.0173684000, 0.0156923000, 0.0116244000, 3.360000e-05, -0.036874300, -0.152442000, -0.498426900", \ - "0.0171390000, 0.0154426000, 0.0114644000, -0.000210900, -0.037183100, -0.152608900, -0.498731400", \ - "0.0169597000, 0.0152000000, 0.0112480000, -0.000437100, -0.037398200, -0.152953800, -0.498972200", \ - "0.0167926000, 0.0150960000, 0.0109933000, -0.000697300, -0.037645600, -0.153155800, -0.499192800", \ - "0.0168538000, 0.0151489000, 0.0109929000, -0.000795200, -0.037743400, -0.153312200, -0.499306600", \ - "0.0221465000, 0.0202586000, 0.0151715000, 0.0013030000, -0.038313000, -0.153355700, -0.499325500"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014709220, 0.0043272230, 0.0127300100, 0.0374497200, 0.1101712000, 0.3241066000"); - values("0.0200553000, 0.0218436000, 0.0269826000, 0.0415391000, 0.0822400000, 0.1992925000, 0.5416241000", \ - "0.0199275000, 0.0216981000, 0.0268961000, 0.0414617000, 0.0821325000, 0.1992412000, 0.5418606000", \ - "0.0197869000, 0.0215853000, 0.0267458000, 0.0412731000, 0.0820155000, 0.1996551000, 0.5440988000", \ - "0.0198480000, 0.0215911000, 0.0267458000, 0.0411729000, 0.0816822000, 0.1988550000, 0.5416093000", \ - "0.0199121000, 0.0215933000, 0.0266357000, 0.0407885000, 0.0812491000, 0.1985318000, 0.5414044000", \ - "0.0213450000, 0.0228834000, 0.0275142000, 0.0409337000, 0.0806395000, 0.1976097000, 0.5402076000", \ - "0.0232385000, 0.0247265000, 0.0291767000, 0.0427054000, 0.0820477000, 0.1992730000, 0.5421347000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014709220, 0.0043272230, 0.0127300100, 0.0374497200, 0.1101712000, 0.3241066000"); - values("0.0209735000, 0.0192750000, 0.0150178000, 0.0032433000, -0.033704000, -0.149127700, -0.495054300", \ - "0.0207270000, 0.0190055000, 0.0150532000, 0.0031944000, -0.033793300, -0.149195900, -0.495136100", \ - "0.0205949000, 0.0190147000, 0.0146986000, 0.0028649000, -0.033867400, -0.149324300, -0.495265000", \ - "0.0205013000, 0.0187443000, 0.0145420000, 0.0027809000, -0.034124700, -0.149473300, -0.495342100", \ - "0.0204141000, 0.0186981000, 0.0145000000, 0.0027078000, -0.034247800, -0.149643800, -0.495519000", \ - "0.0205511000, 0.0187809000, 0.0145338000, 0.0026701000, -0.034278900, -0.149742200, -0.495628800", \ - "0.0258199000, 0.0239159000, 0.0187623000, 0.0047276000, -0.034840500, -0.149822900, -0.495700800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014709220, 0.0043272230, 0.0127300100, 0.0374497200, 0.1101712000, 0.3241066000"); - values("0.0204730000, 0.0222787000, 0.0274004000, 0.0419904000, 0.0826897000, 0.1995910000, 0.5417232000", \ - "0.0203463000, 0.0221266000, 0.0273260000, 0.0418962000, 0.0825270000, 0.1997103000, 0.5427387000", \ - "0.0202188000, 0.0220026000, 0.0271407000, 0.0417475000, 0.0823961000, 0.1994208000, 0.5418991000", \ - "0.0202261000, 0.0220051000, 0.0271655000, 0.0416155000, 0.0822512000, 0.1991828000, 0.5442334000", \ - "0.0203847000, 0.0221202000, 0.0271713000, 0.0414561000, 0.0818676000, 0.1992147000, 0.5417660000", \ - "0.0216734000, 0.0232452000, 0.0278759000, 0.0414805000, 0.0816898000, 0.1985927000, 0.5409699000", \ - "0.0233985000, 0.0248922000, 0.0293642000, 0.0428966000, 0.0828560000, 0.1997257000, 0.5410491000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014709220, 0.0043272230, 0.0127300100, 0.0374497200, 0.1101712000, 0.3241066000"); - values("0.0184993000, 0.0167684000, 0.0125626000, 0.0009522000, -0.035975900, -0.151431700, -0.497354300", \ - "0.0185150000, 0.0167981000, 0.0125541000, 0.0008786000, -0.036164200, -0.151562800, -0.497503800", \ - "0.0182310000, 0.0164631000, 0.0122769000, 0.0005292000, -0.036397800, -0.151734000, -0.497611600", \ - "0.0179864000, 0.0162575000, 0.0120672000, 0.0003103000, -0.036488600, -0.151960000, -0.497873600", \ - "0.0178809000, 0.0161521000, 0.0119664000, 0.0001525000, -0.036741300, -0.152094200, -0.498016200", \ - "0.0179581000, 0.0162168000, 0.0119354000, 0.0002101000, -0.036857600, -0.152300400, -0.498130300", \ - "0.0225252000, 0.0205951000, 0.0153827000, 0.0012780000, -0.037279500, -0.152421200, -0.498237700"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014709220, 0.0043272230, 0.0127300100, 0.0374497200, 0.1101712000, 0.3241066000"); - values("0.0185539000, 0.0203005000, 0.0253611000, 0.0394691000, 0.0797368000, 0.1963160000, 0.5406157000", \ - "0.0185906000, 0.0203366000, 0.0253797000, 0.0395065000, 0.0797068000, 0.1965762000, 0.5406738000", \ - "0.0185976000, 0.0203418000, 0.0253693000, 0.0394511000, 0.0796902000, 0.1964688000, 0.5381626000", \ - "0.0185396000, 0.0202393000, 0.0251943000, 0.0391838000, 0.0794330000, 0.1962605000, 0.5381023000", \ - "0.0186700000, 0.0202899000, 0.0250756000, 0.0389761000, 0.0790524000, 0.1960198000, 0.5376181000", \ - "0.0194328000, 0.0209885000, 0.0257383000, 0.0392882000, 0.0790473000, 0.1952459000, 0.5400221000", \ - "0.0211181000, 0.0225345000, 0.0269973000, 0.0406611000, 0.0804002000, 0.1973430000, 0.5396810000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014709220, 0.0043272230, 0.0127300100, 0.0374497200, 0.1101712000, 0.3241066000"); - values("0.0165880000, 0.0148491000, 0.0107269000, -0.001081200, -0.038122500, -0.153551500, -0.499461700", \ - "0.0165239000, 0.0147996000, 0.0105997000, -0.001208200, -0.038244900, -0.153659900, -0.499598800", \ - "0.0160769000, 0.0145929000, 0.0104521000, -0.001408300, -0.038441300, -0.153819700, -0.499787100", \ - "0.0158760000, 0.0141230000, 0.0100008000, -0.001813300, -0.038741100, -0.153931000, -0.499923100", \ - "0.0157950000, 0.0141370000, 0.0099127000, -0.001863800, -0.038838600, -0.154226000, -0.500076100", \ - "0.0158139000, 0.0140417000, 0.0097842000, -0.001641300, -0.038917000, -0.154387100, -0.500242400", \ - "0.0219222000, 0.0198741000, 0.0138108000, -0.000400100, -0.039150100, -0.153495600, -0.499754200"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014709220, 0.0043272230, 0.0127300100, 0.0374497200, 0.1101712000, 0.3241066000"); - values("0.0173441000, 0.0190814000, 0.0240474000, 0.0380302000, 0.0781054000, 0.1954299000, 0.5373996000", \ - "0.0174309000, 0.0191636000, 0.0241297000, 0.0381422000, 0.0782513000, 0.1947449000, 0.5377639000", \ - "0.0176145000, 0.0193320000, 0.0242780000, 0.0382502000, 0.0783625000, 0.1948858000, 0.5379096000", \ - "0.0175046000, 0.0191886000, 0.0240498000, 0.0379629000, 0.0781313000, 0.1950939000, 0.5397139000", \ - "0.0177412000, 0.0192970000, 0.0239552000, 0.0377816000, 0.0776898000, 0.1943747000, 0.5375334000", \ - "0.0180736000, 0.0196318000, 0.0242573000, 0.0379439000, 0.0776464000, 0.1935123000, 0.5366031000", \ - "0.0196946000, 0.0211759000, 0.0256112000, 0.0391788000, 0.0789711000, 0.1956621000, 0.5359274000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014709220, 0.0043272230, 0.0127300100, 0.0374497200, 0.1101712000, 0.3241066000"); - values("0.0156807000, 0.0139580000, 0.0097915000, -0.001962300, -0.038896200, -0.154284500, -0.500140000", \ - "0.0155232000, 0.0138119000, 0.0095966000, -0.002145900, -0.039049800, -0.154456000, -0.500405300", \ - "0.0152178000, 0.0134900000, 0.0093566000, -0.002405300, -0.039324600, -0.154712500, -0.500627400", \ - "0.0150558000, 0.0133353000, 0.0091361000, -0.002614500, -0.039527800, -0.154867600, -0.500838800", \ - "0.0149137000, 0.0131900000, 0.0089128000, -0.002744700, -0.039685900, -0.155035100, -0.500965200", \ - "0.0154331000, 0.0136896000, 0.0094892000, -0.002390700, -0.039013200, -0.154515500, -0.500399000", \ - "0.0228940000, 0.0208968000, 0.0155589000, 0.0012543000, -0.038604300, -0.153893400, -0.499647000"); - } - related_pin : "D1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014709220, 0.0043272230, 0.0127300100, 0.0374497200, 0.1101712000, 0.3241066000"); - values("0.0143207000, 0.0160273000, 0.0209077000, 0.0347754000, 0.0746000000, 0.1912298000, 0.5363846000", \ - "0.0142967000, 0.0160192000, 0.0209041000, 0.0347471000, 0.0745882000, 0.1912948000, 0.5339048000", \ - "0.0142338000, 0.0159464000, 0.0208070000, 0.0346349000, 0.0745383000, 0.1912576000, 0.5343066000", \ - "0.0140928000, 0.0157467000, 0.0205668000, 0.0343256000, 0.0742799000, 0.1912131000, 0.5317370000", \ - "0.0143317000, 0.0159093000, 0.0204723000, 0.0341415000, 0.0739202000, 0.1907577000, 0.5311908000", \ - "0.0146063000, 0.0161450000, 0.0207587000, 0.0344607000, 0.0739745000, 0.1900216000, 0.5356314000", \ - "0.0163034000, 0.0177501000, 0.0221552000, 0.0356920000, 0.0755064000, 0.1919605000, 0.5343207000"); - } - } - max_capacitance : 0.3241070000; - max_transition : 1.5024650000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.3777113000, 0.3863187000, 0.4057278000, 0.4448160000, 0.5192214000, 0.6690808000, 1.0153002000", \ - "0.3813398000, 0.3899014000, 0.4093795000, 0.4482913000, 0.5235249000, 0.6728683000, 1.0191128000", \ - "0.3915805000, 0.4000859000, 0.4194511000, 0.4585159000, 0.5332224000, 0.6829755000, 1.0292533000", \ - "0.4178302000, 0.4262165000, 0.4455677000, 0.4847533000, 0.5597308000, 0.7091557000, 1.0554290000", \ - "0.4755422000, 0.4840481000, 0.5033948000, 0.5427405000, 0.6170811000, 0.7668091000, 1.1128498000", \ - "0.5925047000, 0.6011262000, 0.6203793000, 0.6595177000, 0.7347972000, 0.8844569000, 1.2303127000", \ - "0.7999251000, 0.8092269000, 0.8301906000, 0.8726431000, 0.9521686000, 1.1086430000, 1.4594156000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0911197000, 0.0972749000, 0.1116989000, 0.1451828000, 0.2293329000, 0.4639771000, 1.1448728000", \ - "0.0953220000, 0.1014064000, 0.1158984000, 0.1493359000, 0.2334211000, 0.4676544000, 1.1480390000", \ - "0.1055744000, 0.1116507000, 0.1260933000, 0.1592914000, 0.2433214000, 0.4779189000, 1.1583021000", \ - "0.1309991000, 0.1369593000, 0.1511876000, 0.1839418000, 0.2674673000, 0.5019168000, 1.1823530000", \ - "0.1777463000, 0.1840414000, 0.1986684000, 0.2317114000, 0.3149526000, 0.5490914000, 1.2271257000", \ - "0.2356008000, 0.2434250000, 0.2603922000, 0.2950673000, 0.3777581000, 0.6114009000, 1.2910684000", \ - "0.2832265000, 0.2933162000, 0.3150183000, 0.3562344000, 0.4413624000, 0.6744584000, 1.3532487000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0450229000, 0.0500477000, 0.0629138000, 0.0889542000, 0.1485761000, 0.2864628000, 0.6855131000", \ - "0.0449956000, 0.0503023000, 0.0625338000, 0.0893698000, 0.1468046000, 0.2865251000, 0.6852951000", \ - "0.0452496000, 0.0505660000, 0.0625703000, 0.0896703000, 0.1468259000, 0.2869072000, 0.6877683000", \ - "0.0449952000, 0.0503307000, 0.0631574000, 0.0902012000, 0.1461188000, 0.2865021000, 0.6853225000", \ - "0.0449319000, 0.0504640000, 0.0630453000, 0.0896576000, 0.1464976000, 0.2864138000, 0.6865450000", \ - "0.0458630000, 0.0513044000, 0.0633824000, 0.0895144000, 0.1460581000, 0.2866728000, 0.6863191000", \ - "0.0526411000, 0.0578125000, 0.0719040000, 0.0996264000, 0.1582323000, 0.2964246000, 0.6913044000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0221917000, 0.0272044000, 0.0405582000, 0.0773913000, 0.1882129000, 0.5196601000, 1.4997155000", \ - "0.0220797000, 0.0271477000, 0.0404358000, 0.0772614000, 0.1881099000, 0.5181540000, 1.4973572000", \ - "0.0220464000, 0.0271043000, 0.0401689000, 0.0770607000, 0.1877123000, 0.5185778000, 1.4965741000", \ - "0.0215231000, 0.0264818000, 0.0397020000, 0.0765681000, 0.1874450000, 0.5190166000, 1.4963181000", \ - "0.0244040000, 0.0290851000, 0.0417191000, 0.0773953000, 0.1869171000, 0.5183519000, 1.4993103000", \ - "0.0319434000, 0.0364663000, 0.0485840000, 0.0816755000, 0.1886792000, 0.5182512000, 1.4987005000", \ - "0.0433408000, 0.0495803000, 0.0630872000, 0.0942592000, 0.1919588000, 0.5209929000, 1.4984282000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.4197279000, 0.4288520000, 0.4497640000, 0.4908186000, 0.5677789000, 0.7191464000, 1.0670111000", \ - "0.4242482000, 0.4334626000, 0.4541598000, 0.4950182000, 0.5722736000, 0.7241404000, 1.0718210000", \ - "0.4360730000, 0.4453905000, 0.4661142000, 0.5069383000, 0.5831163000, 0.7353858000, 1.0835852000", \ - "0.4622788000, 0.4714882000, 0.4920876000, 0.5330719000, 0.6100879000, 0.7614442000, 1.1099017000", \ - "0.5154207000, 0.5246351000, 0.5452638000, 0.5863300000, 0.6633793000, 0.8146557000, 1.1630549000", \ - "0.6198184000, 0.6289865000, 0.6496602000, 0.6906508000, 0.7677095000, 0.9199470000, 1.2678329000", \ - "0.8011828000, 0.8110651000, 0.8332218000, 0.8772613000, 0.9579788000, 1.1156002000, 1.4675922000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0956310000, 0.1017615000, 0.1162174000, 0.1496133000, 0.2336343000, 0.4680123000, 1.1473708000", \ - "0.1000382000, 0.1061649000, 0.1206464000, 0.1540839000, 0.2381379000, 0.4722991000, 1.1514774000", \ - "0.1096835000, 0.1158033000, 0.1302356000, 0.1635617000, 0.2474619000, 0.4815917000, 1.1606606000", \ - "0.1322998000, 0.1382885000, 0.1525456000, 0.1855156000, 0.2692391000, 0.5038920000, 1.1847048000", \ - "0.1756235000, 0.1820403000, 0.1967228000, 0.2299561000, 0.3130297000, 0.5467167000, 1.2255170000", \ - "0.2377858000, 0.2453119000, 0.2617187000, 0.2961286000, 0.3801030000, 0.6135255000, 1.2932201000", \ - "0.3022296000, 0.3117662000, 0.3324780000, 0.3728876000, 0.4588188000, 0.6929730000, 1.3706505000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0497766000, 0.0548192000, 0.0675965000, 0.0937262000, 0.1501449000, 0.2907015000, 0.6902859000", \ - "0.0496255000, 0.0552689000, 0.0677728000, 0.0939890000, 0.1508689000, 0.2900081000, 0.6895581000", \ - "0.0494489000, 0.0553731000, 0.0679240000, 0.0937617000, 0.1532144000, 0.2909580000, 0.6895430000", \ - "0.0493028000, 0.0549418000, 0.0685376000, 0.0939964000, 0.1522046000, 0.2910423000, 0.6884670000", \ - "0.0493286000, 0.0552353000, 0.0683069000, 0.0951510000, 0.1504142000, 0.2911140000, 0.6893915000", \ - "0.0500967000, 0.0552624000, 0.0678464000, 0.0940343000, 0.1525831000, 0.2895635000, 0.6903348000", \ - "0.0561320000, 0.0623208000, 0.0750067000, 0.1037870000, 0.1617297000, 0.2985482000, 0.6940044000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0222087000, 0.0273074000, 0.0405449000, 0.0773492000, 0.1879323000, 0.5194499000, 1.4987293000", \ - "0.0221572000, 0.0272973000, 0.0405223000, 0.0773237000, 0.1880431000, 0.5184593000, 1.4982336000", \ - "0.0219446000, 0.0269383000, 0.0402743000, 0.0772232000, 0.1877162000, 0.5195641000, 1.4992187000", \ - "0.0217034000, 0.0267514000, 0.0399695000, 0.0768773000, 0.1875565000, 0.5194408000, 1.4997953000", \ - "0.0236669000, 0.0287717000, 0.0415285000, 0.0773584000, 0.1874460000, 0.5192481000, 1.4993953000", \ - "0.0293904000, 0.0345825000, 0.0470595000, 0.0815746000, 0.1883304000, 0.5174410000, 1.4990469000", \ - "0.0401507000, 0.0464067000, 0.0597187000, 0.0924357000, 0.1928068000, 0.5201998000, 1.4957061000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.3995388000, 0.4087569000, 0.4290465000, 0.4701970000, 0.5475126000, 0.6995094000, 1.0475496000", \ - "0.4024147000, 0.4116045000, 0.4324814000, 0.4734068000, 0.5505738000, 0.7018805000, 1.0499492000", \ - "0.4121145000, 0.4213622000, 0.4419159000, 0.4830801000, 0.5600260000, 0.7112916000, 1.0597525000", \ - "0.4367881000, 0.4459360000, 0.4667260000, 0.5075638000, 0.5838143000, 0.7358508000, 1.0842947000", \ - "0.4931046000, 0.5023221000, 0.5231503000, 0.5639035000, 0.6405167000, 0.7926018000, 1.1407807000", \ - "0.6163958000, 0.6257156000, 0.6463458000, 0.6874121000, 0.7644869000, 0.9166052000, 1.2648130000", \ - "0.8526042000, 0.8628012000, 0.8854374000, 0.9306530000, 1.0131870000, 1.1715863000, 1.5240115000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0687939000, 0.0739321000, 0.0863338000, 0.1163011000, 0.1966887000, 0.4288950000, 1.1060015000", \ - "0.0736192000, 0.0787502000, 0.0911422000, 0.1211407000, 0.2016914000, 0.4329103000, 1.1114289000", \ - "0.0848317000, 0.0899451000, 0.1022864000, 0.1321652000, 0.2125971000, 0.4447232000, 1.1210162000", \ - "0.1103884000, 0.1154773000, 0.1276897000, 0.1573239000, 0.2375201000, 0.4700453000, 1.1462822000", \ - "0.1495494000, 0.1556403000, 0.1691184000, 0.1997174000, 0.2800082000, 0.5125713000, 1.1890737000", \ - "0.1925584000, 0.2003692000, 0.2173686000, 0.2510453000, 0.3318592000, 0.5632165000, 1.2415792000", \ - "0.2172121000, 0.2275204000, 0.2498155000, 0.2922987000, 0.3765764000, 0.6080975000, 1.2850383000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0496567000, 0.0553608000, 0.0674577000, 0.0942882000, 0.1509752000, 0.2902286000, 0.6906103000", \ - "0.0496098000, 0.0553439000, 0.0675019000, 0.0935923000, 0.1501349000, 0.2902472000, 0.6912826000", \ - "0.0495610000, 0.0547722000, 0.0685521000, 0.0943776000, 0.1524853000, 0.2911466000, 0.6891375000", \ - "0.0494436000, 0.0553774000, 0.0685637000, 0.0938821000, 0.1531420000, 0.2918204000, 0.6895292000", \ - "0.0494030000, 0.0550800000, 0.0682038000, 0.0938022000, 0.1521161000, 0.2910058000, 0.6892913000", \ - "0.0500431000, 0.0553711000, 0.0678133000, 0.0954423000, 0.1525858000, 0.2904376000, 0.6897293000", \ - "0.0588857000, 0.0649671000, 0.0790239000, 0.1069441000, 0.1628683000, 0.2991767000, 0.6948022000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0182279000, 0.0225508000, 0.0346906000, 0.0710760000, 0.1829107000, 0.5161021000, 1.4945991000", \ - "0.0182096000, 0.0224976000, 0.0346685000, 0.0711646000, 0.1828403000, 0.5156245000, 1.4929258000", \ - "0.0180763000, 0.0223848000, 0.0345784000, 0.0710540000, 0.1825700000, 0.5151237000, 1.4963195000", \ - "0.0185598000, 0.0227357000, 0.0347689000, 0.0708289000, 0.1827679000, 0.5153454000, 1.4965300000", \ - "0.0236434000, 0.0275504000, 0.0385607000, 0.0728461000, 0.1829481000, 0.5156544000, 1.4957969000", \ - "0.0324583000, 0.0367466000, 0.0482848000, 0.0785247000, 0.1842351000, 0.5146512000, 1.4956377000", \ - "0.0448803000, 0.0511647000, 0.0640294000, 0.0947047000, 0.1898568000, 0.5186319000, 1.4916007000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.3613674000, 0.3703108000, 0.3912567000, 0.4322384000, 0.5093104000, 0.6606468000, 1.0085548000", \ - "0.3640395000, 0.3732766000, 0.3939085000, 0.4348458000, 0.5120036000, 0.6638325000, 1.0122241000", \ - "0.3727306000, 0.3819688000, 0.4026124000, 0.4435990000, 0.5206501000, 0.6718931000, 1.0198429000", \ - "0.3961481000, 0.4051480000, 0.4261765000, 0.4668617000, 0.5441916000, 0.6950340000, 1.0430785000", \ - "0.4536015000, 0.4628319000, 0.4834961000, 0.5245975000, 0.6016896000, 0.7528265000, 1.1012359000", \ - "0.5879439000, 0.5972219000, 0.6191978000, 0.6594107000, 0.7357838000, 0.8881635000, 1.2360593000", \ - "0.8496697000, 0.8599796000, 0.8829698000, 0.9283506000, 1.0116196000, 1.1685295000, 1.5207572000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0652524000, 0.0702799000, 0.0824012000, 0.1118466000, 0.1916949000, 0.4223106000, 1.1007516000", \ - "0.0700207000, 0.0750411000, 0.0870867000, 0.1165255000, 0.1964521000, 0.4279521000, 1.1053154000", \ - "0.0811639000, 0.0861841000, 0.0982558000, 0.1276103000, 0.2072586000, 0.4384543000, 1.1166584000", \ - "0.1056349000, 0.1107021000, 0.1227949000, 0.1521340000, 0.2319051000, 0.4632233000, 1.1433249000", \ - "0.1411534000, 0.1472422000, 0.1607300000, 0.1911842000, 0.2710420000, 0.5020815000, 1.1808606000", \ - "0.1773288000, 0.1853370000, 0.2024902000, 0.2365188000, 0.3172706000, 0.5483022000, 1.2292317000", \ - "0.1911449000, 0.2017134000, 0.2245617000, 0.2679314000, 0.3527678000, 0.5840214000, 1.2605993000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0495493000, 0.0548984000, 0.0676404000, 0.0936039000, 0.1503649000, 0.2912338000, 0.6894454000", \ - "0.0497096000, 0.0551437000, 0.0676578000, 0.0939139000, 0.1507307000, 0.2904362000, 0.6910006000", \ - "0.0493754000, 0.0548572000, 0.0676435000, 0.0935858000, 0.1503887000, 0.2915706000, 0.6894704000", \ - "0.0493535000, 0.0552183000, 0.0681166000, 0.0938555000, 0.1505104000, 0.2911435000, 0.6895085000", \ - "0.0493990000, 0.0553657000, 0.0682589000, 0.0950465000, 0.1503612000, 0.2911563000, 0.6896154000", \ - "0.0505192000, 0.0556349000, 0.0678227000, 0.0957669000, 0.1513448000, 0.2912720000, 0.6892966000", \ - "0.0623395000, 0.0682860000, 0.0824623000, 0.1089729000, 0.1646015000, 0.3013402000, 0.6955746000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0174968000, 0.0217049000, 0.0338066000, 0.0700211000, 0.1818369000, 0.5142428000, 1.4976331000", \ - "0.0174971000, 0.0217186000, 0.0337855000, 0.0700489000, 0.1821584000, 0.5162618000, 1.4967422000", \ - "0.0174679000, 0.0217198000, 0.0338652000, 0.0700938000, 0.1819938000, 0.5154879000, 1.4970308000", \ - "0.0184312000, 0.0224654000, 0.0342880000, 0.0702553000, 0.1815639000, 0.5153658000, 1.4988581000", \ - "0.0236778000, 0.0275350000, 0.0385569000, 0.0725358000, 0.1822238000, 0.5149991000, 1.4973089000", \ - "0.0331988000, 0.0374700000, 0.0480816000, 0.0789829000, 0.1840568000, 0.5147981000, 1.4977738000", \ - "0.0463944000, 0.0524996000, 0.0656235000, 0.0959141000, 0.1902279000, 0.5178184000, 1.4906327000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.3071579000, 0.3164347000, 0.3370795000, 0.3782359000, 0.4552806000, 0.6065197000, 0.9549040000", \ - "0.3085127000, 0.3178788000, 0.3385025000, 0.3797120000, 0.4567691000, 0.6081105000, 0.9566167000", \ - "0.3146533000, 0.3238779000, 0.3446874000, 0.3853603000, 0.4625828000, 0.6147455000, 0.9630803000", \ - "0.3368440000, 0.3460174000, 0.3666942000, 0.4078573000, 0.4848256000, 0.6365665000, 0.9849737000", \ - "0.3952033000, 0.4044249000, 0.4249720000, 0.4661097000, 0.5434714000, 0.6956332000, 1.0437179000", \ - "0.5393696000, 0.5483443000, 0.5685729000, 0.6089305000, 0.6848270000, 0.8369826000, 1.1853560000", \ - "0.8089973000, 0.8197084000, 0.8443463000, 0.8914163000, 0.9732278000, 1.1279647000, 1.4773710000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0583868000, 0.0632251000, 0.0750606000, 0.1039627000, 0.1832201000, 0.4136044000, 1.0924037000", \ - "0.0630660000, 0.0679354000, 0.0797258000, 0.1085947000, 0.1876543000, 0.4183956000, 1.0952406000", \ - "0.0742825000, 0.0791402000, 0.0908829000, 0.1197342000, 0.1990877000, 0.4299359000, 1.1087384000", \ - "0.0972002000, 0.1022341000, 0.1141984000, 0.1431640000, 0.2223578000, 0.4537431000, 1.1307589000", \ - "0.1277943000, 0.1340239000, 0.1476504000, 0.1780513000, 0.2576114000, 0.4898199000, 1.1656908000", \ - "0.1563009000, 0.1646270000, 0.1823806000, 0.2168927000, 0.2974961000, 0.5284140000, 1.2088109000", \ - "0.1583891000, 0.1693265000, 0.1931600000, 0.2381714000, 0.3238919000, 0.5552401000, 1.2314653000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0496797000, 0.0548473000, 0.0682678000, 0.0948871000, 0.1502663000, 0.2910230000, 0.6904202000", \ - "0.0493222000, 0.0548165000, 0.0684667000, 0.0942931000, 0.1525954000, 0.2908530000, 0.6884741000", \ - "0.0496975000, 0.0553297000, 0.0678246000, 0.0944632000, 0.1511860000, 0.2902573000, 0.6902726000", \ - "0.0494099000, 0.0551904000, 0.0681535000, 0.0947046000, 0.1506455000, 0.2911229000, 0.6893756000", \ - "0.0493560000, 0.0548398000, 0.0674909000, 0.0943639000, 0.1524707000, 0.2907940000, 0.6893683000", \ - "0.0492283000, 0.0545193000, 0.0668676000, 0.0928827000, 0.1538553000, 0.2910676000, 0.6897716000", \ - "0.0677333000, 0.0732533000, 0.0869778000, 0.1120217000, 0.1646735000, 0.2974535000, 0.6955500000"); - } - related_pin : "D1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014709200, 0.0043272200, 0.0127300000, 0.0374497000, 0.1101710000, 0.3241070000"); - values("0.0167541000, 0.0209493000, 0.0330482000, 0.0694558000, 0.1817812000, 0.5155558000, 1.5024646000", \ - "0.0167426000, 0.0209584000, 0.0330835000, 0.0693904000, 0.1816400000, 0.5152510000, 1.4987201000", \ - "0.0167443000, 0.0209751000, 0.0330468000, 0.0694558000, 0.1818818000, 0.5160596000, 1.4985904000", \ - "0.0185760000, 0.0225247000, 0.0340612000, 0.0696919000, 0.1816074000, 0.5153201000, 1.4962586000", \ - "0.0244649000, 0.0282515000, 0.0390247000, 0.0725269000, 0.1815689000, 0.5156460000, 1.4981617000", \ - "0.0347936000, 0.0390050000, 0.0497091000, 0.0799913000, 0.1842178000, 0.5131632000, 1.4984572000", \ - "0.0491915000, 0.0552525000, 0.0688059000, 0.0992234000, 0.1912681000, 0.5162046000, 1.4943380000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a2111o_4") { - leakage_power () { - value : 0.0054313000; - when : "!A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0118340000; - when : "!A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0250712000; - when : "!A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0370519000; - when : "!A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0252806000; - when : "!A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0467636000; - when : "!A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0250182000; - when : "!A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0353823000; - when : "!A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0054324000; - when : "!A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0084080000; - when : "!A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0020969000; - when : "!A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0042066000; - when : "!A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0020850000; - when : "!A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0040078000; - when : "!A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0019724000; - when : "!A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0020893000; - when : "!A1&A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0054327000; - when : "A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0081938000; - when : "A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0020969000; - when : "A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0042066000; - when : "A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0020855000; - when : "A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0040078000; - when : "A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0019724000; - when : "A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0020893000; - when : "A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0022713000; - when : "A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0057660000; - when : "A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0020096000; - when : "A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0022608000; - when : "A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0020059000; - when : "A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0022651000; - when : "A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0019466000; - when : "A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0020131000; - when : "A1&A2&B1&C1&!D1"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__a2111o"; - cell_leakage_power : 0.0091485880; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0042960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091843000, 0.0091789000, 0.0091663000, 0.0091665000, 0.0091668000, 0.0091678000, 0.0091699000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007162600, -0.007171300, -0.007191300, -0.007177900, -0.007146600, -0.007074600, -0.006908600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044800000; - } - pin ("A2") { - capacitance : 0.0044660000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082704000, 0.0082618000, 0.0082421000, 0.0082419000, 0.0082416000, 0.0082410000, 0.0082394000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008197000, -0.008192400, -0.008181700, -0.008185200, -0.008193000, -0.008211000, -0.008252500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047410000; - } - pin ("B1") { - capacitance : 0.0044630000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041550000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0098281000, 0.0098192000, 0.0097987000, 0.0097977000, 0.0097954000, 0.0097899000, 0.0097776000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006882700, -0.006935400, -0.007057100, -0.007089600, -0.007164600, -0.007337600, -0.007736200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047720000; - } - pin ("C1") { - capacitance : 0.0043980000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040410000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082912000, 0.0082878000, 0.0082801000, 0.0082801000, 0.0082800000, 0.0082797000, 0.0082794000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007180700, -0.007315800, -0.007627400, -0.007641400, -0.007673600, -0.007748000, -0.007919200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047560000; - } - pin ("D1") { - capacitance : 0.0043130000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039250000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0050873000, 0.0050847000, 0.0050786000, 0.0051019000, 0.0051558000, 0.0052800000, 0.0055661000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003587000, -0.003579200, -0.003561200, -0.003562600, -0.003566000, -0.003573400, -0.003590700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047000000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2) | (B1) | (C1) | (D1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000"); - values("0.0370431000, 0.0350998000, 0.0298243000, 0.0150922000, -0.036520400, -0.217091800, -0.812282800", \ - "0.0367890000, 0.0348262000, 0.0295256000, 0.0147655000, -0.036743700, -0.217342500, -0.812414000", \ - "0.0362817000, 0.0343347000, 0.0294153000, 0.0142798000, -0.037335900, -0.217877000, -0.813066500", \ - "0.0357731000, 0.0337640000, 0.0284689000, 0.0135311000, -0.037964700, -0.218513900, -0.813542400", \ - "0.0353114000, 0.0333889000, 0.0279938000, 0.0130309000, -0.038514600, -0.219067600, -0.814099500", \ - "0.0352202000, 0.0333423000, 0.0279620000, 0.0130431000, -0.038681400, -0.219343000, -0.814368300", \ - "0.0452960000, 0.0431922000, 0.0369365000, 0.0182128000, -0.039937300, -0.219501700, -0.814312600"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000"); - values("0.0378468000, 0.0397922000, 0.0460762000, 0.0655750000, 0.1247708000, 0.3103613000, 0.8999013000", \ - "0.0376934000, 0.0396198000, 0.0458719000, 0.0653036000, 0.1246188000, 0.3096418000, 0.8987992000", \ - "0.0373458000, 0.0393472000, 0.0454998000, 0.0652333000, 0.1244342000, 0.3097854000, 0.8992468000", \ - "0.0370942000, 0.0389606000, 0.0452460000, 0.0648849000, 0.1240305000, 0.3095824000, 0.8990234000", \ - "0.0372350000, 0.0391143000, 0.0453372000, 0.0644245000, 0.1233493000, 0.3090626000, 0.8991017000", \ - "0.0394021000, 0.0411684000, 0.0468009000, 0.0647048000, 0.1231621000, 0.3078197000, 0.8988902000", \ - "0.0426433000, 0.0443353000, 0.0507613000, 0.0687756000, 0.1264536000, 0.3101726000, 0.9000545000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000"); - values("0.0430825000, 0.0410898000, 0.0356724000, 0.0207536000, -0.030850200, -0.212074400, -0.807594500", \ - "0.0428761000, 0.0409369000, 0.0356206000, 0.0205269000, -0.031111400, -0.212207700, -0.807715600", \ - "0.0429502000, 0.0409991000, 0.0355622000, 0.0205369000, -0.031380900, -0.212536500, -0.808055200", \ - "0.0422856000, 0.0402780000, 0.0349615000, 0.0199373000, -0.031757300, -0.212838400, -0.808388900", \ - "0.0419281000, 0.0399258000, 0.0346136000, 0.0196177000, -0.032231300, -0.213198500, -0.808576300", \ - "0.0420237000, 0.0400077000, 0.0346329000, 0.0195910000, -0.032394200, -0.213382800, -0.808744500", \ - "0.0505946000, 0.0484930000, 0.0421522000, 0.0236464000, -0.033142100, -0.213344500, -0.808521500"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000"); - values("0.0386293000, 0.0405579000, 0.0468313000, 0.0663775000, 0.1255400000, 0.3104193000, 0.9002008000", \ - "0.0385139000, 0.0404205000, 0.0466813000, 0.0660757000, 0.1253177000, 0.3103760000, 0.9032879000", \ - "0.0382459000, 0.0401523000, 0.0463403000, 0.0658774000, 0.1249757000, 0.3102738000, 0.8995112000", \ - "0.0379147000, 0.0398002000, 0.0461112000, 0.0657450000, 0.1247783000, 0.3101180000, 0.8991192000", \ - "0.0381898000, 0.0401605000, 0.0463096000, 0.0654483000, 0.1246343000, 0.3093500000, 0.9016978000", \ - "0.0407860000, 0.0425737000, 0.0482729000, 0.0664494000, 0.1243317000, 0.3090972000, 0.8991015000", \ - "0.0428108000, 0.0445661000, 0.0501175000, 0.0682025000, 0.1256943000, 0.3105553000, 0.8986520000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000"); - values("0.0375007000, 0.0355553000, 0.0301077000, 0.0150807000, -0.036826500, -0.217752300, -0.813069700", \ - "0.0371931000, 0.0351892000, 0.0297945000, 0.0146990000, -0.037153800, -0.218033200, -0.813376800", \ - "0.0364590000, 0.0344844000, 0.0291999000, 0.0140888000, -0.037576400, -0.218423100, -0.813661800", \ - "0.0364061000, 0.0345024000, 0.0290023000, 0.0139636000, -0.037957900, -0.218864200, -0.814116700", \ - "0.0357090000, 0.0336994000, 0.0285635000, 0.0134469000, -0.038382900, -0.219294300, -0.814451900", \ - "0.0359428000, 0.0339137000, 0.0285238000, 0.0133868000, -0.038598800, -0.219374400, -0.814446700", \ - "0.0447162000, 0.0425787000, 0.0361847000, 0.0171906000, -0.039157400, -0.219265700, -0.814324700"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000"); - values("0.0349719000, 0.0369699000, 0.0433398000, 0.0626883000, 0.1218261000, 0.3080500000, 0.9002615000", \ - "0.0349867000, 0.0369790000, 0.0433233000, 0.0627094000, 0.1218535000, 0.3064868000, 0.9003501000", \ - "0.0350080000, 0.0370468000, 0.0433456000, 0.0627084000, 0.1217315000, 0.3068702000, 0.8973238000", \ - "0.0348630000, 0.0368340000, 0.0430164000, 0.0621766000, 0.1211635000, 0.3065484000, 0.8970224000", \ - "0.0349607000, 0.0368107000, 0.0428607000, 0.0617782000, 0.1205394000, 0.3060511000, 0.8967277000", \ - "0.0365306000, 0.0383188000, 0.0440415000, 0.0624877000, 0.1204079000, 0.3049592000, 0.8957521000", \ - "0.0398922000, 0.0415317000, 0.0471981000, 0.0652671000, 0.1235346000, 0.3080686000, 0.8946060000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000"); - values("0.0339570000, 0.0320178000, 0.0266484000, 0.0115754000, -0.040186400, -0.220844200, -0.815726300", \ - "0.0336898000, 0.0317046000, 0.0263997000, 0.0113350000, -0.040451100, -0.221031300, -0.816046100", \ - "0.0332202000, 0.0312859000, 0.0259727000, 0.0110177000, -0.040813600, -0.221501200, -0.816475500", \ - "0.0329918000, 0.0310144000, 0.0256891000, 0.0103988000, -0.041220300, -0.221940700, -0.816927100", \ - "0.0323432000, 0.0304357000, 0.0251113000, 0.0101349000, -0.041610500, -0.222288800, -0.817293700", \ - "0.0325139000, 0.0305673000, 0.0254898000, 0.0105536000, -0.041687100, -0.222482700, -0.817374100", \ - "0.0415562000, 0.0393891000, 0.0329247000, 0.0137850000, -0.042334500, -0.222350300, -0.816796900"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000"); - values("0.0330249000, 0.0350280000, 0.0412725000, 0.0604756000, 0.1194725000, 0.3047105000, 0.8936072000", \ - "0.0331587000, 0.0351213000, 0.0413815000, 0.0606113000, 0.1195693000, 0.3059467000, 0.8948953000", \ - "0.0334022000, 0.0354031000, 0.0416408000, 0.0608067000, 0.1197893000, 0.3051785000, 0.8938583000", \ - "0.0331790000, 0.0351000000, 0.0412594000, 0.0602795000, 0.1192456000, 0.3048228000, 0.8982117000", \ - "0.0331629000, 0.0350317000, 0.0410159000, 0.0598460000, 0.1184984000, 0.3043212000, 0.8968101000", \ - "0.0342229000, 0.0360229000, 0.0417321000, 0.0602359000, 0.1182571000, 0.3030233000, 0.8962260000", \ - "0.0370409000, 0.0387308000, 0.0442581000, 0.0623741000, 0.1206597000, 0.3050319000, 0.8916636000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000"); - values("0.0322863000, 0.0302956000, 0.0247789000, 0.0100184000, -0.041787400, -0.222339900, -0.817159700", \ - "0.0318474000, 0.0299104000, 0.0245896000, 0.0095267000, -0.042122100, -0.222570300, -0.817504600", \ - "0.0314484000, 0.0294694000, 0.0240673000, 0.0092043000, -0.042559000, -0.223050700, -0.817878500", \ - "0.0309156000, 0.0289601000, 0.0236288000, 0.0086595000, -0.043047700, -0.223584000, -0.818279800", \ - "0.0307047000, 0.0287053000, 0.0233329000, 0.0083716000, -0.043375900, -0.223912100, -0.818570100", \ - "0.0314190000, 0.0294362000, 0.0239977000, 0.0096901000, -0.043000300, -0.223324000, -0.818131500", \ - "0.0445028000, 0.0422378000, 0.0356596000, 0.0166719000, -0.041675300, -0.221951000, -0.816626100"); - } - related_pin : "D1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015993650, 0.0051159350, 0.0163644900, 0.0523455900, 0.1674394000, 0.5355933000"); - values("0.0252292000, 0.0272266000, 0.0334461000, 0.0527404000, 0.1116176000, 0.2968349000, 0.8877106000", \ - "0.0252576000, 0.0272529000, 0.0334966000, 0.0527502000, 0.1117030000, 0.2978237000, 0.8875495000", \ - "0.0251661000, 0.0271509000, 0.0333350000, 0.0525200000, 0.1114021000, 0.2961668000, 0.8867464000", \ - "0.0249880000, 0.0269113000, 0.0329497000, 0.0519505000, 0.1108438000, 0.2959818000, 0.8864121000", \ - "0.0250726000, 0.0268820000, 0.0327630000, 0.0514884000, 0.1100945000, 0.2956256000, 0.8861587000", \ - "0.0262419000, 0.0280199000, 0.0337328000, 0.0522248000, 0.1098933000, 0.2945102000, 0.8862561000", \ - "0.0287704000, 0.0304465000, 0.0359998000, 0.0539533000, 0.1123027000, 0.2962995000, 0.8839073000"); - } - } - max_capacitance : 0.5355930000; - max_transition : 1.4995610000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.4115941000, 0.4175033000, 0.4329213000, 0.4673878000, 0.5380981000, 0.6840060000, 1.0310978000", \ - "0.4148444000, 0.4208039000, 0.4362171000, 0.4709618000, 0.5413902000, 0.6874268000, 1.0344630000", \ - "0.4240364000, 0.4299260000, 0.4452283000, 0.4797683000, 0.5504759000, 0.6966396000, 1.0435759000", \ - "0.4476691000, 0.4535678000, 0.4689522000, 0.5034552000, 0.5735579000, 0.7200097000, 1.0671127000", \ - "0.5006885000, 0.5065925000, 0.5217816000, 0.5565836000, 0.6268304000, 0.7731911000, 1.1202571000", \ - "0.6093019000, 0.6151657000, 0.6306063000, 0.6652667000, 0.7359207000, 0.8822514000, 1.2292292000", \ - "0.7955708000, 0.8019144000, 0.8185901000, 0.8554488000, 0.9303637000, 1.0832956000, 1.4359871000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.1155489000, 0.1203134000, 0.1330129000, 0.1638583000, 0.2420998000, 0.4686905000, 1.1810698000", \ - "0.1197018000, 0.1245155000, 0.1371148000, 0.1679199000, 0.2461037000, 0.4734624000, 1.1838098000", \ - "0.1298974000, 0.1346235000, 0.1471999000, 0.1780507000, 0.2561422000, 0.4826625000, 1.1942837000", \ - "0.1552305000, 0.1598858000, 0.1723547000, 0.2029143000, 0.2805815000, 0.5071073000, 1.2191946000", \ - "0.2088519000, 0.2136007000, 0.2262018000, 0.2565188000, 0.3336759000, 0.5596335000, 1.2697078000", \ - "0.2817954000, 0.2870962000, 0.3011684000, 0.3331898000, 0.4110781000, 0.6367311000, 1.3472002000", \ - "0.3553420000, 0.3623765000, 0.3793410000, 0.4170586000, 0.4976368000, 0.7214785000, 1.4311019000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.0480871000, 0.0516464000, 0.0612945000, 0.0840995000, 0.1367413000, 0.2717778000, 0.6683779000", \ - "0.0481557000, 0.0516889000, 0.0614237000, 0.0847249000, 0.1370753000, 0.2719025000, 0.6696127000", \ - "0.0480849000, 0.0516376000, 0.0618651000, 0.0850511000, 0.1367214000, 0.2718762000, 0.6681152000", \ - "0.0484118000, 0.0516246000, 0.0613440000, 0.0837803000, 0.1372669000, 0.2714812000, 0.6689925000", \ - "0.0480523000, 0.0516124000, 0.0615392000, 0.0837779000, 0.1376039000, 0.2717303000, 0.6693000000", \ - "0.0490720000, 0.0526121000, 0.0624202000, 0.0847987000, 0.1371412000, 0.2716447000, 0.6694921000", \ - "0.0553412000, 0.0590917000, 0.0689578000, 0.0936347000, 0.1485734000, 0.2816013000, 0.6740966000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.0273855000, 0.0310724000, 0.0420489000, 0.0728962000, 0.1688231000, 0.4833386000, 1.4953184000", \ - "0.0272884000, 0.0311188000, 0.0419613000, 0.0727831000, 0.1688928000, 0.4824634000, 1.4977052000", \ - "0.0272541000, 0.0309167000, 0.0417322000, 0.0725854000, 0.1684801000, 0.4832154000, 1.4979696000", \ - "0.0267420000, 0.0305250000, 0.0410955000, 0.0719168000, 0.1681389000, 0.4830277000, 1.4957076000", \ - "0.0280348000, 0.0315696000, 0.0420309000, 0.0721543000, 0.1672298000, 0.4825285000, 1.4988765000", \ - "0.0348975000, 0.0384332000, 0.0479786000, 0.0764804000, 0.1690848000, 0.4812143000, 1.4975465000", \ - "0.0479628000, 0.0516827000, 0.0633244000, 0.0906918000, 0.1740881000, 0.4832356000, 1.4952820000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.4448634000, 0.4512119000, 0.4675791000, 0.5036939000, 0.5755290000, 0.7225767000, 1.0703615000", \ - "0.4490507000, 0.4554687000, 0.4718586000, 0.5078594000, 0.5790171000, 0.7264089000, 1.0745699000", \ - "0.4605443000, 0.4668559000, 0.4831666000, 0.5192426000, 0.5912253000, 0.7383091000, 1.0861898000", \ - "0.4862011000, 0.4924626000, 0.5089066000, 0.5448581000, 0.6169412000, 0.7642657000, 1.1119535000", \ - "0.5399827000, 0.5462258000, 0.5627007000, 0.5985560000, 0.6706983000, 0.8172709000, 1.1653039000", \ - "0.6470642000, 0.6534038000, 0.6698226000, 0.7058856000, 0.7780186000, 0.9253514000, 1.2731071000", \ - "0.8370855000, 0.8436525000, 0.8609594000, 0.8997531000, 0.9754298000, 1.1281145000, 1.4803317000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.1199637000, 0.1247155000, 0.1373762000, 0.1682281000, 0.2462877000, 0.4729104000, 1.1819367000", \ - "0.1241539000, 0.1289057000, 0.1415068000, 0.1722762000, 0.2502615000, 0.4772293000, 1.1889939000", \ - "0.1324321000, 0.1371600000, 0.1497774000, 0.1804953000, 0.2584480000, 0.4847056000, 1.1967375000", \ - "0.1514974000, 0.1561837000, 0.1687262000, 0.1993471000, 0.2770071000, 0.5034633000, 1.2155169000", \ - "0.1912431000, 0.1960713000, 0.2087525000, 0.2394528000, 0.3169678000, 0.5434870000, 1.2539781000", \ - "0.2526128000, 0.2578559000, 0.2716620000, 0.3036127000, 0.3821604000, 0.6080910000, 1.3173027000", \ - "0.3203928000, 0.3270385000, 0.3436597000, 0.3798863000, 0.4608751000, 0.6867595000, 1.3958078000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.0521519000, 0.0558590000, 0.0660838000, 0.0878332000, 0.1402059000, 0.2737608000, 0.6712583000", \ - "0.0522502000, 0.0559862000, 0.0656455000, 0.0877887000, 0.1404759000, 0.2738836000, 0.6711888000", \ - "0.0521065000, 0.0560804000, 0.0655479000, 0.0875770000, 0.1398165000, 0.2735885000, 0.6712502000", \ - "0.0520543000, 0.0559837000, 0.0659450000, 0.0879500000, 0.1398222000, 0.2738454000, 0.6711001000", \ - "0.0523931000, 0.0560042000, 0.0658888000, 0.0879303000, 0.1397945000, 0.2738825000, 0.6716265000", \ - "0.0521955000, 0.0559536000, 0.0659648000, 0.0881366000, 0.1395719000, 0.2737408000, 0.6711478000", \ - "0.0587509000, 0.0625227000, 0.0724000000, 0.0956862000, 0.1485478000, 0.2804633000, 0.6757898000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.0274192000, 0.0312213000, 0.0417866000, 0.0727488000, 0.1686087000, 0.4828856000, 1.4990658000", \ - "0.0272715000, 0.0310303000, 0.0418953000, 0.0726723000, 0.1687138000, 0.4825555000, 1.4995613000", \ - "0.0271192000, 0.0308935000, 0.0418020000, 0.0725791000, 0.1686530000, 0.4832557000, 1.4957356000", \ - "0.0268580000, 0.0306139000, 0.0412676000, 0.0722630000, 0.1683329000, 0.4828969000, 1.4979197000", \ - "0.0280082000, 0.0318064000, 0.0425007000, 0.0724488000, 0.1677774000, 0.4821093000, 1.4954128000", \ - "0.0325901000, 0.0362795000, 0.0469310000, 0.0761606000, 0.1697543000, 0.4818549000, 1.4991328000", \ - "0.0420402000, 0.0461143000, 0.0574055000, 0.0856753000, 0.1740334000, 0.4838551000, 1.4935611000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.4260579000, 0.4323966000, 0.4487163000, 0.4847882000, 0.5568040000, 0.7041508000, 1.0520426000", \ - "0.4282617000, 0.4345690000, 0.4510168000, 0.4871672000, 0.5591589000, 0.7066823000, 1.0537284000", \ - "0.4369451000, 0.4429887000, 0.4595819000, 0.4955998000, 0.5668102000, 0.7142840000, 1.0627386000", \ - "0.4596542000, 0.4659618000, 0.4822875000, 0.5183359000, 0.5903281000, 0.7377422000, 1.0856083000", \ - "0.5117728000, 0.5180855000, 0.5344215000, 0.5704623000, 0.6424428000, 0.7897626000, 1.1376965000", \ - "0.6238796000, 0.6301412000, 0.6466553000, 0.6827395000, 0.7548828000, 0.9021879000, 1.2504355000", \ - "0.8321034000, 0.8390568000, 0.8569158000, 0.8965711000, 0.9740722000, 1.1284773000, 1.4812391000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.0744878000, 0.0780968000, 0.0880337000, 0.1137975000, 0.1859537000, 0.4089993000, 1.1182703000", \ - "0.0791558000, 0.0827642000, 0.0926919000, 0.1184921000, 0.1904914000, 0.4135318000, 1.1226089000", \ - "0.0904908000, 0.0941425000, 0.1039857000, 0.1296799000, 0.2018417000, 0.4249385000, 1.1320305000", \ - "0.1163552000, 0.1199349000, 0.1296344000, 0.1550484000, 0.2270181000, 0.4503184000, 1.1575541000", \ - "0.1573870000, 0.1614313000, 0.1720743000, 0.1983847000, 0.2703751000, 0.4939892000, 1.2012703000", \ - "0.2039934000, 0.2091821000, 0.2223291000, 0.2515462000, 0.3244359000, 0.5472220000, 1.2577883000", \ - "0.2351040000, 0.2418778000, 0.2591554000, 0.2960127000, 0.3734746000, 0.5964407000, 1.3030648000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.0521095000, 0.0560787000, 0.0655455000, 0.0875655000, 0.1397707000, 0.2737905000, 0.6710662000", \ - "0.0520471000, 0.0558503000, 0.0655094000, 0.0877114000, 0.1398383000, 0.2732096000, 0.6715268000", \ - "0.0523024000, 0.0562556000, 0.0654785000, 0.0877994000, 0.1406029000, 0.2739475000, 0.6711502000", \ - "0.0521535000, 0.0562051000, 0.0655470000, 0.0875649000, 0.1398186000, 0.2737783000, 0.6709121000", \ - "0.0520456000, 0.0558053000, 0.0655826000, 0.0876098000, 0.1400015000, 0.2735221000, 0.6717790000", \ - "0.0526572000, 0.0562642000, 0.0665058000, 0.0883732000, 0.1397664000, 0.2737919000, 0.6701952000", \ - "0.0612598000, 0.0651435000, 0.0760417000, 0.0999324000, 0.1529886000, 0.2828456000, 0.6786085000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.0198037000, 0.0228179000, 0.0321572000, 0.0615093000, 0.1586521000, 0.4756767000, 1.4944943000", \ - "0.0198198000, 0.0227999000, 0.0320844000, 0.0614283000, 0.1587177000, 0.4750618000, 1.4944143000", \ - "0.0196803000, 0.0227173000, 0.0319789000, 0.0614144000, 0.1588066000, 0.4758108000, 1.4921978000", \ - "0.0198888000, 0.0228547000, 0.0320599000, 0.0612261000, 0.1584023000, 0.4750961000, 1.4939916000", \ - "0.0244362000, 0.0273059000, 0.0356048000, 0.0633472000, 0.1585914000, 0.4758947000, 1.4939372000", \ - "0.0328225000, 0.0356976000, 0.0440039000, 0.0693326000, 0.1609359000, 0.4749983000, 1.4905308000", \ - "0.0457933000, 0.0496005000, 0.0595614000, 0.0846516000, 0.1670669000, 0.4785687000, 1.4910705000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.3879921000, 0.3943458000, 0.4106597000, 0.4468968000, 0.5187451000, 0.6655091000, 1.0134882000", \ - "0.3900253000, 0.3964577000, 0.4128411000, 0.4488208000, 0.5211057000, 0.6675859000, 1.0158707000", \ - "0.3977841000, 0.4041448000, 0.4204827000, 0.4566127000, 0.5285767000, 0.6752152000, 1.0232879000", \ - "0.4202141000, 0.4265851000, 0.4429844000, 0.4790262000, 0.5511084000, 0.6985656000, 1.0456881000", \ - "0.4746845000, 0.4809805000, 0.4970734000, 0.5333782000, 0.6050709000, 0.7525278000, 1.1007366000", \ - "0.6023234000, 0.6092777000, 0.6250918000, 0.6615928000, 0.7330948000, 0.8810347000, 1.2288970000", \ - "0.8467784000, 0.8538470000, 0.8722110000, 0.9126857000, 0.9906848000, 1.1456123000, 1.4953718000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.0754043000, 0.0790623000, 0.0890920000, 0.1149562000, 0.1867036000, 0.4089906000, 1.1156904000", \ - "0.0800322000, 0.0836774000, 0.0937203000, 0.1196167000, 0.1915537000, 0.4139878000, 1.1215527000", \ - "0.0911567000, 0.0948162000, 0.1048271000, 0.1306075000, 0.2025511000, 0.4249992000, 1.1313385000", \ - "0.1160362000, 0.1196838000, 0.1296467000, 0.1553387000, 0.2271078000, 0.4498247000, 1.1587681000", \ - "0.1545259000, 0.1586868000, 0.1695713000, 0.1963022000, 0.2684931000, 0.4912278000, 1.1989528000", \ - "0.1962747000, 0.2016587000, 0.2149924000, 0.2449023000, 0.3182509000, 0.5409259000, 1.2504535000", \ - "0.2192432000, 0.2262179000, 0.2439352000, 0.2818392000, 0.3606363000, 0.5835799000, 1.2902825000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.0522805000, 0.0556485000, 0.0660161000, 0.0885238000, 0.1411741000, 0.2740345000, 0.6720995000", \ - "0.0524252000, 0.0557000000, 0.0657058000, 0.0878135000, 0.1398764000, 0.2742993000, 0.6709960000", \ - "0.0522849000, 0.0557163000, 0.0657158000, 0.0891508000, 0.1393863000, 0.2734984000, 0.6710753000", \ - "0.0518516000, 0.0557694000, 0.0663125000, 0.0892823000, 0.1398731000, 0.2736480000, 0.6717066000", \ - "0.0524370000, 0.0562503000, 0.0654116000, 0.0879080000, 0.1403088000, 0.2739899000, 0.6715009000", \ - "0.0530770000, 0.0562914000, 0.0663907000, 0.0891292000, 0.1402306000, 0.2738280000, 0.6709798000", \ - "0.0647640000, 0.0687660000, 0.0796031000, 0.1022917000, 0.1538639000, 0.2835343000, 0.6787885000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.0198304000, 0.0228452000, 0.0322018000, 0.0613072000, 0.1581851000, 0.4761771000, 1.4924715000", \ - "0.0197899000, 0.0228318000, 0.0321057000, 0.0613059000, 0.1579673000, 0.4764470000, 1.4944048000", \ - "0.0198202000, 0.0228212000, 0.0321892000, 0.0612604000, 0.1581291000, 0.4763507000, 1.4922742000", \ - "0.0202291000, 0.0232366000, 0.0324482000, 0.0614150000, 0.1582107000, 0.4763656000, 1.4957900000", \ - "0.0245618000, 0.0276892000, 0.0362075000, 0.0639145000, 0.1587554000, 0.4760822000, 1.4920548000", \ - "0.0336128000, 0.0368419000, 0.0449341000, 0.0705546000, 0.1614461000, 0.4754817000, 1.4926511000", \ - "0.0474977000, 0.0512071000, 0.0619531000, 0.0867678000, 0.1686170000, 0.4782090000, 1.4910144000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.3110585000, 0.3172504000, 0.3336273000, 0.3695194000, 0.4417545000, 0.5892191000, 0.9374352000", \ - "0.3127800000, 0.3191797000, 0.3355941000, 0.3715809000, 0.4434412000, 0.5912803000, 0.9391263000", \ - "0.3194079000, 0.3257943000, 0.3417628000, 0.3779203000, 0.4502843000, 0.5976453000, 0.9457015000", \ - "0.3398970000, 0.3462114000, 0.3626021000, 0.3984869000, 0.4708007000, 0.6182709000, 0.9662278000", \ - "0.3990611000, 0.4053723000, 0.4217635000, 0.4578828000, 0.5298072000, 0.6774880000, 1.0256809000", \ - "0.5436333000, 0.5497073000, 0.5654677000, 0.6000526000, 0.6718743000, 0.8174607000, 1.1653948000", \ - "0.8114407000, 0.8187266000, 0.8383094000, 0.8796452000, 0.9572032000, 1.1066177000, 1.4560900000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.0688532000, 0.0725058000, 0.0825234000, 0.1084050000, 0.1800581000, 0.4019365000, 1.1124712000", \ - "0.0736062000, 0.0772628000, 0.0872979000, 0.1131922000, 0.1847907000, 0.4072825000, 1.1152105000", \ - "0.0848911000, 0.0885370000, 0.0985153000, 0.1243479000, 0.1961611000, 0.4180276000, 1.1246665000", \ - "0.1089366000, 0.1126230000, 0.1226063000, 0.1483408000, 0.2201861000, 0.4426174000, 1.1491034000", \ - "0.1438876000, 0.1482606000, 0.1593990000, 0.1863978000, 0.2587674000, 0.4815920000, 1.1883340000", \ - "0.1806834000, 0.1862489000, 0.2003267000, 0.2311591000, 0.3051920000, 0.5278019000, 1.2380603000", \ - "0.1983939000, 0.2056802000, 0.2244082000, 0.2643278000, 0.3449503000, 0.5675256000, 1.2747871000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.0518893000, 0.0559179000, 0.0660463000, 0.0886613000, 0.1407176000, 0.2736480000, 0.6717947000", \ - "0.0522783000, 0.0557100000, 0.0657644000, 0.0877981000, 0.1399779000, 0.2737548000, 0.6703403000", \ - "0.0521889000, 0.0560053000, 0.0652399000, 0.0882672000, 0.1394243000, 0.2731839000, 0.6710925000", \ - "0.0522055000, 0.0560829000, 0.0654860000, 0.0882540000, 0.1400610000, 0.2735360000, 0.6720643000", \ - "0.0519813000, 0.0557948000, 0.0661301000, 0.0885273000, 0.1411355000, 0.2733639000, 0.6714394000", \ - "0.0511529000, 0.0546518000, 0.0645173000, 0.0868458000, 0.1389177000, 0.2746212000, 0.6718032000", \ - "0.0711283000, 0.0753986000, 0.0852996000, 0.1070884000, 0.1529148000, 0.2806175000, 0.6777284000"); - } - related_pin : "D1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015993600, 0.0051159400, 0.0163645000, 0.0523456000, 0.1674390000, 0.5355930000"); - values("0.0194558000, 0.0224630000, 0.0317362000, 0.0608019000, 0.1576397000, 0.4758674000, 1.4979532000", \ - "0.0194579000, 0.0224621000, 0.0318045000, 0.0609183000, 0.1578312000, 0.4765524000, 1.4965551000", \ - "0.0194551000, 0.0225120000, 0.0317885000, 0.0610427000, 0.1578143000, 0.4752955000, 1.4956085000", \ - "0.0204835000, 0.0235030000, 0.0325711000, 0.0614602000, 0.1577602000, 0.4754265000, 1.4950411000", \ - "0.0255533000, 0.0283522000, 0.0370597000, 0.0644891000, 0.1585852000, 0.4758463000, 1.4952510000", \ - "0.0356467000, 0.0385614000, 0.0471194000, 0.0723618000, 0.1616285000, 0.4752353000, 1.4951923000", \ - "0.0506853000, 0.0546160000, 0.0653799000, 0.0908023000, 0.1710229000, 0.4771084000, 1.4912365000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a2111oi_0") { - leakage_power () { - value : 0.0011728000; - when : "!A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0015217000; - when : "!A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 6.5922142e-05; - when : "!A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0004276000; - when : "!A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 5.8325571e-05; - when : "!A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0003562000; - when : "!A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 3.4985087e-05; - when : "!A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 5.9731544e-05; - when : "!A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0011728000; - when : "!A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0020010000; - when : "!A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 6.5922142e-05; - when : "!A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0004276000; - when : "!A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 5.8325571e-05; - when : "!A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0003562000; - when : "!A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 3.4985087e-05; - when : "!A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 5.9731544e-05; - when : "!A1&A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0011728000; - when : "A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0017973000; - when : "A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 6.5922142e-05; - when : "A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0004276000; - when : "A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 5.8325571e-05; - when : "A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0003562000; - when : "A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 3.4985087e-05; - when : "A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 5.9731544e-05; - when : "A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0001566000; - when : "A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0010558000; - when : "A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 4.9966978e-05; - when : "A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0001343000; - when : "A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 4.5591318e-05; - when : "A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0001320000; - when : "A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 3.1057073e-05; - when : "A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 4.9454256e-05; - when : "A1&A2&B1&C1&!D1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__a2111oi"; - cell_leakage_power : 0.0004219171; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0018940000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0018500000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0032499000, 0.0032499000, 0.0032498000, 0.0032483000, 0.0032448000, 0.0032367000, 0.0032181000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002709600, -0.002712300, -0.002718400, -0.002714200, -0.002704500, -0.002682000, -0.002630300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019380000; - } - pin ("A2") { - capacitance : 0.0018450000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017800000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0028989000, 0.0029017000, 0.0029081000, 0.0029091000, 0.0029112000, 0.0029162000, 0.0029276000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002915000, -0.002912600, -0.002906900, -0.002907400, -0.002908300, -0.002910600, -0.002915700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019090000; - } - pin ("B1") { - capacitance : 0.0017480000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016510000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026687000, 0.0026707000, 0.0026752000, 0.0026760000, 0.0026779000, 0.0026822000, 0.0026921000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002277400, -0.002301800, -0.002357900, -0.002368300, -0.002392400, -0.002447700, -0.002575400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018440000; - } - pin ("C1") { - capacitance : 0.0018950000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017640000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027801000, 0.0027767000, 0.0027687000, 0.0027669000, 0.0027627000, 0.0027530000, 0.0027307000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002446000, -0.002502800, -0.002633600, -0.002638200, -0.002648700, -0.002672900, -0.002728600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020270000; - } - pin ("D1") { - capacitance : 0.0017730000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016350000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0019198000, 0.0019179000, 0.0019135000, 0.0019181000, 0.0019286000, 0.0019530000, 0.0020092000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001387400, -0.001388500, -0.001390900, -0.001390900, -0.001390800, -0.001390700, -0.001390600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019110000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1&!C1&!D1) | (!A2&!B1&!C1&!D1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009327012, 0.0017398630, 0.0032455450, 0.0060542470, 0.0112936100, 0.0210671200"); - values("0.0049693000, 0.0042692000, 0.0029794000, 0.0005457000, -0.003965700, -0.012346800, -0.028035000", \ - "0.0048922000, 0.0041979000, 0.0028991000, 0.0004752000, -0.004035400, -0.012406000, -0.028105700", \ - "0.0048122000, 0.0040937000, 0.0027963000, 0.0003685000, -0.004128900, -0.012500900, -0.028194200", \ - "0.0046702000, 0.0039918000, 0.0027088000, 0.0002753000, -0.004252500, -0.012634500, -0.028293100", \ - "0.0045436000, 0.0038567000, 0.0025909000, 0.0001916000, -0.004292700, -0.012708900, -0.028358000", \ - "0.0046048000, 0.0038922000, 0.0025768000, 0.0001328000, -0.004382900, -0.012714100, -0.028395800", \ - "0.0053493000, 0.0046507000, 0.0033394000, 0.0008593000, -0.003638300, -0.012092600, -0.028012400"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009327012, 0.0017398630, 0.0032455450, 0.0060542470, 0.0112936100, 0.0210671200"); - values("0.0106551000, 0.0113995000, 0.0126968000, 0.0151845000, 0.0196624000, 0.0281350000, 0.0437375000", \ - "0.0105178000, 0.0112714000, 0.0125909000, 0.0150925000, 0.0196148000, 0.0280483000, 0.0438140000", \ - "0.0103650000, 0.0110883000, 0.0124202000, 0.0149451000, 0.0194915000, 0.0279506000, 0.0436376000", \ - "0.0102104000, 0.0109257000, 0.0122570000, 0.0147511000, 0.0193645000, 0.0278088000, 0.0436586000", \ - "0.0101120000, 0.0108275000, 0.0121288000, 0.0146134000, 0.0191551000, 0.0276636000, 0.0433042000", \ - "0.0100990000, 0.0108044000, 0.0120882000, 0.0145195000, 0.0190930000, 0.0275170000, 0.0433233000", \ - "0.0101000000, 0.0107872000, 0.0121191000, 0.0145818000, 0.0190912000, 0.0274149000, 0.0431413000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009327012, 0.0017398630, 0.0032455450, 0.0060542470, 0.0112936100, 0.0210671200"); - values("0.0050101000, 0.0043077000, 0.0030149000, 0.0005774000, -0.003921000, -0.012305700, -0.027985700", \ - "0.0049314000, 0.0042292000, 0.0029293000, 0.0005008000, -0.004011100, -0.012392600, -0.028078900", \ - "0.0048241000, 0.0041311000, 0.0028230000, 0.0003989000, -0.004105400, -0.012490000, -0.028169300", \ - "0.0047635000, 0.0040707000, 0.0027617000, 0.0003239000, -0.004184300, -0.012576500, -0.028261100", \ - "0.0046745000, 0.0039848000, 0.0027025000, 0.0002739000, -0.004213000, -0.012646300, -0.028261400", \ - "0.0047548000, 0.0040276000, 0.0027346000, 0.0002653000, -0.004309200, -0.012669100, -0.028309000", \ - "0.0053402000, 0.0045969000, 0.0032982000, 0.0008356000, -0.003768700, -0.012312700, -0.028255600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009327012, 0.0017398630, 0.0032455450, 0.0060542470, 0.0112936100, 0.0210671200"); - values("0.0126126000, 0.0133050000, 0.0146007000, 0.0170281000, 0.0215006000, 0.0299608000, 0.0455376000", \ - "0.0125329000, 0.0132334000, 0.0145406000, 0.0169809000, 0.0214811000, 0.0298907000, 0.0455403000", \ - "0.0124221000, 0.0131374000, 0.0144502000, 0.0168958000, 0.0214169000, 0.0298436000, 0.0454823000", \ - "0.0123489000, 0.0130399000, 0.0143589000, 0.0167967000, 0.0213304000, 0.0297736000, 0.0453865000", \ - "0.0122542000, 0.0129528000, 0.0142662000, 0.0166835000, 0.0212417000, 0.0296350000, 0.0453166000", \ - "0.0122425000, 0.0129397000, 0.0142529000, 0.0166771000, 0.0211926000, 0.0295733000, 0.0452725000", \ - "0.0122077000, 0.0128936000, 0.0142178000, 0.0166727000, 0.0211379000, 0.0295548000, 0.0451923000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009327012, 0.0017398630, 0.0032455450, 0.0060542470, 0.0112936100, 0.0210671200"); - values("0.0029777000, 0.0022839000, 0.0009850000, -0.001424500, -0.005923400, -0.014343600, -0.030113800", \ - "0.0029918000, 0.0022990000, 0.0009914000, -0.001414900, -0.005912600, -0.014339600, -0.030103100", \ - "0.0030118000, 0.0023148000, 0.0010171000, -0.001387400, -0.005896600, -0.014309400, -0.030072600", \ - "0.0028890000, 0.0022152000, 0.0009376000, -0.001469400, -0.005935500, -0.014349600, -0.030104200", \ - "0.0029654000, 0.0022635000, 0.0009394000, -0.001458500, -0.005938000, -0.014378600, -0.030095900", \ - "0.0032096000, 0.0025302000, 0.0011081000, -0.001304300, -0.005835700, -0.014323100, -0.030093400", \ - "0.0038797000, 0.0031666000, 0.0018285000, -0.000629300, -0.005093700, -0.013760200, -0.029472600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009327012, 0.0017398630, 0.0032455450, 0.0060542470, 0.0112936100, 0.0210671200"); - values("0.0109653000, 0.0116833000, 0.0129972000, 0.0154459000, 0.0199607000, 0.0283597000, 0.0440364000", \ - "0.0108568000, 0.0115684000, 0.0128839000, 0.0153486000, 0.0198715000, 0.0283331000, 0.0439903000", \ - "0.0107142000, 0.0114226000, 0.0127491000, 0.0152162000, 0.0197480000, 0.0282251000, 0.0438665000", \ - "0.0106040000, 0.0113106000, 0.0126195000, 0.0150624000, 0.0196389000, 0.0280755000, 0.0437516000", \ - "0.0105235000, 0.0112236000, 0.0125443000, 0.0149721000, 0.0195047000, 0.0279819000, 0.0436338000", \ - "0.0104951000, 0.0112056000, 0.0125002000, 0.0149108000, 0.0194400000, 0.0278571000, 0.0435353000", \ - "0.0104854000, 0.0111799000, 0.0124998000, 0.0149323000, 0.0194621000, 0.0279038000, 0.0435584000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009327012, 0.0017398630, 0.0032455450, 0.0060542470, 0.0112936100, 0.0210671200"); - values("0.0022392000, 0.0015427000, 0.0002443000, -0.002176500, -0.006712700, -0.015181000, -0.030988900", \ - "0.0022728000, 0.0015743000, 0.0002829000, -0.002126500, -0.006648400, -0.015110200, -0.030913200", \ - "0.0023444000, 0.0016654000, 0.0003849000, -0.002012300, -0.006524500, -0.014961700, -0.030754700", \ - "0.0022056000, 0.0015362000, 0.0002731000, -0.002087900, -0.006563500, -0.014972300, -0.030747200", \ - "0.0022485000, 0.0015667000, 0.0002895000, -0.002169800, -0.006617500, -0.015050300, -0.030755500", \ - "0.0023217000, 0.0016457000, 0.0002358000, -0.002163300, -0.006651100, -0.015066000, -0.030685000", \ - "0.0028273000, 0.0021414000, 0.0007826000, -0.001655100, -0.006185700, -0.014650000, -0.030354400"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009327012, 0.0017398630, 0.0032455450, 0.0060542470, 0.0112936100, 0.0210671200"); - values("0.0098711000, 0.0105843000, 0.0119248000, 0.0144052000, 0.0189006000, 0.0272336000, 0.0428608000", \ - "0.0097178000, 0.0104372000, 0.0117821000, 0.0142581000, 0.0188140000, 0.0272600000, 0.0429482000", \ - "0.0095572000, 0.0102728000, 0.0116034000, 0.0140960000, 0.0186618000, 0.0271336000, 0.0428150000", \ - "0.0094243000, 0.0101320000, 0.0114559000, 0.0139184000, 0.0184819000, 0.0269716000, 0.0427095000", \ - "0.0093323000, 0.0100435000, 0.0113589000, 0.0138007000, 0.0183387000, 0.0267767000, 0.0425218000", \ - "0.0092942000, 0.0099825000, 0.0112886000, 0.0137682000, 0.0182891000, 0.0267143000, 0.0424006000", \ - "0.0094844000, 0.0101722000, 0.0114695000, 0.0138740000, 0.0183388000, 0.0268217000, 0.0424489000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009327012, 0.0017398630, 0.0032455450, 0.0060542470, 0.0112936100, 0.0210671200"); - values("0.0002045000, -0.000489300, -0.001792500, -0.004224400, -0.008765800, -0.017245300, -0.033067100", \ - "0.0001440000, -0.000541200, -0.001816600, -0.004228600, -0.008740700, -0.017197600, -0.033006300", \ - "2.510000e-05, -0.000637400, -0.001896800, -0.004268700, -0.008752800, -0.017178100, -0.032957000", \ - "-0.000140700, -0.000805200, -0.002000200, -0.004385100, -0.008815300, -0.017199500, -0.032958200", \ - "-0.000159700, -0.000827400, -0.002077700, -0.004494800, -0.008925200, -0.017285100, -0.032967400", \ - "-9.59000e-05, -0.000791100, -0.001946800, -0.004488300, -0.008928000, -0.017348100, -0.033083000", \ - "0.0006421000, -0.000103500, -0.001444600, -0.003908200, -0.008436400, -0.017049500, -0.032917000"); - } - related_pin : "D1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009327012, 0.0017398630, 0.0032455450, 0.0060542470, 0.0112936100, 0.0210671200"); - values("0.0091902000, 0.0099231000, 0.0112401000, 0.0137055000, 0.0182464000, 0.0266335000, 0.0422873000", \ - "0.0090224000, 0.0097646000, 0.0110935000, 0.0135710000, 0.0181571000, 0.0266048000, 0.0422188000", \ - "0.0088142000, 0.0095522000, 0.0109123000, 0.0133866000, 0.0179774000, 0.0264986000, 0.0421762000", \ - "0.0087154000, 0.0094301000, 0.0107111000, 0.0131631000, 0.0177688000, 0.0262710000, 0.0420385000", \ - "0.0086762000, 0.0093885000, 0.0107049000, 0.0131538000, 0.0176069000, 0.0260630000, 0.0418538000", \ - "0.0088896000, 0.0095730000, 0.0108546000, 0.0132380000, 0.0177150000, 0.0260709000, 0.0417560000", \ - "0.0096152000, 0.0102733000, 0.0115214000, 0.0139165000, 0.0182142000, 0.0264689000, 0.0417832000"); - } - } - max_capacitance : 0.0210670000; - max_transition : 1.4621900000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.0427857000, 0.0476023000, 0.0561553000, 0.0715698000, 0.0987964000, 0.1470173000, 0.2333926000", \ - "0.0473242000, 0.0520489000, 0.0605279000, 0.0758654000, 0.1029342000, 0.1511635000, 0.2374605000", \ - "0.0586463000, 0.0629940000, 0.0712829000, 0.0862564000, 0.1131296000, 0.1610467000, 0.2473123000", \ - "0.0847386000, 0.0896115000, 0.0975627000, 0.1120526000, 0.1372938000, 0.1847604000, 0.2706358000", \ - "0.1229636000, 0.1299358000, 0.1419755000, 0.1621067000, 0.1942211000, 0.2429436000, 0.3278804000", \ - "0.1689841000, 0.1793915000, 0.1970073000, 0.2269288000, 0.2740012000, 0.3471725000, 0.4550645000", \ - "0.2055513000, 0.2213390000, 0.2481153000, 0.2925533000, 0.3629707000, 0.4723785000, 0.6356818000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.2327915000, 0.2529649000, 0.2904252000, 0.3585241000, 0.4823342000, 0.7154078000, 1.1478410000", \ - "0.2353369000, 0.2559881000, 0.2937431000, 0.3625040000, 0.4870774000, 0.7203861000, 1.1545647000", \ - "0.2453757000, 0.2652880000, 0.3035569000, 0.3723628000, 0.4981013000, 0.7324216000, 1.1674507000", \ - "0.2723867000, 0.2920394000, 0.3293912000, 0.3984586000, 0.5265253000, 0.7587972000, 1.1951972000", \ - "0.3303081000, 0.3494936000, 0.3863661000, 0.4549623000, 0.5827517000, 0.8175803000, 1.2495150000", \ - "0.4369858000, 0.4583045000, 0.4975265000, 0.5681621000, 0.6942432000, 0.9311015000, 1.3685616000", \ - "0.6082150000, 0.6347700000, 0.6844170000, 0.7678977000, 0.9150130000, 1.1653272000, 1.6020830000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.0490046000, 0.0545902000, 0.0651670000, 0.0836526000, 0.1168926000, 0.1771970000, 0.2876475000", \ - "0.0481675000, 0.0538159000, 0.0643076000, 0.0828639000, 0.1166014000, 0.1769010000, 0.2873277000", \ - "0.0476687000, 0.0530360000, 0.0630708000, 0.0816487000, 0.1156903000, 0.1759006000, 0.2876215000", \ - "0.0581361000, 0.0620213000, 0.0699190000, 0.0854403000, 0.1161938000, 0.1752280000, 0.2859167000", \ - "0.0864564000, 0.0918387000, 0.1016714000, 0.1171686000, 0.1412500000, 0.1892943000, 0.2899646000", \ - "0.1367464000, 0.1443510000, 0.1575806000, 0.1792096000, 0.2121456000, 0.2688383000, 0.3499646000", \ - "0.2253044000, 0.2367339000, 0.2557489000, 0.2885666000, 0.3395142000, 0.4173004000, 0.5361819000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.1741267000, 0.2002054000, 0.2484063000, 0.3377208000, 0.5033508000, 0.8148458000, 1.3893102000", \ - "0.1741904000, 0.2002157000, 0.2484271000, 0.3378336000, 0.5029177000, 0.8134877000, 1.3932403000", \ - "0.1738711000, 0.2002342000, 0.2484473000, 0.3382425000, 0.5034037000, 0.8135085000, 1.3897226000", \ - "0.1746774000, 0.1999968000, 0.2484331000, 0.3378454000, 0.5062922000, 0.8137463000, 1.3945040000", \ - "0.1759619000, 0.2007462000, 0.2484694000, 0.3379177000, 0.5049858000, 0.8188433000, 1.3900509000", \ - "0.1973618000, 0.2213902000, 0.2652252000, 0.3505784000, 0.5086954000, 0.8154397000, 1.3953941000", \ - "0.2553630000, 0.2800417000, 0.3281651000, 0.4150332000, 0.5721048000, 0.8540014000, 1.4087753000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.0466428000, 0.0513344000, 0.0599219000, 0.0751696000, 0.1023135000, 0.1504985000, 0.2367524000", \ - "0.0513004000, 0.0559625000, 0.0644872000, 0.0797046000, 0.1067820000, 0.1549173000, 0.2411885000", \ - "0.0614880000, 0.0660907000, 0.0743998000, 0.0894418000, 0.1163707000, 0.1644535000, 0.2506852000", \ - "0.0844721000, 0.0893093000, 0.0977550000, 0.1126254000, 0.1390618000, 0.1868581000, 0.2730725000", \ - "0.1224711000, 0.1288089000, 0.1398468000, 0.1579913000, 0.1880581000, 0.2381273000, 0.3247608000", \ - "0.1748330000, 0.1840733000, 0.1999050000, 0.2263796000, 0.2683998000, 0.3345086000, 0.4366634000", \ - "0.2286955000, 0.2429787000, 0.2662248000, 0.3066665000, 0.3716610000, 0.4728060000, 0.6176978000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.2654632000, 0.2851192000, 0.3219139000, 0.3900417000, 0.5158559000, 0.7503478000, 1.1872624000", \ - "0.2696209000, 0.2897279000, 0.3263953000, 0.3944880000, 0.5209538000, 0.7559600000, 1.1930591000", \ - "0.2815253000, 0.3013058000, 0.3381674000, 0.4066161000, 0.5333896000, 0.7691096000, 1.2060353000", \ - "0.3079694000, 0.3278548000, 0.3644732000, 0.4330449000, 0.5599988000, 0.7956229000, 1.2334483000", \ - "0.3618921000, 0.3819967000, 0.4185334000, 0.4868275000, 0.6135287000, 0.8490331000, 1.2872581000", \ - "0.4629306000, 0.4841963000, 0.5227009000, 0.5924164000, 0.7189120000, 0.9539647000, 1.3920682000", \ - "0.6225742000, 0.6478982000, 0.6945137000, 0.7763769000, 0.9199874000, 1.1706882000, 1.6104174000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.0483014000, 0.0540794000, 0.0643134000, 0.0830472000, 0.1163461000, 0.1762655000, 0.2864621000", \ - "0.0477765000, 0.0535795000, 0.0640257000, 0.0827279000, 0.1158327000, 0.1766764000, 0.2872921000", \ - "0.0473760000, 0.0530070000, 0.0631531000, 0.0818893000, 0.1153857000, 0.1764698000, 0.2871834000", \ - "0.0539202000, 0.0584342000, 0.0671019000, 0.0839207000, 0.1155981000, 0.1755964000, 0.2869150000", \ - "0.0753376000, 0.0802371000, 0.0888848000, 0.1038556000, 0.1312033000, 0.1835587000, 0.2888076000", \ - "0.1179117000, 0.1240403000, 0.1346685000, 0.1532757000, 0.1834266000, 0.2357550000, 0.3275697000", \ - "0.1946683000, 0.2036868000, 0.2181031000, 0.2448135000, 0.2869161000, 0.3518442000, 0.4558761000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.2052786000, 0.2322175000, 0.2798335000, 0.3716066000, 0.5386031000, 0.8510954000, 1.4323370000", \ - "0.2061574000, 0.2314799000, 0.2808582000, 0.3705870000, 0.5387035000, 0.8510015000, 1.4364471000", \ - "0.2060381000, 0.2313949000, 0.2808449000, 0.3705924000, 0.5384374000, 0.8540011000, 1.4318174000", \ - "0.2062259000, 0.2315835000, 0.2801608000, 0.3704966000, 0.5389137000, 0.8511168000, 1.4321021000", \ - "0.2061599000, 0.2322054000, 0.2801838000, 0.3705722000, 0.5385167000, 0.8504994000, 1.4330381000", \ - "0.2252963000, 0.2482880000, 0.2945047000, 0.3805265000, 0.5447415000, 0.8512819000, 1.4343088000", \ - "0.2804324000, 0.3058059000, 0.3555249000, 0.4428435000, 0.6004909000, 0.8908806000, 1.4490464000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.0267761000, 0.0294629000, 0.0344258000, 0.0432579000, 0.0591015000, 0.0873819000, 0.1389421000", \ - "0.0319248000, 0.0345374000, 0.0393416000, 0.0481189000, 0.0638526000, 0.0921625000, 0.1436990000", \ - "0.0442694000, 0.0466879000, 0.0512934000, 0.0597965000, 0.0750633000, 0.1032919000, 0.1548318000", \ - "0.0650341000, 0.0688718000, 0.0752058000, 0.0850958000, 0.1017972000, 0.1294432000, 0.1807191000", \ - "0.0910099000, 0.0967629000, 0.1066126000, 0.1228714000, 0.1482999000, 0.1868371000, 0.2423185000", \ - "0.1167786000, 0.1253013000, 0.1418888000, 0.1669309000, 0.2066892000, 0.2664010000, 0.3524831000", \ - "0.1231984000, 0.1370269000, 0.1610109000, 0.2006257000, 0.2619877000, 0.3573516000, 0.4906729000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.2468322000, 0.2667146000, 0.3035399000, 0.3721992000, 0.4988978000, 0.7336315000, 1.1710667000", \ - "0.2487926000, 0.2687432000, 0.3062517000, 0.3749425000, 0.5021631000, 0.7378793000, 1.1757523000", \ - "0.2577662000, 0.2777669000, 0.3152552000, 0.3841108000, 0.5117997000, 0.7482440000, 1.1864144000", \ - "0.2821143000, 0.3023834000, 0.3391360000, 0.4079187000, 0.5351983000, 0.7716153000, 1.2121024000", \ - "0.3368608000, 0.3564471000, 0.3932830000, 0.4618946000, 0.5887454000, 0.8245424000, 1.2634125000", \ - "0.4458603000, 0.4686317000, 0.5090708000, 0.5807995000, 0.7075380000, 0.9431013000, 1.3814920000", \ - "0.6345984000, 0.6635227000, 0.7166585000, 0.8054608000, 0.9586922000, 1.2136668000, 1.6556140000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.0304180000, 0.0334935000, 0.0392077000, 0.0496685000, 0.0688558000, 0.1045066000, 0.1704667000", \ - "0.0297592000, 0.0329324000, 0.0387218000, 0.0493126000, 0.0685962000, 0.1043890000, 0.1714384000", \ - "0.0322363000, 0.0348494000, 0.0398216000, 0.0494724000, 0.0681446000, 0.1042901000, 0.1706374000", \ - "0.0468575000, 0.0491221000, 0.0532535000, 0.0608354000, 0.0756901000, 0.1068166000, 0.1703338000", \ - "0.0755974000, 0.0788778000, 0.0845312000, 0.0946205000, 0.1108819000, 0.1365442000, 0.1878940000", \ - "0.1255946000, 0.1313062000, 0.1394044000, 0.1546361000, 0.1788710000, 0.2165293000, 0.2718670000", \ - "0.2140487000, 0.2222091000, 0.2365751000, 0.2602154000, 0.2990786000, 0.3553835000, 0.4409079000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.2061360000, 0.2315051000, 0.2801483000, 0.3708803000, 0.5400312000, 0.8510826000, 1.4357405000", \ - "0.2050922000, 0.2312139000, 0.2802862000, 0.3706206000, 0.5379334000, 0.8510305000, 1.4367366000", \ - "0.2052639000, 0.2322176000, 0.2798505000, 0.3703342000, 0.5381548000, 0.8514561000, 1.4325762000", \ - "0.2062443000, 0.2323644000, 0.2800170000, 0.3706832000, 0.5381546000, 0.8509368000, 1.4357210000", \ - "0.2073784000, 0.2329963000, 0.2817611000, 0.3717796000, 0.5400100000, 0.8509770000, 1.4331824000", \ - "0.2396890000, 0.2622951000, 0.3058360000, 0.3889291000, 0.5492936000, 0.8518604000, 1.4367232000", \ - "0.3242298000, 0.3491024000, 0.3966257000, 0.4787608000, 0.6281297000, 0.9027377000, 1.4506079000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.0276702000, 0.0300683000, 0.0345480000, 0.0427938000, 0.0577378000, 0.0851027000, 0.1359337000", \ - "0.0325579000, 0.0349231000, 0.0393661000, 0.0475654000, 0.0626012000, 0.0899947000, 0.1408493000", \ - "0.0440781000, 0.0464925000, 0.0509399000, 0.0590027000, 0.0738222000, 0.1013099000, 0.1521812000", \ - "0.0626679000, 0.0664674000, 0.0729888000, 0.0837871000, 0.0998637000, 0.1274229000, 0.1781895000", \ - "0.0842466000, 0.0903022000, 0.1007324000, 0.1177464000, 0.1441217000, 0.1835053000, 0.2398280000", \ - "0.1027584000, 0.1121095000, 0.1296106000, 0.1564493000, 0.1982451000, 0.2601067000, 0.3456105000", \ - "0.0979928000, 0.1133235000, 0.1384948000, 0.1811768000, 0.2474239000, 0.3449193000, 0.4827280000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.2216766000, 0.2417723000, 0.2789306000, 0.3478707000, 0.4749482000, 0.7101780000, 1.1475887000", \ - "0.2227049000, 0.2429147000, 0.2803011000, 0.3497084000, 0.4774677000, 0.7136127000, 1.1516128000", \ - "0.2297778000, 0.2502062000, 0.2876368000, 0.3570022000, 0.4852329000, 0.7227769000, 1.1610026000", \ - "0.2527834000, 0.2726135000, 0.3096595000, 0.3788519000, 0.5066283000, 0.7436926000, 1.1842983000", \ - "0.3083200000, 0.3281335000, 0.3652326000, 0.4338679000, 0.5611989000, 0.7980623000, 1.2376642000", \ - "0.4252322000, 0.4488038000, 0.4910136000, 0.5662681000, 0.6935559000, 0.9296072000, 1.3687754000", \ - "0.6262291000, 0.6596972000, 0.7174769000, 0.8154573000, 0.9746004000, 1.2385066000, 1.6784789000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.0259414000, 0.0289408000, 0.0345054000, 0.0447914000, 0.0638538000, 0.0994946000, 0.1663911000", \ - "0.0257807000, 0.0288083000, 0.0344427000, 0.0447341000, 0.0638435000, 0.0994762000, 0.1663027000", \ - "0.0282770000, 0.0308013000, 0.0358147000, 0.0452957000, 0.0638185000, 0.0994840000, 0.1663312000", \ - "0.0429058000, 0.0452919000, 0.0496477000, 0.0569455000, 0.0720875000, 0.1026453000, 0.1662943000", \ - "0.0713085000, 0.0746740000, 0.0805637000, 0.0909843000, 0.1076510000, 0.1339033000, 0.1843548000", \ - "0.1214231000, 0.1271394000, 0.1352524000, 0.1506363000, 0.1754583000, 0.2134048000, 0.2735566000", \ - "0.2103268000, 0.2190396000, 0.2334294000, 0.2572357000, 0.2951183000, 0.3523668000, 0.4396270000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.2053196000, 0.2313374000, 0.2799529000, 0.3705654000, 0.5400018000, 0.8510772000, 1.4322543000", \ - "0.2053753000, 0.2314699000, 0.2799184000, 0.3704871000, 0.5400242000, 0.8508552000, 1.4321770000", \ - "0.2051034000, 0.2320867000, 0.2801260000, 0.3704512000, 0.5382554000, 0.8519042000, 1.4326733000", \ - "0.2061411000, 0.2314478000, 0.2797837000, 0.3718898000, 0.5385678000, 0.8513591000, 1.4373498000", \ - "0.2098156000, 0.2345489000, 0.2816935000, 0.3715042000, 0.5384564000, 0.8530380000, 1.4372733000", \ - "0.2547203000, 0.2758734000, 0.3151883000, 0.3962212000, 0.5508191000, 0.8518509000, 1.4332099000", \ - "0.3593439000, 0.3854212000, 0.4293471000, 0.5154721000, 0.6551731000, 0.9210941000, 1.4536892000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.0229629000, 0.0253199000, 0.0297926000, 0.0378629000, 0.0529029000, 0.0809202000, 0.1327237000", \ - "0.0278111000, 0.0302060000, 0.0347879000, 0.0427637000, 0.0578816000, 0.0858518000, 0.1378743000", \ - "0.0385982000, 0.0415344000, 0.0462108000, 0.0540983000, 0.0692751000, 0.0976515000, 0.1494902000", \ - "0.0539561000, 0.0582283000, 0.0657234000, 0.0778705000, 0.0961237000, 0.1243461000, 0.1763223000", \ - "0.0708559000, 0.0781395000, 0.0902552000, 0.1092420000, 0.1379439000, 0.1797849000, 0.2384463000", \ - "0.0824928000, 0.0941640000, 0.1127195000, 0.1441306000, 0.1906675000, 0.2560653000, 0.3477575000", \ - "0.0675176000, 0.0870846000, 0.1167890000, 0.1654763000, 0.2376048000, 0.3437696000, 0.4886160000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.1636408000, 0.1837952000, 0.2212614000, 0.2901101000, 0.4171904000, 0.6526985000, 1.0898760000", \ - "0.1632356000, 0.1843931000, 0.2217649000, 0.2913468000, 0.4189935000, 0.6551317000, 1.0933190000", \ - "0.1689428000, 0.1896694000, 0.2273811000, 0.2969027000, 0.4253488000, 0.6625392000, 1.1018553000", \ - "0.1919431000, 0.2116513000, 0.2475041000, 0.3166843000, 0.4447319000, 0.6824210000, 1.1229769000", \ - "0.2511599000, 0.2699109000, 0.3060272000, 0.3740598000, 0.4983888000, 0.7349971000, 1.1744594000", \ - "0.3751602000, 0.3989967000, 0.4407375000, 0.5134689000, 0.6363147000, 0.8691247000, 1.3071023000", \ - "0.5696984000, 0.6042526000, 0.6646321000, 0.7684663000, 0.9315707000, 1.1885047000, 1.6159526000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.0213462000, 0.0245466000, 0.0304096000, 0.0410917000, 0.0608201000, 0.0976384000, 0.1661651000", \ - "0.0213602000, 0.0245484000, 0.0304144000, 0.0410850000, 0.0608537000, 0.0974917000, 0.1661469000", \ - "0.0257780000, 0.0282182000, 0.0329436000, 0.0423709000, 0.0609696000, 0.0976725000, 0.1661763000", \ - "0.0415816000, 0.0440613000, 0.0485236000, 0.0558416000, 0.0702973000, 0.1012890000, 0.1663462000", \ - "0.0696971000, 0.0731889000, 0.0793187000, 0.0898465000, 0.1067635000, 0.1331012000, 0.1851118000", \ - "0.1207178000, 0.1259165000, 0.1363518000, 0.1506335000, 0.1753347000, 0.2125467000, 0.2706948000", \ - "0.2146026000, 0.2220957000, 0.2355890000, 0.2584322000, 0.2974749000, 0.3531494000, 0.4362840000"); - } - related_pin : "D1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009327010, 0.0017398600, 0.0032455400, 0.0060542500, 0.0112936000, 0.0210671000"); - values("0.2045573000, 0.2302182000, 0.2795617000, 0.3700464000, 0.5393937000, 0.8511306000, 1.4323210000", \ - "0.2041298000, 0.2302448000, 0.2793542000, 0.3715176000, 0.5383144000, 0.8510729000, 1.4327199000", \ - "0.2023074000, 0.2291994000, 0.2799250000, 0.3700038000, 0.5391905000, 0.8508048000, 1.4331736000", \ - "0.1990606000, 0.2267869000, 0.2776911000, 0.3705833000, 0.5380097000, 0.8534764000, 1.4373946000", \ - "0.2059725000, 0.2301874000, 0.2768094000, 0.3670374000, 0.5384720000, 0.8508707000, 1.4329443000", \ - "0.2608377000, 0.2869545000, 0.3266881000, 0.4022797000, 0.5521412000, 0.8489037000, 1.4332027000", \ - "0.3576494000, 0.3888753000, 0.4434487000, 0.5367459000, 0.6880838000, 0.9430084000, 1.4621897000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a2111oi_1") { - leakage_power () { - value : 0.0007679000; - when : "!A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0016064000; - when : "!A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 4.951635e-05; - when : "!A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0004041000; - when : "!A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 4.9203117e-05; - when : "!A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0003824000; - when : "!A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 2.9261878e-05; - when : "!A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 5.2565696e-05; - when : "!A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0007679000; - when : "!A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0020597000; - when : "!A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 4.951635e-05; - when : "!A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0004041000; - when : "!A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 4.9203121e-05; - when : "!A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0003824000; - when : "!A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 2.9261878e-05; - when : "!A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 5.2565696e-05; - when : "!A1&A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0007679000; - when : "A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0018577000; - when : "A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 4.951635e-05; - when : "A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0004041000; - when : "A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 4.9203117e-05; - when : "A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0003824000; - when : "A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 2.9261986e-05; - when : "A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 5.2565696e-05; - when : "A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0001610000; - when : "A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0015384000; - when : "A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 4.1952329e-05; - when : "A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0001424000; - when : "A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 4.2998238e-05; - when : "A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0001448000; - when : "A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 2.7212565e-05; - when : "A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 4.5938449e-05; - when : "A1&A2&B1&C1&!D1"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__a2111oi"; - cell_leakage_power : 0.0004022940; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0022890000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022190000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044634000, 0.0044657000, 0.0044710000, 0.0044710000, 0.0044712000, 0.0044716000, 0.0044725000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003547700, -0.003550800, -0.003558000, -0.003550300, -0.003532400, -0.003491300, -0.003396400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023590000; - } - pin ("A2") { - capacitance : 0.0023500000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022440000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040686000, 0.0040676000, 0.0040652000, 0.0040675000, 0.0040728000, 0.0040848000, 0.0041127000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004063500, -0.004063800, -0.004064600, -0.004064700, -0.004065000, -0.004065800, -0.004067600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024560000; - } - pin ("B1") { - capacitance : 0.0024050000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022590000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041281000, 0.0041251000, 0.0041182000, 0.0041186000, 0.0041194000, 0.0041212000, 0.0041255000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003573800, -0.003596700, -0.003649400, -0.003665300, -0.003701800, -0.003785900, -0.003979800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025500000; - } - pin ("C1") { - capacitance : 0.0024310000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022710000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038849000, 0.0038852000, 0.0038861000, 0.0038840000, 0.0038791000, 0.0038679000, 0.0038420000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003468600, -0.003542800, -0.003713700, -0.003719100, -0.003731500, -0.003760100, -0.003826000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025900000; - } - pin ("D1") { - capacitance : 0.0024550000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027743000, 0.0027719000, 0.0027664000, 0.0027743000, 0.0027924000, 0.0028343000, 0.0029307000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001868000, -0.001869500, -0.001873100, -0.001872700, -0.001871500, -0.001869000, -0.001863100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026610000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1&!C1&!D1) | (!A2&!B1&!C1&!D1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009954587, 0.0019818760, 0.0039457520, 0.0078556670, 0.0156399900, 0.0311379200"); - values("0.0088256000, 0.0080353000, 0.0064474000, 0.0032728000, -0.003011500, -0.015481300, -0.040311000", \ - "0.0087040000, 0.0079001000, 0.0063311000, 0.0031699000, -0.003115500, -0.015566400, -0.040415400", \ - "0.0085679000, 0.0077665000, 0.0061772000, 0.0030161000, -0.003255200, -0.015700400, -0.040527700", \ - "0.0084302000, 0.0076524000, 0.0060252000, 0.0029015000, -0.003404800, -0.015878100, -0.040698100", \ - "0.0082391000, 0.0074371000, 0.0058611000, 0.0027612000, -0.003493300, -0.015920500, -0.040811400", \ - "0.0084974000, 0.0076830000, 0.0060351000, 0.0028449000, -0.003535700, -0.016020500, -0.040748100", \ - "0.0097071000, 0.0088844000, 0.0073427000, 0.0040342000, -0.002263600, -0.014910900, -0.040347700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009954587, 0.0019818760, 0.0039457520, 0.0078556670, 0.0156399900, 0.0311379200"); - values("0.0157701000, 0.0165969000, 0.0182367000, 0.0214060000, 0.0277117000, 0.0401954000, 0.0651089000", \ - "0.0155621000, 0.0164335000, 0.0180608000, 0.0212688000, 0.0276066000, 0.0401558000, 0.0649925000", \ - "0.0153163000, 0.0161474000, 0.0178096000, 0.0210055000, 0.0273871000, 0.0399714000, 0.0648526000", \ - "0.0151291000, 0.0159170000, 0.0175605000, 0.0207952000, 0.0271352000, 0.0397494000, 0.0647056000", \ - "0.0149653000, 0.0157843000, 0.0174033000, 0.0205573000, 0.0268988000, 0.0394592000, 0.0643957000", \ - "0.0149348000, 0.0157486000, 0.0173179000, 0.0204834000, 0.0268162000, 0.0392716000, 0.0641559000", \ - "0.0148993000, 0.0157041000, 0.0173210000, 0.0205181000, 0.0268285000, 0.0391929000, 0.0641516000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009954587, 0.0019818760, 0.0039457520, 0.0078556670, 0.0156399900, 0.0311379200"); - values("0.0092566000, 0.0084675000, 0.0068713000, 0.0037159000, -0.002579900, -0.015041200, -0.039873200", \ - "0.0091504000, 0.0083463000, 0.0067656000, 0.0035941000, -0.002705200, -0.015154400, -0.039976300", \ - "0.0090042000, 0.0082027000, 0.0066140000, 0.0034552000, -0.002833200, -0.015290700, -0.040124900", \ - "0.0088831000, 0.0081022000, 0.0065137000, 0.0033689000, -0.002941300, -0.015417000, -0.040249000", \ - "0.0087868000, 0.0079941000, 0.0064153000, 0.0033062000, -0.003076900, -0.015518500, -0.040296400", \ - "0.0089371000, 0.0081393000, 0.0065350000, 0.0033243000, -0.003077000, -0.015548600, -0.040387300", \ - "0.0099036000, 0.0090918000, 0.0074448000, 0.0042578000, -0.002144100, -0.014822300, -0.040042400"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009954587, 0.0019818760, 0.0039457520, 0.0078556670, 0.0156399900, 0.0311379200"); - values("0.0189319000, 0.0197326000, 0.0213250000, 0.0244956000, 0.0307591000, 0.0432426000, 0.0679874000", \ - "0.0188103000, 0.0196178000, 0.0212188000, 0.0243994000, 0.0306909000, 0.0431897000, 0.0679459000", \ - "0.0186418000, 0.0194497000, 0.0210631000, 0.0242601000, 0.0305625000, 0.0431034000, 0.0679606000", \ - "0.0184845000, 0.0192971000, 0.0209029000, 0.0240937000, 0.0304225000, 0.0429692000, 0.0677954000", \ - "0.0183591000, 0.0191624000, 0.0207510000, 0.0239386000, 0.0302265000, 0.0427780000, 0.0675761000", \ - "0.0183119000, 0.0191174000, 0.0207226000, 0.0238927000, 0.0301865000, 0.0427115000, 0.0675153000", \ - "0.0182392000, 0.0190423000, 0.0206533000, 0.0238576000, 0.0300923000, 0.0425935000, 0.0674605000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009954587, 0.0019818760, 0.0039457520, 0.0078556670, 0.0156399900, 0.0311379200"); - values("0.0061823000, 0.0053802000, 0.0037891000, 0.0006448000, -0.005603500, -0.018096000, -0.043072500", \ - "0.0061910000, 0.0053917000, 0.0038121000, 0.0006583000, -0.005614200, -0.018088900, -0.043066600", \ - "0.0061776000, 0.0054071000, 0.0038145000, 0.0006613000, -0.005580600, -0.018098500, -0.043054200", \ - "0.0060266000, 0.0052391000, 0.0036773000, 0.0005525000, -0.005697600, -0.018154000, -0.043106300", \ - "0.0061514000, 0.0053549000, 0.0037448000, 0.0005339000, -0.005746600, -0.018188900, -0.043058400", \ - "0.0065912000, 0.0056439000, 0.0040434000, 0.0008898000, -0.005277100, -0.017990100, -0.042940000", \ - "0.0076113000, 0.0067871000, 0.0051683000, 0.0019743000, -0.004578500, -0.017145900, -0.042035200"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009954587, 0.0019818760, 0.0039457520, 0.0078556670, 0.0156399900, 0.0311379200"); - values("0.0164952000, 0.0173026000, 0.0189108000, 0.0221290000, 0.0283962000, 0.0409370000, 0.0656363000", \ - "0.0162999000, 0.0171115000, 0.0187306000, 0.0219425000, 0.0282933000, 0.0407787000, 0.0656956000", \ - "0.0160873000, 0.0169091000, 0.0185323000, 0.0217513000, 0.0281000000, 0.0406742000, 0.0655718000", \ - "0.0159325000, 0.0167437000, 0.0183481000, 0.0215527000, 0.0278699000, 0.0404772000, 0.0653923000", \ - "0.0158198000, 0.0166280000, 0.0182363000, 0.0214206000, 0.0277028000, 0.0402724000, 0.0651626000", \ - "0.0157814000, 0.0165863000, 0.0181653000, 0.0213317000, 0.0276262000, 0.0401367000, 0.0650232000", \ - "0.0157766000, 0.0165743000, 0.0181746000, 0.0213375000, 0.0276678000, 0.0401926000, 0.0649525000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009954587, 0.0019818760, 0.0039457520, 0.0078556670, 0.0156399900, 0.0311379200"); - values("0.0049227000, 0.0041263000, 0.0025344000, -0.000632200, -0.006929000, -0.019506400, -0.044566300", \ - "0.0049865000, 0.0041955000, 0.0025984000, -0.000556100, -0.006847100, -0.019410200, -0.044465400", \ - "0.0051171000, 0.0043292000, 0.0027523000, -0.000383000, -0.006678900, -0.019221900, -0.044256600", \ - "0.0049652000, 0.0041894000, 0.0026407000, -0.000476500, -0.006697600, -0.019222700, -0.044228100", \ - "0.0050089000, 0.0042263000, 0.0027151000, -0.000410600, -0.006824400, -0.019264500, -0.044203100", \ - "0.0050795000, 0.0042866000, 0.0027072000, -0.000437700, -0.006702600, -0.019219800, -0.044287100", \ - "0.0060924000, 0.0052682000, 0.0036309000, 0.0004148000, -0.006037900, -0.018588800, -0.043836100"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009954587, 0.0019818760, 0.0039457520, 0.0078556670, 0.0156399900, 0.0311379200"); - values("0.0143801000, 0.0151952000, 0.0168087000, 0.0200063000, 0.0263101000, 0.0387837000, 0.0636266000", \ - "0.0141958000, 0.0150101000, 0.0166364000, 0.0198404000, 0.0261861000, 0.0387304000, 0.0636035000", \ - "0.0139752000, 0.0147963000, 0.0164145000, 0.0196286000, 0.0259876000, 0.0385626000, 0.0634431000", \ - "0.0138313000, 0.0146405000, 0.0162492000, 0.0194338000, 0.0258043000, 0.0383612000, 0.0632870000", \ - "0.0137302000, 0.0145216000, 0.0161386000, 0.0193095000, 0.0256408000, 0.0382024000, 0.0631194000", \ - "0.0136724000, 0.0144893000, 0.0160857000, 0.0192795000, 0.0256179000, 0.0381002000, 0.0629094000", \ - "0.0139431000, 0.0147068000, 0.0163013000, 0.0194339000, 0.0256609000, 0.0382269000, 0.0631420000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009954587, 0.0019818760, 0.0039457520, 0.0078556670, 0.0156399900, 0.0311379200"); - values("0.0017555000, 0.0009496000, -0.000647500, -0.003831000, -0.010178900, -0.022784200, -0.047878700", \ - "0.0016744000, 0.0008883000, -0.000693200, -0.003846000, -0.010141300, -0.022716300, -0.047793100", \ - "0.0015272000, 0.0007605000, -0.000791000, -0.003900400, -0.010153200, -0.022670600, -0.047711700", \ - "0.0013100000, 0.0005560000, -0.000971400, -0.004032800, -0.010224600, -0.022695200, -0.047694100", \ - "0.0012273000, 0.0004631000, -0.001055800, -0.004115600, -0.010395000, -0.022805900, -0.047696400", \ - "0.0013087000, 0.0005118000, -0.001065700, -0.004198800, -0.010423200, -0.022850600, -0.047852700", \ - "0.0023781000, 0.0015309000, -6.27000e-05, -0.003354700, -0.009871700, -0.022332000, -0.047629900"); - } - related_pin : "D1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009954587, 0.0019818760, 0.0039457520, 0.0078556670, 0.0156399900, 0.0311379200"); - values("0.0136526000, 0.0144725000, 0.0160886000, 0.0193264000, 0.0256584000, 0.0381680000, 0.0630669000", \ - "0.0133721000, 0.0142133000, 0.0158686000, 0.0191165000, 0.0255078000, 0.0380765000, 0.0629917000", \ - "0.0130996000, 0.0139160000, 0.0155471000, 0.0188118000, 0.0252165000, 0.0378688000, 0.0628442000", \ - "0.0128651000, 0.0136899000, 0.0153271000, 0.0185634000, 0.0249290000, 0.0375635000, 0.0625902000", \ - "0.0129280000, 0.0136775000, 0.0152482000, 0.0184095000, 0.0247164000, 0.0373165000, 0.0622653000", \ - "0.0134967000, 0.0142729000, 0.0158554000, 0.0189093000, 0.0248848000, 0.0372522000, 0.0620555000", \ - "0.0141752000, 0.0149744000, 0.0164958000, 0.0195713000, 0.0256203000, 0.0378506000, 0.0621376000"); - } - } - max_capacitance : 0.0311380000; - max_transition : 1.4962260000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.0360371000, 0.0392184000, 0.0453209000, 0.0571346000, 0.0794801000, 0.1216245000, 0.2016757000", \ - "0.0406190000, 0.0437328000, 0.0497408000, 0.0613892000, 0.0836206000, 0.1256745000, 0.2056768000", \ - "0.0519227000, 0.0548427000, 0.0606355000, 0.0719315000, 0.0937850000, 0.1356108000, 0.2154509000", \ - "0.0762122000, 0.0796765000, 0.0863394000, 0.0976838000, 0.1187520000, 0.1589918000, 0.2383997000", \ - "0.1087408000, 0.1138104000, 0.1232851000, 0.1401680000, 0.1692116000, 0.2161564000, 0.2942512000", \ - "0.1438306000, 0.1514219000, 0.1656288000, 0.1898440000, 0.2328403000, 0.3034748000, 0.4098196000", \ - "0.1587622000, 0.1699241000, 0.1896235000, 0.2275805000, 0.2924430000, 0.3981615000, 0.5639192000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.2438844000, 0.2592904000, 0.2906459000, 0.3503352000, 0.4695324000, 0.7056698000, 1.1801112000", \ - "0.2465884000, 0.2626633000, 0.2937651000, 0.3540947000, 0.4740247000, 0.7165225000, 1.1788805000", \ - "0.2567157000, 0.2720182000, 0.3038269000, 0.3646920000, 0.4848588000, 0.7226010000, 1.2023050000", \ - "0.2838634000, 0.2999603000, 0.3301779000, 0.3916988000, 0.5109475000, 0.7490252000, 1.2206537000", \ - "0.3427540000, 0.3579929000, 0.3885622000, 0.4489259000, 0.5692937000, 0.8097885000, 1.2835453000", \ - "0.4543338000, 0.4708687000, 0.5030813000, 0.5660524000, 0.6857335000, 0.9218892000, 1.3913207000", \ - "0.6380268000, 0.6582409000, 0.6972310000, 0.7726358000, 0.9101356000, 1.1646830000, 1.6376550000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.0421922000, 0.0460644000, 0.0536361000, 0.0679992000, 0.0958438000, 0.1487195000, 0.2502148000", \ - "0.0414006000, 0.0452933000, 0.0529621000, 0.0674758000, 0.0953444000, 0.1480206000, 0.2509588000", \ - "0.0421598000, 0.0455796000, 0.0526348000, 0.0666060000, 0.0943794000, 0.1476952000, 0.2496430000", \ - "0.0547491000, 0.0580184000, 0.0629966000, 0.0738381000, 0.0973910000, 0.1471725000, 0.2499719000", \ - "0.0825406000, 0.0864115000, 0.0935758000, 0.1065424000, 0.1284378000, 0.1672252000, 0.2566785000", \ - "0.1311969000, 0.1367585000, 0.1471632000, 0.1652132000, 0.1958100000, 0.2451058000, 0.3279870000", \ - "0.2177443000, 0.2260393000, 0.2438233000, 0.2690973000, 0.3139726000, 0.3891342000, 0.5017994000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.1792211000, 0.1991000000, 0.2396399000, 0.3181912000, 0.4753895000, 0.7875030000, 1.4120938000", \ - "0.1792531000, 0.1996764000, 0.2396068000, 0.3182095000, 0.4756679000, 0.7911314000, 1.4065924000", \ - "0.1796127000, 0.1995713000, 0.2395865000, 0.3179002000, 0.4762205000, 0.7871963000, 1.4145554000", \ - "0.1797315000, 0.1999248000, 0.2390506000, 0.3182279000, 0.4749243000, 0.7873361000, 1.4088321000", \ - "0.1804381000, 0.2002998000, 0.2398751000, 0.3183145000, 0.4759669000, 0.7894437000, 1.4120592000", \ - "0.1997620000, 0.2189384000, 0.2548732000, 0.3290993000, 0.4813855000, 0.7881057000, 1.4093112000", \ - "0.2533392000, 0.2730293000, 0.3127857000, 0.3905811000, 0.5422370000, 0.8253889000, 1.4238386000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.0410659000, 0.0441829000, 0.0503149000, 0.0620259000, 0.0843167000, 0.1264635000, 0.2064993000", \ - "0.0457465000, 0.0488781000, 0.0548846000, 0.0665592000, 0.0887508000, 0.1307687000, 0.2108154000", \ - "0.0560891000, 0.0591103000, 0.0650060000, 0.0764743000, 0.0984953000, 0.1404187000, 0.2202988000", \ - "0.0781380000, 0.0815140000, 0.0878403000, 0.0997324000, 0.1213786000, 0.1628733000, 0.2425857000", \ - "0.1123173000, 0.1169048000, 0.1253308000, 0.1407170000, 0.1675506000, 0.2132324000, 0.2941315000", \ - "0.1559477000, 0.1622261000, 0.1750531000, 0.1980812000, 0.2365673000, 0.2998894000, 0.4008502000", \ - "0.1904545000, 0.2007793000, 0.2198972000, 0.2539723000, 0.3148800000, 0.4124906000, 0.5618762000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.2883347000, 0.3038025000, 0.3346436000, 0.3960277000, 0.5173701000, 0.7583514000, 1.2361687000", \ - "0.2921752000, 0.3076164000, 0.3389397000, 0.4004388000, 0.5221690000, 0.7633467000, 1.2415948000", \ - "0.3032711000, 0.3188099000, 0.3502607000, 0.4118778000, 0.5341091000, 0.7760044000, 1.2548086000", \ - "0.3295881000, 0.3452432000, 0.3761388000, 0.4380079000, 0.5602468000, 0.8025327000, 1.2818162000", \ - "0.3833753000, 0.3993654000, 0.4302931000, 0.4918356000, 0.6136344000, 0.8556177000, 1.3362973000", \ - "0.4859088000, 0.5026244000, 0.5349349000, 0.5975432000, 0.7193917000, 0.9604271000, 1.4408784000", \ - "0.6512726000, 0.6708715000, 0.7090765000, 0.7821589000, 0.9198970000, 1.1759812000, 1.6579031000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.0418914000, 0.0458179000, 0.0532591000, 0.0679792000, 0.0956462000, 0.1484382000, 0.2505465000", \ - "0.0413751000, 0.0452693000, 0.0529103000, 0.0676168000, 0.0952540000, 0.1477840000, 0.2499043000", \ - "0.0414985000, 0.0452017000, 0.0524569000, 0.0666799000, 0.0945670000, 0.1477602000, 0.2498797000", \ - "0.0497506000, 0.0527944000, 0.0588899000, 0.0708622000, 0.0960854000, 0.1473803000, 0.2500302000", \ - "0.0726995000, 0.0762274000, 0.0831481000, 0.0955659000, 0.1160935000, 0.1589680000, 0.2555672000", \ - "0.1150887000, 0.1199004000, 0.1289246000, 0.1437045000, 0.1698865000, 0.2163564000, 0.2994327000", \ - "0.1907062000, 0.1975157000, 0.2097935000, 0.2315230000, 0.2689167000, 0.3333545000, 0.4353797000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.2174081000, 0.2380815000, 0.2792213000, 0.3598143000, 0.5216149000, 0.8414930000, 1.4730394000", \ - "0.2175153000, 0.2381078000, 0.2791591000, 0.3598187000, 0.5222243000, 0.8422728000, 1.4720478000", \ - "0.2175386000, 0.2380971000, 0.2797902000, 0.3600588000, 0.5209833000, 0.8413530000, 1.4757222000", \ - "0.2177834000, 0.2380937000, 0.2791704000, 0.3598394000, 0.5210134000, 0.8414203000, 1.4720328000", \ - "0.2185220000, 0.2392705000, 0.2790697000, 0.3608006000, 0.5209133000, 0.8421269000, 1.4739611000", \ - "0.2343130000, 0.2528541000, 0.2918307000, 0.3696316000, 0.5265484000, 0.8400200000, 1.4769376000", \ - "0.2852622000, 0.3053449000, 0.3475192000, 0.4263312000, 0.5795424000, 0.8775364000, 1.4886771000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.0245600000, 0.0264606000, 0.0302425000, 0.0374207000, 0.0511606000, 0.0771515000, 0.1272972000", \ - "0.0296798000, 0.0315607000, 0.0351928000, 0.0422979000, 0.0558194000, 0.0818116000, 0.1319845000", \ - "0.0417155000, 0.0437407000, 0.0470619000, 0.0538369000, 0.0670745000, 0.0927143000, 0.1427638000", \ - "0.0605782000, 0.0633624000, 0.0685213000, 0.0776697000, 0.0926594000, 0.1183504000, 0.1680630000", \ - "0.0830888000, 0.0873338000, 0.0951972000, 0.1090638000, 0.1328997000, 0.1706154000, 0.2278377000", \ - "0.0993118000, 0.1068871000, 0.1193724000, 0.1410631000, 0.1774201000, 0.2364468000, 0.3253096000", \ - "0.0859753000, 0.0962292000, 0.1154106000, 0.1497412000, 0.2085060000, 0.3010830000, 0.4393489000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.2673640000, 0.2829265000, 0.3143152000, 0.3760088000, 0.4979405000, 0.7394266000, 1.2176382000", \ - "0.2692610000, 0.2851289000, 0.3164122000, 0.3785668000, 0.5011423000, 0.7428346000, 1.2224106000", \ - "0.2783098000, 0.2939570000, 0.3255572000, 0.3876575000, 0.5105608000, 0.7537097000, 1.2336864000", \ - "0.3036540000, 0.3192158000, 0.3504440000, 0.4123752000, 0.5348250000, 0.7777185000, 1.2588750000", \ - "0.3607918000, 0.3763431000, 0.4073095000, 0.4688481000, 0.5909223000, 0.8329629000, 1.3139261000", \ - "0.4778687000, 0.4950386000, 0.5290479000, 0.5926207000, 0.7144678000, 0.9558579000, 1.4357684000", \ - "0.6861217000, 0.7081572000, 0.7501418000, 0.8288147000, 0.9752917000, 1.2364926000, 1.7183546000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.0288042000, 0.0309796000, 0.0353175000, 0.0437648000, 0.0602101000, 0.0925224000, 0.1565877000", \ - "0.0282550000, 0.0303572000, 0.0347207000, 0.0432746000, 0.0598663000, 0.0923769000, 0.1565597000", \ - "0.0315322000, 0.0332136000, 0.0367203000, 0.0441877000, 0.0597619000, 0.0919308000, 0.1563348000", \ - "0.0468668000, 0.0484653000, 0.0515112000, 0.0572783000, 0.0692576000, 0.0964156000, 0.1566130000", \ - "0.0749717000, 0.0773542000, 0.0818836000, 0.0904170000, 0.1049897000, 0.1301134000, 0.1773051000", \ - "0.1250398000, 0.1282458000, 0.1351672000, 0.1481878000, 0.1709319000, 0.2071340000, 0.2656562000", \ - "0.2124498000, 0.2186524000, 0.2299008000, 0.2498997000, 0.2844370000, 0.3403412000, 0.4290740000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.2174994000, 0.2380907000, 0.2791965000, 0.3597775000, 0.5213995000, 0.8415044000, 1.4729452000", \ - "0.2177993000, 0.2378980000, 0.2789475000, 0.3600061000, 0.5222341000, 0.8394154000, 1.4772370000", \ - "0.2173724000, 0.2380857000, 0.2792935000, 0.3598014000, 0.5217568000, 0.8403130000, 1.4771044000", \ - "0.2174588000, 0.2380973000, 0.2792294000, 0.3599067000, 0.5208610000, 0.8396586000, 1.4770694000", \ - "0.2192455000, 0.2390047000, 0.2802622000, 0.3601253000, 0.5207817000, 0.8421844000, 1.4768974000", \ - "0.2456085000, 0.2635769000, 0.3007414000, 0.3765814000, 0.5303869000, 0.8418164000, 1.4776151000", \ - "0.3265911000, 0.3457984000, 0.3845189000, 0.4588807000, 0.6043003000, 0.8878531000, 1.4920077000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.0243466000, 0.0260540000, 0.0294436000, 0.0359857000, 0.0486161000, 0.0733274000, 0.1219969000", \ - "0.0292151000, 0.0308843000, 0.0341431000, 0.0406753000, 0.0533249000, 0.0780404000, 0.1267182000", \ - "0.0404628000, 0.0422782000, 0.0456038000, 0.0520130000, 0.0643784000, 0.0891158000, 0.1377330000", \ - "0.0569639000, 0.0597319000, 0.0649171000, 0.0741480000, 0.0896702000, 0.1145959000, 0.1631508000", \ - "0.0746979000, 0.0791503000, 0.0866512000, 0.1014752000, 0.1263266000, 0.1648952000, 0.2227769000", \ - "0.0841658000, 0.0912163000, 0.1042941000, 0.1274824000, 0.1662610000, 0.2269953000, 0.3178297000", \ - "0.0558854000, 0.0671351000, 0.0880282000, 0.1250347000, 0.1873398000, 0.2832788000, 0.4266623000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.2287418000, 0.2445499000, 0.2760527000, 0.3377864000, 0.4598093000, 0.7008802000, 1.1798177000", \ - "0.2306773000, 0.2462870000, 0.2780534000, 0.3401326000, 0.4626306000, 0.7047521000, 1.1837806000", \ - "0.2392481000, 0.2551103000, 0.2863326000, 0.3486971000, 0.4715467000, 0.7146055000, 1.1940740000", \ - "0.2635829000, 0.2793293000, 0.3104356000, 0.3725326000, 0.4948704000, 0.7375452000, 1.2185596000", \ - "0.3221730000, 0.3375457000, 0.3689918000, 0.4306258000, 0.5525745000, 0.7946830000, 1.2753039000", \ - "0.4473877000, 0.4653398000, 0.5006726000, 0.5679109000, 0.6895124000, 0.9314783000, 1.4113620000", \ - "0.6712884000, 0.6968396000, 0.7442688000, 0.8301762000, 0.9819379000, 1.2524296000, 1.7333792000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.0246019000, 0.0266631000, 0.0307567000, 0.0387752000, 0.0547500000, 0.0865295000, 0.1500746000", \ - "0.0243104000, 0.0263949000, 0.0305519000, 0.0386988000, 0.0546995000, 0.0865158000, 0.1500574000", \ - "0.0279115000, 0.0295846000, 0.0329899000, 0.0400380000, 0.0550552000, 0.0866278000, 0.1500183000", \ - "0.0429138000, 0.0446641000, 0.0479406000, 0.0540037000, 0.0656139000, 0.0921284000, 0.1516402000", \ - "0.0702977000, 0.0727912000, 0.0785186000, 0.0870645000, 0.1015303000, 0.1270947000, 0.1730111000", \ - "0.1192490000, 0.1231695000, 0.1306449000, 0.1438764000, 0.1665530000, 0.2042341000, 0.2615539000", \ - "0.2086684000, 0.2147901000, 0.2262757000, 0.2467786000, 0.2815717000, 0.3382316000, 0.4222422000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.2174595000, 0.2378675000, 0.2792903000, 0.3597061000, 0.5223866000, 0.8395881000, 1.4747797000", \ - "0.2174895000, 0.2380993000, 0.2798366000, 0.3599811000, 0.5213147000, 0.8422605000, 1.4745829000", \ - "0.2180846000, 0.2380301000, 0.2788842000, 0.3611618000, 0.5219165000, 0.8402660000, 1.4732339000", \ - "0.2174012000, 0.2378369000, 0.2797558000, 0.3604415000, 0.5207138000, 0.8400452000, 1.4744248000", \ - "0.2203483000, 0.2402036000, 0.2796084000, 0.3611330000, 0.5215210000, 0.8421668000, 1.4773828000", \ - "0.2576544000, 0.2744725000, 0.3096295000, 0.3832145000, 0.5315650000, 0.8421446000, 1.4759726000", \ - "0.3623249000, 0.3823890000, 0.4200050000, 0.4927234000, 0.6294135000, 0.9032852000, 1.4872467000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.0212846000, 0.0229046000, 0.0260763000, 0.0324210000, 0.0449639000, 0.0696183000, 0.1186578000", \ - "0.0259574000, 0.0276664000, 0.0308306000, 0.0371578000, 0.0497100000, 0.0744916000, 0.1235943000", \ - "0.0359689000, 0.0380792000, 0.0418781000, 0.0484598000, 0.0609925000, 0.0854790000, 0.1346102000", \ - "0.0485518000, 0.0518760000, 0.0579311000, 0.0683628000, 0.0852971000, 0.1117290000, 0.1607712000", \ - "0.0603120000, 0.0656437000, 0.0752516000, 0.0918602000, 0.1185628000, 0.1595464000, 0.2197114000", \ - "0.0598846000, 0.0684452000, 0.0839949000, 0.1106217000, 0.1534700000, 0.2183678000, 0.3134749000", \ - "0.0164194000, 0.0301449000, 0.0551986000, 0.0977700000, 0.1670653000, 0.2701098000, 0.4212426000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.1748793000, 0.1904811000, 0.2225552000, 0.2847977000, 0.4073951000, 0.6490447000, 1.1283884000", \ - "0.1746997000, 0.1909742000, 0.2228850000, 0.2855418000, 0.4089531000, 0.6516084000, 1.1315642000", \ - "0.1809250000, 0.1967215000, 0.2279223000, 0.2909974000, 0.4151897000, 0.6591225000, 1.1399256000", \ - "0.2035372000, 0.2192111000, 0.2504666000, 0.3128343000, 0.4354840000, 0.6795517000, 1.1608402000", \ - "0.2655585000, 0.2804219000, 0.3111627000, 0.3722463000, 0.4942134000, 0.7366640000, 1.2176370000", \ - "0.4054050000, 0.4234276000, 0.4574761000, 0.5198926000, 0.6364838000, 0.8758624000, 1.3539918000", \ - "0.6293597000, 0.6571360000, 0.7066757000, 0.7967305000, 0.9528391000, 1.2100028000, 1.6768126000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.0199226000, 0.0221109000, 0.0263863000, 0.0346923000, 0.0510690000, 0.0835792000, 0.1480371000", \ - "0.0200563000, 0.0221385000, 0.0263804000, 0.0347005000, 0.0510821000, 0.0835554000, 0.1485744000", \ - "0.0253897000, 0.0268817000, 0.0301637000, 0.0370512000, 0.0517748000, 0.0835407000, 0.1479681000", \ - "0.0413062000, 0.0430844000, 0.0463979000, 0.0526736000, 0.0638283000, 0.0897117000, 0.1493095000", \ - "0.0691082000, 0.0715865000, 0.0765545000, 0.0852611000, 0.1007475000, 0.1262416000, 0.1732153000", \ - "0.1202257000, 0.1238601000, 0.1307321000, 0.1439140000, 0.1660658000, 0.2032294000, 0.2607894000", \ - "0.2141682000, 0.2192281000, 0.2296131000, 0.2494342000, 0.2827352000, 0.3387292000, 0.4224397000"); - } - related_pin : "D1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009954590, 0.0019818800, 0.0039457500, 0.0078556700, 0.0156400000, 0.0311379000"); - values("0.2158328000, 0.2371655000, 0.2783720000, 0.3601615000, 0.5221399000, 0.8396978000, 1.4773419000", \ - "0.2153276000, 0.2364691000, 0.2785576000, 0.3597511000, 0.5206527000, 0.8393422000, 1.4764379000", \ - "0.2142676000, 0.2354470000, 0.2780553000, 0.3598188000, 0.5205165000, 0.8421402000, 1.4760132000", \ - "0.2109600000, 0.2334977000, 0.2756981000, 0.3584990000, 0.5206688000, 0.8403299000, 1.4731065000", \ - "0.2143524000, 0.2341487000, 0.2748418000, 0.3542468000, 0.5189407000, 0.8420458000, 1.4763905000", \ - "0.2691199000, 0.2872484000, 0.3210062000, 0.3877609000, 0.5324846000, 0.8412728000, 1.4728783000", \ - "0.3690266000, 0.3929555000, 0.4380396000, 0.5194155000, 0.6633735000, 0.9240099000, 1.4962257000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a2111oi_2") { - leakage_power () { - value : 0.0015199000; - when : "!A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0032827000; - when : "!A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0001769000; - when : "!A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0016900000; - when : "!A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0002059000; - when : "!A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0019151000; - when : "!A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0001026000; - when : "!A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0002221000; - when : "!A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0015199000; - when : "!A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0041276000; - when : "!A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0001769000; - when : "!A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0016900000; - when : "!A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0002062000; - when : "!A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0019151000; - when : "!A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0001037000; - when : "!A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0002221000; - when : "!A1&A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0015199000; - when : "A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0040104000; - when : "A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0001769000; - when : "A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0016900000; - when : "A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0002063000; - when : "A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0019151000; - when : "A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0001025000; - when : "A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0002221000; - when : "A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0003607000; - when : "A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0037175000; - when : "A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0001302000; - when : "A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0003838000; - when : "A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0002334000; - when : "A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0004051000; - when : "A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 8.6054549e-05; - when : "A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0001598000; - when : "A1&A2&B1&C1&!D1"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__a2111oi"; - cell_leakage_power : 0.0010748780; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0048170000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0046530000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0097740000, 0.0097773000, 0.0097849000, 0.0097880000, 0.0097953000, 0.0098122000, 0.0098511000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007508900, -0.007526400, -0.007566600, -0.007550400, -0.007513000, -0.007426700, -0.007227900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0049810000; - } - pin ("A2") { - capacitance : 0.0044720000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042540000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078534000, 0.0078553000, 0.0078597000, 0.0078581000, 0.0078543000, 0.0078456000, 0.0078255000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007855300, -0.007852900, -0.007847300, -0.007846800, -0.007845700, -0.007843100, -0.007837000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046910000; - } - pin ("B1") { - capacitance : 0.0043640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040350000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0072272000, 0.0072317000, 0.0072421000, 0.0072401000, 0.0072355000, 0.0072249000, 0.0072003000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006516300, -0.006572200, -0.006700800, -0.006735500, -0.006815400, -0.006999600, -0.007424200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046930000; - } - pin ("C1") { - capacitance : 0.0047370000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078615000, 0.0078624000, 0.0078644000, 0.0078626000, 0.0078583000, 0.0078485000, 0.0078258000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007001200, -0.007144500, -0.007474800, -0.007489800, -0.007524400, -0.007604200, -0.007788100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050980000; - } - pin ("D1") { - capacitance : 0.0044040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040390000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0051207000, 0.0051139000, 0.0050983000, 0.0051128000, 0.0051461000, 0.0052230000, 0.0054002000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003421100, -0.003426400, -0.003438500, -0.003436900, -0.003433000, -0.003424100, -0.003403600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047680000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1&!C1&!D1) | (!A2&!B1&!C1&!D1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011002670, 0.0024211770, 0.0053278830, 0.0117241900, 0.0257994900, 0.0567726800"); - values("0.0148362000, 0.0138724000, 0.0117485000, 0.0070432000, -0.003242500, -0.025810800, -0.075390900", \ - "0.0146034000, 0.0136116000, 0.0114995000, 0.0068213000, -0.003459200, -0.026065500, -0.075634900", \ - "0.0142958000, 0.0133378000, 0.0112391000, 0.0065441000, -0.003759200, -0.026291000, -0.075879800", \ - "0.0139055000, 0.0129579000, 0.0108455000, 0.0061776000, -0.004029300, -0.026691800, -0.076196200", \ - "0.0135538000, 0.0126201000, 0.0105183000, 0.0059213000, -0.004299300, -0.026772200, -0.076430400", \ - "0.0140028000, 0.0130120000, 0.0108355000, 0.0060837000, -0.004088400, -0.026808300, -0.076407300", \ - "0.0168407000, 0.0158279000, 0.0136081000, 0.0087972000, -0.001829600, -0.025042400, -0.075820600"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011002670, 0.0024211770, 0.0053278830, 0.0117241900, 0.0257994900, 0.0567726800"); - values("0.0298177000, 0.0308745000, 0.0330231000, 0.0379080000, 0.0483368000, 0.0708264000, 0.1206304000", \ - "0.0293174000, 0.0303390000, 0.0326223000, 0.0374324000, 0.0479591000, 0.0706994000, 0.1204447000", \ - "0.0287595000, 0.0297831000, 0.0320581000, 0.0369636000, 0.0476297000, 0.0703343000, 0.1202298000", \ - "0.0283839000, 0.0293856000, 0.0315849000, 0.0363804000, 0.0468367000, 0.0698571000, 0.1199657000", \ - "0.0281193000, 0.0290900000, 0.0312354000, 0.0359583000, 0.0463226000, 0.0691563000, 0.1191447000", \ - "0.0280630000, 0.0290363000, 0.0311663000, 0.0358635000, 0.0461839000, 0.0689525000, 0.1185659000", \ - "0.0280689000, 0.0290948000, 0.0312219000, 0.0359124000, 0.0462164000, 0.0686271000, 0.1183989000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011002670, 0.0024211770, 0.0053278830, 0.0117241900, 0.0257994900, 0.0567726800"); - values("0.0153904000, 0.0144202000, 0.0122948000, 0.0076100000, -0.002693100, -0.025251200, -0.074876000", \ - "0.0151782000, 0.0141894000, 0.0120737000, 0.0073780000, -0.002901600, -0.025504000, -0.075073800", \ - "0.0149330000, 0.0139488000, 0.0118139000, 0.0071363000, -0.003155700, -0.025768800, -0.075335200", \ - "0.0146810000, 0.0137122000, 0.0116239000, 0.0069740000, -0.003382500, -0.025967900, -0.075554700", \ - "0.0144645000, 0.0135090000, 0.0114068000, 0.0067652000, -0.003432400, -0.025881100, -0.075646100", \ - "0.0147249000, 0.0137195000, 0.0115615000, 0.0069061000, -0.003511400, -0.026237600, -0.075846800", \ - "0.0165650000, 0.0155901000, 0.0134123000, 0.0086540000, -0.001866900, -0.024864500, -0.075245700"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011002670, 0.0024211770, 0.0053278830, 0.0117241900, 0.0257994900, 0.0567726800"); - values("0.0367208000, 0.0377169000, 0.0398504000, 0.0445678000, 0.0548290000, 0.0774586000, 0.1269977000", \ - "0.0363964000, 0.0373951000, 0.0395523000, 0.0442717000, 0.0546480000, 0.0773475000, 0.1269924000", \ - "0.0359782000, 0.0369738000, 0.0391626000, 0.0439218000, 0.0543257000, 0.0770674000, 0.1266411000", \ - "0.0356449000, 0.0366462000, 0.0387983000, 0.0435524000, 0.0539484000, 0.0766599000, 0.1265348000", \ - "0.0354175000, 0.0363848000, 0.0385337000, 0.0432498000, 0.0535819000, 0.0762831000, 0.1259889000", \ - "0.0353744000, 0.0363660000, 0.0385170000, 0.0431861000, 0.0535318000, 0.0761137000, 0.1258326000", \ - "0.0353734000, 0.0363597000, 0.0384965000, 0.0432219000, 0.0535036000, 0.0760674000, 0.1257257000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011002670, 0.0024211770, 0.0053278830, 0.0117241900, 0.0257994900, 0.0567726800"); - values("0.0101771000, 0.0092177000, 0.0070971000, 0.0024147000, -0.007831300, -0.030357000, -0.080175600", \ - "0.0101861000, 0.0092233000, 0.0070900000, 0.0024175000, -0.007818100, -0.030356500, -0.080175600", \ - "0.0101833000, 0.0092358000, 0.0071288000, 0.0024312000, -0.007808900, -0.030334900, -0.080146700", \ - "0.0097661000, 0.0088110000, 0.0067313000, 0.0021341000, -0.007998600, -0.030480100, -0.080264800", \ - "0.0097692000, 0.0087899000, 0.0066786000, 0.0020777000, -0.008032200, -0.030487400, -0.080266400", \ - "0.0108700000, 0.0099252000, 0.0078482000, 0.0028481000, -0.007481400, -0.029920100, -0.080059000", \ - "0.0135040000, 0.0125059000, 0.0103327000, 0.0054650000, -0.004890300, -0.027451100, -0.078028800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011002670, 0.0024211770, 0.0053278830, 0.0117241900, 0.0257994900, 0.0567726800"); - values("0.0328367000, 0.0338408000, 0.0360371000, 0.0408085000, 0.0511843000, 0.0738418000, 0.1234503000", \ - "0.0323746000, 0.0333916000, 0.0356101000, 0.0403944000, 0.0508515000, 0.0736395000, 0.1233067000", \ - "0.0318419000, 0.0328456000, 0.0350460000, 0.0398298000, 0.0503367000, 0.0731960000, 0.1230654000", \ - "0.0314834000, 0.0324750000, 0.0346564000, 0.0394158000, 0.0498425000, 0.0726293000, 0.1225843000", \ - "0.0312048000, 0.0321789000, 0.0343308000, 0.0390610000, 0.0493829000, 0.0720835000, 0.1219827000", \ - "0.0312258000, 0.0321532000, 0.0343024000, 0.0389817000, 0.0492861000, 0.0719465000, 0.1217413000", \ - "0.0313172000, 0.0322911000, 0.0344164000, 0.0391063000, 0.0495285000, 0.0720981000, 0.1215938000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011002670, 0.0024211770, 0.0053278830, 0.0117241900, 0.0257994900, 0.0567726800"); - values("0.0070500000, 0.0060776000, 0.0039461000, -0.000751400, -0.011076800, -0.033805500, -0.083874100", \ - "0.0071181000, 0.0061553000, 0.0040315000, -0.000635600, -0.010927900, -0.033628100, -0.083693300", \ - "0.0072969000, 0.0063470000, 0.0042626000, -0.000366400, -0.010612900, -0.033249600, -0.083279600", \ - "0.0069828000, 0.0060529000, 0.0040141000, -0.000550200, -0.010680600, -0.033220800, -0.083190800", \ - "0.0070747000, 0.0061342000, 0.0040623000, -0.000521000, -0.010743200, -0.033235900, -0.083150800", \ - "0.0073465000, 0.0063810000, 0.0042705000, -0.000373400, -0.010595000, -0.032881700, -0.083111900", \ - "0.0095384000, 0.0085228000, 0.0063217000, 0.0015304000, -0.008886500, -0.031091500, -0.081654100"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011002670, 0.0024211770, 0.0053278830, 0.0117241900, 0.0257994900, 0.0567726800"); - values("0.0276524000, 0.0286506000, 0.0308609000, 0.0355941000, 0.0460230000, 0.0686115000, 0.1182474000", \ - "0.0272493000, 0.0282503000, 0.0304569000, 0.0352720000, 0.0457014000, 0.0684290000, 0.1181198000", \ - "0.0268451000, 0.0278511000, 0.0300324000, 0.0348086000, 0.0452748000, 0.0680617000, 0.1178925000", \ - "0.0264967000, 0.0275141000, 0.0296790000, 0.0344319000, 0.0448339000, 0.0676454000, 0.1175379000", \ - "0.0263045000, 0.0272865000, 0.0294315000, 0.0341725000, 0.0445054000, 0.0672671000, 0.1171241000", \ - "0.0262159000, 0.0271658000, 0.0293120000, 0.0340932000, 0.0443650000, 0.0670598000, 0.1166244000", \ - "0.0269320000, 0.0278857000, 0.0299278000, 0.0345965000, 0.0447909000, 0.0672674000, 0.1170095000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011002670, 0.0024211770, 0.0053278830, 0.0117241900, 0.0257994900, 0.0567726800"); - values("0.0007378000, -0.000231600, -0.002374000, -0.007114500, -0.017543900, -0.040410600, -0.090582300", \ - "0.0005597000, -0.000385200, -0.002485500, -0.007151200, -0.017492900, -0.040279600, -0.090411300", \ - "0.0002528000, -0.000673600, -0.002730200, -0.007293500, -0.017498200, -0.040157000, -0.090217100", \ - "-0.000115900, -0.001033600, -0.003038700, -0.007560000, -0.017643000, -0.040165000, -0.090099200", \ - "-8.87000e-05, -0.001023800, -0.003051300, -0.007542000, -0.017748300, -0.040173400, -0.090016100", \ - "0.0002577000, -0.000711200, -0.002849200, -0.007529700, -0.017741900, -0.040214700, -0.089977300", \ - "0.0024870000, 0.0014318000, -0.000796000, -0.005654600, -0.016155400, -0.039482000, -0.089627000"); - } - related_pin : "D1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011002670, 0.0024211770, 0.0053278830, 0.0117241900, 0.0257994900, 0.0567726800"); - values("0.0253605000, 0.0263488000, 0.0285501000, 0.0332794000, 0.0436681000, 0.0663165000, 0.1158221000", \ - "0.0249474000, 0.0259592000, 0.0281638000, 0.0329443000, 0.0433742000, 0.0661332000, 0.1158511000", \ - "0.0245185000, 0.0254705000, 0.0276628000, 0.0324354000, 0.0429224000, 0.0657447000, 0.1155754000", \ - "0.0242751000, 0.0251679000, 0.0273283000, 0.0320724000, 0.0424307000, 0.0652722000, 0.1151806000", \ - "0.0243647000, 0.0253412000, 0.0274380000, 0.0321080000, 0.0422077000, 0.0649082000, 0.1148539000", \ - "0.0251084000, 0.0261139000, 0.0281904000, 0.0328459000, 0.0431266000, 0.0652809000, 0.1146652000", \ - "0.0269683000, 0.0278133000, 0.0298344000, 0.0343689000, 0.0443262000, 0.0661988000, 0.1153576000"); - } - } - max_capacitance : 0.0567730000; - max_transition : 1.4971110000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.0315105000, 0.0336710000, 0.0383103000, 0.0481322000, 0.0685247000, 0.1105197000, 0.1970978000", \ - "0.0361997000, 0.0383199000, 0.0428555000, 0.0525453000, 0.0727474000, 0.1145783000, 0.2010859000", \ - "0.0480067000, 0.0499149000, 0.0541455000, 0.0633775000, 0.0831039000, 0.1244757000, 0.2108390000", \ - "0.0712495000, 0.0737230000, 0.0788752000, 0.0890653000, 0.1085888000, 0.1481288000, 0.2337802000", \ - "0.1025298000, 0.1060857000, 0.1135145000, 0.1281858000, 0.1560672000, 0.2044914000, 0.2897854000", \ - "0.1363868000, 0.1415304000, 0.1523397000, 0.1740144000, 0.2126213000, 0.2860878000, 0.4058363000", \ - "0.1503569000, 0.1580136000, 0.1738665000, 0.2060062000, 0.2660979000, 0.3762886000, 0.5577644000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.2347744000, 0.2453409000, 0.2681581000, 0.3178505000, 0.4252734000, 0.6545668000, 1.1614438000", \ - "0.2362424000, 0.2467895000, 0.2703091000, 0.3193490000, 0.4268907000, 0.6597192000, 1.1679299000", \ - "0.2447209000, 0.2552135000, 0.2785082000, 0.3287074000, 0.4370954000, 0.6732850000, 1.1793068000", \ - "0.2690107000, 0.2795432000, 0.3025157000, 0.3516541000, 0.4583867000, 0.6947584000, 1.2094140000", \ - "0.3212463000, 0.3311041000, 0.3538979000, 0.4027058000, 0.5084168000, 0.7411297000, 1.2520230000", \ - "0.4133291000, 0.4244563000, 0.4498859000, 0.5018150000, 0.6104140000, 0.8438586000, 1.3515914000", \ - "0.5578352000, 0.5726510000, 0.6017101000, 0.6635146000, 0.7894210000, 1.0429713000, 1.5585062000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.0393488000, 0.0419186000, 0.0475444000, 0.0594659000, 0.0844151000, 0.1363494000, 0.2455342000", \ - "0.0382461000, 0.0409480000, 0.0466714000, 0.0587749000, 0.0838004000, 0.1359038000, 0.2455353000", \ - "0.0398480000, 0.0421376000, 0.0471073000, 0.0580497000, 0.0823970000, 0.1348625000, 0.2450359000", \ - "0.0537213000, 0.0556120000, 0.0595559000, 0.0675105000, 0.0875721000, 0.1347961000, 0.2440006000", \ - "0.0808837000, 0.0833758000, 0.0886244000, 0.0996436000, 0.1203367000, 0.1578368000, 0.2514410000", \ - "0.1292447000, 0.1332095000, 0.1412921000, 0.1553341000, 0.1849123000, 0.2348384000, 0.3231706000", \ - "0.2107816000, 0.2166156000, 0.2291465000, 0.2521988000, 0.2971089000, 0.3710387000, 0.4909019000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.1570067000, 0.1704326000, 0.1999511000, 0.2650389000, 0.4063285000, 0.7147021000, 1.3939139000", \ - "0.1581137000, 0.1706010000, 0.2006400000, 0.2643515000, 0.4054576000, 0.7156746000, 1.3954469000", \ - "0.1574180000, 0.1708037000, 0.2004190000, 0.2650221000, 0.4072019000, 0.7173972000, 1.3940696000", \ - "0.1576920000, 0.1709119000, 0.2010706000, 0.2650683000, 0.4051955000, 0.7171433000, 1.3993301000", \ - "0.1588714000, 0.1721624000, 0.2010704000, 0.2646714000, 0.4055519000, 0.7151520000, 1.3946433000", \ - "0.1807108000, 0.1930624000, 0.2207980000, 0.2804007000, 0.4149625000, 0.7189893000, 1.3956969000", \ - "0.2255096000, 0.2398345000, 0.2687281000, 0.3346130000, 0.4729289000, 0.7677083000, 1.4138217000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.0365295000, 0.0386313000, 0.0432086000, 0.0528696000, 0.0731081000, 0.1148120000, 0.2013994000", \ - "0.0411903000, 0.0432720000, 0.0477687000, 0.0573521000, 0.0774508000, 0.1191316000, 0.2055738000", \ - "0.0513964000, 0.0533938000, 0.0577400000, 0.0671121000, 0.0869333000, 0.1284045000, 0.2147050000", \ - "0.0714036000, 0.0737604000, 0.0788228000, 0.0892603000, 0.1092139000, 0.1499659000, 0.2359972000", \ - "0.1019042000, 0.1051448000, 0.1118801000, 0.1250134000, 0.1504948000, 0.1985822000, 0.2851762000", \ - "0.1366220000, 0.1409708000, 0.1512051000, 0.1708694000, 0.2082740000, 0.2735560000, 0.3844888000", \ - "0.1530199000, 0.1599020000, 0.1749687000, 0.2046437000, 0.2624935000, 0.3622401000, 0.5281778000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.2888679000, 0.2994934000, 0.3226280000, 0.3722378000, 0.4811532000, 0.7191275000, 1.2411357000", \ - "0.2920983000, 0.3026266000, 0.3254747000, 0.3759282000, 0.4851680000, 0.7238481000, 1.2461744000", \ - "0.3018583000, 0.3128497000, 0.3359630000, 0.3863009000, 0.4962684000, 0.7356629000, 1.2588798000", \ - "0.3279921000, 0.3382986000, 0.3617275000, 0.4116692000, 0.5216736000, 0.7615591000, 1.2855881000", \ - "0.3836572000, 0.3940663000, 0.4164540000, 0.4667676000, 0.5759443000, 0.8157678000, 1.3412015000", \ - "0.4907247000, 0.5017589000, 0.5250605000, 0.5771474000, 0.6862786000, 0.9251896000, 1.4489501000", \ - "0.6681397000, 0.6812301000, 0.7098006000, 0.7690528000, 0.8939969000, 1.1495553000, 1.6758929000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.0384679000, 0.0411477000, 0.0467339000, 0.0586853000, 0.0836809000, 0.1354930000, 0.2458307000", \ - "0.0380644000, 0.0406509000, 0.0462415000, 0.0581671000, 0.0831768000, 0.1352707000, 0.2453607000", \ - "0.0388078000, 0.0412249000, 0.0463356000, 0.0578177000, 0.0823017000, 0.1348595000, 0.2450043000", \ - "0.0484306000, 0.0505279000, 0.0548665000, 0.0640253000, 0.0856476000, 0.1344458000, 0.2442735000", \ - "0.0713442000, 0.0735733000, 0.0779887000, 0.0886417000, 0.1094840000, 0.1502531000, 0.2507586000", \ - "0.1127737000, 0.1158172000, 0.1225570000, 0.1358497000, 0.1598041000, 0.2057569000, 0.2975022000", \ - "0.1877686000, 0.1924881000, 0.2015617000, 0.2196628000, 0.2556917000, 0.3177256000, 0.4262417000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.2016875000, 0.2163719000, 0.2459095000, 0.3123615000, 0.4588184000, 0.7782268000, 1.4788676000", \ - "0.2015180000, 0.2155338000, 0.2457120000, 0.3135480000, 0.4589522000, 0.7783522000, 1.4782158000", \ - "0.2025873000, 0.2165508000, 0.2459924000, 0.3135528000, 0.4588541000, 0.7783627000, 1.4777066000", \ - "0.2018477000, 0.2165178000, 0.2461801000, 0.3128337000, 0.4587956000, 0.7782713000, 1.4782336000", \ - "0.2028823000, 0.2160810000, 0.2471398000, 0.3127007000, 0.4588647000, 0.7816277000, 1.4810437000", \ - "0.2178433000, 0.2302410000, 0.2590212000, 0.3228071000, 0.4649393000, 0.7789638000, 1.4824409000", \ - "0.2637683000, 0.2778038000, 0.3089096000, 0.3742084000, 0.5166617000, 0.8158466000, 1.4944199000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.0224121000, 0.0237692000, 0.0267615000, 0.0329788000, 0.0459623000, 0.0726847000, 0.1286355000", \ - "0.0276668000, 0.0289543000, 0.0317133000, 0.0378455000, 0.0506428000, 0.0773092000, 0.1332042000", \ - "0.0399112000, 0.0412773000, 0.0441192000, 0.0496815000, 0.0619563000, 0.0881245000, 0.1438768000", \ - "0.0578924000, 0.0599076000, 0.0640825000, 0.0723053000, 0.0875503000, 0.1136392000, 0.1688298000", \ - "0.0788108000, 0.0819420000, 0.0882182000, 0.1006072000, 0.1233112000, 0.1633279000, 0.2281388000", \ - "0.0929970000, 0.0975229000, 0.1067762000, 0.1267791000, 0.1625626000, 0.2236741000, 0.3245509000", \ - "0.0748601000, 0.0818698000, 0.0965731000, 0.1263112000, 0.1812423000, 0.2770632000, 0.4340930000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.2708506000, 0.2819598000, 0.3053991000, 0.3557976000, 0.4656467000, 0.7044060000, 1.2271556000", \ - "0.2719485000, 0.2828939000, 0.3062678000, 0.3568889000, 0.4675806000, 0.7074721000, 1.2310835000", \ - "0.2795461000, 0.2896348000, 0.3132951000, 0.3643809000, 0.4752130000, 0.7159980000, 1.2417635000", \ - "0.3029407000, 0.3139045000, 0.3368969000, 0.3877207000, 0.4976641000, 0.7385380000, 1.2645014000", \ - "0.3572150000, 0.3679566000, 0.3909265000, 0.4411088000, 0.5508580000, 0.7904158000, 1.3156930000", \ - "0.4637789000, 0.4754969000, 0.5015746000, 0.5559910000, 0.6661966000, 0.9048920000, 1.4289661000", \ - "0.6456110000, 0.6605892000, 0.6922555000, 0.7584142000, 0.8942305000, 1.1594899000, 1.6889743000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.0294299000, 0.0309195000, 0.0341499000, 0.0412249000, 0.0562950000, 0.0888390000, 0.1604209000", \ - "0.0289495000, 0.0303418000, 0.0334370000, 0.0405587000, 0.0558874000, 0.0885778000, 0.1602626000", \ - "0.0328289000, 0.0338970000, 0.0363619000, 0.0421677000, 0.0557981000, 0.0879700000, 0.1600942000", \ - "0.0486344000, 0.0496700000, 0.0519136000, 0.0565523000, 0.0666419000, 0.0930710000, 0.1592136000", \ - "0.0768945000, 0.0783587000, 0.0815962000, 0.0884138000, 0.1022968000, 0.1278922000, 0.1803573000", \ - "0.1260292000, 0.1284493000, 0.1337277000, 0.1442372000, 0.1646561000, 0.2027045000, 0.2670474000", \ - "0.2132680000, 0.2171214000, 0.2248890000, 0.2430231000, 0.2745390000, 0.3339098000, 0.4302299000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.2020838000, 0.2162642000, 0.2459011000, 0.3123988000, 0.4589310000, 0.7782885000, 1.4773877000", \ - "0.2024336000, 0.2164055000, 0.2457796000, 0.3130270000, 0.4587539000, 0.7782420000, 1.4768180000", \ - "0.2016252000, 0.2162641000, 0.2456539000, 0.3127843000, 0.4589556000, 0.7783282000, 1.4808536000", \ - "0.2025751000, 0.2153755000, 0.2460344000, 0.3139470000, 0.4589349000, 0.7775952000, 1.4770626000", \ - "0.2038699000, 0.2174568000, 0.2470385000, 0.3142522000, 0.4593644000, 0.7776265000, 1.4772432000", \ - "0.2322445000, 0.2444195000, 0.2713790000, 0.3333016000, 0.4710818000, 0.7797845000, 1.4818538000", \ - "0.3072013000, 0.3211442000, 0.3499868000, 0.4144534000, 0.5524187000, 0.8349942000, 1.4967773000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.0217920000, 0.0229182000, 0.0254269000, 0.0305829000, 0.0416088000, 0.0648559000, 0.1151015000", \ - "0.0267091000, 0.0278126000, 0.0302361000, 0.0354543000, 0.0463411000, 0.0695611000, 0.1197761000", \ - "0.0377846000, 0.0390447000, 0.0416736000, 0.0468426000, 0.0574230000, 0.0806925000, 0.1309641000", \ - "0.0528363000, 0.0548158000, 0.0590167000, 0.0669329000, 0.0816143000, 0.1069357000, 0.1565318000", \ - "0.0689365000, 0.0719474000, 0.0782650000, 0.0907866000, 0.1132899000, 0.1529316000, 0.2160281000", \ - "0.0752914000, 0.0801506000, 0.0902410000, 0.1102024000, 0.1467974000, 0.2086100000, 0.3078530000", \ - "0.0454969000, 0.0531226000, 0.0691992000, 0.0997662000, 0.1581631000, 0.2554361000, 0.4124927000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.2192598000, 0.2297001000, 0.2531671000, 0.3037287000, 0.4133174000, 0.6520740000, 1.1753876000", \ - "0.2202887000, 0.2309512000, 0.2545097000, 0.3051898000, 0.4154865000, 0.6549826000, 1.1786305000", \ - "0.2280416000, 0.2386942000, 0.2616428000, 0.3126243000, 0.4230038000, 0.6633457000, 1.1879114000", \ - "0.2504766000, 0.2614812000, 0.2841433000, 0.3346453000, 0.4447833000, 0.6851580000, 1.2111330000", \ - "0.3059246000, 0.3161986000, 0.3394717000, 0.3893334000, 0.4989175000, 0.7384623000, 1.2633107000", \ - "0.4199660000, 0.4325052000, 0.4588193000, 0.5165398000, 0.6290340000, 0.8684262000, 1.3924122000", \ - "0.6227481000, 0.6401996000, 0.6765706000, 0.7501373000, 0.8951750000, 1.1665752000, 1.6983494000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.0230632000, 0.0243234000, 0.0270941000, 0.0331957000, 0.0466236000, 0.0762981000, 0.1420715000", \ - "0.0227038000, 0.0239285000, 0.0266613000, 0.0329133000, 0.0465000000, 0.0762095000, 0.1421198000", \ - "0.0268640000, 0.0277971000, 0.0299600000, 0.0351173000, 0.0472635000, 0.0761594000, 0.1426932000", \ - "0.0415973000, 0.0427107000, 0.0450457000, 0.0498085000, 0.0595477000, 0.0829704000, 0.1430547000", \ - "0.0678174000, 0.0693597000, 0.0727716000, 0.0799862000, 0.0943405000, 0.1192210000, 0.1679913000", \ - "0.1154138000, 0.1178820000, 0.1233069000, 0.1341353000, 0.1545790000, 0.1918407000, 0.2539289000", \ - "0.2025593000, 0.2062041000, 0.2149985000, 0.2312938000, 0.2629054000, 0.3207042000, 0.4125615000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.2011794000, 0.2151034000, 0.2463157000, 0.3128005000, 0.4595969000, 0.7800859000, 1.4821105000", \ - "0.2011551000, 0.2152063000, 0.2467696000, 0.3124034000, 0.4588717000, 0.7787938000, 1.4814409000", \ - "0.2020875000, 0.2152996000, 0.2457024000, 0.3134951000, 0.4588501000, 0.7782323000, 1.4766834000", \ - "0.2009827000, 0.2158430000, 0.2454636000, 0.3123767000, 0.4588321000, 0.7789096000, 1.4826428000", \ - "0.2054772000, 0.2185634000, 0.2479121000, 0.3128882000, 0.4588469000, 0.7783625000, 1.4782658000", \ - "0.2467711000, 0.2583824000, 0.2838421000, 0.3432470000, 0.4751503000, 0.7837033000, 1.4782717000", \ - "0.3418233000, 0.3553018000, 0.3848156000, 0.4501181000, 0.5818955000, 0.8503722000, 1.4965060000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.0176132000, 0.0186203000, 0.0208418000, 0.0255856000, 0.0359425000, 0.0584418000, 0.1078556000", \ - "0.0222891000, 0.0233391000, 0.0255956000, 0.0302904000, 0.0406962000, 0.0632806000, 0.1127077000", \ - "0.0308446000, 0.0323637000, 0.0354230000, 0.0413269000, 0.0517243000, 0.0743808000, 0.1237945000", \ - "0.0407258000, 0.0431982000, 0.0478650000, 0.0571075000, 0.0734416000, 0.1003347000, 0.1497297000", \ - "0.0478417000, 0.0516574000, 0.0594004000, 0.0744926000, 0.1001873000, 0.1416031000, 0.2075044000", \ - "0.0403038000, 0.0456225000, 0.0580326000, 0.0817936000, 0.1232696000, 0.1902764000, 0.2918155000", \ - "-0.015980800, -0.008110600, 0.0118588000, 0.0499229000, 0.1163005000, 0.2235736000, 0.3874193000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.1492021000, 0.1600812000, 0.1834246000, 0.2339222000, 0.3434454000, 0.5821842000, 1.1045710000", \ - "0.1500241000, 0.1610163000, 0.1844381000, 0.2346222000, 0.3452764000, 0.5849886000, 1.1085322000", \ - "0.1572755000, 0.1674881000, 0.1904149000, 0.2411282000, 0.3517852000, 0.5923862000, 1.1191552000", \ - "0.1814654000, 0.1908266000, 0.2137535000, 0.2637620000, 0.3735086000, 0.6143558000, 1.1400428000", \ - "0.2468775000, 0.2559878000, 0.2769138000, 0.3252210000, 0.4316224000, 0.6708102000, 1.1955226000", \ - "0.3812815000, 0.3940933000, 0.4201236000, 0.4736934000, 0.5792038000, 0.8103971000, 1.3310857000", \ - "0.6036994000, 0.6193672000, 0.6576535000, 0.7355487000, 0.8827240000, 1.1477693000, 1.6635733000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.0167359000, 0.0181242000, 0.0211550000, 0.0276134000, 0.0414667000, 0.0715855000, 0.1379117000", \ - "0.0173770000, 0.0186374000, 0.0214473000, 0.0276443000, 0.0414734000, 0.0716297000, 0.1386975000", \ - "0.0241726000, 0.0249621000, 0.0268728000, 0.0316595000, 0.0433891000, 0.0716656000, 0.1378274000", \ - "0.0392409000, 0.0403847000, 0.0428346000, 0.0480145000, 0.0581510000, 0.0803751000, 0.1392441000", \ - "0.0660507000, 0.0676268000, 0.0711020000, 0.0780862000, 0.0923758000, 0.1184304000, 0.1658911000", \ - "0.1155484000, 0.1177855000, 0.1228773000, 0.1330158000, 0.1532877000, 0.1905816000, 0.2546958000", \ - "0.2074490000, 0.2106524000, 0.2181873000, 0.2335972000, 0.2645309000, 0.3183894000, 0.4093022000"); - } - related_pin : "D1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011002700, 0.0024211800, 0.0053278800, 0.0117242000, 0.0257995000, 0.0567727000"); - values("0.1966686000, 0.2118351000, 0.2427950000, 0.3110905000, 0.4585812000, 0.7783691000, 1.4781166000", \ - "0.1963050000, 0.2102487000, 0.2421081000, 0.3116948000, 0.4595946000, 0.7780273000, 1.4805724000", \ - "0.1935207000, 0.2086280000, 0.2406423000, 0.3110527000, 0.4582973000, 0.7783568000, 1.4796419000", \ - "0.1884513000, 0.2036022000, 0.2376316000, 0.3073881000, 0.4588780000, 0.7797148000, 1.4798645000", \ - "0.1953051000, 0.2085805000, 0.2381390000, 0.3035285000, 0.4524683000, 0.7779676000, 1.4828135000", \ - "0.2450555000, 0.2593056000, 0.2881187000, 0.3446885000, 0.4739343000, 0.7779070000, 1.4769245000", \ - "0.3369408000, 0.3532897000, 0.3889823000, 0.4623259000, 0.6042550000, 0.8701577000, 1.4971110000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a2111oi_4") { - leakage_power () { - value : 0.0040425000; - when : "!A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0065744000; - when : "!A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0002915000; - when : "!A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0026514000; - when : "!A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0002728000; - when : "!A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0023693000; - when : "!A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0001528000; - when : "!A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0002870000; - when : "!A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0040425000; - when : "!A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0083402000; - when : "!A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0002915000; - when : "!A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0026514000; - when : "!A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0002729000; - when : "!A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0023693000; - when : "!A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0001528000; - when : "!A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0002870000; - when : "!A1&A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0040425000; - when : "A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0079083000; - when : "A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0002915000; - when : "A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0026514000; - when : "A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0002732000; - when : "A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0023693000; - when : "A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0001528000; - when : "A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0002870000; - when : "A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0003745000; - when : "A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0033081000; - when : "A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0001722000; - when : "A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0003826000; - when : "A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0003510000; - when : "A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0003984000; - when : "A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0001269000; - when : "A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0001883000; - when : "A1&A2&B1&C1&!D1"; - } - area : 27.526400000; - cell_footprint : "sky130_fd_sc_hd__a2111oi"; - cell_leakage_power : 0.0018227260; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0084230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0181535000, 0.0181564000, 0.0181631000, 0.0181659000, 0.0181724000, 0.0181874000, 0.0182220000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.012960300, -0.012981500, -0.013030400, -0.012991600, -0.012902200, -0.012696100, -0.012221000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087470000; - } - pin ("A2") { - capacitance : 0.0087170000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0160182000, 0.0160123000, 0.0159988000, 0.0160009000, 0.0160057000, 0.0160169000, 0.0160426000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015953900, -0.015947400, -0.015932600, -0.015932900, -0.015933400, -0.015934800, -0.015937800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091580000; - } - pin ("B1") { - capacitance : 0.0084530000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0230492000, 0.0230424000, 0.0230267000, 0.0230259000, 0.0230242000, 0.0230203000, 0.0230112000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013304300, -0.013419500, -0.013685000, -0.013751400, -0.013904400, -0.014257100, -0.015070100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090270000; - } - pin ("C1") { - capacitance : 0.0083860000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0077220000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0168871000, 0.0168843000, 0.0168780000, 0.0168853000, 0.0169020000, 0.0169407000, 0.0170297000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.014006800, -0.014278900, -0.014906100, -0.014936600, -0.015006900, -0.015169100, -0.015542900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090500000; - } - pin ("D1") { - capacitance : 0.0084560000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0076860000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0070130000, 0.0070113000, 0.0070073000, 0.0070235000, 0.0070607000, 0.0071464000, 0.0073440000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006827100, -0.006833300, -0.006847400, -0.006844500, -0.006837800, -0.006822400, -0.006786900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092270000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1&!C1&!D1) | (!A2&!B1&!C1&!D1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000"); - values("0.0154412000, 0.0142968000, 0.0114620000, 0.0045753000, -0.012214800, -0.053262200, -0.153626400", \ - "0.0156108000, 0.0144557000, 0.0116597000, 0.0048107000, -0.011933100, -0.052933500, -0.153281000", \ - "0.0159635000, 0.0148388000, 0.0120726000, 0.0052760000, -0.011343700, -0.052240000, -0.152489400", \ - "0.0153489000, 0.0142404000, 0.0115016000, 0.0048894000, -0.011578100, -0.052281200, -0.152381200", \ - "0.0157784000, 0.0144773000, 0.0116643000, 0.0048014000, -0.011945800, -0.052381000, -0.152246700", \ - "0.0164497000, 0.0152845000, 0.0124526000, 0.0055693000, -0.011202500, -0.051692100, -0.152190100", \ - "0.0205497000, 0.0193247000, 0.0163903000, 0.0092023000, -0.007958400, -0.048913700, -0.150010600"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000"); - values("0.0484968000, 0.0496914000, 0.0525959000, 0.0596357000, 0.0766356000, 0.1175880000, 0.2169141000", \ - "0.0478011000, 0.0490473000, 0.0519275000, 0.0590651000, 0.0761616000, 0.1172805000, 0.2168211000", \ - "0.0470342000, 0.0482874000, 0.0511632000, 0.0582242000, 0.0753521000, 0.1167293000, 0.2165900000", \ - "0.0463877000, 0.0476024000, 0.0504947000, 0.0575168000, 0.0744937000, 0.1160013000, 0.2159778000", \ - "0.0458935000, 0.0470801000, 0.0499501000, 0.0568360000, 0.0738097000, 0.1150032000, 0.2148958000", \ - "0.0457446000, 0.0469101000, 0.0497468000, 0.0567781000, 0.0737387000, 0.1146090000, 0.2141973000", \ - "0.0466716000, 0.0478551000, 0.0506132000, 0.0574564000, 0.0741223000, 0.1148746000, 0.2147139000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000"); - values("0.0015452000, 0.0004271000, -0.002322300, -0.009175100, -0.026136200, -0.067514200, -0.168168100", \ - "0.0011328000, 4.400000e-05, -0.002652400, -0.009370000, -0.026121800, -0.067280800, -0.167789400", \ - "0.0004780000, -0.000602300, -0.003261400, -0.009832100, -0.026250400, -0.067116900, -0.167454100", \ - "-0.000247100, -0.001371600, -0.003942500, -0.010485900, -0.026778600, -0.067221700, -0.167206000", \ - "3.720000e-05, -0.001039900, -0.003729300, -0.010306400, -0.026946400, -0.067382700, -0.167191700", \ - "0.0008333000, -0.000335900, -0.003156200, -0.009790900, -0.026536200, -0.067238000, -0.167188200", \ - "0.0051264000, 0.0038580000, 0.0007854000, -0.006590700, -0.023712200, -0.065107300, -0.165455100"); - } - related_pin : "D1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000"); - values("0.0486991000, 0.0499202000, 0.0528926000, 0.0601570000, 0.0773388000, 0.1184517000, 0.2181123000", \ - "0.0478388000, 0.0490782000, 0.0520754000, 0.0593483000, 0.0765048000, 0.1179640000, 0.2178546000", \ - "0.0471685000, 0.0483604000, 0.0513619000, 0.0583555000, 0.0756045000, 0.1171491000, 0.2175230000", \ - "0.0466519000, 0.0478513000, 0.0505773000, 0.0576021000, 0.0747497000, 0.1163281000, 0.2165555000", \ - "0.0465485000, 0.0476917000, 0.0505014000, 0.0574066000, 0.0742342000, 0.1153859000, 0.2155768000", \ - "0.0489098000, 0.0500399000, 0.0527647000, 0.0596385000, 0.0751609000, 0.1158525000, 0.2150199000", \ - "0.0525890000, 0.0536673000, 0.0563581000, 0.0629656000, 0.0791350000, 0.1186919000, 0.2170144000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000"); - values("0.0289515000, 0.0277852000, 0.0249500000, 0.0180320000, 0.0012009000, -0.039767000, -0.139385700", \ - "0.0285124000, 0.0273514000, 0.0245357000, 0.0176653000, 0.0008155000, -0.040185300, -0.139800800", \ - "0.0279871000, 0.0268194000, 0.0240089000, 0.0170815000, 0.0002887000, -0.040656100, -0.140330800", \ - "0.0272963000, 0.0261262000, 0.0234167000, 0.0165357000, -0.000334000, -0.041277100, -0.140924100", \ - "0.0266524000, 0.0255056000, 0.0227632000, 0.0160802000, -0.000779200, -0.041662900, -0.141221100", \ - "0.0259030000, 0.0247516000, 0.0219209000, 0.0149855000, -0.001405000, -0.042280500, -0.141511300", \ - "0.0298226000, 0.0286787000, 0.0258159000, 0.0189346000, 0.0023602000, -0.039554900, -0.140887900"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000"); - values("0.0541483000, 0.0553531000, 0.0582765000, 0.0652318000, 0.0821347000, 0.1230572000, 0.2225209000", \ - "0.0533846000, 0.0546481000, 0.0575590000, 0.0648152000, 0.0817813000, 0.1228017000, 0.2235682000", \ - "0.0523511000, 0.0535825000, 0.0565565000, 0.0637449000, 0.0810308000, 0.1224125000, 0.2222211000", \ - "0.0516390000, 0.0528933000, 0.0558649000, 0.0629471000, 0.0800360000, 0.1216244000, 0.2217404000", \ - "0.0510761000, 0.0522727000, 0.0552109000, 0.0621918000, 0.0791393000, 0.1205729000, 0.2212182000", \ - "0.0509793000, 0.0521479000, 0.0549833000, 0.0619257000, 0.0787943000, 0.1203100000, 0.2199191000", \ - "0.0507873000, 0.0519839000, 0.0548267000, 0.0619069000, 0.0789040000, 0.1193148000, 0.2197019000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000"); - values("0.0315479000, 0.0303827000, 0.0275664000, 0.0206151000, 0.0037727000, -0.037177900, -0.136825400", \ - "0.0310447000, 0.0298852000, 0.0270705000, 0.0201761000, 0.0033141000, -0.037660700, -0.137256900", \ - "0.0304988000, 0.0293362000, 0.0265010000, 0.0195944000, 0.0027592000, -0.038243600, -0.137869100", \ - "0.0299641000, 0.0288264000, 0.0260037000, 0.0191485000, 0.0022765000, -0.038758900, -0.138429900", \ - "0.0295944000, 0.0284363000, 0.0256246000, 0.0188127000, 0.0021027000, -0.038885900, -0.138508100", \ - "0.0290952000, 0.0278977000, 0.0251905000, 0.0183363000, 0.0014346000, -0.039280700, -0.138986200", \ - "0.0321254000, 0.0309306000, 0.0280252000, 0.0211643000, 0.0039671000, -0.037644700, -0.138626300"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000"); - values("0.0688265000, 0.0699564000, 0.0728051000, 0.0797369000, 0.0965005000, 0.1373680000, 0.2367023000", \ - "0.0682578000, 0.0694514000, 0.0723245000, 0.0792632000, 0.0960643000, 0.1369882000, 0.2363721000", \ - "0.0676682000, 0.0687894000, 0.0717129000, 0.0787108000, 0.0955975000, 0.1366311000, 0.2360693000", \ - "0.0670096000, 0.0682062000, 0.0711050000, 0.0781153000, 0.0950286000, 0.1361706000, 0.2358075000", \ - "0.0664946000, 0.0676989000, 0.0705179000, 0.0775102000, 0.0944155000, 0.1355356000, 0.2353233000", \ - "0.0662482000, 0.0674313000, 0.0702900000, 0.0772253000, 0.0940702000, 0.1350269000, 0.2347841000", \ - "0.0661768000, 0.0673355000, 0.0702512000, 0.0772227000, 0.0940449000, 0.1349469000, 0.2346230000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000"); - values("0.0204779000, 0.0192959000, 0.0164681000, 0.0095953000, -0.007221300, -0.048055700, -0.148067600", \ - "0.0204909000, 0.0193328000, 0.0164881000, 0.0095890000, -0.007169800, -0.048023200, -0.148019400", \ - "0.0204836000, 0.0193243000, 0.0165165000, 0.0096892000, -0.007101200, -0.047928100, -0.147890000", \ - "0.0198848000, 0.0187425000, 0.0159410000, 0.0091291000, -0.007504600, -0.048182600, -0.148114300", \ - "0.0194189000, 0.0182738000, 0.0154896000, 0.0087082000, -0.007607800, -0.048240700, -0.148060500", \ - "0.0209419000, 0.0198013000, 0.0169821000, 0.0100759000, -0.006809300, -0.047973500, -0.148038700", \ - "0.0254725000, 0.0242618000, 0.0213377000, 0.0142095000, -0.002861800, -0.044357600, -0.145506800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012195790, 0.0029747470, 0.0072558810, 0.0176982400, 0.0431688200, 0.1052956000"); - values("0.0519259000, 0.0531336000, 0.0559949000, 0.0630608000, 0.0799414000, 0.1208429000, 0.2203961000", \ - "0.0512559000, 0.0524645000, 0.0554056000, 0.0624154000, 0.0794814000, 0.1205470000, 0.2200400000", \ - "0.0504344000, 0.0516079000, 0.0545148000, 0.0616494000, 0.0787614000, 0.1200581000, 0.2197832000", \ - "0.0497831000, 0.0509902000, 0.0538733000, 0.0608974000, 0.0779379000, 0.1192651000, 0.2192973000", \ - "0.0492809000, 0.0504344000, 0.0532595000, 0.0602150000, 0.0771750000, 0.1183517000, 0.2182724000", \ - "0.0490579000, 0.0501926000, 0.0530133000, 0.0599999000, 0.0767595000, 0.1178181000, 0.2176222000", \ - "0.0492126000, 0.0503577000, 0.0532131000, 0.0601983000, 0.0770146000, 0.1180387000, 0.2176914000"); - } - } - max_capacitance : 0.1052960000; - max_transition : 1.5000270000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.0345311000, 0.0362170000, 0.0401163000, 0.0492228000, 0.0699652000, 0.1162848000, 0.2204881000", \ - "0.0391834000, 0.0408276000, 0.0446358000, 0.0536352000, 0.0741424000, 0.1202989000, 0.2244269000", \ - "0.0508691000, 0.0523195000, 0.0558915000, 0.0644440000, 0.0844361000, 0.1300924000, 0.2343200000", \ - "0.0750916000, 0.0769049000, 0.0814274000, 0.0911035000, 0.1098633000, 0.1541844000, 0.2569184000", \ - "0.1069953000, 0.1095456000, 0.1155179000, 0.1287897000, 0.1562429000, 0.2092952000, 0.3121709000", \ - "0.1412854000, 0.1449778000, 0.1536307000, 0.1732886000, 0.2126793000, 0.2908991000, 0.4304660000", \ - "0.1510325000, 0.1565964000, 0.1693347000, 0.1979827000, 0.2581545000, 0.3761315000, 0.5872233000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.2353509000, 0.2421938000, 0.2593210000, 0.2997171000, 0.3959593000, 0.6277714000, 1.1920388000", \ - "0.2374875000, 0.2448798000, 0.2617631000, 0.3030369000, 0.4001110000, 0.6331309000, 1.2056589000", \ - "0.2469594000, 0.2538633000, 0.2706934000, 0.3126838000, 0.4109569000, 0.6453312000, 1.2115007000", \ - "0.2739980000, 0.2809072000, 0.2976949000, 0.3388343000, 0.4371444000, 0.6715829000, 1.2395489000", \ - "0.3329486000, 0.3396131000, 0.3564089000, 0.3962631000, 0.4929899000, 0.7288193000, 1.2980973000", \ - "0.4419191000, 0.4504237000, 0.4679979000, 0.5120699000, 0.6105537000, 0.8458660000, 1.4118720000", \ - "0.6256540000, 0.6349273000, 0.6568119000, 0.7089941000, 0.8250341000, 1.0835384000, 1.6564784000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.0479751000, 0.0499923000, 0.0548804000, 0.0664691000, 0.0934509000, 0.1554311000, 0.3004395000", \ - "0.0466602000, 0.0487171000, 0.0537314000, 0.0655752000, 0.0927113000, 0.1549011000, 0.3002123000", \ - "0.0467610000, 0.0485734000, 0.0530461000, 0.0641601000, 0.0910385000, 0.1537764000, 0.2996791000", \ - "0.0583282000, 0.0599124000, 0.0640868000, 0.0728720000, 0.0954204000, 0.1530548000, 0.2989613000", \ - "0.0821621000, 0.0842941000, 0.0893949000, 0.1013961000, 0.1244672000, 0.1749544000, 0.3038638000", \ - "0.1286859000, 0.1315295000, 0.1380450000, 0.1526260000, 0.1838950000, 0.2450402000, 0.3696662000", \ - "0.2102025000, 0.2144825000, 0.2245761000, 0.2465321000, 0.2917096000, 0.3766607000, 0.5332474000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.1508708000, 0.1597921000, 0.1808156000, 0.2332620000, 0.3611033000, 0.6716003000, 1.4267170000", \ - "0.1506659000, 0.1595097000, 0.1815766000, 0.2337034000, 0.3611288000, 0.6712646000, 1.4398693000", \ - "0.1512074000, 0.1602650000, 0.1815984000, 0.2334239000, 0.3610691000, 0.6716039000, 1.4266620000", \ - "0.1515202000, 0.1600124000, 0.1813181000, 0.2342364000, 0.3613007000, 0.6717157000, 1.4268736000", \ - "0.1526616000, 0.1614800000, 0.1818437000, 0.2342612000, 0.3610091000, 0.6717903000, 1.4315611000", \ - "0.1716144000, 0.1799262000, 0.1997020000, 0.2479943000, 0.3694374000, 0.6741422000, 1.4273401000", \ - "0.2187338000, 0.2274530000, 0.2480916000, 0.3001059000, 0.4239132000, 0.7153298000, 1.4421089000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.0405000000, 0.0420575000, 0.0458598000, 0.0548314000, 0.0753532000, 0.1217469000, 0.2262497000", \ - "0.0449303000, 0.0465725000, 0.0503719000, 0.0593207000, 0.0797776000, 0.1256937000, 0.2299401000", \ - "0.0542539000, 0.0557999000, 0.0594117000, 0.0680994000, 0.0883877000, 0.1342769000, 0.2385359000", \ - "0.0728919000, 0.0746480000, 0.0788332000, 0.0880391000, 0.1080755000, 0.1531723000, 0.2572513000", \ - "0.1019200000, 0.1040840000, 0.1092008000, 0.1209501000, 0.1455240000, 0.1957236000, 0.3008409000", \ - "0.1359729000, 0.1391698000, 0.1470820000, 0.1631693000, 0.1981414000, 0.2665399000, 0.3913713000", \ - "0.1519715000, 0.1567375000, 0.1679287000, 0.1924280000, 0.2458690000, 0.3476654000, 0.5282499000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.2878613000, 0.2947414000, 0.3112910000, 0.3508005000, 0.4477843000, 0.6812966000, 1.2480867000", \ - "0.2905748000, 0.2976880000, 0.3146083000, 0.3547770000, 0.4517767000, 0.6854425000, 1.2526180000", \ - "0.3011534000, 0.3079187000, 0.3254424000, 0.3659690000, 0.4634438000, 0.6977926000, 1.2654783000", \ - "0.3273903000, 0.3345845000, 0.3516507000, 0.3921476000, 0.4897137000, 0.7249425000, 1.2942378000", \ - "0.3834274000, 0.3908038000, 0.4071529000, 0.4478085000, 0.5450220000, 0.7798123000, 1.3494686000", \ - "0.4892964000, 0.4966734000, 0.5145891000, 0.5571964000, 0.6547243000, 0.8890880000, 1.4579685000", \ - "0.6661491000, 0.6744327000, 0.6950243000, 0.7445721000, 0.8564838000, 1.1096198000, 1.6831935000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.0471845000, 0.0492456000, 0.0541498000, 0.0658212000, 0.0930451000, 0.1548477000, 0.3000765000", \ - "0.0465059000, 0.0485105000, 0.0534301000, 0.0651971000, 0.0922532000, 0.1544742000, 0.2999120000", \ - "0.0464123000, 0.0482705000, 0.0530192000, 0.0643399000, 0.0914166000, 0.1539336000, 0.2994428000", \ - "0.0534027000, 0.0551640000, 0.0591529000, 0.0690326000, 0.0935084000, 0.1535018000, 0.2990981000", \ - "0.0726287000, 0.0743250000, 0.0787967000, 0.0889706000, 0.1117746000, 0.1652872000, 0.3016546000", \ - "0.1116540000, 0.1137762000, 0.1194919000, 0.1312315000, 0.1572027000, 0.2148929000, 0.3385614000", \ - "0.1828975000, 0.1858125000, 0.1929121000, 0.2092859000, 0.2435306000, 0.3140454000, 0.4543378000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.1972737000, 0.2066901000, 0.2275939000, 0.2811552000, 0.4089064000, 0.7213269000, 1.4796754000", \ - "0.1981734000, 0.2071144000, 0.2279522000, 0.2803881000, 0.4091268000, 0.7212038000, 1.4788198000", \ - "0.1976336000, 0.2069356000, 0.2289198000, 0.2803909000, 0.4091096000, 0.7211629000, 1.4793199000", \ - "0.1982738000, 0.2072247000, 0.2279003000, 0.2818620000, 0.4091894000, 0.7236458000, 1.4809260000", \ - "0.1986605000, 0.2073942000, 0.2291516000, 0.2805238000, 0.4104062000, 0.7212222000, 1.4843994000", \ - "0.2129944000, 0.2212571000, 0.2415320000, 0.2915272000, 0.4158711000, 0.7219157000, 1.4820536000", \ - "0.2574702000, 0.2667840000, 0.2891973000, 0.3402587000, 0.4665422000, 0.7595592000, 1.4964138000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.0232670000, 0.0243025000, 0.0266350000, 0.0322352000, 0.0449255000, 0.0733494000, 0.1381523000", \ - "0.0283898000, 0.0293405000, 0.0316671000, 0.0371043000, 0.0496123000, 0.0779726000, 0.1427368000", \ - "0.0405032000, 0.0415034000, 0.0438087000, 0.0489537000, 0.0609012000, 0.0888826000, 0.1535724000", \ - "0.0589413000, 0.0603612000, 0.0636477000, 0.0706609000, 0.0856236000, 0.1143309000, 0.1784337000", \ - "0.0806831000, 0.0827108000, 0.0874930000, 0.0981776000, 0.1204393000, 0.1627615000, 0.2373349000", \ - "0.0955709000, 0.0986887000, 0.1060581000, 0.1230955000, 0.1575317000, 0.2225474000, 0.3363409000", \ - "0.0789200000, 0.0837265000, 0.0950041000, 0.1206165000, 0.1731621000, 0.2744872000, 0.4519131000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.2667752000, 0.2738141000, 0.2906936000, 0.3311000000, 0.4282533000, 0.6625288000, 1.2301800000", \ - "0.2682914000, 0.2753814000, 0.2924381000, 0.3332392000, 0.4312493000, 0.6659610000, 1.2338021000", \ - "0.2765708000, 0.2836507000, 0.3006388000, 0.3412621000, 0.4399291000, 0.6757598000, 1.2447140000", \ - "0.3003460000, 0.3075450000, 0.3245714000, 0.3646288000, 0.4631864000, 0.6993614000, 1.2694898000", \ - "0.3549939000, 0.3615327000, 0.3783913000, 0.4190482000, 0.5168930000, 0.7521273000, 1.3223395000", \ - "0.4627497000, 0.4705041000, 0.4891456000, 0.5332484000, 0.6327103000, 0.8673931000, 1.4367217000", \ - "0.6489581000, 0.6584707000, 0.6817360000, 0.7367960000, 0.8570201000, 1.1208520000, 1.6973067000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.0329807000, 0.0340119000, 0.0365923000, 0.0429887000, 0.0582021000, 0.0948677000, 0.1833936000", \ - "0.0322481000, 0.0332538000, 0.0357524000, 0.0421622000, 0.0577219000, 0.0945554000, 0.1832448000", \ - "0.0353979000, 0.0362211000, 0.0382993000, 0.0437550000, 0.0576510000, 0.0939929000, 0.1830313000", \ - "0.0493811000, 0.0502387000, 0.0522775000, 0.0574417000, 0.0684667000, 0.0991059000, 0.1826755000", \ - "0.0763466000, 0.0775570000, 0.0805006000, 0.0868476000, 0.1016157000, 0.1319510000, 0.2017943000", \ - "0.1253554000, 0.1271295000, 0.1312685000, 0.1406856000, 0.1610254000, 0.2027025000, 0.2817965000", \ - "0.2124326000, 0.2151707000, 0.2217000000, 0.2357070000, 0.2675837000, 0.3285233000, 0.4412154000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.1971377000, 0.2066019000, 0.2274341000, 0.2815671000, 0.4091720000, 0.7236763000, 1.4843411000", \ - "0.1975099000, 0.2060431000, 0.2284339000, 0.2804759000, 0.4089257000, 0.7211686000, 1.4794209000", \ - "0.1972916000, 0.2067462000, 0.2275980000, 0.2814789000, 0.4090635000, 0.7213468000, 1.4797065000", \ - "0.1983215000, 0.2072287000, 0.2278241000, 0.2810769000, 0.4089680000, 0.7213614000, 1.4799418000", \ - "0.1988496000, 0.2079437000, 0.2296270000, 0.2821194000, 0.4089692000, 0.7212198000, 1.4793403000", \ - "0.2260729000, 0.2344829000, 0.2533418000, 0.3018118000, 0.4227037000, 0.7234812000, 1.4816286000", \ - "0.2962616000, 0.3052713000, 0.3268635000, 0.3777011000, 0.4974418000, 0.7796483000, 1.5000274000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.0220852000, 0.0229603000, 0.0249152000, 0.0295701000, 0.0401644000, 0.0646455000, 0.1227497000", \ - "0.0268757000, 0.0277287000, 0.0296794000, 0.0341841000, 0.0447651000, 0.0692749000, 0.1273291000", \ - "0.0376513000, 0.0385949000, 0.0407761000, 0.0452970000, 0.0556801000, 0.0801295000, 0.1382348000", \ - "0.0520796000, 0.0534712000, 0.0567037000, 0.0638392000, 0.0785802000, 0.1053140000, 0.1633206000", \ - "0.0658946000, 0.0685094000, 0.0733797000, 0.0844150000, 0.1069312000, 0.1485652000, 0.2213156000", \ - "0.0682692000, 0.0716558000, 0.0795310000, 0.0966298000, 0.1325048000, 0.1977530000, 0.3109825000", \ - "0.0273170000, 0.0325441000, 0.0447534000, 0.0720278000, 0.1289483000, 0.2317970000, 0.4095903000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.2219286000, 0.2290668000, 0.2460704000, 0.2867501000, 0.3843346000, 0.6185715000, 1.1875927000", \ - "0.2226666000, 0.2298478000, 0.2469787000, 0.2883983000, 0.3865844000, 0.6218995000, 1.1913367000", \ - "0.2300187000, 0.2374273000, 0.2546996000, 0.2956104000, 0.3941402000, 0.6302543000, 1.1997092000", \ - "0.2535664000, 0.2607474000, 0.2778995000, 0.3183240000, 0.4165167000, 0.6527250000, 1.2232957000", \ - "0.3096253000, 0.3165056000, 0.3335860000, 0.3736652000, 0.4713296000, 0.7066211000, 1.2767906000", \ - "0.4248784000, 0.4332623000, 0.4526021000, 0.4996460000, 0.6023835000, 0.8373432000, 1.4065799000", \ - "0.6288982000, 0.6403791000, 0.6673723000, 0.7282684000, 0.8605415000, 1.1333692000, 1.7141745000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.0235072000, 0.0244522000, 0.0267772000, 0.0324765000, 0.0461254000, 0.0788893000, 0.1587961000", \ - "0.0230648000, 0.0239901000, 0.0263055000, 0.0321228000, 0.0459675000, 0.0788547000, 0.1587321000", \ - "0.0267489000, 0.0275068000, 0.0295301000, 0.0344719000, 0.0468945000, 0.0787328000, 0.1588029000", \ - "0.0409663000, 0.0418514000, 0.0439628000, 0.0488515000, 0.0595327000, 0.0859656000, 0.1597907000", \ - "0.0672613000, 0.0681978000, 0.0711002000, 0.0777111000, 0.0918629000, 0.1210741000, 0.1823092000", \ - "0.1139782000, 0.1156944000, 0.1199971000, 0.1301762000, 0.1506145000, 0.1915803000, 0.2661135000", \ - "0.2005359000, 0.2033587000, 0.2099698000, 0.2247250000, 0.2553599000, 0.3165200000, 0.4233003000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.1973544000, 0.2066313000, 0.2274880000, 0.2802686000, 0.4090384000, 0.7213601000, 1.4808271000", \ - "0.1976940000, 0.2068784000, 0.2275930000, 0.2804606000, 0.4104909000, 0.7236591000, 1.4814060000", \ - "0.1977378000, 0.2068543000, 0.2282967000, 0.2801768000, 0.4091568000, 0.7211325000, 1.4818939000", \ - "0.1975237000, 0.2067706000, 0.2275449000, 0.2805006000, 0.4089464000, 0.7217061000, 1.4789646000", \ - "0.2014467000, 0.2097801000, 0.2302731000, 0.2822721000, 0.4091510000, 0.7214947000, 1.4791811000", \ - "0.2393933000, 0.2466926000, 0.2654157000, 0.3115244000, 0.4282701000, 0.7277478000, 1.4790151000", \ - "0.3310665000, 0.3402099000, 0.3616438000, 0.4143988000, 0.5365574000, 0.7995751000, 1.4965519000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.0161569000, 0.0168754000, 0.0185615000, 0.0225092000, 0.0317548000, 0.0535000000, 0.1060772000", \ - "0.0208282000, 0.0215484000, 0.0232680000, 0.0271944000, 0.0364622000, 0.0583377000, 0.1109989000", \ - "0.0287182000, 0.0298258000, 0.0323080000, 0.0376217000, 0.0475032000, 0.0694245000, 0.1220420000", \ - "0.0374615000, 0.0391427000, 0.0429541000, 0.0511946000, 0.0670931000, 0.0950021000, 0.1474669000", \ - "0.0425844000, 0.0452832000, 0.0514007000, 0.0643833000, 0.0901437000, 0.1331765000, 0.2054551000", \ - "0.0311951000, 0.0354964000, 0.0455826000, 0.0658835000, 0.1062681000, 0.1761996000, 0.2884404000", \ - "-0.031164200, -0.024276700, -0.008511000, 0.0246778000, 0.0891399000, 0.2004354000, 0.3805528000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.1418014000, 0.1488970000, 0.1666060000, 0.2081663000, 0.3070887000, 0.5426214000, 1.1112122000", \ - "0.1422476000, 0.1495284000, 0.1664453000, 0.2089141000, 0.3080244000, 0.5446540000, 1.1139785000", \ - "0.1499350000, 0.1567758000, 0.1742202000, 0.2148991000, 0.3141047000, 0.5513657000, 1.1222427000", \ - "0.1739214000, 0.1806494000, 0.1965225000, 0.2370693000, 0.3352521000, 0.5719791000, 1.1437113000", \ - "0.2394765000, 0.2452138000, 0.2599680000, 0.2974057000, 0.3936158000, 0.6287223000, 1.1991291000", \ - "0.3730779000, 0.3813429000, 0.4003889000, 0.4440176000, 0.5407672000, 0.7692256000, 1.3351927000", \ - "0.5882214000, 0.6000276000, 0.6282198000, 0.6927974000, 0.8300472000, 1.1012853000, 1.6584791000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.0124167000, 0.0133210000, 0.0156505000, 0.0212962000, 0.0346389000, 0.0648213000, 0.1362390000", \ - "0.0130989000, 0.0139303000, 0.0160849000, 0.0213875000, 0.0346828000, 0.0648147000, 0.1363119000", \ - "0.0202272000, 0.0209297000, 0.0226240000, 0.0262580000, 0.0372059000, 0.0651689000, 0.1362677000", \ - "0.0355992000, 0.0364339000, 0.0382776000, 0.0427766000, 0.0529490000, 0.0748193000, 0.1380495000", \ - "0.0630573000, 0.0640997000, 0.0666878000, 0.0726953000, 0.0855752000, 0.1125876000, 0.1644155000", \ - "0.1125305000, 0.1139477000, 0.1170297000, 0.1258133000, 0.1442521000, 0.1814121000, 0.2527015000", \ - "0.2038305000, 0.2059747000, 0.2109711000, 0.2237230000, 0.2505027000, 0.3069522000, 0.4068186000"); - } - related_pin : "D1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012195800, 0.0029747500, 0.0072558800, 0.0176982000, 0.0431688000, 0.1052960000"); - values("0.1919651000, 0.2016277000, 0.2244716000, 0.2791912000, 0.4096578000, 0.7233397000, 1.4844077000", \ - "0.1910709000, 0.2002506000, 0.2231019000, 0.2776904000, 0.4090987000, 0.7207641000, 1.4816465000", \ - "0.1888859000, 0.1987328000, 0.2215779000, 0.2768189000, 0.4086638000, 0.7211313000, 1.4800223000", \ - "0.1833146000, 0.1924057000, 0.2161689000, 0.2741539000, 0.4066425000, 0.7217537000, 1.4788292000", \ - "0.1906062000, 0.1993470000, 0.2192240000, 0.2719825000, 0.4005863000, 0.7208312000, 1.4794048000", \ - "0.2387513000, 0.2487646000, 0.2724144000, 0.3177764000, 0.4288639000, 0.7217739000, 1.4794257000", \ - "0.3233296000, 0.3346813000, 0.3616530000, 0.4236127000, 0.5560842000, 0.8235763000, 1.4995479000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a211o_1") { - leakage_power () { - value : 0.0028539000; - when : "!A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0108164000; - when : "!A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0004218000; - when : "!A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0010345000; - when : "!A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0028539000; - when : "!A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0112867000; - when : "!A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0004218000; - when : "!A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0010345000; - when : "!A1&A2&B1&!C1"; - } - leakage_power () { - value : 0.0028539000; - when : "A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0111734000; - when : "A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0004218000; - when : "A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0010345000; - when : "A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0005826000; - when : "A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0024635000; - when : "A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0004021000; - when : "A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0005410000; - when : "A1&A2&B1&!C1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__a211o"; - cell_leakage_power : 0.0031372750; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0024200000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023110000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046832000, 0.0046837000, 0.0046848000, 0.0046855000, 0.0046871000, 0.0046909000, 0.0046996000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003744000, -0.003746400, -0.003751900, -0.003744900, -0.003728600, -0.003691200, -0.003605000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025290000; - } - pin ("A2") { - capacitance : 0.0024320000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043384000, 0.0043414000, 0.0043483000, 0.0043494000, 0.0043518000, 0.0043574000, 0.0043703000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004357700, -0.004354000, -0.004345700, -0.004346200, -0.004347300, -0.004349800, -0.004355500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025740000; - } - pin ("B1") { - capacitance : 0.0023730000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022070000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038257000, 0.0038240000, 0.0038202000, 0.0038192000, 0.0038167000, 0.0038110000, 0.0037980000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003408200, -0.003484500, -0.003660200, -0.003665900, -0.003679100, -0.003709400, -0.003779200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025390000; - } - pin ("C1") { - capacitance : 0.0023300000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021420000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026352000, 0.0026316000, 0.0026233000, 0.0026312000, 0.0026493000, 0.0026911000, 0.0027875000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001728700, -0.001724900, -0.001716200, -0.001715800, -0.001715000, -0.001713000, -0.001708600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025180000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2) | (B1) | (C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013294860, 0.0035350670, 0.0093996470, 0.0249934000, 0.0664567700, 0.1767067000"); - values("0.0128169000, 0.0119644000, 0.0095206000, 0.0017364000, -0.022165100, -0.088929400, -0.267549300", \ - "0.0126353000, 0.0117644000, 0.0092975000, 0.0015204000, -0.022403100, -0.089179800, -0.267734900", \ - "0.0123370000, 0.0114638000, 0.0090011000, 0.0012102000, -0.022696600, -0.089484900, -0.268028500", \ - "0.0121375000, 0.0112608000, 0.0087959000, 0.0009787000, -0.022926600, -0.089723900, -0.268264200", \ - "0.0119102000, 0.0110772000, 0.0085884000, 0.0007751000, -0.023149900, -0.089952700, -0.268446800", \ - "0.0118930000, 0.0105194000, 0.0081653000, 0.0006476000, -0.023239700, -0.089966600, -0.268476800", \ - "0.0160647000, 0.0146482000, 0.0110419000, 0.0016226000, -0.023410700, -0.089913500, -0.268394500"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013294860, 0.0035350670, 0.0093996470, 0.0249934000, 0.0664567700, 0.1767067000"); - values("0.0143313000, 0.0158376000, 0.0196480000, 0.0293455000, 0.0545349000, 0.1209380000, 0.2976879000", \ - "0.0142007000, 0.0157054000, 0.0195561000, 0.0292620000, 0.0544479000, 0.1208434000, 0.2975651000", \ - "0.0140460000, 0.0155539000, 0.0193777000, 0.0290965000, 0.0542931000, 0.1213705000, 0.2971941000", \ - "0.0139262000, 0.0153763000, 0.0191656000, 0.0288795000, 0.0543019000, 0.1211539000, 0.2969180000", \ - "0.0138924000, 0.0152585000, 0.0190223000, 0.0286036000, 0.0537820000, 0.1204275000, 0.2969258000", \ - "0.0145221000, 0.0158489000, 0.0194285000, 0.0287436000, 0.0538851000, 0.1206841000, 0.2966429000", \ - "0.0159786000, 0.0172985000, 0.0208316000, 0.0302313000, 0.0548786000, 0.1218351000, 0.2968918000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013294860, 0.0035350670, 0.0093996470, 0.0249934000, 0.0664567700, 0.1767067000"); - values("0.0157210000, 0.0148463000, 0.0123940000, 0.0046158000, -0.019178700, -0.085856100, -0.264327200", \ - "0.0155591000, 0.0146803000, 0.0122439000, 0.0044622000, -0.019359000, -0.086026600, -0.264489200", \ - "0.0153239000, 0.0144719000, 0.0120222000, 0.0042410000, -0.019577600, -0.086237300, -0.264729000", \ - "0.0151645000, 0.0142819000, 0.0118833000, 0.0040607000, -0.019775700, -0.086441500, -0.264894800", \ - "0.0150077000, 0.0140881000, 0.0116163000, 0.0038571000, -0.019959900, -0.086620200, -0.265055200", \ - "0.0145942000, 0.0137125000, 0.0114057000, 0.0037656000, -0.020016500, -0.086647100, -0.265077600", \ - "0.0194245000, 0.0180094000, 0.0143807000, 0.0049662000, -0.020002800, -0.086552200, -0.264946200"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013294860, 0.0035350670, 0.0093996470, 0.0249934000, 0.0664567700, 0.1767067000"); - values("0.0148627000, 0.0163329000, 0.0201813000, 0.0298732000, 0.0549854000, 0.1218368000, 0.2992898000", \ - "0.0147371000, 0.0162220000, 0.0200563000, 0.0297606000, 0.0548868000, 0.1217460000, 0.2992046000", \ - "0.0145668000, 0.0160715000, 0.0198922000, 0.0295761000, 0.0547148000, 0.1216586000, 0.2972766000", \ - "0.0144044000, 0.0158955000, 0.0197050000, 0.0293805000, 0.0547669000, 0.1209214000, 0.2974585000", \ - "0.0144729000, 0.0158792000, 0.0196529000, 0.0291959000, 0.0544117000, 0.1208328000, 0.2973652000", \ - "0.0150837000, 0.0164565000, 0.0200181000, 0.0292969000, 0.0544811000, 0.1211362000, 0.2970344000", \ - "0.0161749000, 0.0174898000, 0.0210542000, 0.0303141000, 0.0552815000, 0.1222259000, 0.2988340000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013294860, 0.0035350670, 0.0093996470, 0.0249934000, 0.0664567700, 0.1767067000"); - values("0.0137890000, 0.0128706000, 0.0104156000, 0.0026184000, -0.021220200, -0.087868200, -0.266318400", \ - "0.0135446000, 0.0126100000, 0.0102812000, 0.0024506000, -0.021387800, -0.088044900, -0.266487000", \ - "0.0133725000, 0.0124498000, 0.0099689000, 0.0022222000, -0.021620400, -0.088273000, -0.266721000", \ - "0.0132007000, 0.0122757000, 0.0098505000, 0.0020244000, -0.021807700, -0.088470400, -0.266884600", \ - "0.0130144000, 0.0121369000, 0.0096319000, 0.0018288000, -0.021960100, -0.088615900, -0.267039900", \ - "0.0126283000, 0.0117814000, 0.0097511000, 0.0018293000, -0.022029700, -0.088699200, -0.267104300", \ - "0.0177403000, 0.0163160000, 0.0126340000, 0.0030883000, -0.021594300, -0.088232200, -0.266702900"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013294860, 0.0035350670, 0.0093996470, 0.0249934000, 0.0664567700, 0.1767067000"); - values("0.0124666000, 0.0139336000, 0.0177305000, 0.0274424000, 0.0528560000, 0.1196759000, 0.2970432000", \ - "0.0125071000, 0.0139875000, 0.0177669000, 0.0274797000, 0.0526504000, 0.1197253000, 0.2970945000", \ - "0.0125877000, 0.0140463000, 0.0178044000, 0.0274954000, 0.0529455000, 0.1197789000, 0.2971267000", \ - "0.0123348000, 0.0137593000, 0.0174860000, 0.0271528000, 0.0526404000, 0.1194761000, 0.2966920000", \ - "0.0123878000, 0.0137551000, 0.0172644000, 0.0269016000, 0.0521763000, 0.1192810000, 0.2967750000", \ - "0.0126804000, 0.0140161000, 0.0176421000, 0.0271254000, 0.0522500000, 0.1185101000, 0.2952732000", \ - "0.0140695000, 0.0153611000, 0.0188875000, 0.0284160000, 0.0534886000, 0.1202940000, 0.2951935000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013294860, 0.0035350670, 0.0093996470, 0.0249934000, 0.0664567700, 0.1767067000"); - values("0.0126754000, 0.0118012000, 0.0093076000, 0.0015497000, -0.022249400, -0.088883000, -0.267326900", \ - "0.0125225000, 0.0116238000, 0.0091565000, 0.0013835000, -0.022424600, -0.089083100, -0.267525000", \ - "0.0122782000, 0.0113580000, 0.0089026000, 0.0011075000, -0.022697300, -0.089360700, -0.267790000", \ - "0.0120817000, 0.0112192000, 0.0087565000, 0.0009462000, -0.022885600, -0.089528800, -0.267968100", \ - "0.0123649000, 0.0114474000, 0.0089326000, 0.0010846000, -0.022789100, -0.089454600, -0.267871500", \ - "0.0131831000, 0.0117972000, 0.0089732000, 0.0015575000, -0.022358400, -0.089054600, -0.267481700", \ - "0.0183458000, 0.0168658000, 0.0131605000, 0.0038722000, -0.021365200, -0.087977200, -0.266344600"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013294860, 0.0035350670, 0.0093996470, 0.0249934000, 0.0664567700, 0.1767067000"); - values("0.0091476000, 0.0106221000, 0.0144114000, 0.0240596000, 0.0494650000, 0.1163894000, 0.2925436000", \ - "0.0090864000, 0.0105625000, 0.0143402000, 0.0239985000, 0.0491364000, 0.1162588000, 0.2924623000", \ - "0.0089243000, 0.0103698000, 0.0141146000, 0.0237679000, 0.0491827000, 0.1156094000, 0.2920572000", \ - "0.0086866000, 0.0100967000, 0.0137978000, 0.0234121000, 0.0488835000, 0.1150552000, 0.2905348000", \ - "0.0087480000, 0.0101265000, 0.0136221000, 0.0231924000, 0.0485426000, 0.1149556000, 0.2907617000", \ - "0.0090312000, 0.0103479000, 0.0139791000, 0.0235083000, 0.0485998000, 0.1151582000, 0.2933296000", \ - "0.0106540000, 0.0119514000, 0.0154695000, 0.0250473000, 0.0500748000, 0.1169077000, 0.2915438000"); - } - } - max_capacitance : 0.1767070000; - max_transition : 1.5007080000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.2169105000, 0.2253080000, 0.2430200000, 0.2773116000, 0.3441820000, 0.4859842000, 0.8332647000", \ - "0.2204511000, 0.2288133000, 0.2465166000, 0.2808791000, 0.3476209000, 0.4895116000, 0.8362136000", \ - "0.2311782000, 0.2394815000, 0.2569073000, 0.2916340000, 0.3584127000, 0.5000911000, 0.8471903000", \ - "0.2583219000, 0.2667059000, 0.2843802000, 0.3185810000, 0.3854203000, 0.5271224000, 0.8742516000", \ - "0.3164949000, 0.3248757000, 0.3425455000, 0.3769932000, 0.4437416000, 0.5855078000, 0.9326229000", \ - "0.4252872000, 0.4343425000, 0.4531750000, 0.4896362000, 0.5588816000, 0.7021719000, 1.0498663000", \ - "0.6084505000, 0.6188071000, 0.6405781000, 0.6819749000, 0.7588972000, 0.9090391000, 1.2593812000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0777244000, 0.0846605000, 0.1005061000, 0.1373795000, 0.2304908000, 0.4733265000, 1.1182305000", \ - "0.0817545000, 0.0887291000, 0.1045994000, 0.1414185000, 0.2345178000, 0.4772820000, 1.1222605000", \ - "0.0921151000, 0.0990717000, 0.1148790000, 0.1517657000, 0.2448565000, 0.4880943000, 1.1315310000", \ - "0.1163186000, 0.1231595000, 0.1387572000, 0.1753016000, 0.2682628000, 0.5115038000, 1.1548007000", \ - "0.1536034000, 0.1608832000, 0.1764833000, 0.2137665000, 0.3065999000, 0.5499212000, 1.1946043000", \ - "0.1956370000, 0.2043735000, 0.2220368000, 0.2598327000, 0.3523084000, 0.5958496000, 1.2395494000", \ - "0.2192845000, 0.2306274000, 0.2530351000, 0.2946035000, 0.3865768000, 0.6296376000, 1.2740236000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0310297000, 0.0370177000, 0.0499734000, 0.0770892000, 0.1398669000, 0.2987105000, 0.7464515000", \ - "0.0307775000, 0.0370639000, 0.0499776000, 0.0771037000, 0.1399814000, 0.2976581000, 0.7449162000", \ - "0.0308863000, 0.0368709000, 0.0496370000, 0.0769726000, 0.1396805000, 0.2987688000, 0.7461698000", \ - "0.0307560000, 0.0366943000, 0.0499685000, 0.0771610000, 0.1394917000, 0.2989835000, 0.7447440000", \ - "0.0308929000, 0.0371428000, 0.0495843000, 0.0779538000, 0.1395420000, 0.2985561000, 0.7474441000", \ - "0.0347207000, 0.0410575000, 0.0541216000, 0.0827186000, 0.1443034000, 0.3007220000, 0.7420838000", \ - "0.0432821000, 0.0502937000, 0.0651372000, 0.0949222000, 0.1581829000, 0.3142053000, 0.7476599000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0231573000, 0.0299356000, 0.0474712000, 0.0948336000, 0.2244253000, 0.5709567000, 1.4973699000", \ - "0.0230892000, 0.0299025000, 0.0473547000, 0.0947927000, 0.2244234000, 0.5711366000, 1.4971110000", \ - "0.0229307000, 0.0297373000, 0.0472782000, 0.0945725000, 0.2241870000, 0.5702279000, 1.4960415000", \ - "0.0228739000, 0.0297670000, 0.0471778000, 0.0943364000, 0.2242800000, 0.5702585000, 1.4956923000", \ - "0.0259730000, 0.0322011000, 0.0491826000, 0.0953571000, 0.2239996000, 0.5716473000, 1.4961824000", \ - "0.0324515000, 0.0386573000, 0.0539845000, 0.0973164000, 0.2250482000, 0.5706970000, 1.4952461000", \ - "0.0439797000, 0.0510173000, 0.0665755000, 0.1053754000, 0.2259187000, 0.5729032000, 1.4936440000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.2499118000, 0.2588086000, 0.2771111000, 0.3121280000, 0.3804095000, 0.5236780000, 0.8714386000", \ - "0.2539575000, 0.2627795000, 0.2811799000, 0.3164719000, 0.3845323000, 0.5278653000, 0.8762667000", \ - "0.2651989000, 0.2740670000, 0.2924347000, 0.3274626000, 0.3957792000, 0.5390760000, 0.8872744000", \ - "0.2909573000, 0.2997677000, 0.3179217000, 0.3535380000, 0.4214818000, 0.5649178000, 0.9131425000", \ - "0.3441559000, 0.3529680000, 0.3713345000, 0.4067947000, 0.4749557000, 0.6182238000, 0.9661194000", \ - "0.4450972000, 0.4545388000, 0.4737458000, 0.5104220000, 0.5805127000, 0.7248988000, 1.0733886000", \ - "0.6135122000, 0.6243781000, 0.6459885000, 0.6872525000, 0.7627911000, 0.9140635000, 1.2656456000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0822543000, 0.0892056000, 0.1050934000, 0.1418972000, 0.2342378000, 0.4778734000, 1.1226881000", \ - "0.0864376000, 0.0933736000, 0.1092499000, 0.1460658000, 0.2384773000, 0.4820787000, 1.1265330000", \ - "0.0956405000, 0.1026067000, 0.1184301000, 0.1552393000, 0.2480356000, 0.4912686000, 1.1345983000", \ - "0.1164297000, 0.1232365000, 0.1390017000, 0.1755870000, 0.2684822000, 0.5112734000, 1.1554356000", \ - "0.1518631000, 0.1591443000, 0.1754369000, 0.2126287000, 0.3053688000, 0.5482872000, 1.1925100000", \ - "0.1961215000, 0.2047857000, 0.2225908000, 0.2607114000, 0.3533706000, 0.5963550000, 1.2404278000", \ - "0.2291460000, 0.2402695000, 0.2626096000, 0.3038484000, 0.3976254000, 0.6409648000, 1.2845384000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0339126000, 0.0398146000, 0.0529101000, 0.0810757000, 0.1426348000, 0.3002769000, 0.7455156000", \ - "0.0340114000, 0.0400603000, 0.0529103000, 0.0801661000, 0.1429069000, 0.3015029000, 0.7440132000", \ - "0.0336751000, 0.0394246000, 0.0529110000, 0.0810637000, 0.1428213000, 0.3013599000, 0.7459360000", \ - "0.0339884000, 0.0400239000, 0.0532315000, 0.0798578000, 0.1431449000, 0.3017938000, 0.7465237000", \ - "0.0335833000, 0.0394485000, 0.0532594000, 0.0797274000, 0.1427002000, 0.3009735000, 0.7448190000", \ - "0.0373994000, 0.0434598000, 0.0559701000, 0.0841930000, 0.1464187000, 0.3029238000, 0.7454905000", \ - "0.0446836000, 0.0516316000, 0.0652109000, 0.0959285000, 0.1599701000, 0.3140552000, 0.7469174000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0230947000, 0.0299657000, 0.0474546000, 0.0947842000, 0.2243972000, 0.5713487000, 1.4957833000", \ - "0.0230795000, 0.0299359000, 0.0474826000, 0.0948458000, 0.2243363000, 0.5716370000, 1.4947552000", \ - "0.0230294000, 0.0298160000, 0.0473798000, 0.0948085000, 0.2238125000, 0.5715368000, 1.4929361000", \ - "0.0229896000, 0.0299100000, 0.0473894000, 0.0945347000, 0.2243865000, 0.5701691000, 1.4969878000", \ - "0.0256732000, 0.0321150000, 0.0489415000, 0.0952860000, 0.2236067000, 0.5697712000, 1.4970170000", \ - "0.0312322000, 0.0377093000, 0.0535962000, 0.0977028000, 0.2246448000, 0.5696832000, 1.4940242000", \ - "0.0416672000, 0.0487722000, 0.0646109000, 0.1057116000, 0.2266103000, 0.5729116000, 1.4909231000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.2284027000, 0.2372364000, 0.2556069000, 0.2911346000, 0.3594813000, 0.5026260000, 0.8503909000", \ - "0.2314410000, 0.2402586000, 0.2584568000, 0.2940913000, 0.3623957000, 0.5055591000, 0.8537887000", \ - "0.2414257000, 0.2502737000, 0.2687245000, 0.3041665000, 0.3724564000, 0.5156209000, 0.8633690000", \ - "0.2669514000, 0.2756876000, 0.2939745000, 0.3295039000, 0.3976716000, 0.5409481000, 0.8889871000", \ - "0.3272032000, 0.3359914000, 0.3542445000, 0.3897925000, 0.4579690000, 0.6012265000, 0.9496789000", \ - "0.4521226000, 0.4617017000, 0.4820522000, 0.5192830000, 0.5894880000, 0.7339647000, 1.0822855000", \ - "0.6692284000, 0.6805062000, 0.7041490000, 0.7470987000, 0.8232842000, 0.9741919000, 1.3262431000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0599351000, 0.0661704000, 0.0807948000, 0.1163957000, 0.2083600000, 0.4512109000, 1.0959961000", \ - "0.0647054000, 0.0709423000, 0.0855550000, 0.1211505000, 0.2130496000, 0.4559756000, 1.1008137000", \ - "0.0758344000, 0.0820166000, 0.0965259000, 0.1320494000, 0.2241397000, 0.4672961000, 1.1125482000", \ - "0.0986171000, 0.1049138000, 0.1195167000, 0.1551283000, 0.2475176000, 0.4912155000, 1.1375927000", \ - "0.1292012000, 0.1363950000, 0.1519402000, 0.1879260000, 0.2801148000, 0.5237387000, 1.1682446000", \ - "0.1588849000, 0.1682477000, 0.1868441000, 0.2245403000, 0.3169265000, 0.5597990000, 1.2049174000", \ - "0.1646502000, 0.1771033000, 0.2017534000, 0.2461777000, 0.3392693000, 0.5828262000, 1.2264790000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0339394000, 0.0398946000, 0.0528164000, 0.0795297000, 0.1429251000, 0.3002348000, 0.7458423000", \ - "0.0340192000, 0.0393623000, 0.0531739000, 0.0798188000, 0.1429236000, 0.3014107000, 0.7472661000", \ - "0.0338698000, 0.0394114000, 0.0526758000, 0.0808004000, 0.1426647000, 0.3002338000, 0.7460902000", \ - "0.0335449000, 0.0394800000, 0.0527665000, 0.0797289000, 0.1433021000, 0.3014448000, 0.7446753000", \ - "0.0337822000, 0.0399130000, 0.0522921000, 0.0799091000, 0.1428203000, 0.3016083000, 0.7434898000", \ - "0.0381026000, 0.0444990000, 0.0583599000, 0.0851134000, 0.1467386000, 0.3030289000, 0.7474875000", \ - "0.0510831000, 0.0580595000, 0.0725431000, 0.0999751000, 0.1624320000, 0.3147804000, 0.7501955000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0196276000, 0.0259973000, 0.0433245000, 0.0913504000, 0.2217304000, 0.5698303000, 1.4963704000", \ - "0.0195944000, 0.0259733000, 0.0432801000, 0.0913206000, 0.2214369000, 0.5697339000, 1.4964372000", \ - "0.0196213000, 0.0259779000, 0.0433415000, 0.0913158000, 0.2218112000, 0.5703868000, 1.4974727000", \ - "0.0209494000, 0.0270112000, 0.0438587000, 0.0914220000, 0.2224137000, 0.5701584000, 1.4933098000", \ - "0.0257524000, 0.0313446000, 0.0468167000, 0.0926890000, 0.2216885000, 0.5702393000, 1.4959064000", \ - "0.0350912000, 0.0405114000, 0.0547596000, 0.0961289000, 0.2228424000, 0.5692476000, 1.4945585000", \ - "0.0489425000, 0.0558874000, 0.0710304000, 0.1083654000, 0.2257784000, 0.5724169000, 1.4912784000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.1908996000, 0.1997498000, 0.2181066000, 0.2536896000, 0.3215312000, 0.4648467000, 0.8130022000", \ - "0.1931995000, 0.2020688000, 0.2205303000, 0.2559782000, 0.3242811000, 0.4674844000, 0.8153627000", \ - "0.2010170000, 0.2098139000, 0.2282133000, 0.2633211000, 0.3315486000, 0.4749072000, 0.8232013000", \ - "0.2250181000, 0.2338736000, 0.2521884000, 0.2876746000, 0.3559863000, 0.4991030000, 0.8472857000", \ - "0.2858837000, 0.2946613000, 0.3128358000, 0.3481522000, 0.4162335000, 0.5595965000, 0.9075872000", \ - "0.4138523000, 0.4237111000, 0.4429433000, 0.4789527000, 0.5487844000, 0.6931452000, 1.0416854000", \ - "0.6147728000, 0.6269160000, 0.6514688000, 0.6933867000, 0.7678067000, 0.9155914000, 1.2684659000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0556202000, 0.0619824000, 0.0767586000, 0.1124617000, 0.2040580000, 0.4462823000, 1.0899163000", \ - "0.0604706000, 0.0668237000, 0.0815934000, 0.1171946000, 0.2092309000, 0.4524323000, 1.0947911000", \ - "0.0715930000, 0.0778762000, 0.0925277000, 0.1281968000, 0.2202961000, 0.4638376000, 1.1062657000", \ - "0.0929210000, 0.0994410000, 0.1143623000, 0.1500556000, 0.2422481000, 0.4895860000, 1.1306091000", \ - "0.1205733000, 0.1281512000, 0.1443418000, 0.1807373000, 0.2728873000, 0.5189966000, 1.1649448000", \ - "0.1477547000, 0.1575336000, 0.1775212000, 0.2162492000, 0.3084693000, 0.5514014000, 1.1954344000", \ - "0.1530223000, 0.1664964000, 0.1929712000, 0.2400840000, 0.3339287000, 0.5770472000, 1.2219951000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0340028000, 0.0394904000, 0.0522268000, 0.0798686000, 0.1427832000, 0.3012331000, 0.7451199000", \ - "0.0334342000, 0.0396799000, 0.0526814000, 0.0795361000, 0.1421561000, 0.3005593000, 0.7463345000", \ - "0.0335938000, 0.0397978000, 0.0530183000, 0.0800352000, 0.1428817000, 0.3017504000, 0.7460170000", \ - "0.0335863000, 0.0396667000, 0.0530589000, 0.0797525000, 0.1430834000, 0.3016152000, 0.7485313000", \ - "0.0334296000, 0.0400039000, 0.0525394000, 0.0799047000, 0.1428772000, 0.3008267000, 0.7467494000", \ - "0.0404576000, 0.0460235000, 0.0579118000, 0.0856586000, 0.1466541000, 0.3035899000, 0.7435110000", \ - "0.0559184000, 0.0628611000, 0.0757891000, 0.1019725000, 0.1577233000, 0.3113939000, 0.7502618000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0197224000, 0.0261022000, 0.0432193000, 0.0912659000, 0.2228551000, 0.5728104000, 1.4959588000", \ - "0.0196844000, 0.0261085000, 0.0433142000, 0.0910765000, 0.2221711000, 0.5722680000, 1.4960228000", \ - "0.0197933000, 0.0261707000, 0.0434321000, 0.0910497000, 0.2221612000, 0.5718181000, 1.4939016000", \ - "0.0218348000, 0.0277551000, 0.0443854000, 0.0912712000, 0.2223208000, 0.5701223000, 1.4953730000", \ - "0.0273657000, 0.0329477000, 0.0480491000, 0.0932810000, 0.2217824000, 0.5712489000, 1.4983897000", \ - "0.0377998000, 0.0435875000, 0.0576992000, 0.0976890000, 0.2231430000, 0.5687450000, 1.5007083000", \ - "0.0536107000, 0.0608527000, 0.0763098000, 0.1133056000, 0.2269724000, 0.5730075000, 1.4927577000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a211o_2") { - leakage_power () { - value : 0.0033770000; - when : "!A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0088263000; - when : "!A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0009115000; - when : "!A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0015595000; - when : "!A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0033770000; - when : "!A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0093125000; - when : "!A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0009115000; - when : "!A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0015595000; - when : "!A1&A2&B1&!C1"; - } - leakage_power () { - value : 0.0033769000; - when : "A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0091985000; - when : "A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0009115000; - when : "A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0015595000; - when : "A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0010665000; - when : "A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0029335000; - when : "A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0008925000; - when : "A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0010281000; - when : "A1&A2&B1&!C1"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__a211o"; - cell_leakage_power : 0.0031751010; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023470000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022400000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046543000, 0.0046572000, 0.0046640000, 0.0046647000, 0.0046662000, 0.0046697000, 0.0046777000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003528500, -0.003532900, -0.003542800, -0.003534400, -0.003515000, -0.003470200, -0.003367100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024540000; - } - pin ("A2") { - capacitance : 0.0023760000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022350000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043393000, 0.0043395000, 0.0043399000, 0.0043391000, 0.0043372000, 0.0043328000, 0.0043227000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004337100, -0.004336200, -0.004334100, -0.004334000, -0.004333800, -0.004333200, -0.004332000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025160000; - } - pin ("B1") { - capacitance : 0.0023600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038086000, 0.0038080000, 0.0038067000, 0.0038081000, 0.0038115000, 0.0038191000, 0.0038368000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003363900, -0.003447100, -0.003639100, -0.003644800, -0.003658100, -0.003688800, -0.003759400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025240000; - } - pin ("C1") { - capacitance : 0.0023280000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0024983000, 0.0024947000, 0.0024863000, 0.0024927000, 0.0025074000, 0.0025413000, 0.0026194000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001716900, -0.001719500, -0.001725600, -0.001726200, -0.001727700, -0.001731100, -0.001738800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025220000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2) | (B1) | (C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0158011000, 0.0142261000, 0.0104267000, -0.000901900, -0.038212900, -0.155030100, -0.502531400", \ - "0.0156227000, 0.0140706000, 0.0102607000, -0.001036700, -0.038394200, -0.155209200, -0.502704900", \ - "0.0152209000, 0.0136510000, 0.0100322000, -0.001365200, -0.038654300, -0.155549400, -0.502897600", \ - "0.0150653000, 0.0134791000, 0.0096827000, -0.001625800, -0.039015500, -0.155790700, -0.503257300", \ - "0.0147476000, 0.0132048000, 0.0094594000, -0.001934600, -0.039298400, -0.156074200, -0.503387300", \ - "0.0148243000, 0.0132459000, 0.0094865000, -0.001959000, -0.039374000, -0.156149500, -0.503494500", \ - "0.0211190000, 0.0193027000, 0.0144366000, 0.0009827000, -0.039078500, -0.156116900, -0.503425400"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0186546000, 0.0204114000, 0.0253448000, 0.0393512000, 0.0795052000, 0.1965619000, 0.5414813000", \ - "0.0185535000, 0.0202438000, 0.0252496000, 0.0392648000, 0.0794092000, 0.1963600000, 0.5394426000", \ - "0.0183925000, 0.0201152000, 0.0250833000, 0.0391266000, 0.0792406000, 0.1959027000, 0.5397464000", \ - "0.0182776000, 0.0199637000, 0.0249786000, 0.0389474000, 0.0790717000, 0.1959595000, 0.5393673000", \ - "0.0184016000, 0.0201513000, 0.0250550000, 0.0388173000, 0.0786093000, 0.1965938000, 0.5395433000", \ - "0.0192080000, 0.0207501000, 0.0253587000, 0.0389110000, 0.0785511000, 0.1949809000, 0.5389521000", \ - "0.0210287000, 0.0225103000, 0.0269792000, 0.0405872000, 0.0794993000, 0.1966853000, 0.5383591000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0187977000, 0.0172038000, 0.0134036000, 0.0019586000, -0.035214100, -0.151842200, -0.499144400", \ - "0.0187005000, 0.0170765000, 0.0132666000, 0.0018698000, -0.035398200, -0.151996100, -0.499277700", \ - "0.0185453000, 0.0169409000, 0.0131115000, 0.0017074000, -0.035559800, -0.152198100, -0.499489600", \ - "0.0183375000, 0.0167124000, 0.0128926000, 0.0014945000, -0.035787600, -0.152387800, -0.499652100", \ - "0.0181289000, 0.0165296000, 0.0127524000, 0.0013380000, -0.035956700, -0.152629300, -0.499854700", \ - "0.0181834000, 0.0165893000, 0.0128514000, 0.0013416000, -0.035978600, -0.152669200, -0.499908800", \ - "0.0252212000, 0.0233910000, 0.0183923000, 0.0045369000, -0.035641500, -0.152588200, -0.499802100"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0193996000, 0.0211312000, 0.0261097000, 0.0400710000, 0.0801096000, 0.1974650000, 0.5408244000", \ - "0.0192658000, 0.0209617000, 0.0259546000, 0.0399559000, 0.0800419000, 0.1968729000, 0.5400944000", \ - "0.0191136000, 0.0208278000, 0.0257841000, 0.0398128000, 0.0799153000, 0.1965625000, 0.5402626000", \ - "0.0190936000, 0.0208039000, 0.0257344000, 0.0397367000, 0.0797740000, 0.1966313000, 0.5393049000", \ - "0.0190955000, 0.0207574000, 0.0256514000, 0.0393244000, 0.0795100000, 0.1966700000, 0.5415033000", \ - "0.0201410000, 0.0217006000, 0.0262978000, 0.0399268000, 0.0794804000, 0.1960976000, 0.5391830000", \ - "0.0215108000, 0.0230334000, 0.0275538000, 0.0411555000, 0.0808574000, 0.1975335000, 0.5408701000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0168253000, 0.0152304000, 0.0114304000, 6.270000e-05, -0.037171800, -0.153790000, -0.501084800", \ - "0.0167225000, 0.0150902000, 0.0112844000, -0.000110600, -0.037382100, -0.153993100, -0.501272400", \ - "0.0164562000, 0.0148371000, 0.0110958000, -0.000329700, -0.037604100, -0.154189800, -0.501453800", \ - "0.0162588000, 0.0146390000, 0.0108713000, -0.000505200, -0.037796500, -0.154388400, -0.501685300", \ - "0.0161323000, 0.0145050000, 0.0106899000, -0.000756500, -0.037993000, -0.154614100, -0.501884300", \ - "0.0162632000, 0.0146070000, 0.0106735000, -0.000581100, -0.038058400, -0.154783000, -0.501995100", \ - "0.0230386000, 0.0211520000, 0.0160684000, 0.0020692000, -0.038160400, -0.154659300, -0.501795000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0168897000, 0.0186249000, 0.0235872000, 0.0376414000, 0.0778229000, 0.1945585000, 0.5386232000", \ - "0.0169484000, 0.0186994000, 0.0236768000, 0.0377058000, 0.0779038000, 0.1956673000, 0.5374007000", \ - "0.0170703000, 0.0188127000, 0.0237593000, 0.0377396000, 0.0779685000, 0.1947942000, 0.5382300000", \ - "0.0169035000, 0.0185921000, 0.0234499000, 0.0373942000, 0.0776188000, 0.1948759000, 0.5405812000", \ - "0.0170003000, 0.0185654000, 0.0232573000, 0.0370922000, 0.0770722000, 0.1943290000, 0.5385272000", \ - "0.0176431000, 0.0191932000, 0.0238307000, 0.0375463000, 0.0772618000, 0.1935581000, 0.5401395000", \ - "0.0193162000, 0.0207618000, 0.0252183000, 0.0387919000, 0.0786587000, 0.1954380000, 0.5372260000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0159000000, 0.0143570000, 0.0105653000, -0.000808400, -0.038076800, -0.154760700, -0.502006900", \ - "0.0158124000, 0.0141961000, 0.0103948000, -0.000996700, -0.038265900, -0.154940200, -0.502160100", \ - "0.0154886000, 0.0139001000, 0.0101564000, -0.001231400, -0.038485100, -0.155142000, -0.502428800", \ - "0.0153343000, 0.0137207000, 0.0099276000, -0.001477800, -0.038720000, -0.155374000, -0.502645200", \ - "0.0152204000, 0.0135974000, 0.0098124000, -0.001452200, -0.038723800, -0.155404900, -0.502609800", \ - "0.0164482000, 0.0147835000, 0.0108513000, -0.000990100, -0.038037400, -0.154868300, -0.502127900", \ - "0.0247444000, 0.0227955000, 0.0175934000, 0.0029346000, -0.036814500, -0.153967800, -0.501224500"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0137171000, 0.0154666000, 0.0204679000, 0.0345023000, 0.0745989000, 0.1918900000, 0.5357772000", \ - "0.0137244000, 0.0154638000, 0.0204590000, 0.0344758000, 0.0745737000, 0.1919206000, 0.5355025000", \ - "0.0136252000, 0.0153658000, 0.0203079000, 0.0343164000, 0.0744746000, 0.1915658000, 0.5358217000", \ - "0.0134526000, 0.0151306000, 0.0199867000, 0.0339105000, 0.0740678000, 0.1923575000, 0.5350303000", \ - "0.0136345000, 0.0152012000, 0.0198277000, 0.0337025000, 0.0736426000, 0.1909211000, 0.5359591000", \ - "0.0141341000, 0.0156731000, 0.0202898000, 0.0340402000, 0.0737071000, 0.1902633000, 0.5371935000", \ - "0.0159487000, 0.0173976000, 0.0218071000, 0.0353393000, 0.0752904000, 0.1921632000, 0.5337435000"); - } - } - max_capacitance : 0.3250220000; - max_transition : 1.5056200000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.2572353000, 0.2646924000, 0.2815010000, 0.3153468000, 0.3800540000, 0.5159262000, 0.8543575000", \ - "0.2612747000, 0.2687305000, 0.2855267000, 0.3193544000, 0.3841061000, 0.5200032000, 0.8584629000", \ - "0.2722252000, 0.2796544000, 0.2964023000, 0.3296851000, 0.3949753000, 0.5309543000, 0.8691135000", \ - "0.2989180000, 0.3063250000, 0.3231917000, 0.3569488000, 0.4217136000, 0.5575783000, 0.8960797000", \ - "0.3572463000, 0.3645989000, 0.3814098000, 0.4149412000, 0.4801405000, 0.6160750000, 0.9544860000", \ - "0.4741640000, 0.4819402000, 0.4994228000, 0.5344275000, 0.6004990000, 0.7372167000, 1.0759719000", \ - "0.6766539000, 0.6854167000, 0.7051614000, 0.7445354000, 0.8184566000, 0.9634682000, 1.3073714000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0864484000, 0.0922712000, 0.1058440000, 0.1373426000, 0.2185346000, 0.4501413000, 1.1275969000", \ - "0.0905055000, 0.0963196000, 0.1099400000, 0.1414139000, 0.2225969000, 0.4550382000, 1.1312988000", \ - "0.1005417000, 0.1063188000, 0.1198822000, 0.1513216000, 0.2323443000, 0.4642096000, 1.1449665000", \ - "0.1252711000, 0.1308240000, 0.1442454000, 0.1754262000, 0.2562437000, 0.4888493000, 1.1657380000", \ - "0.1681852000, 0.1744526000, 0.1885089000, 0.2202341000, 0.3009613000, 0.5331786000, 1.2109609000", \ - "0.2187971000, 0.2264347000, 0.2432275000, 0.2764682000, 0.3577199000, 0.5893285000, 1.2706093000", \ - "0.2551472000, 0.2654107000, 0.2870142000, 0.3279284000, 0.4107423000, 0.6425500000, 1.3196886000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0334429000, 0.0382243000, 0.0493670000, 0.0735302000, 0.1268049000, 0.2655317000, 0.6879586000", \ - "0.0334572000, 0.0382158000, 0.0493686000, 0.0738359000, 0.1268308000, 0.2655784000, 0.6873685000", \ - "0.0334750000, 0.0383039000, 0.0498537000, 0.0731845000, 0.1258925000, 0.2654240000, 0.6882338000", \ - "0.0334672000, 0.0385639000, 0.0493078000, 0.0735601000, 0.1269348000, 0.2656406000, 0.6889882000", \ - "0.0335334000, 0.0385631000, 0.0496317000, 0.0738463000, 0.1270828000, 0.2652389000, 0.6895907000", \ - "0.0368970000, 0.0413929000, 0.0526619000, 0.0761704000, 0.1299421000, 0.2667034000, 0.6910589000", \ - "0.0451539000, 0.0506337000, 0.0627121000, 0.0890855000, 0.1442467000, 0.2809423000, 0.6955515000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0200359000, 0.0248846000, 0.0377545000, 0.0739468000, 0.1843627000, 0.5165796000, 1.5005244000", \ - "0.0201757000, 0.0249824000, 0.0376987000, 0.0739304000, 0.1844354000, 0.5173261000, 1.5014229000", \ - "0.0200595000, 0.0248868000, 0.0375982000, 0.0736788000, 0.1844096000, 0.5168687000, 1.4987029000", \ - "0.0197148000, 0.0246922000, 0.0373842000, 0.0735816000, 0.1842102000, 0.5179949000, 1.5017203000", \ - "0.0232006000, 0.0276431000, 0.0399936000, 0.0750039000, 0.1842735000, 0.5176271000, 1.5012910000", \ - "0.0304371000, 0.0354047000, 0.0469727000, 0.0798776000, 0.1861313000, 0.5167583000, 1.5025576000", \ - "0.0417940000, 0.0484433000, 0.0620890000, 0.0927581000, 0.1912474000, 0.5181077000, 1.4972903000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.2909248000, 0.2989161000, 0.3166879000, 0.3515876000, 0.4178369000, 0.5554620000, 0.8955240000", \ - "0.2953372000, 0.3032459000, 0.3210994000, 0.3559743000, 0.4230721000, 0.5600511000, 0.8998138000", \ - "0.3068595000, 0.3148461000, 0.3325331000, 0.3675066000, 0.4345111000, 0.5715347000, 0.9111518000", \ - "0.3326989000, 0.3406574000, 0.3583770000, 0.3932299000, 0.4603083000, 0.5973059000, 0.9370940000", \ - "0.3856692000, 0.3936088000, 0.4112271000, 0.4462468000, 0.5130014000, 0.6507311000, 0.9906896000", \ - "0.4919707000, 0.5000483000, 0.5185303000, 0.5542461000, 0.6218393000, 0.7602948000, 1.1000161000", \ - "0.6746539000, 0.6839313000, 0.7043643000, 0.7438953000, 0.8181976000, 0.9639270000, 1.3087770000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0913416000, 0.0971137000, 0.1107339000, 0.1421090000, 0.2228869000, 0.4548585000, 1.1326470000", \ - "0.0954525000, 0.1012772000, 0.1148757000, 0.1463728000, 0.2274040000, 0.4596497000, 1.1360989000", \ - "0.1046599000, 0.1104816000, 0.1240384000, 0.1554081000, 0.2364049000, 0.4686509000, 1.1460730000", \ - "0.1257447000, 0.1314457000, 0.1448634000, 0.1761062000, 0.2570024000, 0.4893485000, 1.1654133000", \ - "0.1643014000, 0.1705117000, 0.1846486000, 0.2166192000, 0.2975325000, 0.5289880000, 1.2070104000", \ - "0.2154120000, 0.2227735000, 0.2390042000, 0.2729773000, 0.3547139000, 0.5860378000, 1.2635271000", \ - "0.2594584000, 0.2691086000, 0.2900737000, 0.3298032000, 0.4141893000, 0.6451999000, 1.3227927000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0370192000, 0.0420420000, 0.0532049000, 0.0762267000, 0.1304570000, 0.2687510000, 0.6914021000", \ - "0.0368203000, 0.0420041000, 0.0533103000, 0.0762390000, 0.1298735000, 0.2696522000, 0.6913582000", \ - "0.0366895000, 0.0421457000, 0.0535353000, 0.0763119000, 0.1298934000, 0.2694930000, 0.6910475000", \ - "0.0368047000, 0.0417948000, 0.0532884000, 0.0762345000, 0.1298615000, 0.2696278000, 0.6914318000", \ - "0.0372790000, 0.0422126000, 0.0530960000, 0.0777868000, 0.1308216000, 0.2689145000, 0.6918154000", \ - "0.0394427000, 0.0444358000, 0.0557258000, 0.0792216000, 0.1314161000, 0.2698515000, 0.6907789000", \ - "0.0469525000, 0.0525268000, 0.0650558000, 0.0900943000, 0.1445112000, 0.2818890000, 0.6966651000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0200747000, 0.0249344000, 0.0376510000, 0.0739553000, 0.1841128000, 0.5162342000, 1.4984496000", \ - "0.0201488000, 0.0249977000, 0.0377177000, 0.0739444000, 0.1844821000, 0.5175789000, 1.5015834000", \ - "0.0200759000, 0.0248836000, 0.0376455000, 0.0738994000, 0.1844477000, 0.5178694000, 1.5014998000", \ - "0.0198465000, 0.0247248000, 0.0374610000, 0.0737200000, 0.1844103000, 0.5172134000, 1.5010664000", \ - "0.0221543000, 0.0270317000, 0.0395037000, 0.0751408000, 0.1840843000, 0.5168228000, 1.4991953000", \ - "0.0281811000, 0.0331489000, 0.0455009000, 0.0790987000, 0.1860934000, 0.5171303000, 1.5013326000", \ - "0.0382474000, 0.0450715000, 0.0587232000, 0.0900045000, 0.1900353000, 0.5190317000, 1.4993115000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.2694064000, 0.2773983000, 0.2951547000, 0.3301660000, 0.3963098000, 0.5339521000, 0.8740310000", \ - "0.2728116000, 0.2808000000, 0.2985076000, 0.3334711000, 0.4005080000, 0.5375141000, 0.8771619000", \ - "0.2827181000, 0.2906950000, 0.3084267000, 0.3434323000, 0.4105101000, 0.5475138000, 0.8872529000", \ - "0.3077121000, 0.3156503000, 0.3333711000, 0.3683189000, 0.4347946000, 0.5722957000, 0.9124835000", \ - "0.3674696000, 0.3753302000, 0.3930952000, 0.4279435000, 0.4948421000, 0.6324776000, 0.9725825000", \ - "0.5001655000, 0.5087786000, 0.5265382000, 0.5640403000, 0.6315513000, 0.7697823000, 1.1098708000", \ - "0.7377672000, 0.7473944000, 0.7694793000, 0.8111801000, 0.8868672000, 1.0331470000, 1.3783034000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0645328000, 0.0695169000, 0.0814693000, 0.1106351000, 0.1900914000, 0.4210508000, 1.0994056000", \ - "0.0691954000, 0.0741859000, 0.0861691000, 0.1153620000, 0.1950673000, 0.4261861000, 1.1026587000", \ - "0.0804360000, 0.0854119000, 0.0973512000, 0.1264723000, 0.2062054000, 0.4375524000, 1.1148616000", \ - "0.1050838000, 0.1101269000, 0.1221053000, 0.1511720000, 0.2310303000, 0.4617401000, 1.1407972000", \ - "0.1407032000, 0.1468952000, 0.1604865000, 0.1906499000, 0.2703852000, 0.5017831000, 1.1795894000", \ - "0.1780625000, 0.1862147000, 0.2035305000, 0.2374459000, 0.3179865000, 0.5488762000, 1.2291306000", \ - "0.1973102000, 0.2079729000, 0.2310041000, 0.2743396000, 0.3587371000, 0.5890988000, 1.2665610000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0370409000, 0.0420733000, 0.0528301000, 0.0772121000, 0.1304934000, 0.2687923000, 0.6914125000", \ - "0.0367286000, 0.0416675000, 0.0534807000, 0.0762959000, 0.1298255000, 0.2695671000, 0.6910850000", \ - "0.0370058000, 0.0420528000, 0.0526205000, 0.0762627000, 0.1298831000, 0.2696508000, 0.6912946000", \ - "0.0368968000, 0.0421038000, 0.0527637000, 0.0772803000, 0.1296725000, 0.2688902000, 0.6905509000", \ - "0.0369115000, 0.0423026000, 0.0537052000, 0.0764028000, 0.1290432000, 0.2690755000, 0.6896279000", \ - "0.0401628000, 0.0456457000, 0.0563675000, 0.0793164000, 0.1317468000, 0.2693192000, 0.6894886000", \ - "0.0527972000, 0.0577813000, 0.0703030000, 0.0950409000, 0.1475543000, 0.2827928000, 0.6955836000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0166752000, 0.0208162000, 0.0328248000, 0.0692079000, 0.1816044000, 0.5159047000, 1.4995309000", \ - "0.0166410000, 0.0208410000, 0.0328461000, 0.0691888000, 0.1817723000, 0.5160832000, 1.4993367000", \ - "0.0166302000, 0.0208308000, 0.0328505000, 0.0691754000, 0.1817776000, 0.5164741000, 1.5004017000", \ - "0.0176743000, 0.0216750000, 0.0334005000, 0.0693928000, 0.1815439000, 0.5155887000, 1.5003970000", \ - "0.0232209000, 0.0269553000, 0.0378655000, 0.0715898000, 0.1818736000, 0.5160749000, 1.4973324000", \ - "0.0323332000, 0.0366790000, 0.0474960000, 0.0782549000, 0.1836925000, 0.5154770000, 1.4993010000", \ - "0.0450822000, 0.0511900000, 0.0644686000, 0.0949005000, 0.1891156000, 0.5163547000, 1.4962510000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.2340530000, 0.2420552000, 0.2598834000, 0.2948783000, 0.3618059000, 0.4993504000, 0.8390094000", \ - "0.2364658000, 0.2445179000, 0.2622773000, 0.2971805000, 0.3642230000, 0.5018740000, 0.8413241000", \ - "0.2439446000, 0.2518934000, 0.2696383000, 0.3043416000, 0.3712482000, 0.5088323000, 0.8489677000", \ - "0.2672849000, 0.2752230000, 0.2927968000, 0.3278658000, 0.3946469000, 0.5324254000, 0.8718904000", \ - "0.3276652000, 0.3355556000, 0.3532428000, 0.3880774000, 0.4549989000, 0.5926910000, 0.9323224000", \ - "0.4657643000, 0.4741963000, 0.4926938000, 0.5287359000, 0.5953447000, 0.7334384000, 1.0734375000", \ - "0.6922102000, 0.7027026000, 0.7255616000, 0.7684460000, 0.8433895000, 0.9869230000, 1.3317739000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0605958000, 0.0656752000, 0.0778619000, 0.1072099000, 0.1866084000, 0.4182393000, 1.0944197000", \ - "0.0654178000, 0.0704988000, 0.0826452000, 0.1120216000, 0.1914770000, 0.4236232000, 1.0998574000", \ - "0.0768445000, 0.0818932000, 0.0940176000, 0.1232633000, 0.2027944000, 0.4353923000, 1.1130412000", \ - "0.1009515000, 0.1061805000, 0.1184418000, 0.1477552000, 0.2272176000, 0.4596063000, 1.1362790000", \ - "0.1345420000, 0.1409852000, 0.1551093000, 0.1859862000, 0.2657890000, 0.4976086000, 1.1794897000", \ - "0.1712089000, 0.1798143000, 0.1980306000, 0.2331337000, 0.3140986000, 0.5449150000, 1.2246137000", \ - "0.1927317000, 0.2039548000, 0.2282278000, 0.2736405000, 0.3600635000, 0.5913967000, 1.2680047000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0371691000, 0.0419755000, 0.0533532000, 0.0763595000, 0.1298567000, 0.2685880000, 0.6899318000", \ - "0.0368415000, 0.0418621000, 0.0532503000, 0.0762633000, 0.1299258000, 0.2693269000, 0.6911567000", \ - "0.0371464000, 0.0421422000, 0.0528394000, 0.0766511000, 0.1292110000, 0.2690667000, 0.6910321000", \ - "0.0372302000, 0.0421668000, 0.0530118000, 0.0764747000, 0.1308328000, 0.2693240000, 0.6904763000", \ - "0.0367597000, 0.0418373000, 0.0527261000, 0.0769215000, 0.1304727000, 0.2687504000, 0.6908380000", \ - "0.0418990000, 0.0465272000, 0.0573571000, 0.0797963000, 0.1332638000, 0.2707945000, 0.6924908000", \ - "0.0587767000, 0.0649113000, 0.0776248000, 0.1009444000, 0.1490718000, 0.2809613000, 0.6974544000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0167898000, 0.0209916000, 0.0330438000, 0.0692587000, 0.1813717000, 0.5161943000, 1.5035698000", \ - "0.0167705000, 0.0209858000, 0.0330577000, 0.0692325000, 0.1812255000, 0.5176620000, 1.5025756000", \ - "0.0168067000, 0.0210540000, 0.0330864000, 0.0693966000, 0.1812213000, 0.5160575000, 1.5029064000", \ - "0.0183805000, 0.0223568000, 0.0339689000, 0.0696520000, 0.1813903000, 0.5181585000, 1.4971101000", \ - "0.0245447000, 0.0283080000, 0.0390503000, 0.0725147000, 0.1819344000, 0.5170402000, 1.5056203000", \ - "0.0344926000, 0.0389470000, 0.0498292000, 0.0802451000, 0.1842082000, 0.5149396000, 1.5042434000", \ - "0.0485009000, 0.0548500000, 0.0686329000, 0.0992861000, 0.1915137000, 0.5175211000, 1.4973809000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a211o_4") { - leakage_power () { - value : 0.0033710000; - when : "!A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0098061000; - when : "!A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0021701000; - when : "!A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0036611000; - when : "!A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0033711000; - when : "!A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0107815000; - when : "!A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0021701000; - when : "!A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0036611000; - when : "!A1&A2&B1&!C1"; - } - leakage_power () { - value : 0.0033720000; - when : "A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0104498000; - when : "A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0021701000; - when : "A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0036611000; - when : "A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0022448000; - when : "A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0044171000; - when : "A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0021068000; - when : "A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0022659000; - when : "A1&A2&B1&!C1"; - } - area : 17.516800000; - cell_footprint : "sky130_fd_sc_hd__a211o"; - cell_leakage_power : 0.0043549920; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0043620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041770000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087844000, 0.0087905000, 0.0088045000, 0.0088028000, 0.0087988000, 0.0087896000, 0.0087685000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006940900, -0.006947100, -0.006961200, -0.006948800, -0.006920300, -0.006854500, -0.006703000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045470000; - } - pin ("A2") { - capacitance : 0.0047860000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045620000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082288000, 0.0082254000, 0.0082177000, 0.0082178000, 0.0082181000, 0.0082188000, 0.0082204000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008218100, -0.008216500, -0.008212700, -0.008214200, -0.008217700, -0.008225700, -0.008244200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050090000; - } - pin ("B1") { - capacitance : 0.0048560000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0077562000, 0.0077565000, 0.0077572000, 0.0077598000, 0.0077660000, 0.0077802000, 0.0078129000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006955100, -0.007100300, -0.007435000, -0.007444000, -0.007464600, -0.007512200, -0.007621800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0052080000; - } - pin ("C1") { - capacitance : 0.0044290000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040860000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0051831000, 0.0051775000, 0.0051647000, 0.0051795000, 0.0052138000, 0.0052927000, 0.0054745000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003443200, -0.003448300, -0.003460100, -0.003463300, -0.003470500, -0.003487100, -0.003525300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047710000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2) | (B1) | (C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000"); - values("0.0322366000, 0.0302823000, 0.0248279000, 0.0094306000, -0.044650200, -0.234971500, -0.859179000", \ - "0.0319452000, 0.0299994000, 0.0245276000, 0.0091660000, -0.044943400, -0.235196200, -0.859442000", \ - "0.0315587000, 0.0295940000, 0.0239852000, 0.0086813000, -0.045427100, -0.235671300, -0.859908600", \ - "0.0311294000, 0.0292645000, 0.0237727000, 0.0082858000, -0.045830700, -0.236155700, -0.860359000", \ - "0.0307593000, 0.0288234000, 0.0232542000, 0.0078733000, -0.046392500, -0.236565900, -0.860871800", \ - "0.0309979000, 0.0289888000, 0.0233394000, 0.0077548000, -0.046513300, -0.236773700, -0.860912000", \ - "0.0412584000, 0.0391754000, 0.0327867000, 0.0137215000, -0.046081700, -0.236869900, -0.860900800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000"); - values("0.0358321000, 0.0378453000, 0.0442643000, 0.0643075000, 0.1255932000, 0.3187886000, 0.9371956000", \ - "0.0357061000, 0.0376208000, 0.0440536000, 0.0640619000, 0.1254495000, 0.3180666000, 0.9355197000", \ - "0.0354528000, 0.0374393000, 0.0438628000, 0.0638494000, 0.1251442000, 0.3179808000, 0.9362197000", \ - "0.0353372000, 0.0373293000, 0.0436037000, 0.0634959000, 0.1245722000, 0.3174247000, 0.9382001000", \ - "0.0355908000, 0.0375132000, 0.0438121000, 0.0632414000, 0.1236452000, 0.3173482000, 0.9357538000", \ - "0.0372837000, 0.0390865000, 0.0449146000, 0.0636648000, 0.1235029000, 0.3155146000, 0.9375613000", \ - "0.0402401000, 0.0419649000, 0.0476608000, 0.0664073000, 0.1247814000, 0.3172446000, 0.9333955000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000"); - values("0.0388081000, 0.0368478000, 0.0312879000, 0.0161034000, -0.038226000, -0.228689200, -0.852861800", \ - "0.0388851000, 0.0369405000, 0.0313777000, 0.0159348000, -0.038461100, -0.228928900, -0.853078500", \ - "0.0383306000, 0.0363692000, 0.0308024000, 0.0155273000, -0.038716000, -0.229167500, -0.853331100", \ - "0.0379444000, 0.0360708000, 0.0305727000, 0.0151858000, -0.039098500, -0.229377900, -0.853531500", \ - "0.0377875000, 0.0359094000, 0.0303897000, 0.0149368000, -0.039406400, -0.229906900, -0.853854800", \ - "0.0379917000, 0.0359354000, 0.0302192000, 0.0149090000, -0.039456600, -0.229981000, -0.853991400", \ - "0.0487253000, 0.0466167000, 0.0402500000, 0.0211483000, -0.039033300, -0.230110400, -0.854027500"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000"); - values("0.0371342000, 0.0391607000, 0.0454953000, 0.0655306000, 0.1268459000, 0.3195929000, 0.9371482000", \ - "0.0369710000, 0.0389137000, 0.0452903000, 0.0653077000, 0.1265614000, 0.3195008000, 0.9380592000", \ - "0.0366122000, 0.0385979000, 0.0450162000, 0.0650067000, 0.1262577000, 0.3190832000, 0.9372443000", \ - "0.0366085000, 0.0385489000, 0.0449533000, 0.0648352000, 0.1258742000, 0.3188115000, 0.9371064000", \ - "0.0363940000, 0.0383395000, 0.0445965000, 0.0639752000, 0.1252599000, 0.3184400000, 0.9358991000", \ - "0.0378470000, 0.0396619000, 0.0455784000, 0.0645139000, 0.1244030000, 0.3170427000, 0.9361182000", \ - "0.0406575000, 0.0423947000, 0.0480541000, 0.0667897000, 0.1269176000, 0.3188760000, 0.9337865000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000"); - values("0.0349991000, 0.0330485000, 0.0276174000, 0.0120792000, -0.042267600, -0.232769200, -0.856923300", \ - "0.0343230000, 0.0323731000, 0.0268161000, 0.0115481000, -0.042652000, -0.233088400, -0.857250100", \ - "0.0341569000, 0.0320580000, 0.0264899000, 0.0111268000, -0.043202400, -0.233605800, -0.857673400", \ - "0.0335394000, 0.0316242000, 0.0259706000, 0.0106103000, -0.043722200, -0.233975800, -0.858125300", \ - "0.0331254000, 0.0312136000, 0.0256728000, 0.0102231000, -0.044139600, -0.234454100, -0.858492100", \ - "0.0336789000, 0.0315251000, 0.0257275000, 0.0100326000, -0.044434100, -0.234676700, -0.858709800", \ - "0.0455546000, 0.0433790000, 0.0368587000, 0.0174462000, -0.043330400, -0.234337100, -0.858160300"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000"); - values("0.0323189000, 0.0342946000, 0.0405127000, 0.0597846000, 0.1197641000, 0.3115664000, 0.9287061000", \ - "0.0323618000, 0.0343690000, 0.0405841000, 0.0598435000, 0.1198887000, 0.3112516000, 0.9297896000", \ - "0.0325377000, 0.0345110000, 0.0407503000, 0.0599526000, 0.1200496000, 0.3115101000, 0.9300385000", \ - "0.0322009000, 0.0341291000, 0.0402289000, 0.0593853000, 0.1195764000, 0.3118091000, 0.9315314000", \ - "0.0323330000, 0.0341207000, 0.0399170000, 0.0589817000, 0.1188819000, 0.3107754000, 0.9295041000", \ - "0.0332604000, 0.0350398000, 0.0408480000, 0.0596606000, 0.1189334000, 0.3101296000, 0.9279700000", \ - "0.0363276000, 0.0379671000, 0.0436678000, 0.0619664000, 0.1220409000, 0.3137059000, 0.9283750000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000"); - values("0.0322925000, 0.0304839000, 0.0250289000, 0.0096642000, -0.044693000, -0.235137200, -0.859213300", \ - "0.0321046000, 0.0301830000, 0.0246468000, 0.0092171000, -0.045114200, -0.235483700, -0.859573500", \ - "0.0314025000, 0.0295666000, 0.0239825000, 0.0086047000, -0.045600900, -0.235943100, -0.860154200", \ - "0.0310072000, 0.0290426000, 0.0235712000, 0.0081655000, -0.046094100, -0.236508200, -0.860599100", \ - "0.0307371000, 0.0288258000, 0.0233036000, 0.0079065000, -0.046508900, -0.236944400, -0.860823800", \ - "0.0326261000, 0.0306511000, 0.0247789000, 0.0089381000, -0.045710200, -0.235805200, -0.859761700", \ - "0.0464652000, 0.0442177000, 0.0376299000, 0.0180290000, -0.042715500, -0.234164800, -0.858128000"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016109980, 0.0051906300, 0.0167241900, 0.0538852700, 0.1736182000, 0.5593970000"); - values("0.0257314000, 0.0277222000, 0.0341068000, 0.0535940000, 0.1139127000, 0.3059156000, 0.9201938000", \ - "0.0257258000, 0.0277341000, 0.0340505000, 0.0535849000, 0.1139353000, 0.3060225000, 0.9220799000", \ - "0.0255962000, 0.0275840000, 0.0338497000, 0.0533008000, 0.1136834000, 0.3075420000, 0.9204270000", \ - "0.0252476000, 0.0271591000, 0.0333280000, 0.0525872000, 0.1130867000, 0.3060837000, 0.9242634000", \ - "0.0254932000, 0.0273017000, 0.0330918000, 0.0521805000, 0.1122592000, 0.3050259000, 0.9238082000", \ - "0.0264709000, 0.0282508000, 0.0340303000, 0.0529474000, 0.1122653000, 0.3039954000, 0.9239495000", \ - "0.0295100000, 0.0310320000, 0.0365463000, 0.0549085000, 0.1150527000, 0.3068675000, 0.9211552000"); - } - } - max_capacitance : 0.5593970000; - max_transition : 1.5038380000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.2856875000, 0.2907152000, 0.3038002000, 0.3336763000, 0.3953710000, 0.5298653000, 0.8767365000", \ - "0.2897101000, 0.2946884000, 0.3077783000, 0.3376397000, 0.3994204000, 0.5339537000, 0.8809217000", \ - "0.3008832000, 0.3057412000, 0.3187812000, 0.3486222000, 0.4104058000, 0.5449607000, 0.8919538000", \ - "0.3276211000, 0.3325058000, 0.3455298000, 0.3752545000, 0.4370445000, 0.5715335000, 0.9184025000", \ - "0.3844702000, 0.3894142000, 0.4023747000, 0.4322060000, 0.4941514000, 0.6286189000, 0.9756872000", \ - "0.4989101000, 0.5040336000, 0.5174861000, 0.5479965000, 0.6104372000, 0.7455878000, 1.0928406000", \ - "0.7011541000, 0.7067448000, 0.7214533000, 0.7547786000, 0.8237358000, 0.9665440000, 1.3192777000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.0870568000, 0.0909593000, 0.1015945000, 0.1287866000, 0.2019244000, 0.4245159000, 1.1333072000", \ - "0.0911613000, 0.0950457000, 0.1056855000, 0.1328349000, 0.2061697000, 0.4291782000, 1.1391758000", \ - "0.1014643000, 0.1053385000, 0.1159254000, 0.1429754000, 0.2162203000, 0.4393680000, 1.1498124000", \ - "0.1258936000, 0.1297045000, 0.1401067000, 0.1667886000, 0.2394457000, 0.4618272000, 1.1707414000", \ - "0.1675881000, 0.1715945000, 0.1822803000, 0.2091956000, 0.2820890000, 0.5046221000, 1.2133975000", \ - "0.2166980000, 0.2216004000, 0.2339987000, 0.2619284000, 0.3352502000, 0.5576050000, 1.2678381000", \ - "0.2512288000, 0.2576838000, 0.2737073000, 0.3085520000, 0.3832113000, 0.6056036000, 1.3137018000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.0395493000, 0.0425731000, 0.0509027000, 0.0713082000, 0.1206289000, 0.2545721000, 0.6867869000", \ - "0.0396083000, 0.0426168000, 0.0509258000, 0.0713888000, 0.1205623000, 0.2545313000, 0.6859112000", \ - "0.0398908000, 0.0427884000, 0.0510599000, 0.0713834000, 0.1203893000, 0.2543065000, 0.6861525000", \ - "0.0398880000, 0.0429261000, 0.0512620000, 0.0707105000, 0.1204592000, 0.2544822000, 0.6868598000", \ - "0.0396150000, 0.0425976000, 0.0515378000, 0.0712927000, 0.1202607000, 0.2538110000, 0.6868153000", \ - "0.0425603000, 0.0454232000, 0.0535827000, 0.0737196000, 0.1226405000, 0.2554626000, 0.6854725000", \ - "0.0504605000, 0.0537204000, 0.0627603000, 0.0837482000, 0.1352099000, 0.2684315000, 0.6920695000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.0221420000, 0.0253788000, 0.0350325000, 0.0644038000, 0.1599166000, 0.4763629000, 1.5006503000", \ - "0.0220745000, 0.0254608000, 0.0349854000, 0.0643152000, 0.1599054000, 0.4763859000, 1.5018790000", \ - "0.0219934000, 0.0253035000, 0.0348202000, 0.0641945000, 0.1599498000, 0.4771170000, 1.5007886000", \ - "0.0215959000, 0.0247730000, 0.0345702000, 0.0639018000, 0.1595833000, 0.4769025000, 1.5004827000", \ - "0.0244742000, 0.0276922000, 0.0368462000, 0.0652750000, 0.1600285000, 0.4757880000, 1.5009133000", \ - "0.0316981000, 0.0348820000, 0.0434008000, 0.0701915000, 0.1619774000, 0.4755160000, 1.4978976000", \ - "0.0439959000, 0.0479741000, 0.0582612000, 0.0830331000, 0.1675975000, 0.4780273000, 1.4994200000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.2947404000, 0.2998014000, 0.3130372000, 0.3423936000, 0.4029793000, 0.5342904000, 0.8785426000", \ - "0.2995128000, 0.3045240000, 0.3178222000, 0.3473564000, 0.4078730000, 0.5391741000, 0.8834115000", \ - "0.3119515000, 0.3170078000, 0.3302422000, 0.3598966000, 0.4202167000, 0.5515271000, 0.8957877000", \ - "0.3400740000, 0.3451296000, 0.3584006000, 0.3879574000, 0.4487248000, 0.5794059000, 0.9239829000", \ - "0.3979464000, 0.4030038000, 0.4161824000, 0.4456933000, 0.5062855000, 0.6377213000, 0.9820627000", \ - "0.5136720000, 0.5189028000, 0.5325164000, 0.5624919000, 0.6238005000, 0.7553355000, 1.0994171000", \ - "0.7155839000, 0.7213721000, 0.7364562000, 0.7699874000, 0.8371058000, 0.9762655000, 1.3252539000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.0919621000, 0.0958728000, 0.1065032000, 0.1336478000, 0.2068716000, 0.4290899000, 1.1368835000", \ - "0.0962468000, 0.1000905000, 0.1107211000, 0.1378956000, 0.2111282000, 0.4341549000, 1.1422930000", \ - "0.1055451000, 0.1094313000, 0.1200296000, 0.1471154000, 0.2203695000, 0.4434668000, 1.1538521000", \ - "0.1270807000, 0.1309170000, 0.1413932000, 0.1682033000, 0.2411985000, 0.4642995000, 1.1746963000", \ - "0.1663586000, 0.1704235000, 0.1813711000, 0.2087338000, 0.2812732000, 0.5037598000, 1.2114370000", \ - "0.2193578000, 0.2241095000, 0.2363330000, 0.2653826000, 0.3386695000, 0.5607617000, 1.2726694000", \ - "0.2660851000, 0.2721988000, 0.2877465000, 0.3218159000, 0.3981853000, 0.6208490000, 1.3280050000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.0399153000, 0.0429451000, 0.0511477000, 0.0711413000, 0.1178846000, 0.2498336000, 0.6840482000", \ - "0.0399771000, 0.0428513000, 0.0509184000, 0.0709225000, 0.1171143000, 0.2496866000, 0.6836586000", \ - "0.0399164000, 0.0429465000, 0.0511406000, 0.0698012000, 0.1178867000, 0.2498139000, 0.6840331000", \ - "0.0402358000, 0.0432961000, 0.0509044000, 0.0707649000, 0.1171320000, 0.2495772000, 0.6822868000", \ - "0.0402614000, 0.0434810000, 0.0517350000, 0.0701564000, 0.1182133000, 0.2501686000, 0.6821884000", \ - "0.0424804000, 0.0454344000, 0.0532435000, 0.0721925000, 0.1191672000, 0.2503546000, 0.6847166000", \ - "0.0505379000, 0.0537991000, 0.0625121000, 0.0828114000, 0.1314729000, 0.2618848000, 0.6877049000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.0220719000, 0.0253359000, 0.0350170000, 0.0643221000, 0.1601706000, 0.4768894000, 1.5016929000", \ - "0.0221197000, 0.0254232000, 0.0350479000, 0.0642975000, 0.1602991000, 0.4761465000, 1.4995321000", \ - "0.0220779000, 0.0253586000, 0.0349067000, 0.0642437000, 0.1601298000, 0.4771194000, 1.5007138000", \ - "0.0218905000, 0.0251249000, 0.0347010000, 0.0640408000, 0.1600192000, 0.4770311000, 1.4992503000", \ - "0.0240649000, 0.0272985000, 0.0365176000, 0.0653659000, 0.1598889000, 0.4767225000, 1.5018399000", \ - "0.0296268000, 0.0327797000, 0.0424360000, 0.0693618000, 0.1619229000, 0.4759914000, 1.5004781000", \ - "0.0406895000, 0.0445766000, 0.0545610000, 0.0810396000, 0.1661865000, 0.4784668000, 1.5000430000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.2751185000, 0.2801948000, 0.2934522000, 0.3230257000, 0.3836559000, 0.5148733000, 0.8591881000", \ - "0.2780202000, 0.2830934000, 0.2963526000, 0.3260194000, 0.3863829000, 0.5177151000, 0.8620067000", \ - "0.2874797000, 0.2926251000, 0.3058590000, 0.3354859000, 0.3962107000, 0.5270501000, 0.8709657000", \ - "0.3118258000, 0.3168998000, 0.3301195000, 0.3596421000, 0.4204821000, 0.5513047000, 0.8959385000", \ - "0.3696403000, 0.3747238000, 0.3879025000, 0.4173840000, 0.4781049000, 0.6093613000, 0.9539698000", \ - "0.4976659000, 0.5028265000, 0.5167283000, 0.5473300000, 0.6087907000, 0.7403285000, 1.0845896000", \ - "0.7277179000, 0.7338088000, 0.7498294000, 0.7850099000, 0.8541070000, 0.9945134000, 1.3446726000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.0641276000, 0.0673347000, 0.0762717000, 0.1001156000, 0.1695035000, 0.3894394000, 1.0988571000", \ - "0.0688170000, 0.0720353000, 0.0809709000, 0.1048315000, 0.1743784000, 0.3942491000, 1.1016815000", \ - "0.0797849000, 0.0830214000, 0.0919498000, 0.1157029000, 0.1853582000, 0.4053166000, 1.1126370000", \ - "0.1037092000, 0.1069615000, 0.1159059000, 0.1397282000, 0.2092109000, 0.4295811000, 1.1371222000", \ - "0.1370553000, 0.1409217000, 0.1509485000, 0.1759518000, 0.2458683000, 0.4665454000, 1.1737853000", \ - "0.1705527000, 0.1755878000, 0.1882528000, 0.2166583000, 0.2876653000, 0.5090986000, 1.2178673000", \ - "0.1813109000, 0.1880057000, 0.2048736000, 0.2412281000, 0.3174280000, 0.5380643000, 1.2436114000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.0399733000, 0.0430311000, 0.0515516000, 0.0707541000, 0.1168975000, 0.2496567000, 0.6836965000", \ - "0.0399176000, 0.0429511000, 0.0511648000, 0.0698079000, 0.1178293000, 0.2495296000, 0.6838731000", \ - "0.0399188000, 0.0428882000, 0.0511631000, 0.0701808000, 0.1176901000, 0.2501305000, 0.6841683000", \ - "0.0402234000, 0.0428939000, 0.0510426000, 0.0698359000, 0.1174895000, 0.2496598000, 0.6823333000", \ - "0.0402486000, 0.0429500000, 0.0513386000, 0.0699309000, 0.1172787000, 0.2491601000, 0.6838548000", \ - "0.0439201000, 0.0470331000, 0.0549710000, 0.0739028000, 0.1199637000, 0.2519704000, 0.6843851000", \ - "0.0565186000, 0.0599273000, 0.0683941000, 0.0887207000, 0.1354372000, 0.2633751000, 0.6901671000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.0178087000, 0.0206291000, 0.0295061000, 0.0585200000, 0.1556262000, 0.4741025000, 1.5011904000", \ - "0.0178121000, 0.0206827000, 0.0295447000, 0.0585504000, 0.1557912000, 0.4733520000, 1.4977766000", \ - "0.0178160000, 0.0205849000, 0.0295516000, 0.0584993000, 0.1556400000, 0.4735015000, 1.4974745000", \ - "0.0188272000, 0.0215192000, 0.0301720000, 0.0587197000, 0.1557986000, 0.4737341000, 1.4975263000", \ - "0.0238424000, 0.0263464000, 0.0344322000, 0.0612809000, 0.1559380000, 0.4734331000, 1.4968941000", \ - "0.0333320000, 0.0358511000, 0.0438703000, 0.0681013000, 0.1579896000, 0.4735695000, 1.4999765000", \ - "0.0466599000, 0.0505911000, 0.0605936000, 0.0844909000, 0.1650072000, 0.4759026000, 1.4929707000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.2345037000, 0.2395532000, 0.2529028000, 0.2824408000, 0.3429973000, 0.4743609000, 0.8186568000", \ - "0.2368269000, 0.2419679000, 0.2552630000, 0.2848683000, 0.3455166000, 0.4770112000, 0.8209336000", \ - "0.2447472000, 0.2498002000, 0.2628241000, 0.2924909000, 0.3529225000, 0.4842410000, 0.8286449000", \ - "0.2693265000, 0.2743672000, 0.2876051000, 0.3171219000, 0.3777202000, 0.5092421000, 0.8532542000", \ - "0.3328171000, 0.3379156000, 0.3511336000, 0.3807395000, 0.4413456000, 0.5728198000, 0.9172853000", \ - "0.4810669000, 0.4863471000, 0.5000815000, 0.5302728000, 0.5911433000, 0.7209153000, 1.0649812000", \ - "0.7338798000, 0.7403906000, 0.7575583000, 0.7947115000, 0.8641746000, 1.0008790000, 1.3498923000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.0616369000, 0.0649783000, 0.0742901000, 0.0987160000, 0.1685097000, 0.3881851000, 1.0960394000", \ - "0.0662534000, 0.0696063000, 0.0788887000, 0.1034282000, 0.1732460000, 0.3929230000, 1.1139482000", \ - "0.0773148000, 0.0806414000, 0.0898821000, 0.1143149000, 0.1843586000, 0.4045158000, 1.1132999000", \ - "0.0999440000, 0.1033689000, 0.1127314000, 0.1371624000, 0.2073858000, 0.4283673000, 1.1341664000", \ - "0.1301315000, 0.1342994000, 0.1447946000, 0.1705622000, 0.2411686000, 0.4624461000, 1.1686591000", \ - "0.1573492000, 0.1627031000, 0.1763241000, 0.2061366000, 0.2780827000, 0.4986991000, 1.2068481000", \ - "0.1570861000, 0.1640671000, 0.1822407000, 0.2209569000, 0.2993921000, 0.5195087000, 1.2267019000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.0400509000, 0.0428737000, 0.0510754000, 0.0709754000, 0.1170794000, 0.2497207000, 0.6840254000", \ - "0.0399623000, 0.0430360000, 0.0511577000, 0.0700017000, 0.1172588000, 0.2500031000, 0.6844618000", \ - "0.0400599000, 0.0430569000, 0.0509788000, 0.0707152000, 0.1178490000, 0.2493851000, 0.6831913000", \ - "0.0399166000, 0.0429725000, 0.0508312000, 0.0707839000, 0.1169752000, 0.2502215000, 0.6842946000", \ - "0.0402251000, 0.0430459000, 0.0507812000, 0.0698500000, 0.1171400000, 0.2496105000, 0.6832820000", \ - "0.0448358000, 0.0478526000, 0.0564413000, 0.0733712000, 0.1187520000, 0.2518399000, 0.6847739000", \ - "0.0637558000, 0.0672903000, 0.0762557000, 0.0966580000, 0.1383432000, 0.2614313000, 0.6891412000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016110000, 0.0051906300, 0.0167242000, 0.0538853000, 0.1736180000, 0.5593970000"); - values("0.0182508000, 0.0210669000, 0.0300391000, 0.0588733000, 0.1553422000, 0.4737125000, 1.5009366000", \ - "0.0182249000, 0.0210988000, 0.0300378000, 0.0589251000, 0.1558124000, 0.4761699000, 1.5038376000", \ - "0.0182313000, 0.0210784000, 0.0300926000, 0.0588997000, 0.1557027000, 0.4761833000, 1.4992977000", \ - "0.0198243000, 0.0225180000, 0.0311881000, 0.0594178000, 0.1558606000, 0.4753937000, 1.5033070000", \ - "0.0253861000, 0.0279727000, 0.0360848000, 0.0625571000, 0.1564769000, 0.4749359000, 1.5019243000", \ - "0.0358669000, 0.0387199000, 0.0467705000, 0.0708261000, 0.1591203000, 0.4737829000, 1.4984619000", \ - "0.0502490000, 0.0545919000, 0.0652988000, 0.0899610000, 0.1682293000, 0.4751127000, 1.4962180000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a211oi_1") { - leakage_power () { - value : 0.0025126000; - when : "!A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0008228000; - when : "!A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 8.0514879e-05; - when : "!A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0006932000; - when : "!A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0025126000; - when : "!A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0012490000; - when : "!A1&A2&!B1&!C1"; - } - leakage_power () { - value : 8.0514879e-05; - when : "!A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0006932000; - when : "!A1&A2&B1&!C1"; - } - leakage_power () { - value : 0.0025126000; - when : "A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0010943000; - when : "A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 8.0514879e-05; - when : "A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0006932000; - when : "A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0002412000; - when : "A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0021221000; - when : "A1&A2&!B1&!C1"; - } - leakage_power () { - value : 6.2648583e-05; - when : "A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0001996000; - when : "A1&A2&B1&!C1"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__a211oi"; - cell_leakage_power : 0.0009781671; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0024190000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023540000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044984000, 0.0045041000, 0.0045175000, 0.0045192000, 0.0045232000, 0.0045325000, 0.0045539000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003588500, -0.003590800, -0.003596200, -0.003589700, -0.003574700, -0.003540100, -0.003460300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024850000; - } - pin ("A2") { - capacitance : 0.0023770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022780000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040315000, 0.0040271000, 0.0040170000, 0.0040186000, 0.0040224000, 0.0040309000, 0.0040508000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004041100, -0.004034200, -0.004018300, -0.004017600, -0.004016000, -0.004012300, -0.004003800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024760000; - } - pin ("B1") { - capacitance : 0.0024080000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038973000, 0.0038977000, 0.0038986000, 0.0038964000, 0.0038915000, 0.0038801000, 0.0038538000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003466100, -0.003549100, -0.003740600, -0.003746300, -0.003759500, -0.003789900, -0.003860100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025600000; - } - pin ("C1") { - capacitance : 0.0023570000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021750000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026285000, 0.0026227000, 0.0026094000, 0.0026168000, 0.0026339000, 0.0026732000, 0.0027640000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001710900, -0.001710800, -0.001710600, -0.001710600, -0.001710700, -0.001710900, -0.001711300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025380000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1&!C1) | (!A2&!B1&!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0062803000, 0.0053688000, 0.0034141000, -0.000756800, -0.009638000, -0.028666600, -0.069547000", \ - "0.0061549000, 0.0052415000, 0.0032921000, -0.000870700, -0.009746400, -0.028774100, -0.069664300", \ - "0.0060013000, 0.0050866000, 0.0031347000, -0.001017300, -0.009875000, -0.028896300, -0.069804000", \ - "0.0057963000, 0.0049056000, 0.0029742000, -0.001152800, -0.010042900, -0.029034300, -0.069873100", \ - "0.0056644000, 0.0047593000, 0.0028654000, -0.001293200, -0.010109800, -0.029166800, -0.070003100", \ - "0.0061013000, 0.0051551000, 0.0031551000, -0.001043700, -0.010055100, -0.029137700, -0.070036400", \ - "0.0073151000, 0.0063592000, 0.0042704000, 4.240000e-05, -0.009042500, -0.028479100, -0.069724500"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0113506000, 0.0123128000, 0.0143345000, 0.0185846000, 0.0274692000, 0.0464653000, 0.0869768000", \ - "0.0111129000, 0.0120947000, 0.0141319000, 0.0184277000, 0.0273836000, 0.0464538000, 0.0869562000", \ - "0.0108484000, 0.0118121000, 0.0138624000, 0.0181714000, 0.0273350000, 0.0466528000, 0.0869509000", \ - "0.0107008000, 0.0116410000, 0.0136440000, 0.0179242000, 0.0269503000, 0.0463803000, 0.0867768000", \ - "0.0105720000, 0.0114833000, 0.0134553000, 0.0176957000, 0.0266431000, 0.0457862000, 0.0865611000", \ - "0.0105567000, 0.0114819000, 0.0134579000, 0.0176417000, 0.0265423000, 0.0457647000, 0.0865497000", \ - "0.0103166000, 0.0112463000, 0.0131607000, 0.0175896000, 0.0267304000, 0.0456203000, 0.0863105000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0066063000, 0.0056964000, 0.0037419000, -0.000438800, -0.009312400, -0.028360200, -0.069230000", \ - "0.0064866000, 0.0055696000, 0.0036113000, -0.000554400, -0.009432500, -0.028483200, -0.069368700", \ - "0.0063370000, 0.0054135000, 0.0034610000, -0.000709000, -0.009577400, -0.028626700, -0.069495000", \ - "0.0062000000, 0.0052972000, 0.0033571000, -0.000800000, -0.009677900, -0.028713600, -0.069585400", \ - "0.0060823000, 0.0051751000, 0.0032489000, -0.000865100, -0.009749100, -0.028798100, -0.069655800", \ - "0.0064050000, 0.0054861000, 0.0035289000, -0.000685800, -0.009693400, -0.028811900, -0.069670400", \ - "0.0073781000, 0.0064225000, 0.0044494000, 0.0001075000, -0.008897900, -0.028308800, -0.069500800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0145050000, 0.0154312000, 0.0174064000, 0.0215891000, 0.0304780000, 0.0494880000, 0.0900255000", \ - "0.0143781000, 0.0153002000, 0.0172914000, 0.0214995000, 0.0304350000, 0.0493794000, 0.0899326000", \ - "0.0142307000, 0.0151553000, 0.0171519000, 0.0213811000, 0.0303337000, 0.0493068000, 0.0899162000", \ - "0.0141004000, 0.0150194000, 0.0170089000, 0.0212319000, 0.0302014000, 0.0492443000, 0.0898338000", \ - "0.0139802000, 0.0148997000, 0.0168747000, 0.0210800000, 0.0300211000, 0.0490419000, 0.0895724000", \ - "0.0139617000, 0.0149035000, 0.0168767000, 0.0210292000, 0.0299474000, 0.0489927000, 0.0895795000", \ - "0.0137682000, 0.0146812000, 0.0165876000, 0.0210360000, 0.0301472000, 0.0491045000, 0.0895639000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0038910000, 0.0029802000, 0.0010311000, -0.003129300, -0.012071600, -0.031228900, -0.072223300", \ - "0.0039231000, 0.0030209000, 0.0010928000, -0.003074200, -0.011997200, -0.031137200, -0.072126500", \ - "0.0039798000, 0.0030949000, 0.0011883000, -0.002931200, -0.011845500, -0.030957500, -0.071927000", \ - "0.0037337000, 0.0028590000, 0.0009709000, -0.003069200, -0.011945500, -0.031013600, -0.071954400", \ - "0.0038898000, 0.0028926000, 0.0009728000, -0.003151900, -0.012079000, -0.031098500, -0.071988400", \ - "0.0041051000, 0.0031985000, 0.0013183000, -0.002945000, -0.011997800, -0.031190700, -0.071892500", \ - "0.0053162000, 0.0043459000, 0.0022882000, -0.002002800, -0.011227100, -0.030464800, -0.071621000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0123065000, 0.0132460000, 0.0152425000, 0.0194827000, 0.0284209000, 0.0474374000, 0.0879637000", \ - "0.0120984000, 0.0130431000, 0.0150591000, 0.0193221000, 0.0283167000, 0.0473498000, 0.0878237000", \ - "0.0118794000, 0.0128169000, 0.0148429000, 0.0191015000, 0.0281234000, 0.0472402000, 0.0878777000", \ - "0.0117357000, 0.0126702000, 0.0146635000, 0.0188840000, 0.0278626000, 0.0470478000, 0.0876783000", \ - "0.0116482000, 0.0125695000, 0.0145476000, 0.0187582000, 0.0277227000, 0.0468081000, 0.0873603000", \ - "0.0116068000, 0.0125346000, 0.0144914000, 0.0186806000, 0.0277266000, 0.0467535000, 0.0873878000", \ - "0.0120842000, 0.0129698000, 0.0148691000, 0.0189772000, 0.0279240000, 0.0467787000, 0.0875129000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0004892000, -0.000392600, -0.002304100, -0.006453400, -0.015397500, -0.034568400, -0.075590900", \ - "0.0003596000, -0.000494200, -0.002363200, -0.006467700, -0.015351500, -0.034493100, -0.075501100", \ - "0.0001857000, -0.000657100, -0.002499900, -0.006536100, -0.015374500, -0.034451800, -0.075419200", \ - "-4.96000e-05, -0.000882200, -0.002700800, -0.006712900, -0.015479300, -0.034497100, -0.075426800", \ - "8.050000e-05, -0.000794000, -0.002786500, -0.006849600, -0.015707800, -0.034630200, -0.075480800", \ - "0.0004200000, -0.000499100, -0.002435700, -0.006808800, -0.015688000, -0.034797100, -0.075478900", \ - "0.0019784000, 0.0009926000, -0.001135300, -0.005608800, -0.014928200, -0.034032800, -0.075211500"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0112923000, 0.0122463000, 0.0142587000, 0.0185310000, 0.0274953000, 0.0465232000, 0.0870549000", \ - "0.0110512000, 0.0120214000, 0.0140408000, 0.0183347000, 0.0273682000, 0.0463892000, 0.0870571000", \ - "0.0108235000, 0.0117423000, 0.0137548000, 0.0180455000, 0.0271345000, 0.0462832000, 0.0869417000", \ - "0.0107180000, 0.0115909000, 0.0135960000, 0.0178270000, 0.0268303000, 0.0460530000, 0.0867443000", \ - "0.0108735000, 0.0117929000, 0.0137379000, 0.0178452000, 0.0267374000, 0.0458171000, 0.0865445000", \ - "0.0115838000, 0.0124633000, 0.0144093000, 0.0185509000, 0.0268955000, 0.0457467000, 0.0863213000", \ - "0.0127779000, 0.0136291000, 0.0154873000, 0.0194800000, 0.0279059000, 0.0465064000, 0.0863987000"); - } - } - max_capacitance : 0.0476170000; - max_transition : 1.4910050000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0324412000, 0.0360747000, 0.0435330000, 0.0585984000, 0.0885819000, 0.1494614000, 0.2759021000", \ - "0.0367436000, 0.0403787000, 0.0477122000, 0.0626236000, 0.0925840000, 0.1534192000, 0.2800790000", \ - "0.0477125000, 0.0510549000, 0.0580973000, 0.0726740000, 0.1024258000, 0.1632165000, 0.2896691000", \ - "0.0693250000, 0.0735887000, 0.0820586000, 0.0975556000, 0.1259013000, 0.1863852000, 0.3129081000", \ - "0.0957856000, 0.1021188000, 0.1145103000, 0.1374560000, 0.1773255000, 0.2424516000, 0.3684330000", \ - "0.1209173000, 0.1301893000, 0.1488533000, 0.1823734000, 0.2431846000, 0.3414376000, 0.4921701000", \ - "0.1195777000, 0.1338013000, 0.1619446000, 0.2148445000, 0.3060176000, 0.4574891000, 0.6921864000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.1308228000, 0.1430269000, 0.1694186000, 0.2244026000, 0.3388981000, 0.5836877000, 1.1061446000", \ - "0.1343641000, 0.1470174000, 0.1734846000, 0.2291989000, 0.3441486000, 0.5896128000, 1.1123186000", \ - "0.1458003000, 0.1582513000, 0.1847020000, 0.2405682000, 0.3581186000, 0.6061658000, 1.1258167000", \ - "0.1737943000, 0.1860410000, 0.2122237000, 0.2672624000, 0.3842217000, 0.6364461000, 1.1539447000", \ - "0.2309099000, 0.2436834000, 0.2697724000, 0.3242823000, 0.4400490000, 0.6865368000, 1.2110896000", \ - "0.3262133000, 0.3430406000, 0.3755437000, 0.4395274000, 0.5626275000, 0.8086676000, 1.3373226000", \ - "0.4790731000, 0.5042852000, 0.5520319000, 0.6373097000, 0.7959640000, 1.0787564000, 1.6109680000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0342941000, 0.0385107000, 0.0471326000, 0.0647526000, 0.1018941000, 0.1802514000, 0.3458560000", \ - "0.0334173000, 0.0376824000, 0.0464761000, 0.0644375000, 0.1016934000, 0.1796494000, 0.3455390000", \ - "0.0343564000, 0.0380442000, 0.0459915000, 0.0637088000, 0.1012213000, 0.1792269000, 0.3467385000", \ - "0.0468266000, 0.0504133000, 0.0568232000, 0.0705724000, 0.1037055000, 0.1792922000, 0.3460666000", \ - "0.0708747000, 0.0754964000, 0.0853081000, 0.1023564000, 0.1332050000, 0.1943013000, 0.3483657000", \ - "0.1141052000, 0.1214519000, 0.1347078000, 0.1599010000, 0.2060543000, 0.2746994000, 0.4023155000", \ - "0.1908714000, 0.2019242000, 0.2232950000, 0.2647987000, 0.3256443000, 0.4297374000, 0.5903364000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0926044000, 0.1087710000, 0.1429159000, 0.2153663000, 0.3707426000, 0.6995404000, 1.4017565000", \ - "0.0930805000, 0.1090378000, 0.1429765000, 0.2159252000, 0.3703183000, 0.6998075000, 1.4016381000", \ - "0.0929663000, 0.1086542000, 0.1429237000, 0.2156175000, 0.3722564000, 0.7040382000, 1.4019275000", \ - "0.0932675000, 0.1089129000, 0.1430315000, 0.2156285000, 0.3701695000, 0.7054278000, 1.4048902000", \ - "0.1006310000, 0.1152250000, 0.1473130000, 0.2171925000, 0.3697974000, 0.6987035000, 1.4020564000", \ - "0.1315130000, 0.1474059000, 0.1796459000, 0.2455769000, 0.3853095000, 0.7038536000, 1.4085754000", \ - "0.2065851000, 0.2238066000, 0.2588116000, 0.3297831000, 0.4755452000, 0.7611153000, 1.4212586000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0369941000, 0.0406137000, 0.0480550000, 0.0630028000, 0.0929230000, 0.1538540000, 0.2804096000", \ - "0.0414081000, 0.0450197000, 0.0523748000, 0.0672655000, 0.0971990000, 0.1580760000, 0.2846174000", \ - "0.0513956000, 0.0548551000, 0.0620548000, 0.0768149000, 0.1065954000, 0.1674817000, 0.2940051000", \ - "0.0713092000, 0.0754310000, 0.0837225000, 0.0989469000, 0.1287102000, 0.1895463000, 0.3162515000", \ - "0.1000148000, 0.1059667000, 0.1170841000, 0.1375040000, 0.1747006000, 0.2395344000, 0.3667441000", \ - "0.1324145000, 0.1410773000, 0.1579362000, 0.1880712000, 0.2427623000, 0.3315578000, 0.4794851000", \ - "0.1482953000, 0.1615416000, 0.1857781000, 0.2357664000, 0.3194884000, 0.4562637000, 0.6684532000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.1595156000, 0.1717968000, 0.1980758000, 0.2536183000, 0.3716226000, 0.6229489000, 1.1591496000", \ - "0.1638783000, 0.1763879000, 0.2026295000, 0.2586623000, 0.3770378000, 0.6289054000, 1.1674867000", \ - "0.1756793000, 0.1880368000, 0.2148554000, 0.2710156000, 0.3895682000, 0.6413352000, 1.1779445000", \ - "0.2020690000, 0.2146061000, 0.2409080000, 0.2969003000, 0.4157506000, 0.6679557000, 1.2051089000", \ - "0.2554101000, 0.2678607000, 0.2941053000, 0.3499356000, 0.4684992000, 0.7207684000, 1.2593255000", \ - "0.3455282000, 0.3607486000, 0.3924154000, 0.4551121000, 0.5793424000, 0.8310679000, 1.3682768000", \ - "0.4911470000, 0.5121595000, 0.5535541000, 0.6355615000, 0.7884384000, 1.0735631000, 1.6175346000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0337779000, 0.0380380000, 0.0467928000, 0.0646806000, 0.1016698000, 0.1799062000, 0.3456145000", \ - "0.0333509000, 0.0376068000, 0.0463824000, 0.0642507000, 0.1015209000, 0.1798661000, 0.3471486000", \ - "0.0336970000, 0.0376522000, 0.0460389000, 0.0638517000, 0.1010655000, 0.1796780000, 0.3458433000", \ - "0.0421952000, 0.0456321000, 0.0526604000, 0.0678338000, 0.1026270000, 0.1790810000, 0.3464481000", \ - "0.0623878000, 0.0664810000, 0.0749047000, 0.0913692000, 0.1222757000, 0.1883812000, 0.3480904000", \ - "0.1009553000, 0.1069236000, 0.1183049000, 0.1380673000, 0.1768644000, 0.2467010000, 0.3810896000", \ - "0.1698610000, 0.1783663000, 0.1953190000, 0.2260632000, 0.2806655000, 0.3674723000, 0.5188867000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.1185914000, 0.1350310000, 0.1701727000, 0.2445685000, 0.4032017000, 0.7430039000, 1.4667838000", \ - "0.1190628000, 0.1350390000, 0.1700877000, 0.2445525000, 0.4046342000, 0.7428405000, 1.4654498000", \ - "0.1185789000, 0.1351577000, 0.1700797000, 0.2449895000, 0.4032142000, 0.7410756000, 1.4658058000", \ - "0.1190820000, 0.1350341000, 0.1703164000, 0.2445480000, 0.4031284000, 0.7408106000, 1.4634346000", \ - "0.1240517000, 0.1394734000, 0.1732589000, 0.2456288000, 0.4032650000, 0.7411751000, 1.4639308000", \ - "0.1527722000, 0.1689174000, 0.2023542000, 0.2717261000, 0.4182188000, 0.7439867000, 1.4622852000", \ - "0.2223932000, 0.2395230000, 0.2761331000, 0.3496939000, 0.4998654000, 0.8047798000, 1.4829624000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0220150000, 0.0240520000, 0.0283023000, 0.0370987000, 0.0552611000, 0.0933571000, 0.1740430000", \ - "0.0267949000, 0.0288676000, 0.0330850000, 0.0417964000, 0.0599598000, 0.0980675000, 0.1787478000", \ - "0.0375739000, 0.0399079000, 0.0444507000, 0.0530071000, 0.0710241000, 0.1091339000, 0.1898196000", \ - "0.0522651000, 0.0558925000, 0.0628541000, 0.0756834000, 0.0965349000, 0.1347263000, 0.2153148000", \ - "0.0675705000, 0.0734032000, 0.0844115000, 0.1044941000, 0.1378671000, 0.1912415000, 0.2757565000", \ - "0.0746858000, 0.0834588000, 0.1000852000, 0.1321627000, 0.1856256000, 0.2692996000, 0.3946442000", \ - "0.0480720000, 0.0612581000, 0.0882478000, 0.1379889000, 0.2223860000, 0.3546705000, 0.5537598000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.1418708000, 0.1546727000, 0.1813711000, 0.2375615000, 0.3562563000, 0.6075375000, 1.1439286000", \ - "0.1448804000, 0.1575113000, 0.1844868000, 0.2408662000, 0.3600552000, 0.6121488000, 1.1503216000", \ - "0.1550120000, 0.1676438000, 0.1945313000, 0.2510574000, 0.3706314000, 0.6233946000, 1.1607236000", \ - "0.1810027000, 0.1935679000, 0.2199582000, 0.2762441000, 0.3955148000, 0.6486366000, 1.1864725000", \ - "0.2411382000, 0.2539440000, 0.2804631000, 0.3365627000, 0.4553247000, 0.7078607000, 1.2473029000", \ - "0.3482921000, 0.3657666000, 0.4008473000, 0.4691607000, 0.5961420000, 0.8495369000, 1.3869418000", \ - "0.5289852000, 0.5561051000, 0.6082604000, 0.7051192000, 0.8775529000, 1.1692336000, 1.7156354000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0212652000, 0.0236964000, 0.0288229000, 0.0396760000, 0.0628222000, 0.1125744000, 0.2189729000", \ - "0.0210144000, 0.0233813000, 0.0286364000, 0.0395655000, 0.0628300000, 0.1130710000, 0.2191313000", \ - "0.0252940000, 0.0271568000, 0.0312777000, 0.0409564000, 0.0629011000, 0.1124580000, 0.2190239000", \ - "0.0400831000, 0.0422262000, 0.0464541000, 0.0548465000, 0.0722889000, 0.1151722000, 0.2189136000", \ - "0.0668746000, 0.0693820000, 0.0756851000, 0.0875234000, 0.1088756000, 0.1451680000, 0.2306458000", \ - "0.1131910000, 0.1182513000, 0.1287721000, 0.1462222000, 0.1774006000, 0.2282799000, 0.3124491000", \ - "0.1972934000, 0.2051865000, 0.2198442000, 0.2478597000, 0.2959805000, 0.3742199000, 0.4961680000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.1185351000, 0.1352139000, 0.1699542000, 0.2444503000, 0.4036629000, 0.7409459000, 1.4655840000", \ - "0.1186988000, 0.1350320000, 0.1702823000, 0.2445462000, 0.4031664000, 0.7407906000, 1.4634575000", \ - "0.1185713000, 0.1352302000, 0.1700267000, 0.2445045000, 0.4031598000, 0.7410915000, 1.4638525000", \ - "0.1187615000, 0.1350606000, 0.1701623000, 0.2445186000, 0.4031661000, 0.7418652000, 1.4611861000", \ - "0.1296174000, 0.1443649000, 0.1764386000, 0.2475543000, 0.4030941000, 0.7411727000, 1.4653162000", \ - "0.1763482000, 0.1918160000, 0.2229514000, 0.2849534000, 0.4245927000, 0.7443139000, 1.4618240000", \ - "0.2730112000, 0.2929056000, 0.3298662000, 0.4011946000, 0.5421373000, 0.8158191000, 1.4780327000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0184392000, 0.0204351000, 0.0245662000, 0.0332393000, 0.0515413000, 0.0904340000, 0.1733318000", \ - "0.0231773000, 0.0251958000, 0.0293797000, 0.0381087000, 0.0565167000, 0.0954614000, 0.1783630000", \ - "0.0322459000, 0.0350754000, 0.0403825000, 0.0495205000, 0.0679255000, 0.1066223000, 0.1896482000", \ - "0.0435084000, 0.0477544000, 0.0560345000, 0.0704691000, 0.0938524000, 0.1333043000, 0.2150752000", \ - "0.0527353000, 0.0596634000, 0.0735145000, 0.0965380000, 0.1333431000, 0.1902454000, 0.2772923000", \ - "0.0528882000, 0.0641641000, 0.0849029000, 0.1215733000, 0.1804275000, 0.2694881000, 0.3993577000", \ - "0.0187118000, 0.0356518000, 0.0686164000, 0.1262084000, 0.2191738000, 0.3599731000, 0.5667718000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.1062713000, 0.1191400000, 0.1460369000, 0.2023917000, 0.3214055000, 0.5732487000, 1.1098042000", \ - "0.1081676000, 0.1208473000, 0.1476311000, 0.2047507000, 0.3243498000, 0.5767505000, 1.1137875000", \ - "0.1161262000, 0.1283055000, 0.1551862000, 0.2122960000, 0.3323429000, 0.5857490000, 1.1237581000", \ - "0.1409518000, 0.1525259000, 0.1791496000, 0.2350824000, 0.3543796000, 0.6080919000, 1.1463694000", \ - "0.2025036000, 0.2153985000, 0.2411349000, 0.2948922000, 0.4129395000, 0.6650272000, 1.2034947000", \ - "0.3050166000, 0.3240831000, 0.3627025000, 0.4326794000, 0.5579012000, 0.8062552000, 1.3418254000", \ - "0.4696228000, 0.4971145000, 0.5517876000, 0.6557396000, 0.8345351000, 1.1365909000, 1.6660144000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0161361000, 0.0188460000, 0.0244107000, 0.0359124000, 0.0600108000, 0.1115466000, 0.2212684000", \ - "0.0165182000, 0.0190287000, 0.0244392000, 0.0359025000, 0.0599966000, 0.1114174000, 0.2210923000", \ - "0.0229970000, 0.0245401000, 0.0284913000, 0.0379455000, 0.0602667000, 0.1115357000, 0.2213014000", \ - "0.0382341000, 0.0404689000, 0.0448509000, 0.0532247000, 0.0702925000, 0.1140963000, 0.2210749000", \ - "0.0656464000, 0.0688754000, 0.0744253000, 0.0862122000, 0.1077822000, 0.1447773000, 0.2321075000", \ - "0.1139292000, 0.1185473000, 0.1279132000, 0.1454365000, 0.1763675000, 0.2282077000, 0.3144934000", \ - "0.2029183000, 0.2094540000, 0.2233852000, 0.2504343000, 0.2962812000, 0.3751901000, 0.4985866000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.1180911000, 0.1348524000, 0.1704704000, 0.2446388000, 0.4032398000, 0.7415775000, 1.4624859000", \ - "0.1179285000, 0.1348557000, 0.1699902000, 0.2445670000, 0.4031441000, 0.7411898000, 1.4633807000", \ - "0.1176462000, 0.1341129000, 0.1695632000, 0.2444881000, 0.4035890000, 0.7410885000, 1.4645939000", \ - "0.1159081000, 0.1322920000, 0.1676110000, 0.2449191000, 0.4031725000, 0.7410755000, 1.4607978000", \ - "0.1374020000, 0.1505418000, 0.1808537000, 0.2475712000, 0.4020887000, 0.7409938000, 1.4634659000", \ - "0.1872038000, 0.2052554000, 0.2411721000, 0.3068981000, 0.4361030000, 0.7442150000, 1.4642828000", \ - "0.2761023000, 0.3009276000, 0.3483774000, 0.4345829000, 0.5874232000, 0.8579736000, 1.4910051000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a211oi_2") { - leakage_power () { - value : 0.0035885000; - when : "!A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0016038000; - when : "!A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0002537000; - when : "!A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0023224000; - when : "!A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0035885000; - when : "!A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0022978000; - when : "!A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0002537000; - when : "!A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0023224000; - when : "!A1&A2&B1&!C1"; - } - leakage_power () { - value : 0.0035885000; - when : "A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0021311000; - when : "A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0002537000; - when : "A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0023224000; - when : "A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0004620000; - when : "A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0043304000; - when : "A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0001730000; - when : "A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0004472000; - when : "A1&A2&B1&!C1"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__a211oi"; - cell_leakage_power : 0.0018711960; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0043700000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042360000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091896000, 0.0091992000, 0.0092215000, 0.0092208000, 0.0092193000, 0.0092159000, 0.0092079000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006375400, -0.006378500, -0.006385700, -0.006367400, -0.006325300, -0.006228100, -0.006004100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045040000; - } - pin ("A2") { - capacitance : 0.0043880000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041830000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079358000, 0.0079315000, 0.0079215000, 0.0079207000, 0.0079187000, 0.0079142000, 0.0079038000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007896800, -0.007896200, -0.007894700, -0.007895200, -0.007896100, -0.007898300, -0.007903400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045940000; - } - pin ("B1") { - capacitance : 0.0043340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0084616000, 0.0084577000, 0.0084486000, 0.0084510000, 0.0084566000, 0.0084696000, 0.0084995000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007232200, -0.007352100, -0.007628500, -0.007638600, -0.007661900, -0.007715600, -0.007839400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046350000; - } - pin ("C1") { - capacitance : 0.0043270000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039900000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038748000, 0.0038702000, 0.0038598000, 0.0038784000, 0.0039214000, 0.0040203000, 0.0042485000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003557300, -0.003555000, -0.003549600, -0.003550500, -0.003552700, -0.003557700, -0.003569300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046640000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1&!C1) | (!A2&!B1&!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0107284000, 0.0096353000, 0.0070167000, 0.0008095000, -0.013854000, -0.048593800, -0.131421600", \ - "0.0104749000, 0.0093739000, 0.0067729000, 0.0005640000, -0.014079300, -0.048824600, -0.131639200", \ - "0.0101891000, 0.0091060000, 0.0064951000, 0.0002911000, -0.014328000, -0.049056100, -0.131897900", \ - "0.0097813000, 0.0086921000, 0.0061378000, -2.93000e-05, -0.014597700, -0.049340100, -0.132065300", \ - "0.0094955000, 0.0082847000, 0.0057065000, -0.000234100, -0.014846500, -0.049528800, -0.132300600", \ - "0.0102485000, 0.0093951000, 0.0066949000, 0.0001510000, -0.014846100, -0.049348700, -0.132240900", \ - "0.0127523000, 0.0115671000, 0.0087797000, 0.0023391000, -0.012618800, -0.048206500, -0.132041900"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0202919000, 0.0215098000, 0.0242135000, 0.0305850000, 0.0453731000, 0.0800994000, 0.1622388000", \ - "0.0198500000, 0.0210157000, 0.0238316000, 0.0302507000, 0.0451686000, 0.0806188000, 0.1623266000", \ - "0.0193921000, 0.0205325000, 0.0233218000, 0.0298088000, 0.0448313000, 0.0800192000, 0.1627453000", \ - "0.0190694000, 0.0202238000, 0.0229289000, 0.0292622000, 0.0442460000, 0.0793721000, 0.1631448000", \ - "0.0188570000, 0.0199965000, 0.0226270000, 0.0289235000, 0.0438142000, 0.0790595000, 0.1613763000", \ - "0.0188232000, 0.0200047000, 0.0226348000, 0.0288957000, 0.0435335000, 0.0785060000, 0.1615026000", \ - "0.0185123000, 0.0196070000, 0.0221939000, 0.0286061000, 0.0439032000, 0.0783302000, 0.1611016000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0121086000, 0.0109931000, 0.0083725000, 0.0021722000, -0.012490000, -0.047233700, -0.130058800", \ - "0.0118737000, 0.0107762000, 0.0081439000, 0.0019517000, -0.012691300, -0.047474000, -0.130288900", \ - "0.0116135000, 0.0105122000, 0.0078991000, 0.0016945000, -0.012942100, -0.047697100, -0.130502200", \ - "0.0113432000, 0.0102415000, 0.0076682000, 0.0015013000, -0.013124100, -0.047909600, -0.130716100", \ - "0.0111170000, 0.0100268000, 0.0074368000, 0.0013233000, -0.013233300, -0.047853200, -0.130678200", \ - "0.0116058000, 0.0105244000, 0.0079442000, 0.0016486000, -0.013180500, -0.048143100, -0.130785600", \ - "0.0132662000, 0.0121148000, 0.0094205000, 0.0031427000, -0.011895700, -0.047213500, -0.130451300"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0279104000, 0.0290588000, 0.0316921000, 0.0379266000, 0.0526491000, 0.0873113000, 0.1693136000", \ - "0.0276659000, 0.0287259000, 0.0313861000, 0.0376624000, 0.0524655000, 0.0871933000, 0.1693261000", \ - "0.0272529000, 0.0283845000, 0.0310480000, 0.0373735000, 0.0522510000, 0.0870304000, 0.1693312000", \ - "0.0269524000, 0.0281096000, 0.0307336000, 0.0370609000, 0.0519188000, 0.0868123000, 0.1692257000", \ - "0.0267177000, 0.0278297000, 0.0304891000, 0.0367506000, 0.0515465000, 0.0864296000, 0.1689024000", \ - "0.0267130000, 0.0278162000, 0.0304775000, 0.0367084000, 0.0513946000, 0.0862651000, 0.1685259000", \ - "0.0262803000, 0.0273335000, 0.0299354000, 0.0366025000, 0.0516016000, 0.0863689000, 0.1684201000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0078288000, 0.0067387000, 0.0041500000, -0.002009700, -0.016696200, -0.051622900, -0.134668400", \ - "0.0078341000, 0.0067517000, 0.0041768000, -0.001955700, -0.016612900, -0.051526000, -0.134549300", \ - "0.0078458000, 0.0067574000, 0.0042110000, -0.001864300, -0.016426300, -0.051293700, -0.134286700", \ - "0.0073553000, 0.0062944000, 0.0038107000, -0.002205500, -0.016688600, -0.051419000, -0.134348700", \ - "0.0075959000, 0.0064782000, 0.0039170000, -0.002188000, -0.016867800, -0.051543000, -0.134379500", \ - "0.0081438000, 0.0070415000, 0.0044469000, -0.001739400, -0.016388700, -0.051496900, -0.134435800", \ - "0.0108012000, 0.0096213000, 0.0068551000, 0.0003490000, -0.014736800, -0.050000700, -0.133587300"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0223361000, 0.0234157000, 0.0261122000, 0.0324368000, 0.0473142000, 0.0821082000, 0.1641270000", \ - "0.0219484000, 0.0230961000, 0.0258237000, 0.0321738000, 0.0470809000, 0.0818973000, 0.1642944000", \ - "0.0216687000, 0.0227294000, 0.0254364000, 0.0317943000, 0.0467379000, 0.0817417000, 0.1641291000", \ - "0.0214063000, 0.0224858000, 0.0251361000, 0.0314219000, 0.0463424000, 0.0813361000, 0.1637624000", \ - "0.0210907000, 0.0222143000, 0.0248799000, 0.0311383000, 0.0459523000, 0.0808166000, 0.1633033000", \ - "0.0210704000, 0.0221753000, 0.0248307000, 0.0310538000, 0.0459259000, 0.0807907000, 0.1630231000", \ - "0.0218556000, 0.0229317000, 0.0254424000, 0.0315608000, 0.0462937000, 0.0809199000, 0.1631521000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("-0.000190200, -0.001230300, -0.003750000, -0.009875800, -0.024578700, -0.059605600, -0.142765600", \ - "-0.000486500, -0.001466300, -0.003919200, -0.009927500, -0.024517000, -0.059442500, -0.142561600", \ - "-0.000848700, -0.001840500, -0.004202300, -0.010110700, -0.024544500, -0.059357100, -0.142397700", \ - "-0.001131700, -0.002129200, -0.004626800, -0.010454900, -0.024715100, -0.059402200, -0.142346800", \ - "-0.000859300, -0.001933300, -0.004457100, -0.010434000, -0.025050900, -0.059607100, -0.142384900", \ - "-7.65000e-05, -0.001226900, -0.003871800, -0.010254400, -0.024847800, -0.059607500, -0.142591800", \ - "0.0030651000, 0.0017735000, -0.001142100, -0.007861700, -0.023117100, -0.058093200, -0.141622700"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0219608000, 0.0231027000, 0.0258393000, 0.0322325000, 0.0471287000, 0.0819935000, 0.1641752000", \ - "0.0215587000, 0.0227087000, 0.0254614000, 0.0318207000, 0.0468489000, 0.0817178000, 0.1642022000", \ - "0.0211763000, 0.0223167000, 0.0249996000, 0.0313393000, 0.0463969000, 0.0814830000, 0.1638955000", \ - "0.0208298000, 0.0219697000, 0.0246650000, 0.0308195000, 0.0458163000, 0.0810142000, 0.1636564000", \ - "0.0210073000, 0.0220434000, 0.0246732000, 0.0308808000, 0.0455656000, 0.0804746000, 0.1630184000", \ - "0.0218582000, 0.0229466000, 0.0254965000, 0.0315597000, 0.0460217000, 0.0806097000, 0.1627270000", \ - "0.0246091000, 0.0256234000, 0.0280609000, 0.0339614000, 0.0481288000, 0.0819538000, 0.1623287000"); - } - } - max_capacitance : 0.0888160000; - max_transition : 1.4972550000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0301879000, 0.0328299000, 0.0389262000, 0.0521889000, 0.0809793000, 0.1444991000, 0.2892254000", \ - "0.0345577000, 0.0371548000, 0.0431101000, 0.0562593000, 0.0850523000, 0.1484319000, 0.2933461000", \ - "0.0461333000, 0.0484447000, 0.0539801000, 0.0664893000, 0.0948931000, 0.1582436000, 0.3028838000", \ - "0.0677036000, 0.0704239000, 0.0773507000, 0.0917122000, 0.1194513000, 0.1814539000, 0.3260707000", \ - "0.0943783000, 0.0993366000, 0.1092574000, 0.1294460000, 0.1686564000, 0.2382227000, 0.3821202000", \ - "0.1205518000, 0.1269176000, 0.1416013000, 0.1729669000, 0.2316693000, 0.3345224000, 0.5095024000", \ - "0.1244226000, 0.1349197000, 0.1566287000, 0.2020449000, 0.2912454000, 0.4514154000, 0.7156025000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1246146000, 0.1333897000, 0.1531862000, 0.1988729000, 0.3036789000, 0.5507188000, 1.1350849000", \ - "0.1279870000, 0.1366869000, 0.1567027000, 0.2024793000, 0.3086272000, 0.5606456000, 1.1409116000", \ - "0.1391120000, 0.1476121000, 0.1674445000, 0.2137730000, 0.3207427000, 0.5702903000, 1.1559833000", \ - "0.1652519000, 0.1733318000, 0.1930251000, 0.2386403000, 0.3446786000, 0.5943521000, 1.1868077000", \ - "0.2167265000, 0.2257426000, 0.2458648000, 0.2912998000, 0.3973850000, 0.6517686000, 1.2342327000", \ - "0.2988727000, 0.3108302000, 0.3355939000, 0.3904722000, 0.5073416000, 0.7575333000, 1.3487044000", \ - "0.4254714000, 0.4422487000, 0.4788450000, 0.5543555000, 0.7027522000, 0.9949694000, 1.5934537000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0346289000, 0.0373951000, 0.0441634000, 0.0595200000, 0.0943732000, 0.1758186000, 0.3694197000", \ - "0.0330569000, 0.0362540000, 0.0432324000, 0.0588961000, 0.0941584000, 0.1758008000, 0.3691255000", \ - "0.0340132000, 0.0365221000, 0.0427085000, 0.0576345000, 0.0934289000, 0.1755352000, 0.3692711000", \ - "0.0454342000, 0.0480798000, 0.0540650000, 0.0657274000, 0.0961013000, 0.1747939000, 0.3687111000", \ - "0.0681175000, 0.0716086000, 0.0793699000, 0.0956368000, 0.1272254000, 0.1907636000, 0.3704254000", \ - "0.1086283000, 0.1141485000, 0.1257253000, 0.1481509000, 0.1910849000, 0.2712778000, 0.4194665000", \ - "0.1800415000, 0.1900569000, 0.2090016000, 0.2457036000, 0.3062064000, 0.4165001000, 0.6050354000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0789782000, 0.0896707000, 0.1149972000, 0.1750773000, 0.3163406000, 0.6513911000, 1.4402181000", \ - "0.0791375000, 0.0902635000, 0.1151943000, 0.1741919000, 0.3167638000, 0.6556927000, 1.4431008000", \ - "0.0792659000, 0.0903443000, 0.1153411000, 0.1752533000, 0.3165962000, 0.6519967000, 1.4448989000", \ - "0.0796511000, 0.0902023000, 0.1155756000, 0.1750686000, 0.3164547000, 0.6500851000, 1.4541134000", \ - "0.0880836000, 0.0979197000, 0.1208700000, 0.1781585000, 0.3166877000, 0.6551589000, 1.4391560000", \ - "0.1151747000, 0.1254109000, 0.1504659000, 0.2077829000, 0.3363755000, 0.6563284000, 1.4452530000", \ - "0.1823533000, 0.1940377000, 0.2198696000, 0.2809113000, 0.4180017000, 0.7192792000, 1.4645647000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0363316000, 0.0389799000, 0.0449058000, 0.0580584000, 0.0868387000, 0.1502285000, 0.2949237000", \ - "0.0408859000, 0.0434943000, 0.0493681000, 0.0625273000, 0.0911448000, 0.1546461000, 0.2991795000", \ - "0.0508177000, 0.0532942000, 0.0589988000, 0.0719277000, 0.1004710000, 0.1638164000, 0.3086257000", \ - "0.0700249000, 0.0729254000, 0.0793927000, 0.0932816000, 0.1217631000, 0.1850593000, 0.3297718000", \ - "0.0984902000, 0.1023768000, 0.1113332000, 0.1295277000, 0.1646681000, 0.2333624000, 0.3792275000", \ - "0.1291238000, 0.1351810000, 0.1481781000, 0.1744501000, 0.2268186000, 0.3199290000, 0.4894023000", \ - "0.1405471000, 0.1497084000, 0.1698637000, 0.2111947000, 0.2932344000, 0.4361803000, 0.6747077000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1605514000, 0.1683552000, 0.1879737000, 0.2325614000, 0.3377382000, 0.5852272000, 1.1709810000", \ - "0.1644064000, 0.1727684000, 0.1918358000, 0.2372854000, 0.3427209000, 0.5903611000, 1.1754397000", \ - "0.1756179000, 0.1842089000, 0.2036126000, 0.2491794000, 0.3549757000, 0.6031700000, 1.1893776000", \ - "0.2018622000, 0.2102929000, 0.2296311000, 0.2749813000, 0.3808490000, 0.6296717000, 1.2156410000", \ - "0.2558787000, 0.2639317000, 0.2831745000, 0.3283811000, 0.4339745000, 0.6828069000, 1.2691991000", \ - "0.3477607000, 0.3573110000, 0.3808733000, 0.4321505000, 0.5454848000, 0.7941783000, 1.3807078000", \ - "0.4968835000, 0.5107127000, 0.5425550000, 0.6087892000, 0.7487622000, 1.0340374000, 1.6307300000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0335088000, 0.0364159000, 0.0432354000, 0.0587528000, 0.0941101000, 0.1757656000, 0.3689005000", \ - "0.0330958000, 0.0360376000, 0.0428089000, 0.0585234000, 0.0937635000, 0.1756345000, 0.3692525000", \ - "0.0333718000, 0.0361838000, 0.0426528000, 0.0579093000, 0.0934299000, 0.1754233000, 0.3691354000", \ - "0.0411494000, 0.0435605000, 0.0494736000, 0.0622089000, 0.0947252000, 0.1752853000, 0.3696168000", \ - "0.0601416000, 0.0630816000, 0.0690840000, 0.0832255000, 0.1138378000, 0.1846420000, 0.3690353000", \ - "0.0963497000, 0.1004736000, 0.1094430000, 0.1274301000, 0.1660593000, 0.2370717000, 0.4009944000", \ - "0.1633380000, 0.1693123000, 0.1825913000, 0.2084271000, 0.2610958000, 0.3545650000, 0.5344286000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1073853000, 0.1183288000, 0.1439461000, 0.2039414000, 0.3454523000, 0.6798082000, 1.4730384000", \ - "0.1074318000, 0.1181879000, 0.1438780000, 0.2038283000, 0.3454457000, 0.6797248000, 1.4686923000", \ - "0.1075351000, 0.1187890000, 0.1437266000, 0.2044076000, 0.3455084000, 0.6798881000, 1.4713983000", \ - "0.1078972000, 0.1183776000, 0.1437323000, 0.2038814000, 0.3456006000, 0.6798331000, 1.4717222000", \ - "0.1124569000, 0.1226865000, 0.1470330000, 0.2050609000, 0.3455776000, 0.6823370000, 1.4734336000", \ - "0.1389581000, 0.1493308000, 0.1748285000, 0.2314140000, 0.3619350000, 0.6835447000, 1.4708518000", \ - "0.2026977000, 0.2140663000, 0.2407539000, 0.3021998000, 0.4389221000, 0.7437349000, 1.4878120000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0197362000, 0.0211456000, 0.0243290000, 0.0315237000, 0.0476598000, 0.0841345000, 0.1694411000", \ - "0.0246562000, 0.0260208000, 0.0291919000, 0.0363470000, 0.0523088000, 0.0887916000, 0.1741247000", \ - "0.0352857000, 0.0369357000, 0.0405133000, 0.0477118000, 0.0634911000, 0.0998903000, 0.1852022000", \ - "0.0493241000, 0.0518521000, 0.0575097000, 0.0684109000, 0.0888682000, 0.1260263000, 0.2108934000", \ - "0.0641405000, 0.0676305000, 0.0761292000, 0.0935254000, 0.1255508000, 0.1803986000, 0.2714632000", \ - "0.0706166000, 0.0767415000, 0.0900126000, 0.1168001000, 0.1675956000, 0.2535660000, 0.3919563000", \ - "0.0450560000, 0.0545292000, 0.0753867000, 0.1173980000, 0.1968991000, 0.3328200000, 0.5505099000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1424451000, 0.1509101000, 0.1700842000, 0.2158225000, 0.3217214000, 0.5697867000, 1.1556397000", \ - "0.1454671000, 0.1536169000, 0.1734495000, 0.2188919000, 0.3253112000, 0.5738706000, 1.1595161000", \ - "0.1555474000, 0.1638500000, 0.1834858000, 0.2288894000, 0.3355466000, 0.5849130000, 1.1710383000", \ - "0.1814373000, 0.1894552000, 0.2090295000, 0.2543170000, 0.3604015000, 0.6099302000, 1.1969752000", \ - "0.2381411000, 0.2467925000, 0.2664382000, 0.3115573000, 0.4173004000, 0.6661638000, 1.2533062000", \ - "0.3371866000, 0.3486908000, 0.3746271000, 0.4316323000, 0.5509596000, 0.8002221000, 1.3867526000", \ - "0.4986546000, 0.5162779000, 0.5552009000, 0.6369413000, 0.7962575000, 1.1014402000, 1.6992887000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0202182000, 0.0217786000, 0.0254814000, 0.0342278000, 0.0544487000, 0.1019240000, 0.2163734000", \ - "0.0198495000, 0.0213724000, 0.0250194000, 0.0339803000, 0.0543721000, 0.1019184000, 0.2151944000", \ - "0.0243291000, 0.0254353000, 0.0283620000, 0.0357822000, 0.0545890000, 0.1019074000, 0.2155380000", \ - "0.0392033000, 0.0404889000, 0.0436229000, 0.0505780000, 0.0652417000, 0.1053146000, 0.2149310000", \ - "0.0653599000, 0.0674282000, 0.0719533000, 0.0815755000, 0.1010151000, 0.1373354000, 0.2285664000", \ - "0.1111240000, 0.1143304000, 0.1214343000, 0.1365004000, 0.1657280000, 0.2177495000, 0.3070950000", \ - "0.1931389000, 0.1980005000, 0.2096668000, 0.2332471000, 0.2774163000, 0.3565195000, 0.4876625000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1075345000, 0.1180867000, 0.1438974000, 0.2038886000, 0.3455423000, 0.6794205000, 1.4740584000", \ - "0.1073673000, 0.1181094000, 0.1439232000, 0.2039358000, 0.3454733000, 0.6797867000, 1.4716612000", \ - "0.1073982000, 0.1181831000, 0.1439491000, 0.2039535000, 0.3454061000, 0.6797048000, 1.4695486000", \ - "0.1076892000, 0.1184125000, 0.1439171000, 0.2041012000, 0.3455488000, 0.6798584000, 1.4683643000", \ - "0.1184197000, 0.1281140000, 0.1519639000, 0.2083186000, 0.3463259000, 0.6798225000, 1.4698263000", \ - "0.1606597000, 0.1713187000, 0.1955299000, 0.2497862000, 0.3717155000, 0.6844276000, 1.4706575000", \ - "0.2509506000, 0.2634059000, 0.2927632000, 0.3583743000, 0.4867486000, 0.7695150000, 1.4903760000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0154336000, 0.0166712000, 0.0194894000, 0.0259412000, 0.0407447000, 0.0756272000, 0.1581565000", \ - "0.0200970000, 0.0213592000, 0.0241432000, 0.0306343000, 0.0456037000, 0.0806285000, 0.1630677000", \ - "0.0274157000, 0.0293843000, 0.0336127000, 0.0417720000, 0.0569821000, 0.0917263000, 0.1743373000", \ - "0.0353106000, 0.0385356000, 0.0452303000, 0.0582479000, 0.0804848000, 0.1182460000, 0.2004034000", \ - "0.0399183000, 0.0450894000, 0.0557435000, 0.0768119000, 0.1123445000, 0.1700210000, 0.2614725000", \ - "0.0303154000, 0.0383853000, 0.0553217000, 0.0883480000, 0.1452210000, 0.2365184000, 0.3777024000", \ - "-0.022792900, -0.009354400, 0.0179415000, 0.0707219000, 0.1599350000, 0.3040990000, 0.5270949000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0957186000, 0.1041735000, 0.1240733000, 0.1700525000, 0.2764623000, 0.5249370000, 1.1100012000", \ - "0.0978343000, 0.1064380000, 0.1263848000, 0.1720933000, 0.2791169000, 0.5281318000, 1.1145557000", \ - "0.1067741000, 0.1149320000, 0.1342621000, 0.1802073000, 0.2872132000, 0.5372038000, 1.1240465000", \ - "0.1320467000, 0.1401478000, 0.1594247000, 0.2033463000, 0.3102650000, 0.5603155000, 1.1478893000", \ - "0.1957236000, 0.2041460000, 0.2232871000, 0.2667253000, 0.3703081000, 0.6200483000, 1.2069815000", \ - "0.2987025000, 0.3116384000, 0.3404091000, 0.4009914000, 0.5180175000, 0.7632714000, 1.3474573000", \ - "0.4684696000, 0.4866982000, 0.5272104000, 0.6158228000, 0.7875947000, 1.0977433000, 1.6749270000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0114869000, 0.0131120000, 0.0172046000, 0.0263436000, 0.0466619000, 0.0927224000, 0.2021957000", \ - "0.0123745000, 0.0138570000, 0.0175751000, 0.0263664000, 0.0465864000, 0.0927239000, 0.2025615000", \ - "0.0203329000, 0.0213375000, 0.0237024000, 0.0301849000, 0.0477204000, 0.0927416000, 0.2020349000", \ - "0.0360302000, 0.0373791000, 0.0402571000, 0.0470197000, 0.0609088000, 0.0977869000, 0.2019648000", \ - "0.0629686000, 0.0647288000, 0.0690573000, 0.0783543000, 0.0973184000, 0.1324116000, 0.2165934000", \ - "0.1113236000, 0.1140030000, 0.1201381000, 0.1335854000, 0.1615877000, 0.2123599000, 0.3002915000", \ - "0.2006424000, 0.2047864000, 0.2137481000, 0.2340678000, 0.2759943000, 0.3535104000, 0.4815116000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1063855000, 0.1176593000, 0.1431614000, 0.2038075000, 0.3455304000, 0.6800794000, 1.4686881000", \ - "0.1059640000, 0.1174757000, 0.1431771000, 0.2042129000, 0.3455497000, 0.6798077000, 1.4740512000", \ - "0.1048167000, 0.1161397000, 0.1424678000, 0.2034249000, 0.3454927000, 0.6813987000, 1.4692202000", \ - "0.1031559000, 0.1139452000, 0.1397613000, 0.2021292000, 0.3452819000, 0.6823347000, 1.4737896000", \ - "0.1243311000, 0.1336571000, 0.1555361000, 0.2089835000, 0.3451614000, 0.6801270000, 1.4701425000", \ - "0.1700186000, 0.1826631000, 0.2102648000, 0.2685754000, 0.3856384000, 0.6856528000, 1.4712490000", \ - "0.2496665000, 0.2673830000, 0.3051681000, 0.3830763000, 0.5301523000, 0.8032505000, 1.4972549000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a211oi_4") { - leakage_power () { - value : 0.0020907000; - when : "!A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0046760000; - when : "!A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0018881000; - when : "!A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0020063000; - when : "!A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0020907000; - when : "!A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0068997000; - when : "!A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0018881000; - when : "!A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0020063000; - when : "!A1&A2&B1&!C1"; - } - leakage_power () { - value : 0.0020907000; - when : "A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0060819000; - when : "A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0018881000; - when : "A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0020063000; - when : "A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0004918000; - when : "A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0032064000; - when : "A1&A2&!B1&!C1"; - } - leakage_power () { - value : 9.3357871e-05; - when : "A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0007064000; - when : "A1&A2&B1&!C1"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__a211oi"; - cell_leakage_power : 0.0025069380; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0084810000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081200000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0175481000, 0.0175479000, 0.0175476000, 0.0175420000, 0.0175293000, 0.0174999000, 0.0174320000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013220800, -0.013244900, -0.013300300, -0.013272600, -0.013208700, -0.013061500, -0.012722100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0088430000; - } - pin ("A2") { - capacitance : 0.0091250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0086690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0162183000, 0.0161981000, 0.0161514000, 0.0161459000, 0.0161332000, 0.0161041000, 0.0160368000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.016108200, -0.016105100, -0.016098100, -0.016100600, -0.016106200, -0.016119100, -0.016148900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0095820000; - } - pin ("B1") { - capacitance : 0.0091130000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0084920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0167266000, 0.0167304000, 0.0167391000, 0.0167465000, 0.0167638000, 0.0168035000, 0.0168950000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013992100, -0.014317000, -0.015066100, -0.015096600, -0.015166700, -0.015328500, -0.015701300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0097350000; - } - pin ("C1") { - capacitance : 0.0085760000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0079050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0089947000, 0.0089810000, 0.0089494000, 0.0089776000, 0.0090426000, 0.0091925000, 0.0095379000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007051100, -0.007053900, -0.007060500, -0.007059800, -0.007058200, -0.007054400, -0.007045700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092480000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1&!C1) | (!A2&!B1&!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000"); - values("0.0221156000, 0.0208468000, 0.0176278000, 0.0093325000, -0.011863700, -0.065983000, -0.205359100", \ - "0.0217268000, 0.0204705000, 0.0172360000, 0.0089517000, -0.012252000, -0.066349100, -0.205826800", \ - "0.0212021000, 0.0199401000, 0.0167418000, 0.0084433000, -0.012709400, -0.066787500, -0.206262600", \ - "0.0203392000, 0.0191198000, 0.0159198000, 0.0078846000, -0.013254700, -0.067317700, -0.206732300", \ - "0.0197261000, 0.0184789000, 0.0153051000, 0.0072742000, -0.013809900, -0.067702000, -0.207109400", \ - "0.0211297000, 0.0198658000, 0.0160973000, 0.0077394000, -0.013622500, -0.067728800, -0.207188500", \ - "0.0245280000, 0.0232065000, 0.0197998000, 0.0111881000, -0.010441300, -0.065719300, -0.206648100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000"); - values("0.0394850000, 0.0408585000, 0.0441602000, 0.0526879000, 0.0739746000, 0.1289948000, 0.2671932000", \ - "0.0385991000, 0.0399060000, 0.0433940000, 0.0520758000, 0.0737182000, 0.1281658000, 0.2670183000", \ - "0.0376642000, 0.0390297000, 0.0424412000, 0.0511073000, 0.0730443000, 0.1275838000, 0.2664346000", \ - "0.0371633000, 0.0384923000, 0.0417716000, 0.0502876000, 0.0721269000, 0.1269194000, 0.2664840000", \ - "0.0367219000, 0.0380032000, 0.0412930000, 0.0496795000, 0.0710664000, 0.1256876000, 0.2648738000", \ - "0.0368373000, 0.0380849000, 0.0413811000, 0.0496279000, 0.0708085000, 0.1254205000, 0.2642741000", \ - "0.0359036000, 0.0371094000, 0.0405792000, 0.0497000000, 0.0711540000, 0.1251130000, 0.2640029000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000"); - values("0.0246988000, 0.0234473000, 0.0202332000, 0.0119253000, -0.009287800, -0.063383400, -0.202831400", \ - "0.0242574000, 0.0230048000, 0.0197667000, 0.0114633000, -0.009731700, -0.063831800, -0.203238200", \ - "0.0236619000, 0.0224235000, 0.0191703000, 0.0108869000, -0.010298700, -0.064391600, -0.203798400", \ - "0.0230004000, 0.0217748000, 0.0185921000, 0.0104387000, -0.010752500, -0.064896700, -0.204285500", \ - "0.0224409000, 0.0211900000, 0.0180459000, 0.0099092000, -0.011042400, -0.065040000, -0.204370000", \ - "0.0229407000, 0.0216701000, 0.0184394000, 0.0102636000, -0.011051900, -0.065639000, -0.204750000", \ - "0.0259901000, 0.0246867000, 0.0214038000, 0.0128091000, -0.008845200, -0.063762400, -0.204518400"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000"); - values("0.0532440000, 0.0544720000, 0.0577266000, 0.0660301000, 0.0871699000, 0.1413075000, 0.2797061000", \ - "0.0526046000, 0.0538823000, 0.0571748000, 0.0655255000, 0.0868501000, 0.1411183000, 0.2794157000", \ - "0.0520476000, 0.0532833000, 0.0565909000, 0.0650645000, 0.0864260000, 0.1408371000, 0.2794185000", \ - "0.0515176000, 0.0528237000, 0.0560673000, 0.0644684000, 0.0859118000, 0.1403833000, 0.2792153000", \ - "0.0510427000, 0.0523060000, 0.0555702000, 0.0639392000, 0.0852876000, 0.1397616000, 0.2785661000", \ - "0.0511034000, 0.0523810000, 0.0555909000, 0.0638242000, 0.0851234000, 0.1394521000, 0.2782262000", \ - "0.0500127000, 0.0512533000, 0.0549071000, 0.0639114000, 0.0854494000, 0.1396124000, 0.2778673000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000"); - values("0.0141680000, 0.0129206000, 0.0097168000, 0.0014980000, -0.019664500, -0.074124300, -0.214070000", \ - "0.0142693000, 0.0130319000, 0.0098558000, 0.0016853000, -0.019428900, -0.073849600, -0.213793400", \ - "0.0144943000, 0.0132838000, 0.0101645000, 0.0021199000, -0.018890200, -0.073175500, -0.213062200", \ - "0.0136515000, 0.0124432000, 0.0093393000, 0.0013957000, -0.019338500, -0.073422700, -0.213167700", \ - "0.0137852000, 0.0125618000, 0.0093852000, 0.0013122000, -0.019623100, -0.073667400, -0.213160600", \ - "0.0148033000, 0.0135597000, 0.0103079000, 0.0020957000, -0.018912600, -0.073143700, -0.213237600", \ - "0.0192328000, 0.0178974000, 0.0145460000, 0.0058049000, -0.015951000, -0.070223900, -0.211602700"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000"); - values("0.0433691000, 0.0447289000, 0.0481205000, 0.0566108000, 0.0780046000, 0.1323463000, 0.2708757000", \ - "0.0425511000, 0.0439098000, 0.0472925000, 0.0558846000, 0.0775006000, 0.1319729000, 0.2707422000", \ - "0.0417434000, 0.0430822000, 0.0464314000, 0.0549452000, 0.0766209000, 0.1314450000, 0.2704249000", \ - "0.0411625000, 0.0424654000, 0.0458194000, 0.0541808000, 0.0757978000, 0.1305802000, 0.2697611000", \ - "0.0406302000, 0.0419516000, 0.0452135000, 0.0536193000, 0.0749881000, 0.1295522000, 0.2687124000", \ - "0.0406754000, 0.0419357000, 0.0451812000, 0.0534867000, 0.0749747000, 0.1292553000, 0.2679652000", \ - "0.0420192000, 0.0432514000, 0.0463857000, 0.0544831000, 0.0756537000, 0.1297580000, 0.2685350000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000"); - values("0.0010383000, -0.000144500, -0.003265400, -0.011428700, -0.032655100, -0.087251600, -0.227414300", \ - "0.0005817000, -0.000578900, -0.003585200, -0.011568500, -0.032559200, -0.086978400, -0.227043600", \ - "-0.000110700, -0.001237900, -0.004204800, -0.012008000, -0.032626700, -0.086776000, -0.226703600", \ - "-0.000852900, -0.002008500, -0.004907500, -0.012661900, -0.033103500, -0.086887200, -0.226531100", \ - "-0.000319600, -0.001518000, -0.004583200, -0.012413300, -0.033441100, -0.087185800, -0.226587300", \ - "0.0006659000, -0.000628600, -0.003944600, -0.012176500, -0.033603500, -0.086951900, -0.226904000", \ - "0.0053528000, 0.0039913000, 0.0003857000, -0.008663900, -0.030703500, -0.085166300, -0.225718000"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000"); - values("0.0408056000, 0.0421293000, 0.0455600000, 0.0541821000, 0.0757811000, 0.1301579000, 0.2687449000", \ - "0.0398577000, 0.0412109000, 0.0446201000, 0.0533371000, 0.0750778000, 0.1297036000, 0.2685910000", \ - "0.0388820000, 0.0402945000, 0.0436449000, 0.0523519000, 0.0740222000, 0.1290034000, 0.2681436000", \ - "0.0384046000, 0.0397226000, 0.0430135000, 0.0513267000, 0.0730042000, 0.1279927000, 0.2675222000", \ - "0.0383710000, 0.0396303000, 0.0428792000, 0.0511848000, 0.0724384000, 0.1270534000, 0.2661988000", \ - "0.0403588000, 0.0415815000, 0.0447243000, 0.0528472000, 0.0742503000, 0.1276236000, 0.2658975000", \ - "0.0443113000, 0.0454780000, 0.0486216000, 0.0564144000, 0.0769813000, 0.1293815000, 0.2653688000"); - } - } - max_capacitance : 0.1419170000; - max_transition : 1.4951940000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0272965000, 0.0289054000, 0.0327028000, 0.0420102000, 0.0639283000, 0.1148638000, 0.2384372000", \ - "0.0318684000, 0.0333361000, 0.0371235000, 0.0462609000, 0.0678561000, 0.1188243000, 0.2423455000", \ - "0.0434820000, 0.0448992000, 0.0482396000, 0.0567658000, 0.0778951000, 0.1286215000, 0.2518468000", \ - "0.0627523000, 0.0646993000, 0.0693996000, 0.0804571000, 0.1025260000, 0.1520563000, 0.2743207000", \ - "0.0848253000, 0.0876269000, 0.0944193000, 0.1099972000, 0.1425042000, 0.2056260000, 0.3284842000", \ - "0.1007382000, 0.1048275000, 0.1156371000, 0.1387328000, 0.1874079000, 0.2804358000, 0.4469907000", \ - "0.0822758000, 0.0884128000, 0.1034314000, 0.1382994000, 0.2122502000, 0.3554418000, 0.6093483000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.1480236000, 0.1542155000, 0.1695064000, 0.2083032000, 0.3045972000, 0.5528976000, 1.1799943000", \ - "0.1505015000, 0.1565336000, 0.1727531000, 0.2120801000, 0.3095864000, 0.5566027000, 1.1886787000", \ - "0.1611980000, 0.1671785000, 0.1830993000, 0.2225450000, 0.3218102000, 0.5672635000, 1.1936885000", \ - "0.1893665000, 0.1955228000, 0.2107157000, 0.2494253000, 0.3481699000, 0.5966931000, 1.2316569000", \ - "0.2473615000, 0.2536228000, 0.2692974000, 0.3078566000, 0.4043820000, 0.6547429000, 1.2803051000", \ - "0.3465631000, 0.3539995000, 0.3732187000, 0.4182940000, 0.5243613000, 0.7716115000, 1.3995708000", \ - "0.5107976000, 0.5214859000, 0.5492525000, 0.6087132000, 0.7413538000, 1.0276199000, 1.6655854000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0342329000, 0.0360598000, 0.0405218000, 0.0518387000, 0.0794189000, 0.1473165000, 0.3212533000", \ - "0.0328442000, 0.0345920000, 0.0392897000, 0.0508775000, 0.0787478000, 0.1471445000, 0.3209864000", \ - "0.0349262000, 0.0364408000, 0.0403011000, 0.0505651000, 0.0775490000, 0.1465612000, 0.3208906000", \ - "0.0461338000, 0.0478322000, 0.0519629000, 0.0618951000, 0.0840256000, 0.1468514000, 0.3203915000", \ - "0.0686451000, 0.0707711000, 0.0757845000, 0.0883502000, 0.1139361000, 0.1714234000, 0.3242303000", \ - "0.1091191000, 0.1124203000, 0.1194186000, 0.1371215000, 0.1723642000, 0.2443380000, 0.3888750000", \ - "0.1805941000, 0.1855963000, 0.1978244000, 0.2240097000, 0.2763643000, 0.3762237000, 0.5574806000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0955339000, 0.1031859000, 0.1228858000, 0.1733910000, 0.3045253000, 0.6393112000, 1.4823204000", \ - "0.0956411000, 0.1032490000, 0.1236756000, 0.1739820000, 0.3036306000, 0.6354816000, 1.4825634000", \ - "0.0962113000, 0.1034863000, 0.1230823000, 0.1735057000, 0.3039255000, 0.6334189000, 1.4801652000", \ - "0.0959572000, 0.1036575000, 0.1232535000, 0.1735936000, 0.3036753000, 0.6353654000, 1.4841793000", \ - "0.1018002000, 0.1087071000, 0.1272964000, 0.1757599000, 0.3038399000, 0.6346198000, 1.4786555000", \ - "0.1271563000, 0.1351050000, 0.1539463000, 0.2027539000, 0.3202893000, 0.6398001000, 1.4821143000", \ - "0.1891294000, 0.1965066000, 0.2179558000, 0.2676398000, 0.3939622000, 0.6955521000, 1.4951939000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0328696000, 0.0344189000, 0.0381778000, 0.0474562000, 0.0691948000, 0.1203730000, 0.2439331000", \ - "0.0372462000, 0.0387228000, 0.0425437000, 0.0517247000, 0.0733600000, 0.1244223000, 0.2475325000", \ - "0.0466691000, 0.0481366000, 0.0517488000, 0.0606434000, 0.0820810000, 0.1328522000, 0.2559440000", \ - "0.0638202000, 0.0656176000, 0.0700202000, 0.0804781000, 0.1024110000, 0.1529628000, 0.2761225000", \ - "0.0877207000, 0.0901225000, 0.0959586000, 0.1097034000, 0.1390488000, 0.1968565000, 0.3223447000", \ - "0.1102096000, 0.1138510000, 0.1227232000, 0.1427336000, 0.1851047000, 0.2673367000, 0.4204063000", \ - "0.1062908000, 0.1116604000, 0.1248432000, 0.1555533000, 0.2219678000, 0.3482218000, 0.5705639000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.1819350000, 0.1877093000, 0.2022092000, 0.2389743000, 0.3318716000, 0.5678760000, 1.1708684000", \ - "0.1855953000, 0.1913780000, 0.2058044000, 0.2431165000, 0.3363568000, 0.5726134000, 1.1757727000", \ - "0.1970715000, 0.2030403000, 0.2175634000, 0.2550198000, 0.3487331000, 0.5855898000, 1.1892015000", \ - "0.2247729000, 0.2305849000, 0.2453511000, 0.2824516000, 0.3761824000, 0.6135866000, 1.2182323000", \ - "0.2820222000, 0.2877369000, 0.3021141000, 0.3391343000, 0.4327664000, 0.6700214000, 1.2753923000", \ - "0.3834159000, 0.3904855000, 0.4076703000, 0.4493140000, 0.5492656000, 0.7865094000, 1.3913478000", \ - "0.5534269000, 0.5624146000, 0.5845266000, 0.6374737000, 0.7596614000, 1.0315550000, 1.6474837000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0339411000, 0.0357059000, 0.0402163000, 0.0515571000, 0.0792550000, 0.1473140000, 0.3209582000", \ - "0.0332168000, 0.0350506000, 0.0396148000, 0.0509134000, 0.0788268000, 0.1470855000, 0.3208709000", \ - "0.0340540000, 0.0357096000, 0.0399314000, 0.0507177000, 0.0781190000, 0.1466348000, 0.3209744000", \ - "0.0422368000, 0.0437152000, 0.0475588000, 0.0572142000, 0.0812529000, 0.1468599000, 0.3206589000", \ - "0.0609228000, 0.0626118000, 0.0669725000, 0.0771486000, 0.1019400000, 0.1609509000, 0.3229426000", \ - "0.0961121000, 0.0985442000, 0.1043987000, 0.1180425000, 0.1488710000, 0.2119113000, 0.3623811000", \ - "0.1624217000, 0.1659013000, 0.1744450000, 0.1926689000, 0.2346745000, 0.3175070000, 0.4867499000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.1250177000, 0.1320005000, 0.1511113000, 0.2008050000, 0.3262010000, 0.6455128000, 1.4609965000", \ - "0.1245866000, 0.1317979000, 0.1511476000, 0.1999534000, 0.3248177000, 0.6445428000, 1.4584662000", \ - "0.1248207000, 0.1318827000, 0.1511364000, 0.2000310000, 0.3248049000, 0.6439053000, 1.4593264000", \ - "0.1251538000, 0.1323252000, 0.1511702000, 0.2001491000, 0.3249453000, 0.6436588000, 1.4639577000", \ - "0.1279325000, 0.1349348000, 0.1539214000, 0.2016120000, 0.3249633000, 0.6447001000, 1.4609185000", \ - "0.1524352000, 0.1600198000, 0.1781651000, 0.2254993000, 0.3409136000, 0.6486571000, 1.4647948000", \ - "0.2110360000, 0.2187941000, 0.2389257000, 0.2886704000, 0.4110937000, 0.7041578000, 1.4774965000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0194387000, 0.0203379000, 0.0225768000, 0.0279264000, 0.0406229000, 0.0713035000, 0.1479481000", \ - "0.0243040000, 0.0251837000, 0.0273561000, 0.0325395000, 0.0451948000, 0.0759252000, 0.1525556000", \ - "0.0345380000, 0.0355970000, 0.0381359000, 0.0439365000, 0.0561166000, 0.0867431000, 0.1633954000", \ - "0.0474847000, 0.0490367000, 0.0528290000, 0.0614232000, 0.0792333000, 0.1121355000, 0.1886053000", \ - "0.0591432000, 0.0616002000, 0.0674366000, 0.0808701000, 0.1079799000, 0.1590680000, 0.2476564000", \ - "0.0593066000, 0.0630353000, 0.0712698000, 0.0922709000, 0.1356852000, 0.2158184000, 0.3527726000", \ - "0.0145719000, 0.0205511000, 0.0356634000, 0.0682807000, 0.1367868000, 0.2632294000, 0.4784483000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.1595585000, 0.1653061000, 0.1808027000, 0.2183354000, 0.3122259000, 0.5488541000, 1.1521174000", \ - "0.1617399000, 0.1672008000, 0.1828890000, 0.2207501000, 0.3152628000, 0.5528027000, 1.1571417000", \ - "0.1713321000, 0.1766261000, 0.1919867000, 0.2296593000, 0.3244838000, 0.5630200000, 1.1681678000", \ - "0.1970927000, 0.2025760000, 0.2176562000, 0.2551743000, 0.3493205000, 0.5878491000, 1.1938942000", \ - "0.2555699000, 0.2613580000, 0.2761451000, 0.3131635000, 0.4072059000, 0.6449048000, 1.2514196000", \ - "0.3626777000, 0.3702968000, 0.3891561000, 0.4348200000, 0.5416940000, 0.7800087000, 1.3853916000", \ - "0.5493393000, 0.5604394000, 0.5884079000, 0.6529760000, 0.7946984000, 1.0861295000, 1.7045961000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0201505000, 0.0210831000, 0.0235327000, 0.0297690000, 0.0455648000, 0.0859100000, 0.1895014000", \ - "0.0198254000, 0.0207079000, 0.0230500000, 0.0293138000, 0.0454158000, 0.0858546000, 0.1896012000", \ - "0.0245215000, 0.0251900000, 0.0270346000, 0.0321916000, 0.0462265000, 0.0857509000, 0.1897039000", \ - "0.0385894000, 0.0394377000, 0.0416941000, 0.0471602000, 0.0592335000, 0.0916235000, 0.1894262000", \ - "0.0636488000, 0.0649509000, 0.0681069000, 0.0757829000, 0.0928386000, 0.1269515000, 0.2067529000", \ - "0.1088823000, 0.1108746000, 0.1157272000, 0.1270893000, 0.1519790000, 0.2008152000, 0.2916320000", \ - "0.1911590000, 0.1942696000, 0.2009059000, 0.2197489000, 0.2567068000, 0.3306289000, 0.4615005000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.1244626000, 0.1321199000, 0.1515155000, 0.2000648000, 0.3250306000, 0.6439430000, 1.4617300000", \ - "0.1244562000, 0.1320890000, 0.1509985000, 0.1998602000, 0.3252333000, 0.6461469000, 1.4637432000", \ - "0.1244720000, 0.1320851000, 0.1514310000, 0.2001226000, 0.3249268000, 0.6438603000, 1.4594994000", \ - "0.1245386000, 0.1322426000, 0.1514208000, 0.1999393000, 0.3249942000, 0.6439551000, 1.4604412000", \ - "0.1335451000, 0.1405111000, 0.1583751000, 0.2041855000, 0.3260326000, 0.6443044000, 1.4644188000", \ - "0.1735384000, 0.1812981000, 0.1993351000, 0.2438904000, 0.3527012000, 0.6509998000, 1.4648387000", \ - "0.2597020000, 0.2683563000, 0.2897211000, 0.3403426000, 0.4628435000, 0.7348970000, 1.4784362000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0157445000, 0.0165739000, 0.0185986000, 0.0235477000, 0.0357198000, 0.0662324000, 0.1440219000", \ - "0.0203123000, 0.0211565000, 0.0231740000, 0.0281984000, 0.0404483000, 0.0710601000, 0.1489006000", \ - "0.0273821000, 0.0287270000, 0.0318900000, 0.0384473000, 0.0513441000, 0.0820152000, 0.1598376000", \ - "0.0345061000, 0.0366217000, 0.0413690000, 0.0518291000, 0.0720572000, 0.1076828000, 0.1848953000", \ - "0.0363004000, 0.0395698000, 0.0472537000, 0.0638937000, 0.0959178000, 0.1517722000, 0.2438873000", \ - "0.0196911000, 0.0248931000, 0.0370757000, 0.0634394000, 0.1146394000, 0.2020366000, 0.3464016000", \ - "-0.050150200, -0.041715100, -0.022542600, 0.0185075000, 0.0996049000, 0.2391649000, 0.4664591000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.1116869000, 0.1180317000, 0.1332469000, 0.1712595000, 0.2657234000, 0.5027728000, 1.1062494000", \ - "0.1126664000, 0.1189407000, 0.1341950000, 0.1726535000, 0.2679277000, 0.5060595000, 1.1103388000", \ - "0.1203372000, 0.1264614000, 0.1415230000, 0.1799173000, 0.2749690000, 0.5143140000, 1.1198040000", \ - "0.1460503000, 0.1519174000, 0.1663505000, 0.2033240000, 0.2979532000, 0.5372738000, 1.1438950000", \ - "0.2131526000, 0.2189709000, 0.2331277000, 0.2682994000, 0.3608973000, 0.5982957000, 1.2050917000", \ - "0.3321398000, 0.3406724000, 0.3614303000, 0.4092768000, 0.5134939000, 0.7441973000, 1.3457138000", \ - "0.5295790000, 0.5413948000, 0.5713981000, 0.6416946000, 0.7954170000, 1.0929305000, 1.6904041000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0120926000, 0.0132403000, 0.0161787000, 0.0233781000, 0.0403887000, 0.0820104000, 0.1881351000", \ - "0.0130510000, 0.0140347000, 0.0166228000, 0.0234475000, 0.0403628000, 0.0821452000, 0.1881592000", \ - "0.0207558000, 0.0215112000, 0.0234460000, 0.0281465000, 0.0423897000, 0.0821536000, 0.1881041000", \ - "0.0356843000, 0.0365808000, 0.0388532000, 0.0445828000, 0.0573207000, 0.0893170000, 0.1881775000", \ - "0.0623071000, 0.0635297000, 0.0666760000, 0.0745288000, 0.0915437000, 0.1260985000, 0.2066882000", \ - "0.1104391000, 0.1121395000, 0.1166255000, 0.1276982000, 0.1518485000, 0.2018140000, 0.2928830000", \ - "0.1986204000, 0.2016845000, 0.2083596000, 0.2249585000, 0.2602841000, 0.3346141000, 0.4640550000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.1235173000, 0.1310827000, 0.1509225000, 0.1998302000, 0.3248735000, 0.6438162000, 1.4635196000", \ - "0.1232601000, 0.1310464000, 0.1500747000, 0.1997159000, 0.3247428000, 0.6460481000, 1.4643132000", \ - "0.1223085000, 0.1298381000, 0.1499219000, 0.2000642000, 0.3249802000, 0.6457772000, 1.4613371000", \ - "0.1193835000, 0.1275774000, 0.1467551000, 0.1978687000, 0.3245980000, 0.6462895000, 1.4620882000", \ - "0.1364788000, 0.1428331000, 0.1595662000, 0.2038605000, 0.3243976000, 0.6443894000, 1.4642412000", \ - "0.1849791000, 0.1931733000, 0.2134184000, 0.2612375000, 0.3623867000, 0.6506164000, 1.4639172000", \ - "0.2667235000, 0.2781467000, 0.3055392000, 0.3688289000, 0.5012452000, 0.7655107000, 1.4857141000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a21bo_1") { - leakage_power () { - value : 0.0133250000; - when : "!A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0036261000; - when : "!A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0137870000; - when : "!A1&A2&B1_N"; - } - leakage_power () { - value : 0.0036261000; - when : "!A1&A2&!B1_N"; - } - leakage_power () { - value : 0.0136789000; - when : "A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0036261000; - when : "A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0054535000; - when : "A1&A2&B1_N"; - } - leakage_power () { - value : 0.0010178000; - when : "A1&A2&!B1_N"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__a21bo"; - cell_leakage_power : 0.0072675400; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0024270000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023260000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041136000, 0.0041125000, 0.0041100000, 0.0041109000, 0.0041130000, 0.0041178000, 0.0041290000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004121400, -0.004119200, -0.004114100, -0.004112900, -0.004110300, -0.004104200, -0.004090200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025280000; - } - pin ("A2") { - capacitance : 0.0024440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023180000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040518000, 0.0040518000, 0.0040517000, 0.0040531000, 0.0040563000, 0.0040638000, 0.0040811000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004040100, -0.004039400, -0.004037800, -0.004038400, -0.004039900, -0.004043300, -0.004051300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025700000; - } - pin ("B1_N") { - capacitance : 0.0017330000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016580000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091397000, 0.0090288000, 0.0087731000, 0.0088407000, 0.0089965000, 0.0093555000, 0.0101831000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0017744000, 0.0016985000, 0.0015235000, 0.0015913000, 0.0017477000, 0.0021082000, 0.0029391000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018080000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2) | (!B1_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0112903000, 0.0103609000, 0.0077484000, -0.000811900, -0.026220800, -0.095548300, -0.281278500", \ - "0.0110519000, 0.0101363000, 0.0074985000, -0.001045600, -0.026448000, -0.095801200, -0.281518600", \ - "0.0107867000, 0.0098710000, 0.0072392000, -0.001335500, -0.026717100, -0.096088400, -0.281796100", \ - "0.0105674000, 0.0096476000, 0.0070234000, -0.001557800, -0.026966800, -0.096270600, -0.281978700", \ - "0.0103975000, 0.0094274000, 0.0067863000, -0.001817000, -0.027196400, -0.096460300, -0.282132100", \ - "0.0119405000, 0.0105964000, 0.0070154000, -0.002448300, -0.027300300, -0.096503100, -0.282194700", \ - "0.0131878000, 0.0117595000, 0.0080819000, -0.001564900, -0.027371100, -0.096216400, -0.281820000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0133207000, 0.0147770000, 0.0185467000, 0.0283111000, 0.0541058000, 0.1227101000, 0.3061630000", \ - "0.0131863000, 0.0146419000, 0.0184172000, 0.0281957000, 0.0539695000, 0.1225263000, 0.3059488000", \ - "0.0129863000, 0.0144364000, 0.0182060000, 0.0279872000, 0.0537924000, 0.1224837000, 0.3060387000", \ - "0.0127391000, 0.0141790000, 0.0179383000, 0.0277101000, 0.0534988000, 0.1222222000, 0.3057694000", \ - "0.0128717000, 0.0142061000, 0.0178079000, 0.0274760000, 0.0531461000, 0.1220382000, 0.3056589000", \ - "0.0134005000, 0.0147748000, 0.0184156000, 0.0279254000, 0.0538270000, 0.1220316000, 0.3065680000", \ - "0.0149740000, 0.0163511000, 0.0198855000, 0.0295085000, 0.0549563000, 0.1239321000, 0.3064509000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0138000000, 0.0128794000, 0.0102586000, 0.0017712000, -0.023521700, -0.092753000, -0.278401200", \ - "0.0136921000, 0.0127466000, 0.0101110000, 0.0016223000, -0.023672600, -0.092899900, -0.278539200", \ - "0.0135051000, 0.0125488000, 0.0099056000, 0.0014195000, -0.023856500, -0.093080300, -0.278716600", \ - "0.0133452000, 0.0123758000, 0.0097247000, 0.0012220000, -0.024040400, -0.093247900, -0.278914000", \ - "0.0131628000, 0.0121989000, 0.0095483000, 0.0010497000, -0.024233900, -0.093413600, -0.279058700", \ - "0.0147312000, 0.0133765000, 0.0097875000, 0.0005380000, -0.024381900, -0.093489900, -0.279081200", \ - "0.0163311000, 0.0149491000, 0.0112614000, 0.0015936000, -0.024178500, -0.093067900, -0.278563400"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0131344000, 0.0145674000, 0.0183283000, 0.0280564000, 0.0537574000, 0.1223718000, 0.3058435000", \ - "0.0130077000, 0.0144416000, 0.0182022000, 0.0279362000, 0.0535994000, 0.1227422000, 0.3065449000", \ - "0.0128111000, 0.0142680000, 0.0180219000, 0.0277590000, 0.0535171000, 0.1221005000, 0.3055940000", \ - "0.0126228000, 0.0140536000, 0.0177636000, 0.0275004000, 0.0535397000, 0.1224171000, 0.3066577000", \ - "0.0126307000, 0.0139679000, 0.0175914000, 0.0273463000, 0.0531165000, 0.1218512000, 0.3054115000", \ - "0.0128652000, 0.0142208000, 0.0178855000, 0.0275410000, 0.0533784000, 0.1216234000, 0.3066507000", \ - "0.0142197000, 0.0156052000, 0.0191980000, 0.0288613000, 0.0546595000, 0.1234404000, 0.3069442000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0127924000, 0.0118414000, 0.0091961000, 0.0007010000, -0.024575300, -0.093765700, -0.279401200", \ - "0.0127295000, 0.0117893000, 0.0091584000, 0.0006757000, -0.024608500, -0.093848700, -0.279455500", \ - "0.0127444000, 0.0117710000, 0.0091207000, 0.0006361000, -0.024628900, -0.093826500, -0.279468500", \ - "0.0124144000, 0.0114910000, 0.0088435000, 0.0003389000, -0.024924900, -0.094134900, -0.279749800", \ - "0.0120677000, 0.0111481000, 0.0084867000, 1.180000e-05, -0.025269200, -0.094457100, -0.280094100", \ - "0.0144815000, 0.0131955000, 0.0097112000, 0.0002378000, -0.025363000, -0.094543300, -0.280187900", \ - "0.0148609000, 0.0135917000, 0.0099743000, 0.0005930000, -0.025114700, -0.094419300, -0.280059100"); - } - related_pin : "B1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0111483000, 0.0126855000, 0.0165657000, 0.0264281000, 0.0523948000, 0.1209784000, 0.3048933000", \ - "0.0110597000, 0.0126156000, 0.0164888000, 0.0263554000, 0.0521296000, 0.1209401000, 0.3064828000", \ - "0.0111130000, 0.0126940000, 0.0165447000, 0.0264024000, 0.0524327000, 0.1216300000, 0.3064972000", \ - "0.0108384000, 0.0123875000, 0.0162581000, 0.0261263000, 0.0518365000, 0.1207249000, 0.3059700000", \ - "0.0104690000, 0.0120147000, 0.0158746000, 0.0257333000, 0.0515155000, 0.1203362000, 0.3034417000", \ - "0.0106377000, 0.0120530000, 0.0157214000, 0.0257969000, 0.0515657000, 0.1202691000, 0.3051553000", \ - "0.0106018000, 0.0120348000, 0.0157246000, 0.0255474000, 0.0512902000, 0.1205036000, 0.3049245000"); - } - } - max_capacitance : 0.1831150000; - max_transition : 1.5086480000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.1322761000, 0.1388518000, 0.1529419000, 0.1810452000, 0.2395658000, 0.3771787000, 0.7376869000", \ - "0.1366490000, 0.1432705000, 0.1571785000, 0.1853777000, 0.2438289000, 0.3814679000, 0.7422641000", \ - "0.1482828000, 0.1549356000, 0.1691031000, 0.1971578000, 0.2557015000, 0.3933020000, 0.7541591000", \ - "0.1762953000, 0.1828483000, 0.1968730000, 0.2249592000, 0.2834578000, 0.4209273000, 0.7815761000", \ - "0.2350780000, 0.2418786000, 0.2561843000, 0.2848146000, 0.3434542000, 0.4811374000, 0.8411882000", \ - "0.3366321000, 0.3445839000, 0.3611289000, 0.3933130000, 0.4557254000, 0.5960202000, 0.9563347000", \ - "0.5004308000, 0.5104679000, 0.5313362000, 0.5705061000, 0.6421517000, 0.7883733000, 1.1503168000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0689489000, 0.0752643000, 0.0899549000, 0.1250477000, 0.2162975000, 0.4588205000, 1.1070140000", \ - "0.0729583000, 0.0792778000, 0.0939743000, 0.1291249000, 0.2203681000, 0.4625369000, 1.1101932000", \ - "0.0828238000, 0.0891405000, 0.1038215000, 0.1390158000, 0.2306312000, 0.4730806000, 1.1212506000", \ - "0.1049721000, 0.1114090000, 0.1260883000, 0.1615481000, 0.2527906000, 0.4949732000, 1.1420962000", \ - "0.1359260000, 0.1426998000, 0.1580251000, 0.1934964000, 0.2852193000, 0.5276920000, 1.1747244000", \ - "0.1675489000, 0.1759173000, 0.1927618000, 0.2284794000, 0.3200412000, 0.5631328000, 1.2140259000", \ - "0.1775831000, 0.1884975000, 0.2100965000, 0.2503484000, 0.3408139000, 0.5841934000, 1.2318621000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0218016000, 0.0264944000, 0.0375578000, 0.0626871000, 0.1249402000, 0.2984875000, 0.7721503000", \ - "0.0216524000, 0.0262949000, 0.0374144000, 0.0629547000, 0.1248662000, 0.2959935000, 0.7761352000", \ - "0.0217252000, 0.0264328000, 0.0374904000, 0.0627345000, 0.1250405000, 0.2960582000, 0.7762948000", \ - "0.0219012000, 0.0267811000, 0.0374604000, 0.0626300000, 0.1252401000, 0.2968880000, 0.7714178000", \ - "0.0235076000, 0.0281525000, 0.0390669000, 0.0638266000, 0.1255474000, 0.2971501000, 0.7709084000", \ - "0.0293156000, 0.0347950000, 0.0459493000, 0.0713732000, 0.1327359000, 0.2991969000, 0.7787394000", \ - "0.0406483000, 0.0471058000, 0.0608020000, 0.0881408000, 0.1488970000, 0.3074988000, 0.7723754000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0202189000, 0.0265896000, 0.0436277000, 0.0906574000, 0.2204567000, 0.5688199000, 1.5009566000", \ - "0.0202123000, 0.0265800000, 0.0436193000, 0.0906857000, 0.2204179000, 0.5680499000, 1.4986581000", \ - "0.0201529000, 0.0265434000, 0.0435970000, 0.0905778000, 0.2201380000, 0.5691541000, 1.5017377000", \ - "0.0208899000, 0.0271455000, 0.0439019000, 0.0907727000, 0.2201623000, 0.5676500000, 1.4970639000", \ - "0.0236253000, 0.0297518000, 0.0459407000, 0.0921390000, 0.2205123000, 0.5672600000, 1.4978997000", \ - "0.0303558000, 0.0361894000, 0.0509518000, 0.0941865000, 0.2214348000, 0.5674867000, 1.5022594000", \ - "0.0416782000, 0.0486460000, 0.0631206000, 0.1015886000, 0.2227666000, 0.5705518000, 1.4986746000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.1534382000, 0.1603075000, 0.1748735000, 0.2035973000, 0.2630917000, 0.4015220000, 0.7631161000", \ - "0.1581609000, 0.1650536000, 0.1795530000, 0.2083386000, 0.2678294000, 0.4062632000, 0.7674331000", \ - "0.1703849000, 0.1771941000, 0.1917460000, 0.2203549000, 0.2799559000, 0.4183724000, 0.7799006000", \ - "0.1968277000, 0.2036854000, 0.2181886000, 0.2470006000, 0.3065232000, 0.4447517000, 0.8053408000", \ - "0.2531540000, 0.2600805000, 0.2744203000, 0.3034422000, 0.3630511000, 0.5015359000, 0.8619218000", \ - "0.3531485000, 0.3610750000, 0.3776203000, 0.4096067000, 0.4724532000, 0.6132104000, 0.9741049000", \ - "0.5180847000, 0.5279516000, 0.5480677000, 0.5858948000, 0.6559395000, 0.8013825000, 1.1640465000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0732539000, 0.0795920000, 0.0942100000, 0.1291941000, 0.2200983000, 0.4625559000, 1.1106077000", \ - "0.0774092000, 0.0837197000, 0.0983293000, 0.1334073000, 0.2246776000, 0.4674258000, 1.1164225000", \ - "0.0864266000, 0.0927460000, 0.1073422000, 0.1424012000, 0.2334360000, 0.4759104000, 1.1239724000", \ - "0.1058450000, 0.1121833000, 0.1269069000, 0.1621207000, 0.2533941000, 0.4967584000, 1.1463145000", \ - "0.1366176000, 0.1435578000, 0.1589570000, 0.1945931000, 0.2860629000, 0.5288670000, 1.1770078000", \ - "0.1717602000, 0.1799089000, 0.1971713000, 0.2340438000, 0.3257893000, 0.5682952000, 1.2190793000", \ - "0.1903316000, 0.2012635000, 0.2230988000, 0.2638220000, 0.3562189000, 0.5997954000, 1.2465017000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0236509000, 0.0284656000, 0.0391379000, 0.0648858000, 0.1271366000, 0.2990423000, 0.7769256000", \ - "0.0236493000, 0.0283573000, 0.0391602000, 0.0647068000, 0.1273320000, 0.2985021000, 0.7785347000", \ - "0.0234750000, 0.0284858000, 0.0392298000, 0.0647518000, 0.1266909000, 0.2988252000, 0.7750978000", \ - "0.0234493000, 0.0281508000, 0.0393890000, 0.0649290000, 0.1269360000, 0.2979377000, 0.7734266000", \ - "0.0243697000, 0.0290084000, 0.0405916000, 0.0654212000, 0.1269932000, 0.2985493000, 0.7728815000", \ - "0.0291909000, 0.0344735000, 0.0462312000, 0.0716364000, 0.1330485000, 0.3000374000, 0.7803618000", \ - "0.0399049000, 0.0459556000, 0.0588033000, 0.0853647000, 0.1459979000, 0.3075213000, 0.7731004000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0201956000, 0.0266194000, 0.0436061000, 0.0905027000, 0.2202452000, 0.5692715000, 1.5021688000", \ - "0.0201383000, 0.0266409000, 0.0435540000, 0.0906877000, 0.2200748000, 0.5693890000, 1.5030791000", \ - "0.0201427000, 0.0266026000, 0.0435662000, 0.0905811000, 0.2203310000, 0.5691692000, 1.5018538000", \ - "0.0206257000, 0.0269511000, 0.0438347000, 0.0907422000, 0.2208138000, 0.5687235000, 1.4989849000", \ - "0.0232514000, 0.0295643000, 0.0457922000, 0.0916897000, 0.2203527000, 0.5691858000, 1.5020993000", \ - "0.0292172000, 0.0354332000, 0.0507203000, 0.0940041000, 0.2208457000, 0.5668023000, 1.5021623000", \ - "0.0398285000, 0.0468283000, 0.0622992000, 0.1016533000, 0.2230273000, 0.5705356000, 1.4983781000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.1595958000, 0.1664653000, 0.1810424000, 0.2100081000, 0.2693484000, 0.4076859000, 0.7681688000", \ - "0.1641430000, 0.1710254000, 0.1853857000, 0.2142817000, 0.2738538000, 0.4123021000, 0.7737089000", \ - "0.1750934000, 0.1819994000, 0.1965303000, 0.2255121000, 0.2848288000, 0.4233935000, 0.7846520000", \ - "0.1958604000, 0.2027276000, 0.2172131000, 0.2462134000, 0.3054765000, 0.4439350000, 0.8050118000", \ - "0.2255625000, 0.2324767000, 0.2468022000, 0.2757719000, 0.3354337000, 0.4739141000, 0.8354060000", \ - "0.2612235000, 0.2681409000, 0.2826605000, 0.3116632000, 0.3707685000, 0.5093370000, 0.8697145000", \ - "0.2938144000, 0.3006911000, 0.3151753000, 0.3440556000, 0.4037403000, 0.5424526000, 0.9034080000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.1401375000, 0.1463036000, 0.1605721000, 0.1957770000, 0.2871364000, 0.5301749000, 1.1789454000", \ - "0.1445039000, 0.1506362000, 0.1649572000, 0.2000249000, 0.2912853000, 0.5341184000, 1.1861083000", \ - "0.1568710000, 0.1630042000, 0.1772764000, 0.2124959000, 0.3037640000, 0.5460558000, 1.1949167000", \ - "0.1876242000, 0.1937923000, 0.2080946000, 0.2432005000, 0.3345978000, 0.5775980000, 1.2267634000", \ - "0.2547557000, 0.2609848000, 0.2753217000, 0.3104172000, 0.4017896000, 0.6443268000, 1.3024460000", \ - "0.3643959000, 0.3709986000, 0.3856530000, 0.4207843000, 0.5122467000, 0.7549185000, 1.4034296000", \ - "0.5326218000, 0.5401534000, 0.5558157000, 0.5913607000, 0.6830055000, 0.9256289000, 1.5729584000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0237112000, 0.0284704000, 0.0392874000, 0.0648151000, 0.1266576000, 0.2980508000, 0.7721412000", \ - "0.0233826000, 0.0281756000, 0.0393010000, 0.0646054000, 0.1267597000, 0.2985858000, 0.7779324000", \ - "0.0236909000, 0.0281520000, 0.0395328000, 0.0645461000, 0.1266691000, 0.2985003000, 0.7735772000", \ - "0.0235679000, 0.0283297000, 0.0391265000, 0.0646405000, 0.1265953000, 0.2978385000, 0.7788754000", \ - "0.0234223000, 0.0281549000, 0.0393323000, 0.0646850000, 0.1271240000, 0.2986539000, 0.7764589000", \ - "0.0235302000, 0.0283595000, 0.0393498000, 0.0647795000, 0.1271565000, 0.2964947000, 0.7713894000", \ - "0.0240964000, 0.0286812000, 0.0395522000, 0.0649034000, 0.1274626000, 0.2982169000, 0.7728907000"); - } - related_pin : "B1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0197268000, 0.0256197000, 0.0421811000, 0.0892645000, 0.2188651000, 0.5680674000, 1.5046778000", \ - "0.0197184000, 0.0255967000, 0.0420757000, 0.0894376000, 0.2190843000, 0.5688323000, 1.5086482000", \ - "0.0197499000, 0.0256101000, 0.0421964000, 0.0894849000, 0.2189296000, 0.5695082000, 1.5067533000", \ - "0.0197634000, 0.0255981000, 0.0420784000, 0.0894669000, 0.2190393000, 0.5684776000, 1.5044349000", \ - "0.0202770000, 0.0260506000, 0.0424172000, 0.0895220000, 0.2189917000, 0.5680038000, 1.4999010000", \ - "0.0224261000, 0.0278496000, 0.0435234000, 0.0899130000, 0.2187604000, 0.5664715000, 1.5065512000", \ - "0.0268392000, 0.0319081000, 0.0462891000, 0.0908829000, 0.2191836000, 0.5656248000, 1.4991177000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a21bo_2") { - leakage_power () { - value : 0.0064781000; - when : "!A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0040086000; - when : "!A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0068416000; - when : "!A1&A2&B1_N"; - } - leakage_power () { - value : 0.0040089000; - when : "!A1&A2&!B1_N"; - } - leakage_power () { - value : 0.0066893000; - when : "A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0040090000; - when : "A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0043693000; - when : "A1&A2&B1_N"; - } - leakage_power () { - value : 0.0013125000; - when : "A1&A2&!B1_N"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__a21bo"; - cell_leakage_power : 0.0047146520; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023930000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041447000, 0.0041441000, 0.0041429000, 0.0041444000, 0.0041479000, 0.0041560000, 0.0041746000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004136100, -0.004137000, -0.004139200, -0.004140500, -0.004143500, -0.004150500, -0.004166400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024810000; - } - pin ("A2") { - capacitance : 0.0023710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022640000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040217000, 0.0040220000, 0.0040229000, 0.0040245000, 0.0040280000, 0.0040361000, 0.0040549000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004020900, -0.004020300, -0.004018700, -0.004018700, -0.004018600, -0.004018400, -0.004017900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024780000; - } - pin ("B1_N") { - capacitance : 0.0013370000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0012900000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0077233000, 0.0076417000, 0.0074535000, 0.0075127000, 0.0076492000, 0.0079637000, 0.0086888000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0017738000, 0.0017186000, 0.0015914000, 0.0016483000, 0.0017796000, 0.0020823000, 0.0027798000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0013830000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2) | (!B1_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("0.0144847000, 0.0130862000, 0.0094839000, -0.001217100, -0.036049800, -0.141269200, -0.446422500", \ - "0.0143501000, 0.0129602000, 0.0093338000, -0.001356500, -0.036260600, -0.141396100, -0.446549400", \ - "0.0141451000, 0.0127189000, 0.0090686000, -0.001630500, -0.036458400, -0.141647000, -0.446820300", \ - "0.0138971000, 0.0124566000, 0.0088429000, -0.001877800, -0.036722700, -0.141937500, -0.447046400", \ - "0.0137277000, 0.0122739000, 0.0085677000, -0.002209300, -0.037098400, -0.142193800, -0.447274800", \ - "0.0146931000, 0.0131315000, 0.0087285000, -0.002976500, -0.037458400, -0.142379900, -0.447373900", \ - "0.0177635000, 0.0160726000, 0.0115075000, -0.001140300, -0.037396500, -0.142380200, -0.447183800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("0.0187861000, 0.0205289000, 0.0251880000, 0.0383831000, 0.0753395000, 0.1811380000, 0.4837537000", \ - "0.0187185000, 0.0203830000, 0.0250476000, 0.0382803000, 0.0751996000, 0.1805002000, 0.4819583000", \ - "0.0184960000, 0.0201762000, 0.0249178000, 0.0381079000, 0.0750056000, 0.1808926000, 0.4815784000", \ - "0.0183879000, 0.0200286000, 0.0247260000, 0.0378040000, 0.0746641000, 0.1805964000, 0.4832862000", \ - "0.0183714000, 0.0199921000, 0.0246003000, 0.0373278000, 0.0741645000, 0.1796216000, 0.4819490000", \ - "0.0191981000, 0.0207221000, 0.0251753000, 0.0375624000, 0.0744365000, 0.1789505000, 0.4818922000", \ - "0.0205600000, 0.0220469000, 0.0262949000, 0.0388842000, 0.0755398000, 0.1811421000, 0.4813587000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("0.0169246000, 0.0154757000, 0.0117469000, 0.0010171000, -0.033720900, -0.138791900, -0.443797400", \ - "0.0167299000, 0.0152914000, 0.0116060000, 0.0008804000, -0.033853900, -0.138859700, -0.443875800", \ - "0.0167037000, 0.0152410000, 0.0115379000, 0.0007282000, -0.034029900, -0.139028400, -0.444028500", \ - "0.0164877000, 0.0150272000, 0.0113689000, 0.0005685000, -0.034201600, -0.139176900, -0.444168600", \ - "0.0164493000, 0.0149784000, 0.0112208000, 0.0004043000, -0.034403800, -0.139358400, -0.444341200", \ - "0.0171179000, 0.0155321000, 0.0110407000, -0.000188300, -0.034693900, -0.139509000, -0.444424800", \ - "0.0206666000, 0.0189846000, 0.0145174000, 0.0018410000, -0.034488200, -0.139474300, -0.444192800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("0.0186260000, 0.0203081000, 0.0250000000, 0.0381598000, 0.0751303000, 0.1801802000, 0.4842359000", \ - "0.0184759000, 0.0201745000, 0.0248509000, 0.0380496000, 0.0750107000, 0.1808462000, 0.4834475000", \ - "0.0183606000, 0.0200343000, 0.0247185000, 0.0378864000, 0.0749026000, 0.1797753000, 0.4819169000", \ - "0.0181518000, 0.0198103000, 0.0244979000, 0.0376771000, 0.0745655000, 0.1804893000, 0.4830987000", \ - "0.0180625000, 0.0196877000, 0.0243032000, 0.0372867000, 0.0742193000, 0.1792974000, 0.4816986000", \ - "0.0185396000, 0.0200866000, 0.0246208000, 0.0373594000, 0.0741254000, 0.1788466000, 0.4826252000", \ - "0.0197338000, 0.0212180000, 0.0256014000, 0.0383810000, 0.0750875000, 0.1806656000, 0.4806430000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("0.0162627000, 0.0148228000, 0.0111439000, 0.0004147000, -0.034298700, -0.139293300, -0.444259300", \ - "0.0162383000, 0.0148019000, 0.0111205000, 0.0003845000, -0.034294600, -0.139282600, -0.444263700", \ - "0.0161805000, 0.0147196000, 0.0111283000, 0.0002786000, -0.034468000, -0.139393000, -0.444394300", \ - "0.0158074000, 0.0143442000, 0.0107513000, -0.000103600, -0.034848400, -0.139770700, -0.444764800", \ - "0.0154328000, 0.0139947000, 0.0103154000, -0.000445000, -0.035174200, -0.140154800, -0.445103000", \ - "0.0186418000, 0.0171485000, 0.0129602000, 0.0005665000, -0.035369900, -0.140352200, -0.445310200", \ - "0.0192021000, 0.0176897000, 0.0133512000, 0.0009452000, -0.034961100, -0.140274000, -0.445490900"); - } - related_pin : "B1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("0.0169175000, 0.0185951000, 0.0233158000, 0.0364459000, 0.0731774000, 0.1782158000, 0.4808589000", \ - "0.0168847000, 0.0185616000, 0.0232926000, 0.0364099000, 0.0732260000, 0.1781603000, 0.4811648000", \ - "0.0168853000, 0.0185834000, 0.0233110000, 0.0364295000, 0.0732412000, 0.1782001000, 0.4782466000", \ - "0.0166943000, 0.0183645000, 0.0231119000, 0.0362184000, 0.0729164000, 0.1779451000, 0.4805122000", \ - "0.0163886000, 0.0180627000, 0.0227869000, 0.0358786000, 0.0726154000, 0.1776329000, 0.4801213000", \ - "0.0160616000, 0.0176387000, 0.0222150000, 0.0354317000, 0.0720484000, 0.1770992000, 0.4773357000", \ - "0.0163243000, 0.0179185000, 0.0224578000, 0.0354016000, 0.0719049000, 0.1772672000, 0.4779836000"); - } - } - max_capacitance : 0.2884010000; - max_transition : 1.5044830000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.1591938000, 0.1647438000, 0.1773027000, 0.2025539000, 0.2533492000, 0.3677770000, 0.6724877000", \ - "0.1640461000, 0.1696070000, 0.1821472000, 0.2076079000, 0.2582776000, 0.3726033000, 0.6776346000", \ - "0.1762360000, 0.1817527000, 0.1942627000, 0.2195396000, 0.2703331000, 0.3846759000, 0.6897635000", \ - "0.2037787000, 0.2092314000, 0.2215032000, 0.2472101000, 0.2978767000, 0.4122008000, 0.7174590000", \ - "0.2648229000, 0.2703429000, 0.2827821000, 0.3079467000, 0.3589232000, 0.4732746000, 0.7779249000", \ - "0.3785563000, 0.3848544000, 0.3990346000, 0.4272905000, 0.4821666000, 0.5986787000, 0.9039862000", \ - "0.5659118000, 0.5736319000, 0.5910385000, 0.6254687000, 0.6886224000, 0.8141933000, 1.1224958000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0870691000, 0.0926895000, 0.1062255000, 0.1383541000, 0.2219979000, 0.4578226000, 1.1315175000", \ - "0.0910992000, 0.0967326000, 0.1102175000, 0.1423134000, 0.2257998000, 0.4610625000, 1.1386013000", \ - "0.1009430000, 0.1065866000, 0.1201568000, 0.1522473000, 0.2358907000, 0.4711905000, 1.1444641000", \ - "0.1248844000, 0.1304253000, 0.1438547000, 0.1757766000, 0.2593207000, 0.4949121000, 1.1691614000", \ - "0.1649817000, 0.1709651000, 0.1849847000, 0.2172715000, 0.3009805000, 0.5364981000, 1.2112163000", \ - "0.2123430000, 0.2195372000, 0.2356912000, 0.2700621000, 0.3529493000, 0.5884435000, 1.2642505000", \ - "0.2489847000, 0.2588099000, 0.2795664000, 0.3192337000, 0.4046906000, 0.6391990000, 1.3136867000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0250901000, 0.0286569000, 0.0369801000, 0.0562006000, 0.1036360000, 0.2344632000, 0.6356846000", \ - "0.0252172000, 0.0287233000, 0.0373038000, 0.0566030000, 0.1037902000, 0.2348399000, 0.6361271000", \ - "0.0253916000, 0.0286591000, 0.0370041000, 0.0562682000, 0.1036418000, 0.2348014000, 0.6358639000", \ - "0.0253848000, 0.0287784000, 0.0375417000, 0.0565762000, 0.1038033000, 0.2347162000, 0.6356526000", \ - "0.0258292000, 0.0294577000, 0.0373881000, 0.0564934000, 0.1040189000, 0.2354779000, 0.6348962000", \ - "0.0318741000, 0.0361246000, 0.0445118000, 0.0647863000, 0.1103794000, 0.2392836000, 0.6385871000", \ - "0.0451815000, 0.0503107000, 0.0598749000, 0.0811990000, 0.1272860000, 0.2514764000, 0.6363654000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0215493000, 0.0264644000, 0.0398533000, 0.0779353000, 0.1925557000, 0.5295158000, 1.4987247000", \ - "0.0215910000, 0.0264508000, 0.0398358000, 0.0779536000, 0.1921304000, 0.5286052000, 1.4989469000", \ - "0.0215138000, 0.0265390000, 0.0398787000, 0.0779163000, 0.1923308000, 0.5290930000, 1.4969658000", \ - "0.0216034000, 0.0265479000, 0.0398522000, 0.0781366000, 0.1924969000, 0.5294584000, 1.4977373000", \ - "0.0250182000, 0.0297265000, 0.0425415000, 0.0799266000, 0.1929939000, 0.5290261000, 1.4989717000", \ - "0.0327669000, 0.0374450000, 0.0492501000, 0.0838995000, 0.1943288000, 0.5273017000, 1.4999820000", \ - "0.0454271000, 0.0516036000, 0.0644049000, 0.0964689000, 0.1983539000, 0.5322159000, 1.4936987000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.1784200000, 0.1842270000, 0.1971562000, 0.2232970000, 0.2750457000, 0.3905994000, 0.6963566000", \ - "0.1836018000, 0.1893864000, 0.2023860000, 0.2285410000, 0.2802732000, 0.3958106000, 0.7016780000", \ - "0.1961919000, 0.2020053000, 0.2149718000, 0.2411182000, 0.2930882000, 0.4084783000, 0.7139191000", \ - "0.2227750000, 0.2285509000, 0.2415755000, 0.2677388000, 0.3196182000, 0.4350404000, 0.7405579000", \ - "0.2802071000, 0.2860135000, 0.2989034000, 0.3249997000, 0.3770293000, 0.4926238000, 0.7984671000", \ - "0.3892950000, 0.3957626000, 0.4098282000, 0.4383204000, 0.4932675000, 0.6109008000, 0.9167708000", \ - "0.5688593000, 0.5767587000, 0.5945119000, 0.6281621000, 0.6905820000, 0.8156522000, 1.1245004000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0910401000, 0.0966491000, 0.1102089000, 0.1423280000, 0.2260215000, 0.4610136000, 1.1363486000", \ - "0.0953372000, 0.1009964000, 0.1145304000, 0.1466738000, 0.2303520000, 0.4660290000, 1.1396859000", \ - "0.1049286000, 0.1105445000, 0.1240413000, 0.1561592000, 0.2397315000, 0.4746973000, 1.1499126000", \ - "0.1263912000, 0.1319939000, 0.1455465000, 0.1775319000, 0.2611628000, 0.4969055000, 1.1703291000", \ - "0.1658598000, 0.1718615000, 0.1859297000, 0.2185205000, 0.3022439000, 0.5374583000, 1.2130869000", \ - "0.2193649000, 0.2265038000, 0.2424315000, 0.2768174000, 0.3610093000, 0.5961006000, 1.2726105000", \ - "0.2719392000, 0.2813048000, 0.3017038000, 0.3410825000, 0.4273203000, 0.6626678000, 1.3365442000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0271968000, 0.0308128000, 0.0391819000, 0.0581828000, 0.1060035000, 0.2369176000, 0.6385831000", \ - "0.0272574000, 0.0309249000, 0.0395773000, 0.0582328000, 0.1059271000, 0.2367798000, 0.6362776000", \ - "0.0274570000, 0.0307539000, 0.0396209000, 0.0586077000, 0.1056141000, 0.2368892000, 0.6393973000", \ - "0.0273897000, 0.0310017000, 0.0391563000, 0.0588840000, 0.1057438000, 0.2374236000, 0.6393964000", \ - "0.0274455000, 0.0309010000, 0.0394677000, 0.0585154000, 0.1057311000, 0.2367721000, 0.6394271000", \ - "0.0328189000, 0.0368281000, 0.0452198000, 0.0648773000, 0.1109709000, 0.2404454000, 0.6383130000", \ - "0.0453218000, 0.0492244000, 0.0589551000, 0.0795077000, 0.1253847000, 0.2507969000, 0.6370041000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0215454000, 0.0265159000, 0.0398076000, 0.0780870000, 0.1926254000, 0.5294133000, 1.5008396000", \ - "0.0214717000, 0.0263789000, 0.0398540000, 0.0779772000, 0.1926141000, 0.5295088000, 1.4979925000", \ - "0.0215634000, 0.0264403000, 0.0397823000, 0.0778945000, 0.1924054000, 0.5284358000, 1.4999868000", \ - "0.0216720000, 0.0266157000, 0.0398746000, 0.0781071000, 0.1926097000, 0.5296132000, 1.4955911000", \ - "0.0241438000, 0.0290531000, 0.0421613000, 0.0793500000, 0.1926420000, 0.5286863000, 1.5001025000", \ - "0.0303189000, 0.0351616000, 0.0480847000, 0.0834082000, 0.1943044000, 0.5279082000, 1.4981328000", \ - "0.0418673000, 0.0477994000, 0.0613490000, 0.0941198000, 0.1979204000, 0.5314221000, 1.4956653000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.1847016000, 0.1905313000, 0.2035302000, 0.2297415000, 0.2815798000, 0.3969844000, 0.7028985000", \ - "0.1895024000, 0.1953110000, 0.2083494000, 0.2345786000, 0.2862806000, 0.4018640000, 0.7077426000", \ - "0.1997617000, 0.2055524000, 0.2185754000, 0.2447875000, 0.2968743000, 0.4123686000, 0.7177240000", \ - "0.2191616000, 0.2249401000, 0.2379543000, 0.2641476000, 0.3161413000, 0.4316458000, 0.7370702000", \ - "0.2464236000, 0.2522301000, 0.2652073000, 0.2912504000, 0.3431929000, 0.4588410000, 0.7642522000", \ - "0.2794383000, 0.2852421000, 0.2981873000, 0.3243100000, 0.3762572000, 0.4918352000, 0.7976929000", \ - "0.3048277000, 0.3106294000, 0.3235500000, 0.3496359000, 0.4016875000, 0.5173873000, 0.8223030000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.1534354000, 0.1586577000, 0.1712154000, 0.2019983000, 0.2848143000, 0.5192135000, 1.1961587000", \ - "0.1581806000, 0.1633899000, 0.1760233000, 0.2067435000, 0.2892802000, 0.5246480000, 1.2028428000", \ - "0.1710571000, 0.1762570000, 0.1888844000, 0.2195650000, 0.3021201000, 0.5375141000, 1.2103477000", \ - "0.2028143000, 0.2079968000, 0.2205967000, 0.2513656000, 0.3341475000, 0.5685296000, 1.2468351000", \ - "0.2731698000, 0.2783904000, 0.2910211000, 0.3217676000, 0.4044402000, 0.6386000000, 1.3162197000", \ - "0.3933012000, 0.3988387000, 0.4117477000, 0.4427225000, 0.5256422000, 0.7603237000, 1.4338422000", \ - "0.5838380000, 0.5900309000, 0.6039845000, 0.6356692000, 0.7186800000, 0.9531509000, 1.6267468000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0272618000, 0.0309263000, 0.0395083000, 0.0580590000, 0.1058277000, 0.2365589000, 0.6364606000", \ - "0.0272750000, 0.0309285000, 0.0395245000, 0.0582245000, 0.1058474000, 0.2366921000, 0.6362747000", \ - "0.0274503000, 0.0310112000, 0.0394399000, 0.0583604000, 0.1056789000, 0.2373566000, 0.6356425000", \ - "0.0274231000, 0.0309962000, 0.0394278000, 0.0582882000, 0.1056469000, 0.2372897000, 0.6357163000", \ - "0.0271828000, 0.0310422000, 0.0393611000, 0.0583403000, 0.1058684000, 0.2362513000, 0.6364285000", \ - "0.0273406000, 0.0308558000, 0.0394994000, 0.0581974000, 0.1057306000, 0.2356085000, 0.6375255000", \ - "0.0273445000, 0.0309551000, 0.0398801000, 0.0587051000, 0.1060066000, 0.2370029000, 0.6359789000"); - } - related_pin : "B1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0201793000, 0.0246510000, 0.0374321000, 0.0755621000, 0.1902292000, 0.5274281000, 1.5024821000", \ - "0.0201869000, 0.0246490000, 0.0374474000, 0.0756535000, 0.1906674000, 0.5275638000, 1.5044830000", \ - "0.0201515000, 0.0246349000, 0.0374419000, 0.0756537000, 0.1906426000, 0.5275476000, 1.4995895000", \ - "0.0201868000, 0.0246530000, 0.0374104000, 0.0755859000, 0.1904446000, 0.5274381000, 1.5014531000", \ - "0.0203947000, 0.0249063000, 0.0376035000, 0.0755552000, 0.1907256000, 0.5282303000, 1.5037064000", \ - "0.0222782000, 0.0265858000, 0.0389121000, 0.0762117000, 0.1907721000, 0.5267085000, 1.4993501000", \ - "0.0262549000, 0.0302962000, 0.0421667000, 0.0782205000, 0.1916340000, 0.5252778000, 1.4968612000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a21bo_4") { - leakage_power () { - value : 0.0083995000; - when : "!A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0058546000; - when : "!A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0093676000; - when : "!A1&A2&B1_N"; - } - leakage_power () { - value : 0.0058546000; - when : "!A1&A2&!B1_N"; - } - leakage_power () { - value : 0.0090397000; - when : "A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0058546000; - when : "A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0075080000; - when : "A1&A2&B1_N"; - } - leakage_power () { - value : 0.0029896000; - when : "A1&A2&!B1_N"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__a21bo"; - cell_leakage_power : 0.0068585080; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0044110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042300000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075869000, 0.0075855000, 0.0075821000, 0.0075834000, 0.0075866000, 0.0075938000, 0.0076105000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007591200, -0.007585700, -0.007573200, -0.007575600, -0.007581300, -0.007594300, -0.007624300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045930000; - } - pin ("A2") { - capacitance : 0.0047940000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045620000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082730000, 0.0082754000, 0.0082810000, 0.0082783000, 0.0082720000, 0.0082576000, 0.0082244000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008297100, -0.008289300, -0.008271400, -0.008266600, -0.008255700, -0.008230500, -0.008172500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050270000; - } - pin ("B1_N") { - capacitance : 0.0023800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0150112000, 0.0148767000, 0.0145667000, 0.0147210000, 0.0150768000, 0.0158968000, 0.0177870000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045746000, 0.0044631000, 0.0042062000, 0.0043370000, 0.0046384000, 0.0053330000, 0.0069343000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025040000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2) | (!B1_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000"); - values("0.0287793000, 0.0271694000, 0.0220928000, 0.0080520000, -0.040900100, -0.206192300, -0.730139000", \ - "0.0284898000, 0.0268578000, 0.0217968000, 0.0077329000, -0.041169300, -0.206484400, -0.730406200", \ - "0.0280752000, 0.0263928000, 0.0213284000, 0.0072575000, -0.041584400, -0.206885400, -0.730785400", \ - "0.0276595000, 0.0260156000, 0.0208948000, 0.0067593000, -0.042059400, -0.207346300, -0.731212700", \ - "0.0273730000, 0.0257394000, 0.0205815000, 0.0063134000, -0.042680000, -0.207770100, -0.731619000", \ - "0.0274773000, 0.0256214000, 0.0200771000, 0.0051556000, -0.043275500, -0.208016000, -0.731728900", \ - "0.0351097000, 0.0331327000, 0.0273658000, 0.0102528000, -0.042476700, -0.208205400, -0.731476800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000"); - values("0.0333402000, 0.0351655000, 0.0410453000, 0.0590654000, 0.1131127000, 0.2794436000, 0.7979701000", \ - "0.0330529000, 0.0349316000, 0.0408465000, 0.0588127000, 0.1128753000, 0.2792588000, 0.7974006000", \ - "0.0327559000, 0.0346593000, 0.0405588000, 0.0584922000, 0.1125829000, 0.2790510000, 0.7973509000", \ - "0.0326359000, 0.0345006000, 0.0403115000, 0.0580010000, 0.1119062000, 0.2782930000, 0.7969835000", \ - "0.0325387000, 0.0343185000, 0.0400873000, 0.0576573000, 0.1112481000, 0.2779141000, 0.7969836000", \ - "0.0339698000, 0.0357156000, 0.0412736000, 0.0584154000, 0.1112888000, 0.2773279000, 0.7969349000", \ - "0.0366732000, 0.0383493000, 0.0436714000, 0.0606443000, 0.1129905000, 0.2791802000, 0.7965250000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000"); - values("0.0339691000, 0.0323885000, 0.0273396000, 0.0131172000, -0.036043700, -0.201253800, -0.725046400", \ - "0.0338321000, 0.0321215000, 0.0270626000, 0.0129102000, -0.036236300, -0.201454300, -0.725246400", \ - "0.0334752000, 0.0318821000, 0.0268585000, 0.0126001000, -0.036453200, -0.201736100, -0.725527300", \ - "0.0331887000, 0.0315567000, 0.0264820000, 0.0123323000, -0.036788900, -0.202035600, -0.725830600", \ - "0.0329923000, 0.0313791000, 0.0263054000, 0.0119304000, -0.037213200, -0.202393600, -0.726119300", \ - "0.0322166000, 0.0303558000, 0.0250221000, 0.0108796000, -0.037733200, -0.202653200, -0.726238700", \ - "0.0405651000, 0.0386272000, 0.0328419000, 0.0157682000, -0.037011600, -0.202233500, -0.725604600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000"); - values("0.0340306000, 0.0358822000, 0.0418081000, 0.0596959000, 0.1137801000, 0.2801414000, 0.7984925000", \ - "0.0337596000, 0.0356374000, 0.0415791000, 0.0594662000, 0.1135741000, 0.2796059000, 0.7982059000", \ - "0.0334470000, 0.0353312000, 0.0412274000, 0.0591395000, 0.1132261000, 0.2793379000, 0.7978198000", \ - "0.0332315000, 0.0351187000, 0.0408322000, 0.0585830000, 0.1126540000, 0.2789831000, 0.7975082000", \ - "0.0330020000, 0.0348102000, 0.0406174000, 0.0581032000, 0.1119671000, 0.2784653000, 0.7973982000", \ - "0.0336277000, 0.0354219000, 0.0409976000, 0.0582639000, 0.1113727000, 0.2772210000, 0.7964655000", \ - "0.0357862000, 0.0374931000, 0.0428547000, 0.0596898000, 0.1132096000, 0.2791582000, 0.7961487000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000"); - values("0.0324016000, 0.0308023000, 0.0257737000, 0.0115719000, -0.037551200, -0.202780300, -0.726471400", \ - "0.0322602000, 0.0306923000, 0.0256258000, 0.0114791000, -0.037570200, -0.202772500, -0.726554600", \ - "0.0322285000, 0.0306345000, 0.0255468000, 0.0113821000, -0.037686400, -0.202883000, -0.726596400", \ - "0.0315412000, 0.0299254000, 0.0249078000, 0.0106471000, -0.038502000, -0.203658200, -0.727305700", \ - "0.0308948000, 0.0292294000, 0.0242289000, 0.0099391000, -0.039075400, -0.204335300, -0.727961200", \ - "0.0361274000, 0.0343958000, 0.0291395000, 0.0127474000, -0.039511700, -0.204840500, -0.728459500", \ - "0.0375030000, 0.0357779000, 0.0305623000, 0.0141698000, -0.038087400, -0.204487200, -0.728510300"); - } - related_pin : "B1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015677720, 0.0049158190, 0.0154137700, 0.0483305500, 0.1515426000, 0.4751685000"); - values("0.0296901000, 0.0315954000, 0.0374580000, 0.0551122000, 0.1085342000, 0.2741842000, 0.7926498000", \ - "0.0297196000, 0.0316132000, 0.0374604000, 0.0550639000, 0.1084750000, 0.2741530000, 0.7902036000", \ - "0.0297005000, 0.0315931000, 0.0374314000, 0.0550478000, 0.1084938000, 0.2740096000, 0.7934591000", \ - "0.0293089000, 0.0312025000, 0.0370426000, 0.0546486000, 0.1081160000, 0.2739454000, 0.7894757000", \ - "0.0287299000, 0.0306083000, 0.0364361000, 0.0540292000, 0.1074659000, 0.2731660000, 0.7893720000", \ - "0.0280174000, 0.0298118000, 0.0353718000, 0.0530533000, 0.1064970000, 0.2720843000, 0.7915000000", \ - "0.0287192000, 0.0304776000, 0.0360719000, 0.0533972000, 0.1070237000, 0.2732195000, 0.7892881000"); - } - } - max_capacitance : 0.4751690000; - max_transition : 1.5028070000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000"); - values("0.1742197000, 0.1780640000, 0.1880849000, 0.2105681000, 0.2566490000, 0.3601659000, 0.6396088000", \ - "0.1788503000, 0.1827494000, 0.1927525000, 0.2152181000, 0.2615883000, 0.3648690000, 0.6444100000", \ - "0.1910125000, 0.1948932000, 0.2048582000, 0.2272935000, 0.2733362000, 0.3770075000, 0.6564735000", \ - "0.2180152000, 0.2219428000, 0.2319173000, 0.2542085000, 0.3003956000, 0.4040419000, 0.6836128000", \ - "0.2768746000, 0.2807476000, 0.2907441000, 0.3130421000, 0.3593770000, 0.4629205000, 0.7425008000", \ - "0.3892839000, 0.3936184000, 0.4047327000, 0.4292694000, 0.4788290000, 0.5853579000, 0.8656543000", \ - "0.5849097000, 0.5899720000, 0.6030840000, 0.6318827000, 0.6886934000, 0.8035936000, 1.0888854000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000"); - values("0.0812039000, 0.0850591000, 0.0954708000, 0.1222509000, 0.1959267000, 0.4189616000, 1.1148638000", \ - "0.0851859000, 0.0890557000, 0.0994616000, 0.1262328000, 0.1998155000, 0.4226112000, 1.1168256000", \ - "0.0952106000, 0.0991258000, 0.1095270000, 0.1362918000, 0.2100338000, 0.4332018000, 1.1263553000", \ - "0.1184577000, 0.1223091000, 0.1326109000, 0.1591327000, 0.2328353000, 0.4556872000, 1.1503005000", \ - "0.1542216000, 0.1582682000, 0.1690266000, 0.1961616000, 0.2696312000, 0.4929969000, 1.1881556000", \ - "0.1936795000, 0.1986486000, 0.2109398000, 0.2390957000, 0.3128864000, 0.5362993000, 1.2308347000", \ - "0.2144158000, 0.2209255000, 0.2368774000, 0.2709901000, 0.3457119000, 0.5685626000, 1.2621847000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000"); - values("0.0267205000, 0.0290676000, 0.0356235000, 0.0515748000, 0.0912549000, 0.2032114000, 0.5688400000", \ - "0.0266218000, 0.0290910000, 0.0356017000, 0.0512859000, 0.0910307000, 0.2034048000, 0.5685457000", \ - "0.0265148000, 0.0289401000, 0.0353127000, 0.0512335000, 0.0913416000, 0.2034733000, 0.5692317000", \ - "0.0265820000, 0.0290210000, 0.0354396000, 0.0511558000, 0.0912500000, 0.2031310000, 0.5689907000", \ - "0.0267277000, 0.0292150000, 0.0356133000, 0.0513482000, 0.0912542000, 0.2037851000, 0.5689327000", \ - "0.0323046000, 0.0345760000, 0.0415241000, 0.0584577000, 0.0971136000, 0.2075183000, 0.5697293000", \ - "0.0441596000, 0.0467431000, 0.0545901000, 0.0718980000, 0.1120691000, 0.2209788000, 0.5733417000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000"); - values("0.0209235000, 0.0244787000, 0.0346636000, 0.0657264000, 0.1665099000, 0.4874191000, 1.5000967000", \ - "0.0210245000, 0.0244326000, 0.0346178000, 0.0655984000, 0.1660945000, 0.4885085000, 1.4965013000", \ - "0.0209917000, 0.0244279000, 0.0345868000, 0.0657684000, 0.1664739000, 0.4883761000, 1.4995049000", \ - "0.0211358000, 0.0244984000, 0.0346708000, 0.0658532000, 0.1664428000, 0.4881575000, 1.4963880000", \ - "0.0238646000, 0.0272311000, 0.0370887000, 0.0677095000, 0.1669990000, 0.4881817000, 1.4973883000", \ - "0.0308081000, 0.0341815000, 0.0437360000, 0.0717744000, 0.1685215000, 0.4884014000, 1.4990746000", \ - "0.0431141000, 0.0469133000, 0.0572300000, 0.0839376000, 0.1727752000, 0.4896490000, 1.4983087000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000"); - values("0.1797980000, 0.1836751000, 0.1935369000, 0.2152691000, 0.2600786000, 0.3611437000, 0.6395937000", \ - "0.1849727000, 0.1888569000, 0.1987461000, 0.2205022000, 0.2653114000, 0.3663918000, 0.6448422000", \ - "0.1978486000, 0.2017258000, 0.2114740000, 0.2332370000, 0.2781423000, 0.3792207000, 0.6575198000", \ - "0.2257639000, 0.2296417000, 0.2394864000, 0.2610704000, 0.3058354000, 0.4070586000, 0.6856051000", \ - "0.2860129000, 0.2898971000, 0.2997135000, 0.3213620000, 0.3662129000, 0.4676187000, 0.7461193000", \ - "0.4026601000, 0.4069690000, 0.4178959000, 0.4417051000, 0.4894851000, 0.5932344000, 0.8721475000", \ - "0.5997753000, 0.6050404000, 0.6183561000, 0.6468679000, 0.7019378000, 0.8128681000, 1.0953696000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000"); - values("0.0860955000, 0.0899547000, 0.1003823000, 0.1270803000, 0.2005793000, 0.4234437000, 1.1160106000", \ - "0.0902399000, 0.0941002000, 0.1045153000, 0.1313040000, 0.2049885000, 0.4274504000, 1.1218353000", \ - "0.0993672000, 0.1032885000, 0.1136900000, 0.1404546000, 0.2141426000, 0.4371498000, 1.1309083000", \ - "0.1199406000, 0.1237990000, 0.1341179000, 0.1606593000, 0.2341188000, 0.4571609000, 1.1511158000", \ - "0.1552446000, 0.1593357000, 0.1702255000, 0.1974764000, 0.2710906000, 0.4944444000, 1.1901591000", \ - "0.1996959000, 0.2045362000, 0.2168433000, 0.2457953000, 0.3201915000, 0.5433026000, 1.2377342000", \ - "0.2328524000, 0.2391623000, 0.2548964000, 0.2886088000, 0.3656588000, 0.5890500000, 1.2818829000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000"); - values("0.0264639000, 0.0288509000, 0.0350456000, 0.0497332000, 0.0877827000, 0.2002396000, 0.5658528000", \ - "0.0263749000, 0.0286927000, 0.0347541000, 0.0497043000, 0.0877778000, 0.2002828000, 0.5659527000", \ - "0.0265453000, 0.0289328000, 0.0351228000, 0.0500041000, 0.0885391000, 0.2005314000, 0.5660239000", \ - "0.0262255000, 0.0285650000, 0.0346183000, 0.0501055000, 0.0886324000, 0.2005065000, 0.5667364000", \ - "0.0265447000, 0.0286830000, 0.0347922000, 0.0498333000, 0.0885925000, 0.2003341000, 0.5662483000", \ - "0.0319563000, 0.0344159000, 0.0405070000, 0.0563036000, 0.0935921000, 0.2035677000, 0.5683297000", \ - "0.0437548000, 0.0467956000, 0.0536869000, 0.0703166000, 0.1088737000, 0.2163804000, 0.5726232000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000"); - values("0.0209982000, 0.0244754000, 0.0346353000, 0.0658166000, 0.1659043000, 0.4889789000, 1.4998146000", \ - "0.0209773000, 0.0244497000, 0.0345894000, 0.0656563000, 0.1664779000, 0.4882972000, 1.4949160000", \ - "0.0210344000, 0.0244291000, 0.0345518000, 0.0657166000, 0.1664803000, 0.4879909000, 1.4977577000", \ - "0.0210953000, 0.0245372000, 0.0348379000, 0.0659311000, 0.1659517000, 0.4888517000, 1.4979752000", \ - "0.0234715000, 0.0269156000, 0.0369016000, 0.0674132000, 0.1670476000, 0.4874444000, 1.4988806000", \ - "0.0294439000, 0.0329116000, 0.0424406000, 0.0713575000, 0.1685258000, 0.4873001000, 1.4993654000", \ - "0.0400162000, 0.0441677000, 0.0550432000, 0.0825176000, 0.1726244000, 0.4898127000, 1.4936906000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000"); - values("0.1890289000, 0.1928648000, 0.2027495000, 0.2246165000, 0.2694354000, 0.3706662000, 0.6491117000", \ - "0.1935168000, 0.1974356000, 0.2073392000, 0.2291864000, 0.2737517000, 0.3749880000, 0.6535471000", \ - "0.2037843000, 0.2076755000, 0.2175529000, 0.2392710000, 0.2842107000, 0.3853059000, 0.6635714000", \ - "0.2236644000, 0.2275304000, 0.2374358000, 0.2591964000, 0.3041446000, 0.4053242000, 0.6839452000", \ - "0.2522212000, 0.2561030000, 0.2659503000, 0.2877348000, 0.3324453000, 0.4336784000, 0.7122307000", \ - "0.2842631000, 0.2881492000, 0.2980409000, 0.3198236000, 0.3646624000, 0.4658789000, 0.7446369000", \ - "0.3059309000, 0.3098112000, 0.3196793000, 0.3413907000, 0.3860739000, 0.4876290000, 0.7659757000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000"); - values("0.1418139000, 0.1453436000, 0.1549130000, 0.1801688000, 0.2524746000, 0.4737471000, 1.1724953000", \ - "0.1469704000, 0.1504676000, 0.1600721000, 0.1853471000, 0.2576038000, 0.4797613000, 1.1711611000", \ - "0.1596621000, 0.1631629000, 0.1727294000, 0.1979014000, 0.2702188000, 0.4916235000, 1.1847316000", \ - "0.1910541000, 0.1945636000, 0.2041682000, 0.2293195000, 0.3013612000, 0.5227239000, 1.2166573000", \ - "0.2587478000, 0.2622743000, 0.2719039000, 0.2970790000, 0.3691051000, 0.5909195000, 1.2907644000", \ - "0.3708572000, 0.3745136000, 0.3844050000, 0.4099484000, 0.4823748000, 0.7042895000, 1.3968923000", \ - "0.5488578000, 0.5529336000, 0.5636424000, 0.5900229000, 0.6628344000, 0.8847304000, 1.5768501000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000"); - values("0.0265096000, 0.0286590000, 0.0349137000, 0.0498453000, 0.0884511000, 0.2003135000, 0.5678873000", \ - "0.0261802000, 0.0285673000, 0.0346230000, 0.0499121000, 0.0886664000, 0.2005183000, 0.5667364000", \ - "0.0264940000, 0.0289281000, 0.0350364000, 0.0500665000, 0.0884474000, 0.2004743000, 0.5660328000", \ - "0.0265495000, 0.0287951000, 0.0350933000, 0.0499720000, 0.0877874000, 0.2004595000, 0.5672240000", \ - "0.0263916000, 0.0287207000, 0.0347942000, 0.0495707000, 0.0885794000, 0.2001880000, 0.5677520000", \ - "0.0264334000, 0.0288223000, 0.0348116000, 0.0502055000, 0.0883921000, 0.1997533000, 0.5681511000", \ - "0.0268616000, 0.0291927000, 0.0351966000, 0.0501015000, 0.0887583000, 0.2006360000, 0.5673585000"); - } - related_pin : "B1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015677700, 0.0049158200, 0.0154138000, 0.0483306000, 0.1515430000, 0.4751690000"); - values("0.0194658000, 0.0226169000, 0.0322660000, 0.0633327000, 0.1643276000, 0.4868352000, 1.5026870000", \ - "0.0194885000, 0.0225686000, 0.0323452000, 0.0632799000, 0.1645645000, 0.4868954000, 1.4960473000", \ - "0.0195035000, 0.0226362000, 0.0323479000, 0.0633297000, 0.1643599000, 0.4864986000, 1.5028071000", \ - "0.0194972000, 0.0226186000, 0.0323408000, 0.0633526000, 0.1642046000, 0.4860489000, 1.4986129000", \ - "0.0198830000, 0.0229833000, 0.0326203000, 0.0634512000, 0.1641609000, 0.4871501000, 1.5012728000", \ - "0.0215170000, 0.0245371000, 0.0339653000, 0.0643597000, 0.1648631000, 0.4861204000, 1.5006558000", \ - "0.0252759000, 0.0281310000, 0.0371671000, 0.0661540000, 0.1657219000, 0.4864348000, 1.4941253000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a21boi_0") { - leakage_power () { - value : 0.0028661000; - when : "!A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0019830000; - when : "!A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0033439000; - when : "!A1&A2&B1_N"; - } - leakage_power () { - value : 0.0019830000; - when : "!A1&A2&!B1_N"; - } - leakage_power () { - value : 0.0031333000; - when : "A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0019830000; - when : "A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0039234000; - when : "A1&A2&B1_N"; - } - leakage_power () { - value : 0.0006065000; - when : "A1&A2&!B1_N"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__a21boi"; - cell_leakage_power : 0.0024778020; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0018010000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017540000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0029234000, 0.0029271000, 0.0029356000, 0.0029355000, 0.0029354000, 0.0029352000, 0.0029345000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002949900, -0.002945100, -0.002934000, -0.002933400, -0.002932100, -0.002929100, -0.002922200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018480000; - } - pin ("A2") { - capacitance : 0.0017180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016530000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027691000, 0.0027707000, 0.0027744000, 0.0027737000, 0.0027721000, 0.0027685000, 0.0027602000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002769500, -0.002768600, -0.002766700, -0.002767200, -0.002768200, -0.002770500, -0.002776000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017840000; - } - pin ("B1_N") { - capacitance : 0.0016230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015580000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0081007000, 0.0079922000, 0.0077421000, 0.0078175000, 0.0079913000, 0.0083920000, 0.0093156000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0010923000, 0.0010185000, 0.0008485000, 0.0009176000, 0.0010767000, 0.0014434000, 0.0022887000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016880000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&B1_N) | (!A2&B1_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010718840, 0.0022978700, 0.0049261010, 0.0105604200, 0.0226390800, 0.0485329300"); - values("0.0034673000, 0.0025596000, 0.0006043000, -0.003609700, -0.012691300, -0.032194600, -0.074090600", \ - "0.0033545000, 0.0024488000, 0.0005091000, -0.003688300, -0.012748800, -0.032264500, -0.074149900", \ - "0.0031937000, 0.0023037000, 0.0003744000, -0.003807800, -0.012828300, -0.032325500, -0.074218400", \ - "0.0030249000, 0.0021552000, 0.0002455000, -0.003914300, -0.012923800, -0.032399800, -0.074273400", \ - "0.0029640000, 0.0020543000, 0.0001226000, -0.004034700, -0.013027200, -0.032459500, -0.074281400", \ - "0.0032411000, 0.0022993000, 0.0002941000, -0.003973200, -0.013056900, -0.032519100, -0.074304600", \ - "0.0040956000, 0.0030963000, 0.0010415000, -0.003337200, -0.012600800, -0.032299800, -0.074250900"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010718840, 0.0022978700, 0.0049261010, 0.0105604200, 0.0226390800, 0.0485329300"); - values("0.0063767000, 0.0073516000, 0.0093674000, 0.0136197000, 0.0226608000, 0.0421624000, 0.0840990000", \ - "0.0062028000, 0.0071959000, 0.0092622000, 0.0135874000, 0.0227931000, 0.0420732000, 0.0840427000", \ - "0.0060058000, 0.0069871000, 0.0090370000, 0.0133765000, 0.0225146000, 0.0419146000, 0.0833502000", \ - "0.0058898000, 0.0068434000, 0.0088817000, 0.0131744000, 0.0223376000, 0.0417965000, 0.0832765000", \ - "0.0058008000, 0.0067416000, 0.0087237000, 0.0130126000, 0.0221679000, 0.0418411000, 0.0833484000", \ - "0.0057769000, 0.0067097000, 0.0087162000, 0.0129975000, 0.0220721000, 0.0415787000, 0.0834868000", \ - "0.0058126000, 0.0067505000, 0.0086559000, 0.0129454000, 0.0221268000, 0.0416577000, 0.0832080000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010718840, 0.0022978700, 0.0049261010, 0.0105604200, 0.0226390800, 0.0485329300"); - values("0.0031982000, 0.0022839000, 0.0003252000, -0.003885700, -0.012954300, -0.032477800, -0.074358400", \ - "0.0031155000, 0.0022054000, 0.0002517000, -0.003959200, -0.013022400, -0.032548700, -0.074427200", \ - "0.0030092000, 0.0021028000, 0.0001591000, -0.004039900, -0.013098200, -0.032596400, -0.074480600", \ - "0.0028864000, 0.0019887000, 4.270000e-05, -0.004119400, -0.013157800, -0.032656200, -0.074521300", \ - "0.0028784000, 0.0019075000, -1.15000e-05, -0.004169100, -0.013213000, -0.032662700, -0.074525300", \ - "0.0029915000, 0.0020618000, 7.390000e-05, -0.004125300, -0.013304000, -0.032744200, -0.074569700", \ - "0.0035916000, 0.0026306000, 0.0005923000, -0.003740100, -0.012952300, -0.032646200, -0.074543300"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010718840, 0.0022978700, 0.0049261010, 0.0105604200, 0.0226390800, 0.0485329300"); - values("0.0079611000, 0.0088855000, 0.0108705000, 0.0150855000, 0.0241173000, 0.0433891000, 0.0848076000", \ - "0.0078790000, 0.0088088000, 0.0108127000, 0.0150407000, 0.0240824000, 0.0434264000, 0.0847875000", \ - "0.0077746000, 0.0087112000, 0.0107225000, 0.0149690000, 0.0240225000, 0.0433700000, 0.0846289000", \ - "0.0077010000, 0.0086421000, 0.0106368000, 0.0148819000, 0.0239582000, 0.0433350000, 0.0847021000", \ - "0.0076460000, 0.0085718000, 0.0105530000, 0.0147886000, 0.0238580000, 0.0432094000, 0.0846330000", \ - "0.0076191000, 0.0085459000, 0.0105586000, 0.0148131000, 0.0238922000, 0.0432442000, 0.0846119000", \ - "0.0077043000, 0.0085892000, 0.0105280000, 0.0147907000, 0.0240332000, 0.0433940000, 0.0848524000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010718840, 0.0022978700, 0.0049261010, 0.0105604200, 0.0226390800, 0.0485329300"); - values("0.0017681000, 0.0009836000, -0.000815200, -0.004909200, -0.013965100, -0.033486000, -0.075431500", \ - "0.0016983000, 0.0009087000, -0.000890300, -0.004988500, -0.014027200, -0.033560300, -0.075500200", \ - "0.0017512000, 0.0009679000, -0.000824600, -0.004918000, -0.013946400, -0.033479500, -0.075417400", \ - "0.0015364000, 0.0007426000, -0.001051900, -0.005145900, -0.014162200, -0.033668200, -0.075600400", \ - "0.0011960000, 0.0004114000, -0.001364100, -0.005387300, -0.014344800, -0.033810800, -0.075727100", \ - "0.0011572000, 0.0002696000, -0.001623500, -0.005753200, -0.014600600, -0.033953800, -0.075803900", \ - "0.0014092000, 0.0005042000, -0.001382200, -0.005566100, -0.014446400, -0.033826000, -0.075649200"); - } - related_pin : "B1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010718840, 0.0022978700, 0.0049261010, 0.0105604200, 0.0226390800, 0.0485329300"); - values("0.0074271000, 0.0083828000, 0.0103867000, 0.0146261000, 0.0236164000, 0.0428907000, 0.0842059000", \ - "0.0073844000, 0.0083380000, 0.0103362000, 0.0145824000, 0.0235963000, 0.0428813000, 0.0842196000", \ - "0.0073250000, 0.0082745000, 0.0102982000, 0.0145538000, 0.0236102000, 0.0429194000, 0.0841929000", \ - "0.0069937000, 0.0079384000, 0.0099606000, 0.0142474000, 0.0233441000, 0.0427123000, 0.0841207000", \ - "0.0067295000, 0.0076203000, 0.0096263000, 0.0139108000, 0.0230449000, 0.0424294000, 0.0838575000", \ - "0.0067775000, 0.0077078000, 0.0095981000, 0.0138214000, 0.0228822000, 0.0423295000, 0.0837756000", \ - "0.0069950000, 0.0079289000, 0.0098299000, 0.0140763000, 0.0230269000, 0.0424518000, 0.0838925000"); - } - } - max_capacitance : 0.0485330000; - max_transition : 1.4866620000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010718800, 0.0022978700, 0.0049261000, 0.0105604000, 0.0226391000, 0.0485329000"); - values("0.0380728000, 0.0436839000, 0.0549947000, 0.0784996000, 0.1271163000, 0.2300324000, 0.4489907000", \ - "0.0420554000, 0.0476385000, 0.0590898000, 0.0825841000, 0.1313533000, 0.2341154000, 0.4532756000", \ - "0.0520687000, 0.0575908000, 0.0689514000, 0.0925483000, 0.1413230000, 0.2442983000, 0.4633447000", \ - "0.0731726000, 0.0798639000, 0.0931035000, 0.1168375000, 0.1654529000, 0.2683382000, 0.4875468000", \ - "0.0995769000, 0.1099245000, 0.1296271000, 0.1639902000, 0.2216933000, 0.3238351000, 0.5416854000", \ - "0.1266286000, 0.1421934000, 0.1718745000, 0.2241017000, 0.3115685000, 0.4497199000, 0.6693294000", \ - "0.1349786000, 0.1586241000, 0.2042677000, 0.2847614000, 0.4181582000, 0.6288214000, 0.9493768000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010718800, 0.0022978700, 0.0049261000, 0.0105604000, 0.0226391000, 0.0485329000"); - values("0.0844585000, 0.0971248000, 0.1234543000, 0.1788296000, 0.2975220000, 0.5480036000, 1.0912204000", \ - "0.0886343000, 0.1014374000, 0.1282234000, 0.1850547000, 0.3040969000, 0.5550640000, 1.0986925000", \ - "0.1005545000, 0.1130881000, 0.1398718000, 0.1960722000, 0.3149302000, 0.5665715000, 1.1045977000", \ - "0.1288126000, 0.1410806000, 0.1675881000, 0.2234891000, 0.3423327000, 0.5949732000, 1.1336638000", \ - "0.1818921000, 0.1974865000, 0.2273259000, 0.2842611000, 0.4048522000, 0.6590721000, 1.1982662000", \ - "0.2673328000, 0.2912838000, 0.3327165000, 0.4098335000, 0.5424903000, 0.7966502000, 1.3389720000", \ - "0.3956782000, 0.4334945000, 0.5019031000, 0.6172405000, 0.8048897000, 1.1172651000, 1.6620432000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010718800, 0.0022978700, 0.0049261000, 0.0105604000, 0.0226391000, 0.0485329000"); - values("0.0344051000, 0.0406669000, 0.0545888000, 0.0841045000, 0.1473799000, 0.2843287000, 0.5780217000", \ - "0.0340644000, 0.0404794000, 0.0543033000, 0.0840516000, 0.1475246000, 0.2834009000, 0.5768296000", \ - "0.0345846000, 0.0406179000, 0.0539941000, 0.0838667000, 0.1475950000, 0.2835076000, 0.5769769000", \ - "0.0462305000, 0.0518554000, 0.0623484000, 0.0876871000, 0.1478961000, 0.2836730000, 0.5761603000", \ - "0.0705862000, 0.0784967000, 0.0937033000, 0.1201037000, 0.1679439000, 0.2890042000, 0.5758195000", \ - "0.1140174000, 0.1257207000, 0.1469030000, 0.1844742000, 0.2489523000, 0.3520940000, 0.5990584000", \ - "0.1912445000, 0.2114401000, 0.2412551000, 0.2983874000, 0.3874887000, 0.5322461000, 0.7628532000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010718800, 0.0022978700, 0.0049261000, 0.0105604000, 0.0226391000, 0.0485329000"); - values("0.0610721000, 0.0774068000, 0.1129036000, 0.1882740000, 0.3504240000, 0.6961107000, 1.4495286000", \ - "0.0611074000, 0.0776131000, 0.1131556000, 0.1887556000, 0.3525268000, 0.6963532000, 1.4482049000", \ - "0.0610945000, 0.0776244000, 0.1129814000, 0.1884114000, 0.3497085000, 0.6952583000, 1.4389513000", \ - "0.0619329000, 0.0780707000, 0.1131920000, 0.1881531000, 0.3495787000, 0.6963715000, 1.4393192000", \ - "0.0797155000, 0.0941781000, 0.1238460000, 0.1931400000, 0.3509696000, 0.7004834000, 1.4367931000", \ - "0.1211453000, 0.1385961000, 0.1718322000, 0.2397355000, 0.3748651000, 0.6995122000, 1.4425864000", \ - "0.2098579000, 0.2315663000, 0.2737357000, 0.3519741000, 0.4979572000, 0.7810839000, 1.4509470000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010718800, 0.0022978700, 0.0049261000, 0.0105604000, 0.0226391000, 0.0485329000"); - values("0.0410231000, 0.0465025000, 0.0578176000, 0.0812421000, 0.1300287000, 0.2328068000, 0.4520348000", \ - "0.0453774000, 0.0508894000, 0.0622205000, 0.0856582000, 0.1343975000, 0.2372064000, 0.4562745000", \ - "0.0548483000, 0.0603503000, 0.0717323000, 0.0953039000, 0.1441086000, 0.2470198000, 0.4662951000", \ - "0.0745037000, 0.0807491000, 0.0931350000, 0.1174457000, 0.1664249000, 0.2694109000, 0.4886984000", \ - "0.1042357000, 0.1131815000, 0.1302763000, 0.1612136000, 0.2159852000, 0.3206653000, 0.5410521000", \ - "0.1397837000, 0.1537231000, 0.1795772000, 0.2260462000, 0.3032155000, 0.4311347000, 0.6606466000", \ - "0.1667142000, 0.1885417000, 0.2293992000, 0.3021382000, 0.4222208000, 0.6101458000, 0.9019249000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010718800, 0.0022978700, 0.0049261000, 0.0105604000, 0.0226391000, 0.0485329000"); - values("0.0977039000, 0.1100000000, 0.1363577000, 0.1921653000, 0.3106705000, 0.5648842000, 1.1088773000", \ - "0.1027906000, 0.1151737000, 0.1416406000, 0.1974497000, 0.3163249000, 0.5702377000, 1.1139925000", \ - "0.1154068000, 0.1279108000, 0.1544714000, 0.2104805000, 0.3297756000, 0.5838454000, 1.1282243000", \ - "0.1427625000, 0.1551179000, 0.1815540000, 0.2376900000, 0.3570450000, 0.6119296000, 1.1559412000", \ - "0.1965809000, 0.2110438000, 0.2397360000, 0.2960589000, 0.4154644000, 0.6696694000, 1.2136102000", \ - "0.2849097000, 0.3043389000, 0.3430148000, 0.4155448000, 0.5474234000, 0.8026735000, 1.3468882000", \ - "0.4182072000, 0.4499495000, 0.5096495000, 0.6151260000, 0.7964172000, 1.1016603000, 1.6545331000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010718800, 0.0022978700, 0.0049261000, 0.0105604000, 0.0226391000, 0.0485329000"); - values("0.0340717000, 0.0405737000, 0.0545059000, 0.0840954000, 0.1477981000, 0.2836278000, 0.5765145000", \ - "0.0339450000, 0.0404977000, 0.0543712000, 0.0838958000, 0.1476470000, 0.2848175000, 0.5761022000", \ - "0.0342261000, 0.0405756000, 0.0541088000, 0.0839370000, 0.1474561000, 0.2844782000, 0.5763597000", \ - "0.0413516000, 0.0467419000, 0.0586686000, 0.0861394000, 0.1476366000, 0.2845061000, 0.5762621000", \ - "0.0607747000, 0.0674577000, 0.0810331000, 0.1066605000, 0.1604782000, 0.2866819000, 0.5765491000", \ - "0.0991735000, 0.1083830000, 0.1254530000, 0.1592366000, 0.2150957000, 0.3274217000, 0.5905277000", \ - "0.1678841000, 0.1818785000, 0.2073887000, 0.2522124000, 0.3288897000, 0.4583562000, 0.7001049000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010718800, 0.0022978700, 0.0049261000, 0.0105604000, 0.0226391000, 0.0485329000"); - values("0.0754835000, 0.0922899000, 0.1281990000, 0.2048346000, 0.3677645000, 0.7201539000, 1.4703121000", \ - "0.0755239000, 0.0922819000, 0.1281870000, 0.2044356000, 0.3680850000, 0.7174137000, 1.4687911000", \ - "0.0755056000, 0.0922769000, 0.1281567000, 0.2044994000, 0.3693833000, 0.7172168000, 1.4708099000", \ - "0.0760679000, 0.0924995000, 0.1282942000, 0.2043768000, 0.3677796000, 0.7207666000, 1.4709368000", \ - "0.0906992000, 0.1053543000, 0.1375351000, 0.2090323000, 0.3678459000, 0.7176532000, 1.4663372000", \ - "0.1307545000, 0.1477981000, 0.1819773000, 0.2500085000, 0.3920123000, 0.7216493000, 1.4658198000", \ - "0.2182698000, 0.2394242000, 0.2814058000, 0.3592483000, 0.5086029000, 0.7999399000, 1.4866618000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010718800, 0.0022978700, 0.0049261000, 0.0105604000, 0.0226391000, 0.0485329000"); - values("0.0878912000, 0.0927692000, 0.1018790000, 0.1181404000, 0.1488391000, 0.2101083000, 0.3397270000", \ - "0.0925467000, 0.0972583000, 0.1063142000, 0.1227086000, 0.1533730000, 0.2147691000, 0.3442618000", \ - "0.1049485000, 0.1096589000, 0.1188882000, 0.1351750000, 0.1657666000, 0.2271710000, 0.3566370000", \ - "0.1357703000, 0.1405978000, 0.1495512000, 0.1658948000, 0.1966687000, 0.2580408000, 0.3873993000", \ - "0.1968920000, 0.2023234000, 0.2121655000, 0.2295363000, 0.2612162000, 0.3231167000, 0.4521309000", \ - "0.2909812000, 0.2975337000, 0.3094777000, 0.3297477000, 0.3641598000, 0.4276447000, 0.5568624000", \ - "0.4368098000, 0.4454985000, 0.4611379000, 0.4859057000, 0.5261457000, 0.5917528000, 0.7232122000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010718800, 0.0022978700, 0.0049261000, 0.0105604000, 0.0226391000, 0.0485329000"); - values("0.1017047000, 0.1142952000, 0.1409814000, 0.1968666000, 0.3152343000, 0.5683885000, 1.1116751000", \ - "0.1063398000, 0.1190273000, 0.1456452000, 0.2016249000, 0.3201995000, 0.5736860000, 1.1160926000", \ - "0.1161260000, 0.1289081000, 0.1557228000, 0.2121939000, 0.3313841000, 0.5851859000, 1.1280872000", \ - "0.1345487000, 0.1472205000, 0.1739941000, 0.2304545000, 0.3501129000, 0.6043053000, 1.1474611000", \ - "0.1601504000, 0.1722023000, 0.1988374000, 0.2553172000, 0.3750730000, 0.6295747000, 1.1738456000", \ - "0.1899582000, 0.2023720000, 0.2285967000, 0.2841106000, 0.4036936000, 0.6581946000, 1.2021178000", \ - "0.2122404000, 0.2249641000, 0.2500209000, 0.3050102000, 0.4239600000, 0.6786284000, 1.2228754000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010718800, 0.0022978700, 0.0049261000, 0.0105604000, 0.0226391000, 0.0485329000"); - values("0.0287825000, 0.0322559000, 0.0397382000, 0.0555699000, 0.0902998000, 0.1671723000, 0.3366649000", \ - "0.0287287000, 0.0322167000, 0.0397128000, 0.0557388000, 0.0901777000, 0.1670355000, 0.3371619000", \ - "0.0287066000, 0.0322132000, 0.0396848000, 0.0555629000, 0.0901200000, 0.1670494000, 0.3370855000", \ - "0.0290892000, 0.0325477000, 0.0399426000, 0.0557422000, 0.0900818000, 0.1672317000, 0.3370142000", \ - "0.0345747000, 0.0376556000, 0.0444741000, 0.0593478000, 0.0926681000, 0.1679763000, 0.3371922000", \ - "0.0470384000, 0.0498026000, 0.0558171000, 0.0692770000, 0.1007599000, 0.1734718000, 0.3396304000", \ - "0.0663410000, 0.0692849000, 0.0757076000, 0.0886149000, 0.1167695000, 0.1836810000, 0.3436784000"); - } - related_pin : "B1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010718800, 0.0022978700, 0.0049261000, 0.0105604000, 0.0226391000, 0.0485329000"); - values("0.0753173000, 0.0921843000, 0.1281250000, 0.2048333000, 0.3679541000, 0.7177886000, 1.4701753000", \ - "0.0753254000, 0.0921222000, 0.1281050000, 0.2043851000, 0.3677908000, 0.7170343000, 1.4658476000", \ - "0.0752279000, 0.0921869000, 0.1281775000, 0.2045257000, 0.3678929000, 0.7175171000, 1.4652153000", \ - "0.0752296000, 0.0920317000, 0.1280089000, 0.2044437000, 0.3678319000, 0.7175992000, 1.4648384000", \ - "0.0751789000, 0.0918488000, 0.1284889000, 0.2044096000, 0.3677683000, 0.7177138000, 1.4652152000", \ - "0.0769907000, 0.0933366000, 0.1285936000, 0.2048275000, 0.3683951000, 0.7180954000, 1.4665755000", \ - "0.0855903000, 0.1001521000, 0.1335783000, 0.2067587000, 0.3690151000, 0.7184118000, 1.4665419000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a21boi_1") { - leakage_power () { - value : 0.0027114000; - when : "!A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0032627000; - when : "!A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0031220000; - when : "!A1&A2&B1_N"; - } - leakage_power () { - value : 0.0032627000; - when : "!A1&A2&!B1_N"; - } - leakage_power () { - value : 0.0029362000; - when : "A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0032627000; - when : "A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0050082000; - when : "A1&A2&B1_N"; - } - leakage_power () { - value : 0.0006645000; - when : "A1&A2&!B1_N"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__a21boi"; - cell_leakage_power : 0.0030288050; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022640000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041267000, 0.0041251000, 0.0041216000, 0.0041229000, 0.0041260000, 0.0041331000, 0.0041495000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004131300, -0.004127900, -0.004120000, -0.004119200, -0.004117300, -0.004113000, -0.004103000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024040000; - } - pin ("A2") { - capacitance : 0.0023130000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022100000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040280000, 0.0040268000, 0.0040240000, 0.0040249000, 0.0040269000, 0.0040315000, 0.0040421000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004025300, -0.004024000, -0.004020900, -0.004021800, -0.004023700, -0.004028200, -0.004038400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024150000; - } - pin ("B1_N") { - capacitance : 0.0016370000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015680000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0090481000, 0.0089414000, 0.0086954000, 0.0087645000, 0.0089240000, 0.0092914000, 0.0101384000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0016298000, 0.0015630000, 0.0014090000, 0.0014749000, 0.0016270000, 0.0019777000, 0.0027858000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017060000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&B1_N) | (!A2&B1_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0050423000, 0.0040060000, 0.0015848000, -0.003993800, -0.017024700, -0.047157200, -0.116884600", \ - "0.0048788000, 0.0038535000, 0.0014602000, -0.004129900, -0.017105200, -0.047216600, -0.116969700", \ - "0.0046529000, 0.0036387000, 0.0012650000, -0.004271000, -0.017210000, -0.047295200, -0.117048600", \ - "0.0044409000, 0.0034541000, 0.0011002000, -0.004421600, -0.017341800, -0.047398700, -0.117102400", \ - "0.0044676000, 0.0034228000, 0.0010343000, -0.004512500, -0.017466800, -0.047517700, -0.117152200", \ - "0.0049771000, 0.0038997000, 0.0013947000, -0.004303400, -0.017458500, -0.047416600, -0.117095000", \ - "0.0064644000, 0.0053381000, 0.0027271000, -0.003106700, -0.016344200, -0.046870300, -0.116925800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0080050000, 0.0091491000, 0.0116955000, 0.0174492000, 0.0305842000, 0.0604860000, 0.1290175000", \ - "0.0077563000, 0.0089124000, 0.0114702000, 0.0172194000, 0.0302726000, 0.0601802000, 0.1300896000", \ - "0.0075455000, 0.0086644000, 0.0112135000, 0.0169683000, 0.0300680000, 0.0600514000, 0.1290373000", \ - "0.0074136000, 0.0085018000, 0.0109937000, 0.0166978000, 0.0298989000, 0.0600949000, 0.1289103000", \ - "0.0072924000, 0.0083683000, 0.0108750000, 0.0164993000, 0.0296534000, 0.0595856000, 0.1290406000", \ - "0.0072222000, 0.0083475000, 0.0107975000, 0.0165469000, 0.0295681000, 0.0597751000, 0.1284183000", \ - "0.0075829000, 0.0085705000, 0.0109183000, 0.0164055000, 0.0297212000, 0.0595956000, 0.1289683000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0048520000, 0.0038000000, 0.0013818000, -0.004226600, -0.017214800, -0.047386000, -0.117087500", \ - "0.0047286000, 0.0036792000, 0.0012592000, -0.004323900, -0.017337800, -0.047460600, -0.117217400", \ - "0.0045543000, 0.0035248000, 0.0011276000, -0.004450500, -0.017412200, -0.047554100, -0.117271000", \ - "0.0043598000, 0.0033421000, 0.0009718000, -0.004561400, -0.017514000, -0.047604400, -0.117331500", \ - "0.0044409000, 0.0034096000, 0.0009375000, -0.004689000, -0.017582600, -0.047626500, -0.117320300", \ - "0.0046277000, 0.0035817000, 0.0011093000, -0.004561100, -0.017679300, -0.047756500, -0.117383500", \ - "0.0057156000, 0.0046139000, 0.0020514000, -0.003770700, -0.016996000, -0.047393700, -0.117283200"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0107565000, 0.0118236000, 0.0142872000, 0.0199050000, 0.0328765000, 0.0627459000, 0.1315772000", \ - "0.0106184000, 0.0116879000, 0.0141659000, 0.0198288000, 0.0328176000, 0.0627004000, 0.1315609000", \ - "0.0104752000, 0.0115573000, 0.0140427000, 0.0197174000, 0.0327389000, 0.0626589000, 0.1316017000", \ - "0.0103647000, 0.0114439000, 0.0138997000, 0.0195790000, 0.0326086000, 0.0625309000, 0.1314706000", \ - "0.0102688000, 0.0113600000, 0.0137931000, 0.0194625000, 0.0324513000, 0.0623850000, 0.1312863000", \ - "0.0102165000, 0.0113000000, 0.0137623000, 0.0194167000, 0.0324960000, 0.0624170000, 0.1311391000", \ - "0.0105574000, 0.0115611000, 0.0139230000, 0.0194127000, 0.0326522000, 0.0627176000, 0.1314768000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0021901000, 0.0013506000, -0.000728900, -0.005941700, -0.018708600, -0.048772500, -0.118567100", \ - "0.0021019000, 0.0012855000, -0.000803800, -0.006022300, -0.018786400, -0.048855700, -0.118618600", \ - "0.0021693000, 0.0013121000, -0.000780300, -0.005984000, -0.018717200, -0.048770700, -0.118529700", \ - "0.0019360000, 0.0010723000, -0.001034800, -0.006247000, -0.018972300, -0.049008700, -0.118754600", \ - "0.0016154000, 0.0007096000, -0.001398900, -0.006597100, -0.019253200, -0.049205500, -0.118878000", \ - "0.0019112000, 0.0008985000, -0.001478400, -0.006988400, -0.019374700, -0.049143900, -0.118730100", \ - "0.0024175000, 0.0013540000, -0.001237000, -0.006783900, -0.019625300, -0.049457700, -0.118732500"); - } - related_pin : "B1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0100263000, 0.0111324000, 0.0136440000, 0.0193477000, 0.0323375000, 0.0621052000, 0.1310365000", \ - "0.0099885000, 0.0110813000, 0.0135895000, 0.0192928000, 0.0322947000, 0.0621604000, 0.1310135000", \ - "0.0099664000, 0.0110591000, 0.0135681000, 0.0192934000, 0.0323424000, 0.0622165000, 0.1310117000", \ - "0.0096286000, 0.0107244000, 0.0132231000, 0.0189449000, 0.0320165000, 0.0619071000, 0.1308660000", \ - "0.0093554000, 0.0104511000, 0.0129346000, 0.0186494000, 0.0316977000, 0.0617391000, 0.1306356000", \ - "0.0093974000, 0.0105279000, 0.0128570000, 0.0184490000, 0.0314551000, 0.0614840000, 0.1304442000", \ - "0.0097488000, 0.0108826000, 0.0131717000, 0.0187666000, 0.0316493000, 0.0616695000, 0.1306257000"); - } - } - max_capacitance : 0.0759990000; - max_transition : 1.4968110000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0286314000, 0.0324302000, 0.0406985000, 0.0586481000, 0.0986375000, 0.1892330000, 0.3969397000", \ - "0.0326392000, 0.0363826000, 0.0446528000, 0.0627652000, 0.1027321000, 0.1934526000, 0.4010819000", \ - "0.0429112000, 0.0465626000, 0.0546404000, 0.0726402000, 0.1127336000, 0.2034632000, 0.4116073000", \ - "0.0600491000, 0.0650307000, 0.0761956000, 0.0967479000, 0.1367116000, 0.2269881000, 0.4349057000", \ - "0.0784634000, 0.0868162000, 0.1032616000, 0.1341583000, 0.1885015000, 0.2827479000, 0.4902260000", \ - "0.0920935000, 0.1042548000, 0.1293009000, 0.1759102000, 0.2597093000, 0.3935706000, 0.6175185000", \ - "0.0748052000, 0.0938442000, 0.1319301000, 0.2042021000, 0.3312326000, 0.5401983000, 0.8709030000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0703764000, 0.0797354000, 0.1008142000, 0.1483832000, 0.2564648000, 0.5035691000, 1.0708699000", \ - "0.0747834000, 0.0842060000, 0.1055881000, 0.1529693000, 0.2607137000, 0.5074068000, 1.0834390000", \ - "0.0870595000, 0.0964567000, 0.1173927000, 0.1655141000, 0.2743707000, 0.5212880000, 1.0900350000", \ - "0.1151027000, 0.1243498000, 0.1453011000, 0.1927891000, 0.3017981000, 0.5510545000, 1.1192141000", \ - "0.1650451000, 0.1773004000, 0.2030645000, 0.2542456000, 0.3627936000, 0.6102671000, 1.1846955000", \ - "0.2441360000, 0.2634792000, 0.3017223000, 0.3736605000, 0.5035733000, 0.7539866000, 1.3267900000", \ - "0.3675479000, 0.3984079000, 0.4600411000, 0.5706161000, 0.7602604000, 1.0753264000, 1.6563294000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0252221000, 0.0293731000, 0.0391854000, 0.0614410000, 0.1130621000, 0.2330409000, 0.5090198000", \ - "0.0246559000, 0.0290614000, 0.0390025000, 0.0613026000, 0.1128446000, 0.2332281000, 0.5097608000", \ - "0.0268890000, 0.0305204000, 0.0394982000, 0.0611200000, 0.1130278000, 0.2331233000, 0.5088063000", \ - "0.0388130000, 0.0433606000, 0.0522103000, 0.0688176000, 0.1148664000, 0.2322536000, 0.5085055000", \ - "0.0611538000, 0.0666168000, 0.0789123000, 0.1026836000, 0.1427223000, 0.2429025000, 0.5090703000", \ - "0.0991270000, 0.1084865000, 0.1268896000, 0.1607493000, 0.2198245000, 0.3215397000, 0.5397053000", \ - "0.1685562000, 0.1830335000, 0.2133166000, 0.2634162000, 0.3513549000, 0.4920926000, 0.7232635000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0471880000, 0.0590867000, 0.0870247000, 0.1509624000, 0.2986901000, 0.6355283000, 1.4101017000", \ - "0.0472957000, 0.0592328000, 0.0869464000, 0.1502435000, 0.2970047000, 0.6337273000, 1.4217010000", \ - "0.0473308000, 0.0592676000, 0.0870124000, 0.1503343000, 0.2964966000, 0.6328763000, 1.4177663000", \ - "0.0495296000, 0.0608757000, 0.0871891000, 0.1504989000, 0.2973358000, 0.6352483000, 1.4129744000", \ - "0.0677207000, 0.0788339000, 0.1029776000, 0.1582908000, 0.2977156000, 0.6343313000, 1.4162862000", \ - "0.1084207000, 0.1215386000, 0.1493190000, 0.2074032000, 0.3293028000, 0.6414553000, 1.4122620000", \ - "0.1925291000, 0.2094547000, 0.2454077000, 0.3163195000, 0.4546993000, 0.7317041000, 1.4321130000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0327580000, 0.0365138000, 0.0446815000, 0.0627467000, 0.1027020000, 0.1932503000, 0.4009660000", \ - "0.0370563000, 0.0408468000, 0.0490536000, 0.0670420000, 0.1070657000, 0.1977101000, 0.4054105000", \ - "0.0467410000, 0.0504578000, 0.0586398000, 0.0767111000, 0.1168638000, 0.2073833000, 0.4157629000", \ - "0.0640835000, 0.0687075000, 0.0786387000, 0.0984988000, 0.1390353000, 0.2299866000, 0.4378583000", \ - "0.0877770000, 0.0946660000, 0.1089133000, 0.1362576000, 0.1864249000, 0.2811501000, 0.4900447000", \ - "0.1104604000, 0.1212924000, 0.1437344000, 0.1859619000, 0.2601586000, 0.3849716000, 0.6094580000", \ - "0.1142102000, 0.1311980000, 0.1664401000, 0.2333302000, 0.3499028000, 0.5383019000, 0.8396543000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0879684000, 0.0973090000, 0.1186112000, 0.1669662000, 0.2776871000, 0.5323659000, 1.1200265000", \ - "0.0929933000, 0.1023616000, 0.1238323000, 0.1724301000, 0.2833117000, 0.5382576000, 1.1261535000", \ - "0.1055824000, 0.1148072000, 0.1363608000, 0.1851543000, 0.2962952000, 0.5514624000, 1.1392542000", \ - "0.1322536000, 0.1416165000, 0.1629274000, 0.2117047000, 0.3229735000, 0.5784316000, 1.1676855000", \ - "0.1830166000, 0.1945276000, 0.2187363000, 0.2693021000, 0.3806309000, 0.6361501000, 1.2254404000", \ - "0.2662526000, 0.2825062000, 0.3152714000, 0.3822673000, 0.5107436000, 0.7691310000, 1.3597139000", \ - "0.3955718000, 0.4206906000, 0.4726520000, 0.5709205000, 0.7483076000, 1.0662774000, 1.6660743000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0251415000, 0.0292992000, 0.0391243000, 0.0613605000, 0.1131532000, 0.2331079000, 0.5085240000", \ - "0.0249174000, 0.0292697000, 0.0389800000, 0.0613904000, 0.1131202000, 0.2330774000, 0.5103144000", \ - "0.0258113000, 0.0297803000, 0.0391493000, 0.0612104000, 0.1130871000, 0.2329969000, 0.5091929000", \ - "0.0341233000, 0.0382640000, 0.0467515000, 0.0655571000, 0.1139170000, 0.2323328000, 0.5094178000", \ - "0.0532552000, 0.0585877000, 0.0680362000, 0.0887029000, 0.1315916000, 0.2393282000, 0.5098066000", \ - "0.0885664000, 0.0954548000, 0.1099757000, 0.1376755000, 0.1893772000, 0.2895592000, 0.5279579000", \ - "0.1525619000, 0.1631473000, 0.1853656000, 0.2266645000, 0.2983198000, 0.4233194000, 0.6509507000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0635228000, 0.0760484000, 0.1048000000, 0.1707510000, 0.3221727000, 0.6713102000, 1.4781248000", \ - "0.0635569000, 0.0761005000, 0.1048923000, 0.1708066000, 0.3228402000, 0.6732854000, 1.4771641000", \ - "0.0635816000, 0.0760239000, 0.1047803000, 0.1710330000, 0.3222738000, 0.6709657000, 1.4765522000", \ - "0.0646490000, 0.0767055000, 0.1050241000, 0.1708111000, 0.3224643000, 0.6714679000, 1.4796431000", \ - "0.0801867000, 0.0919787000, 0.1172827000, 0.1770906000, 0.3233015000, 0.6729493000, 1.4820776000", \ - "0.1193032000, 0.1322247000, 0.1610518000, 0.2209169000, 0.3508806000, 0.6773674000, 1.4826207000", \ - "0.2013820000, 0.2179039000, 0.2539433000, 0.3247953000, 0.4667459000, 0.7626181000, 1.4968113000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0958336000, 0.1002087000, 0.1086276000, 0.1244578000, 0.1540351000, 0.2142631000, 0.3480492000", \ - "0.1002669000, 0.1048472000, 0.1131798000, 0.1289162000, 0.1584914000, 0.2185841000, 0.3524006000", \ - "0.1128745000, 0.1172121000, 0.1254983000, 0.1410273000, 0.1710642000, 0.2312291000, 0.3649300000", \ - "0.1436606000, 0.1480046000, 0.1564462000, 0.1724594000, 0.2019123000, 0.2621345000, 0.3959252000", \ - "0.2087771000, 0.2134616000, 0.2225862000, 0.2392792000, 0.2696709000, 0.3303955000, 0.4642367000", \ - "0.3113038000, 0.3172968000, 0.3285153000, 0.3482965000, 0.3826232000, 0.4456041000, 0.5791154000", \ - "0.4688911000, 0.4766018000, 0.4909666000, 0.5164303000, 0.5577638000, 0.6248897000, 0.7610104000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0973592000, 0.1070514000, 0.1287997000, 0.1778348000, 0.2888080000, 0.5437322000, 1.1310821000", \ - "0.1021448000, 0.1117298000, 0.1334838000, 0.1824670000, 0.2936252000, 0.5486688000, 1.1360402000", \ - "0.1131935000, 0.1226610000, 0.1444738000, 0.1935542000, 0.3049918000, 0.5604037000, 1.1493226000", \ - "0.1340321000, 0.1436116000, 0.1652343000, 0.2143304000, 0.3260950000, 0.5819432000, 1.1700525000", \ - "0.1638662000, 0.1736819000, 0.1953162000, 0.2445696000, 0.3561033000, 0.6117835000, 1.2002171000", \ - "0.2007568000, 0.2099045000, 0.2312050000, 0.2792338000, 0.3906745000, 0.6463801000, 1.2355974000", \ - "0.2336653000, 0.2433324000, 0.2642224000, 0.3117474000, 0.4223670000, 0.6781971000, 1.2664813000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0300790000, 0.0323149000, 0.0379153000, 0.0508355000, 0.0808023000, 0.1530163000, 0.3262580000", \ - "0.0300057000, 0.0322739000, 0.0378054000, 0.0508570000, 0.0809256000, 0.1528126000, 0.3264835000", \ - "0.0300486000, 0.0323119000, 0.0379151000, 0.0509013000, 0.0808110000, 0.1530055000, 0.3265462000", \ - "0.0301534000, 0.0324618000, 0.0380187000, 0.0509007000, 0.0808597000, 0.1529567000, 0.3266794000", \ - "0.0354267000, 0.0374303000, 0.0424432000, 0.0542620000, 0.0829222000, 0.1536646000, 0.3272019000", \ - "0.0496101000, 0.0513522000, 0.0557038000, 0.0664105000, 0.0929709000, 0.1606824000, 0.3295607000", \ - "0.0710240000, 0.0731049000, 0.0780799000, 0.0890316000, 0.1131121000, 0.1753839000, 0.3358520000"); - } - related_pin : "B1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0633113000, 0.0759247000, 0.1048491000, 0.1710819000, 0.3227970000, 0.6739629000, 1.4769169000", \ - "0.0632364000, 0.0759438000, 0.1048348000, 0.1707954000, 0.3224223000, 0.6719812000, 1.4766151000", \ - "0.0633093000, 0.0759189000, 0.1047306000, 0.1708755000, 0.3222902000, 0.6720474000, 1.4826764000", \ - "0.0633578000, 0.0758126000, 0.1047175000, 0.1707270000, 0.3228385000, 0.6736797000, 1.4815925000", \ - "0.0642473000, 0.0765346000, 0.1055141000, 0.1712109000, 0.3228055000, 0.6729032000, 1.4766846000", \ - "0.0672141000, 0.0788178000, 0.1067928000, 0.1719607000, 0.3232994000, 0.6725543000, 1.4816691000", \ - "0.0777082000, 0.0887088000, 0.1139714000, 0.1747231000, 0.3245355000, 0.6748661000, 1.4789212000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a21boi_2") { - leakage_power () { - value : 0.0033877000; - when : "!A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0035737000; - when : "!A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0043240000; - when : "!A1&A2&B1_N"; - } - leakage_power () { - value : 0.0035736000; - when : "!A1&A2&!B1_N"; - } - leakage_power () { - value : 0.0040067000; - when : "A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0035737000; - when : "A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0049842000; - when : "A1&A2&B1_N"; - } - leakage_power () { - value : 0.0007049000; - when : "A1&A2&!B1_N"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__a21boi"; - cell_leakage_power : 0.0035160600; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0044420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075831000, 0.0075785000, 0.0075678000, 0.0075710000, 0.0075784000, 0.0075953000, 0.0076344000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007571600, -0.007567400, -0.007557600, -0.007554800, -0.007548200, -0.007532900, -0.007497900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046050000; - } - pin ("A2") { - capacitance : 0.0047950000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082955000, 0.0082926000, 0.0082858000, 0.0082827000, 0.0082756000, 0.0082592000, 0.0082215000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008304800, -0.008297600, -0.008280900, -0.008281200, -0.008281800, -0.008283300, -0.008286700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050170000; - } - pin ("B1_N") { - capacitance : 0.0015470000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014830000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0119640000, 0.0118624000, 0.0116282000, 0.0116949000, 0.0118486000, 0.0122030000, 0.0130199000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038520000, 0.0037982000, 0.0036741000, 0.0037475000, 0.0039168000, 0.0043070000, 0.0052065000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016110000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&B1_N) | (!A2&B1_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0088744000, 0.0076572000, 0.0045942000, -0.003176700, -0.022858400, -0.072685100, -0.198761400", \ - "0.0085995000, 0.0073903000, 0.0043278000, -0.003406000, -0.023023400, -0.072879300, -0.198898800", \ - "0.0082392000, 0.0070326000, 0.0039874000, -0.003677300, -0.023284200, -0.073043000, -0.199107700", \ - "0.0077457000, 0.0066002000, 0.0036377000, -0.003974300, -0.023505100, -0.073192200, -0.199175300", \ - "0.0077702000, 0.0066402000, 0.0036240000, -0.004117000, -0.023634300, -0.073381300, -0.199360800", \ - "0.0090662000, 0.0078061000, 0.0043193000, -0.003639100, -0.023425600, -0.073265400, -0.199217200", \ - "0.0113386000, 0.0099571000, 0.0067718000, -0.001531300, -0.021715700, -0.072262500, -0.198965100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0138701000, 0.0152259000, 0.0185664000, 0.0266086000, 0.0463721000, 0.0960930000, 0.2212602000", \ - "0.0134349000, 0.0147855000, 0.0181120000, 0.0262742000, 0.0461779000, 0.0958178000, 0.2205624000", \ - "0.0131156000, 0.0144094000, 0.0176602000, 0.0257530000, 0.0458059000, 0.0963537000, 0.2204978000", \ - "0.0129123000, 0.0141700000, 0.0173704000, 0.0253643000, 0.0452692000, 0.0952203000, 0.2209403000", \ - "0.0127152000, 0.0139939000, 0.0171472000, 0.0249736000, 0.0448420000, 0.0950004000, 0.2198124000", \ - "0.0126808000, 0.0139055000, 0.0170500000, 0.0249846000, 0.0446737000, 0.0946505000, 0.2192944000", \ - "0.0130159000, 0.0141727000, 0.0171728000, 0.0247902000, 0.0447967000, 0.0940349000, 0.2202726000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0095771000, 0.0083566000, 0.0052681000, -0.002509300, -0.022165600, -0.072004500, -0.198060600", \ - "0.0092874000, 0.0080826000, 0.0050070000, -0.002730600, -0.022382500, -0.072222200, -0.198264300", \ - "0.0088969000, 0.0077026000, 0.0046758000, -0.003046000, -0.022628000, -0.072428900, -0.198472400", \ - "0.0084093000, 0.0072405000, 0.0042781000, -0.003318600, -0.022862900, -0.072602000, -0.198603500", \ - "0.0085281000, 0.0073493000, 0.0042521000, -0.003696000, -0.023088400, -0.072643400, -0.198610900", \ - "0.0088318000, 0.0076139000, 0.0044830000, -0.003317700, -0.023112700, -0.072886300, -0.198793500", \ - "0.0107900000, 0.0095376000, 0.0062477000, -0.001902400, -0.021993200, -0.072343600, -0.198654500"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0190900000, 0.0203316000, 0.0234914000, 0.0313479000, 0.0510570000, 0.1004738000, 0.2251018000", \ - "0.0187807000, 0.0200308000, 0.0232127000, 0.0311229000, 0.0509361000, 0.1003600000, 0.2249567000", \ - "0.0185069000, 0.0197370000, 0.0228966000, 0.0308636000, 0.0506725000, 0.1002713000, 0.2249128000", \ - "0.0182528000, 0.0195153000, 0.0226513000, 0.0305479000, 0.0503841000, 0.1000133000, 0.2247574000", \ - "0.0180503000, 0.0193527000, 0.0224722000, 0.0303026000, 0.0500381000, 0.0997670000, 0.2245815000", \ - "0.0180233000, 0.0192587000, 0.0224081000, 0.0303590000, 0.0500808000, 0.0997411000, 0.2242913000", \ - "0.0183493000, 0.0195806000, 0.0225898000, 0.0301880000, 0.0502617000, 0.1001033000, 0.2248905000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0022155000, 0.0012214000, -0.001266800, -0.008186100, -0.027028900, -0.076461700, -0.202475900", \ - "0.0021889000, 0.0011580000, -0.001402700, -0.008292200, -0.027049300, -0.076487400, -0.202501100", \ - "0.0022419000, 0.0012165000, -0.001331700, -0.008238800, -0.026968800, -0.076415300, -0.202418000", \ - "0.0020057000, 0.0009612000, -0.001645700, -0.008567200, -0.027307900, -0.076663800, -0.202651800", \ - "0.0017452000, 0.0006801000, -0.001984600, -0.009010300, -0.027766100, -0.077037700, -0.202925200", \ - "0.0021857000, 0.0009353000, -0.002154900, -0.009847300, -0.028279400, -0.077401800, -0.203178100", \ - "0.0043345000, 0.0030249000, -0.000158300, -0.007914200, -0.028227300, -0.077727400, -0.203302800"); - } - related_pin : "B1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0165644000, 0.0178531000, 0.0210044000, 0.0290119000, 0.0488816000, 0.0984471000, 0.2231286000", \ - "0.0165285000, 0.0177956000, 0.0210079000, 0.0290289000, 0.0488967000, 0.0984580000, 0.2230328000", \ - "0.0165461000, 0.0178404000, 0.0210252000, 0.0290424000, 0.0488807000, 0.0985285000, 0.2231360000", \ - "0.0162108000, 0.0174882000, 0.0206740000, 0.0286405000, 0.0485216000, 0.0982352000, 0.2228706000", \ - "0.0159768000, 0.0172294000, 0.0203839000, 0.0281966000, 0.0480548000, 0.0978088000, 0.2229995000", \ - "0.0160736000, 0.0173207000, 0.0203199000, 0.0279330000, 0.0477201000, 0.0975309000, 0.2223212000", \ - "0.0162854000, 0.0175278000, 0.0207182000, 0.0282639000, 0.0477271000, 0.0974830000, 0.2220772000"); - } - } - max_capacitance : 0.1290990000; - max_transition : 1.4912630000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0228254000, 0.0252785000, 0.0309547000, 0.0441506000, 0.0751558000, 0.1502518000, 0.3374191000", \ - "0.0270001000, 0.0293867000, 0.0350489000, 0.0482021000, 0.0791435000, 0.1542483000, 0.3412206000", \ - "0.0374502000, 0.0398704000, 0.0454523000, 0.0582334000, 0.0890830000, 0.1642259000, 0.3512159000", \ - "0.0521334000, 0.0555480000, 0.0636208000, 0.0806112000, 0.1130407000, 0.1871044000, 0.3741573000", \ - "0.0669988000, 0.0720586000, 0.0843348000, 0.1096446000, 0.1576998000, 0.2430585000, 0.4294691000", \ - "0.0722394000, 0.0802534000, 0.0989663000, 0.1374343000, 0.2113645000, 0.3387375000, 0.5566891000", \ - "0.0395615000, 0.0525330000, 0.0806021000, 0.1403980000, 0.2540852000, 0.4534031000, 0.7827829000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0720932000, 0.0790281000, 0.0960600000, 0.1372009000, 0.2369119000, 0.4886331000, 1.1205994000", \ - "0.0762519000, 0.0831606000, 0.1001862000, 0.1415426000, 0.2422081000, 0.4925484000, 1.1219787000", \ - "0.0887831000, 0.0954697000, 0.1122221000, 0.1534774000, 0.2544416000, 0.5088683000, 1.1355222000", \ - "0.1166802000, 0.1235715000, 0.1400923000, 0.1809188000, 0.2814400000, 0.5332904000, 1.1765433000", \ - "0.1654051000, 0.1741221000, 0.1945713000, 0.2405631000, 0.3416246000, 0.5967803000, 1.2246718000", \ - "0.2445147000, 0.2579717000, 0.2877361000, 0.3512161000, 0.4757159000, 0.7323697000, 1.3632591000", \ - "0.3692634000, 0.3908641000, 0.4402013000, 0.5391094000, 0.7170148000, 1.0396656000, 1.6901064000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0220289000, 0.0244617000, 0.0306098000, 0.0460706000, 0.0848481000, 0.1836035000, 0.4345596000", \ - "0.0212378000, 0.0237299000, 0.0300996000, 0.0457330000, 0.0847083000, 0.1838419000, 0.4340297000", \ - "0.0246856000, 0.0266993000, 0.0318552000, 0.0460367000, 0.0843425000, 0.1834194000, 0.4351730000", \ - "0.0353278000, 0.0381407000, 0.0443532000, 0.0576003000, 0.0891807000, 0.1833634000, 0.4347028000", \ - "0.0550549000, 0.0597151000, 0.0683292000, 0.0873678000, 0.1226709000, 0.2002166000, 0.4348258000", \ - "0.0901286000, 0.0969930000, 0.1101248000, 0.1382718000, 0.1895423000, 0.2838327000, 0.4767564000", \ - "0.1522499000, 0.1625680000, 0.1849640000, 0.2282986000, 0.3067330000, 0.4391684000, 0.6658970000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0422970000, 0.0511012000, 0.0727935000, 0.1275666000, 0.2644673000, 0.6092562000, 1.4795348000", \ - "0.0423496000, 0.0511778000, 0.0729937000, 0.1274451000, 0.2645460000, 0.6094680000, 1.4775948000", \ - "0.0424740000, 0.0513049000, 0.0731210000, 0.1275537000, 0.2646710000, 0.6126452000, 1.4750802000", \ - "0.0445560000, 0.0527441000, 0.0735603000, 0.1279839000, 0.2644521000, 0.6097521000, 1.4845424000", \ - "0.0599483000, 0.0686668000, 0.0888828000, 0.1369743000, 0.2665726000, 0.6123630000, 1.4761435000", \ - "0.0951377000, 0.1050602000, 0.1287891000, 0.1806541000, 0.3003332000, 0.6167036000, 1.4799302000", \ - "0.1741313000, 0.1864420000, 0.2151657000, 0.2772233000, 0.4089481000, 0.6994965000, 1.4912627000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0278636000, 0.0303030000, 0.0359731000, 0.0491837000, 0.0800819000, 0.1551730000, 0.3420846000", \ - "0.0320849000, 0.0344961000, 0.0401934000, 0.0533643000, 0.0843495000, 0.1594697000, 0.3467359000", \ - "0.0414383000, 0.0439658000, 0.0496245000, 0.0627243000, 0.0936922000, 0.1688540000, 0.3561384000", \ - "0.0566684000, 0.0599635000, 0.0672325000, 0.0828064000, 0.1152372000, 0.1906268000, 0.3778984000", \ - "0.0753642000, 0.0799864000, 0.0909617000, 0.1129746000, 0.1564944000, 0.2404481000, 0.4282068000", \ - "0.0887210000, 0.0960847000, 0.1127823000, 0.1471832000, 0.2137585000, 0.3307934000, 0.5429021000", \ - "0.0725968000, 0.0836427000, 0.1091570000, 0.1647833000, 0.2690300000, 0.4500874000, 0.7486714000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0895834000, 0.0957657000, 0.1108257000, 0.1484459000, 0.2413359000, 0.4743275000, 1.0605515000", \ - "0.0943293000, 0.1003972000, 0.1157046000, 0.1536055000, 0.2468096000, 0.4801609000, 1.0667546000", \ - "0.1070996000, 0.1133245000, 0.1285996000, 0.1662453000, 0.2598974000, 0.4931894000, 1.0798504000", \ - "0.1352613000, 0.1414498000, 0.1564842000, 0.1942773000, 0.2878269000, 0.5214502000, 1.1082588000", \ - "0.1888959000, 0.1965622000, 0.2143448000, 0.2547297000, 0.3482811000, 0.5819691000, 1.1694891000", \ - "0.2787785000, 0.2891304000, 0.3146395000, 0.3694917000, 0.4824136000, 0.7214707000, 1.3094810000", \ - "0.4202208000, 0.4381098000, 0.4776337000, 0.5614280000, 0.7227495000, 1.0287542000, 1.6332399000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0219240000, 0.0243189000, 0.0304914000, 0.0459021000, 0.0847254000, 0.1835422000, 0.4344407000", \ - "0.0215692000, 0.0241048000, 0.0303479000, 0.0457511000, 0.0846366000, 0.1834964000, 0.4346590000", \ - "0.0231697000, 0.0253148000, 0.0309817000, 0.0458795000, 0.0845829000, 0.1837151000, 0.4345465000", \ - "0.0317859000, 0.0339274000, 0.0395277000, 0.0526395000, 0.0871446000, 0.1836343000, 0.4351973000", \ - "0.0495421000, 0.0528205000, 0.0594067000, 0.0747715000, 0.1091139000, 0.1941004000, 0.4348922000", \ - "0.0826666000, 0.0869565000, 0.0970859000, 0.1189350000, 0.1623781000, 0.2519231000, 0.4609472000", \ - "0.1418355000, 0.1491763000, 0.1652356000, 0.1987715000, 0.2613228000, 0.3769390000, 0.5971661000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0550640000, 0.0632982000, 0.0837626000, 0.1350718000, 0.2626442000, 0.5846156000, 1.3900158000", \ - "0.0550585000, 0.0632964000, 0.0838050000, 0.1349685000, 0.2627496000, 0.5830841000, 1.3930699000", \ - "0.0551475000, 0.0633776000, 0.0838563000, 0.1350721000, 0.2625490000, 0.5827196000, 1.3903616000", \ - "0.0557635000, 0.0639712000, 0.0841862000, 0.1351138000, 0.2633089000, 0.5827987000, 1.3883168000", \ - "0.0702421000, 0.0783548000, 0.0967049000, 0.1428025000, 0.2639957000, 0.5832269000, 1.3913701000", \ - "0.1059297000, 0.1149807000, 0.1365804000, 0.1860138000, 0.2960777000, 0.5916967000, 1.3928760000", \ - "0.1837942000, 0.1959161000, 0.2238237000, 0.2837348000, 0.4086222000, 0.6799982000, 1.4096460000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.1220069000, 0.1254143000, 0.1334772000, 0.1489285000, 0.1776447000, 0.2338046000, 0.3587487000", \ - "0.1266728000, 0.1301713000, 0.1381857000, 0.1539354000, 0.1824878000, 0.2386495000, 0.3635165000", \ - "0.1389880000, 0.1427344000, 0.1507696000, 0.1662468000, 0.1949908000, 0.2511225000, 0.3759447000", \ - "0.1696245000, 0.1734004000, 0.1813296000, 0.1967385000, 0.2255536000, 0.2816291000, 0.4065690000", \ - "0.2409569000, 0.2447657000, 0.2526506000, 0.2683135000, 0.2972554000, 0.3537508000, 0.4784457000", \ - "0.3649632000, 0.3695546000, 0.3795628000, 0.3986487000, 0.4321188000, 0.4922392000, 0.6188425000", \ - "0.5560311000, 0.5621766000, 0.5746155000, 0.5991773000, 0.6409313000, 0.7107878000, 0.8420930000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.1041551000, 0.1104865000, 0.1256181000, 0.1638184000, 0.2576246000, 0.4909770000, 1.0779888000", \ - "0.1089524000, 0.1150700000, 0.1305366000, 0.1686409000, 0.2625750000, 0.4959112000, 1.0828779000", \ - "0.1202003000, 0.1265176000, 0.1418673000, 0.1797946000, 0.2736449000, 0.5072356000, 1.0943561000", \ - "0.1451175000, 0.1510098000, 0.1662835000, 0.2041721000, 0.2979831000, 0.5319374000, 1.1193461000", \ - "0.1846709000, 0.1907452000, 0.2061012000, 0.2436748000, 0.3371524000, 0.5710827000, 1.1618317000", \ - "0.2361973000, 0.2425309000, 0.2575587000, 0.2948346000, 0.3874526000, 0.6210446000, 1.2088694000", \ - "0.2903712000, 0.2972814000, 0.3134265000, 0.3507281000, 0.4422939000, 0.6748971000, 1.2625173000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0366551000, 0.0379279000, 0.0413092000, 0.0504938000, 0.0735889000, 0.1315145000, 0.2857684000", \ - "0.0366502000, 0.0378249000, 0.0411833000, 0.0504243000, 0.0734354000, 0.1318286000, 0.2858296000", \ - "0.0366292000, 0.0378255000, 0.0412928000, 0.0503907000, 0.0734672000, 0.1315874000, 0.2857931000", \ - "0.0366738000, 0.0379289000, 0.0413307000, 0.0504895000, 0.0733963000, 0.1313441000, 0.2856388000", \ - "0.0386067000, 0.0397338000, 0.0429054000, 0.0516183000, 0.0743206000, 0.1320161000, 0.2856491000", \ - "0.0541313000, 0.0551519000, 0.0581676000, 0.0658767000, 0.0859769000, 0.1395493000, 0.2888509000", \ - "0.0785580000, 0.0795988000, 0.0830926000, 0.0920046000, 0.1117776000, 0.1611589000, 0.2997251000"); - } - related_pin : "B1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0545994000, 0.0629886000, 0.0835648000, 0.1349140000, 0.2634703000, 0.5826326000, 1.3877066000", \ - "0.0547155000, 0.0628984000, 0.0834969000, 0.1349243000, 0.2628058000, 0.5837485000, 1.3905054000", \ - "0.0546105000, 0.0629810000, 0.0835550000, 0.1350016000, 0.2634608000, 0.5829494000, 1.3878234000", \ - "0.0547392000, 0.0629752000, 0.0835113000, 0.1349411000, 0.2629181000, 0.5828898000, 1.3932995000", \ - "0.0561599000, 0.0641514000, 0.0847301000, 0.1351541000, 0.2635781000, 0.5845247000, 1.3947828000", \ - "0.0607376000, 0.0684649000, 0.0874035000, 0.1367651000, 0.2640612000, 0.5829740000, 1.3896440000", \ - "0.0728815000, 0.0802046000, 0.0981511000, 0.1436041000, 0.2661921000, 0.5842795000, 1.3946286000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a21boi_4") { - leakage_power () { - value : 0.0127454000; - when : "!A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0041562000; - when : "!A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0146596000; - when : "!A1&A2&B1_N"; - } - leakage_power () { - value : 0.0041568000; - when : "!A1&A2&!B1_N"; - } - leakage_power () { - value : 0.0138528000; - when : "A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0041568000; - when : "A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0134462000; - when : "A1&A2&B1_N"; - } - leakage_power () { - value : 0.0008047000; - when : "A1&A2&!B1_N"; - } - area : 18.768000000; - cell_footprint : "sky130_fd_sc_hd__a21boi"; - cell_leakage_power : 0.0084973050; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0085800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082320000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0151147000, 0.0150977000, 0.0150585000, 0.0150657000, 0.0150822000, 0.0151202000, 0.0152080000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015057900, -0.015057100, -0.015055100, -0.015058900, -0.015067700, -0.015087800, -0.015134400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0089270000; - } - pin ("A2") { - capacitance : 0.0092350000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0087590000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0162072000, 0.0162163000, 0.0162375000, 0.0162370000, 0.0162359000, 0.0162333000, 0.0162274000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.016314700, -0.016287700, -0.016225500, -0.016226000, -0.016227100, -0.016229800, -0.016236000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0097110000; - } - pin ("B1_N") { - capacitance : 0.0024730000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023400000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0223864000, 0.0222207000, 0.0218388000, 0.0220458000, 0.0225232000, 0.0236236000, 0.0261601000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0076559000, 0.0075393000, 0.0072705000, 0.0074519000, 0.0078701000, 0.0088341000, 0.0110560000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026060000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&B1_N) | (!A2&B1_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0179400000, 0.0165514000, 0.0126924000, 0.0021153000, -0.026998700, -0.107292700, -0.328600700", \ - "0.0173839000, 0.0160152000, 0.0121979000, 0.0016559000, -0.027356500, -0.107687000, -0.329087900", \ - "0.0166422000, 0.0152691000, 0.0114868000, 0.0010903000, -0.027860100, -0.108094200, -0.329416300", \ - "0.0157621000, 0.0144430000, 0.0107372000, 0.0004370000, -0.028415600, -0.108500900, -0.329641800", \ - "0.0155883000, 0.0142394000, 0.0104373000, 0.0001570000, -0.028857800, -0.108736600, -0.329979100", \ - "0.0175168000, 0.0161445000, 0.0123130000, 0.0011070000, -0.028157700, -0.108440400, -0.329641800", \ - "0.0218922000, 0.0203808000, 0.0163483000, 0.0051489000, -0.025144600, -0.106767200, -0.329298500"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0273046000, 0.0289106000, 0.0331072000, 0.0443030000, 0.0736493000, 0.1535120000, 0.3729338000", \ - "0.0264476000, 0.0279858000, 0.0322617000, 0.0435424000, 0.0732495000, 0.1534320000, 0.3724521000", \ - "0.0257749000, 0.0272622000, 0.0313671000, 0.0425115000, 0.0725294000, 0.1530507000, 0.3722575000", \ - "0.0253493000, 0.0267916000, 0.0307914000, 0.0418194000, 0.0714141000, 0.1531168000, 0.3719285000", \ - "0.0249085000, 0.0263533000, 0.0303152000, 0.0410525000, 0.0706078000, 0.1516758000, 0.3733080000", \ - "0.0248423000, 0.0262696000, 0.0301605000, 0.0410028000, 0.0702580000, 0.1507261000, 0.3701028000", \ - "0.0252941000, 0.0266002000, 0.0302000000, 0.0405826000, 0.0703921000, 0.1507848000, 0.3709306000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0190111000, 0.0175916000, 0.0137469000, 0.0031532000, -0.025982900, -0.106255400, -0.327576000", \ - "0.0184312000, 0.0170459000, 0.0132000000, 0.0026725000, -0.026437900, -0.106709900, -0.328037400", \ - "0.0176615000, 0.0162706000, 0.0124773000, 0.0020149000, -0.026958900, -0.107230100, -0.328454100", \ - "0.0167216000, 0.0153775000, 0.0117108000, 0.0013952000, -0.027453700, -0.107564100, -0.328757700", \ - "0.0168695000, 0.0154749000, 0.0115563000, 0.0008289000, -0.027996100, -0.107678300, -0.328763200", \ - "0.0171886000, 0.0157720000, 0.0118579000, 0.0012250000, -0.027946000, -0.108427900, -0.329073600", \ - "0.0204439000, 0.0190637000, 0.0149577000, 0.0039080000, -0.025951000, -0.106969600, -0.328960900"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0392188000, 0.0406429000, 0.0445632000, 0.0552764000, 0.0846306000, 0.1643358000, 0.3830583000", \ - "0.0385065000, 0.0399870000, 0.0439164000, 0.0547324000, 0.0841576000, 0.1642415000, 0.3830464000", \ - "0.0377953000, 0.0392322000, 0.0432029000, 0.0540813000, 0.0836967000, 0.1636554000, 0.3827007000", \ - "0.0372947000, 0.0386963000, 0.0426544000, 0.0534635000, 0.0829231000, 0.1633219000, 0.3824333000", \ - "0.0368807000, 0.0383597000, 0.0422489000, 0.0529334000, 0.0823233000, 0.1626710000, 0.3818196000", \ - "0.0367419000, 0.0381453000, 0.0420459000, 0.0529545000, 0.0823381000, 0.1623125000, 0.3815902000", \ - "0.0369284000, 0.0382896000, 0.0420317000, 0.0524766000, 0.0825557000, 0.1630147000, 0.3822354000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0070916000, 0.0058901000, 0.0026881000, -0.006482700, -0.033946400, -0.113440100, -0.334701200", \ - "0.0069365000, 0.0058146000, 0.0027242000, -0.006456000, -0.033941500, -0.113475400, -0.334691700", \ - "0.0070842000, 0.0059010000, 0.0027158000, -0.006475600, -0.033891300, -0.113361000, -0.334583600", \ - "0.0064916000, 0.0052715000, 0.0021617000, -0.007121400, -0.034528700, -0.113888100, -0.335021200", \ - "0.0058405000, 0.0046114000, 0.0012343000, -0.008204900, -0.035554600, -0.114636900, -0.335620600", \ - "0.0064632000, 0.0050590000, 0.0012327000, -0.009056200, -0.036974500, -0.115571000, -0.336243800", \ - "0.0082022000, 0.0067200000, 0.0027048000, -0.008042500, -0.036873500, -0.116404300, -0.336706900"); - } - related_pin : "B1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0341045000, 0.0355705000, 0.0395931000, 0.0505152000, 0.0801172000, 0.1603017000, 0.3793491000", \ - "0.0341334000, 0.0356110000, 0.0396140000, 0.0505361000, 0.0801725000, 0.1602648000, 0.3790861000", \ - "0.0341295000, 0.0355994000, 0.0395971000, 0.0505257000, 0.0801092000, 0.1602200000, 0.3791903000", \ - "0.0334739000, 0.0349182000, 0.0389011000, 0.0498240000, 0.0793633000, 0.1595830000, 0.3786972000", \ - "0.0329132000, 0.0340619000, 0.0380061000, 0.0488583000, 0.0784789000, 0.1588001000, 0.3779502000", \ - "0.0328308000, 0.0342285000, 0.0376381000, 0.0483366000, 0.0777601000, 0.1580971000, 0.3773340000", \ - "0.0333336000, 0.0347374000, 0.0386818000, 0.0492310000, 0.0783362000, 0.1583211000, 0.3771580000"); - } - } - max_capacitance : 0.2151590000; - max_transition : 1.4962450000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0238817000, 0.0254790000, 0.0296035000, 0.0400575000, 0.0662833000, 0.1340798000, 0.3167884000", \ - "0.0279458000, 0.0294956000, 0.0335855000, 0.0440253000, 0.0702183000, 0.1380050000, 0.3207188000", \ - "0.0383102000, 0.0399649000, 0.0440668000, 0.0539390000, 0.0799476000, 0.1478165000, 0.3304111000", \ - "0.0532786000, 0.0552931000, 0.0611849000, 0.0747607000, 0.1032636000, 0.1708134000, 0.3534842000", \ - "0.0677599000, 0.0709785000, 0.0796532000, 0.0996983000, 0.1428810000, 0.2254693000, 0.4078537000", \ - "0.0701560000, 0.0751625000, 0.0881232000, 0.1198765000, 0.1859028000, 0.3098934000, 0.5327292000", \ - "0.0312895000, 0.0390333000, 0.0596928000, 0.1067486000, 0.2085984000, 0.4017771000, 0.7398683000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0811141000, 0.0861230000, 0.0990114000, 0.1329480000, 0.2219588000, 0.4615499000, 1.1244781000", \ - "0.0848176000, 0.0897153000, 0.1027063000, 0.1366865000, 0.2263829000, 0.4674799000, 1.1256287000", \ - "0.0969788000, 0.1017650000, 0.1143904000, 0.1481545000, 0.2382929000, 0.4802758000, 1.1396184000", \ - "0.1252928000, 0.1299292000, 0.1423429000, 0.1754213000, 0.2653191000, 0.5103279000, 1.1693062000", \ - "0.1752889000, 0.1810885000, 0.1962617000, 0.2341585000, 0.3240063000, 0.5691193000, 1.2326145000", \ - "0.2591884000, 0.2679308000, 0.2890225000, 0.3409481000, 0.4536259000, 0.7031590000, 1.3643427000", \ - "0.3952025000, 0.4108039000, 0.4441162000, 0.5251620000, 0.6867892000, 1.0061698000, 1.6841920000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0253690000, 0.0269479000, 0.0311615000, 0.0429115000, 0.0755245000, 0.1662289000, 0.4188494000", \ - "0.0243668000, 0.0259334000, 0.0303019000, 0.0425242000, 0.0753109000, 0.1663667000, 0.4190571000", \ - "0.0275211000, 0.0287484000, 0.0322940000, 0.0429973000, 0.0748660000, 0.1661558000, 0.4185659000", \ - "0.0377769000, 0.0398383000, 0.0444560000, 0.0547618000, 0.0815948000, 0.1665225000, 0.4188269000", \ - "0.0578871000, 0.0599499000, 0.0663381000, 0.0815559000, 0.1134756000, 0.1872887000, 0.4193249000", \ - "0.0930508000, 0.0970399000, 0.1073559000, 0.1299204000, 0.1758854000, 0.2686066000, 0.4652557000", \ - "0.1554881000, 0.1618080000, 0.1779811000, 0.2144157000, 0.2849083000, 0.4136971000, 0.6570327000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0488291000, 0.0548699000, 0.0711473000, 0.1160331000, 0.2376175000, 0.5697740000, 1.4800881000", \ - "0.0489013000, 0.0548287000, 0.0713509000, 0.1157709000, 0.2376411000, 0.5703334000, 1.4784131000", \ - "0.0490675000, 0.0548439000, 0.0712859000, 0.1159155000, 0.2374366000, 0.5697366000, 1.4777536000", \ - "0.0501481000, 0.0561138000, 0.0720648000, 0.1162203000, 0.2374971000, 0.5733127000, 1.4790417000", \ - "0.0651333000, 0.0710445000, 0.0863103000, 0.1258202000, 0.2405900000, 0.5706358000, 1.4900124000", \ - "0.0982237000, 0.1046037000, 0.1226096000, 0.1660518000, 0.2739540000, 0.5777669000, 1.4818126000", \ - "0.1754289000, 0.1836767000, 0.2056811000, 0.2566263000, 0.3752195000, 0.6633920000, 1.4962455000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0295888000, 0.0311504000, 0.0352770000, 0.0456890000, 0.0718773000, 0.1397753000, 0.3221623000", \ - "0.0337294000, 0.0353096000, 0.0394107000, 0.0498323000, 0.0760530000, 0.1439188000, 0.3264378000", \ - "0.0427192000, 0.0443317000, 0.0484122000, 0.0586838000, 0.0848531000, 0.1528284000, 0.3357343000", \ - "0.0573435000, 0.0593337000, 0.0645852000, 0.0768526000, 0.1050676000, 0.1731617000, 0.3561144000", \ - "0.0754570000, 0.0783919000, 0.0857048000, 0.1030350000, 0.1407480000, 0.2190998000, 0.4038699000", \ - "0.0862276000, 0.0908366000, 0.1024084000, 0.1295690000, 0.1870858000, 0.2967673000, 0.5100952000", \ - "0.0624235000, 0.0692935000, 0.0877484000, 0.1307685000, 0.2212856000, 0.3933705000, 0.6938073000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.1054145000, 0.1096106000, 0.1214591000, 0.1525865000, 0.2361999000, 0.4639159000, 1.0918493000", \ - "0.1097738000, 0.1140396000, 0.1255658000, 0.1572681000, 0.2413466000, 0.4695277000, 1.0935292000", \ - "0.1219303000, 0.1260915000, 0.1379634000, 0.1694633000, 0.2539843000, 0.4830152000, 1.1069742000", \ - "0.1493125000, 0.1538333000, 0.1654086000, 0.1968260000, 0.2815466000, 0.5104387000, 1.1350382000", \ - "0.2036337000, 0.2087274000, 0.2220837000, 0.2554855000, 0.3398557000, 0.5689532000, 1.1939170000", \ - "0.2979302000, 0.3047121000, 0.3221493000, 0.3661334000, 0.4683441000, 0.7034567000, 1.3292749000", \ - "0.4523747000, 0.4630311000, 0.4897764000, 0.5556535000, 0.6983525000, 0.9978796000, 1.6437388000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0251428000, 0.0266655000, 0.0309679000, 0.0427622000, 0.0753954000, 0.1661905000, 0.4182691000", \ - "0.0248176000, 0.0263905000, 0.0307123000, 0.0426286000, 0.0752355000, 0.1660935000, 0.4189382000", \ - "0.0261053000, 0.0274862000, 0.0314921000, 0.0428197000, 0.0749918000, 0.1660914000, 0.4182372000", \ - "0.0340799000, 0.0354981000, 0.0394395000, 0.0498761000, 0.0785367000, 0.1663884000, 0.4184356000", \ - "0.0515194000, 0.0533362000, 0.0580381000, 0.0703700000, 0.0995473000, 0.1782699000, 0.4193900000", \ - "0.0843545000, 0.0870453000, 0.0937844000, 0.1108383000, 0.1486705000, 0.2331617000, 0.4473037000", \ - "0.1448443000, 0.1489018000, 0.1598868000, 0.1855608000, 0.2415403000, 0.3500465000, 0.5764903000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0672715000, 0.0730043000, 0.0887345000, 0.1310951000, 0.2466677000, 0.5619774000, 1.4251855000", \ - "0.0673329000, 0.0730423000, 0.0887075000, 0.1313065000, 0.2467254000, 0.5608417000, 1.4265582000", \ - "0.0673420000, 0.0730662000, 0.0887504000, 0.1311598000, 0.2465849000, 0.5618168000, 1.4223146000", \ - "0.0677214000, 0.0733798000, 0.0889237000, 0.1312336000, 0.2465553000, 0.5609436000, 1.4227088000", \ - "0.0806480000, 0.0858447000, 0.0998303000, 0.1388296000, 0.2484700000, 0.5612510000, 1.4212885000", \ - "0.1132626000, 0.1194871000, 0.1356006000, 0.1773639000, 0.2806888000, 0.5698199000, 1.4257683000", \ - "0.1895308000, 0.1972419000, 0.2170841000, 0.2658895000, 0.3811835000, 0.6547575000, 1.4428120000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.1098076000, 0.1120931000, 0.1173242000, 0.1293472000, 0.1534723000, 0.2030025000, 0.3216991000", \ - "0.1145612000, 0.1168307000, 0.1221505000, 0.1340299000, 0.1581827000, 0.2078218000, 0.3265197000", \ - "0.1268913000, 0.1291829000, 0.1345055000, 0.1463870000, 0.1703785000, 0.2201632000, 0.3388767000", \ - "0.1565518000, 0.1587095000, 0.1640267000, 0.1758910000, 0.2000894000, 0.2497649000, 0.3686033000", \ - "0.2238727000, 0.2260697000, 0.2319684000, 0.2439746000, 0.2685291000, 0.3186725000, 0.4377200000", \ - "0.3327764000, 0.3356538000, 0.3429247000, 0.3581601000, 0.3873080000, 0.4417023000, 0.5631183000", \ - "0.4975669000, 0.5012222000, 0.5102366000, 0.5288139000, 0.5662333000, 0.6311296000, 0.7575770000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.1227587000, 0.1272081000, 0.1388125000, 0.1708478000, 0.2554687000, 0.4839167000, 1.1081261000", \ - "0.1272728000, 0.1316768000, 0.1433067000, 0.1752968000, 0.2600071000, 0.4885434000, 1.1121438000", \ - "0.1382430000, 0.1426486000, 0.1542662000, 0.1861169000, 0.2709461000, 0.4995752000, 1.1271158000", \ - "0.1626899000, 0.1668723000, 0.1785672000, 0.2101744000, 0.2951376000, 0.5240045000, 1.1481623000", \ - "0.2039219000, 0.2076751000, 0.2193135000, 0.2510372000, 0.3349771000, 0.5638474000, 1.1894175000", \ - "0.2563413000, 0.2606526000, 0.2714866000, 0.3028125000, 0.3871463000, 0.6158055000, 1.2402183000", \ - "0.3109474000, 0.3156089000, 0.3274500000, 0.3588873000, 0.4417121000, 0.6690754000, 1.2934693000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0358714000, 0.0365547000, 0.0386107000, 0.0451238000, 0.0639886000, 0.1163940000, 0.2678614000", \ - "0.0358513000, 0.0365019000, 0.0386409000, 0.0450492000, 0.0639435000, 0.1166546000, 0.2674008000", \ - "0.0358666000, 0.0365547000, 0.0386464000, 0.0451107000, 0.0639291000, 0.1165389000, 0.2675802000", \ - "0.0360173000, 0.0366383000, 0.0386762000, 0.0451534000, 0.0639818000, 0.1165105000, 0.2674500000", \ - "0.0398601000, 0.0404480000, 0.0422265000, 0.0479167000, 0.0655758000, 0.1172598000, 0.2678958000", \ - "0.0565756000, 0.0570135000, 0.0584352000, 0.0635369000, 0.0789186000, 0.1269077000, 0.2717415000", \ - "0.0816042000, 0.0820950000, 0.0837891000, 0.0896463000, 0.1053326000, 0.1477050000, 0.2820584000"); - } - related_pin : "B1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0669014000, 0.0727075000, 0.0884288000, 0.1311922000, 0.2465967000, 0.5611394000, 1.4245812000", \ - "0.0668951000, 0.0727029000, 0.0884316000, 0.1311898000, 0.2466344000, 0.5611989000, 1.4221558000", \ - "0.0668983000, 0.0727075000, 0.0884303000, 0.1311174000, 0.2465479000, 0.5614118000, 1.4235002000", \ - "0.0668908000, 0.0726760000, 0.0883118000, 0.1310841000, 0.2469304000, 0.5610415000, 1.4207002000", \ - "0.0680678000, 0.0739535000, 0.0891616000, 0.1314690000, 0.2466198000, 0.5614177000, 1.4232320000", \ - "0.0716922000, 0.0770771000, 0.0925021000, 0.1332862000, 0.2483803000, 0.5613787000, 1.4223859000", \ - "0.0841176000, 0.0891081000, 0.1031710000, 0.1404703000, 0.2501944000, 0.5626596000, 1.4244410000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a21o_1") { - leakage_power () { - value : 0.0033020000; - when : "!A1&!A2&B1"; - } - leakage_power () { - value : 0.0109817000; - when : "!A1&!A2&!B1"; - } - leakage_power () { - value : 0.0033020000; - when : "!A1&A2&B1"; - } - leakage_power () { - value : 0.0114142000; - when : "!A1&A2&!B1"; - } - leakage_power () { - value : 0.0033020000; - when : "A1&!A2&B1"; - } - leakage_power () { - value : 0.0112135000; - when : "A1&!A2&!B1"; - } - leakage_power () { - value : 0.0006234000; - when : "A1&A2&B1"; - } - leakage_power () { - value : 0.0030859000; - when : "A1&A2&!B1"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__a21o"; - cell_leakage_power : 0.0059030840; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023920000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023130000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045540000, 0.0045540000, 0.0045539000, 0.0045521000, 0.0045481000, 0.0045386000, 0.0045169000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003625300, -0.003627800, -0.003633800, -0.003627300, -0.003612500, -0.003578400, -0.003499600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024710000; - } - pin ("A2") { - capacitance : 0.0023340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040206000, 0.0040237000, 0.0040308000, 0.0040304000, 0.0040296000, 0.0040277000, 0.0040233000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004034700, -0.004034800, -0.004034900, -0.004035200, -0.004035900, -0.004037500, -0.004041200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024340000; - } - pin ("B1") { - capacitance : 0.0024200000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022460000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026137000, 0.0026063000, 0.0025893000, 0.0026038000, 0.0026374000, 0.0027148000, 0.0028932000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001725400, -0.001725100, -0.001724400, -0.001724200, -0.001723800, -0.001722900, -0.001720700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025940000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2) | (B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013306320, 0.0035411620, 0.0094239650, 0.0250796500, 0.0667435700, 0.1776222000"); - values("0.0103859000, 0.0094608000, 0.0067777000, -0.001719700, -0.026621600, -0.094005600, -0.273648300", \ - "0.0101756000, 0.0092349000, 0.0065938000, -0.001933100, -0.026835800, -0.094236500, -0.273805700", \ - "0.0099510000, 0.0090682000, 0.0063200000, -0.002214500, -0.027082500, -0.094475100, -0.274044500", \ - "0.0097338000, 0.0087859000, 0.0060891000, -0.002448400, -0.027311100, -0.094688300, -0.274267700", \ - "0.0095490000, 0.0085320000, 0.0058344000, -0.002680600, -0.027525600, -0.094858400, -0.274422400", \ - "0.0109378000, 0.0096111000, 0.0061458000, -0.003243600, -0.027667100, -0.094904200, -0.274504200", \ - "0.0118892000, 0.0104837000, 0.0071129000, -0.002391300, -0.027508400, -0.094552400, -0.274031200"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013306320, 0.0035411620, 0.0094239650, 0.0250796500, 0.0667435700, 0.1776222000"); - values("0.0129800000, 0.0144592000, 0.0182718000, 0.0280145000, 0.0533985000, 0.1202975000, 0.2993030000", \ - "0.0128424000, 0.0143115000, 0.0181391000, 0.0279011000, 0.0532733000, 0.1206119000, 0.2988908000", \ - "0.0126425000, 0.0141233000, 0.0179294000, 0.0277016000, 0.0530815000, 0.1205282000, 0.2990129000", \ - "0.0124064000, 0.0138520000, 0.0176266000, 0.0273610000, 0.0527130000, 0.1196196000, 0.2971840000", \ - "0.0125219000, 0.0138452000, 0.0174850000, 0.0270335000, 0.0523758000, 0.1193901000, 0.2970324000", \ - "0.0128766000, 0.0142279000, 0.0178138000, 0.0273158000, 0.0527867000, 0.1190530000, 0.2984278000", \ - "0.0145151000, 0.0158795000, 0.0193984000, 0.0288807000, 0.0540874000, 0.1212303000, 0.2990392000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013306320, 0.0035411620, 0.0094239650, 0.0250796500, 0.0667435700, 0.1776222000"); - values("0.0132623000, 0.0122940000, 0.0096458000, 0.0012168000, -0.023561900, -0.090830100, -0.270360400", \ - "0.0131528000, 0.0122293000, 0.0095127000, 0.0010977000, -0.023686600, -0.090946700, -0.270508300", \ - "0.0129686000, 0.0120631000, 0.0093651000, 0.0009377000, -0.023838200, -0.091116400, -0.270639200", \ - "0.0128702000, 0.0119111000, 0.0092030000, 0.0007657000, -0.023993200, -0.091283900, -0.270796900", \ - "0.0127265000, 0.0117374000, 0.0090173000, 0.0005687000, -0.024182500, -0.091412400, -0.270917400", \ - "0.0143375000, 0.0130318000, 0.0094585000, 5.060000e-05, -0.024298800, -0.091480800, -0.270947100", \ - "0.0154742000, 0.0140687000, 0.0104348000, 0.0009459000, -0.024200200, -0.091167200, -0.270530400"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013306320, 0.0035411620, 0.0094239650, 0.0250796500, 0.0667435700, 0.1776222000"); - values("0.0132646000, 0.0147467000, 0.0185711000, 0.0283613000, 0.0537408000, 0.1205683000, 0.2980731000", \ - "0.0131639000, 0.0146366000, 0.0184653000, 0.0282340000, 0.0536443000, 0.1204603000, 0.2995297000", \ - "0.0130006000, 0.0144821000, 0.0182877000, 0.0280428000, 0.0534431000, 0.1207884000, 0.2990669000", \ - "0.0128333000, 0.0142978000, 0.0180452000, 0.0277755000, 0.0532187000, 0.1201396000, 0.2977600000", \ - "0.0128235000, 0.0141593000, 0.0178552000, 0.0275223000, 0.0529136000, 0.1199435000, 0.2975963000", \ - "0.0130772000, 0.0144143000, 0.0180688000, 0.0276012000, 0.0529443000, 0.1194649000, 0.2988184000", \ - "0.0143227000, 0.0156513000, 0.0192467000, 0.0287580000, 0.0540231000, 0.1213220000, 0.2976497000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013306320, 0.0035411620, 0.0094239650, 0.0250796500, 0.0667435700, 0.1776222000"); - values("0.0122175000, 0.0112622000, 0.0086281000, 0.0001830000, -0.024570100, -0.091839500, -0.271366400", \ - "0.0120210000, 0.0110907000, 0.0084215000, -4.33000e-05, -0.024816700, -0.092059100, -0.271586200", \ - "0.0117787000, 0.0108091000, 0.0081652000, -0.000305200, -0.025058800, -0.092338800, -0.271856800", \ - "0.0116186000, 0.0106678000, 0.0079617000, -0.000497300, -0.025240800, -0.092493100, -0.271997000", \ - "0.0116198000, 0.0106362000, 0.0078928000, -0.000614400, -0.025355100, -0.092556900, -0.272025000", \ - "0.0136973000, 0.0123474000, 0.0087869000, -0.000521200, -0.024871700, -0.092089700, -0.271538300", \ - "0.0163046000, 0.0148936000, 0.0112299000, 0.0016272000, -0.023337600, -0.090346500, -0.269759200"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013306320, 0.0035411620, 0.0094239650, 0.0250796500, 0.0667435700, 0.1776222000"); - values("0.0086209000, 0.0100721000, 0.0137601000, 0.0232446000, 0.0485131000, 0.1151700000, 0.2929835000", \ - "0.0085063000, 0.0099413000, 0.0136334000, 0.0231406000, 0.0482892000, 0.1156662000, 0.2917032000", \ - "0.0082492000, 0.0096707000, 0.0133528000, 0.0229168000, 0.0480994000, 0.1154397000, 0.2943959000", \ - "0.0079457000, 0.0093467000, 0.0129806000, 0.0225559000, 0.0477508000, 0.1146761000, 0.2911292000", \ - "0.0080805000, 0.0094567000, 0.0129630000, 0.0224968000, 0.0477010000, 0.1150787000, 0.2922951000", \ - "0.0086805000, 0.0100098000, 0.0136641000, 0.0231572000, 0.0482607000, 0.1145053000, 0.2938411000", \ - "0.0109404000, 0.0122345000, 0.0157370000, 0.0252553000, 0.0504947000, 0.1173394000, 0.2941986000"); - } - } - max_capacitance : 0.1776220000; - max_transition : 1.5041070000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013306300, 0.0035411600, 0.0094239600, 0.0250797000, 0.0667436000, 0.1776220000"); - values("0.1207517000, 0.1270962000, 0.1403721000, 0.1672627000, 0.2234068000, 0.3566018000, 0.7069951000", \ - "0.1254665000, 0.1316861000, 0.1450832000, 0.1719145000, 0.2280868000, 0.3613927000, 0.7107013000", \ - "0.1377666000, 0.1440935000, 0.1573505000, 0.1841707000, 0.2403246000, 0.3736152000, 0.7237677000", \ - "0.1663351000, 0.1726014000, 0.1859274000, 0.2127409000, 0.2688817000, 0.4021094000, 0.7516895000", \ - "0.2253126000, 0.2318206000, 0.2455778000, 0.2729740000, 0.3295920000, 0.4630388000, 0.8132443000", \ - "0.3252157000, 0.3328969000, 0.3489725000, 0.3799497000, 0.4407315000, 0.5761166000, 0.9248942000", \ - "0.4847406000, 0.4944937000, 0.5145861000, 0.5527568000, 0.6223884000, 0.7632169000, 1.1135778000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013306300, 0.0035411600, 0.0094239600, 0.0250797000, 0.0667436000, 0.1776220000"); - values("0.0704410000, 0.0770437000, 0.0922062000, 0.1284827000, 0.2213105000, 0.4640789000, 1.1090238000", \ - "0.0744161000, 0.0810166000, 0.0961933000, 0.1324726000, 0.2253173000, 0.4690475000, 1.1160889000", \ - "0.0841865000, 0.0907527000, 0.1059753000, 0.1422493000, 0.2346888000, 0.4781091000, 1.1234175000", \ - "0.1064930000, 0.1131050000, 0.1281572000, 0.1642892000, 0.2566394000, 0.4998438000, 1.1444806000", \ - "0.1377045000, 0.1446105000, 0.1602261000, 0.1962840000, 0.2891741000, 0.5324550000, 1.1780305000", \ - "0.1707978000, 0.1788932000, 0.1958730000, 0.2328942000, 0.3254965000, 0.5693461000, 1.2177344000", \ - "0.1832930000, 0.1941622000, 0.2154107000, 0.2557097000, 0.3473485000, 0.5915377000, 1.2371163000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013306300, 0.0035411600, 0.0094239600, 0.0250797000, 0.0667436000, 0.1776220000"); - values("0.0207336000, 0.0253822000, 0.0357143000, 0.0601569000, 0.1210863000, 0.2896581000, 0.7523467000", \ - "0.0206343000, 0.0252022000, 0.0357525000, 0.0602236000, 0.1214766000, 0.2909483000, 0.7492739000", \ - "0.0207238000, 0.0253114000, 0.0360352000, 0.0604234000, 0.1210451000, 0.2894581000, 0.7492082000", \ - "0.0205332000, 0.0254145000, 0.0357070000, 0.0604744000, 0.1210135000, 0.2895902000, 0.7536655000", \ - "0.0224729000, 0.0271653000, 0.0376906000, 0.0615463000, 0.1221198000, 0.2893380000, 0.7496609000", \ - "0.0284378000, 0.0338464000, 0.0452324000, 0.0695587000, 0.1290867000, 0.2919727000, 0.7580527000", \ - "0.0397828000, 0.0462130000, 0.0603518000, 0.0867824000, 0.1452466000, 0.3000954000, 0.7509625000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013306300, 0.0035411600, 0.0094239600, 0.0250797000, 0.0667436000, 0.1776220000"); - values("0.0208100000, 0.0274111000, 0.0446743000, 0.0922680000, 0.2223922000, 0.5702023000, 1.4967244000", \ - "0.0208286000, 0.0274399000, 0.0446867000, 0.0923201000, 0.2222158000, 0.5696926000, 1.4947507000", \ - "0.0207297000, 0.0273887000, 0.0447103000, 0.0922012000, 0.2225471000, 0.5704976000, 1.4980222000", \ - "0.0213688000, 0.0278921000, 0.0450825000, 0.0923928000, 0.2222956000, 0.5688723000, 1.4946487000", \ - "0.0241512000, 0.0302294000, 0.0468739000, 0.0937618000, 0.2228357000, 0.5704781000, 1.4974612000", \ - "0.0306972000, 0.0364988000, 0.0514350000, 0.0954410000, 0.2236456000, 0.5703668000, 1.4949727000", \ - "0.0419928000, 0.0488144000, 0.0631112000, 0.1022974000, 0.2245686000, 0.5731163000, 1.4911166000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013306300, 0.0035411600, 0.0094239600, 0.0250797000, 0.0667436000, 0.1776220000"); - values("0.1405778000, 0.1471221000, 0.1610224000, 0.1884188000, 0.2455979000, 0.3797601000, 0.7301091000", \ - "0.1457073000, 0.1522930000, 0.1661173000, 0.1935260000, 0.2507582000, 0.3849439000, 0.7345892000", \ - "0.1584030000, 0.1649769000, 0.1785809000, 0.2062266000, 0.2634348000, 0.3975450000, 0.7475900000", \ - "0.1855613000, 0.1921034000, 0.2059217000, 0.2335375000, 0.2906910000, 0.4248555000, 0.7750235000", \ - "0.2422388000, 0.2489030000, 0.2629128000, 0.2905643000, 0.3479311000, 0.4821922000, 0.8321805000", \ - "0.3411315000, 0.3486773000, 0.3644538000, 0.3952776000, 0.4560329000, 0.5922547000, 0.9417089000", \ - "0.4993399000, 0.5089338000, 0.5285082000, 0.5651840000, 0.6330898000, 0.7738204000, 1.1249062000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013306300, 0.0035411600, 0.0094239600, 0.0250797000, 0.0667436000, 0.1776220000"); - values("0.0748536000, 0.0814343000, 0.0967105000, 0.1329365000, 0.2254104000, 0.4684181000, 1.1137995000", \ - "0.0792179000, 0.0858093000, 0.1009933000, 0.1372350000, 0.2296889000, 0.4727457000, 1.1176697000", \ - "0.0886673000, 0.0952682000, 0.1104399000, 0.1467552000, 0.2395903000, 0.4833237000, 1.1303865000", \ - "0.1093766000, 0.1159454000, 0.1311501000, 0.1672222000, 0.2597979000, 0.5030806000, 1.1485974000", \ - "0.1432803000, 0.1503439000, 0.1660598000, 0.2025268000, 0.2952724000, 0.5386711000, 1.1840210000", \ - "0.1848648000, 0.1931645000, 0.2106505000, 0.2480913000, 0.3407757000, 0.5840439000, 1.2313858000", \ - "0.2166481000, 0.2275741000, 0.2496704000, 0.2908122000, 0.3839101000, 0.6274745000, 1.2720464000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013306300, 0.0035411600, 0.0094239600, 0.0250797000, 0.0667436000, 0.1776220000"); - values("0.0225129000, 0.0271585000, 0.0373551000, 0.0622143000, 0.1232574000, 0.2906925000, 0.7553682000", \ - "0.0225370000, 0.0271401000, 0.0375558000, 0.0623497000, 0.1232087000, 0.2916632000, 0.7524855000", \ - "0.0223479000, 0.0271766000, 0.0377739000, 0.0621176000, 0.1230641000, 0.2903325000, 0.7571139000", \ - "0.0225880000, 0.0273269000, 0.0375281000, 0.0623000000, 0.1229430000, 0.2921660000, 0.7533820000", \ - "0.0232758000, 0.0280258000, 0.0383678000, 0.0632812000, 0.1232975000, 0.2917445000, 0.7519346000", \ - "0.0287272000, 0.0336532000, 0.0454019000, 0.0690952000, 0.1293925000, 0.2930482000, 0.7540360000", \ - "0.0393928000, 0.0453660000, 0.0583309000, 0.0835063000, 0.1422070000, 0.2999248000, 0.7506032000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013306300, 0.0035411600, 0.0094239600, 0.0250797000, 0.0667436000, 0.1776220000"); - values("0.0208516000, 0.0274195000, 0.0447673000, 0.0923466000, 0.2226182000, 0.5706040000, 1.4972257000", \ - "0.0208622000, 0.0274310000, 0.0447280000, 0.0921672000, 0.2223446000, 0.5698572000, 1.4962867000", \ - "0.0208206000, 0.0273473000, 0.0446797000, 0.0923821000, 0.2222083000, 0.5699486000, 1.4947659000", \ - "0.0211657000, 0.0277122000, 0.0449931000, 0.0922814000, 0.2225232000, 0.5708848000, 1.4983247000", \ - "0.0238111000, 0.0301455000, 0.0469178000, 0.0932780000, 0.2223012000, 0.5709679000, 1.4980547000", \ - "0.0297566000, 0.0359965000, 0.0516562000, 0.0953801000, 0.2233382000, 0.5699292000, 1.4971988000", \ - "0.0406217000, 0.0474154000, 0.0632025000, 0.1031195000, 0.2251407000, 0.5719495000, 1.4910529000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013306300, 0.0035411600, 0.0094239600, 0.0250797000, 0.0667436000, 0.1776220000"); - values("0.1214856000, 0.1280432000, 0.1419069000, 0.1695194000, 0.2264375000, 0.3606111000, 0.7109650000", \ - "0.1246210000, 0.1311969000, 0.1450670000, 0.1727524000, 0.2298718000, 0.3638843000, 0.7131665000", \ - "0.1344706000, 0.1410417000, 0.1548687000, 0.1823978000, 0.2395970000, 0.3737424000, 0.7239087000", \ - "0.1619536000, 0.1685159000, 0.1823137000, 0.2098467000, 0.2670801000, 0.4013009000, 0.7511006000", \ - "0.2262558000, 0.2329567000, 0.2469770000, 0.2748119000, 0.3321883000, 0.4665409000, 0.8166869000", \ - "0.3336888000, 0.3418877000, 0.3580835000, 0.3878891000, 0.4478108000, 0.5845374000, 0.9342143000", \ - "0.5047432000, 0.5152042000, 0.5357078000, 0.5722605000, 0.6362030000, 0.7742306000, 1.1262274000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013306300, 0.0035411600, 0.0094239600, 0.0250797000, 0.0667436000, 0.1776220000"); - values("0.0481001000, 0.0539573000, 0.0677945000, 0.1025531000, 0.1936450000, 0.4373999000, 1.0865135000", \ - "0.0527486000, 0.0585948000, 0.0724903000, 0.1072384000, 0.1980939000, 0.4404923000, 1.0936752000", \ - "0.0633547000, 0.0691446000, 0.0830191000, 0.1178298000, 0.2095132000, 0.4517288000, 1.0974690000", \ - "0.0814188000, 0.0875652000, 0.1018161000, 0.1369508000, 0.2291655000, 0.4704058000, 1.1156909000", \ - "0.1027100000, 0.1100047000, 0.1253805000, 0.1608826000, 0.2525796000, 0.4950151000, 1.1394471000", \ - "0.1192403000, 0.1289153000, 0.1478051000, 0.1852538000, 0.2768556000, 0.5203420000, 1.1681441000", \ - "0.1082897000, 0.1213376000, 0.1467178000, 0.1917435000, 0.2844602000, 0.5277005000, 1.1730744000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013306300, 0.0035411600, 0.0094239600, 0.0250797000, 0.0667436000, 0.1776220000"); - values("0.0222942000, 0.0269503000, 0.0373622000, 0.0620888000, 0.1232670000, 0.2907885000, 0.7553696000", \ - "0.0222754000, 0.0272469000, 0.0378022000, 0.0622893000, 0.1229365000, 0.2916191000, 0.7520427000", \ - "0.0226660000, 0.0269196000, 0.0376509000, 0.0622582000, 0.1230349000, 0.2904696000, 0.7573653000", \ - "0.0223538000, 0.0268329000, 0.0374300000, 0.0621947000, 0.1228068000, 0.2913015000, 0.7506337000", \ - "0.0240614000, 0.0286029000, 0.0389906000, 0.0632594000, 0.1233542000, 0.2922094000, 0.7524290000", \ - "0.0326999000, 0.0372539000, 0.0472479000, 0.0704639000, 0.1295316000, 0.2938900000, 0.7564114000", \ - "0.0457194000, 0.0517404000, 0.0628366000, 0.0846190000, 0.1392436000, 0.2984251000, 0.7516274000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013306300, 0.0035411600, 0.0094239600, 0.0250797000, 0.0667436000, 0.1776220000"); - values("0.0179465000, 0.0243056000, 0.0418710000, 0.0902238000, 0.2218376000, 0.5717004000, 1.5036992000", \ - "0.0179474000, 0.0243742000, 0.0419176000, 0.0902959000, 0.2215096000, 0.5717156000, 1.4983086000", \ - "0.0181434000, 0.0244688000, 0.0419633000, 0.0904214000, 0.2217969000, 0.5720353000, 1.5041065000", \ - "0.0205333000, 0.0264255000, 0.0430459000, 0.0906399000, 0.2214119000, 0.5715373000, 1.4934478000", \ - "0.0262328000, 0.0315206000, 0.0463599000, 0.0918452000, 0.2209870000, 0.5709444000, 1.4997720000", \ - "0.0365732000, 0.0419992000, 0.0553565000, 0.0957074000, 0.2224560000, 0.5687580000, 1.4959153000", \ - "0.0520031000, 0.0587121000, 0.0734793000, 0.1092364000, 0.2254418000, 0.5718275000, 1.4930691000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a21o_2") { - leakage_power () { - value : 0.0036643000; - when : "!A1&!A2&B1"; - } - leakage_power () { - value : 0.0081635000; - when : "!A1&!A2&!B1"; - } - leakage_power () { - value : 0.0036641000; - when : "!A1&A2&B1"; - } - leakage_power () { - value : 0.0086168000; - when : "!A1&A2&!B1"; - } - leakage_power () { - value : 0.0036643000; - when : "A1&!A2&B1"; - } - leakage_power () { - value : 0.0083986000; - when : "A1&!A2&!B1"; - } - leakage_power () { - value : 0.0011005000; - when : "A1&A2&B1"; - } - leakage_power () { - value : 0.0035415000; - when : "A1&A2&!B1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__a21o"; - cell_leakage_power : 0.0051017210; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023590000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022750000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045295000, 0.0045314000, 0.0045358000, 0.0045357000, 0.0045356000, 0.0045352000, 0.0045344000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003454600, -0.003457900, -0.003465600, -0.003458300, -0.003441400, -0.003402500, -0.003312700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024440000; - } - pin ("A2") { - capacitance : 0.0023150000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022070000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039752000, 0.0039712000, 0.0039618000, 0.0039624000, 0.0039639000, 0.0039673000, 0.0039752000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003962100, -0.003964500, -0.003970000, -0.003970800, -0.003972400, -0.003976300, -0.003985100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024230000; - } - pin ("B1") { - capacitance : 0.0023800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0021215000, 0.0021123000, 0.0020910000, 0.0021043000, 0.0021348000, 0.0022052000, 0.0023675000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001729500, -0.001727100, -0.001721500, -0.001720700, -0.001719000, -0.001714900, -0.001705400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025640000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2) | (B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0138297000, 0.0123528000, 0.0086713000, -0.002509500, -0.039559000, -0.151898400, -0.481335900", \ - "0.0135840000, 0.0121282000, 0.0085016000, -0.002644600, -0.039628400, -0.152033500, -0.481464900", \ - "0.0133875000, 0.0119578000, 0.0082582000, -0.002900500, -0.039916100, -0.152295100, -0.481734500", \ - "0.0131576000, 0.0116663000, 0.0080047000, -0.003209000, -0.040169400, -0.152528900, -0.481974900", \ - "0.0130298000, 0.0115583000, 0.0077787000, -0.003499500, -0.040493900, -0.152814800, -0.482224700", \ - "0.0142303000, 0.0126101000, 0.0080443000, -0.004164800, -0.040824800, -0.152996100, -0.482317800", \ - "0.0173918000, 0.0156189000, 0.0108741000, -0.002406000, -0.040726300, -0.152824600, -0.482049800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0175800000, 0.0192934000, 0.0241455000, 0.0377649000, 0.0766362000, 0.1883976000, 0.5145676000", \ - "0.0174493000, 0.0191502000, 0.0240206000, 0.0376698000, 0.0765561000, 0.1883861000, 0.5146285000", \ - "0.0172356000, 0.0189128000, 0.0237858000, 0.0375095000, 0.0763096000, 0.1891068000, 0.5134890000", \ - "0.0170708000, 0.0187426000, 0.0236092000, 0.0372263000, 0.0760422000, 0.1882379000, 0.5138046000", \ - "0.0171304000, 0.0187131000, 0.0234981000, 0.0367418000, 0.0754271000, 0.1876369000, 0.5140355000", \ - "0.0180098000, 0.0195207000, 0.0240330000, 0.0374002000, 0.0756119000, 0.1867649000, 0.5135694000", \ - "0.0194734000, 0.0209411000, 0.0253142000, 0.0383495000, 0.0769557000, 0.1890820000, 0.5129636000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0168256000, 0.0153388000, 0.0115864000, 0.0003811000, -0.036508800, -0.148661000, -0.477949000", \ - "0.0167998000, 0.0153306000, 0.0115669000, 0.0003692000, -0.036546500, -0.148735800, -0.478089200", \ - "0.0165649000, 0.0151053000, 0.0113207000, 0.0001869000, -0.036637400, -0.148870200, -0.478224300", \ - "0.0164635000, 0.0150029000, 0.0112338000, 3.420000e-05, -0.036867000, -0.149083900, -0.478379800", \ - "0.0163707000, 0.0148859000, 0.0110808000, -0.000204800, -0.037055900, -0.149252000, -0.478565600", \ - "0.0172769000, 0.0156658000, 0.0110846000, -0.000682200, -0.037302500, -0.149366600, -0.478619700", \ - "0.0216440000, 0.0198746000, 0.0150470000, 0.0015989000, -0.036895900, -0.149154200, -0.478303100"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0179906000, 0.0196977000, 0.0245014000, 0.0382161000, 0.0770700000, 0.1898426000, 0.5142041000", \ - "0.0178414000, 0.0195267000, 0.0244043000, 0.0381345000, 0.0769485000, 0.1887595000, 0.5148460000", \ - "0.0177321000, 0.0194099000, 0.0242792000, 0.0379576000, 0.0768635000, 0.1889105000, 0.5150404000", \ - "0.0175876000, 0.0192471000, 0.0240622000, 0.0377653000, 0.0765888000, 0.1884837000, 0.5159614000", \ - "0.0174396000, 0.0190812000, 0.0238708000, 0.0373872000, 0.0761888000, 0.1881195000, 0.5143648000", \ - "0.0180641000, 0.0196311000, 0.0242205000, 0.0374498000, 0.0760126000, 0.1876343000, 0.5160890000", \ - "0.0193084000, 0.0207981000, 0.0252658000, 0.0385049000, 0.0771181000, 0.1893926000, 0.5148711000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0165705000, 0.0149744000, 0.0112114000, 7.940000e-05, -0.036744000, -0.148976500, -0.478304400", \ - "0.0162846000, 0.0147719000, 0.0110487000, -0.000111500, -0.036970200, -0.149187000, -0.478543300", \ - "0.0160419000, 0.0145124000, 0.0107649000, -0.000420600, -0.037269400, -0.149455300, -0.478807400", \ - "0.0158244000, 0.0143359000, 0.0105966000, -0.000608100, -0.037463400, -0.149677200, -0.479013900", \ - "0.0157751000, 0.0142589000, 0.0104477000, -0.000857600, -0.037736600, -0.149816500, -0.479100400", \ - "0.0173357000, 0.0156641000, 0.0109888000, -0.000778100, -0.037528900, -0.149598900, -0.478788900", \ - "0.0232603000, 0.0214474000, 0.0164607000, 0.0030023000, -0.035154200, -0.147387100, -0.476622100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0134224000, 0.0151274000, 0.0199198000, 0.0332486000, 0.0715512000, 0.1831939000, 0.5090274000", \ - "0.0133710000, 0.0150686000, 0.0198615000, 0.0332133000, 0.0715299000, 0.1840874000, 0.5093867000", \ - "0.0132053000, 0.0148899000, 0.0196409000, 0.0329940000, 0.0714006000, 0.1840570000, 0.5098790000", \ - "0.0129065000, 0.0145460000, 0.0192354000, 0.0326199000, 0.0710918000, 0.1820934000, 0.5079839000", \ - "0.0131486000, 0.0147131000, 0.0191831000, 0.0324627000, 0.0707839000, 0.1825481000, 0.5094423000", \ - "0.0136986000, 0.0152227000, 0.0197488000, 0.0330686000, 0.0711085000, 0.1822832000, 0.5114150000", \ - "0.0160450000, 0.0174373000, 0.0218355000, 0.0349748000, 0.0734078000, 0.1849500000, 0.5084557000"); - } - } - max_capacitance : 0.3094580000; - max_transition : 1.5057260000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1544624000, 0.1602229000, 0.1731887000, 0.1995518000, 0.2524129000, 0.3733696000, 0.7023352000", \ - "0.1593807000, 0.1651344000, 0.1781568000, 0.2043085000, 0.2572609000, 0.3783185000, 0.7079297000", \ - "0.1716268000, 0.1773632000, 0.1903282000, 0.2167068000, 0.2695127000, 0.3905297000, 0.7196740000", \ - "0.1996523000, 0.2053640000, 0.2183046000, 0.2445561000, 0.2974739000, 0.4185278000, 0.7482495000", \ - "0.2613348000, 0.2670949000, 0.2798973000, 0.3061656000, 0.3592035000, 0.4801719000, 0.8098085000", \ - "0.3757423000, 0.3823252000, 0.3970155000, 0.4263759000, 0.4835216000, 0.6068181000, 0.9363474000", \ - "0.5649198000, 0.5730427000, 0.5910288000, 0.6268034000, 0.6928694000, 0.8250644000, 1.1565756000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0822214000, 0.0878291000, 0.1011136000, 0.1324621000, 0.2144200000, 0.4473038000, 1.1254617000", \ - "0.0862155000, 0.0918263000, 0.1051206000, 0.1364604000, 0.2183831000, 0.4513446000, 1.1295445000", \ - "0.0959011000, 0.1015136000, 0.1148181000, 0.1461622000, 0.2281201000, 0.4616963000, 1.1374713000", \ - "0.1195847000, 0.1251172000, 0.1383021000, 0.1694801000, 0.2511329000, 0.4846858000, 1.1651791000", \ - "0.1577860000, 0.1637966000, 0.1777524000, 0.2095279000, 0.2914495000, 0.5246423000, 1.2028659000", \ - "0.2009330000, 0.2084607000, 0.2247890000, 0.2583966000, 0.3400902000, 0.5736287000, 1.2547531000", \ - "0.2286442000, 0.2385479000, 0.2597619000, 0.2997864000, 0.3840505000, 0.6171906000, 1.2940131000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0236811000, 0.0277043000, 0.0364659000, 0.0563485000, 0.1067619000, 0.2492304000, 0.6865789000", \ - "0.0236274000, 0.0273685000, 0.0361673000, 0.0564517000, 0.1067180000, 0.2487742000, 0.6894043000", \ - "0.0238234000, 0.0277599000, 0.0364779000, 0.0563988000, 0.1065376000, 0.2491200000, 0.6866480000", \ - "0.0236892000, 0.0275944000, 0.0364681000, 0.0563184000, 0.1067451000, 0.2489763000, 0.6895645000", \ - "0.0243051000, 0.0280995000, 0.0366646000, 0.0567363000, 0.1070091000, 0.2490000000, 0.6910109000", \ - "0.0301680000, 0.0343852000, 0.0436028000, 0.0649294000, 0.1134773000, 0.2526502000, 0.6869768000", \ - "0.0427430000, 0.0474460000, 0.0583396000, 0.0810355000, 0.1315151000, 0.2648751000, 0.6902978000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0195527000, 0.0244121000, 0.0373087000, 0.0744551000, 0.1865707000, 0.5210989000, 1.5017658000", \ - "0.0195599000, 0.0244273000, 0.0372991000, 0.0742988000, 0.1867573000, 0.5221441000, 1.5013363000", \ - "0.0195977000, 0.0243834000, 0.0373390000, 0.0743974000, 0.1869557000, 0.5222392000, 1.5004334000", \ - "0.0198407000, 0.0245583000, 0.0374371000, 0.0744139000, 0.1868594000, 0.5219464000, 1.5013062000", \ - "0.0229981000, 0.0279016000, 0.0401076000, 0.0763234000, 0.1872161000, 0.5222139000, 1.5007484000", \ - "0.0308800000, 0.0355957000, 0.0473507000, 0.0806991000, 0.1887615000, 0.5220194000, 1.5005479000", \ - "0.0424974000, 0.0489905000, 0.0621579000, 0.0937697000, 0.1930567000, 0.5247267000, 1.4990452000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1754647000, 0.1815153000, 0.1950037000, 0.2221900000, 0.2763312000, 0.3983091000, 0.7285473000", \ - "0.1806138000, 0.1866812000, 0.2001881000, 0.2273445000, 0.2814787000, 0.4033890000, 0.7329094000", \ - "0.1932465000, 0.1993037000, 0.2128280000, 0.2396641000, 0.2938631000, 0.4160828000, 0.7462351000", \ - "0.2201979000, 0.2262565000, 0.2397740000, 0.2668297000, 0.3209424000, 0.4430773000, 0.7730511000", \ - "0.2780437000, 0.2841044000, 0.2976351000, 0.3247143000, 0.3788883000, 0.5011948000, 0.8314599000", \ - "0.3876081000, 0.3945259000, 0.4094091000, 0.4390636000, 0.4961025000, 0.6205424000, 0.9509681000", \ - "0.5693120000, 0.5775674000, 0.5956566000, 0.6307609000, 0.6955354000, 0.8273393000, 1.1603348000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0868364000, 0.0924523000, 0.1057366000, 0.1371263000, 0.2191022000, 0.4525853000, 1.1286295000", \ - "0.0911765000, 0.0967856000, 0.1101065000, 0.1414982000, 0.2234701000, 0.4565415000, 1.1342143000", \ - "0.1007208000, 0.1063122000, 0.1196188000, 0.1509835000, 0.2328160000, 0.4662048000, 1.1436497000", \ - "0.1221480000, 0.1277010000, 0.1409050000, 0.1721808000, 0.2540520000, 0.4880129000, 1.1642901000", \ - "0.1606674000, 0.1667308000, 0.1807163000, 0.2127188000, 0.2948411000, 0.5279495000, 1.2062288000", \ - "0.2117349000, 0.2190803000, 0.2350424000, 0.2689291000, 0.3512999000, 0.5843142000, 1.2649071000", \ - "0.2589436000, 0.2685016000, 0.2890472000, 0.3289846000, 0.4140288000, 0.6475376000, 1.3243802000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0258933000, 0.0296860000, 0.0383340000, 0.0586651000, 0.1089606000, 0.2509358000, 0.6903495000", \ - "0.0258448000, 0.0297176000, 0.0390461000, 0.0588980000, 0.1088084000, 0.2514509000, 0.6876827000", \ - "0.0258409000, 0.0297044000, 0.0384505000, 0.0589410000, 0.1091197000, 0.2509462000, 0.6903685000", \ - "0.0258020000, 0.0297117000, 0.0389013000, 0.0585195000, 0.1088668000, 0.2509039000, 0.6886230000", \ - "0.0260314000, 0.0299789000, 0.0387904000, 0.0588082000, 0.1087872000, 0.2510790000, 0.6915390000", \ - "0.0313274000, 0.0356376000, 0.0446286000, 0.0653849000, 0.1143775000, 0.2536094000, 0.6919771000", \ - "0.0430924000, 0.0473450000, 0.0580323000, 0.0799927000, 0.1302066000, 0.2645504000, 0.6907883000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0195913000, 0.0244081000, 0.0373435000, 0.0743946000, 0.1870681000, 0.5224323000, 1.5008721000", \ - "0.0195597000, 0.0243592000, 0.0372725000, 0.0743709000, 0.1870209000, 0.5209197000, 1.5019835000", \ - "0.0195704000, 0.0244706000, 0.0372813000, 0.0742798000, 0.1869283000, 0.5222619000, 1.5001727000", \ - "0.0196313000, 0.0245565000, 0.0373523000, 0.0743567000, 0.1866979000, 0.5227990000, 1.4982965000", \ - "0.0224099000, 0.0270729000, 0.0397507000, 0.0761142000, 0.1874077000, 0.5210212000, 1.5019234000", \ - "0.0286069000, 0.0335691000, 0.0457542000, 0.0806304000, 0.1888996000, 0.5205709000, 1.5026227000", \ - "0.0397134000, 0.0457201000, 0.0592028000, 0.0917587000, 0.1930681000, 0.5230024000, 1.4962389000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1564649000, 0.1625113000, 0.1760724000, 0.2032509000, 0.2573252000, 0.3794004000, 0.7094985000", \ - "0.1598119000, 0.1658771000, 0.1793932000, 0.2066793000, 0.2607024000, 0.3829765000, 0.7132521000", \ - "0.1691886000, 0.1751875000, 0.1886226000, 0.2158351000, 0.2699214000, 0.3920495000, 0.7217557000", \ - "0.1960308000, 0.2020863000, 0.2155360000, 0.2426676000, 0.2968254000, 0.4189996000, 0.7490605000", \ - "0.2617018000, 0.2677355000, 0.2811692000, 0.3081732000, 0.3621779000, 0.4843141000, 0.8144966000", \ - "0.3873420000, 0.3945048000, 0.4104795000, 0.4403986000, 0.4972694000, 0.6221265000, 0.9521623000", \ - "0.5850971000, 0.5948994000, 0.6148247000, 0.6525070000, 0.7169501000, 0.8461585000, 1.1792143000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0558410000, 0.0606723000, 0.0724040000, 0.1012692000, 0.1813736000, 0.4143315000, 1.0961093000", \ - "0.0606152000, 0.0654381000, 0.0771521000, 0.1060217000, 0.1860845000, 0.4176263000, 1.0934799000", \ - "0.0715914000, 0.0763845000, 0.0880405000, 0.1169376000, 0.1971483000, 0.4294494000, 1.1103507000", \ - "0.0934873000, 0.0985745000, 0.1104981000, 0.1396749000, 0.2199077000, 0.4537015000, 1.1434880000", \ - "0.1217783000, 0.1280185000, 0.1416141000, 0.1720981000, 0.2525594000, 0.4880940000, 1.1658172000", \ - "0.1488647000, 0.1571915000, 0.1748248000, 0.2090172000, 0.2901381000, 0.5227556000, 1.2003005000", \ - "0.1544315000, 0.1652930000, 0.1887297000, 0.2325463000, 0.3178596000, 0.5501363000, 1.2261624000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0258564000, 0.0297995000, 0.0384877000, 0.0585441000, 0.1088701000, 0.2509047000, 0.6903953000", \ - "0.0261112000, 0.0300026000, 0.0389623000, 0.0583099000, 0.1088053000, 0.2510164000, 0.6912470000", \ - "0.0258901000, 0.0298711000, 0.0389066000, 0.0582643000, 0.1086866000, 0.2512603000, 0.6877551000", \ - "0.0258681000, 0.0297865000, 0.0389783000, 0.0586669000, 0.1089987000, 0.2513645000, 0.6907995000", \ - "0.0260642000, 0.0299615000, 0.0390503000, 0.0587600000, 0.1091340000, 0.2514238000, 0.6907827000", \ - "0.0360795000, 0.0400301000, 0.0485027000, 0.0670598000, 0.1151378000, 0.2545412000, 0.6906004000", \ - "0.0512618000, 0.0568824000, 0.0675243000, 0.0875791000, 0.1327051000, 0.2635288000, 0.6918930000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0164360000, 0.0207641000, 0.0332396000, 0.0706902000, 0.1850525000, 0.5216266000, 1.5005025000", \ - "0.0164148000, 0.0207524000, 0.0332533000, 0.0707039000, 0.1852776000, 0.5234563000, 1.4976113000", \ - "0.0164641000, 0.0208059000, 0.0332908000, 0.0706621000, 0.1852674000, 0.5238021000, 1.5053958000", \ - "0.0186839000, 0.0226487000, 0.0344685000, 0.0711828000, 0.1853275000, 0.5202536000, 1.5044075000", \ - "0.0246536000, 0.0284861000, 0.0393385000, 0.0737580000, 0.1852756000, 0.5214499000, 1.5057265000", \ - "0.0349893000, 0.0392080000, 0.0498974000, 0.0804903000, 0.1869680000, 0.5191657000, 1.5043161000", \ - "0.0491816000, 0.0552095000, 0.0688503000, 0.0994934000, 0.1935202000, 0.5225637000, 1.4972963000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a21o_4") { - leakage_power () { - value : 0.0051242000; - when : "!A1&!A2&B1"; - } - leakage_power () { - value : 0.0078745000; - when : "!A1&!A2&!B1"; - } - leakage_power () { - value : 0.0051242000; - when : "!A1&A2&B1"; - } - leakage_power () { - value : 0.0088385000; - when : "!A1&A2&!B1"; - } - leakage_power () { - value : 0.0051242000; - when : "A1&!A2&B1"; - } - leakage_power () { - value : 0.0085104000; - when : "A1&!A2&!B1"; - } - leakage_power () { - value : 0.0022388000; - when : "A1&A2&B1"; - } - leakage_power () { - value : 0.0042370000; - when : "A1&A2&!B1"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__a21o"; - cell_leakage_power : 0.0058839750; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0044000000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042160000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087483000, 0.0087497000, 0.0087528000, 0.0087509000, 0.0087465000, 0.0087363000, 0.0087127000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006951100, -0.006958200, -0.006974600, -0.006961500, -0.006931400, -0.006862100, -0.006702300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045840000; - } - pin ("A2") { - capacitance : 0.0047940000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045580000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082731000, 0.0082607000, 0.0082321000, 0.0082300000, 0.0082250000, 0.0082134000, 0.0081868000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008241200, -0.008240200, -0.008237800, -0.008234200, -0.008225800, -0.008206400, -0.008161600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050290000; - } - pin ("B1") { - capacitance : 0.0044150000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041862000, 0.0041764000, 0.0041537000, 0.0041792000, 0.0042380000, 0.0043735000, 0.0046857000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003477800, -0.003489200, -0.003515600, -0.003515900, -0.003516400, -0.003517600, -0.003520500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047560000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2) | (B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000"); - values("0.0274778000, 0.0257842000, 0.0204869000, 0.0050696000, -0.051546200, -0.246660100, -0.882432800", \ - "0.0269723000, 0.0253111000, 0.0201012000, 0.0046633000, -0.051776900, -0.246946200, -0.882703100", \ - "0.0265437000, 0.0249533000, 0.0197571000, 0.0042438000, -0.052389000, -0.247404700, -0.883153000", \ - "0.0262383000, 0.0244738000, 0.0192471000, 0.0037275000, -0.052877300, -0.247824100, -0.883565200", \ - "0.0259339000, 0.0241452000, 0.0188981000, 0.0033086000, -0.053353700, -0.248451600, -0.883990600", \ - "0.0266870000, 0.0247809000, 0.0188823000, 0.0020311000, -0.053701900, -0.248686400, -0.884101900", \ - "0.0341319000, 0.0319583000, 0.0258450000, 0.0068038000, -0.053481300, -0.248732400, -0.883785000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000"); - values("0.0330636000, 0.0350609000, 0.0413218000, 0.0611292000, 0.1228294000, 0.3179810000, 0.9478509000", \ - "0.0327936000, 0.0347337000, 0.0410547000, 0.0609710000, 0.1226351000, 0.3178463000, 0.9473153000", \ - "0.0324691000, 0.0344188000, 0.0408446000, 0.0606755000, 0.1222320000, 0.3176016000, 0.9474776000", \ - "0.0322222000, 0.0341736000, 0.0404913000, 0.0601078000, 0.1216352000, 0.3174235000, 0.9503319000", \ - "0.0322422000, 0.0341090000, 0.0401928000, 0.0593635000, 0.1207750000, 0.3167311000, 0.9449057000", \ - "0.0337489000, 0.0355696000, 0.0414176000, 0.0604444000, 0.1206062000, 0.3157646000, 0.9467749000", \ - "0.0364213000, 0.0381387000, 0.0439368000, 0.0626222000, 0.1228693000, 0.3176702000, 0.9441429000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000"); - values("0.0334991000, 0.0317251000, 0.0263876000, 0.0109445000, -0.045676900, -0.240561000, -0.876117400", \ - "0.0333108000, 0.0315216000, 0.0262465000, 0.0107117000, -0.045843500, -0.240766000, -0.876348200", \ - "0.0330366000, 0.0313089000, 0.0259707000, 0.0104848000, -0.046152100, -0.241187500, -0.876547100", \ - "0.0327946000, 0.0310211000, 0.0256751000, 0.0101838000, -0.046375300, -0.241363600, -0.876892900", \ - "0.0325683000, 0.0308201000, 0.0254045000, 0.0097607000, -0.046868300, -0.241832300, -0.877248100", \ - "0.0319419000, 0.0300183000, 0.0243119000, 0.0088544000, -0.047405600, -0.242109500, -0.877314800", \ - "0.0406224000, 0.0385761000, 0.0322784000, 0.0132953000, -0.047088000, -0.242214400, -0.877104700"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000"); - values("0.0342820000, 0.0362558000, 0.0426403000, 0.0623915000, 0.1239853000, 0.3191934000, 0.9489039000", \ - "0.0339682000, 0.0359562000, 0.0422826000, 0.0621959000, 0.1238344000, 0.3188638000, 0.9486144000", \ - "0.0337055000, 0.0356542000, 0.0420451000, 0.0618595000, 0.1234445000, 0.3187221000, 0.9484806000", \ - "0.0334580000, 0.0354327000, 0.0416588000, 0.0613353000, 0.1228855000, 0.3186205000, 0.9514069000", \ - "0.0333724000, 0.0352121000, 0.0413668000, 0.0607926000, 0.1221494000, 0.3180307000, 0.9476641000", \ - "0.0340376000, 0.0358707000, 0.0418630000, 0.0610341000, 0.1214467000, 0.3162526000, 0.9463069000", \ - "0.0361274000, 0.0379113000, 0.0436950000, 0.0622072000, 0.1234371000, 0.3183160000, 0.9435171000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000"); - values("0.0324514000, 0.0306536000, 0.0253277000, 0.0098852000, -0.046682800, -0.241552500, -0.877123800", \ - "0.0322419000, 0.0304352000, 0.0251607000, 0.0095180000, -0.047087500, -0.242059700, -0.877376800", \ - "0.0317360000, 0.0299028000, 0.0246901000, 0.0091220000, -0.047454300, -0.242513700, -0.877935400", \ - "0.0311761000, 0.0294491000, 0.0241930000, 0.0085777000, -0.047963700, -0.242974100, -0.878399900", \ - "0.0311684000, 0.0293973000, 0.0240696000, 0.0083267000, -0.048393400, -0.243286600, -0.878504000", \ - "0.0334300000, 0.0314627000, 0.0253489000, 0.0084344000, -0.047942300, -0.242581300, -0.877700100", \ - "0.0436091000, 0.0414602000, 0.0349051000, 0.0155753000, -0.045148700, -0.240076500, -0.875019100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016153630, 0.0052187940, 0.0168604900, 0.0544716200, 0.1759829000, 0.5685523000"); - values("0.0245626000, 0.0266064000, 0.0330239000, 0.0526668000, 0.1135416000, 0.3086566000, 0.9389211000", \ - "0.0245103000, 0.0265413000, 0.0329450000, 0.0525805000, 0.1134985000, 0.3085676000, 0.9348980000", \ - "0.0242575000, 0.0262599000, 0.0325656000, 0.0521222000, 0.1131899000, 0.3084561000, 0.9328988000", \ - "0.0237946000, 0.0257163000, 0.0318873000, 0.0513004000, 0.1124599000, 0.3077800000, 0.9372535000", \ - "0.0241055000, 0.0259411000, 0.0318148000, 0.0508071000, 0.1116123000, 0.3072772000, 0.9369822000", \ - "0.0249849000, 0.0267604000, 0.0326091000, 0.0517580000, 0.1117228000, 0.3062566000, 0.9364688000", \ - "0.0292107000, 0.0308523000, 0.0364108000, 0.0550087000, 0.1158274000, 0.3101484000, 0.9353101000"); - } - } - max_capacitance : 0.5685520000; - max_transition : 1.5080650000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000"); - values("0.1667089000, 0.1705674000, 0.1807010000, 0.2037151000, 0.2523315000, 0.3670029000, 0.6962084000", \ - "0.1715227000, 0.1753772000, 0.1855029000, 0.2083039000, 0.2572662000, 0.3717957000, 0.7009525000", \ - "0.1839464000, 0.1877690000, 0.1978677000, 0.2208456000, 0.2696686000, 0.3841860000, 0.7133577000", \ - "0.2123439000, 0.2161759000, 0.2261812000, 0.2490373000, 0.2980736000, 0.4125423000, 0.7417662000", \ - "0.2738375000, 0.2776756000, 0.2877082000, 0.3104602000, 0.3593439000, 0.4740748000, 0.8032273000", \ - "0.3905263000, 0.3950144000, 0.4062700000, 0.4312796000, 0.4839855000, 0.6010177000, 0.9311838000", \ - "0.5907647000, 0.5958105000, 0.6096319000, 0.6398450000, 0.7004462000, 0.8274088000, 1.1611672000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000"); - values("0.0792055000, 0.0828863000, 0.0928173000, 0.1185888000, 0.1902659000, 0.4136975000, 1.1290321000", \ - "0.0831300000, 0.0868059000, 0.0967804000, 0.1226076000, 0.1943042000, 0.4179284000, 1.1329810000", \ - "0.0931850000, 0.0968258000, 0.1068566000, 0.1325580000, 0.2041857000, 0.4275185000, 1.1429809000", \ - "0.1164868000, 0.1201262000, 0.1299970000, 0.1555562000, 0.2271631000, 0.4502684000, 1.1668938000", \ - "0.1524564000, 0.1563834000, 0.1667384000, 0.1929570000, 0.2645744000, 0.4890033000, 1.2025244000", \ - "0.1916982000, 0.1965845000, 0.2086638000, 0.2363082000, 0.3085659000, 0.5321583000, 1.2485090000", \ - "0.2121046000, 0.2184788000, 0.2345657000, 0.2688739000, 0.3430226000, 0.5654948000, 1.2805589000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000"); - values("0.0255522000, 0.0280821000, 0.0346573000, 0.0508314000, 0.0938622000, 0.2221205000, 0.6573893000", \ - "0.0255547000, 0.0280737000, 0.0345198000, 0.0513946000, 0.0940330000, 0.2224775000, 0.6565484000", \ - "0.0255340000, 0.0277512000, 0.0343106000, 0.0507223000, 0.0938892000, 0.2222570000, 0.6567179000", \ - "0.0253860000, 0.0278725000, 0.0348922000, 0.0507936000, 0.0937318000, 0.2220253000, 0.6573875000", \ - "0.0255751000, 0.0280530000, 0.0349782000, 0.0509857000, 0.0942029000, 0.2216931000, 0.6562850000", \ - "0.0312254000, 0.0337963000, 0.0408620000, 0.0580032000, 0.0996626000, 0.2264185000, 0.6588828000", \ - "0.0435838000, 0.0464076000, 0.0542099000, 0.0726916000, 0.1166850000, 0.2390488000, 0.6589572000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000"); - values("0.0193731000, 0.0224377000, 0.0318313000, 0.0606492000, 0.1566595000, 0.4750573000, 1.5031871000", \ - "0.0193656000, 0.0224547000, 0.0317908000, 0.0606000000, 0.1566688000, 0.4750269000, 1.5031799000", \ - "0.0193240000, 0.0224966000, 0.0317529000, 0.0604945000, 0.1564875000, 0.4744984000, 1.5031826000", \ - "0.0196103000, 0.0227217000, 0.0319863000, 0.0606526000, 0.1564419000, 0.4746661000, 1.5037449000", \ - "0.0224793000, 0.0254885000, 0.0344484000, 0.0627508000, 0.1573912000, 0.4740602000, 1.5010383000", \ - "0.0297750000, 0.0328684000, 0.0412979000, 0.0673344000, 0.1592594000, 0.4738858000, 1.5030900000", \ - "0.0418062000, 0.0456527000, 0.0554911000, 0.0796857000, 0.1638428000, 0.4754935000, 1.5002588000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000"); - values("0.1789690000, 0.1828819000, 0.1930213000, 0.2158037000, 0.2637320000, 0.3772057000, 0.7064686000", \ - "0.1842585000, 0.1881712000, 0.1983185000, 0.2211609000, 0.2690282000, 0.3825235000, 0.7116340000", \ - "0.1973684000, 0.2012791000, 0.2114137000, 0.2342041000, 0.2823592000, 0.3956743000, 0.7249379000", \ - "0.2265678000, 0.2304777000, 0.2406150000, 0.2634019000, 0.3113485000, 0.4248725000, 0.7540166000", \ - "0.2891637000, 0.2930801000, 0.3032159000, 0.3258961000, 0.3740083000, 0.4877554000, 0.8165842000", \ - "0.4112793000, 0.4156516000, 0.4269158000, 0.4516750000, 0.5029182000, 0.6187523000, 0.9477154000", \ - "0.6181000000, 0.6234683000, 0.6371988000, 0.6670761000, 0.7262041000, 0.8504076000, 1.1830061000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000"); - values("0.0840004000, 0.0877201000, 0.0976883000, 0.1233646000, 0.1950546000, 0.4180092000, 1.1335686000", \ - "0.0881932000, 0.0918380000, 0.1018162000, 0.1276303000, 0.1992686000, 0.4226567000, 1.1379225000", \ - "0.0973611000, 0.1010033000, 0.1110333000, 0.1367450000, 0.2084193000, 0.4315551000, 1.1469846000", \ - "0.1180590000, 0.1216991000, 0.1315874000, 0.1572195000, 0.2289073000, 0.4519153000, 1.1684128000", \ - "0.1534077000, 0.1573463000, 0.1678135000, 0.1942218000, 0.2660085000, 0.4892663000, 1.2051552000", \ - "0.1977714000, 0.2024717000, 0.2145538000, 0.2425122000, 0.3152376000, 0.5383196000, 1.2537931000", \ - "0.2300110000, 0.2361857000, 0.2518082000, 0.2856657000, 0.3615406000, 0.5853615000, 1.2995061000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000"); - values("0.0260170000, 0.0284434000, 0.0349520000, 0.0505255000, 0.0930404000, 0.2203122000, 0.6569143000", \ - "0.0259900000, 0.0283878000, 0.0347688000, 0.0506457000, 0.0930903000, 0.2206009000, 0.6577096000", \ - "0.0261256000, 0.0285093000, 0.0349974000, 0.0504950000, 0.0928906000, 0.2208668000, 0.6569135000", \ - "0.0260119000, 0.0284303000, 0.0349098000, 0.0505887000, 0.0930124000, 0.2206867000, 0.6579301000", \ - "0.0260024000, 0.0287239000, 0.0347177000, 0.0504723000, 0.0927500000, 0.2207703000, 0.6566809000", \ - "0.0313011000, 0.0339602000, 0.0406455000, 0.0563300000, 0.0973993000, 0.2236620000, 0.6581443000", \ - "0.0433128000, 0.0462719000, 0.0538721000, 0.0713325000, 0.1133764000, 0.2357806000, 0.6582873000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000"); - values("0.0193831000, 0.0225205000, 0.0317290000, 0.0605641000, 0.1563800000, 0.4739302000, 1.5029724000", \ - "0.0193929000, 0.0224443000, 0.0317943000, 0.0606332000, 0.1566589000, 0.4750706000, 1.5033857000", \ - "0.0193446000, 0.0225175000, 0.0317715000, 0.0605527000, 0.1563951000, 0.4745414000, 1.5031703000", \ - "0.0194832000, 0.0226502000, 0.0319620000, 0.0607179000, 0.1565337000, 0.4746654000, 1.5036117000", \ - "0.0220012000, 0.0250666000, 0.0341806000, 0.0624144000, 0.1572862000, 0.4745911000, 1.5031678000", \ - "0.0278237000, 0.0309823000, 0.0403250000, 0.0669312000, 0.1586233000, 0.4740527000, 1.5025758000", \ - "0.0389087000, 0.0426030000, 0.0527071000, 0.0782833000, 0.1636048000, 0.4754738000, 1.4996989000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000"); - values("0.1536402000, 0.1576062000, 0.1677444000, 0.1905571000, 0.2384588000, 0.3519686000, 0.6810947000", \ - "0.1570656000, 0.1610082000, 0.1711703000, 0.1939816000, 0.2423291000, 0.3553754000, 0.6847278000", \ - "0.1671333000, 0.1710480000, 0.1811008000, 0.2039052000, 0.2520921000, 0.3656219000, 0.6950172000", \ - "0.1942200000, 0.1981073000, 0.2082662000, 0.2309622000, 0.2791505000, 0.3927325000, 0.7218831000", \ - "0.2611191000, 0.2650255000, 0.2751031000, 0.2976796000, 0.3456586000, 0.4591856000, 0.7883175000", \ - "0.3910144000, 0.3957536000, 0.4078788000, 0.4334276000, 0.4843862000, 0.6004732000, 0.9302570000", \ - "0.5977439000, 0.6036122000, 0.6188354000, 0.6520439000, 0.7124349000, 0.8325155000, 1.1648997000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000"); - values("0.0544353000, 0.0576169000, 0.0665371000, 0.0903139000, 0.1601130000, 0.3810326000, 1.1000937000", \ - "0.0590325000, 0.0622123000, 0.0711173000, 0.0948771000, 0.1647808000, 0.3858829000, 1.1152925000", \ - "0.0699760000, 0.0731225000, 0.0819383000, 0.1056232000, 0.1756681000, 0.3968275000, 1.1117878000", \ - "0.0908976000, 0.0941777000, 0.1031807000, 0.1270713000, 0.1968986000, 0.4206701000, 1.1331958000", \ - "0.1173758000, 0.1213029000, 0.1315670000, 0.1567298000, 0.2271165000, 0.4500086000, 1.1640242000", \ - "0.1406823000, 0.1459290000, 0.1590848000, 0.1880410000, 0.2594577000, 0.4826555000, 1.1977949000", \ - "0.1371970000, 0.1441519000, 0.1619289000, 0.1994857000, 0.2764253000, 0.4989587000, 1.2125223000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000"); - values("0.0259005000, 0.0283259000, 0.0348526000, 0.0506371000, 0.0931228000, 0.2205883000, 0.6578232000", \ - "0.0259197000, 0.0283137000, 0.0346959000, 0.0506541000, 0.0927827000, 0.2210241000, 0.6571803000", \ - "0.0262439000, 0.0283099000, 0.0348177000, 0.0509282000, 0.0928776000, 0.2209568000, 0.6576477000", \ - "0.0261770000, 0.0286011000, 0.0346331000, 0.0505801000, 0.0929571000, 0.2204922000, 0.6564415000", \ - "0.0260781000, 0.0284484000, 0.0347977000, 0.0507030000, 0.0931648000, 0.2206822000, 0.6560777000", \ - "0.0359847000, 0.0385134000, 0.0455518000, 0.0593631000, 0.0994902000, 0.2240934000, 0.6585995000", \ - "0.0523576000, 0.0555971000, 0.0646863000, 0.0804370000, 0.1170669000, 0.2346419000, 0.6597344000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016153600, 0.0052187900, 0.0168605000, 0.0544716000, 0.1759830000, 0.5685520000"); - values("0.0161763000, 0.0189805000, 0.0278221000, 0.0566970000, 0.1541050000, 0.4737553000, 1.5080651000", \ - "0.0161765000, 0.0189896000, 0.0278410000, 0.0567404000, 0.1538318000, 0.4735923000, 1.5025577000", \ - "0.0162284000, 0.0190294000, 0.0278980000, 0.0567586000, 0.1537915000, 0.4735705000, 1.4981256000", \ - "0.0182533000, 0.0208620000, 0.0292042000, 0.0573284000, 0.1541527000, 0.4738149000, 1.5004109000", \ - "0.0237159000, 0.0261375000, 0.0339486000, 0.0601992000, 0.1547536000, 0.4721910000, 1.5048959000", \ - "0.0335015000, 0.0362073000, 0.0440379000, 0.0678078000, 0.1569791000, 0.4714880000, 1.5001856000", \ - "0.0472626000, 0.0512252000, 0.0616771000, 0.0853861000, 0.1646331000, 0.4738265000, 1.4983581000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a21oi_1") { - leakage_power () { - value : 0.0028691000; - when : "!A1&!A2&B1"; - } - leakage_power () { - value : 0.0003427000; - when : "!A1&!A2&!B1"; - } - leakage_power () { - value : 0.0028691000; - when : "!A1&A2&B1"; - } - leakage_power () { - value : 0.0007136000; - when : "!A1&A2&!B1"; - } - leakage_power () { - value : 0.0028691000; - when : "A1&!A2&B1"; - } - leakage_power () { - value : 0.0005573000; - when : "A1&!A2&!B1"; - } - leakage_power () { - value : 0.0002865000; - when : "A1&A2&B1"; - } - leakage_power () { - value : 0.0027093000; - when : "A1&A2&!B1"; - } - area : 5.0048000000; - cell_footprint : "sky130_fd_sc_hd__a21oi"; - cell_leakage_power : 0.0016520860; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023520000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046211000, 0.0046223000, 0.0046252000, 0.0046255000, 0.0046262000, 0.0046277000, 0.0046313000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003672300, -0.003674100, -0.003678200, -0.003671900, -0.003657300, -0.003623600, -0.003546000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024260000; - } - pin ("A2") { - capacitance : 0.0023210000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022170000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040597000, 0.0040515000, 0.0040325000, 0.0040330000, 0.0040341000, 0.0040367000, 0.0040426000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004038500, -0.004038300, -0.004038000, -0.004038500, -0.004039700, -0.004042400, -0.004048700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024240000; - } - pin ("B1") { - capacitance : 0.0023230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0018982000, 0.0018957000, 0.0018901000, 0.0019014000, 0.0019275000, 0.0019877000, 0.0021263000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001733400, -0.001733100, -0.001732200, -0.001731600, -0.001730100, -0.001726600, -0.001718700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024770000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A2&!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0047644000, 0.0037333000, 0.0013459000, -0.004154700, -0.016899700, -0.046325600, -0.114186600", \ - "0.0046176000, 0.0035934000, 0.0012260000, -0.004268100, -0.016996000, -0.046432000, -0.114242700", \ - "0.0044224000, 0.0033828000, 0.0010281000, -0.004417000, -0.017096200, -0.046490600, -0.114329000", \ - "0.0041436000, 0.0031422000, 0.0008270000, -0.004591200, -0.017246900, -0.046598700, -0.114392900", \ - "0.0041040000, 0.0030710000, 0.0007057000, -0.004728500, -0.017394800, -0.046732400, -0.114459000", \ - "0.0045495000, 0.0034805000, 0.0010365000, -0.004567100, -0.017447500, -0.046691400, -0.114485700", \ - "0.0059567000, 0.0048052000, 0.0022178000, -0.003530200, -0.016530700, -0.046303000, -0.114420100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0070315000, 0.0081568000, 0.0106833000, 0.0162757000, 0.0290142000, 0.0581655000, 0.1251424000", \ - "0.0067801000, 0.0079233000, 0.0104749000, 0.0161313000, 0.0289417000, 0.0581261000, 0.1259277000", \ - "0.0065608000, 0.0076593000, 0.0102000000, 0.0159574000, 0.0287511000, 0.0580617000, 0.1258549000", \ - "0.0064279000, 0.0075122000, 0.0099829000, 0.0156161000, 0.0285049000, 0.0578864000, 0.1250811000", \ - "0.0063339000, 0.0073944000, 0.0098273000, 0.0154306000, 0.0283436000, 0.0575251000, 0.1248237000", \ - "0.0063119000, 0.0073722000, 0.0098065000, 0.0153861000, 0.0282299000, 0.0576696000, 0.1246271000", \ - "0.0065593000, 0.0075393000, 0.0099096000, 0.0153101000, 0.0283421000, 0.0576448000, 0.1251447000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0050676000, 0.0040230000, 0.0016303000, -0.003884300, -0.016626100, -0.046052200, -0.113881100", \ - "0.0049422000, 0.0039057000, 0.0015272000, -0.003983000, -0.016722600, -0.046142400, -0.113982700", \ - "0.0047602000, 0.0037361000, 0.0013737000, -0.004118700, -0.016826300, -0.046233500, -0.114049200", \ - "0.0045340000, 0.0035174000, 0.0011895000, -0.004250900, -0.016934300, -0.046302100, -0.114121100", \ - "0.0045793000, 0.0035393000, 0.0010846000, -0.004399000, -0.017024100, -0.046353800, -0.114129800", \ - "0.0047488000, 0.0036946000, 0.0012855000, -0.004290300, -0.017113000, -0.046481300, -0.114208500", \ - "0.0058509000, 0.0046933000, 0.0021760000, -0.003518700, -0.016524700, -0.046168100, -0.114121000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0102812000, 0.0113398000, 0.0137625000, 0.0193167000, 0.0320061000, 0.0611753000, 0.1282181000", \ - "0.0101449000, 0.0112065000, 0.0136702000, 0.0192357000, 0.0319817000, 0.0611355000, 0.1281008000", \ - "0.0099992000, 0.0110640000, 0.0135273000, 0.0191404000, 0.0319145000, 0.0610932000, 0.1281518000", \ - "0.0098785000, 0.0109489000, 0.0133868000, 0.0189881000, 0.0317760000, 0.0610459000, 0.1280721000", \ - "0.0097826000, 0.0108432000, 0.0132905000, 0.0188593000, 0.0315947000, 0.0608536000, 0.1279934000", \ - "0.0097580000, 0.0108044000, 0.0132493000, 0.0188525000, 0.0316467000, 0.0608330000, 0.1279382000", \ - "0.0100130000, 0.0110157000, 0.0133470000, 0.0187581000, 0.0317899000, 0.0611316000, 0.1282419000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0001410000, -0.000819300, -0.003134200, -0.008618200, -0.021402700, -0.050891300, -0.118805900", \ - "-1.37000e-05, -0.000954300, -0.003218900, -0.008634500, -0.021359400, -0.050828200, -0.118714200", \ - "-0.000251000, -0.001176500, -0.003401200, -0.008746900, -0.021391300, -0.050798500, -0.118663800", \ - "-0.000487800, -0.001433100, -0.003672400, -0.008975600, -0.021540700, -0.050865400, -0.118676100", \ - "-0.000254400, -0.001276800, -0.003616500, -0.009009400, -0.021688900, -0.050976000, -0.118724000", \ - "0.0003188000, -0.000794800, -0.003273000, -0.008784500, -0.021765100, -0.050970900, -0.118739600", \ - "0.0022458000, 0.0009957000, -0.001720600, -0.007658200, -0.020753900, -0.050701700, -0.118146000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0098103000, 0.0109194000, 0.0134201000, 0.0190535000, 0.0318221000, 0.0610448000, 0.1280240000", \ - "0.0095511000, 0.0106630000, 0.0131711000, 0.0188644000, 0.0317010000, 0.0609482000, 0.1280060000", \ - "0.0093424000, 0.0104048000, 0.0128555000, 0.0185778000, 0.0315006000, 0.0608515000, 0.1278758000", \ - "0.0092969000, 0.0103558000, 0.0127973000, 0.0183634000, 0.0312072000, 0.0606119000, 0.1278199000", \ - "0.0093253000, 0.0103467000, 0.0127379000, 0.0182625000, 0.0310172000, 0.0602979000, 0.1274821000", \ - "0.0098543000, 0.0108487000, 0.0131829000, 0.0186122000, 0.0311571000, 0.0602465000, 0.1272701000", \ - "0.0115123000, 0.0124909000, 0.0149272000, 0.0213536000, 0.0329529000, 0.0613026000, 0.1274609000"); - } - } - max_capacitance : 0.0741680000; - max_transition : 1.5047040000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0292366000, 0.0332307000, 0.0418398000, 0.0602929000, 0.1013762000, 0.1937037000, 0.4044020000", \ - "0.0332467000, 0.0372111000, 0.0458168000, 0.0643841000, 0.1053733000, 0.1977417000, 0.4084880000", \ - "0.0437284000, 0.0472849000, 0.0557225000, 0.0742684000, 0.1153830000, 0.2078222000, 0.4184735000", \ - "0.0609761000, 0.0665077000, 0.0774729000, 0.0984017000, 0.1392950000, 0.2308388000, 0.4417211000", \ - "0.0803080000, 0.0884776000, 0.1053219000, 0.1367922000, 0.1917197000, 0.2871634000, 0.4977369000", \ - "0.0950039000, 0.1075632000, 0.1328724000, 0.1801609000, 0.2647471000, 0.3994837000, 0.6237419000", \ - "0.0806510000, 0.0997553000, 0.1378712000, 0.2119956000, 0.3402130000, 0.5507781000, 0.8815571000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0699607000, 0.0798140000, 0.1015372000, 0.1499798000, 0.2596720000, 0.5111125000, 1.0886149000", \ - "0.0741475000, 0.0838990000, 0.1060762000, 0.1549961000, 0.2652038000, 0.5173203000, 1.1007669000", \ - "0.0865265000, 0.0963770000, 0.1180515000, 0.1676602000, 0.2785536000, 0.5302879000, 1.1194431000", \ - "0.1154598000, 0.1249630000, 0.1465047000, 0.1953203000, 0.3058794000, 0.5586747000, 1.1362520000", \ - "0.1660585000, 0.1787881000, 0.2052318000, 0.2573759000, 0.3681443000, 0.6232969000, 1.1999789000", \ - "0.2454068000, 0.2650819000, 0.3043500000, 0.3765665000, 0.5093799000, 0.7649040000, 1.3426994000", \ - "0.3654840000, 0.3977821000, 0.4616475000, 0.5759380000, 0.7662337000, 1.0863932000, 1.6741031000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0247645000, 0.0291650000, 0.0394405000, 0.0626756000, 0.1158906000, 0.2377813000, 0.5213558000", \ - "0.0242951000, 0.0289179000, 0.0391857000, 0.0623774000, 0.1159611000, 0.2387292000, 0.5191834000", \ - "0.0261836000, 0.0300844000, 0.0396034000, 0.0621279000, 0.1158199000, 0.2378465000, 0.5206202000", \ - "0.0376490000, 0.0422070000, 0.0517682000, 0.0695706000, 0.1172090000, 0.2388051000, 0.5208393000", \ - "0.0592975000, 0.0656250000, 0.0781037000, 0.1033591000, 0.1444312000, 0.2476301000, 0.5197366000", \ - "0.0971220000, 0.1068676000, 0.1261328000, 0.1606879000, 0.2211804000, 0.3242252000, 0.5490872000", \ - "0.1637932000, 0.1796244000, 0.2125160000, 0.2634696000, 0.3514114000, 0.4930869000, 0.7314829000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0460511000, 0.0583768000, 0.0868402000, 0.1518191000, 0.3015310000, 0.6446138000, 1.4343136000", \ - "0.0460975000, 0.0583509000, 0.0868379000, 0.1518099000, 0.3015840000, 0.6446437000, 1.4419462000", \ - "0.0461727000, 0.0584702000, 0.0867862000, 0.1525969000, 0.3008810000, 0.6460642000, 1.4474948000", \ - "0.0483940000, 0.0599318000, 0.0870590000, 0.1519971000, 0.3016596000, 0.6448282000, 1.4419352000", \ - "0.0665890000, 0.0781456000, 0.1022215000, 0.1596704000, 0.3030082000, 0.6465897000, 1.4355848000", \ - "0.1071570000, 0.1205791000, 0.1492928000, 0.2084516000, 0.3320071000, 0.6516286000, 1.4365483000", \ - "0.1908638000, 0.2087178000, 0.2454736000, 0.3182022000, 0.4584277000, 0.7410632000, 1.4510164000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0336276000, 0.0375980000, 0.0461672000, 0.0646666000, 0.1056675000, 0.1979156000, 0.4090566000", \ - "0.0379958000, 0.0418988000, 0.0504743000, 0.0690881000, 0.1100626000, 0.2023379000, 0.4130629000", \ - "0.0476307000, 0.0515111000, 0.0600115000, 0.0786410000, 0.1196677000, 0.2121669000, 0.4228247000", \ - "0.0652475000, 0.0699769000, 0.0802198000, 0.1004714000, 0.1419712000, 0.2346617000, 0.4459217000", \ - "0.0892540000, 0.0963119000, 0.1109342000, 0.1388978000, 0.1894455000, 0.2857638000, 0.4977388000", \ - "0.1125611000, 0.1236970000, 0.1463544000, 0.1896487000, 0.2649042000, 0.3903235000, 0.6171531000", \ - "0.1169349000, 0.1348822000, 0.1709153000, 0.2380518000, 0.3562361000, 0.5463627000, 0.8491732000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0875986000, 0.0968686000, 0.1186122000, 0.1675820000, 0.2790399000, 0.5345483000, 1.1217486000", \ - "0.0924333000, 0.1018339000, 0.1237321000, 0.1728484000, 0.2845736000, 0.5401564000, 1.1280487000", \ - "0.1050098000, 0.1145372000, 0.1362206000, 0.1856579000, 0.2976957000, 0.5537739000, 1.1413556000", \ - "0.1324927000, 0.1421989000, 0.1638037000, 0.2130083000, 0.3251331000, 0.5812509000, 1.1687474000", \ - "0.1847172000, 0.1959790000, 0.2208083000, 0.2715889000, 0.3835416000, 0.6398991000, 1.2284525000", \ - "0.2684356000, 0.2847678000, 0.3191489000, 0.3856584000, 0.5150293000, 0.7736058000, 1.3620641000", \ - "0.3965344000, 0.4224517000, 0.4757956000, 0.5758843000, 0.7544984000, 1.0737626000, 1.6723056000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0245268000, 0.0289741000, 0.0393119000, 0.0625452000, 0.1158757000, 0.2379766000, 0.5203321000", \ - "0.0243761000, 0.0289485000, 0.0391633000, 0.0624816000, 0.1158682000, 0.2377901000, 0.5197315000", \ - "0.0251441000, 0.0294354000, 0.0392477000, 0.0623541000, 0.1156101000, 0.2379656000, 0.5192724000", \ - "0.0332917000, 0.0375502000, 0.0462198000, 0.0661953000, 0.1167221000, 0.2387837000, 0.5202786000", \ - "0.0519651000, 0.0569479000, 0.0676730000, 0.0891398000, 0.1334317000, 0.2449696000, 0.5213754000", \ - "0.0873925000, 0.0944634000, 0.1092484000, 0.1381085000, 0.1919352000, 0.2942665000, 0.5385004000", \ - "0.1507914000, 0.1616032000, 0.1849366000, 0.2266964000, 0.3016834000, 0.4264134000, 0.6632461000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0620612000, 0.0745917000, 0.1037986000, 0.1702081000, 0.3231634000, 0.6749278000, 1.4826423000", \ - "0.0620670000, 0.0746424000, 0.1038045000, 0.1702600000, 0.3226652000, 0.6724408000, 1.4820697000", \ - "0.0620817000, 0.0747167000, 0.1037881000, 0.1702216000, 0.3231925000, 0.6752917000, 1.4809179000", \ - "0.0629950000, 0.0751841000, 0.1037875000, 0.1701841000, 0.3226163000, 0.6724057000, 1.4812345000", \ - "0.0787006000, 0.0902340000, 0.1156914000, 0.1767698000, 0.3232077000, 0.6730609000, 1.4776302000", \ - "0.1178820000, 0.1313134000, 0.1599276000, 0.2201836000, 0.3517292000, 0.6781848000, 1.4786042000", \ - "0.2005590000, 0.2176025000, 0.2546007000, 0.3261117000, 0.4683177000, 0.7677009000, 1.4955163000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0159580000, 0.0183263000, 0.0235272000, 0.0349811000, 0.0610219000, 0.1206980000, 0.2577920000", \ - "0.0206340000, 0.0230289000, 0.0283030000, 0.0398539000, 0.0659218000, 0.1256632000, 0.2627502000", \ - "0.0283588000, 0.0320077000, 0.0389512000, 0.0512123000, 0.0771721000, 0.1369484000, 0.2740582000", \ - "0.0367556000, 0.0429492000, 0.0537759000, 0.0728745000, 0.1039739000, 0.1634987000, 0.3000111000", \ - "0.0433728000, 0.0525560000, 0.0698445000, 0.0997237000, 0.1487252000, 0.2242195000, 0.3610305000", \ - "0.0395791000, 0.0538181000, 0.0812232000, 0.1283487000, 0.2059187000, 0.3224305000, 0.5006454000", \ - "0.0032486000, 0.0242410000, 0.0669605000, 0.1409372000, 0.2619791000, 0.4476115000, 0.7222248000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0649624000, 0.0749400000, 0.0970057000, 0.1465428000, 0.2587008000, 0.5144677000, 1.1020559000", \ - "0.0679162000, 0.0779366000, 0.1000679000, 0.1499611000, 0.2625803000, 0.5188299000, 1.1062755000", \ - "0.0780775000, 0.0875626000, 0.1095702000, 0.1597282000, 0.2726914000, 0.5293767000, 1.1188430000", \ - "0.1062524000, 0.1154104000, 0.1368252000, 0.1853406000, 0.2977174000, 0.5549208000, 1.1430645000", \ - "0.1598839000, 0.1728297000, 0.2000796000, 0.2515287000, 0.3628583000, 0.6178959000, 1.2069281000", \ - "0.2419978000, 0.2630889000, 0.3041735000, 0.3813884000, 0.5151891000, 0.7693350000, 1.3554580000", \ - "0.3790394000, 0.4080830000, 0.4677136000, 0.5828878000, 0.7870510000, 1.1179621000, 1.7042737000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0127740000, 0.0159974000, 0.0229612000, 0.0381835000, 0.0725837000, 0.1517055000, 0.3336124000", \ - "0.0136043000, 0.0164493000, 0.0229924000, 0.0381791000, 0.0726192000, 0.1523759000, 0.3341012000", \ - "0.0211588000, 0.0231143000, 0.0275338000, 0.0400362000, 0.0726780000, 0.1516807000, 0.3336727000", \ - "0.0359024000, 0.0384778000, 0.0440822000, 0.0551242000, 0.0806063000, 0.1524014000, 0.3336112000", \ - "0.0619073000, 0.0656447000, 0.0738495000, 0.0901722000, 0.1182513000, 0.1747373000, 0.3360301000", \ - "0.1078168000, 0.1135979000, 0.1255042000, 0.1495812000, 0.1917337000, 0.2656085000, 0.3921674000", \ - "0.1918463000, 0.2007218000, 0.2180982000, 0.2538600000, 0.3180610000, 0.4241133000, 0.6009362000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0618799000, 0.0745093000, 0.1036064000, 0.1703418000, 0.3227879000, 0.6746547000, 1.4822490000", \ - "0.0617297000, 0.0744341000, 0.1036278000, 0.1703439000, 0.3229131000, 0.6734094000, 1.4759793000", \ - "0.0612479000, 0.0740791000, 0.1035770000, 0.1703209000, 0.3227986000, 0.6728468000, 1.4835743000", \ - "0.0665117000, 0.0774123000, 0.1042795000, 0.1699185000, 0.3225517000, 0.6727377000, 1.4766524000", \ - "0.0936585000, 0.1061293000, 0.1293759000, 0.1835967000, 0.3237003000, 0.6731188000, 1.4772628000", \ - "0.1404823000, 0.1575328000, 0.1914483000, 0.2536697000, 0.3717621000, 0.6796080000, 1.4777833000", \ - "0.2141475000, 0.2393938000, 0.2906258000, 0.3826895000, 0.5418102000, 0.8030760000, 1.5047041000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a21oi_2") { - leakage_power () { - value : 0.0022984000; - when : "!A1&!A2&B1"; - } - leakage_power () { - value : 0.0009833000; - when : "!A1&!A2&!B1"; - } - leakage_power () { - value : 0.0022984000; - when : "!A1&A2&B1"; - } - leakage_power () { - value : 0.0018995000; - when : "!A1&A2&!B1"; - } - leakage_power () { - value : 0.0022984000; - when : "A1&!A2&B1"; - } - leakage_power () { - value : 0.0015859000; - when : "A1&!A2&!B1"; - } - leakage_power () { - value : 0.0002760000; - when : "A1&A2&B1"; - } - leakage_power () { - value : 0.0026604000; - when : "A1&A2&!B1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__a21oi"; - cell_leakage_power : 0.0017875370; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0044430000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087968000, 0.0088015000, 0.0088122000, 0.0088129000, 0.0088145000, 0.0088182000, 0.0088267000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007034600, -0.007038700, -0.007048100, -0.007036900, -0.007011000, -0.006951300, -0.006813800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046060000; - } - pin ("A2") { - capacitance : 0.0048300000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0046090000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082841000, 0.0082771000, 0.0082611000, 0.0082585000, 0.0082526000, 0.0082390000, 0.0082076000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008282500, -0.008276700, -0.008263100, -0.008263300, -0.008263800, -0.008264900, -0.008267500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050520000; - } - pin ("B1") { - capacitance : 0.0044130000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041190000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039188000, 0.0039129000, 0.0038992000, 0.0039234000, 0.0039792000, 0.0041079000, 0.0044044000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003542400, -0.003544400, -0.003549000, -0.003547700, -0.003544700, -0.003537800, -0.003522000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047070000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A2&!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0083554000, 0.0071518000, 0.0040842000, -0.003633300, -0.023149400, -0.072622900, -0.197672900", \ - "0.0081017000, 0.0068932000, 0.0038464000, -0.003829700, -0.023320100, -0.072788500, -0.197847800", \ - "0.0077956000, 0.0065950000, 0.0035389000, -0.004100700, -0.023557300, -0.072936400, -0.198046700", \ - "0.0073106000, 0.0061371000, 0.0031132000, -0.004392300, -0.023784900, -0.073188100, -0.198108400", \ - "0.0072948000, 0.0061098000, 0.0030655000, -0.004620500, -0.023944500, -0.073331600, -0.198277400", \ - "0.0082193000, 0.0069257000, 0.0037968000, -0.004107400, -0.023789300, -0.073259200, -0.198218400", \ - "0.0106448000, 0.0094234000, 0.0061678000, -0.002124700, -0.022191100, -0.072333900, -0.198060800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0129984000, 0.0143597000, 0.0176805000, 0.0257446000, 0.0453397000, 0.0944759000, 0.2182500000", \ - "0.0125470000, 0.0139021000, 0.0172283000, 0.0253948000, 0.0454030000, 0.0951715000, 0.2189303000", \ - "0.0122316000, 0.0134861000, 0.0167763000, 0.0247803000, 0.0450081000, 0.0944491000, 0.2180758000", \ - "0.0119909000, 0.0132692000, 0.0164318000, 0.0244192000, 0.0442061000, 0.0945361000, 0.2186042000", \ - "0.0118227000, 0.0130876000, 0.0161850000, 0.0240136000, 0.0437906000, 0.0932005000, 0.2191081000", \ - "0.0117696000, 0.0130129000, 0.0161401000, 0.0240396000, 0.0436748000, 0.0931144000, 0.2168994000", \ - "0.0121585000, 0.0132429000, 0.0163043000, 0.0238532000, 0.0438065000, 0.0934593000, 0.2176852000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0095667000, 0.0083510000, 0.0052804000, -0.002445300, -0.021972000, -0.071423200, -0.196476900", \ - "0.0093046000, 0.0080877000, 0.0050269000, -0.002654900, -0.022192900, -0.071649500, -0.196722100", \ - "0.0089248000, 0.0077187000, 0.0046945000, -0.002970200, -0.022419700, -0.071875100, -0.196897800", \ - "0.0084189000, 0.0072541000, 0.0042709000, -0.003306500, -0.022657500, -0.072041900, -0.197024200", \ - "0.0085336000, 0.0073140000, 0.0042907000, -0.003568100, -0.022840900, -0.072106000, -0.197042500", \ - "0.0088385000, 0.0076993000, 0.0045308000, -0.003253100, -0.022923200, -0.072457100, -0.197239200", \ - "0.0107625000, 0.0094690000, 0.0062301000, -0.001850000, -0.021828700, -0.071757900, -0.197116000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0195110000, 0.0207300000, 0.0238554000, 0.0316905000, 0.0512702000, 0.1003882000, 0.2240212000", \ - "0.0191708000, 0.0204504000, 0.0236023000, 0.0314954000, 0.0511732000, 0.1002942000, 0.2239485000", \ - "0.0188553000, 0.0201133000, 0.0232745000, 0.0311855000, 0.0509087000, 0.1001921000, 0.2238845000", \ - "0.0186650000, 0.0199045000, 0.0230528000, 0.0309119000, 0.0506461000, 0.0999633000, 0.2237600000", \ - "0.0184907000, 0.0197075000, 0.0228665000, 0.0306947000, 0.0502867000, 0.0996700000, 0.2234883000", \ - "0.0184271000, 0.0196504000, 0.0227914000, 0.0306691000, 0.0504663000, 0.0997997000, 0.2231657000", \ - "0.0187555000, 0.0198982000, 0.0228951000, 0.0305049000, 0.0504588000, 0.0997691000, 0.2238202000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("-0.000623700, -0.001711600, -0.004604700, -0.012219500, -0.031807400, -0.081449600, -0.206692200", \ - "-0.000950700, -0.001987600, -0.004803400, -0.012288500, -0.031760200, -0.081312900, -0.206514000", \ - "-0.001334200, -0.002421800, -0.005127700, -0.012513300, -0.031829400, -0.081256500, -0.206400700", \ - "-0.001489900, -0.002710500, -0.005521600, -0.012945500, -0.032114300, -0.081367200, -0.206406500", \ - "-0.001260800, -0.002447000, -0.005475900, -0.013039000, -0.032532500, -0.081623000, -0.206473000", \ - "-0.000230100, -0.001532400, -0.004766200, -0.012613500, -0.032073400, -0.081716000, -0.206636100", \ - "0.0034079000, 0.0019184000, -0.001665000, -0.009910600, -0.030297100, -0.079761400, -0.205946000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0182262000, 0.0195526000, 0.0227954000, 0.0308349000, 0.0505434000, 0.0996942000, 0.2233625000", \ - "0.0177870000, 0.0190959000, 0.0223559000, 0.0303454000, 0.0502865000, 0.0996572000, 0.2234297000", \ - "0.0174965000, 0.0187463000, 0.0219585000, 0.0299072000, 0.0498122000, 0.0994198000, 0.2233882000", \ - "0.0171965000, 0.0184602000, 0.0216127000, 0.0295939000, 0.0493120000, 0.0988999000, 0.2227902000", \ - "0.0173855000, 0.0186018000, 0.0216680000, 0.0294281000, 0.0490128000, 0.0983793000, 0.2225364000", \ - "0.0184431000, 0.0196160000, 0.0225479000, 0.0301491000, 0.0493155000, 0.0982455000, 0.2217873000", \ - "0.0208847000, 0.0219426000, 0.0248384000, 0.0325223000, 0.0516071000, 0.0995773000, 0.2222581000"); - } - } - max_capacitance : 0.1281840000; - max_transition : 1.5008840000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0234585000, 0.0258557000, 0.0314719000, 0.0445254000, 0.0752649000, 0.1499284000, 0.3354227000", \ - "0.0276339000, 0.0299770000, 0.0355515000, 0.0486261000, 0.0793531000, 0.1540784000, 0.3395351000", \ - "0.0382765000, 0.0406478000, 0.0459159000, 0.0586108000, 0.0892656000, 0.1639685000, 0.3495471000", \ - "0.0529799000, 0.0565540000, 0.0645319000, 0.0811096000, 0.1132619000, 0.1869332000, 0.3725021000", \ - "0.0679856000, 0.0733069000, 0.0852540000, 0.1103994000, 0.1581317000, 0.2428373000, 0.4278185000", \ - "0.0741138000, 0.0822975000, 0.1005763000, 0.1386197000, 0.2121246000, 0.3388179000, 0.5552834000", \ - "0.0430327000, 0.0553590000, 0.0827233000, 0.1417715000, 0.2555051000, 0.4536174000, 0.7811977000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0704350000, 0.0774896000, 0.0945770000, 0.1357852000, 0.2369627000, 0.4885350000, 1.1219468000", \ - "0.0746153000, 0.0815201000, 0.0987221000, 0.1405715000, 0.2427856000, 0.4967607000, 1.1332945000", \ - "0.0873673000, 0.0940666000, 0.1108086000, 0.1520915000, 0.2554174000, 0.5112072000, 1.1416811000", \ - "0.1163554000, 0.1230529000, 0.1395715000, 0.1804257000, 0.2818979000, 0.5385455000, 1.1741426000", \ - "0.1664955000, 0.1751279000, 0.1956541000, 0.2414019000, 0.3432408000, 0.5960927000, 1.2374693000", \ - "0.2474930000, 0.2609992000, 0.2904600000, 0.3532424000, 0.4774121000, 0.7350378000, 1.3709585000", \ - "0.3734308000, 0.3953488000, 0.4447185000, 0.5426440000, 0.7197491000, 1.0468123000, 1.6953031000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0211086000, 0.0235874000, 0.0298151000, 0.0453820000, 0.0841742000, 0.1827484000, 0.4316089000", \ - "0.0202027000, 0.0227726000, 0.0293381000, 0.0450705000, 0.0840798000, 0.1827979000, 0.4314609000", \ - "0.0235212000, 0.0255599000, 0.0309326000, 0.0453178000, 0.0839581000, 0.1826203000, 0.4318527000", \ - "0.0339408000, 0.0369264000, 0.0433630000, 0.0567085000, 0.0887590000, 0.1830454000, 0.4316554000", \ - "0.0539016000, 0.0579132000, 0.0667210000, 0.0858013000, 0.1220775000, 0.1994819000, 0.4316293000", \ - "0.0889158000, 0.0952496000, 0.1096753000, 0.1377053000, 0.1888972000, 0.2811719000, 0.4739122000", \ - "0.1501839000, 0.1605393000, 0.1842226000, 0.2287385000, 0.3041295000, 0.4371910000, 0.6639768000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0436633000, 0.0519710000, 0.0739916000, 0.1290379000, 0.2659681000, 0.6116678000, 1.4848873000", \ - "0.0437719000, 0.0522369000, 0.0741327000, 0.1291282000, 0.2679725000, 0.6161599000, 1.4879455000", \ - "0.0435891000, 0.0522967000, 0.0740921000, 0.1289290000, 0.2680032000, 0.6140247000, 1.4866470000", \ - "0.0457139000, 0.0537062000, 0.0745700000, 0.1290028000, 0.2668747000, 0.6163134000, 1.4868859000", \ - "0.0618084000, 0.0700654000, 0.0897403000, 0.1374826000, 0.2680907000, 0.6121871000, 1.4954475000", \ - "0.0977669000, 0.1069906000, 0.1296213000, 0.1811270000, 0.2991660000, 0.6191198000, 1.4861569000", \ - "0.1761773000, 0.1885681000, 0.2163191000, 0.2786396000, 0.4105350000, 0.7055732000, 1.5008843000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0285481000, 0.0309182000, 0.0365294000, 0.0496253000, 0.0803770000, 0.1550135000, 0.3404790000", \ - "0.0327911000, 0.0351762000, 0.0407443000, 0.0538165000, 0.0846028000, 0.1591854000, 0.3448271000", \ - "0.0421495000, 0.0445893000, 0.0501813000, 0.0631735000, 0.0939435000, 0.1687130000, 0.3542837000", \ - "0.0574287000, 0.0606090000, 0.0679699000, 0.0832999000, 0.1155758000, 0.1907306000, 0.3763164000", \ - "0.0767015000, 0.0812369000, 0.0920458000, 0.1137668000, 0.1572290000, 0.2401927000, 0.4266828000", \ - "0.0907349000, 0.0982425000, 0.1144212000, 0.1485232000, 0.2146052000, 0.3300302000, 0.5414607000", \ - "0.0757085000, 0.0867515000, 0.1122562000, 0.1671554000, 0.2705442000, 0.4504700000, 0.7473765000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0881340000, 0.0942196000, 0.1094456000, 0.1471179000, 0.2408917000, 0.4753338000, 1.0650331000", \ - "0.0927901000, 0.0990408000, 0.1143272000, 0.1522877000, 0.2462928000, 0.4812034000, 1.0718610000", \ - "0.1056566000, 0.1118926000, 0.1270641000, 0.1653903000, 0.2595905000, 0.4945264000, 1.0847735000", \ - "0.1348692000, 0.1408744000, 0.1561163000, 0.1940498000, 0.2883249000, 0.5237995000, 1.1139557000", \ - "0.1902233000, 0.1977069000, 0.2154370000, 0.2557013000, 0.3497213000, 0.5849615000, 1.1756639000", \ - "0.2815931000, 0.2920510000, 0.3170528000, 0.3710074000, 0.4850365000, 0.7249721000, 1.3183004000", \ - "0.4251879000, 0.4416236000, 0.4814352000, 0.5646431000, 0.7254614000, 1.0296821000, 1.6405455000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0209304000, 0.0234256000, 0.0297053000, 0.0452125000, 0.0842666000, 0.1825232000, 0.4309810000", \ - "0.0206308000, 0.0231856000, 0.0295412000, 0.0451248000, 0.0842403000, 0.1831149000, 0.4317153000", \ - "0.0220075000, 0.0242893000, 0.0300812000, 0.0452181000, 0.0840391000, 0.1829630000, 0.4310713000", \ - "0.0303185000, 0.0327327000, 0.0383176000, 0.0519760000, 0.0866628000, 0.1829426000, 0.4312835000", \ - "0.0477601000, 0.0510698000, 0.0581315000, 0.0745086000, 0.1088346000, 0.1930139000, 0.4317446000", \ - "0.0806609000, 0.0856079000, 0.0957835000, 0.1179452000, 0.1613180000, 0.2493862000, 0.4587015000", \ - "0.1400293000, 0.1476705000, 0.1639957000, 0.1977100000, 0.2609354000, 0.3754612000, 0.5946711000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0563680000, 0.0645023000, 0.0849565000, 0.1360036000, 0.2649555000, 0.5875816000, 1.3943821000", \ - "0.0563348000, 0.0645332000, 0.0849432000, 0.1358588000, 0.2643162000, 0.5857319000, 1.3952307000", \ - "0.0563150000, 0.0645400000, 0.0848793000, 0.1359705000, 0.2640012000, 0.5854114000, 1.3945413000", \ - "0.0569812000, 0.0649494000, 0.0850116000, 0.1360600000, 0.2639519000, 0.5850857000, 1.3930818000", \ - "0.0718520000, 0.0792528000, 0.0974168000, 0.1432449000, 0.2656056000, 0.5864184000, 1.3946800000", \ - "0.1074710000, 0.1164776000, 0.1376679000, 0.1863762000, 0.2976592000, 0.5930284000, 1.3998634000", \ - "0.1855945000, 0.1975744000, 0.2241878000, 0.2842051000, 0.4085141000, 0.6817036000, 1.4136770000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0141037000, 0.0154592000, 0.0186898000, 0.0264855000, 0.0455793000, 0.0933741000, 0.2136252000", \ - "0.0185035000, 0.0199409000, 0.0232801000, 0.0311872000, 0.0503443000, 0.0981431000, 0.2184457000", \ - "0.0243723000, 0.0268245000, 0.0320027000, 0.0420827000, 0.0614434000, 0.1091854000, 0.2294937000", \ - "0.0299747000, 0.0339320000, 0.0419218000, 0.0580279000, 0.0858934000, 0.1354260000, 0.2550731000", \ - "0.0306929000, 0.0369354000, 0.0502937000, 0.0759794000, 0.1198903000, 0.1914732000, 0.3149406000", \ - "0.0154284000, 0.0252930000, 0.0470958000, 0.0865328000, 0.1557426000, 0.2687553000, 0.4463793000", \ - "-0.045583100, -0.030379200, 0.0023169000, 0.0657295000, 0.1760791000, 0.3525997000, 0.6318039000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0616192000, 0.0682867000, 0.0838725000, 0.1225422000, 0.2170830000, 0.4525224000, 1.0427396000", \ - "0.0647887000, 0.0714446000, 0.0871949000, 0.1255579000, 0.2205236000, 0.4562883000, 1.0464010000", \ - "0.0757656000, 0.0819322000, 0.0975661000, 0.1355927000, 0.2306755000, 0.4667857000, 1.0576486000", \ - "0.1049093000, 0.1107130000, 0.1255553000, 0.1633740000, 0.2569462000, 0.4933310000, 1.0856015000", \ - "0.1603890000, 0.1690424000, 0.1891919000, 0.2310629000, 0.3243843000, 0.5587203000, 1.1501439000", \ - "0.2482152000, 0.2614086000, 0.2922820000, 0.3563809000, 0.4785004000, 0.7146054000, 1.3036130000", \ - "0.3995013000, 0.4185702000, 0.4625290000, 0.5579686000, 0.7431774000, 1.0671604000, 1.6645002000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0095400000, 0.0113436000, 0.0158137000, 0.0264184000, 0.0516810000, 0.1148881000, 0.2737325000", \ - "0.0110336000, 0.0124878000, 0.0163695000, 0.0264607000, 0.0516858000, 0.1147357000, 0.2734692000", \ - "0.0190435000, 0.0202050000, 0.0230806000, 0.0303096000, 0.0524282000, 0.1147655000, 0.2737087000", \ - "0.0333149000, 0.0348416000, 0.0386774000, 0.0469898000, 0.0646020000, 0.1173724000, 0.2739397000", \ - "0.0584220000, 0.0605560000, 0.0657711000, 0.0778005000, 0.1018256000, 0.1479337000, 0.2796322000", \ - "0.1031944000, 0.1061988000, 0.1140986000, 0.1322191000, 0.1693623000, 0.2334268000, 0.3491095000", \ - "0.1853039000, 0.1897215000, 0.2021839000, 0.2290633000, 0.2835594000, 0.3836533000, 0.5520545000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0560266000, 0.0643649000, 0.0847640000, 0.1362160000, 0.2641088000, 0.5870635000, 1.3993650000", \ - "0.0558612000, 0.0641709000, 0.0846173000, 0.1359417000, 0.2638563000, 0.5861314000, 1.3941674000", \ - "0.0552965000, 0.0636867000, 0.0844877000, 0.1359661000, 0.2643877000, 0.5853425000, 1.3937794000", \ - "0.0605327000, 0.0677455000, 0.0861934000, 0.1351358000, 0.2640089000, 0.5862528000, 1.3991076000", \ - "0.0856907000, 0.0942773000, 0.1133828000, 0.1533348000, 0.2674068000, 0.5855177000, 1.3950562000", \ - "0.1291262000, 0.1407896000, 0.1670744000, 0.2197104000, 0.3210104000, 0.5957310000, 1.3991899000", \ - "0.1988686000, 0.2168420000, 0.2552878000, 0.3343094000, 0.4748869000, 0.7312506000, 1.4237073000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a21oi_4") { - leakage_power () { - value : 0.0037804000; - when : "!A1&!A2&B1"; - } - leakage_power () { - value : 0.0024464000; - when : "!A1&!A2&!B1"; - } - leakage_power () { - value : 0.0037807000; - when : "!A1&A2&B1"; - } - leakage_power () { - value : 0.0043513000; - when : "!A1&A2&!B1"; - } - leakage_power () { - value : 0.0037807000; - when : "A1&!A2&B1"; - } - leakage_power () { - value : 0.0035496000; - when : "A1&!A2&!B1"; - } - leakage_power () { - value : 0.0003708000; - when : "A1&A2&B1"; - } - leakage_power () { - value : 0.0035062000; - when : "A1&A2&!B1"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__a21oi"; - cell_leakage_power : 0.0031957700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0085710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082260000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0176627000, 0.0176647000, 0.0176693000, 0.0176767000, 0.0176937000, 0.0177329000, 0.0178234000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013210700, -0.013205000, -0.013191600, -0.013160000, -0.013087000, -0.012918900, -0.012531300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0089170000; - } - pin ("A2") { - capacitance : 0.0092380000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0087670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0164539000, 0.0164246000, 0.0163571000, 0.0163522000, 0.0163411000, 0.0163154000, 0.0162562000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.016374300, -0.016358500, -0.016322100, -0.016320300, -0.016316000, -0.016306000, -0.016283100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0097100000; - } - pin ("B1") { - capacitance : 0.0085960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0079660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079063000, 0.0078853000, 0.0078369000, 0.0078799000, 0.0079788000, 0.0082069000, 0.0087326000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006904200, -0.006903100, -0.006900400, -0.006895700, -0.006884800, -0.006859600, -0.006801600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092270000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A2&!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000"); - values("0.0160100000, 0.0146164000, 0.0107003000, -3.67000e-05, -0.029765500, -0.112225300, -0.340738000", \ - "0.0154877000, 0.0141227000, 0.0102400000, -0.000481900, -0.030094800, -0.112584600, -0.341119100", \ - "0.0147161000, 0.0133482000, 0.0095153000, -0.001078800, -0.030642400, -0.113008400, -0.341536400", \ - "0.0136958000, 0.0123339000, 0.0087090000, -0.001829400, -0.031248000, -0.113498200, -0.341832800", \ - "0.0135495000, 0.0121518000, 0.0084323000, -0.002128400, -0.031674100, -0.113788400, -0.342271100", \ - "0.0155387000, 0.0140834000, 0.0099603000, -0.001415500, -0.031509800, -0.113754600, -0.342075600", \ - "0.0192814000, 0.0177658000, 0.0134339000, 0.0020592000, -0.028714700, -0.112502700, -0.342228200"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000"); - values("0.0245839000, 0.0261919000, 0.0305010000, 0.0418689000, 0.0718377000, 0.1539028000, 0.3827241000", \ - "0.0236878000, 0.0252792000, 0.0295306000, 0.0411230000, 0.0714105000, 0.1548461000, 0.3829275000", \ - "0.0229696000, 0.0244674000, 0.0286299000, 0.0399911000, 0.0706113000, 0.1533308000, 0.3798184000", \ - "0.0225087000, 0.0239926000, 0.0280340000, 0.0392161000, 0.0695515000, 0.1534905000, 0.3794085000", \ - "0.0220932000, 0.0235537000, 0.0275719000, 0.0384716000, 0.0686501000, 0.1512764000, 0.3785113000", \ - "0.0220257000, 0.0234543000, 0.0274337000, 0.0384559000, 0.0683968000, 0.1509986000, 0.3774860000", \ - "0.0225028000, 0.0238276000, 0.0274343000, 0.0379557000, 0.0685071000, 0.1510636000, 0.3785388000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000"); - values("0.0189295000, 0.0175048000, 0.0135890000, 0.0028487000, -0.026859300, -0.109374500, -0.337905200", \ - "0.0184176000, 0.0170017000, 0.0131090000, 0.0023912000, -0.027312700, -0.109788800, -0.338314400", \ - "0.0176674000, 0.0162818000, 0.0124433000, 0.0018022000, -0.027803900, -0.110238700, -0.338723100", \ - "0.0167596000, 0.0153980000, 0.0115883000, 0.0011001000, -0.028311500, -0.110622200, -0.339027600", \ - "0.0165243000, 0.0151472000, 0.0111949000, 0.0005495000, -0.028712300, -0.110727000, -0.339046800", \ - "0.0171449000, 0.0158516000, 0.0118297000, 0.0009848000, -0.028716800, -0.111496800, -0.339392400", \ - "0.0203859000, 0.0189749000, 0.0148125000, 0.0036139000, -0.026900700, -0.110133000, -0.339314800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000"); - values("0.0387408000, 0.0402516000, 0.0442085000, 0.0551265000, 0.0849807000, 0.1671127000, 0.3931873000", \ - "0.0380820000, 0.0395421000, 0.0435954000, 0.0546534000, 0.0846505000, 0.1669436000, 0.3927868000", \ - "0.0374738000, 0.0389158000, 0.0429699000, 0.0540081000, 0.0841868000, 0.1665764000, 0.3927683000", \ - "0.0370219000, 0.0383739000, 0.0423688000, 0.0534383000, 0.0836971000, 0.1660855000, 0.3924691000", \ - "0.0365073000, 0.0380068000, 0.0420169000, 0.0529156000, 0.0829307000, 0.1655618000, 0.3917991000", \ - "0.0365221000, 0.0378742000, 0.0418895000, 0.0528352000, 0.0829947000, 0.1654112000, 0.3915716000", \ - "0.0367134000, 0.0380695000, 0.0418534000, 0.0524787000, 0.0832277000, 0.1659130000, 0.3923051000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000"); - values("-0.000178600, -0.001441100, -0.005022100, -0.015454400, -0.045183200, -0.127966500, -0.356849800", \ - "-0.000822800, -0.002021000, -0.005491200, -0.015652200, -0.045100100, -0.127715600, -0.356513000", \ - "-0.001569200, -0.002780700, -0.006288400, -0.016236800, -0.045290100, -0.127607800, -0.356270400", \ - "-0.002277700, -0.003574600, -0.007183700, -0.017158400, -0.045939000, -0.127805600, -0.356249200", \ - "-0.001535500, -0.002925700, -0.006727400, -0.017040000, -0.046494700, -0.128264800, -0.356381600", \ - "0.0002981000, -0.001201100, -0.005283000, -0.016348200, -0.045885500, -0.128515400, -0.356704100", \ - "0.0067197000, 0.0050363000, 0.0004822000, -0.011555800, -0.042962400, -0.125568600, -0.356146700"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013805730, 0.0038119630, 0.0105253900, 0.0290621300, 0.0802447800, 0.2215675000"); - values("0.0359073000, 0.0374439000, 0.0416454000, 0.0528963000, 0.0831943000, 0.1654002000, 0.3915609000", \ - "0.0351250000, 0.0365980000, 0.0407347000, 0.0521921000, 0.0826883000, 0.1652002000, 0.3914749000", \ - "0.0343364000, 0.0358225000, 0.0399558000, 0.0512949000, 0.0817728000, 0.1645821000, 0.3912592000", \ - "0.0340924000, 0.0355626000, 0.0395722000, 0.0505803000, 0.0807197000, 0.1637268000, 0.3907216000", \ - "0.0340947000, 0.0354957000, 0.0393985000, 0.0502459000, 0.0801303000, 0.1625945000, 0.3897599000", \ - "0.0370809000, 0.0384560000, 0.0422412000, 0.0528237000, 0.0821207000, 0.1637129000, 0.3880777000", \ - "0.0415913000, 0.0428849000, 0.0466998000, 0.0575601000, 0.0857223000, 0.1654100000, 0.3897643000"); - } - } - max_capacitance : 0.2215680000; - max_transition : 1.4966630000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000"); - values("0.0246992000, 0.0263024000, 0.0304106000, 0.0410125000, 0.0678401000, 0.1380803000, 0.3284191000", \ - "0.0287528000, 0.0303059000, 0.0344198000, 0.0449538000, 0.0717621000, 0.1421019000, 0.3323391000", \ - "0.0391000000, 0.0406930000, 0.0447374000, 0.0548324000, 0.0815114000, 0.1516950000, 0.3419164000", \ - "0.0539156000, 0.0562234000, 0.0620181000, 0.0758049000, 0.1047227000, 0.1747259000, 0.3650390000", \ - "0.0682020000, 0.0716078000, 0.0802008000, 0.1009026000, 0.1450502000, 0.2294386000, 0.4194306000", \ - "0.0708632000, 0.0760541000, 0.0893124000, 0.1215272000, 0.1892958000, 0.3160761000, 0.5451449000", \ - "0.0329337000, 0.0408214000, 0.0602664000, 0.1091740000, 0.2135064000, 0.4116788000, 0.7576772000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000"); - values("0.0750266000, 0.0797863000, 0.0926365000, 0.1260724000, 0.2144161000, 0.4553959000, 1.1264553000", \ - "0.0788206000, 0.0836378000, 0.0963492000, 0.1303970000, 0.2206709000, 0.4648922000, 1.1340058000", \ - "0.0914359000, 0.0958637000, 0.1082775000, 0.1416296000, 0.2321347000, 0.4742727000, 1.1387330000", \ - "0.1205273000, 0.1250511000, 0.1373143000, 0.1697234000, 0.2593165000, 0.5051595000, 1.1692536000", \ - "0.1719356000, 0.1776844000, 0.1927567000, 0.2304034000, 0.3200600000, 0.5627075000, 1.2301592000", \ - "0.2563241000, 0.2650938000, 0.2868255000, 0.3391377000, 0.4519958000, 0.7019299000, 1.3689805000", \ - "0.3922306000, 0.4065449000, 0.4417791000, 0.5239923000, 0.6878029000, 1.0084236000, 1.6948052000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000"); - values("0.0237953000, 0.0254264000, 0.0298570000, 0.0421778000, 0.0761349000, 0.1706823000, 0.4346524000", \ - "0.0227089000, 0.0243467000, 0.0291293000, 0.0418578000, 0.0760946000, 0.1711810000, 0.4347354000", \ - "0.0255739000, 0.0268890000, 0.0307350000, 0.0420823000, 0.0756712000, 0.1710417000, 0.4347011000", \ - "0.0352760000, 0.0371595000, 0.0423320000, 0.0541057000, 0.0819962000, 0.1712114000, 0.4346026000", \ - "0.0548268000, 0.0575359000, 0.0644661000, 0.0804540000, 0.1146775000, 0.1911494000, 0.4352762000", \ - "0.0900704000, 0.0942685000, 0.1048262000, 0.1281075000, 0.1751626000, 0.2719571000, 0.4789162000", \ - "0.1522599000, 0.1588400000, 0.1759171000, 0.2133319000, 0.2874350000, 0.4193671000, 0.6672240000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000"); - values("0.0467260000, 0.0524283000, 0.0684499000, 0.1124418000, 0.2332804000, 0.5658687000, 1.4956092000", \ - "0.0466227000, 0.0523710000, 0.0683550000, 0.1125319000, 0.2335217000, 0.5705383000, 1.4966625000", \ - "0.0468068000, 0.0525925000, 0.0684013000, 0.1120551000, 0.2333967000, 0.5663319000, 1.4828127000", \ - "0.0484445000, 0.0538229000, 0.0689868000, 0.1124572000, 0.2333526000, 0.5698152000, 1.4816560000", \ - "0.0646310000, 0.0699947000, 0.0845821000, 0.1227971000, 0.2360603000, 0.5660067000, 1.4815442000", \ - "0.0996765000, 0.1055815000, 0.1224559000, 0.1642453000, 0.2706902000, 0.5735811000, 1.4800224000", \ - "0.1774712000, 0.1853416000, 0.2068150000, 0.2567463000, 0.3780628000, 0.6619884000, 1.4964621000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000"); - values("0.0303720000, 0.0319421000, 0.0360462000, 0.0465930000, 0.0734489000, 0.1436749000, 0.3338050000", \ - "0.0345541000, 0.0361274000, 0.0402576000, 0.0507694000, 0.0776014000, 0.1478207000, 0.3379790000", \ - "0.0434589000, 0.0450755000, 0.0491940000, 0.0596294000, 0.0864283000, 0.1566288000, 0.3469683000", \ - "0.0582558000, 0.0602947000, 0.0652601000, 0.0777804000, 0.1065639000, 0.1770607000, 0.3676280000", \ - "0.0760603000, 0.0789380000, 0.0865548000, 0.1045777000, 0.1430287000, 0.2231852000, 0.4154668000", \ - "0.0872149000, 0.0918042000, 0.1033720000, 0.1311212000, 0.1900211000, 0.3022428000, 0.5220377000", \ - "0.0635701000, 0.0704689000, 0.0891165000, 0.1331368000, 0.2257614000, 0.4016808000, 0.7096582000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000"); - values("0.1000751000, 0.1046043000, 0.1162003000, 0.1478840000, 0.2332025000, 0.4665976000, 1.1106092000", \ - "0.1042715000, 0.1085854000, 0.1206454000, 0.1524753000, 0.2383677000, 0.4724077000, 1.1153842000", \ - "0.1167402000, 0.1209889000, 0.1329661000, 0.1650169000, 0.2512142000, 0.4855794000, 1.1288390000", \ - "0.1453300000, 0.1496031000, 0.1613673000, 0.1928352000, 0.2792188000, 0.5142880000, 1.1581179000", \ - "0.2010961000, 0.2057910000, 0.2193319000, 0.2531864000, 0.3390513000, 0.5739352000, 1.2181819000", \ - "0.2952266000, 0.3026608000, 0.3204691000, 0.3643097000, 0.4688417000, 0.7096645000, 1.3546856000", \ - "0.4502845000, 0.4609294000, 0.4883598000, 0.5551191000, 0.7015018000, 1.0043314000, 1.6689661000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000"); - values("0.0234857000, 0.0251504000, 0.0295464000, 0.0419536000, 0.0761041000, 0.1707974000, 0.4347723000", \ - "0.0232008000, 0.0247701000, 0.0293919000, 0.0418056000, 0.0760696000, 0.1707507000, 0.4349299000", \ - "0.0243234000, 0.0258277000, 0.0300541000, 0.0419620000, 0.0758903000, 0.1710470000, 0.4344189000", \ - "0.0319007000, 0.0334027000, 0.0376642000, 0.0487173000, 0.0792328000, 0.1710440000, 0.4344038000", \ - "0.0488382000, 0.0509167000, 0.0560617000, 0.0687969000, 0.1008201000, 0.1824777000, 0.4351133000", \ - "0.0817142000, 0.0846931000, 0.0919354000, 0.1097751000, 0.1499707000, 0.2369296000, 0.4610488000", \ - "0.1421957000, 0.1463004000, 0.1580165000, 0.1848181000, 0.2429588000, 0.3541444000, 0.5896604000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000"); - values("0.0663352000, 0.0720004000, 0.0874282000, 0.1301507000, 0.2472445000, 0.5690678000, 1.4580566000", \ - "0.0663367000, 0.0719630000, 0.0875674000, 0.1303482000, 0.2474753000, 0.5699129000, 1.4580978000", \ - "0.0663620000, 0.0719541000, 0.0876010000, 0.1302648000, 0.2472489000, 0.5708328000, 1.4571687000", \ - "0.0667478000, 0.0722302000, 0.0875231000, 0.1301830000, 0.2478976000, 0.5710591000, 1.4584911000", \ - "0.0802531000, 0.0854773000, 0.0988501000, 0.1376960000, 0.2497255000, 0.5692700000, 1.4564131000", \ - "0.1143615000, 0.1200268000, 0.1359616000, 0.1769583000, 0.2825214000, 0.5786259000, 1.4604168000", \ - "0.1914367000, 0.1988145000, 0.2184393000, 0.2669700000, 0.3850886000, 0.6629319000, 1.4757747000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000"); - values("0.0135185000, 0.0143941000, 0.0166940000, 0.0225876000, 0.0383249000, 0.0810906000, 0.1989269000", \ - "0.0178918000, 0.0189115000, 0.0213059000, 0.0272632000, 0.0430952000, 0.0859894000, 0.2037653000", \ - "0.0235355000, 0.0251751000, 0.0290854000, 0.0374390000, 0.0540872000, 0.0970135000, 0.2148406000", \ - "0.0284403000, 0.0310814000, 0.0371770000, 0.0506845000, 0.0762546000, 0.1222949000, 0.2401767000", \ - "0.0278651000, 0.0319002000, 0.0417128000, 0.0633590000, 0.1038603000, 0.1746218000, 0.2996976000", \ - "0.0095130000, 0.0158928000, 0.0313962000, 0.0651880000, 0.1290223000, 0.2406523000, 0.4254231000", \ - "-0.058599800, -0.048449400, -0.025075800, 0.0284418000, 0.1300526000, 0.3056845000, 0.5961014000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000"); - values("0.0716525000, 0.0759262000, 0.0883610000, 0.1208460000, 0.2072832000, 0.4414711000, 1.0850894000", \ - "0.0743050000, 0.0788088000, 0.0906466000, 0.1237824000, 0.2106755000, 0.4456273000, 1.0889943000", \ - "0.0845636000, 0.0887598000, 0.1009570000, 0.1334126000, 0.2201716000, 0.4560929000, 1.1002584000", \ - "0.1131277000, 0.1172963000, 0.1286992000, 0.1603097000, 0.2460977000, 0.4820407000, 1.1270492000", \ - "0.1725520000, 0.1782892000, 0.1926072000, 0.2278103000, 0.3130372000, 0.5473165000, 1.1927437000", \ - "0.2681701000, 0.2767737000, 0.2989280000, 0.3522381000, 0.4660110000, 0.7020082000, 1.3409110000", \ - "0.4304704000, 0.4427189000, 0.4749074000, 0.5537185000, 0.7241591000, 1.0536048000, 1.7029687000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000"); - values("0.0096355000, 0.0107941000, 0.0140843000, 0.0226383000, 0.0442555000, 0.1021003000, 0.2614125000", \ - "0.0111552000, 0.0120372000, 0.0148126000, 0.0227606000, 0.0442452000, 0.1021308000, 0.2611587000", \ - "0.0194078000, 0.0201429000, 0.0221837000, 0.0276640000, 0.0457742000, 0.1021044000, 0.2609619000", \ - "0.0338533000, 0.0347488000, 0.0372785000, 0.0440128000, 0.0599016000, 0.1065845000, 0.2611496000", \ - "0.0597022000, 0.0608729000, 0.0643303000, 0.0736680000, 0.0953186000, 0.1401274000, 0.2692475000", \ - "0.1059452000, 0.1077217000, 0.1127917000, 0.1262226000, 0.1586446000, 0.2213740000, 0.3435039000", \ - "0.1902590000, 0.1930315000, 0.2015757000, 0.2211665000, 0.2681819000, 0.3641596000, 0.5366358000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013805700, 0.0038119600, 0.0105254000, 0.0290621000, 0.0802448000, 0.2215680000"); - values("0.0660474000, 0.0717453000, 0.0872211000, 0.1299034000, 0.2472559000, 0.5689522000, 1.4575016000", \ - "0.0660480000, 0.0717603000, 0.0873675000, 0.1300289000, 0.2473235000, 0.5697718000, 1.4559419000", \ - "0.0657350000, 0.0712875000, 0.0871369000, 0.1299754000, 0.2471239000, 0.5697799000, 1.4559501000", \ - "0.0684186000, 0.0734758000, 0.0879290000, 0.1293574000, 0.2472611000, 0.5712855000, 1.4595147000", \ - "0.0943538000, 0.1002580000, 0.1142815000, 0.1470440000, 0.2506376000, 0.5690546000, 1.4568604000", \ - "0.1374919000, 0.1453960000, 0.1652583000, 0.2117812000, 0.3101206000, 0.5831928000, 1.4582071000", \ - "0.2077899000, 0.2193168000, 0.2494748000, 0.3159837000, 0.4532922000, 0.7197276000, 1.4803000000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a221o_1") { - leakage_power () { - value : 0.0033058000; - when : "!A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0032504000; - when : "!A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0033058000; - when : "!A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0035206000; - when : "!A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0033058000; - when : "!A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0035196000; - when : "!A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0006097000; - when : "!A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0031433000; - when : "!A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0033058000; - when : "!A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0035238000; - when : "!A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0033058000; - when : "!A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0037941000; - when : "!A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0033058000; - when : "!A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0037931000; - when : "!A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0006097000; - when : "!A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0031433000; - when : "!A1&A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0033058000; - when : "A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0035307000; - when : "A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0033058000; - when : "A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0038010000; - when : "A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0033058000; - when : "A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0038000000; - when : "A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0006097000; - when : "A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0031433000; - when : "A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0005950000; - when : "A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0029080000; - when : "A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0005946000; - when : "A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0028845000; - when : "A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0005947000; - when : "A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0028281000; - when : "A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0004644000; - when : "A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0006033000; - when : "A1&A2&B1&B2&!C1"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__a221o"; - cell_leakage_power : 0.0026567950; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022430000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047582000, 0.0047520000, 0.0047378000, 0.0047398000, 0.0047446000, 0.0047555000, 0.0047807000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003743700, -0.003746300, -0.003752300, -0.003745900, -0.003731000, -0.003696800, -0.003618000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024410000; - } - pin ("A2") { - capacitance : 0.0023540000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022130000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043119000, 0.0043140000, 0.0043188000, 0.0043196000, 0.0043215000, 0.0043258000, 0.0043358000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004317800, -0.004316400, -0.004313100, -0.004313600, -0.004314600, -0.004317100, -0.004322800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024960000; - } - pin ("B1") { - capacitance : 0.0023610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022210000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047619000, 0.0047641000, 0.0047689000, 0.0047679000, 0.0047655000, 0.0047599000, 0.0047471000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003951600, -0.003954400, -0.003961100, -0.003954000, -0.003937600, -0.003900000, -0.003813200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025020000; - } - pin ("B2") { - capacitance : 0.0023670000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041230000, 0.0041239000, 0.0041262000, 0.0041251000, 0.0041227000, 0.0041171000, 0.0041042000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004129900, -0.004130000, -0.004130000, -0.004129000, -0.004126700, -0.004121400, -0.004109200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025380000; - } - pin ("C1") { - capacitance : 0.0022730000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020840000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0021467000, 0.0021438000, 0.0021371000, 0.0021507000, 0.0021820000, 0.0022541000, 0.0024205000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001741500, -0.001744000, -0.001749600, -0.001748300, -0.001745300, -0.001738300, -0.001722100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024630000; - } - pin ("X") { - direction : "output"; - function : "(B1&B2) | (A1&A2) | (C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0165701000, 0.0157645000, 0.0135019000, 0.0064744000, -0.015101700, -0.075164300, -0.233346400", \ - "0.0164919000, 0.0156339000, 0.0134355000, 0.0063632000, -0.015279300, -0.075315500, -0.233499100", \ - "0.0161803000, 0.0153724000, 0.0131099000, 0.0060792000, -0.015520300, -0.075593500, -0.233740400", \ - "0.0159177000, 0.0151252000, 0.0128915000, 0.0058141000, -0.015811200, -0.075864500, -0.234032800", \ - "0.0156859000, 0.0148648000, 0.0126740000, 0.0055672000, -0.016079400, -0.076086700, -0.234258100", \ - "0.0153334000, 0.0146226000, 0.0125311000, 0.0054664000, -0.016157200, -0.076176700, -0.234309500", \ - "0.0201815000, 0.0188640000, 0.0156387000, 0.0067766000, -0.016294900, -0.076201300, -0.234305100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0149860000, 0.0164532000, 0.0201214000, 0.0291505000, 0.0522429000, 0.1121995000, 0.2687662000", \ - "0.0148604000, 0.0163335000, 0.0200008000, 0.0290371000, 0.0521351000, 0.1121251000, 0.2686693000", \ - "0.0147276000, 0.0161975000, 0.0198713000, 0.0289044000, 0.0520193000, 0.1120838000, 0.2685445000", \ - "0.0146083000, 0.0160639000, 0.0197101000, 0.0287586000, 0.0518530000, 0.1123943000, 0.2685172000", \ - "0.0145510000, 0.0159829000, 0.0195810000, 0.0286138000, 0.0519132000, 0.1121644000, 0.2693987000", \ - "0.0152583000, 0.0165653000, 0.0199754000, 0.0286201000, 0.0518385000, 0.1114890000, 0.2694092000", \ - "0.0167856000, 0.0180312000, 0.0213988000, 0.0302351000, 0.0531582000, 0.1134458000, 0.2698131000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0193955000, 0.0186090000, 0.0163114000, 0.0091541000, -0.012684800, -0.073164500, -0.231634900", \ - "0.0192845000, 0.0184785000, 0.0162046000, 0.0090394000, -0.012882200, -0.073348300, -0.231756800", \ - "0.0191618000, 0.0182697000, 0.0159964000, 0.0088098000, -0.013050800, -0.073529600, -0.231945400", \ - "0.0188277000, 0.0180608000, 0.0157604000, 0.0086007000, -0.013245000, -0.073669900, -0.232127100", \ - "0.0186880000, 0.0178486000, 0.0156051000, 0.0084242000, -0.013461400, -0.073883000, -0.232267600", \ - "0.0186156000, 0.0177288000, 0.0154941000, 0.0083748000, -0.013466000, -0.073804900, -0.232200600", \ - "0.0233703000, 0.0220195000, 0.0185360000, 0.0095908000, -0.013749400, -0.073671400, -0.232002900"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0155580000, 0.0170276000, 0.0206907000, 0.0296667000, 0.0526172000, 0.1124515000, 0.2689110000", \ - "0.0154550000, 0.0169170000, 0.0205833000, 0.0295611000, 0.0525248000, 0.1123779000, 0.2687970000", \ - "0.0153180000, 0.0167800000, 0.0204294000, 0.0294574000, 0.0524009000, 0.1127503000, 0.2700601000", \ - "0.0151712000, 0.0166194000, 0.0202653000, 0.0293062000, 0.0525382000, 0.1127272000, 0.2700200000", \ - "0.0151345000, 0.0165776000, 0.0202070000, 0.0292499000, 0.0522948000, 0.1121982000, 0.2686108000", \ - "0.0157258000, 0.0170418000, 0.0204636000, 0.0291219000, 0.0523228000, 0.1119194000, 0.2685118000", \ - "0.0166547000, 0.0179458000, 0.0213040000, 0.0301497000, 0.0530972000, 0.1132737000, 0.2682263000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0141002000, 0.0132798000, 0.0110195000, 0.0038003000, -0.018064900, -0.078376300, -0.236712100", \ - "0.0139945000, 0.0131406000, 0.0108647000, 0.0036355000, -0.018204500, -0.078523700, -0.236840600", \ - "0.0136490000, 0.0128401000, 0.0105904000, 0.0034055000, -0.018483900, -0.078755700, -0.237092700", \ - "0.0133835000, 0.0125610000, 0.0103139000, 0.0030914000, -0.018759400, -0.079042000, -0.237343900", \ - "0.0131263000, 0.0123371000, 0.0100178000, 0.0028401000, -0.018980900, -0.079218400, -0.237509100", \ - "0.0127510000, 0.0120028000, 0.0098559000, 0.0026842000, -0.019037500, -0.079231700, -0.237507500", \ - "0.0179728000, 0.0166080000, 0.0131130000, 0.0042302000, -0.018982400, -0.078968800, -0.237203400"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0136558000, 0.0150940000, 0.0187357000, 0.0279029000, 0.0511829000, 0.1113552000, 0.2680427000", \ - "0.0136115000, 0.0150585000, 0.0187130000, 0.0278509000, 0.0511539000, 0.1118226000, 0.2691951000", \ - "0.0135170000, 0.0149604000, 0.0186033000, 0.0277618000, 0.0510513000, 0.1112536000, 0.2680474000", \ - "0.0132905000, 0.0147098000, 0.0183196000, 0.0274276000, 0.0507061000, 0.1109272000, 0.2675932000", \ - "0.0131141000, 0.0145303000, 0.0181026000, 0.0271136000, 0.0503605000, 0.1107457000, 0.2686695000", \ - "0.0135385000, 0.0148686000, 0.0183302000, 0.0270892000, 0.0503581000, 0.1102456000, 0.2672304000", \ - "0.0147135000, 0.0159713000, 0.0193345000, 0.0283024000, 0.0513899000, 0.1117937000, 0.2673491000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0168438000, 0.0160031000, 0.0137754000, 0.0066034000, -0.015223300, -0.075505000, -0.233737300", \ - "0.0167167000, 0.0158659000, 0.0136592000, 0.0064983000, -0.015320000, -0.075570900, -0.233864200", \ - "0.0165714000, 0.0157376000, 0.0134873000, 0.0063296000, -0.015493000, -0.075729800, -0.234030000", \ - "0.0163674000, 0.0155769000, 0.0132831000, 0.0061388000, -0.015626100, -0.075870300, -0.234162700", \ - "0.0162232000, 0.0154104000, 0.0131415000, 0.0059603000, -0.015831600, -0.076045900, -0.234312900", \ - "0.0161433000, 0.0153150000, 0.0130318000, 0.0060608000, -0.015774600, -0.075980800, -0.234241500", \ - "0.0209649000, 0.0196026000, 0.0161066000, 0.0071562000, -0.016124900, -0.075808100, -0.234046600"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0139559000, 0.0154035000, 0.0190525000, 0.0281939000, 0.0514873000, 0.1121519000, 0.2695059000", \ - "0.0139394000, 0.0153876000, 0.0190385000, 0.0281809000, 0.0514852000, 0.1121509000, 0.2695097000", \ - "0.0138531000, 0.0152995000, 0.0189520000, 0.0280759000, 0.0513672000, 0.1120875000, 0.2692497000", \ - "0.0136384000, 0.0150775000, 0.0187081000, 0.0278230000, 0.0511436000, 0.1113926000, 0.2692940000", \ - "0.0133793000, 0.0148223000, 0.0184124000, 0.0274456000, 0.0509335000, 0.1115437000, 0.2675648000", \ - "0.0136404000, 0.0150008000, 0.0184602000, 0.0272379000, 0.0505417000, 0.1110688000, 0.2686249000", \ - "0.0143280000, 0.0156555000, 0.0190694000, 0.0280091000, 0.0511463000, 0.1115894000, 0.2681380000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0163677000, 0.0155300000, 0.0133181000, 0.0061892000, -0.015516500, -0.075621700, -0.233767500", \ - "0.0162224000, 0.0154282000, 0.0131497000, 0.0060160000, -0.015664500, -0.075716300, -0.233894200", \ - "0.0159708000, 0.0151814000, 0.0128861000, 0.0057917000, -0.015914600, -0.075991900, -0.234139200", \ - "0.0157979000, 0.0150039000, 0.0127342000, 0.0056107000, -0.016083400, -0.076162600, -0.234314100", \ - "0.0157164000, 0.0149494000, 0.0127073000, 0.0055133000, -0.016175300, -0.076280300, -0.234435000", \ - "0.0159048000, 0.0154919000, 0.0132787000, 0.0060781000, -0.015584600, -0.075702900, -0.233834100", \ - "0.0225818000, 0.0207384000, 0.0172320000, 0.0082846000, -0.014713200, -0.074774700, -0.233012500"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0089097000, 0.0103600000, 0.0140091000, 0.0230901000, 0.0462130000, 0.1063316000, 0.2641330000", \ - "0.0088646000, 0.0103112000, 0.0139526000, 0.0230518000, 0.0462122000, 0.1068807000, 0.2623319000", \ - "0.0087411000, 0.0101714000, 0.0137723000, 0.0228654000, 0.0460633000, 0.1061756000, 0.2627083000", \ - "0.0085178000, 0.0099104000, 0.0134745000, 0.0225386000, 0.0457982000, 0.1065775000, 0.2629186000", \ - "0.0086120000, 0.0099051000, 0.0133456000, 0.0223173000, 0.0455238000, 0.1063586000, 0.2608913000", \ - "0.0089174000, 0.0102216000, 0.0136869000, 0.0225674000, 0.0457318000, 0.1054130000, 0.2627094000", \ - "0.0104028000, 0.0116309000, 0.0149632000, 0.0239229000, 0.0469866000, 0.1072076000, 0.2634127000"); - } - } - max_capacitance : 0.1583960000; - max_transition : 1.4995910000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.2718699000, 0.2810688000, 0.2998839000, 0.3359439000, 0.4037139000, 0.5431080000, 0.8693125000", \ - "0.2757802000, 0.2850464000, 0.3037228000, 0.3397519000, 0.4077485000, 0.5471860000, 0.8733100000", \ - "0.2864037000, 0.2955801000, 0.3143870000, 0.3504405000, 0.4182074000, 0.5576269000, 0.8838270000", \ - "0.3111263000, 0.3203105000, 0.3392096000, 0.3751683000, 0.4434154000, 0.5826744000, 0.9089619000", \ - "0.3637162000, 0.3728983000, 0.3917417000, 0.4276783000, 0.4957171000, 0.6351205000, 0.9610824000", \ - "0.4665527000, 0.4762496000, 0.4957720000, 0.5329387000, 0.6025213000, 0.7426615000, 1.0692653000", \ - "0.6360417000, 0.6472651000, 0.6691763000, 0.7105261000, 0.7865938000, 0.9337855000, 1.2641687000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0959597000, 0.1042351000, 0.1222814000, 0.1618205000, 0.2575242000, 0.5031873000, 1.1405868000", \ - "0.1001007000, 0.1084136000, 0.1264623000, 0.1660218000, 0.2617233000, 0.5072890000, 1.1444498000", \ - "0.1104486000, 0.1187022000, 0.1367225000, 0.1762487000, 0.2719180000, 0.5172002000, 1.1552972000", \ - "0.1359734000, 0.1441635000, 0.1619924000, 0.2013576000, 0.2969254000, 0.5424669000, 1.1801572000", \ - "0.1830391000, 0.1917007000, 0.2100285000, 0.2498530000, 0.3452868000, 0.5912923000, 1.2305148000", \ - "0.2436762000, 0.2539087000, 0.2742672000, 0.3156666000, 0.4116452000, 0.6566855000, 1.2977542000", \ - "0.3031535000, 0.3163811000, 0.3420524000, 0.3882771000, 0.4855646000, 0.7309999000, 1.3683619000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0356671000, 0.0416622000, 0.0545874000, 0.0828700000, 0.1453446000, 0.2969950000, 0.7081519000", \ - "0.0359891000, 0.0414291000, 0.0553914000, 0.0828648000, 0.1453334000, 0.2966787000, 0.7098238000", \ - "0.0356400000, 0.0416574000, 0.0545784000, 0.0827604000, 0.1453443000, 0.2969449000, 0.7086830000", \ - "0.0355609000, 0.0418343000, 0.0551318000, 0.0825394000, 0.1451487000, 0.2970949000, 0.7099778000", \ - "0.0354871000, 0.0414700000, 0.0552905000, 0.0826016000, 0.1453093000, 0.2968340000, 0.7102247000", \ - "0.0382663000, 0.0445750000, 0.0583604000, 0.0857558000, 0.1484024000, 0.2978196000, 0.7147290000", \ - "0.0457886000, 0.0526737000, 0.0673120000, 0.0978294000, 0.1608631000, 0.3097987000, 0.7129807000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0291442000, 0.0364572000, 0.0549331000, 0.1027931000, 0.2335966000, 0.5811169000, 1.4888019000", \ - "0.0290845000, 0.0364681000, 0.0547543000, 0.1030110000, 0.2337516000, 0.5809531000, 1.4895148000", \ - "0.0289415000, 0.0362587000, 0.0547261000, 0.1028698000, 0.2331089000, 0.5798897000, 1.4918503000", \ - "0.0285090000, 0.0358541000, 0.0541181000, 0.1024778000, 0.2335453000, 0.5815843000, 1.4890666000", \ - "0.0315638000, 0.0383915000, 0.0559664000, 0.1032178000, 0.2332359000, 0.5806928000, 1.4938475000", \ - "0.0394674000, 0.0457386000, 0.0619027000, 0.1061798000, 0.2339669000, 0.5799363000, 1.4913223000", \ - "0.0533456000, 0.0603151000, 0.0762228000, 0.1162562000, 0.2372684000, 0.5835843000, 1.4880850000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.3004158000, 0.3098479000, 0.3290808000, 0.3654387000, 0.4330077000, 0.5719390000, 0.8979430000", \ - "0.3047891000, 0.3143433000, 0.3336198000, 0.3698342000, 0.4378845000, 0.5767571000, 0.9025515000", \ - "0.3166261000, 0.3261766000, 0.3453865000, 0.3817236000, 0.4498709000, 0.5886904000, 0.9146890000", \ - "0.3435060000, 0.3530606000, 0.3722734000, 0.4086489000, 0.4762593000, 0.6152399000, 0.9410532000", \ - "0.3998510000, 0.4093862000, 0.4286267000, 0.4648197000, 0.5326425000, 0.6715760000, 0.9974001000", \ - "0.5152854000, 0.5250763000, 0.5447906000, 0.5813061000, 0.6505340000, 0.7898678000, 1.1159420000", \ - "0.7160773000, 0.7272625000, 0.7493714000, 0.7902805000, 0.8652599000, 1.0113297000, 1.3407643000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.1007749000, 0.1090890000, 0.1271255000, 0.1664735000, 0.2615760000, 0.5065852000, 1.1434349000", \ - "0.1050570000, 0.1133413000, 0.1313675000, 0.1707109000, 0.2658283000, 0.5103087000, 1.1488456000", \ - "0.1143064000, 0.1226585000, 0.1405376000, 0.1799799000, 0.2750079000, 0.5205680000, 1.1593690000", \ - "0.1355205000, 0.1437775000, 0.1615642000, 0.2010046000, 0.2962827000, 0.5417634000, 1.1802088000", \ - "0.1756602000, 0.1842682000, 0.2027111000, 0.2425919000, 0.3377742000, 0.5824721000, 1.2205560000", \ - "0.2317263000, 0.2416789000, 0.2618763000, 0.3033079000, 0.3992129000, 0.6441765000, 1.2817373000", \ - "0.2857856000, 0.2985872000, 0.3232096000, 0.3689463000, 0.4664761000, 0.7118849000, 1.3488795000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0384919000, 0.0441007000, 0.0568520000, 0.0844209000, 0.1471822000, 0.2986121000, 0.7098613000", \ - "0.0380010000, 0.0439337000, 0.0578089000, 0.0844708000, 0.1476124000, 0.2983498000, 0.7108239000", \ - "0.0381211000, 0.0441907000, 0.0568071000, 0.0848140000, 0.1472561000, 0.2984803000, 0.7109242000", \ - "0.0379861000, 0.0441287000, 0.0568603000, 0.0846498000, 0.1471402000, 0.2987257000, 0.7112493000", \ - "0.0378775000, 0.0437931000, 0.0577880000, 0.0845401000, 0.1476328000, 0.2983287000, 0.7107760000", \ - "0.0409985000, 0.0461264000, 0.0589079000, 0.0865390000, 0.1485323000, 0.2992576000, 0.7107380000", \ - "0.0474114000, 0.0540479000, 0.0683895000, 0.0981506000, 0.1603646000, 0.3085311000, 0.7156951000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0291138000, 0.0364654000, 0.0548144000, 0.1031444000, 0.2336981000, 0.5809621000, 1.4894842000", \ - "0.0291039000, 0.0364743000, 0.0547754000, 0.1029609000, 0.2332981000, 0.5804553000, 1.4935367000", \ - "0.0290583000, 0.0363495000, 0.0546895000, 0.1028060000, 0.2332926000, 0.5814085000, 1.4935118000", \ - "0.0287983000, 0.0361032000, 0.0544363000, 0.1027507000, 0.2336568000, 0.5819534000, 1.4929743000", \ - "0.0310509000, 0.0381871000, 0.0559560000, 0.1030713000, 0.2334075000, 0.5796945000, 1.4923894000", \ - "0.0369614000, 0.0438781000, 0.0610503000, 0.1062305000, 0.2344843000, 0.5810502000, 1.4908015000", \ - "0.0494959000, 0.0570786000, 0.0732087000, 0.1147128000, 0.2371817000, 0.5842737000, 1.4900224000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.2539825000, 0.2633082000, 0.2822771000, 0.3182887000, 0.3866293000, 0.5260674000, 0.8526010000", \ - "0.2570007000, 0.2663085000, 0.2852185000, 0.3212924000, 0.3896883000, 0.5290967000, 0.8556876000", \ - "0.2660787000, 0.2753216000, 0.2942942000, 0.3298169000, 0.3981942000, 0.5379115000, 0.8643479000", \ - "0.2896681000, 0.2989212000, 0.3178773000, 0.3534442000, 0.4218080000, 0.5615625000, 0.8879980000", \ - "0.3438335000, 0.3531184000, 0.3720472000, 0.4080985000, 0.4764816000, 0.6161828000, 0.9427032000", \ - "0.4572395000, 0.4671836000, 0.4873230000, 0.5253570000, 0.5955614000, 0.7365882000, 1.0631587000", \ - "0.6485438000, 0.6603563000, 0.6842760000, 0.7277882000, 0.8051060000, 0.9533464000, 1.2848432000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0912742000, 0.0993004000, 0.1169786000, 0.1563876000, 0.2519393000, 0.4971291000, 1.1353235000", \ - "0.0957276000, 0.1037877000, 0.1215190000, 0.1608301000, 0.2564353000, 0.5026937000, 1.1429757000", \ - "0.1061334000, 0.1141749000, 0.1318499000, 0.1712231000, 0.2668671000, 0.5123179000, 1.1498752000", \ - "0.1303554000, 0.1382834000, 0.1558328000, 0.1950277000, 0.2907733000, 0.5362533000, 1.1753134000", \ - "0.1722499000, 0.1808413000, 0.1990510000, 0.2388380000, 0.3345243000, 0.5799629000, 1.2197073000", \ - "0.2233016000, 0.2337205000, 0.2543230000, 0.2959292000, 0.3921835000, 0.6382426000, 1.2769682000", \ - "0.2677511000, 0.2812188000, 0.3076498000, 0.3550390000, 0.4526826000, 0.6988506000, 1.3375542000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0364490000, 0.0422784000, 0.0561679000, 0.0836181000, 0.1471449000, 0.2983244000, 0.7133231000", \ - "0.0362543000, 0.0423520000, 0.0560120000, 0.0836238000, 0.1473026000, 0.2985255000, 0.7152064000", \ - "0.0364651000, 0.0425286000, 0.0562285000, 0.0852384000, 0.1469597000, 0.2986470000, 0.7088772000", \ - "0.0364477000, 0.0422557000, 0.0562341000, 0.0851500000, 0.1469071000, 0.2985923000, 0.7096913000", \ - "0.0362134000, 0.0424838000, 0.0564007000, 0.0835699000, 0.1465356000, 0.2984263000, 0.7134555000", \ - "0.0410827000, 0.0472070000, 0.0603503000, 0.0886371000, 0.1507796000, 0.3000621000, 0.7111194000", \ - "0.0517275000, 0.0589139000, 0.0735132000, 0.1040957000, 0.1653257000, 0.3126254000, 0.7170531000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0269304000, 0.0340213000, 0.0519629000, 0.1000307000, 0.2316129000, 0.5788491000, 1.4917040000", \ - "0.0269821000, 0.0340367000, 0.0521124000, 0.1002157000, 0.2308588000, 0.5812423000, 1.4908215000", \ - "0.0269922000, 0.0339995000, 0.0519551000, 0.1000833000, 0.2315639000, 0.5797731000, 1.4885727000", \ - "0.0270785000, 0.0341648000, 0.0521297000, 0.1001156000, 0.2317119000, 0.5812629000, 1.4932126000", \ - "0.0307866000, 0.0374730000, 0.0548242000, 0.1018420000, 0.2318479000, 0.5798857000, 1.4936437000", \ - "0.0394687000, 0.0457658000, 0.0618798000, 0.1055013000, 0.2330997000, 0.5801761000, 1.4883024000", \ - "0.0544824000, 0.0616852000, 0.0775583000, 0.1174234000, 0.2366905000, 0.5825116000, 1.4884424000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.2765441000, 0.2860521000, 0.3053623000, 0.3415714000, 0.4098088000, 0.5490614000, 0.8752761000", \ - "0.2803659000, 0.2899307000, 0.3091865000, 0.3455349000, 0.4136711000, 0.5525916000, 0.8787790000", \ - "0.2911181000, 0.3007225000, 0.3198700000, 0.3562009000, 0.4244022000, 0.5632758000, 0.8901407000", \ - "0.3175419000, 0.3269586000, 0.3461819000, 0.3825674000, 0.4504074000, 0.5898028000, 0.9161633000", \ - "0.3771739000, 0.3866017000, 0.4055787000, 0.4419711000, 0.5101174000, 0.6497744000, 0.9761045000", \ - "0.5071506000, 0.5174720000, 0.5375467000, 0.5747650000, 0.6443590000, 0.7842276000, 1.1106879000", \ - "0.7362507000, 0.7484099000, 0.7718258000, 0.8144591000, 0.8907071000, 1.0373323000, 1.3675626000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0954227000, 0.1034715000, 0.1212026000, 0.1605127000, 0.2561448000, 0.5024366000, 1.1426825000", \ - "0.0998925000, 0.1079468000, 0.1256690000, 0.1649982000, 0.2606129000, 0.5068683000, 1.1471655000", \ - "0.1092156000, 0.1172802000, 0.1349992000, 0.1743119000, 0.2698903000, 0.5160656000, 1.1562927000", \ - "0.1296779000, 0.1377135000, 0.1553316000, 0.1946032000, 0.2899707000, 0.5354094000, 1.1747705000", \ - "0.1664861000, 0.1750291000, 0.1932600000, 0.2330757000, 0.3289625000, 0.5744219000, 1.2135923000", \ - "0.2148218000, 0.2247603000, 0.2451133000, 0.2865945000, 0.3826625000, 0.6284097000, 1.2693674000", \ - "0.2552108000, 0.2681561000, 0.2937839000, 0.3400671000, 0.4380692000, 0.6847894000, 1.3223149000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0379094000, 0.0439151000, 0.0576134000, 0.0845433000, 0.1475603000, 0.2982687000, 0.7106195000", \ - "0.0380198000, 0.0440907000, 0.0572019000, 0.0855729000, 0.1465825000, 0.2981369000, 0.7120607000", \ - "0.0385985000, 0.0446998000, 0.0568747000, 0.0855697000, 0.1470884000, 0.2982007000, 0.7145803000", \ - "0.0385183000, 0.0441110000, 0.0568439000, 0.0845440000, 0.1469030000, 0.2983372000, 0.7119609000", \ - "0.0384161000, 0.0440742000, 0.0571592000, 0.0847161000, 0.1471671000, 0.2984562000, 0.7109136000", \ - "0.0415483000, 0.0477754000, 0.0602529000, 0.0881896000, 0.1493532000, 0.2987320000, 0.7118368000", \ - "0.0526828000, 0.0596483000, 0.0736350000, 0.1014694000, 0.1616212000, 0.3099152000, 0.7157793000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0269514000, 0.0340627000, 0.0520946000, 0.1002149000, 0.2310032000, 0.5811070000, 1.4914476000", \ - "0.0269133000, 0.0340471000, 0.0521244000, 0.1002141000, 0.2308434000, 0.5812281000, 1.4910105000", \ - "0.0269653000, 0.0340273000, 0.0521162000, 0.1002145000, 0.2310959000, 0.5814160000, 1.4910991000", \ - "0.0270386000, 0.0341456000, 0.0521707000, 0.1000333000, 0.2315769000, 0.5801148000, 1.4936803000", \ - "0.0298854000, 0.0368303000, 0.0543196000, 0.1013145000, 0.2318877000, 0.5806599000, 1.4938070000", \ - "0.0370502000, 0.0439780000, 0.0602190000, 0.1050491000, 0.2328866000, 0.5786459000, 1.4941333000", \ - "0.0502154000, 0.0581426000, 0.0742244000, 0.1153054000, 0.2359061000, 0.5819881000, 1.4857710000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.2229584000, 0.2325068000, 0.2515835000, 0.2880177000, 0.3564383000, 0.4963160000, 0.8226516000", \ - "0.2257875000, 0.2352964000, 0.2544992000, 0.2904823000, 0.3592360000, 0.4990857000, 0.8257683000", \ - "0.2336453000, 0.2430559000, 0.2623074000, 0.2984722000, 0.3669235000, 0.5070084000, 0.8334508000", \ - "0.2571214000, 0.2667293000, 0.2858806000, 0.3222652000, 0.3906405000, 0.5305519000, 0.8571033000", \ - "0.3182233000, 0.3277199000, 0.3468688000, 0.3832038000, 0.4518051000, 0.5913927000, 0.9181776000", \ - "0.4538479000, 0.4646018000, 0.4843421000, 0.5210731000, 0.5899943000, 0.7303618000, 1.0571100000", \ - "0.6737121000, 0.6851760000, 0.7099940000, 0.7527453000, 0.8244217000, 0.9678613000, 1.2986153000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0637429000, 0.0710199000, 0.0873325000, 0.1250781000, 0.2198004000, 0.4636752000, 1.1114848000", \ - "0.0686784000, 0.0758949000, 0.0922120000, 0.1298863000, 0.2244274000, 0.4693011000, 1.1167899000", \ - "0.0799824000, 0.0871196000, 0.1033250000, 0.1410552000, 0.2354634000, 0.4809775000, 1.1178870000", \ - "0.1031575000, 0.1104671000, 0.1267859000, 0.1645018000, 0.2593175000, 0.5046377000, 1.1416250000", \ - "0.1357895000, 0.1442785000, 0.1619752000, 0.2007166000, 0.2955195000, 0.5408246000, 1.1840898000", \ - "0.1718431000, 0.1828596000, 0.2045599000, 0.2458606000, 0.3411652000, 0.5863753000, 1.2267836000", \ - "0.1928274000, 0.2075953000, 0.2363610000, 0.2862464000, 0.3842620000, 0.6302266000, 1.2673107000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0379450000, 0.0439077000, 0.0569594000, 0.0844909000, 0.1472784000, 0.2976488000, 0.7107805000", \ - "0.0386317000, 0.0443539000, 0.0568535000, 0.0857940000, 0.1468609000, 0.2978483000, 0.7117269000", \ - "0.0385428000, 0.0440901000, 0.0568262000, 0.0849172000, 0.1472062000, 0.2972294000, 0.7107545000", \ - "0.0383676000, 0.0447804000, 0.0570603000, 0.0845916000, 0.1469499000, 0.2983977000, 0.7110497000", \ - "0.0375940000, 0.0441645000, 0.0574290000, 0.0842464000, 0.1471552000, 0.2978055000, 0.7148907000", \ - "0.0428732000, 0.0481506000, 0.0600489000, 0.0869130000, 0.1489986000, 0.2987990000, 0.7114626000", \ - "0.0590360000, 0.0656095000, 0.0779697000, 0.1024993000, 0.1591125000, 0.3069011000, 0.7154674000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0230017000, 0.0298173000, 0.0479198000, 0.0970976000, 0.2302919000, 0.5787556000, 1.4995905000", \ - "0.0230372000, 0.0298051000, 0.0478826000, 0.0969700000, 0.2302358000, 0.5818353000, 1.4952926000", \ - "0.0231306000, 0.0299067000, 0.0479447000, 0.0970460000, 0.2295413000, 0.5800320000, 1.4894636000", \ - "0.0248245000, 0.0313033000, 0.0487716000, 0.0972161000, 0.2302941000, 0.5819014000, 1.4933398000", \ - "0.0312096000, 0.0370929000, 0.0531031000, 0.0994479000, 0.2302947000, 0.5824335000, 1.4926370000", \ - "0.0428589000, 0.0487467000, 0.0632375000, 0.1049852000, 0.2316898000, 0.5784991000, 1.4973621000", \ - "0.0600106000, 0.0679208000, 0.0842151000, 0.1220568000, 0.2362384000, 0.5812168000, 1.4852509000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a221o_2") { - leakage_power () { - value : 0.0037804000; - when : "!A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0036531000; - when : "!A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0037804000; - when : "!A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0039234000; - when : "!A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0037804000; - when : "!A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0039224000; - when : "!A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0010843000; - when : "!A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0036179000; - when : "!A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0037804000; - when : "!A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0039373000; - when : "!A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0037802000; - when : "!A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0042077000; - when : "!A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0037803000; - when : "!A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0042066000; - when : "!A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0010843000; - when : "!A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0036179000; - when : "!A1&A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0037804000; - when : "A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0039616000; - when : "A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0037802000; - when : "A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0042319000; - when : "A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0037803000; - when : "A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0042308000; - when : "A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0010843000; - when : "A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0036179000; - when : "A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0010299000; - when : "A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0029993000; - when : "A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0010298000; - when : "A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0029796000; - when : "A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0010299000; - when : "A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0029315000; - when : "A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0009270000; - when : "A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0010385000; - when : "A1&A2&B1&B2&!C1"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__a221o"; - cell_leakage_power : 0.0030740500; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023270000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022290000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047372000, 0.0047372000, 0.0047372000, 0.0047385000, 0.0047414000, 0.0047481000, 0.0047635000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003736800, -0.003740100, -0.003747900, -0.003741200, -0.003725800, -0.003690300, -0.003608400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024250000; - } - pin ("A2") { - capacitance : 0.0023440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022010000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043240000, 0.0043216000, 0.0043161000, 0.0043144000, 0.0043104000, 0.0043013000, 0.0042801000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004302100, -0.004301300, -0.004299600, -0.004299400, -0.004299100, -0.004298400, -0.004296800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024870000; - } - pin ("B1") { - capacitance : 0.0023460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022040000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047582000, 0.0047609000, 0.0047670000, 0.0047660000, 0.0047636000, 0.0047582000, 0.0047457000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003940800, -0.003946700, -0.003960300, -0.003953800, -0.003939000, -0.003904800, -0.003825800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024880000; - } - pin ("B2") { - capacitance : 0.0023600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041131000, 0.0041140000, 0.0041159000, 0.0041161000, 0.0041165000, 0.0041175000, 0.0041197000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004126500, -0.004124900, -0.004121100, -0.004121200, -0.004121400, -0.004121800, -0.004122700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025320000; - } - pin ("C1") { - capacitance : 0.0022570000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0021307000, 0.0021311000, 0.0021320000, 0.0021459000, 0.0021780000, 0.0022520000, 0.0024226000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001741900, -0.001743700, -0.001747700, -0.001745800, -0.001741500, -0.001731600, -0.001708600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024470000; - } - pin ("X") { - direction : "output"; - function : "(B1&B2) | (A1&A2) | (C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0195943000, 0.0181055000, 0.0144627000, 0.0038551000, -0.030882300, -0.138711800, -0.456277500", \ - "0.0193223000, 0.0178298000, 0.0142573000, 0.0035783000, -0.031001600, -0.138779600, -0.456393700", \ - "0.0192105000, 0.0176549000, 0.0140916000, 0.0034224000, -0.031190200, -0.139072000, -0.456619800", \ - "0.0189233000, 0.0174410000, 0.0137924000, 0.0031900000, -0.031533900, -0.139366700, -0.456889600", \ - "0.0185877000, 0.0170658000, 0.0134936000, 0.0028438000, -0.031795300, -0.139627700, -0.457172300", \ - "0.0185675000, 0.0170833000, 0.0134370000, 0.0027287000, -0.031938300, -0.139751300, -0.457267100", \ - "0.0250681000, 0.0233250000, 0.0186692000, 0.0055975000, -0.031941100, -0.139776900, -0.457270000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0197958000, 0.0214662000, 0.0262964000, 0.0397053000, 0.0772859000, 0.1855105000, 0.5003152000", \ - "0.0196929000, 0.0213458000, 0.0261845000, 0.0395918000, 0.0771904000, 0.1854180000, 0.5002012000", \ - "0.0195247000, 0.0212095000, 0.0260243000, 0.0394843000, 0.0770498000, 0.1854191000, 0.5017917000", \ - "0.0195106000, 0.0211708000, 0.0259697000, 0.0393816000, 0.0769400000, 0.1851100000, 0.4994904000", \ - "0.0195639000, 0.0212031000, 0.0258828000, 0.0392170000, 0.0768240000, 0.1854618000, 0.5016940000", \ - "0.0205751000, 0.0220893000, 0.0266302000, 0.0393509000, 0.0768677000, 0.1850422000, 0.5016983000", \ - "0.0221658000, 0.0236318000, 0.0279322000, 0.0409608000, 0.0782511000, 0.1866315000, 0.5012372000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0223092000, 0.0207473000, 0.0171886000, 0.0064359000, -0.028460200, -0.136594400, -0.454575600", \ - "0.0223473000, 0.0207949000, 0.0172275000, 0.0064069000, -0.028473300, -0.136765400, -0.454658600", \ - "0.0220279000, 0.0205057000, 0.0169024000, 0.0060975000, -0.028670300, -0.136909600, -0.454762700", \ - "0.0218067000, 0.0202523000, 0.0166824000, 0.0059319000, -0.028932300, -0.137125800, -0.455017100", \ - "0.0216008000, 0.0200773000, 0.0164909000, 0.0057489000, -0.029141500, -0.137337300, -0.455206100", \ - "0.0217300000, 0.0202176000, 0.0165030000, 0.0056952000, -0.029196400, -0.137316100, -0.455121900", \ - "0.0279054000, 0.0262271000, 0.0214801000, 0.0082397000, -0.029665700, -0.137185700, -0.454929700"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0203779000, 0.0220471000, 0.0268725000, 0.0402888000, 0.0777814000, 0.1860093000, 0.4993225000", \ - "0.0202826000, 0.0219563000, 0.0267683000, 0.0401861000, 0.0776649000, 0.1856406000, 0.4999676000", \ - "0.0201339000, 0.0218105000, 0.0265956000, 0.0400651000, 0.0775023000, 0.1856663000, 0.4996022000", \ - "0.0200011000, 0.0216548000, 0.0264746000, 0.0398917000, 0.0774216000, 0.1865062000, 0.4998207000", \ - "0.0199915000, 0.0216408000, 0.0264101000, 0.0397639000, 0.0773497000, 0.1857970000, 0.4997935000", \ - "0.0208448000, 0.0223808000, 0.0268940000, 0.0397402000, 0.0773458000, 0.1851421000, 0.4995026000", \ - "0.0221269000, 0.0235719000, 0.0279943000, 0.0409946000, 0.0783642000, 0.1865047000, 0.4988851000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0169403000, 0.0154206000, 0.0119030000, 0.0011000000, -0.033728200, -0.141847700, -0.459621600", \ - "0.0168225000, 0.0153140000, 0.0117306000, 0.0009918000, -0.033883600, -0.141937700, -0.459727500", \ - "0.0166923000, 0.0151517000, 0.0115496000, 0.0007914000, -0.034098300, -0.142238300, -0.459977100", \ - "0.0164225000, 0.0149185000, 0.0113002000, 0.0005357000, -0.034412200, -0.142489400, -0.460226000", \ - "0.0160458000, 0.0145560000, 0.0109484000, 0.0002111000, -0.034703700, -0.142746200, -0.460458500", \ - "0.0160908000, 0.0145292000, 0.0109213000, -2.60000e-06, -0.034791600, -0.142804300, -0.460451200", \ - "0.0231325000, 0.0213498000, 0.0165382000, 0.0029854000, -0.034802300, -0.142115400, -0.460007100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0183717000, 0.0200564000, 0.0248766000, 0.0383435000, 0.0762955000, 0.1851181000, 0.5016251000", \ - "0.0183796000, 0.0200448000, 0.0248644000, 0.0383310000, 0.0763309000, 0.1852555000, 0.4994167000", \ - "0.0182977000, 0.0199596000, 0.0247897000, 0.0383212000, 0.0761804000, 0.1849263000, 0.4995154000", \ - "0.0181795000, 0.0198451000, 0.0246375000, 0.0380924000, 0.0758823000, 0.1847446000, 0.4991556000", \ - "0.0180647000, 0.0197040000, 0.0243899000, 0.0377149000, 0.0754524000, 0.1852232000, 0.5011514000", \ - "0.0187865000, 0.0203073000, 0.0248952000, 0.0377159000, 0.0753784000, 0.1836361000, 0.4991196000", \ - "0.0201472000, 0.0215837000, 0.0259771000, 0.0390261000, 0.0764821000, 0.1851973000, 0.4981081000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0197219000, 0.0181988000, 0.0146656000, 0.0039405000, -0.030868700, -0.138960100, -0.456686700", \ - "0.0198457000, 0.0183120000, 0.0146995000, 0.0039001000, -0.030969600, -0.139071300, -0.456792600", \ - "0.0194910000, 0.0179725000, 0.0145567000, 0.0038143000, -0.031053700, -0.139190400, -0.456906300", \ - "0.0194110000, 0.0178725000, 0.0142482000, 0.0035223000, -0.031315500, -0.139411100, -0.457100000", \ - "0.0191269000, 0.0176192000, 0.0140605000, 0.0032733000, -0.031546700, -0.139566800, -0.457256800", \ - "0.0191857000, 0.0179631000, 0.0139506000, 0.0031793000, -0.031474400, -0.139550700, -0.457213600", \ - "0.0258806000, 0.0240974000, 0.0192805000, 0.0059434000, -0.031768200, -0.139336900, -0.457050800"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0186697000, 0.0203503000, 0.0251811000, 0.0386484000, 0.0766154000, 0.1862656000, 0.4990586000", \ - "0.0186762000, 0.0203472000, 0.0251806000, 0.0387052000, 0.0766038000, 0.1862661000, 0.4990895000", \ - "0.0186156000, 0.0203017000, 0.0251391000, 0.0386497000, 0.0765320000, 0.1852285000, 0.4991633000", \ - "0.0184476000, 0.0201102000, 0.0249196000, 0.0384095000, 0.0762623000, 0.1851653000, 0.4998191000", \ - "0.0182855000, 0.0199002000, 0.0247147000, 0.0380095000, 0.0758566000, 0.1848130000, 0.4993367000", \ - "0.0187725000, 0.0203162000, 0.0249078000, 0.0379043000, 0.0755288000, 0.1837145000, 0.4992848000", \ - "0.0196647000, 0.0211387000, 0.0255698000, 0.0387294000, 0.0763044000, 0.1851831000, 0.4993995000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0193244000, 0.0177835000, 0.0142076000, 0.0034813000, -0.031327400, -0.139131500, -0.456758500", \ - "0.0192346000, 0.0176865000, 0.0141238000, 0.0033641000, -0.031419100, -0.139293000, -0.456885500", \ - "0.0189879000, 0.0174555000, 0.0138693000, 0.0030701000, -0.031656000, -0.139479600, -0.457027300", \ - "0.0187781000, 0.0173073000, 0.0136799000, 0.0029270000, -0.031828600, -0.139698300, -0.457281100", \ - "0.0186148000, 0.0170742000, 0.0134934000, 0.0028539000, -0.032057700, -0.139902800, -0.457440200", \ - "0.0190463000, 0.0174949000, 0.0138177000, 0.0029342000, -0.031510400, -0.139597000, -0.457198100", \ - "0.0274348000, 0.0255918000, 0.0207133000, 0.0072035000, -0.030071600, -0.138120800, -0.455831400"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0137065000, 0.0154214000, 0.0202881000, 0.0337683000, 0.0715939000, 0.1810922000, 0.4945675000", \ - "0.0137127000, 0.0154090000, 0.0202706000, 0.0337552000, 0.0716030000, 0.1793317000, 0.4946150000", \ - "0.0136460000, 0.0153411000, 0.0201768000, 0.0336285000, 0.0714260000, 0.1801023000, 0.4930587000", \ - "0.0134705000, 0.0151349000, 0.0198884000, 0.0332203000, 0.0711068000, 0.1799424000, 0.4947681000", \ - "0.0134704000, 0.0150364000, 0.0197177000, 0.0328489000, 0.0705986000, 0.1796128000, 0.4948464000", \ - "0.0142977000, 0.0158040000, 0.0202821000, 0.0333852000, 0.0708406000, 0.1788205000, 0.4943905000", \ - "0.0158550000, 0.0172906000, 0.0216076000, 0.0347362000, 0.0720394000, 0.1804881000, 0.4935982000"); - } - } - max_capacitance : 0.2993870000; - max_transition : 1.5038360000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.3129089000, 0.3209928000, 0.3388361000, 0.3740128000, 0.4408129000, 0.5765300000, 0.9004160000", \ - "0.3172753000, 0.3253968000, 0.3432312000, 0.3784160000, 0.4447771000, 0.5801912000, 0.9042688000", \ - "0.3281991000, 0.3362946000, 0.3541021000, 0.3894759000, 0.4560148000, 0.5916612000, 0.9156850000", \ - "0.3530388000, 0.3611191000, 0.3789282000, 0.4140795000, 0.4803788000, 0.6161047000, 0.9401624000", \ - "0.4055478000, 0.4136745000, 0.4314752000, 0.4665365000, 0.5331681000, 0.6686677000, 0.9924919000", \ - "0.5139023000, 0.5223660000, 0.5406284000, 0.5761926000, 0.6431716000, 0.7792890000, 1.1034598000", \ - "0.6994129000, 0.7086559000, 0.7291153000, 0.7689527000, 0.8427091000, 0.9855123000, 1.3148073000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.1049945000, 0.1117510000, 0.1273271000, 0.1619036000, 0.2462126000, 0.4812113000, 1.1576101000", \ - "0.1091210000, 0.1159061000, 0.1314900000, 0.1660496000, 0.2503381000, 0.4852863000, 1.1617370000", \ - "0.1195385000, 0.1263171000, 0.1419194000, 0.1764474000, 0.2607114000, 0.4948540000, 1.1741705000", \ - "0.1444801000, 0.1511501000, 0.1665112000, 0.2008896000, 0.2849631000, 0.5193896000, 1.1986647000", \ - "0.1951459000, 0.2022448000, 0.2181252000, 0.2527949000, 0.3370468000, 0.5714890000, 1.2492597000", \ - "0.2632674000, 0.2719066000, 0.2904564000, 0.3276845000, 0.4129994000, 0.6475354000, 1.3251595000", \ - "0.3341542000, 0.3452706000, 0.3690226000, 0.4138005000, 0.5028092000, 0.7378873000, 1.4133040000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0394573000, 0.0445037000, 0.0551165000, 0.0792782000, 0.1312807000, 0.2665751000, 0.6619360000", \ - "0.0394718000, 0.0444177000, 0.0551418000, 0.0796378000, 0.1328746000, 0.2672127000, 0.6611391000", \ - "0.0392863000, 0.0441293000, 0.0559889000, 0.0793627000, 0.1317847000, 0.2665049000, 0.6625996000", \ - "0.0394339000, 0.0444881000, 0.0550639000, 0.0792822000, 0.1324433000, 0.2665791000, 0.6635039000", \ - "0.0392529000, 0.0441643000, 0.0553710000, 0.0786216000, 0.1320429000, 0.2671030000, 0.6626692000", \ - "0.0416697000, 0.0462898000, 0.0573780000, 0.0804892000, 0.1345712000, 0.2679686000, 0.6626783000", \ - "0.0493158000, 0.0547334000, 0.0675960000, 0.0918233000, 0.1461473000, 0.2804369000, 0.6683337000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0259695000, 0.0311733000, 0.0445778000, 0.0815688000, 0.1922603000, 0.5251626000, 1.4996693000", \ - "0.0259681000, 0.0311404000, 0.0445769000, 0.0815506000, 0.1921027000, 0.5248968000, 1.4991932000", \ - "0.0258876000, 0.0310163000, 0.0444992000, 0.0815733000, 0.1922751000, 0.5253765000, 1.4998386000", \ - "0.0253961000, 0.0306160000, 0.0441297000, 0.0813153000, 0.1920290000, 0.5246678000, 1.4958526000", \ - "0.0281678000, 0.0331774000, 0.0459792000, 0.0819872000, 0.1916103000, 0.5256359000, 1.4994627000", \ - "0.0369556000, 0.0415192000, 0.0543997000, 0.0875427000, 0.1944332000, 0.5251540000, 1.4980996000", \ - "0.0500995000, 0.0565375000, 0.0698803000, 0.1025845000, 0.1999383000, 0.5277170000, 1.4935811000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.3417690000, 0.3501460000, 0.3688375000, 0.4047680000, 0.4724293000, 0.6075234000, 0.9317824000", \ - "0.3465803000, 0.3548540000, 0.3736714000, 0.4096276000, 0.4770286000, 0.6128624000, 0.9371609000", \ - "0.3586978000, 0.3672533000, 0.3858270000, 0.4217474000, 0.4883643000, 0.6245784000, 0.9486915000", \ - "0.3861022000, 0.3944859000, 0.4131878000, 0.4489641000, 0.5166054000, 0.6517420000, 0.9760130000", \ - "0.4436336000, 0.4522185000, 0.4708556000, 0.5067898000, 0.5739089000, 0.7096336000, 1.0339392000", \ - "0.5644096000, 0.5729490000, 0.5917729000, 0.6277982000, 0.6949138000, 0.8311873000, 1.1555403000", \ - "0.7841740000, 0.7938076000, 0.8150305000, 0.8547439000, 0.9281249000, 1.0702916000, 1.3987616000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.1097419000, 0.1165753000, 0.1321244000, 0.1666571000, 0.2508511000, 0.4858541000, 1.1610625000", \ - "0.1140041000, 0.1207555000, 0.1362840000, 0.1708530000, 0.2548414000, 0.4890314000, 1.1679256000", \ - "0.1231353000, 0.1298957000, 0.1454124000, 0.1799997000, 0.2641806000, 0.4979476000, 1.1771515000", \ - "0.1442615000, 0.1509662000, 0.1664263000, 0.2008763000, 0.2850443000, 0.5200549000, 1.1950521000", \ - "0.1861258000, 0.1931883000, 0.2091881000, 0.2439927000, 0.3283664000, 0.5627309000, 1.2377294000", \ - "0.2472406000, 0.2554355000, 0.2735299000, 0.3105054000, 0.3960691000, 0.6304939000, 1.3062879000", \ - "0.3094142000, 0.3198932000, 0.3423520000, 0.3855379000, 0.4744092000, 0.7097001000, 1.3845970000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0421644000, 0.0475404000, 0.0592311000, 0.0811147000, 0.1342708000, 0.2689918000, 0.6614829000", \ - "0.0422806000, 0.0470376000, 0.0580719000, 0.0824140000, 0.1348856000, 0.2678721000, 0.6641943000", \ - "0.0422823000, 0.0473564000, 0.0582053000, 0.0810551000, 0.1352831000, 0.2692107000, 0.6628971000", \ - "0.0422169000, 0.0475112000, 0.0591493000, 0.0812353000, 0.1345340000, 0.2687859000, 0.6632069000", \ - "0.0423061000, 0.0474017000, 0.0585825000, 0.0815744000, 0.1340655000, 0.2686928000, 0.6627727000", \ - "0.0436475000, 0.0487688000, 0.0594140000, 0.0816133000, 0.1342598000, 0.2685804000, 0.6632540000", \ - "0.0511722000, 0.0569639000, 0.0694191000, 0.0930819000, 0.1455951000, 0.2802803000, 0.6668233000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0260104000, 0.0310472000, 0.0445638000, 0.0815680000, 0.1920879000, 0.5245304000, 1.4956508000", \ - "0.0258988000, 0.0311143000, 0.0445428000, 0.0815899000, 0.1920178000, 0.5247270000, 1.4959890000", \ - "0.0258784000, 0.0309527000, 0.0445044000, 0.0815267000, 0.1921829000, 0.5252207000, 1.4984524000", \ - "0.0256131000, 0.0307629000, 0.0442696000, 0.0813995000, 0.1922308000, 0.5260575000, 1.4989449000", \ - "0.0275869000, 0.0327953000, 0.0458961000, 0.0820395000, 0.1917684000, 0.5256475000, 1.4976358000", \ - "0.0339256000, 0.0386023000, 0.0518796000, 0.0867906000, 0.1939690000, 0.5237469000, 1.4984641000", \ - "0.0454801000, 0.0513728000, 0.0650141000, 0.0985357000, 0.1988499000, 0.5263620000, 1.4967342000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.2966888000, 0.3048864000, 0.3230292000, 0.3585528000, 0.4258047000, 0.5622831000, 0.8872204000", \ - "0.3000986000, 0.3083434000, 0.3264632000, 0.3620032000, 0.4287808000, 0.5655797000, 0.8904551000", \ - "0.3094880000, 0.3176800000, 0.3358207000, 0.3714140000, 0.4387564000, 0.5752415000, 0.9002475000", \ - "0.3329020000, 0.3410615000, 0.3591603000, 0.3946949000, 0.4620726000, 0.5985829000, 0.9237213000", \ - "0.3865419000, 0.3947293000, 0.4127826000, 0.4481105000, 0.5155443000, 0.6520273000, 0.9771018000", \ - "0.5066172000, 0.5151963000, 0.5341025000, 0.5703457000, 0.6384429000, 0.7757340000, 1.1007402000", \ - "0.7175862000, 0.7275384000, 0.7492761000, 0.7909615000, 0.8669785000, 1.0108155000, 1.3416520000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0999778000, 0.1065475000, 0.1217092000, 0.1556299000, 0.2395931000, 0.4749851000, 1.1523964000", \ - "0.1045224000, 0.1110400000, 0.1261606000, 0.1601029000, 0.2441120000, 0.4784475000, 1.1543013000", \ - "0.1148857000, 0.1214365000, 0.1365783000, 0.1706205000, 0.2546058000, 0.4890454000, 1.1656691000", \ - "0.1394368000, 0.1459178000, 0.1609610000, 0.1948390000, 0.2786933000, 0.5133131000, 1.1896957000", \ - "0.1866767000, 0.1936660000, 0.2095486000, 0.2439882000, 0.3279686000, 0.5626703000, 1.2394529000", \ - "0.2466831000, 0.2554416000, 0.2742127000, 0.3116480000, 0.3970404000, 0.6320025000, 1.3095299000", \ - "0.3054222000, 0.3168583000, 0.3412488000, 0.3868843000, 0.4766590000, 0.7118651000, 1.3877627000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0403177000, 0.0454513000, 0.0564067000, 0.0809131000, 0.1341009000, 0.2692793000, 0.6645970000", \ - "0.0403784000, 0.0454349000, 0.0562697000, 0.0810416000, 0.1347255000, 0.2696366000, 0.6633448000", \ - "0.0402220000, 0.0452208000, 0.0561571000, 0.0812507000, 0.1346538000, 0.2689902000, 0.6627296000", \ - "0.0403981000, 0.0452274000, 0.0562175000, 0.0807765000, 0.1331881000, 0.2688407000, 0.6628967000", \ - "0.0404804000, 0.0452977000, 0.0562847000, 0.0812386000, 0.1338967000, 0.2688964000, 0.6649417000", \ - "0.0439283000, 0.0488479000, 0.0599842000, 0.0833458000, 0.1361176000, 0.2706134000, 0.6639809000", \ - "0.0555397000, 0.0608072000, 0.0730712000, 0.0983252000, 0.1530542000, 0.2848819000, 0.6714296000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0243722000, 0.0292848000, 0.0425673000, 0.0791830000, 0.1901391000, 0.5238031000, 1.5003229000", \ - "0.0242924000, 0.0292224000, 0.0425529000, 0.0791952000, 0.1901379000, 0.5246046000, 1.4974605000", \ - "0.0243805000, 0.0292234000, 0.0425133000, 0.0791529000, 0.1899009000, 0.5240065000, 1.4986875000", \ - "0.0242154000, 0.0292719000, 0.0425123000, 0.0791903000, 0.1899093000, 0.5242747000, 1.4981766000", \ - "0.0276299000, 0.0325990000, 0.0452883000, 0.0809080000, 0.1900966000, 0.5254184000, 1.4969698000", \ - "0.0374352000, 0.0418215000, 0.0545832000, 0.0872605000, 0.1935939000, 0.5243666000, 1.4998254000", \ - "0.0511930000, 0.0575931000, 0.0717280000, 0.1041835000, 0.2002010000, 0.5269292000, 1.4963518000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.3173250000, 0.3258464000, 0.3444654000, 0.3803552000, 0.4475086000, 0.5836571000, 0.9078566000", \ - "0.3215175000, 0.3299723000, 0.3484943000, 0.3844100000, 0.4519641000, 0.5879456000, 0.9125475000", \ - "0.3322573000, 0.3407730000, 0.3593132000, 0.3950276000, 0.4623820000, 0.5986279000, 0.9228168000", \ - "0.3586099000, 0.3671146000, 0.3857069000, 0.4217812000, 0.4891544000, 0.6252100000, 0.9499076000", \ - "0.4179878000, 0.4264962000, 0.4448482000, 0.4808681000, 0.5482967000, 0.6842684000, 1.0091580000", \ - "0.5527709000, 0.5620407000, 0.5805235000, 0.6166468000, 0.6837706000, 0.8201861000, 1.1445328000", \ - "0.7991312000, 0.8092440000, 0.8313746000, 0.8730281000, 0.9477615000, 1.0915298000, 1.4208201000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.1041523000, 0.1107329000, 0.1258727000, 0.1598015000, 0.2437667000, 0.4778882000, 1.1534876000", \ - "0.1086130000, 0.1151748000, 0.1303222000, 0.1643616000, 0.2483118000, 0.4822929000, 1.1577962000", \ - "0.1180427000, 0.1245880000, 0.1397196000, 0.1737271000, 0.2576764000, 0.4915489000, 1.1675099000", \ - "0.1389509000, 0.1454902000, 0.1605895000, 0.1945476000, 0.2785047000, 0.5131796000, 1.1897913000", \ - "0.1789352000, 0.1859399000, 0.2016680000, 0.2361495000, 0.3204607000, 0.5552358000, 1.2319600000", \ - "0.2348828000, 0.2430962000, 0.2611711000, 0.2982643000, 0.3838413000, 0.6183198000, 1.2958336000", \ - "0.2887348000, 0.2993887000, 0.3224254000, 0.3662069000, 0.4556775000, 0.6901686000, 1.3664468000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0425281000, 0.0475758000, 0.0582753000, 0.0823287000, 0.1342004000, 0.2683062000, 0.6623228000", \ - "0.0421124000, 0.0471080000, 0.0582891000, 0.0823732000, 0.1339670000, 0.2686049000, 0.6626042000", \ - "0.0422143000, 0.0474383000, 0.0585750000, 0.0817110000, 0.1356039000, 0.2682895000, 0.6623487000", \ - "0.0421574000, 0.0473039000, 0.0578749000, 0.0820410000, 0.1345557000, 0.2682027000, 0.6616291000", \ - "0.0422447000, 0.0475058000, 0.0585796000, 0.0814962000, 0.1341378000, 0.2689503000, 0.6640711000", \ - "0.0445763000, 0.0491356000, 0.0596189000, 0.0824434000, 0.1358307000, 0.2695510000, 0.6637433000", \ - "0.0565960000, 0.0627225000, 0.0746297000, 0.0980748000, 0.1494204000, 0.2806371000, 0.6698126000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0243655000, 0.0292958000, 0.0425441000, 0.0790971000, 0.1901237000, 0.5243375000, 1.4947931000", \ - "0.0244157000, 0.0293618000, 0.0424922000, 0.0792588000, 0.1901833000, 0.5241360000, 1.4953877000", \ - "0.0243239000, 0.0292772000, 0.0425037000, 0.0792609000, 0.1901879000, 0.5238444000, 1.4960263000", \ - "0.0243855000, 0.0293216000, 0.0424774000, 0.0791645000, 0.1898162000, 0.5244823000, 1.4991514000", \ - "0.0269324000, 0.0316688000, 0.0446499000, 0.0804979000, 0.1901673000, 0.5246016000, 1.4992289000", \ - "0.0338182000, 0.0388488000, 0.0515380000, 0.0859075000, 0.1930097000, 0.5238776000, 1.4996295000", \ - "0.0465960000, 0.0525771000, 0.0661941000, 0.0991319000, 0.1988303000, 0.5258055000, 1.4958856000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.2634756000, 0.2719917000, 0.2907479000, 0.3265168000, 0.3943286000, 0.5299948000, 0.8545976000", \ - "0.2665037000, 0.2750564000, 0.2936894000, 0.3296016000, 0.3972825000, 0.5328940000, 0.8575075000", \ - "0.2746881000, 0.2832282000, 0.3019050000, 0.3377814000, 0.4050992000, 0.5412835000, 0.8661196000", \ - "0.2977854000, 0.3063021000, 0.3249010000, 0.3606868000, 0.4283228000, 0.5646246000, 0.8891607000", \ - "0.3575866000, 0.3661590000, 0.3847275000, 0.4198523000, 0.4882057000, 0.6244392000, 0.9490845000", \ - "0.4985447000, 0.5071921000, 0.5264424000, 0.5622917000, 0.6290313000, 0.7653229000, 1.0899358000", \ - "0.7397059000, 0.7503205000, 0.7744227000, 0.8178387000, 0.8919962000, 1.0325997000, 1.3616001000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0682380000, 0.0739285000, 0.0873089000, 0.1186968000, 0.2005189000, 0.4341102000, 1.1171725000", \ - "0.0732138000, 0.0788570000, 0.0922363000, 0.1236491000, 0.2054875000, 0.4387286000, 1.1237249000", \ - "0.0846657000, 0.0902716000, 0.1035972000, 0.1349805000, 0.2170720000, 0.4519006000, 1.1400463000", \ - "0.1097726000, 0.1154401000, 0.1288101000, 0.1600632000, 0.2422684000, 0.4765587000, 1.1509399000", \ - "0.1481122000, 0.1549919000, 0.1700657000, 0.2027980000, 0.2852538000, 0.5192386000, 1.1937642000", \ - "0.1917071000, 0.2007591000, 0.2199631000, 0.2571225000, 0.3410169000, 0.5747003000, 1.2534415000", \ - "0.2269714000, 0.2387016000, 0.2641886000, 0.3118572000, 0.4017679000, 0.6350652000, 1.3106353000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0423634000, 0.0474199000, 0.0589227000, 0.0811461000, 0.1342805000, 0.2688529000, 0.6626342000", \ - "0.0420289000, 0.0470298000, 0.0591286000, 0.0812220000, 0.1341662000, 0.2685524000, 0.6619351000", \ - "0.0421485000, 0.0473767000, 0.0584117000, 0.0810839000, 0.1343054000, 0.2687122000, 0.6639446000", \ - "0.0425609000, 0.0475756000, 0.0579466000, 0.0812177000, 0.1349067000, 0.2688243000, 0.6628104000", \ - "0.0420543000, 0.0471667000, 0.0590413000, 0.0811248000, 0.1331540000, 0.2676717000, 0.6641169000", \ - "0.0453205000, 0.0501665000, 0.0602949000, 0.0825290000, 0.1352233000, 0.2693523000, 0.6644321000", \ - "0.0631228000, 0.0697780000, 0.0816037000, 0.1033079000, 0.1520249000, 0.2792274000, 0.6686026000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0200722000, 0.0245489000, 0.0370619000, 0.0740243000, 0.1873470000, 0.5248376000, 1.5035910000", \ - "0.0200894000, 0.0245336000, 0.0370803000, 0.0740306000, 0.1873460000, 0.5231253000, 1.5038364000", \ - "0.0200817000, 0.0245385000, 0.0371756000, 0.0742471000, 0.1871749000, 0.5235646000, 1.4989497000", \ - "0.0212107000, 0.0254417000, 0.0378606000, 0.0744958000, 0.1871307000, 0.5235003000, 1.4965209000", \ - "0.0275541000, 0.0315655000, 0.0431042000, 0.0775198000, 0.1880258000, 0.5235511000, 1.4949896000", \ - "0.0388365000, 0.0432995000, 0.0545857000, 0.0860307000, 0.1908677000, 0.5219922000, 1.4992853000", \ - "0.0543745000, 0.0605566000, 0.0750959000, 0.1076369000, 0.1995252000, 0.5241374000, 1.4924216000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a221o_4") { - leakage_power () { - value : 0.0048020000; - when : "!A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0088948000; - when : "!A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0048025000; - when : "!A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0096033000; - when : "!A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0048009000; - when : "!A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0102735000; - when : "!A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0251463000; - when : "!A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0422681000; - when : "!A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0048012000; - when : "!A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0060180000; - when : "!A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0048011000; - when : "!A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0067691000; - when : "!A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0047993000; - when : "!A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0064033000; - when : "!A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0021035000; - when : "!A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0044814000; - when : "!A1&A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0048012000; - when : "A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0058405000; - when : "A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0048010000; - when : "A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0065917000; - when : "A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0048011000; - when : "A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0062239000; - when : "A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0021035000; - when : "A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0044814000; - when : "A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0021024000; - when : "A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0043889000; - when : "A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0021032000; - when : "A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0043021000; - when : "A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0021028000; - when : "A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0043684000; - when : "A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0251013000; - when : "A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0021183000; - when : "A1&A2&B1&B2&!C1"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__a221o"; - cell_leakage_power : 0.0074062540; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0044890000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043170000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092947000, 0.0092975000, 0.0093039000, 0.0093032000, 0.0093017000, 0.0092982000, 0.0092901000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007062000, -0.007073800, -0.007100900, -0.007083800, -0.007044500, -0.006953500, -0.006744000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046600000; - } - pin ("A2") { - capacitance : 0.0043610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040960000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080383000, 0.0080284000, 0.0080056000, 0.0080032000, 0.0079975000, 0.0079845000, 0.0079546000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007939500, -0.007939300, -0.007938900, -0.007938300, -0.007937100, -0.007934100, -0.007927200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046260000; - } - pin ("B1") { - capacitance : 0.0042340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039990000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091369000, 0.0091375000, 0.0091390000, 0.0091418000, 0.0091482000, 0.0091631000, 0.0091973000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006492200, -0.006503100, -0.006528300, -0.006511600, -0.006473100, -0.006384400, -0.006179900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044700000; - } - pin ("B2") { - capacitance : 0.0042700000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039420000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079273000, 0.0079142000, 0.0078840000, 0.0078841000, 0.0078843000, 0.0078848000, 0.0078858000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007884400, -0.007878000, -0.007863300, -0.007865200, -0.007869600, -0.007879900, -0.007903400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045980000; - } - pin ("C1") { - capacitance : 0.0043110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039450000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044322000, 0.0044310000, 0.0044283000, 0.0044604000, 0.0045344000, 0.0047048000, 0.0050978000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003531100, -0.003526000, -0.003514100, -0.003511700, -0.003506400, -0.003494200, -0.003465700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046770000; - } - pin ("X") { - direction : "output"; - function : "(B1&B2) | (A1&A2) | (C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016002750, 0.0051217590, 0.0163924400, 0.0524648300, 0.1679163000, 0.5374244000"); - values("0.0393167000, 0.0375542000, 0.0323322000, 0.0172425000, -0.035207500, -0.217920600, -0.815617300", \ - "0.0389494000, 0.0371450000, 0.0318161000, 0.0168152000, -0.035548400, -0.218159700, -0.815886900", \ - "0.0383543000, 0.0365510000, 0.0312412000, 0.0164365000, -0.036121700, -0.218574100, -0.816370700", \ - "0.0377638000, 0.0359774000, 0.0306018000, 0.0156013000, -0.036696900, -0.219222200, -0.816987300", \ - "0.0373424000, 0.0353950000, 0.0302129000, 0.0152097000, -0.037180700, -0.219874400, -0.817479600", \ - "0.0372851000, 0.0354404000, 0.0300378000, 0.0150567000, -0.037544900, -0.220104600, -0.817617900", \ - "0.0476969000, 0.0456955000, 0.0395404000, 0.0214559000, -0.036992400, -0.220271800, -0.817600300"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016002750, 0.0051217590, 0.0163924400, 0.0524648300, 0.1679163000, 0.5374244000"); - values("0.0374336000, 0.0393576000, 0.0454948000, 0.0648983000, 0.1237758000, 0.3095918000, 0.9007152000", \ - "0.0372709000, 0.0392045000, 0.0453260000, 0.0647229000, 0.1236088000, 0.3093896000, 0.9004196000", \ - "0.0370040000, 0.0389425000, 0.0450834000, 0.0644451000, 0.1234591000, 0.3090494000, 0.9006694000", \ - "0.0367217000, 0.0386449000, 0.0447752000, 0.0640964000, 0.1230529000, 0.3085198000, 0.9010636000", \ - "0.0368263000, 0.0387135000, 0.0446647000, 0.0637406000, 0.1226538000, 0.3083797000, 0.9040361000", \ - "0.0389554000, 0.0406872000, 0.0463295000, 0.0642253000, 0.1227576000, 0.3078765000, 0.9002835000", \ - "0.0418982000, 0.0435648000, 0.0490080000, 0.0671471000, 0.1251530000, 0.3103735000, 0.9020783000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016002750, 0.0051217590, 0.0163924400, 0.0524648300, 0.1679163000, 0.5374244000"); - values("0.0452384000, 0.0437792000, 0.0383515000, 0.0232606000, -0.029484800, -0.212526800, -0.810463300", \ - "0.0451071000, 0.0431795000, 0.0377567000, 0.0230596000, -0.029686900, -0.212679000, -0.810623200", \ - "0.0450523000, 0.0432747000, 0.0374986000, 0.0228102000, -0.029919700, -0.212951200, -0.810874100", \ - "0.0445688000, 0.0427308000, 0.0373229000, 0.0221827000, -0.030412200, -0.213207300, -0.811049800", \ - "0.0442122000, 0.0423760000, 0.0368925000, 0.0219053000, -0.030792400, -0.213656600, -0.811428200", \ - "0.0443526000, 0.0425123000, 0.0369542000, 0.0218144000, -0.030812800, -0.213771800, -0.811497900", \ - "0.0529081000, 0.0507834000, 0.0446469000, 0.0259276000, -0.031811700, -0.213687500, -0.811262600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016002750, 0.0051217590, 0.0163924400, 0.0524648300, 0.1679163000, 0.5374244000"); - values("0.0384772000, 0.0404077000, 0.0465307000, 0.0659203000, 0.1246597000, 0.3100446000, 0.9008526000", \ - "0.0382743000, 0.0402091000, 0.0463444000, 0.0656805000, 0.1245613000, 0.3097113000, 0.9010778000", \ - "0.0380145000, 0.0399224000, 0.0460760000, 0.0654872000, 0.1242595000, 0.3095617000, 0.9010344000", \ - "0.0378200000, 0.0397524000, 0.0458756000, 0.0652214000, 0.1239861000, 0.3093824000, 0.9008178000", \ - "0.0378038000, 0.0396709000, 0.0457634000, 0.0649323000, 0.1238671000, 0.3091422000, 0.9051084000", \ - "0.0394910000, 0.0412448000, 0.0469924000, 0.0651722000, 0.1237414000, 0.3082379000, 0.9010245000", \ - "0.0415151000, 0.0432169000, 0.0488759000, 0.0668499000, 0.1252085000, 0.3106564000, 0.9041559000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016002750, 0.0051217590, 0.0163924400, 0.0524648300, 0.1679163000, 0.5374244000"); - values("0.0333818000, 0.0315999000, 0.0264142000, 0.0112698000, -0.041344100, -0.224359100, -0.822254200", \ - "0.0330574000, 0.0312367000, 0.0259867000, 0.0108847000, -0.041636700, -0.224552200, -0.822444500", \ - "0.0327749000, 0.0310012000, 0.0256743000, 0.0107103000, -0.041961900, -0.224947400, -0.822794300", \ - "0.0319469000, 0.0301571000, 0.0248125000, 0.0098881000, -0.042738200, -0.225514900, -0.823428800", \ - "0.0313839000, 0.0295701000, 0.0242507000, 0.0091935000, -0.043351400, -0.226158000, -0.823913200", \ - "0.0316589000, 0.0297026000, 0.0242004000, 0.0089527000, -0.043366100, -0.226329900, -0.823994300", \ - "0.0412632000, 0.0391707000, 0.0328818000, 0.0139873000, -0.044538400, -0.226729900, -0.824155000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016002750, 0.0051217590, 0.0163924400, 0.0524648300, 0.1679163000, 0.5374244000"); - values("0.0340370000, 0.0359527000, 0.0421004000, 0.0615146000, 0.1207453000, 0.3070146000, 0.8993243000", \ - "0.0339852000, 0.0358837000, 0.0420636000, 0.0614891000, 0.1207952000, 0.3070879000, 0.9033042000", \ - "0.0338872000, 0.0357809000, 0.0419386000, 0.0612984000, 0.1206555000, 0.3068006000, 0.8988068000", \ - "0.0335916000, 0.0355104000, 0.0416554000, 0.0609382000, 0.1201382000, 0.3063401000, 0.8993349000", \ - "0.0334210000, 0.0352648000, 0.0412879000, 0.0603110000, 0.1192966000, 0.3057047000, 0.9025832000", \ - "0.0346926000, 0.0364665000, 0.0421408000, 0.0603674000, 0.1189245000, 0.3041682000, 0.8979926000", \ - "0.0368405000, 0.0384931000, 0.0440008000, 0.0620624000, 0.1203870000, 0.3059662000, 0.8991494000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016002750, 0.0051217590, 0.0163924400, 0.0524648300, 0.1679163000, 0.5374244000"); - values("0.0400470000, 0.0381496000, 0.0331952000, 0.0179878000, -0.034702200, -0.217496200, -0.815118500", \ - "0.0401129000, 0.0382273000, 0.0328345000, 0.0176760000, -0.034877900, -0.217675400, -0.815273300", \ - "0.0397349000, 0.0378486000, 0.0324582000, 0.0174073000, -0.035221500, -0.217903300, -0.815376100", \ - "0.0393261000, 0.0375182000, 0.0321056000, 0.0170002000, -0.035633500, -0.218168500, -0.815842900", \ - "0.0389925000, 0.0370696000, 0.0316337000, 0.0168001000, -0.035914200, -0.218625500, -0.816100300", \ - "0.0395974000, 0.0376612000, 0.0321648000, 0.0169054000, -0.036020400, -0.218772800, -0.816180400", \ - "0.0489334000, 0.0468195000, 0.0403904000, 0.0214274000, -0.036952600, -0.218950200, -0.816222600"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016002750, 0.0051217590, 0.0163924400, 0.0524648300, 0.1679163000, 0.5374244000"); - values("0.0355391000, 0.0374337000, 0.0436028000, 0.0630166000, 0.1223025000, 0.3085542000, 0.9050340000", \ - "0.0354829000, 0.0374014000, 0.0435310000, 0.0628618000, 0.1223390000, 0.3085540000, 0.9049752000", \ - "0.0353798000, 0.0372738000, 0.0434378000, 0.0627874000, 0.1221362000, 0.3083226000, 0.9006002000", \ - "0.0350765000, 0.0369711000, 0.0431282000, 0.0624398000, 0.1217769000, 0.3080448000, 0.9000011000", \ - "0.0347449000, 0.0366217000, 0.0427064000, 0.0617927000, 0.1210259000, 0.3074119000, 0.9003603000", \ - "0.0357377000, 0.0375439000, 0.0432972000, 0.0616980000, 0.1203856000, 0.3059902000, 0.8987427000", \ - "0.0374471000, 0.0391288000, 0.0447318000, 0.0628389000, 0.1215255000, 0.3072247000, 0.8977268000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016002750, 0.0051217590, 0.0163924400, 0.0524648300, 0.1679163000, 0.5374244000"); - values("0.0389789000, 0.0371190000, 0.0317649000, 0.0166735000, -0.035799900, -0.218278300, -0.815523000", \ - "0.0386449000, 0.0367433000, 0.0313545000, 0.0164851000, -0.036008700, -0.218506700, -0.815773600", \ - "0.0380907000, 0.0363091000, 0.0309782000, 0.0158983000, -0.036553300, -0.219059800, -0.816284300", \ - "0.0375211000, 0.0357232000, 0.0303234000, 0.0152085000, -0.037156300, -0.219560500, -0.816824500", \ - "0.0371885000, 0.0353172000, 0.0300660000, 0.0149979000, -0.037547300, -0.219889400, -0.817171700", \ - "0.0385592000, 0.0366849000, 0.0306069000, 0.0154288000, -0.037405700, -0.219928300, -0.816993700", \ - "0.0514704000, 0.0492909000, 0.0415241000, 0.0236009000, -0.035642700, -0.218021500, -0.815419300"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016002750, 0.0051217590, 0.0163924400, 0.0524648300, 0.1679163000, 0.5374244000"); - values("0.0253548000, 0.0273413000, 0.0335763000, 0.0528704000, 0.1118235000, 0.2974297000, 0.8938236000", \ - "0.0253629000, 0.0273423000, 0.0335995000, 0.0528581000, 0.1118474000, 0.2975230000, 0.8845480000", \ - "0.0252566000, 0.0272653000, 0.0334578000, 0.0525809000, 0.1115767000, 0.2971688000, 0.8850692000", \ - "0.0250949000, 0.0269997000, 0.0330807000, 0.0520216000, 0.1109488000, 0.2970082000, 0.8904426000", \ - "0.0252175000, 0.0270105000, 0.0329673000, 0.0517217000, 0.1102565000, 0.2963734000, 0.8900578000", \ - "0.0266912000, 0.0284184000, 0.0341220000, 0.0525076000, 0.1103672000, 0.2947405000, 0.8846824000", \ - "0.0296640000, 0.0313189000, 0.0367823000, 0.0547043000, 0.1128218000, 0.2975752000, 0.8866761000"); - } - } - max_capacitance : 0.5374240000; - max_transition : 1.5046820000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.3464217000, 0.3518557000, 0.3658939000, 0.3967628000, 0.4596249000, 0.5925662000, 0.9253375000", \ - "0.3507587000, 0.3561827000, 0.3702082000, 0.4013398000, 0.4641059000, 0.5965062000, 0.9290657000", \ - "0.3613834000, 0.3667966000, 0.3807894000, 0.4115830000, 0.4746191000, 0.6071273000, 0.9401021000", \ - "0.3863350000, 0.3918072000, 0.4057682000, 0.4365975000, 0.4988607000, 0.6320558000, 0.9650390000", \ - "0.4399207000, 0.4453003000, 0.4594160000, 0.4903203000, 0.5531295000, 0.6857855000, 1.0184130000", \ - "0.5518964000, 0.5573588000, 0.5715844000, 0.6027366000, 0.6658518000, 0.7985021000, 1.1312374000", \ - "0.7481433000, 0.7540601000, 0.7699731000, 0.8042277000, 0.8726704000, 1.0125635000, 1.3512473000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.1152505000, 0.1202567000, 0.1332866000, 0.1646865000, 0.2427692000, 0.4697270000, 1.1853456000", \ - "0.1193474000, 0.1243422000, 0.1373896000, 0.1687371000, 0.2468142000, 0.4736707000, 1.1899023000", \ - "0.1293987000, 0.1343494000, 0.1474266000, 0.1787234000, 0.2568034000, 0.4834290000, 1.2005379000", \ - "0.1543518000, 0.1592329000, 0.1721667000, 0.2032939000, 0.2810673000, 0.5087415000, 1.2243745000", \ - "0.2058786000, 0.2108836000, 0.2240073000, 0.2551500000, 0.3327169000, 0.5594718000, 1.2777630000", \ - "0.2748931000, 0.2808357000, 0.2957956000, 0.3289755000, 0.4080522000, 0.6352526000, 1.3513854000", \ - "0.3446929000, 0.3521208000, 0.3709928000, 0.4112827000, 0.4944782000, 0.7216449000, 1.4380679000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.0431060000, 0.0463558000, 0.0548923000, 0.0748084000, 0.1209866000, 0.2484048000, 0.6458831000", \ - "0.0429074000, 0.0460487000, 0.0546531000, 0.0746229000, 0.1214528000, 0.2487836000, 0.6457728000", \ - "0.0429754000, 0.0461814000, 0.0547767000, 0.0740072000, 0.1207766000, 0.2489512000, 0.6441788000", \ - "0.0431137000, 0.0463489000, 0.0546351000, 0.0739272000, 0.1227337000, 0.2489743000, 0.6445909000", \ - "0.0428998000, 0.0462471000, 0.0545222000, 0.0750351000, 0.1211342000, 0.2486371000, 0.6456166000", \ - "0.0444939000, 0.0478098000, 0.0557178000, 0.0752346000, 0.1229887000, 0.2489224000, 0.6450688000", \ - "0.0523832000, 0.0555612000, 0.0644394000, 0.0852572000, 0.1338571000, 0.2606567000, 0.6514964000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.0297265000, 0.0332929000, 0.0435943000, 0.0734905000, 0.1675916000, 0.4820512000, 1.4980597000", \ - "0.0295523000, 0.0331784000, 0.0434715000, 0.0733396000, 0.1675221000, 0.4819848000, 1.4961433000", \ - "0.0297039000, 0.0330412000, 0.0433956000, 0.0732509000, 0.1671973000, 0.4817086000, 1.4988369000", \ - "0.0292200000, 0.0327995000, 0.0429619000, 0.0729032000, 0.1669867000, 0.4811362000, 1.5021042000", \ - "0.0312804000, 0.0346710000, 0.0441557000, 0.0733448000, 0.1668930000, 0.4809652000, 1.5013024000", \ - "0.0396471000, 0.0427072000, 0.0517057000, 0.0790402000, 0.1698286000, 0.4808596000, 1.5005549000", \ - "0.0534642000, 0.0573711000, 0.0677336000, 0.0946561000, 0.1765924000, 0.4838241000, 1.5000071000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.3844048000, 0.3903275000, 0.4052450000, 0.4376886000, 0.5020598000, 0.6367841000, 0.9714113000", \ - "0.3891395000, 0.3950087000, 0.4100269000, 0.4424137000, 0.5068583000, 0.6415289000, 0.9761196000", \ - "0.4016669000, 0.4075334000, 0.4224523000, 0.4548906000, 0.5192806000, 0.6540307000, 0.9886930000", \ - "0.4296205000, 0.4354836000, 0.4504203000, 0.4830292000, 0.5473111000, 0.6813435000, 1.0162293000", \ - "0.4883287000, 0.4941765000, 0.5091720000, 0.5417247000, 0.6060597000, 0.7403387000, 1.0750204000", \ - "0.6107082000, 0.6166395000, 0.6315519000, 0.6640924000, 0.7285648000, 0.8634579000, 1.1979936000", \ - "0.8386296000, 0.8448746000, 0.8617533000, 0.8970429000, 0.9659912000, 1.1068204000, 1.4454890000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.1205333000, 0.1255288000, 0.1385771000, 0.1699240000, 0.2478381000, 0.4742080000, 1.1900738000", \ - "0.1247299000, 0.1296882000, 0.1427815000, 0.1740974000, 0.2520624000, 0.4783558000, 1.1951480000", \ - "0.1333462000, 0.1383043000, 0.1513262000, 0.1826863000, 0.2605730000, 0.4876052000, 1.2021018000", \ - "0.1530726000, 0.1580271000, 0.1709262000, 0.2020902000, 0.2798375000, 0.5069668000, 1.2214152000", \ - "0.1930248000, 0.1980710000, 0.2113420000, 0.2427994000, 0.3207085000, 0.5470447000, 1.2645565000", \ - "0.2541325000, 0.2597490000, 0.2742611000, 0.3077242000, 0.3869841000, 0.6138134000, 1.3302522000", \ - "0.3188460000, 0.3258703000, 0.3436618000, 0.3822828000, 0.4656182000, 0.6933569000, 1.4082674000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.0472274000, 0.0505285000, 0.0591248000, 0.0782502000, 0.1245600000, 0.2519810000, 0.6477529000", \ - "0.0472886000, 0.0506319000, 0.0588197000, 0.0784520000, 0.1250813000, 0.2519472000, 0.6480607000", \ - "0.0470559000, 0.0509768000, 0.0588193000, 0.0782250000, 0.1245650000, 0.2519706000, 0.6477718000", \ - "0.0474533000, 0.0503903000, 0.0597707000, 0.0790217000, 0.1261456000, 0.2519712000, 0.6491639000", \ - "0.0471507000, 0.0505060000, 0.0587163000, 0.0793528000, 0.1249847000, 0.2517770000, 0.6494807000", \ - "0.0476304000, 0.0510722000, 0.0593174000, 0.0783363000, 0.1250638000, 0.2515449000, 0.6480411000", \ - "0.0554344000, 0.0589611000, 0.0684143000, 0.0882428000, 0.1351152000, 0.2612466000, 0.6518869000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.0295852000, 0.0332053000, 0.0434852000, 0.0733584000, 0.1675016000, 0.4819657000, 1.4964736000", \ - "0.0298050000, 0.0331535000, 0.0434851000, 0.0733640000, 0.1672160000, 0.4815885000, 1.4991177000", \ - "0.0296496000, 0.0331655000, 0.0434590000, 0.0733762000, 0.1675876000, 0.4820530000, 1.4998077000", \ - "0.0292155000, 0.0328315000, 0.0431660000, 0.0731038000, 0.1674430000, 0.4819969000, 1.4996518000", \ - "0.0308759000, 0.0342790000, 0.0445441000, 0.0734158000, 0.1669027000, 0.4811339000, 1.5016712000", \ - "0.0361524000, 0.0396369000, 0.0496299000, 0.0780650000, 0.1691763000, 0.4812837000, 1.5022035000", \ - "0.0481688000, 0.0518187000, 0.0619230000, 0.0899110000, 0.1753829000, 0.4826527000, 1.4981044000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.3200536000, 0.3254948000, 0.3394739000, 0.3702936000, 0.4329894000, 0.5656701000, 0.8984707000", \ - "0.3237118000, 0.3291254000, 0.3430813000, 0.3741776000, 0.4367837000, 0.5689580000, 0.9015699000", \ - "0.3332686000, 0.3386839000, 0.3526334000, 0.3834732000, 0.4461809000, 0.5789578000, 0.9117649000", \ - "0.3587999000, 0.3642403000, 0.3782674000, 0.4091124000, 0.4718030000, 0.6041146000, 0.9369215000", \ - "0.4188271000, 0.4242901000, 0.4382784000, 0.4690831000, 0.5315060000, 0.6643291000, 0.9972330000", \ - "0.5561053000, 0.5616625000, 0.5756843000, 0.6070692000, 0.6691458000, 0.8023126000, 1.1350002000", \ - "0.8096689000, 0.8160280000, 0.8327038000, 0.8684482000, 0.9386272000, 1.0802671000, 1.4191369000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.1120162000, 0.1167356000, 0.1292581000, 0.1597508000, 0.2366666000, 0.4633991000, 1.1777741000", \ - "0.1162114000, 0.1209200000, 0.1335135000, 0.1639816000, 0.2409141000, 0.4677213000, 1.1846157000", \ - "0.1264774000, 0.1311830000, 0.1437329000, 0.1742205000, 0.2511876000, 0.4777797000, 1.1912800000", \ - "0.1503184000, 0.1550228000, 0.1675376000, 0.1979371000, 0.2747187000, 0.5013631000, 1.2148971000", \ - "0.1975557000, 0.2024317000, 0.2153668000, 0.2461662000, 0.3232438000, 0.5501122000, 1.2664491000", \ - "0.2580532000, 0.2639057000, 0.2788408000, 0.3122422000, 0.3908168000, 0.6179037000, 1.3343309000", \ - "0.3106430000, 0.3181650000, 0.3370300000, 0.3785317000, 0.4622392000, 0.6894080000, 1.4050354000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.0430234000, 0.0463006000, 0.0549753000, 0.0747002000, 0.1209509000, 0.2486037000, 0.6463764000", \ - "0.0428683000, 0.0460332000, 0.0548592000, 0.0745688000, 0.1214271000, 0.2487674000, 0.6463109000", \ - "0.0430358000, 0.0463544000, 0.0544063000, 0.0746055000, 0.1209478000, 0.2483667000, 0.6464298000", \ - "0.0429913000, 0.0462584000, 0.0546151000, 0.0740214000, 0.1212848000, 0.2485933000, 0.6455338000", \ - "0.0429543000, 0.0462188000, 0.0544862000, 0.0738163000, 0.1217613000, 0.2481896000, 0.6456946000", \ - "0.0454734000, 0.0483841000, 0.0571443000, 0.0760392000, 0.1224702000, 0.2488651000, 0.6460081000", \ - "0.0580494000, 0.0612595000, 0.0706292000, 0.0909290000, 0.1374251000, 0.2616526000, 0.6518152000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.0274916000, 0.0309539000, 0.0410922000, 0.0705482000, 0.1645362000, 0.4796226000, 1.4985110000", \ - "0.0274792000, 0.0310761000, 0.0410551000, 0.0705922000, 0.1642893000, 0.4797626000, 1.5004316000", \ - "0.0274373000, 0.0310675000, 0.0409767000, 0.0703902000, 0.1645325000, 0.4800270000, 1.4959596000", \ - "0.0275733000, 0.0309778000, 0.0409632000, 0.0705043000, 0.1645451000, 0.4800029000, 1.4964605000", \ - "0.0302686000, 0.0334122000, 0.0431778000, 0.0719938000, 0.1649239000, 0.4797329000, 1.5018159000", \ - "0.0389270000, 0.0421020000, 0.0511629000, 0.0784764000, 0.1684893000, 0.4800311000, 1.4998157000", \ - "0.0533233000, 0.0579323000, 0.0686965000, 0.0951624000, 0.1764669000, 0.4824210000, 1.4976571000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.3592620000, 0.3651168000, 0.3801318000, 0.4125408000, 0.4769408000, 0.6117701000, 0.9465517000", \ - "0.3631396000, 0.3689713000, 0.3840032000, 0.4164646000, 0.4808761000, 0.6155859000, 0.9505017000", \ - "0.3735796000, 0.3794328000, 0.3943836000, 0.4269952000, 0.4913846000, 0.6259913000, 0.9604716000", \ - "0.3999910000, 0.4058512000, 0.4208555000, 0.4531930000, 0.5178257000, 0.6518380000, 0.9870404000", \ - "0.4579054000, 0.4637493000, 0.4787805000, 0.5109748000, 0.5754453000, 0.7101242000, 1.0452706000", \ - "0.5889561000, 0.5948112000, 0.6098035000, 0.6423349000, 0.7067564000, 0.8419275000, 1.1766577000", \ - "0.8332724000, 0.8399993000, 0.8572228000, 0.8942549000, 0.9652056000, 1.1069710000, 1.4470360000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.1181824000, 0.1228927000, 0.1354357000, 0.1659215000, 0.2427805000, 0.4695894000, 1.1851037000", \ - "0.1229357000, 0.1276234000, 0.1401817000, 0.1706612000, 0.2477401000, 0.4743785000, 1.1902003000", \ - "0.1323438000, 0.1370521000, 0.1495913000, 0.1800903000, 0.2570415000, 0.4837264000, 1.1981045000", \ - "0.1528809000, 0.1575689000, 0.1701004000, 0.2005205000, 0.2774456000, 0.5039156000, 1.2182113000", \ - "0.1938033000, 0.1987465000, 0.2117114000, 0.2425399000, 0.3197643000, 0.5458320000, 1.2615998000", \ - "0.2556529000, 0.2612385000, 0.2757471000, 0.3089046000, 0.3879266000, 0.6145653000, 1.3307906000", \ - "0.3225520000, 0.3296718000, 0.3474636000, 0.3870858000, 0.4710366000, 0.6987703000, 1.4136823000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.0472971000, 0.0507115000, 0.0592188000, 0.0783891000, 0.1246678000, 0.2518698000, 0.6478978000", \ - "0.0470418000, 0.0506258000, 0.0589275000, 0.0781785000, 0.1247007000, 0.2518521000, 0.6484216000", \ - "0.0472055000, 0.0505958000, 0.0587913000, 0.0794430000, 0.1249190000, 0.2518839000, 0.6491248000", \ - "0.0473827000, 0.0507280000, 0.0592260000, 0.0782712000, 0.1244379000, 0.2519182000, 0.6481419000", \ - "0.0473960000, 0.0507550000, 0.0588300000, 0.0793405000, 0.1252830000, 0.2519154000, 0.6484131000", \ - "0.0486031000, 0.0519730000, 0.0602255000, 0.0789597000, 0.1249090000, 0.2514550000, 0.6486181000", \ - "0.0602593000, 0.0639699000, 0.0729583000, 0.0928121000, 0.1386204000, 0.2635828000, 0.6533864000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.0274901000, 0.0309927000, 0.0410986000, 0.0705918000, 0.1642721000, 0.4797458000, 1.5011163000", \ - "0.0275779000, 0.0310054000, 0.0410400000, 0.0704315000, 0.1641060000, 0.4797741000, 1.5014219000", \ - "0.0274402000, 0.0310545000, 0.0410217000, 0.0704544000, 0.1645372000, 0.4795929000, 1.4986559000", \ - "0.0274845000, 0.0310538000, 0.0409530000, 0.0703950000, 0.1644510000, 0.4803427000, 1.4951551000", \ - "0.0292834000, 0.0327784000, 0.0428150000, 0.0716118000, 0.1647976000, 0.4794715000, 1.5008554000", \ - "0.0354172000, 0.0392589000, 0.0488176000, 0.0769748000, 0.1676436000, 0.4790502000, 1.4986228000", \ - "0.0483116000, 0.0525266000, 0.0632345000, 0.0905118000, 0.1754872000, 0.4815190000, 1.4956237000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.2967760000, 0.3026405000, 0.3177805000, 0.3501663000, 0.4141191000, 0.5492211000, 0.8844618000", \ - "0.2990411000, 0.3048930000, 0.3199375000, 0.3523765000, 0.4168753000, 0.5519672000, 0.8868545000", \ - "0.3064946000, 0.3122037000, 0.3274283000, 0.3597965000, 0.4241733000, 0.5590545000, 0.8942697000", \ - "0.3283663000, 0.3343377000, 0.3492940000, 0.3816332000, 0.4467106000, 0.5815824000, 0.9163535000", \ - "0.3901187000, 0.3959398000, 0.4110427000, 0.4434889000, 0.5080647000, 0.6430432000, 0.9779750000", \ - "0.5390816000, 0.5449350000, 0.5595147000, 0.5913137000, 0.6554415000, 0.7904962000, 1.1255243000", \ - "0.8105999000, 0.8179239000, 0.8352329000, 0.8747717000, 0.9449539000, 1.0829160000, 1.4221549000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.0720098000, 0.0758647000, 0.0863328000, 0.1129561000, 0.1854349000, 0.4095517000, 1.1295165000", \ - "0.0766729000, 0.0805274000, 0.0910126000, 0.1176054000, 0.1900667000, 0.4139937000, 1.1279886000", \ - "0.0877833000, 0.0916673000, 0.1020426000, 0.1285090000, 0.2009452000, 0.4262327000, 1.1386978000", \ - "0.1118964000, 0.1157782000, 0.1262184000, 0.1526405000, 0.2252365000, 0.4498414000, 1.1625938000", \ - "0.1476243000, 0.1521875000, 0.1640625000, 0.1921065000, 0.2653784000, 0.4903695000, 1.2076683000", \ - "0.1846928000, 0.1907756000, 0.2061692000, 0.2385804000, 0.3141084000, 0.5387112000, 1.2558323000", \ - "0.2031015000, 0.2108075000, 0.2307060000, 0.2736157000, 0.3567041000, 0.5814056000, 1.2950849000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.0472344000, 0.0506351000, 0.0594945000, 0.0782015000, 0.1260407000, 0.2518463000, 0.6472191000", \ - "0.0472437000, 0.0506699000, 0.0588375000, 0.0781596000, 0.1245888000, 0.2517520000, 0.6481777000", \ - "0.0476614000, 0.0507086000, 0.0591750000, 0.0783399000, 0.1251515000, 0.2516187000, 0.6476473000", \ - "0.0473724000, 0.0507371000, 0.0593319000, 0.0782260000, 0.1244958000, 0.2515372000, 0.6482918000", \ - "0.0476751000, 0.0511018000, 0.0600413000, 0.0783915000, 0.1244775000, 0.2515945000, 0.6479774000", \ - "0.0476288000, 0.0509111000, 0.0588367000, 0.0776655000, 0.1244908000, 0.2513502000, 0.6478927000", \ - "0.0673191000, 0.0711152000, 0.0818570000, 0.0996817000, 0.1396778000, 0.2607943000, 0.6533068000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016002700, 0.0051217600, 0.0163924000, 0.0524648000, 0.1679160000, 0.5374240000"); - values("0.0214339000, 0.0244152000, 0.0334145000, 0.0620709000, 0.1583126000, 0.4770599000, 1.5046822000", \ - "0.0214395000, 0.0243973000, 0.0334001000, 0.0620884000, 0.1584360000, 0.4775103000, 1.4973917000", \ - "0.0214939000, 0.0243341000, 0.0334766000, 0.0622244000, 0.1584390000, 0.4779426000, 1.4920675000", \ - "0.0226485000, 0.0254311000, 0.0342940000, 0.0627442000, 0.1585996000, 0.4783252000, 1.4968172000", \ - "0.0292081000, 0.0316994000, 0.0399747000, 0.0664780000, 0.1595460000, 0.4762687000, 1.5030469000", \ - "0.0407565000, 0.0438335000, 0.0523451000, 0.0763149000, 0.1635060000, 0.4760393000, 1.4942886000", \ - "0.0560714000, 0.0607372000, 0.0714394000, 0.0984788000, 0.1746920000, 0.4772001000, 1.4894673000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a221oi_1") { - leakage_power () { - value : 0.0029956000; - when : "!A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0003730000; - when : "!A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0029956000; - when : "!A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0006432000; - when : "!A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0029956000; - when : "!A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0006424000; - when : "!A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0002996000; - when : "!A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0028331000; - when : "!A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0029956000; - when : "!A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0006277000; - when : "!A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0029956000; - when : "!A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0008980000; - when : "!A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0029956000; - when : "!A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0008971000; - when : "!A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0002996000; - when : "!A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0028331000; - when : "!A1&A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0029956000; - when : "A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0005802000; - when : "A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0029956000; - when : "A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0008505000; - when : "A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0029956000; - when : "A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0008496000; - when : "A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0002996000; - when : "A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0028331000; - when : "A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0004348000; - when : "A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0041349000; - when : "A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0004361000; - when : "A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0040958000; - when : "A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0004363000; - when : "A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0040061000; - when : "A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0001882000; - when : "A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0004438000; - when : "A1&A2&B1&B2&!C1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__a221oi"; - cell_leakage_power : 0.0017779970; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022300000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046994000, 0.0046965000, 0.0046899000, 0.0046903000, 0.0046910000, 0.0046928000, 0.0046968000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003736500, -0.003738400, -0.003742700, -0.003736700, -0.003722700, -0.003690500, -0.003616200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023910000; - } - pin ("A2") { - capacitance : 0.0023040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021830000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041883000, 0.0041969000, 0.0042168000, 0.0042187000, 0.0042231000, 0.0042332000, 0.0042566000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004214300, -0.004211000, -0.004203300, -0.004203100, -0.004202800, -0.004202100, -0.004200300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024240000; - } - pin ("B1") { - capacitance : 0.0023250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022030000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047516000, 0.0047504000, 0.0047476000, 0.0047479000, 0.0047485000, 0.0047499000, 0.0047530000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003919500, -0.003921400, -0.003925900, -0.003918700, -0.003902100, -0.003863900, -0.003775900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024460000; - } - pin ("B2") { - capacitance : 0.0023440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041163000, 0.0041129000, 0.0041052000, 0.0041063000, 0.0041089000, 0.0041150000, 0.0041291000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004111200, -0.004111700, -0.004112700, -0.004113200, -0.004114300, -0.004117000, -0.004123200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025060000; - } - pin ("C1") { - capacitance : 0.0022490000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020770000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0021460000, 0.0021422000, 0.0021334000, 0.0021469000, 0.0021780000, 0.0022497000, 0.0024149000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001750100, -0.001749700, -0.001748700, -0.001748100, -0.001746600, -0.001743200, -0.001735500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024210000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1&!C1) | (!A1&!B2&!C1) | (!A2&!B1&!C1) | (!A2&!B2&!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010942720, 0.0023948620, 0.0052412600, 0.0114707300, 0.0251041900, 0.0549416200"); - values("0.0080782000, 0.0071216000, 0.0050341000, 0.0004432000, -0.009528800, -0.031399700, -0.079496400", \ - "0.0079748000, 0.0070315000, 0.0049303000, 0.0003583000, -0.009624300, -0.031485000, -0.079570100", \ - "0.0078517000, 0.0068991000, 0.0047823000, 0.0002103000, -0.009737300, -0.031589700, -0.079664300", \ - "0.0076757000, 0.0067392000, 0.0046532000, 7.180000e-05, -0.009870100, -0.031726600, -0.079793200", \ - "0.0075230000, 0.0065768000, 0.0044979000, -6.14000e-05, -0.009981300, -0.031865300, -0.079887000", \ - "0.0078032000, 0.0067942000, 0.0046960000, 3.570000e-05, -0.010069700, -0.031723800, -0.079833900", \ - "0.0093406000, 0.0083585000, 0.0062297000, 0.0013941000, -0.008746700, -0.030943200, -0.079501900"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010942720, 0.0023948620, 0.0052412600, 0.0114707300, 0.0251041900, 0.0549416200"); - values("0.0163342000, 0.0173000000, 0.0194379000, 0.0240522000, 0.0340880000, 0.0559412000, 0.1036243000", \ - "0.0161484000, 0.0171403000, 0.0192837000, 0.0239464000, 0.0340187000, 0.0558606000, 0.1036068000", \ - "0.0158875000, 0.0168889000, 0.0190350000, 0.0237239000, 0.0338517000, 0.0557685000, 0.1035777000", \ - "0.0156386000, 0.0166060000, 0.0187582000, 0.0234317000, 0.0335878000, 0.0555647000, 0.1034305000", \ - "0.0154754000, 0.0164317000, 0.0185677000, 0.0231794000, 0.0332612000, 0.0552829000, 0.1031534000", \ - "0.0155018000, 0.0164362000, 0.0185378000, 0.0231163000, 0.0331334000, 0.0550176000, 0.1029329000", \ - "0.0152904000, 0.0162352000, 0.0185869000, 0.0232013000, 0.0332576000, 0.0551042000, 0.1027067000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010942720, 0.0023948620, 0.0052412600, 0.0114707300, 0.0251041900, 0.0549416200"); - values("0.0085242000, 0.0075604000, 0.0054640000, 0.0008895000, -0.009086000, -0.030942100, -0.079034000", \ - "0.0084297000, 0.0074697000, 0.0053662000, 0.0007922000, -0.009177300, -0.031041800, -0.079132600", \ - "0.0083000000, 0.0073416000, 0.0052550000, 0.0006725000, -0.009296500, -0.031160900, -0.079245000", \ - "0.0081564000, 0.0072237000, 0.0051402000, 0.0005627000, -0.009415100, -0.031266700, -0.079337400", \ - "0.0079763000, 0.0070428000, 0.0049794000, 0.0004600000, -0.009452900, -0.031266700, -0.079379100", \ - "0.0081656000, 0.0071998000, 0.0050947000, 0.0005020000, -0.009651300, -0.031374700, -0.079463600", \ - "0.0091304000, 0.0081617000, 0.0060446000, 0.0013410000, -0.008874400, -0.031085600, -0.079382600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010942720, 0.0023948620, 0.0052412600, 0.0114707300, 0.0251041900, 0.0549416200"); - values("0.0194243000, 0.0203864000, 0.0225166000, 0.0271064000, 0.0371229000, 0.0589779000, 0.1066714000", \ - "0.0193042000, 0.0202501000, 0.0223792000, 0.0270000000, 0.0370481000, 0.0589134000, 0.1066629000", \ - "0.0190828000, 0.0200583000, 0.0221993000, 0.0268496000, 0.0369130000, 0.0587860000, 0.1066203000", \ - "0.0188914000, 0.0198590000, 0.0219994000, 0.0266415000, 0.0367455000, 0.0586869000, 0.1064806000", \ - "0.0187508000, 0.0197148000, 0.0218266000, 0.0264446000, 0.0365235000, 0.0584622000, 0.1063292000", \ - "0.0187386000, 0.0197218000, 0.0217921000, 0.0264000000, 0.0364242000, 0.0583462000, 0.1062074000", \ - "0.0184790000, 0.0195111000, 0.0218466000, 0.0264650000, 0.0365634000, 0.0584099000, 0.1060116000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010942720, 0.0023948620, 0.0052412600, 0.0114707300, 0.0251041900, 0.0549416200"); - values("0.0055649000, 0.0046170000, 0.0025386000, -0.002028200, -0.012051900, -0.034057400, -0.082306500", \ - "0.0055291000, 0.0045860000, 0.0025129000, -0.002045000, -0.012055800, -0.034058100, -0.082312600", \ - "0.0054206000, 0.0044828000, 0.0024282000, -0.002112300, -0.012107100, -0.034071900, -0.082308600", \ - "0.0051675000, 0.0042584000, 0.0022281000, -0.002259600, -0.012194400, -0.034145900, -0.082339600", \ - "0.0049885000, 0.0040689000, 0.0021147000, -0.002380500, -0.012283300, -0.034201800, -0.082403800", \ - "0.0053787000, 0.0043983000, 0.0023330000, -0.002193600, -0.012401500, -0.034249600, -0.082472700", \ - "0.0064972000, 0.0054989000, 0.0032874000, -0.001437300, -0.011569300, -0.033808400, -0.082348400"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010942720, 0.0023948620, 0.0052412600, 0.0114707300, 0.0251041900, 0.0549416200"); - values("0.0138609000, 0.0148395000, 0.0169566000, 0.0216079000, 0.0316146000, 0.0534813000, 0.1009993000", \ - "0.0136771000, 0.0146708000, 0.0168108000, 0.0214920000, 0.0315464000, 0.0534688000, 0.1011923000", \ - "0.0134021000, 0.0143829000, 0.0165566000, 0.0212463000, 0.0313724000, 0.0533301000, 0.1011274000", \ - "0.0131542000, 0.0141366000, 0.0162765000, 0.0209538000, 0.0310998000, 0.0530797000, 0.1009532000", \ - "0.0129752000, 0.0139296000, 0.0160578000, 0.0206929000, 0.0307931000, 0.0527721000, 0.1006885000", \ - "0.0129373000, 0.0139087000, 0.0159915000, 0.0206723000, 0.0307633000, 0.0525489000, 0.1004645000", \ - "0.0132387000, 0.0142004000, 0.0162492000, 0.0207643000, 0.0307931000, 0.0528515000, 0.1005098000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010942720, 0.0023948620, 0.0052412600, 0.0114707300, 0.0251041900, 0.0549416200"); - values("0.0059033000, 0.0049514000, 0.0028740000, -0.001697200, -0.011725800, -0.033734600, -0.081984100", \ - "0.0058766000, 0.0049303000, 0.0028595000, -0.001700600, -0.011726100, -0.033726500, -0.081983200", \ - "0.0057787000, 0.0048432000, 0.0027857000, -0.001758500, -0.011756900, -0.033744600, -0.081984000", \ - "0.0055595000, 0.0046325000, 0.0025941000, -0.001889900, -0.011841800, -0.033792500, -0.082006100", \ - "0.0053066000, 0.0043792000, 0.0023404000, -0.002120800, -0.012005800, -0.033869600, -0.082033500", \ - "0.0054002000, 0.0044499000, 0.0023688000, -0.002184800, -0.012258400, -0.034084400, -0.082176500", \ - "0.0061220000, 0.0051375000, 0.0029871000, -0.001666600, -0.011814700, -0.033896800, -0.082197000"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010942720, 0.0023948620, 0.0052412600, 0.0114707300, 0.0251041900, 0.0549416200"); - values("0.0168514000, 0.0178023000, 0.0198914000, 0.0244977000, 0.0345158000, 0.0563651000, 0.1040744000", \ - "0.0167326000, 0.0176876000, 0.0197985000, 0.0244283000, 0.0344588000, 0.0563320000, 0.1040595000", \ - "0.0165310000, 0.0175109000, 0.0196544000, 0.0242877000, 0.0343542000, 0.0562552000, 0.1040096000", \ - "0.0163579000, 0.0173167000, 0.0194604000, 0.0241065000, 0.0341779000, 0.0561003000, 0.1039534000", \ - "0.0162103000, 0.0171681000, 0.0192889000, 0.0239016000, 0.0339730000, 0.0559373000, 0.1037700000", \ - "0.0161765000, 0.0171566000, 0.0192368000, 0.0239141000, 0.0339625000, 0.0557764000, 0.1035928000", \ - "0.0163359000, 0.0172893000, 0.0193474000, 0.0239344000, 0.0339100000, 0.0559685000, 0.1037451000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010942720, 0.0023948620, 0.0052412600, 0.0114707300, 0.0251041900, 0.0549416200"); - values("0.0007032000, -0.000224300, -0.002293200, -0.006857000, -0.016913300, -0.038973000, -0.087294000", \ - "0.0006112000, -0.000302200, -0.002322900, -0.006847000, -0.016854800, -0.038889700, -0.087192100", \ - "0.0004820000, -0.000418200, -0.002415500, -0.006884400, -0.016843300, -0.038819700, -0.087091900", \ - "0.0002874000, -0.000583200, -0.002592300, -0.007015000, -0.016903500, -0.038823600, -0.087045500", \ - "0.0003274000, -0.000600500, -0.002626400, -0.007216600, -0.017012400, -0.038884900, -0.087059000", \ - "0.0007522000, -0.000198500, -0.002360300, -0.007085200, -0.017063300, -0.039057200, -0.087039800", \ - "0.0021901000, 0.0011690000, -0.001131400, -0.005901800, -0.016145900, -0.038571500, -0.086644600"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010942720, 0.0023948620, 0.0052412600, 0.0114707300, 0.0251041900, 0.0549416200"); - values("0.0163945000, 0.0173652000, 0.0195060000, 0.0241443000, 0.0341982000, 0.0560467000, 0.1037723000", \ - "0.0162161000, 0.0171846000, 0.0193425000, 0.0239975000, 0.0340856000, 0.0560066000, 0.1037796000", \ - "0.0159354000, 0.0169056000, 0.0190945000, 0.0237877000, 0.0339490000, 0.0559034000, 0.1037266000", \ - "0.0157948000, 0.0167902000, 0.0188976000, 0.0235476000, 0.0336523000, 0.0556735000, 0.1035652000", \ - "0.0157387000, 0.0166955000, 0.0187960000, 0.0234160000, 0.0334615000, 0.0554270000, 0.1033537000", \ - "0.0160818000, 0.0170154000, 0.0190652000, 0.0236061000, 0.0335371000, 0.0553045000, 0.1031621000", \ - "0.0182159000, 0.0191199000, 0.0211620000, 0.0256429000, 0.0349215000, 0.0563981000, 0.1034942000"); - } - } - max_capacitance : 0.0549420000; - max_transition : 1.7517130000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.0405322000, 0.0446357000, 0.0533557000, 0.0710996000, 0.1073316000, 0.1817625000, 0.3388987000", \ - "0.0450159000, 0.0491156000, 0.0576603000, 0.0753726000, 0.1114944000, 0.1859808000, 0.3430271000", \ - "0.0563960000, 0.0602879000, 0.0682772000, 0.0856512000, 0.1216407000, 0.1959436000, 0.3530827000", \ - "0.0823228000, 0.0866457000, 0.0949428000, 0.1115972000, 0.1463858000, 0.2203664000, 0.3772568000", \ - "0.1189978000, 0.1250556000, 0.1372937000, 0.1612027000, 0.2034134000, 0.2774147000, 0.4338621000", \ - "0.1643436000, 0.1732711000, 0.1914616000, 0.2263837000, 0.2891170000, 0.3923547000, 0.5651396000", \ - "0.2021412000, 0.2152915000, 0.2422610000, 0.2934742000, 0.3877240000, 0.5486463000, 0.8066320000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.1914678000, 0.2047903000, 0.2335093000, 0.2961001000, 0.4314362000, 0.7261988000, 1.3700867000", \ - "0.1950901000, 0.2084107000, 0.2377045000, 0.3007089000, 0.4366557000, 0.7313705000, 1.3747892000", \ - "0.2053449000, 0.2188971000, 0.2480320000, 0.3114203000, 0.4480088000, 0.7437670000, 1.3883361000", \ - "0.2300020000, 0.2433149000, 0.2723117000, 0.3354131000, 0.4723416000, 0.7688465000, 1.4140172000", \ - "0.2813623000, 0.2946932000, 0.3236999000, 0.3863714000, 0.5224683000, 0.8191339000, 1.4682882000", \ - "0.3734624000, 0.3891668000, 0.4220098000, 0.4908017000, 0.6292910000, 0.9246860000, 1.5712170000", \ - "0.5188113000, 0.5393483000, 0.5828756000, 0.6682301000, 0.8368145000, 1.1602643000, 1.8085542000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.0463343000, 0.0511143000, 0.0609830000, 0.0818947000, 0.1255324000, 0.2203974000, 0.4262263000", \ - "0.0455659000, 0.0502641000, 0.0604626000, 0.0816391000, 0.1254615000, 0.2202686000, 0.4254691000", \ - "0.0449683000, 0.0494058000, 0.0592474000, 0.0804523000, 0.1251100000, 0.2199745000, 0.4260284000", \ - "0.0554490000, 0.0588758000, 0.0664022000, 0.0840600000, 0.1250645000, 0.2194730000, 0.4245412000", \ - "0.0829138000, 0.0875493000, 0.0967394000, 0.1148203000, 0.1476474000, 0.2277811000, 0.4254221000", \ - "0.1301872000, 0.1369969000, 0.1505649000, 0.1761511000, 0.2213802000, 0.3056444000, 0.4620019000", \ - "0.2132902000, 0.2238372000, 0.2447022000, 0.2841990000, 0.3509872000, 0.4656094000, 0.6470610000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.1422050000, 0.1591203000, 0.1977276000, 0.2812803000, 0.4630588000, 0.8636559000, 1.7334926000", \ - "0.1415417000, 0.1591029000, 0.1976185000, 0.2817672000, 0.4649574000, 0.8607486000, 1.7280530000", \ - "0.1422604000, 0.1598870000, 0.1976387000, 0.2812113000, 0.4631083000, 0.8606864000, 1.7276666000", \ - "0.1422894000, 0.1593308000, 0.1982028000, 0.2812907000, 0.4634524000, 0.8607124000, 1.7282441000", \ - "0.1451574000, 0.1620533000, 0.1996960000, 0.2824159000, 0.4632212000, 0.8603371000, 1.7308762000", \ - "0.1739296000, 0.1913395000, 0.2270216000, 0.3033485000, 0.4744302000, 0.8616557000, 1.7345939000", \ - "0.2402624000, 0.2583822000, 0.2982785000, 0.3804881000, 0.5528019000, 0.9106880000, 1.7417674000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.0452096000, 0.0493329000, 0.0579729000, 0.0757632000, 0.1118864000, 0.1862912000, 0.3433222000", \ - "0.0497579000, 0.0538720000, 0.0624602000, 0.0801899000, 0.1162680000, 0.1906733000, 0.3477403000", \ - "0.0598206000, 0.0638150000, 0.0722152000, 0.0898016000, 0.1258202000, 0.2002856000, 0.3573115000", \ - "0.0823739000, 0.0866726000, 0.0952232000, 0.1125820000, 0.1480916000, 0.2222572000, 0.3794492000", \ - "0.1181656000, 0.1236980000, 0.1348338000, 0.1567364000, 0.1970856000, 0.2735758000, 0.4307648000", \ - "0.1651524000, 0.1731483000, 0.1893852000, 0.2201676000, 0.2768959000, 0.3756583000, 0.5470907000", \ - "0.2076264000, 0.2198062000, 0.2442412000, 0.2909884000, 0.3802206000, 0.5247102000, 0.7604274000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.2145101000, 0.2268642000, 0.2552897000, 0.3159030000, 0.4480993000, 0.7362102000, 1.3655955000", \ - "0.2187110000, 0.2314009000, 0.2594945000, 0.3210122000, 0.4535091000, 0.7418797000, 1.3702526000", \ - "0.2296763000, 0.2430708000, 0.2711611000, 0.3326104000, 0.4656980000, 0.7542651000, 1.3835445000", \ - "0.2560103000, 0.2684649000, 0.2972826000, 0.3581779000, 0.4914958000, 0.7806530000, 1.4104494000", \ - "0.3085430000, 0.3212769000, 0.3490586000, 0.4107924000, 0.5434115000, 0.8325866000, 1.4626936000", \ - "0.4069065000, 0.4221448000, 0.4529290000, 0.5183373000, 0.6524962000, 0.9411644000, 1.5716010000", \ - "0.5675784000, 0.5863302000, 0.6273642000, 0.7080609000, 0.8687145000, 1.1814471000, 1.8145073000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.0460295000, 0.0507337000, 0.0608318000, 0.0817498000, 0.1258579000, 0.2201151000, 0.4239505000", \ - "0.0456267000, 0.0503809000, 0.0604659000, 0.0815167000, 0.1253549000, 0.2198139000, 0.4249287000", \ - "0.0451661000, 0.0495730000, 0.0595284000, 0.0807897000, 0.1249526000, 0.2199769000, 0.4248458000", \ - "0.0517813000, 0.0554992000, 0.0636767000, 0.0826755000, 0.1250512000, 0.2193487000, 0.4258918000", \ - "0.0721259000, 0.0762513000, 0.0853099000, 0.1026453000, 0.1399773000, 0.2251751000, 0.4244241000", \ - "0.1128774000, 0.1184787000, 0.1299984000, 0.1533291000, 0.1933224000, 0.2755876000, 0.4489653000", \ - "0.1861422000, 0.1949345000, 0.2111064000, 0.2438398000, 0.2993923000, 0.3988212000, 0.5780724000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.1603487000, 0.1777334000, 0.2158647000, 0.2972345000, 0.4769690000, 0.8678109000, 1.7158006000", \ - "0.1606524000, 0.1777322000, 0.2153049000, 0.2973620000, 0.4763162000, 0.8677137000, 1.7157532000", \ - "0.1604487000, 0.1779841000, 0.2154710000, 0.2974652000, 0.4767703000, 0.8645806000, 1.7140481000", \ - "0.1602676000, 0.1777717000, 0.2154366000, 0.2973017000, 0.4756668000, 0.8648967000, 1.7134285000", \ - "0.1623354000, 0.1792051000, 0.2159328000, 0.2972267000, 0.4759991000, 0.8646923000, 1.7129418000", \ - "0.1888315000, 0.2061496000, 0.2408504000, 0.3159468000, 0.4853445000, 0.8655134000, 1.7171479000", \ - "0.2542387000, 0.2725342000, 0.3108361000, 0.3915314000, 0.5595723000, 0.9132675000, 1.7264140000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.0381991000, 0.0416507000, 0.0489139000, 0.0642222000, 0.0967557000, 0.1667321000, 0.3183715000", \ - "0.0428019000, 0.0461302000, 0.0533038000, 0.0685391000, 0.1012186000, 0.1710488000, 0.3227510000", \ - "0.0531391000, 0.0565307000, 0.0637572000, 0.0788684000, 0.1115142000, 0.1815112000, 0.3332241000", \ - "0.0747059000, 0.0789365000, 0.0874483000, 0.1036700000, 0.1360889000, 0.2056404000, 0.3574544000", \ - "0.1021196000, 0.1086540000, 0.1207189000, 0.1453013000, 0.1887533000, 0.2623496000, 0.4130091000", \ - "0.1291249000, 0.1388355000, 0.1583617000, 0.1952166000, 0.2630314000, 0.3716212000, 0.5459692000", \ - "0.1390187000, 0.1539023000, 0.1832705000, 0.2411072000, 0.3434326000, 0.5114821000, 0.7759343000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.1717335000, 0.1853484000, 0.2142261000, 0.2769045000, 0.4126390000, 0.7079527000, 1.3546613000", \ - "0.1746432000, 0.1881690000, 0.2174722000, 0.2805417000, 0.4168272000, 0.7123109000, 1.3568514000", \ - "0.1835521000, 0.1972468000, 0.2265376000, 0.2900066000, 0.4269417000, 0.7234573000, 1.3707264000", \ - "0.2078179000, 0.2210926000, 0.2503871000, 0.3135123000, 0.4506231000, 0.7478791000, 1.3942439000", \ - "0.2622685000, 0.2756221000, 0.3049208000, 0.3675841000, 0.5041774000, 0.8012911000, 1.4483615000", \ - "0.3632671000, 0.3806382000, 0.4163324000, 0.4899348000, 0.6309135000, 0.9272138000, 1.5752138000", \ - "0.5267428000, 0.5527520000, 0.6045384000, 0.7034920000, 0.8862485000, 1.2231872000, 1.8710888000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.0430193000, 0.0468704000, 0.0554138000, 0.0743177000, 0.1161310000, 0.2083969000, 0.4106188000", \ - "0.0428073000, 0.0467240000, 0.0553228000, 0.0743462000, 0.1163280000, 0.2083876000, 0.4103272000", \ - "0.0432310000, 0.0469383000, 0.0551121000, 0.0740633000, 0.1160650000, 0.2089453000, 0.4106205000", \ - "0.0553881000, 0.0582594000, 0.0648315000, 0.0803038000, 0.1181571000, 0.2083971000, 0.4109857000", \ - "0.0843166000, 0.0884869000, 0.0973537000, 0.1142001000, 0.1464640000, 0.2210666000, 0.4118831000", \ - "0.1379355000, 0.1440344000, 0.1562825000, 0.1811261000, 0.2231006000, 0.2991808000, 0.4547447000", \ - "0.2304199000, 0.2398960000, 0.2590274000, 0.2938337000, 0.3586540000, 0.4648983000, 0.6445091000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.1422962000, 0.1607947000, 0.1988926000, 0.2831880000, 0.4682312000, 0.8691041000, 1.7451512000", \ - "0.1424573000, 0.1607222000, 0.1988155000, 0.2831596000, 0.4682342000, 0.8675575000, 1.7420191000", \ - "0.1429847000, 0.1601532000, 0.1992983000, 0.2831994000, 0.4668044000, 0.8683458000, 1.7492282000", \ - "0.1431721000, 0.1601544000, 0.1997144000, 0.2832174000, 0.4667760000, 0.8676067000, 1.7419215000", \ - "0.1505859000, 0.1673745000, 0.2031827000, 0.2842479000, 0.4666026000, 0.8670005000, 1.7423267000", \ - "0.1939611000, 0.2105076000, 0.2454723000, 0.3178138000, 0.4828308000, 0.8679969000, 1.7485812000", \ - "0.2913136000, 0.3105876000, 0.3518366000, 0.4305105000, 0.5905774000, 0.9351960000, 1.7517132000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.0424840000, 0.0459537000, 0.0531273000, 0.0684856000, 0.1009998000, 0.1709057000, 0.3226003000", \ - "0.0469413000, 0.0504645000, 0.0576092000, 0.0729752000, 0.1055709000, 0.1754698000, 0.3271231000", \ - "0.0563554000, 0.0596977000, 0.0669831000, 0.0823871000, 0.1150433000, 0.1850202000, 0.3367448000", \ - "0.0752029000, 0.0790064000, 0.0871025000, 0.1036131000, 0.1366295000, 0.2067714000, 0.3588072000", \ - "0.1017129000, 0.1074145000, 0.1188650000, 0.1408506000, 0.1811412000, 0.2559068000, 0.4086621000", \ - "0.1293870000, 0.1382161000, 0.1560575000, 0.1898684000, 0.2494585000, 0.3493897000, 0.5211467000", \ - "0.1365029000, 0.1503550000, 0.1788409000, 0.2324596000, 0.3261860000, 0.4794182000, 0.7190062000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.1948983000, 0.2078162000, 0.2352615000, 0.2965675000, 0.4287369000, 0.7163980000, 1.3449418000", \ - "0.1985911000, 0.2116685000, 0.2394569000, 0.3008156000, 0.4332729000, 0.7211626000, 1.3500749000", \ - "0.2088395000, 0.2222164000, 0.2505566000, 0.3114047000, 0.4444642000, 0.7331214000, 1.3623558000", \ - "0.2358033000, 0.2484161000, 0.2768293000, 0.3378162000, 0.4710920000, 0.7601551000, 1.3898390000", \ - "0.2955844000, 0.3080169000, 0.3361587000, 0.3976758000, 0.5304159000, 0.8195988000, 1.4496233000", \ - "0.4177551000, 0.4339975000, 0.4663290000, 0.5346859000, 0.6688974000, 0.9578697000, 1.5879899000", \ - "0.6209182000, 0.6435930000, 0.6913161000, 0.7847312000, 0.9571068000, 1.2794105000, 1.9110418000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.0429088000, 0.0467838000, 0.0553887000, 0.0742728000, 0.1162517000, 0.2083842000, 0.4104555000", \ - "0.0428364000, 0.0467166000, 0.0553492000, 0.0743451000, 0.1162036000, 0.2081981000, 0.4110277000", \ - "0.0429757000, 0.0468725000, 0.0552401000, 0.0741349000, 0.1160735000, 0.2083755000, 0.4103967000", \ - "0.0504276000, 0.0536613000, 0.0608934000, 0.0778926000, 0.1175832000, 0.2084259000, 0.4106208000", \ - "0.0725038000, 0.0761661000, 0.0840406000, 0.1003399000, 0.1349546000, 0.2168453000, 0.4112216000", \ - "0.1175230000, 0.1221214000, 0.1319267000, 0.1523935000, 0.1920081000, 0.2703812000, 0.4384750000", \ - "0.1996819000, 0.2066901000, 0.2216774000, 0.2508567000, 0.3036333000, 0.3990009000, 0.5718575000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.1606992000, 0.1774621000, 0.2152812000, 0.2972684000, 0.4774929000, 0.8646907000, 1.7136201000", \ - "0.1607405000, 0.1774980000, 0.2154390000, 0.2973206000, 0.4774661000, 0.8645285000, 1.7135224000", \ - "0.1604528000, 0.1780492000, 0.2152715000, 0.2973628000, 0.4755177000, 0.8648062000, 1.7122930000", \ - "0.1603097000, 0.1777221000, 0.2158281000, 0.2975043000, 0.4768742000, 0.8647628000, 1.7155564000", \ - "0.1645083000, 0.1813789000, 0.2170884000, 0.2975246000, 0.4757559000, 0.8650101000, 1.7131000000", \ - "0.2061421000, 0.2226566000, 0.2545007000, 0.3259186000, 0.4882079000, 0.8643689000, 1.7146587000", \ - "0.3074427000, 0.3267135000, 0.3654298000, 0.4429350000, 0.5946273000, 0.9249697000, 1.7214919000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.0207836000, 0.0229062000, 0.0272983000, 0.0368995000, 0.0576886000, 0.1029333000, 0.2018273000", \ - "0.0255602000, 0.0276764000, 0.0321647000, 0.0418604000, 0.0625995000, 0.1079634000, 0.2068356000", \ - "0.0356810000, 0.0383448000, 0.0435399000, 0.0533128000, 0.0738969000, 0.1193209000, 0.2182169000", \ - "0.0488764000, 0.0531782000, 0.0614634000, 0.0760354000, 0.1009995000, 0.1460899000, 0.2439489000", \ - "0.0628854000, 0.0695555000, 0.0823710000, 0.1057735000, 0.1438997000, 0.2049830000, 0.3055503000", \ - "0.0693377000, 0.0795205000, 0.1001706000, 0.1370225000, 0.1986452000, 0.2942757000, 0.4368996000", \ - "0.0461656000, 0.0628146000, 0.0944989000, 0.1526924000, 0.2495250000, 0.4005003000, 0.6246833000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.1431426000, 0.1559804000, 0.1847072000, 0.2453940000, 0.3780965000, 0.6663907000, 1.2953144000", \ - "0.1458977000, 0.1589253000, 0.1874003000, 0.2488106000, 0.3816398000, 0.6703239000, 1.2995349000", \ - "0.1537024000, 0.1661995000, 0.1953651000, 0.2573023000, 0.3905583000, 0.6808227000, 1.3098220000", \ - "0.1771168000, 0.1905185000, 0.2185668000, 0.2797751000, 0.4136592000, 0.7035885000, 1.3332926000", \ - "0.2402270000, 0.2522580000, 0.2794545000, 0.3398508000, 0.4724798000, 0.7613926000, 1.3925117000", \ - "0.3622619000, 0.3793079000, 0.4138631000, 0.4820173000, 0.6129701000, 0.8997286000, 1.5289353000", \ - "0.5537195000, 0.5784270000, 0.6303364000, 0.7292437000, 0.9133698000, 1.2330199000, 1.8517381000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.0248953000, 0.0275702000, 0.0334024000, 0.0460932000, 0.0737519000, 0.1345397000, 0.2667369000", \ - "0.0251202000, 0.0276345000, 0.0334163000, 0.0461109000, 0.0737544000, 0.1339887000, 0.2665487000", \ - "0.0304035000, 0.0322756000, 0.0367972000, 0.0476973000, 0.0738047000, 0.1341251000, 0.2663664000", \ - "0.0479671000, 0.0498030000, 0.0536950000, 0.0619167000, 0.0824622000, 0.1363571000, 0.2667172000", \ - "0.0798779000, 0.0822612000, 0.0877686000, 0.0985215000, 0.1205652000, 0.1626561000, 0.2736495000", \ - "0.1372182000, 0.1411022000, 0.1482988000, 0.1641733000, 0.1948917000, 0.2495273000, 0.3453942000", \ - "0.2397650000, 0.2447466000, 0.2566661000, 0.2797289000, 0.3263362000, 0.4070597000, 0.5418567000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010942700, 0.0023948600, 0.0052412600, 0.0114707000, 0.0251042000, 0.0549416000"); - values("0.1599598000, 0.1774011000, 0.2151986000, 0.2974990000, 0.4756857000, 0.8646593000, 1.7130322000", \ - "0.1596464000, 0.1770815000, 0.2150386000, 0.2978240000, 0.4758938000, 0.8645262000, 1.7153652000", \ - "0.1591540000, 0.1768591000, 0.2150062000, 0.2975752000, 0.4756091000, 0.8692780000, 1.7161425000", \ - "0.1562680000, 0.1747579000, 0.2138295000, 0.2970497000, 0.4757845000, 0.8649312000, 1.7127521000", \ - "0.1676519000, 0.1832514000, 0.2177635000, 0.2961212000, 0.4751693000, 0.8648357000, 1.7198318000", \ - "0.2234446000, 0.2405093000, 0.2761452000, 0.3429490000, 0.4958869000, 0.8654564000, 1.7200583000", \ - "0.3221290000, 0.3446325000, 0.3914169000, 0.4811877000, 0.6428726000, 0.9587069000, 1.7267741000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a221oi_2") { - leakage_power () { - value : 0.0077009000; - when : "!A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0033766000; - when : "!A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0077002000; - when : "!A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0044064000; - when : "!A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0077014000; - when : "!A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0051737000; - when : "!A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0002993000; - when : "!A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0027224000; - when : "!A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0077024000; - when : "!A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0020124000; - when : "!A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0077024000; - when : "!A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0030938000; - when : "!A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0077024000; - when : "!A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0028134000; - when : "!A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0002990000; - when : "!A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0027223000; - when : "!A1&A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0077024000; - when : "A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0016856000; - when : "A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0077024000; - when : "A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0027564000; - when : "A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0077024000; - when : "A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0024886000; - when : "A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0002990000; - when : "A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0027223000; - when : "A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0002848000; - when : "A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0024238000; - when : "A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0002850000; - when : "A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0023323000; - when : "A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0002852000; - when : "A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0023923000; - when : "A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0001514000; - when : "A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0002804000; - when : "A1&A2&B1&B2&!C1"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__a221oi"; - cell_leakage_power : 0.0035819810; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0043060000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041320000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087847000, 0.0087828000, 0.0087784000, 0.0087825000, 0.0087919000, 0.0088135000, 0.0088635000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006598600, -0.006603700, -0.006615400, -0.006601400, -0.006569000, -0.006494200, -0.006322000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044790000; - } - pin ("A2") { - capacitance : 0.0047660000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045320000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082159000, 0.0082117000, 0.0082021000, 0.0082038000, 0.0082079000, 0.0082173000, 0.0082389000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008179600, -0.008180700, -0.008183100, -0.008182800, -0.008182100, -0.008180400, -0.008176400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050000000; - } - pin ("B1") { - capacitance : 0.0042760000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040250000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0088508000, 0.0088559000, 0.0088677000, 0.0088684000, 0.0088701000, 0.0088740000, 0.0088829000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006770600, -0.006768000, -0.006762200, -0.006748900, -0.006718100, -0.006647400, -0.006484200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045270000; - } - pin ("B2") { - capacitance : 0.0047580000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0044450000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0084710000, 0.0084635000, 0.0084463000, 0.0084443000, 0.0084396000, 0.0084288000, 0.0084039000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008430700, -0.008430400, -0.008429700, -0.008427300, -0.008421500, -0.008408300, -0.008378000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050710000; - } - pin ("C1") { - capacitance : 0.0042930000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039380000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0050389000, 0.0050264000, 0.0049976000, 0.0050253000, 0.0050891000, 0.0052361000, 0.0055750000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003535600, -0.003533600, -0.003528900, -0.003531100, -0.003536000, -0.003547300, -0.003573500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046470000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1&!C1) | (!A1&!B2&!C1) | (!A2&!B1&!C1) | (!A2&!B2&!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012012290, 0.0028859040, 0.0069332650, 0.0166568800, 0.0400174800, 0.0961403400"); - values("0.0151905000, 0.0140684000, 0.0113454000, 0.0047945000, -0.010841200, -0.048279000, -0.138617400", \ - "0.0150621000, 0.0139189000, 0.0112149000, 0.0046680000, -0.010951600, -0.048417500, -0.138786500", \ - "0.0148467000, 0.0137036000, 0.0110065000, 0.0044957000, -0.011155900, -0.048610900, -0.138944000", \ - "0.0144400000, 0.0133654000, 0.0106964000, 0.0042908000, -0.011400800, -0.048832300, -0.139202300", \ - "0.0142391000, 0.0131152000, 0.0104484000, 0.0040634000, -0.011529300, -0.048842800, -0.139266700", \ - "0.0148820000, 0.0137316000, 0.0109771000, 0.0043452000, -0.011547500, -0.049046900, -0.139416700", \ - "0.0172600000, 0.0160917000, 0.0132889000, 0.0067039000, -0.009875300, -0.048089500, -0.139096300"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012012290, 0.0028859040, 0.0069332650, 0.0166568800, 0.0400174800, 0.0961403400"); - values("0.0277148000, 0.0288808000, 0.0316652000, 0.0382762000, 0.0539412000, 0.0914129000, 0.1811723000", \ - "0.0273987000, 0.0285971000, 0.0313684000, 0.0380217000, 0.0538332000, 0.0913568000, 0.1811527000", \ - "0.0269371000, 0.0281436000, 0.0309377000, 0.0376012000, 0.0535282000, 0.0912470000, 0.1808908000", \ - "0.0265994000, 0.0277440000, 0.0304806000, 0.0371546000, 0.0530491000, 0.0908001000, 0.1808488000", \ - "0.0262868000, 0.0274204000, 0.0301537000, 0.0367687000, 0.0525309000, 0.0902248000, 0.1804915000", \ - "0.0262455000, 0.0273920000, 0.0300897000, 0.0366093000, 0.0523337000, 0.0899097000, 0.1799979000", \ - "0.0256045000, 0.0268858000, 0.0299159000, 0.0365591000, 0.0523388000, 0.0897681000, 0.1794972000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012012290, 0.0028859040, 0.0069332650, 0.0166568800, 0.0400174800, 0.0961403400"); - values("0.0167206000, 0.0155922000, 0.0128762000, 0.0063356000, -0.009309200, -0.046746100, -0.137131400", \ - "0.0165571000, 0.0154290000, 0.0127162000, 0.0061811000, -0.009467900, -0.046904500, -0.137276700", \ - "0.0163329000, 0.0151915000, 0.0124547000, 0.0059395000, -0.009707800, -0.047139600, -0.137490500", \ - "0.0159968000, 0.0148877000, 0.0122154000, 0.0057647000, -0.009992400, -0.047359200, -0.137735400", \ - "0.0156159000, 0.0145202000, 0.0118682000, 0.0054474000, -0.010031900, -0.047333100, -0.137775200", \ - "0.0159707000, 0.0148602000, 0.0121012000, 0.0054630000, -0.010296900, -0.047620200, -0.137926500", \ - "0.0176226000, 0.0164549000, 0.0136787000, 0.0070419000, -0.008814100, -0.046857000, -0.137914900"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012012290, 0.0028859040, 0.0069332650, 0.0166568800, 0.0400174800, 0.0961403400"); - values("0.0344215000, 0.0355647000, 0.0382920000, 0.0448538000, 0.0605255000, 0.0979892000, 0.1878082000", \ - "0.0341997000, 0.0353761000, 0.0380901000, 0.0447052000, 0.0604007000, 0.0978534000, 0.1876668000", \ - "0.0338544000, 0.0350882000, 0.0378152000, 0.0444157000, 0.0601751000, 0.0978066000, 0.1875729000", \ - "0.0336280000, 0.0347425000, 0.0375032000, 0.0441237000, 0.0598770000, 0.0975449000, 0.1875791000", \ - "0.0334156000, 0.0345172000, 0.0372085000, 0.0438083000, 0.0595389000, 0.0971928000, 0.1872069000", \ - "0.0332790000, 0.0344006000, 0.0372275000, 0.0436836000, 0.0594107000, 0.0969917000, 0.1870396000", \ - "0.0327114000, 0.0339744000, 0.0369608000, 0.0436307000, 0.0595525000, 0.0970167000, 0.1867199000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012012290, 0.0028859040, 0.0069332650, 0.0166568800, 0.0400174800, 0.0961403400"); - values("0.0086408000, 0.0075242000, 0.0048433000, -0.001644900, -0.017266000, -0.054919200, -0.145658800", \ - "0.0085528000, 0.0074381000, 0.0047615000, -0.001697900, -0.017276900, -0.054952700, -0.145665600", \ - "0.0083673000, 0.0072740000, 0.0046180000, -0.001792300, -0.017343300, -0.054980400, -0.145652900", \ - "0.0079489000, 0.0068599000, 0.0042701000, -0.002119300, -0.017543100, -0.055079600, -0.145706700", \ - "0.0077909000, 0.0066791000, 0.0040088000, -0.002394900, -0.017751800, -0.055213900, -0.145777200", \ - "0.0083110000, 0.0071836000, 0.0044724000, -0.001993700, -0.017685700, -0.055444900, -0.145989500", \ - "0.0102031000, 0.0090647000, 0.0062467000, -0.000548500, -0.016468800, -0.054188700, -0.145677700"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012012290, 0.0028859040, 0.0069332650, 0.0166568800, 0.0400174800, 0.0961403400"); - values("0.0223921000, 0.0235582000, 0.0263681000, 0.0329947000, 0.0487050000, 0.0862864000, 0.1759583000", \ - "0.0220385000, 0.0232411000, 0.0260720000, 0.0327392000, 0.0485770000, 0.0861111000, 0.1760278000", \ - "0.0215434000, 0.0227487000, 0.0255530000, 0.0322526000, 0.0481619000, 0.0859219000, 0.1757920000", \ - "0.0211810000, 0.0223479000, 0.0251214000, 0.0317730000, 0.0476467000, 0.0854992000, 0.1755090000", \ - "0.0209149000, 0.0220680000, 0.0247904000, 0.0313510000, 0.0471827000, 0.0848767000, 0.1751147000", \ - "0.0208624000, 0.0220059000, 0.0247164000, 0.0312655000, 0.0470962000, 0.0845405000, 0.1745646000", \ - "0.0212753000, 0.0223840000, 0.0250373000, 0.0315413000, 0.0471419000, 0.0848994000, 0.1746524000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012012290, 0.0028859040, 0.0069332650, 0.0166568800, 0.0400174800, 0.0961403400"); - values("0.0100328000, 0.0089102000, 0.0062229000, -0.000256500, -0.015883400, -0.053552900, -0.144319200", \ - "0.0099437000, 0.0088350000, 0.0061603000, -0.000304000, -0.015909200, -0.053576700, -0.144319400", \ - "0.0097500000, 0.0086648000, 0.0059915000, -0.000419000, -0.015974200, -0.053601700, -0.144305900", \ - "0.0092646000, 0.0081959000, 0.0055957000, -0.000737700, -0.016168300, -0.053690600, -0.144343300", \ - "0.0090296000, 0.0078877000, 0.0051478000, -0.001185500, -0.016502900, -0.053856900, -0.144384800", \ - "0.0091830000, 0.0080845000, 0.0053943000, -0.001092700, -0.016684900, -0.054301300, -0.144670800", \ - "0.0108542000, 0.0096798000, 0.0068718000, 0.0001946000, -0.015757400, -0.053696000, -0.144622800"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012012290, 0.0028859040, 0.0069332650, 0.0166568800, 0.0400174800, 0.0961403400"); - values("0.0289401000, 0.0301050000, 0.0328005000, 0.0393542000, 0.0550304000, 0.0925053000, 0.1822989000", \ - "0.0286282000, 0.0297889000, 0.0325665000, 0.0391616000, 0.0549174000, 0.0924386000, 0.1822668000", \ - "0.0283347000, 0.0294426000, 0.0322144000, 0.0388560000, 0.0546815000, 0.0922755000, 0.1822567000", \ - "0.0279851000, 0.0291190000, 0.0318980000, 0.0385227000, 0.0543314000, 0.0919893000, 0.1819835000", \ - "0.0277188000, 0.0288796000, 0.0316688000, 0.0382244000, 0.0539747000, 0.0916034000, 0.1816545000", \ - "0.0277231000, 0.0288338000, 0.0315757000, 0.0381669000, 0.0540278000, 0.0914389000, 0.1814558000", \ - "0.0282087000, 0.0293056000, 0.0319552000, 0.0384217000, 0.0541416000, 0.0918736000, 0.1818811000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012012290, 0.0028859040, 0.0069332650, 0.0166568800, 0.0400174800, 0.0961403400"); - values("-0.000282600, -0.001339100, -0.003946600, -0.010379500, -0.026035000, -0.063815900, -0.154700700", \ - "-0.000571200, -0.001598000, -0.004116100, -0.010430900, -0.025974300, -0.063652200, -0.154493300", \ - "-0.000898700, -0.001903900, -0.004383700, -0.010608000, -0.025995200, -0.063559600, -0.154319100", \ - "-0.001133500, -0.002167900, -0.004769500, -0.010922300, -0.026134900, -0.063578700, -0.154247700", \ - "-0.000718300, -0.001827700, -0.004463300, -0.010804600, -0.026403800, -0.063728200, -0.154233400", \ - "0.0002787000, -0.000897500, -0.003625500, -0.010276900, -0.025985000, -0.063620600, -0.154341600", \ - "0.0040746000, 0.0027877000, -0.000263500, -0.007368600, -0.023663900, -0.061396600, -0.152870900"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012012290, 0.0028859040, 0.0069332650, 0.0166568800, 0.0400174800, 0.0961403400"); - values("0.0266918000, 0.0278436000, 0.0306247000, 0.0373125000, 0.0531873000, 0.0907567000, 0.1806763000", \ - "0.0264109000, 0.0275493000, 0.0302900000, 0.0369704000, 0.0529161000, 0.0906057000, 0.1805513000", \ - "0.0260138000, 0.0271671000, 0.0298939000, 0.0365811000, 0.0525514000, 0.0903773000, 0.1804246000", \ - "0.0257502000, 0.0268677000, 0.0294688000, 0.0361478000, 0.0520484000, 0.0898890000, 0.1800201000", \ - "0.0257137000, 0.0268443000, 0.0295592000, 0.0360990000, 0.0518030000, 0.0894178000, 0.1796953000", \ - "0.0269257000, 0.0280477000, 0.0306228000, 0.0369383000, 0.0524756000, 0.0895825000, 0.1794968000", \ - "0.0301772000, 0.0312318000, 0.0338085000, 0.0399854000, 0.0552431000, 0.0916840000, 0.1805106000"); - } - } - max_capacitance : 0.0961400000; - max_transition : 1.7379920000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.0270524000, 0.0296018000, 0.0354161000, 0.0484605000, 0.0769857000, 0.1391792000, 0.2797094000", \ - "0.0318463000, 0.0342541000, 0.0399454000, 0.0528040000, 0.0811191000, 0.1432759000, 0.2840322000", \ - "0.0443182000, 0.0464372000, 0.0515017000, 0.0636635000, 0.0913777000, 0.1532756000, 0.2937148000", \ - "0.0659973000, 0.0689771000, 0.0755414000, 0.0894854000, 0.1159243000, 0.1767386000, 0.3169956000", \ - "0.0944599000, 0.0986356000, 0.1079287000, 0.1273613000, 0.1650040000, 0.2327752000, 0.3718114000", \ - "0.1221434000, 0.1281145000, 0.1415308000, 0.1699178000, 0.2256576000, 0.3266946000, 0.4977112000", \ - "0.1257085000, 0.1344995000, 0.1543478000, 0.1968457000, 0.2812719000, 0.4335264000, 0.6919209000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.1833923000, 0.1920924000, 0.2137536000, 0.2638469000, 0.3820795000, 0.6635916000, 1.3383615000", \ - "0.1871651000, 0.1962708000, 0.2176200000, 0.2682486000, 0.3872429000, 0.6693960000, 1.3438448000", \ - "0.1982327000, 0.2075819000, 0.2287498000, 0.2797104000, 0.3993742000, 0.6825115000, 1.3619681000", \ - "0.2265946000, 0.2354061000, 0.2569900000, 0.3071044000, 0.4268599000, 0.7105969000, 1.3871608000", \ - "0.2863219000, 0.2951419000, 0.3162109000, 0.3662917000, 0.4849516000, 0.7687798000, 1.4457758000", \ - "0.3952400000, 0.4059281000, 0.4301326000, 0.4860305000, 0.6082992000, 0.8907645000, 1.5675400000", \ - "0.5784931000, 0.5924027000, 0.6244887000, 0.6958734000, 0.8458219000, 1.1587178000, 1.8379092000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.0341626000, 0.0372129000, 0.0440849000, 0.0596716000, 0.0946554000, 0.1735912000, 0.3601950000", \ - "0.0330202000, 0.0359608000, 0.0430965000, 0.0590183000, 0.0941305000, 0.1733397000, 0.3599332000", \ - "0.0350179000, 0.0375280000, 0.0435430000, 0.0583085000, 0.0930269000, 0.1729538000, 0.3597262000", \ - "0.0475711000, 0.0501039000, 0.0557838000, 0.0674100000, 0.0965464000, 0.1722148000, 0.3599971000", \ - "0.0714708000, 0.0746601000, 0.0820837000, 0.0976310000, 0.1267535000, 0.1893213000, 0.3612308000", \ - "0.1141756000, 0.1191751000, 0.1298096000, 0.1508768000, 0.1914789000, 0.2674700000, 0.4121675000", \ - "0.1878604000, 0.1955216000, 0.2120655000, 0.2451483000, 0.3052495000, 0.4139008000, 0.5988040000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.1191899000, 0.1312257000, 0.1588598000, 0.2262361000, 0.3851073000, 0.7667921000, 1.6830662000", \ - "0.1197788000, 0.1310053000, 0.1586850000, 0.2257558000, 0.3854559000, 0.7662379000, 1.6802076000", \ - "0.1198851000, 0.1315110000, 0.1593814000, 0.2257489000, 0.3854343000, 0.7670654000, 1.6835671000", \ - "0.1194568000, 0.1310939000, 0.1595900000, 0.2258249000, 0.3860529000, 0.7669366000, 1.6820829000", \ - "0.1217328000, 0.1329151000, 0.1605441000, 0.2268596000, 0.3855109000, 0.7697522000, 1.6861533000", \ - "0.1475355000, 0.1589981000, 0.1850709000, 0.2467313000, 0.3954319000, 0.7675294000, 1.6846634000", \ - "0.2107023000, 0.2228613000, 0.2506663000, 0.3176504000, 0.4676390000, 0.8130273000, 1.6878791000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.0325134000, 0.0350848000, 0.0408567000, 0.0538821000, 0.0823881000, 0.1445809000, 0.2851654000", \ - "0.0371950000, 0.0397086000, 0.0454743000, 0.0583992000, 0.0867511000, 0.1489512000, 0.2894529000", \ - "0.0478445000, 0.0501720000, 0.0556227000, 0.0682105000, 0.0963166000, 0.1584065000, 0.2989243000", \ - "0.0681149000, 0.0709521000, 0.0773089000, 0.0909368000, 0.1183923000, 0.1802867000, 0.3206480000", \ - "0.0983072000, 0.1020897000, 0.1103603000, 0.1280166000, 0.1635410000, 0.2306865000, 0.3715714000", \ - "0.1330912000, 0.1386476000, 0.1508707000, 0.1767957000, 0.2262961000, 0.3195371000, 0.4834808000", \ - "0.1509740000, 0.1593560000, 0.1781384000, 0.2175322000, 0.2932420000, 0.4344295000, 0.6687095000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.2074574000, 0.2156958000, 0.2348422000, 0.2822706000, 0.3943025000, 0.6607288000, 1.2990180000", \ - "0.2108612000, 0.2199006000, 0.2402313000, 0.2874853000, 0.3995776000, 0.6661560000, 1.3080379000", \ - "0.2233663000, 0.2320198000, 0.2525137000, 0.2999319000, 0.4123517000, 0.6793932000, 1.3203068000", \ - "0.2525001000, 0.2613449000, 0.2813153000, 0.3287870000, 0.4413004000, 0.7090007000, 1.3486389000", \ - "0.3119712000, 0.3199709000, 0.3398013000, 0.3869481000, 0.4998419000, 0.7675796000, 1.4074614000", \ - "0.4243437000, 0.4337112000, 0.4555940000, 0.5070233000, 0.6219876000, 0.8892744000, 1.5290232000", \ - "0.6109334000, 0.6231432000, 0.6514203000, 0.7173084000, 0.8578857000, 1.1533189000, 1.7974048000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.0341374000, 0.0370590000, 0.0439936000, 0.0595834000, 0.0946078000, 0.1736089000, 0.3603120000", \ - "0.0335298000, 0.0365279000, 0.0435625000, 0.0593375000, 0.0941915000, 0.1734797000, 0.3600343000", \ - "0.0343642000, 0.0370389000, 0.0434897000, 0.0587180000, 0.0936767000, 0.1733169000, 0.3600495000", \ - "0.0435332000, 0.0459473000, 0.0513616000, 0.0639739000, 0.0953244000, 0.1723752000, 0.3603311000", \ - "0.0639436000, 0.0666916000, 0.0732208000, 0.0872464000, 0.1162009000, 0.1833522000, 0.3609999000", \ - "0.1020315000, 0.1058327000, 0.1140539000, 0.1317870000, 0.1691821000, 0.2389123000, 0.3936158000", \ - "0.1701173000, 0.1755629000, 0.1878537000, 0.2139247000, 0.2641565000, 0.3560677000, 0.5296047000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.1374218000, 0.1485617000, 0.1752984000, 0.2388983000, 0.3901846000, 0.7536400000, 1.6193803000", \ - "0.1377995000, 0.1486350000, 0.1751947000, 0.2395722000, 0.3908834000, 0.7522684000, 1.6237113000", \ - "0.1376700000, 0.1486257000, 0.1752156000, 0.2395248000, 0.3902701000, 0.7520179000, 1.6231045000", \ - "0.1374451000, 0.1489944000, 0.1752060000, 0.2387667000, 0.3901544000, 0.7544647000, 1.6241745000", \ - "0.1391114000, 0.1498393000, 0.1762572000, 0.2395436000, 0.3905954000, 0.7550520000, 1.6206230000", \ - "0.1631779000, 0.1738510000, 0.2000287000, 0.2577182000, 0.4004411000, 0.7527696000, 1.6222250000", \ - "0.2267711000, 0.2389904000, 0.2665446000, 0.3301726000, 0.4738593000, 0.8013208000, 1.6275353000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.0278692000, 0.0298899000, 0.0346080000, 0.0453634000, 0.0697757000, 0.1262949000, 0.2600205000", \ - "0.0320939000, 0.0340879000, 0.0388839000, 0.0495968000, 0.0739854000, 0.1305294000, 0.2642070000", \ - "0.0426535000, 0.0446662000, 0.0490827000, 0.0596767000, 0.0841121000, 0.1404688000, 0.2742338000", \ - "0.0590208000, 0.0619640000, 0.0686461000, 0.0818840000, 0.1077134000, 0.1641203000, 0.2975070000", \ - "0.0757532000, 0.0802045000, 0.0900882000, 0.1107027000, 0.1495559000, 0.2183443000, 0.3523936000", \ - "0.0836244000, 0.0903210000, 0.1052723000, 0.1363164000, 0.1969111000, 0.3018960000, 0.4764487000", \ - "0.0532903000, 0.0634765000, 0.0875652000, 0.1347083000, 0.2275523000, 0.3887519000, 0.6560987000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.1589933000, 0.1681005000, 0.1905550000, 0.2423129000, 0.3646050000, 0.6551641000, 1.3505493000", \ - "0.1615312000, 0.1712907000, 0.1934542000, 0.2459529000, 0.3686224000, 0.6597762000, 1.3557744000", \ - "0.1708199000, 0.1804674000, 0.2025069000, 0.2550079000, 0.3787321000, 0.6708923000, 1.3676091000", \ - "0.1977697000, 0.2073095000, 0.2290213000, 0.2810911000, 0.4043293000, 0.6970622000, 1.3947430000", \ - "0.2590662000, 0.2681575000, 0.2899901000, 0.3416597000, 0.4643431000, 0.7565359000, 1.4547535000", \ - "0.3722757000, 0.3844904000, 0.4131019000, 0.4744025000, 0.6053510000, 0.8970613000, 1.5960674000", \ - "0.5600221000, 0.5790034000, 0.6212181000, 0.7107867000, 0.8855253000, 1.2240496000, 1.9226729000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.0332974000, 0.0354015000, 0.0405568000, 0.0531290000, 0.0838805000, 0.1587067000, 0.3389596000", \ - "0.0326493000, 0.0348610000, 0.0402111000, 0.0529729000, 0.0838951000, 0.1586480000, 0.3391585000", \ - "0.0347676000, 0.0366440000, 0.0413380000, 0.0531901000, 0.0837200000, 0.1590408000, 0.3392895000", \ - "0.0474765000, 0.0494894000, 0.0541054000, 0.0640532000, 0.0894009000, 0.1588664000, 0.3391067000", \ - "0.0740865000, 0.0766653000, 0.0824985000, 0.0956596000, 0.1228672000, 0.1813413000, 0.3422723000", \ - "0.1221041000, 0.1260487000, 0.1349531000, 0.1541337000, 0.1911804000, 0.2623611000, 0.4009915000", \ - "0.2073784000, 0.2140981000, 0.2272742000, 0.2556776000, 0.3125299000, 0.4157120000, 0.5912953000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.1235381000, 0.1359487000, 0.1643156000, 0.2333690000, 0.3987791000, 0.7931136000, 1.7373339000", \ - "0.1240262000, 0.1361096000, 0.1642714000, 0.2339439000, 0.3982454000, 0.7914945000, 1.7375714000", \ - "0.1241412000, 0.1361016000, 0.1640820000, 0.2331062000, 0.3972652000, 0.7903810000, 1.7321335000", \ - "0.1240300000, 0.1361663000, 0.1649674000, 0.2330109000, 0.3973294000, 0.7901227000, 1.7313191000", \ - "0.1306121000, 0.1416187000, 0.1692710000, 0.2346280000, 0.3978511000, 0.7924770000, 1.7353357000", \ - "0.1725814000, 0.1838516000, 0.2099707000, 0.2699531000, 0.4148827000, 0.7910367000, 1.7379924000", \ - "0.2667973000, 0.2815875000, 0.3124953000, 0.3803790000, 0.5287559000, 0.8590168000, 1.7377599000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.0327539000, 0.0347556000, 0.0394474000, 0.0501915000, 0.0745970000, 0.1311427000, 0.2648887000", \ - "0.0371178000, 0.0391236000, 0.0439131000, 0.0546699000, 0.0790538000, 0.1355618000, 0.2693184000", \ - "0.0464825000, 0.0484909000, 0.0532506000, 0.0639590000, 0.0884963000, 0.1451452000, 0.2788491000", \ - "0.0623835000, 0.0650370000, 0.0709463000, 0.0834967000, 0.1094530000, 0.1665649000, 0.3005485000", \ - "0.0820342000, 0.0859864000, 0.0946970000, 0.1127975000, 0.1478926000, 0.2138791000, 0.3496901000", \ - "0.0958017000, 0.1018865000, 0.1159368000, 0.1437380000, 0.1975321000, 0.2922403000, 0.4565578000", \ - "0.0766893000, 0.0862216000, 0.1075442000, 0.1523891000, 0.2375290000, 0.3857015000, 0.6281897000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.1792320000, 0.1881111000, 0.2080884000, 0.2551871000, 0.3670515000, 0.6339545000, 1.2731427000", \ - "0.1833268000, 0.1916486000, 0.2110235000, 0.2588892000, 0.3711819000, 0.6380964000, 1.2770348000", \ - "0.1937196000, 0.2022240000, 0.2213546000, 0.2693692000, 0.3823376000, 0.6497349000, 1.2888618000", \ - "0.2205286000, 0.2288678000, 0.2485447000, 0.2963208000, 0.4089053000, 0.6767678000, 1.3162571000", \ - "0.2798317000, 0.2879606000, 0.3081057000, 0.3553669000, 0.4679557000, 0.7358435000, 1.3757896000", \ - "0.3967047000, 0.4070809000, 0.4308240000, 0.4867433000, 0.6048600000, 0.8726284000, 1.5132072000", \ - "0.5925264000, 0.6073496000, 0.6429014000, 0.7193775000, 0.8753521000, 1.1875400000, 1.8325967000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.0331237000, 0.0352544000, 0.0404573000, 0.0531126000, 0.0838394000, 0.1587053000, 0.3393155000", \ - "0.0329365000, 0.0351208000, 0.0403272000, 0.0530169000, 0.0838973000, 0.1594469000, 0.3392823000", \ - "0.0338868000, 0.0358946000, 0.0408028000, 0.0530444000, 0.0837912000, 0.1587020000, 0.3390875000", \ - "0.0426196000, 0.0444007000, 0.0489123000, 0.0597073000, 0.0871504000, 0.1589282000, 0.3391858000", \ - "0.0640376000, 0.0661532000, 0.0712995000, 0.0831916000, 0.1096638000, 0.1737440000, 0.3413342000", \ - "0.1059486000, 0.1090005000, 0.1160131000, 0.1318137000, 0.1650084000, 0.2325471000, 0.3785783000", \ - "0.1831055000, 0.1877676000, 0.1984897000, 0.2212275000, 0.2679195000, 0.3551692000, 0.5197595000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.1375136000, 0.1488252000, 0.1752385000, 0.2391227000, 0.3908956000, 0.7521924000, 1.6190144000", \ - "0.1374290000, 0.1485662000, 0.1754088000, 0.2388668000, 0.3906580000, 0.7521512000, 1.6200229000", \ - "0.1375942000, 0.1486064000, 0.1753656000, 0.2389529000, 0.3902149000, 0.7538378000, 1.6217371000", \ - "0.1375029000, 0.1486125000, 0.1754082000, 0.2388747000, 0.3905604000, 0.7518223000, 1.6175650000", \ - "0.1429511000, 0.1532352000, 0.1787206000, 0.2399143000, 0.3911154000, 0.7541304000, 1.6194442000", \ - "0.1815629000, 0.1922952000, 0.2173235000, 0.2716403000, 0.4078529000, 0.7559005000, 1.6241866000", \ - "0.2746356000, 0.2875516000, 0.3172110000, 0.3824690000, 0.5164700000, 0.8252438000, 1.6302362000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.0152214000, 0.0164355000, 0.0192440000, 0.0257454000, 0.0407610000, 0.0766827000, 0.1625730000", \ - "0.0198809000, 0.0211071000, 0.0238849000, 0.0304013000, 0.0456127000, 0.0815301000, 0.1674554000", \ - "0.0272115000, 0.0291415000, 0.0333181000, 0.0415398000, 0.0570037000, 0.0929000000, 0.1785616000", \ - "0.0353881000, 0.0384930000, 0.0454339000, 0.0579167000, 0.0804051000, 0.1190766000, 0.2045993000", \ - "0.0404356000, 0.0454059000, 0.0558104000, 0.0764784000, 0.1123656000, 0.1712000000, 0.2655336000", \ - "0.0325971000, 0.0402935000, 0.0567173000, 0.0887871000, 0.1455484000, 0.2383189000, 0.3830259000", \ - "-0.013339200, -0.001995900, 0.0234743000, 0.0728491000, 0.1624525000, 0.3069106000, 0.5349147000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.1222484000, 0.1306203000, 0.1513957000, 0.1990708000, 0.3124334000, 0.5797666000, 1.2187018000", \ - "0.1251377000, 0.1331666000, 0.1532014000, 0.2015533000, 0.3151356000, 0.5831543000, 1.2227012000", \ - "0.1332349000, 0.1413321000, 0.1615416000, 0.2098342000, 0.3232873000, 0.5919408000, 1.2334398000", \ - "0.1577722000, 0.1658391000, 0.1845664000, 0.2321999000, 0.3455764000, 0.6141210000, 1.2549971000", \ - "0.2204218000, 0.2283412000, 0.2475131000, 0.2922824000, 0.4039172000, 0.6713566000, 1.3118479000", \ - "0.3323207000, 0.3440816000, 0.3719669000, 0.4296466000, 0.5470889000, 0.8090522000, 1.4463940000", \ - "0.5151496000, 0.5315964000, 0.5690685000, 0.6522330000, 0.8195056000, 1.1375199000, 1.7668762000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.0164166000, 0.0179011000, 0.0215472000, 0.0301632000, 0.0502167000, 0.0975257000, 0.2105877000", \ - "0.0174731000, 0.0187185000, 0.0220072000, 0.0301988000, 0.0502467000, 0.0974431000, 0.2109809000", \ - "0.0253055000, 0.0259453000, 0.0278928000, 0.0340886000, 0.0514017000, 0.0975636000, 0.2114787000", \ - "0.0423931000, 0.0433638000, 0.0456483000, 0.0514873000, 0.0646185000, 0.1024939000, 0.2115664000", \ - "0.0727661000, 0.0740190000, 0.0773215000, 0.0852313000, 0.1027154000, 0.1369472000, 0.2247020000", \ - "0.1278254000, 0.1295581000, 0.1336842000, 0.1451490000, 0.1702868000, 0.2194619000, 0.3085581000", \ - "0.2265222000, 0.2301865000, 0.2362774000, 0.2523349000, 0.2900533000, 0.3643156000, 0.4930747000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012012300, 0.0028859000, 0.0069332700, 0.0166569000, 0.0400175000, 0.0961403000"); - values("0.1361273000, 0.1475213000, 0.1745522000, 0.2389861000, 0.3901086000, 0.7534740000, 1.6237737000", \ - "0.1359145000, 0.1471450000, 0.1744079000, 0.2385553000, 0.3904189000, 0.7518667000, 1.6195874000", \ - "0.1348632000, 0.1462310000, 0.1738687000, 0.2381404000, 0.3903615000, 0.7525384000, 1.6207443000", \ - "0.1312198000, 0.1428783000, 0.1706053000, 0.2371442000, 0.3915458000, 0.7517858000, 1.6186180000", \ - "0.1461929000, 0.1555190000, 0.1798270000, 0.2389409000, 0.3877793000, 0.7529518000, 1.6214585000", \ - "0.1952048000, 0.2063489000, 0.2343692000, 0.2948465000, 0.4206896000, 0.7544004000, 1.6232322000", \ - "0.2764159000, 0.2928787000, 0.3289413000, 0.4077158000, 0.5630976000, 0.8639990000, 1.6367614000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a221oi_4") { - leakage_power () { - value : 0.0071124000; - when : "!A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0024622000; - when : "!A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0071124000; - when : "!A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0047797000; - when : "!A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0071124000; - when : "!A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0041742000; - when : "!A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0003793000; - when : "!A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0034749000; - when : "!A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0071124000; - when : "!A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0047183000; - when : "!A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0071124000; - when : "!A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0070353000; - when : "!A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0071124000; - when : "!A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0064321000; - when : "!A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0003790000; - when : "!A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0034749000; - when : "!A1&A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0071124000; - when : "A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0038862000; - when : "A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0071124000; - when : "A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0062035000; - when : "A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0071124000; - when : "A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0056003000; - when : "A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0003790000; - when : "A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0034749000; - when : "A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0003628000; - when : "A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0031478000; - when : "A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0003627000; - when : "A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0030308000; - when : "A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0022636000; - when : "A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0031141000; - when : "A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0174297000; - when : "A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0481591000; - when : "A1&A2&B1&B2&!C1"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__a221oi"; - cell_leakage_power : 0.0062105020; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0084210000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0080630000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0174714000, 0.0174696000, 0.0174655000, 0.0174592000, 0.0174448000, 0.0174115000, 0.0173350000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013026100, -0.013037900, -0.013065100, -0.013033700, -0.012961100, -0.012793900, -0.012408400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087790000; - } - pin ("A2") { - capacitance : 0.0091650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0087040000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0162362000, 0.0162298000, 0.0162151000, 0.0162140000, 0.0162117000, 0.0162060000, 0.0161934000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.016211900, -0.016199900, -0.016172100, -0.016168300, -0.016159500, -0.016139300, -0.016092700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0096260000; - } - pin ("B1") { - capacitance : 0.0083010000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0176664000, 0.0176701000, 0.0176786000, 0.0176709000, 0.0176532000, 0.0176123000, 0.0175184000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.012419200, -0.012425800, -0.012440800, -0.012409300, -0.012336600, -0.012169000, -0.011782700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087690000; - } - pin ("B2") { - capacitance : 0.0088370000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082620000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0164219000, 0.0164101000, 0.0163829000, 0.0163831000, 0.0163835000, 0.0163843000, 0.0163864000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.016346700, -0.016343000, -0.016334400, -0.016335700, -0.016338500, -0.016345000, -0.016360100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0094130000; - } - pin ("C1") { - capacitance : 0.0084180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0076850000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0083351000, 0.0083166000, 0.0082740000, 0.0083241000, 0.0084396000, 0.0087059000, 0.0093198000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006844300, -0.006843600, -0.006842000, -0.006837200, -0.006826100, -0.006800300, -0.006740800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091500000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1&!C1) | (!A1&!B2&!C1) | (!A2&!B1&!C1) | (!A2&!B2&!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("0.0297108000, 0.0283942000, 0.0249014000, 0.0157713000, -0.008235400, -0.071216000, -0.237566600", \ - "0.0294124000, 0.0280954000, 0.0246542000, 0.0155243000, -0.008488400, -0.071474300, -0.237725600", \ - "0.0290451000, 0.0277189000, 0.0242536000, 0.0151255000, -0.008874000, -0.071855900, -0.238167400", \ - "0.0283578000, 0.0269977000, 0.0236157000, 0.0145799000, -0.009271100, -0.072334400, -0.238550600", \ - "0.0276582000, 0.0263368000, 0.0229417000, 0.0141377000, -0.009690900, -0.072386500, -0.238815900", \ - "0.0277574000, 0.0264297000, 0.0229275000, 0.0137363000, -0.010334800, -0.073200000, -0.238879900", \ - "0.0324631000, 0.0311268000, 0.0275786000, 0.0183884000, -0.006995100, -0.071484900, -0.239054600"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("0.0548559000, 0.0561342000, 0.0596504000, 0.0688953000, 0.0928943000, 0.1559590000, 0.3215563000", \ - "0.0542450000, 0.0556343000, 0.0591467000, 0.0684778000, 0.0926789000, 0.1557843000, 0.3211999000", \ - "0.0532727000, 0.0546845000, 0.0582637000, 0.0676912000, 0.0920846000, 0.1554608000, 0.3209820000", \ - "0.0525301000, 0.0538696000, 0.0574632000, 0.0667958000, 0.0912070000, 0.1548698000, 0.3208694000", \ - "0.0519531000, 0.0532484000, 0.0566772000, 0.0659856000, 0.0901976000, 0.1537566000, 0.3198207000", \ - "0.0518409000, 0.0531572000, 0.0565768000, 0.0657681000, 0.0898851000, 0.1531514000, 0.3191591000", \ - "0.0517503000, 0.0531636000, 0.0566119000, 0.0658048000, 0.0901222000, 0.1529600000, 0.3185947000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("0.0326977000, 0.0313898000, 0.0279205000, 0.0187924000, -0.005240600, -0.068237900, -0.234592000", \ - "0.0323778000, 0.0310576000, 0.0275836000, 0.0184673000, -0.005607100, -0.068571000, -0.234794000", \ - "0.0319043000, 0.0305969000, 0.0270868000, 0.0179592000, -0.006057600, -0.069022500, -0.235388000", \ - "0.0312837000, 0.0299979000, 0.0265583000, 0.0175218000, -0.006523700, -0.069494800, -0.235819900", \ - "0.0306052000, 0.0293422000, 0.0259225000, 0.0169147000, -0.006780600, -0.069562400, -0.235999700", \ - "0.0306966000, 0.0293554000, 0.0258574000, 0.0166347000, -0.007527100, -0.070040700, -0.236334000", \ - "0.0335981000, 0.0322443000, 0.0286903000, 0.0194194000, -0.005051300, -0.069044700, -0.236246100"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("0.0684629000, 0.0698345000, 0.0732731000, 0.0824718000, 0.1064515000, 0.1697738000, 0.3349794000", \ - "0.0680898000, 0.0693837000, 0.0727876000, 0.0820663000, 0.1061657000, 0.1692665000, 0.3346808000", \ - "0.0674537000, 0.0686991000, 0.0722578000, 0.0815716000, 0.1057731000, 0.1690005000, 0.3344782000", \ - "0.0668283000, 0.0682076000, 0.0716276000, 0.0809507000, 0.1052461000, 0.1686282000, 0.3342938000", \ - "0.0662922000, 0.0675291000, 0.0711026000, 0.0803387000, 0.1045552000, 0.1678526000, 0.3336480000", \ - "0.0661058000, 0.0673974000, 0.0710939000, 0.0801454000, 0.1043103000, 0.1677101000, 0.3335114000", \ - "0.0658048000, 0.0671861000, 0.0708875000, 0.0800795000, 0.1045109000, 0.1674283000, 0.3329100000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("0.0161517000, 0.0148482000, 0.0114158000, 0.0023510000, -0.021546000, -0.084813900, -0.251869400", \ - "0.0159981000, 0.0147130000, 0.0112873000, 0.0022638000, -0.021607800, -0.084836700, -0.251885000", \ - "0.0156499000, 0.0143573000, 0.0109748000, 0.0020179000, -0.021741200, -0.084873900, -0.251879900", \ - "0.0148176000, 0.0135483000, 0.0102122000, 0.0014287000, -0.022116600, -0.085133500, -0.251958100", \ - "0.0142145000, 0.0129380000, 0.0094979000, 0.0006350000, -0.022634200, -0.085414700, -0.252158200", \ - "0.0150733000, 0.0137503000, 0.0102815000, 0.0012311000, -0.022532000, -0.085826200, -0.252504500", \ - "0.0182730000, 0.0168400000, 0.0132049000, 0.0036860000, -0.020767400, -0.084380300, -0.252185900"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("0.0436623000, 0.0449475000, 0.0485115000, 0.0577918000, 0.0820071000, 0.1449827000, 0.3101752000", \ - "0.0429092000, 0.0443727000, 0.0478995000, 0.0573379000, 0.0817015000, 0.1448812000, 0.3102810000", \ - "0.0419591000, 0.0432870000, 0.0468938000, 0.0563890000, 0.0809263000, 0.1443983000, 0.3103368000", \ - "0.0410593000, 0.0424060000, 0.0460108000, 0.0553918000, 0.0798923000, 0.1436063000, 0.3098052000", \ - "0.0404268000, 0.0417754000, 0.0453257000, 0.0546208000, 0.0787705000, 0.1423545000, 0.3087158000", \ - "0.0404140000, 0.0417040000, 0.0451647000, 0.0543734000, 0.0786090000, 0.1419405000, 0.3079692000", \ - "0.0410789000, 0.0423934000, 0.0458529000, 0.0548168000, 0.0787976000, 0.1423977000, 0.3079282000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("0.0198471000, 0.0185419000, 0.0151116000, 0.0060434000, -0.017885200, -0.081140200, -0.248192400", \ - "0.0196954000, 0.0184078000, 0.0149917000, 0.0059602000, -0.017933900, -0.081168000, -0.248222400", \ - "0.0193214000, 0.0180384000, 0.0146705000, 0.0056939000, -0.018086900, -0.081234800, -0.248229400", \ - "0.0184458000, 0.0171860000, 0.0138666000, 0.0050511000, -0.018518000, -0.081437200, -0.248305500", \ - "0.0175106000, 0.0162332000, 0.0129053000, 0.0040919000, -0.019272000, -0.081836400, -0.248422600", \ - "0.0181665000, 0.0168377000, 0.0133970000, 0.0043396000, -0.019491300, -0.082710600, -0.248939200", \ - "0.0207689000, 0.0194136000, 0.0158069000, 0.0064341000, -0.017952100, -0.081577700, -0.248916200"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("0.0576843000, 0.0590563000, 0.0624543000, 0.0716618000, 0.0957699000, 0.1588862000, 0.3243088000", \ - "0.0570895000, 0.0584744000, 0.0619457000, 0.0712173000, 0.0953773000, 0.1585448000, 0.3239530000", \ - "0.0563584000, 0.0577134000, 0.0612862000, 0.0706004000, 0.0948858000, 0.1581472000, 0.3239045000", \ - "0.0557450000, 0.0570705000, 0.0605162000, 0.0698773000, 0.0942125000, 0.1577656000, 0.3234160000", \ - "0.0551460000, 0.0565436000, 0.0600350000, 0.0692163000, 0.0933264000, 0.1568147000, 0.3229526000", \ - "0.0548755000, 0.0562076000, 0.0597576000, 0.0691326000, 0.0932561000, 0.1564565000, 0.3222352000", \ - "0.0557607000, 0.0569417000, 0.0603915000, 0.0694495000, 0.0936297000, 0.1570962000, 0.3229217000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("0.0005319000, -0.000669700, -0.003923500, -0.012772600, -0.036676100, -0.100091500, -0.267434900", \ - "5.470000e-05, -0.001128800, -0.004260900, -0.012964800, -0.036602300, -0.099831500, -0.267058600", \ - "-0.000632000, -0.001736100, -0.004815700, -0.013380100, -0.036735000, -0.099642500, -0.266709700", \ - "-0.001177800, -0.002393500, -0.005520400, -0.014079200, -0.037220000, -0.099728100, -0.266512100", \ - "-0.000551300, -0.001836800, -0.005124000, -0.013917300, -0.037762400, -0.100146300, -0.266621400", \ - "0.0009911000, -0.000373100, -0.003936900, -0.013082800, -0.036912900, -0.099718000, -0.266806200", \ - "0.0070933000, 0.0055604000, 0.0017028000, -0.008228400, -0.033009300, -0.097032900, -0.264586500"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("0.0545748000, 0.0559755000, 0.0596674000, 0.0691469000, 0.0936921000, 0.1571488000, 0.3227403000", \ - "0.0539084000, 0.0553769000, 0.0590448000, 0.0685205000, 0.0930647000, 0.1567083000, 0.3225368000", \ - "0.0533818000, 0.0547078000, 0.0580997000, 0.0675276000, 0.0922062000, 0.1561577000, 0.3222201000", \ - "0.0527780000, 0.0540414000, 0.0576388000, 0.0669208000, 0.0913465000, 0.1551409000, 0.3216590000", \ - "0.0525836000, 0.0539242000, 0.0574051000, 0.0666001000, 0.0906410000, 0.1540664000, 0.3204043000", \ - "0.0547072000, 0.0559860000, 0.0593081000, 0.0683770000, 0.0923035000, 0.1537592000, 0.3192558000", \ - "0.0595369000, 0.0607756000, 0.0640117000, 0.0731180000, 0.0962004000, 0.1605103000, 0.3213224000"); - } - } - max_capacitance : 0.1666360000; - max_transition : 1.7603270000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0291711000, 0.0309384000, 0.0352060000, 0.0461457000, 0.0719774000, 0.1332022000, 0.2828984000", \ - "0.0338521000, 0.0354925000, 0.0397578000, 0.0504263000, 0.0761346000, 0.1372533000, 0.2867317000", \ - "0.0460693000, 0.0475036000, 0.0513206000, 0.0614047000, 0.0863612000, 0.1469290000, 0.2963394000", \ - "0.0681700000, 0.0700539000, 0.0751181000, 0.0864943000, 0.1112255000, 0.1705300000, 0.3191579000", \ - "0.0969160000, 0.0996779000, 0.1065813000, 0.1225570000, 0.1573166000, 0.2253343000, 0.3719521000", \ - "0.1233799000, 0.1273632000, 0.1373337000, 0.1608975000, 0.2120071000, 0.3128977000, 0.4968550000", \ - "0.1205875000, 0.1263844000, 0.1409610000, 0.1759441000, 0.2517594000, 0.4065400000, 0.6838234000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.2093514000, 0.2156399000, 0.2317288000, 0.2737935000, 0.3820080000, 0.6639158000, 1.4034971000", \ - "0.2128114000, 0.2192705000, 0.2357002000, 0.2778495000, 0.3870314000, 0.6695387000, 1.4133894000", \ - "0.2236642000, 0.2299319000, 0.2461189000, 0.2889332000, 0.3988418000, 0.6825366000, 1.4247297000", \ - "0.2509484000, 0.2575195000, 0.2732137000, 0.3158638000, 0.4258955000, 0.7108417000, 1.4537673000", \ - "0.3106562000, 0.3167650000, 0.3326557000, 0.3745877000, 0.4838775000, 0.7685365000, 1.5117199000", \ - "0.4234237000, 0.4305287000, 0.4484874000, 0.4945019000, 0.6065538000, 0.8897118000, 1.6342017000", \ - "0.6160303000, 0.6250840000, 0.6478975000, 0.7055868000, 0.8409281000, 1.1532001000, 1.8986741000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0418371000, 0.0437595000, 0.0489611000, 0.0623203000, 0.0952793000, 0.1771599000, 0.3886325000", \ - "0.0402952000, 0.0423809000, 0.0477076000, 0.0614268000, 0.0947654000, 0.1768566000, 0.3887477000", \ - "0.0412727000, 0.0430915000, 0.0478037000, 0.0604580000, 0.0931792000, 0.1761094000, 0.3885276000", \ - "0.0522235000, 0.0539578000, 0.0585038000, 0.0695086000, 0.0972287000, 0.1752290000, 0.3880087000", \ - "0.0742163000, 0.0765531000, 0.0823170000, 0.0964824000, 0.1257082000, 0.1949129000, 0.3895781000", \ - "0.1164612000, 0.1201329000, 0.1281086000, 0.1463088000, 0.1845805000, 0.2640257000, 0.4436999000", \ - "0.1915225000, 0.1966262000, 0.2092419000, 0.2362247000, 0.2945911000, 0.4004775000, 0.6128835000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.1388747000, 0.1465198000, 0.1670678000, 0.2225560000, 0.3680572000, 0.7495966000, 1.7479591000", \ - "0.1388957000, 0.1469703000, 0.1673088000, 0.2232979000, 0.3680463000, 0.7484905000, 1.7524294000", \ - "0.1387453000, 0.1468006000, 0.1680017000, 0.2233986000, 0.3693943000, 0.7508011000, 1.7490481000", \ - "0.1385447000, 0.1465296000, 0.1676204000, 0.2228331000, 0.3682487000, 0.7499878000, 1.7525249000", \ - "0.1398504000, 0.1480384000, 0.1689631000, 0.2237733000, 0.3681239000, 0.7515176000, 1.7504119000", \ - "0.1634932000, 0.1706763000, 0.1904631000, 0.2409024000, 0.3781493000, 0.7492802000, 1.7528243000", \ - "0.2207687000, 0.2291915000, 0.2498320000, 0.3053222000, 0.4443916000, 0.7929820000, 1.7603272000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0352415000, 0.0368984000, 0.0412479000, 0.0520151000, 0.0780180000, 0.1390907000, 0.2888186000", \ - "0.0396106000, 0.0414253000, 0.0456809000, 0.0564334000, 0.0822912000, 0.1434820000, 0.2924860000", \ - "0.0496043000, 0.0512051000, 0.0553169000, 0.0657770000, 0.0912937000, 0.1522944000, 0.3017016000", \ - "0.0688949000, 0.0707387000, 0.0753982000, 0.0866303000, 0.1119554000, 0.1724742000, 0.3215676000", \ - "0.0975383000, 0.1000347000, 0.1062307000, 0.1208770000, 0.1528683000, 0.2186940000, 0.3679459000", \ - "0.1297073000, 0.1332047000, 0.1419715000, 0.1622948000, 0.2073655000, 0.2985334000, 0.4715577000", \ - "0.1414253000, 0.1466437000, 0.1597633000, 0.1917152000, 0.2603809000, 0.3945866000, 0.6398884000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.2301307000, 0.2357737000, 0.2505782000, 0.2881295000, 0.3884047000, 0.6476931000, 1.3300393000", \ - "0.2334655000, 0.2395305000, 0.2549025000, 0.2930662000, 0.3930237000, 0.6526353000, 1.3325300000", \ - "0.2459118000, 0.2520681000, 0.2667956000, 0.3054920000, 0.4058895000, 0.6657906000, 1.3474077000", \ - "0.2738513000, 0.2800798000, 0.2954841000, 0.3338747000, 0.4341197000, 0.6950585000, 1.3758775000", \ - "0.3336057000, 0.3392851000, 0.3532949000, 0.3924363000, 0.4924501000, 0.7535160000, 1.4351308000", \ - "0.4467925000, 0.4528782000, 0.4688629000, 0.5091950000, 0.6122771000, 0.8726360000, 1.5543621000", \ - "0.6387617000, 0.6475275000, 0.6679118000, 0.7185033000, 0.8415373000, 1.1297794000, 1.8156001000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0415114000, 0.0435010000, 0.0486909000, 0.0621541000, 0.0952547000, 0.1769394000, 0.3882666000", \ - "0.0409532000, 0.0428973000, 0.0481298000, 0.0616598000, 0.0947164000, 0.1768466000, 0.3882568000", \ - "0.0411617000, 0.0431184000, 0.0480411000, 0.0610833000, 0.0940514000, 0.1763714000, 0.3880742000", \ - "0.0486542000, 0.0503019000, 0.0548050000, 0.0660930000, 0.0958654000, 0.1759439000, 0.3884408000", \ - "0.0675955000, 0.0695369000, 0.0743493000, 0.0859644000, 0.1155594000, 0.1870631000, 0.3888849000", \ - "0.1039165000, 0.1064603000, 0.1128405000, 0.1284319000, 0.1630366000, 0.2371842000, 0.4221342000", \ - "0.1711959000, 0.1746813000, 0.1831806000, 0.2052426000, 0.2505766000, 0.3451795000, 0.5423694000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.1569376000, 0.1643626000, 0.1834429000, 0.2349548000, 0.3684330000, 0.7192527000, 1.6439787000", \ - "0.1571498000, 0.1641872000, 0.1833997000, 0.2347326000, 0.3682753000, 0.7190665000, 1.6385211000", \ - "0.1567862000, 0.1644736000, 0.1836363000, 0.2347232000, 0.3681832000, 0.7191062000, 1.6400483000", \ - "0.1571254000, 0.1641551000, 0.1834629000, 0.2347516000, 0.3683104000, 0.7197271000, 1.6385999000", \ - "0.1574268000, 0.1646012000, 0.1846579000, 0.2349193000, 0.3693684000, 0.7212895000, 1.6409402000", \ - "0.1795182000, 0.1864399000, 0.2056421000, 0.2520276000, 0.3788303000, 0.7202054000, 1.6434801000", \ - "0.2379916000, 0.2444560000, 0.2641308000, 0.3159068000, 0.4447006000, 0.7663790000, 1.6479133000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0316357000, 0.0331314000, 0.0368862000, 0.0463462000, 0.0696351000, 0.1283463000, 0.2795529000", \ - "0.0358067000, 0.0372584000, 0.0411479000, 0.0504554000, 0.0738260000, 0.1325024000, 0.2837486000", \ - "0.0461741000, 0.0474938000, 0.0511075000, 0.0602732000, 0.0836319000, 0.1423549000, 0.2936291000", \ - "0.0636438000, 0.0656576000, 0.0706241000, 0.0824257000, 0.1069232000, 0.1653964000, 0.3166503000", \ - "0.0824071000, 0.0853539000, 0.0924829000, 0.1099252000, 0.1467367000, 0.2187693000, 0.3697901000", \ - "0.0903177000, 0.0948561000, 0.1062529000, 0.1322776000, 0.1885478000, 0.2985603000, 0.4928561000", \ - "0.0570907000, 0.0646176000, 0.0816955000, 0.1225178000, 0.2102172000, 0.3783831000, 0.6746127000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.1728635000, 0.1791361000, 0.1953506000, 0.2371011000, 0.3443406000, 0.6228568000, 1.3532857000", \ - "0.1751917000, 0.1817346000, 0.1981580000, 0.2403474000, 0.3485058000, 0.6276821000, 1.3583227000", \ - "0.1843093000, 0.1906375000, 0.2068528000, 0.2494508000, 0.3583437000, 0.6389604000, 1.3704554000", \ - "0.2104585000, 0.2165997000, 0.2322746000, 0.2745656000, 0.3834342000, 0.6654431000, 1.3985637000", \ - "0.2712491000, 0.2773105000, 0.2934642000, 0.3349203000, 0.4425538000, 0.7235847000, 1.4587400000", \ - "0.3880502000, 0.3960108000, 0.4157795000, 0.4651987000, 0.5820259000, 0.8620440000, 1.5959720000", \ - "0.5867111000, 0.5986317000, 0.6283381000, 0.6988577000, 0.8535914000, 1.1856182000, 1.9212318000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0396959000, 0.0412210000, 0.0454322000, 0.0565596000, 0.0864986000, 0.1664396000, 0.3787557000", \ - "0.0391657000, 0.0408046000, 0.0450948000, 0.0564096000, 0.0864008000, 0.1666044000, 0.3787767000", \ - "0.0402685000, 0.0417209000, 0.0456213000, 0.0563864000, 0.0863549000, 0.1663269000, 0.3786726000", \ - "0.0523191000, 0.0537310000, 0.0575397000, 0.0667577000, 0.0923333000, 0.1668388000, 0.3785572000", \ - "0.0784508000, 0.0803944000, 0.0852467000, 0.0967503000, 0.1247270000, 0.1890177000, 0.3804402000", \ - "0.1281342000, 0.1308445000, 0.1376684000, 0.1539137000, 0.1917289000, 0.2674058000, 0.4369202000", \ - "0.2171913000, 0.2202131000, 0.2308967000, 0.2563688000, 0.3087646000, 0.4163050000, 0.6206506000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.1348717000, 0.1424567000, 0.1626839000, 0.2180621000, 0.3609208000, 0.7388676000, 1.7253406000", \ - "0.1348490000, 0.1428240000, 0.1627743000, 0.2182024000, 0.3617153000, 0.7367653000, 1.7260426000", \ - "0.1349714000, 0.1424735000, 0.1627485000, 0.2173416000, 0.3605017000, 0.7364716000, 1.7239290000", \ - "0.1346970000, 0.1426051000, 0.1630997000, 0.2174492000, 0.3608947000, 0.7389115000, 1.7293056000", \ - "0.1405863000, 0.1478513000, 0.1674312000, 0.2191484000, 0.3620945000, 0.7367684000, 1.7289464000", \ - "0.1792507000, 0.1869836000, 0.2056469000, 0.2530974000, 0.3806571000, 0.7383119000, 1.7291203000", \ - "0.2704401000, 0.2789901000, 0.3009859000, 0.3567272000, 0.4863204000, 0.8056340000, 1.7334375000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0392014000, 0.0406462000, 0.0443974000, 0.0538227000, 0.0771379000, 0.1358826000, 0.2871258000", \ - "0.0434479000, 0.0449466000, 0.0487057000, 0.0581577000, 0.0814640000, 0.1402173000, 0.2914434000", \ - "0.0523003000, 0.0537516000, 0.0575480000, 0.0669390000, 0.0903252000, 0.1491215000, 0.3004552000", \ - "0.0680547000, 0.0697809000, 0.0742033000, 0.0850132000, 0.1098505000, 0.1691025000, 0.3206521000", \ - "0.0879766000, 0.0904624000, 0.0968800000, 0.1119023000, 0.1444804000, 0.2124854000, 0.3660483000", \ - "0.1015517000, 0.1053101000, 0.1150777000, 0.1382832000, 0.1880115000, 0.2838414000, 0.4658869000", \ - "0.0788873000, 0.0850097000, 0.1011980000, 0.1372440000, 0.2157766000, 0.3656416000, 0.6272896000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.2019040000, 0.2080460000, 0.2235173000, 0.2620436000, 0.3615142000, 0.6210537000, 1.3009250000", \ - "0.2049372000, 0.2111614000, 0.2266726000, 0.2652719000, 0.3653935000, 0.6250960000, 1.3051269000", \ - "0.2160381000, 0.2212696000, 0.2357393000, 0.2756114000, 0.3761200000, 0.6365501000, 1.3176855000", \ - "0.2412210000, 0.2473943000, 0.2628225000, 0.3013803000, 0.4022246000, 0.6633244000, 1.3446019000", \ - "0.3014816000, 0.3073198000, 0.3219847000, 0.3611262000, 0.4614138000, 0.7223649000, 1.4044768000", \ - "0.4228898000, 0.4295476000, 0.4461273000, 0.4921867000, 0.5975026000, 0.8583331000, 1.5404644000", \ - "0.6319333000, 0.6416616000, 0.6667436000, 0.7274735000, 0.8671335000, 1.1703939000, 1.8579429000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0394443000, 0.0410248000, 0.0451984000, 0.0564069000, 0.0864170000, 0.1663551000, 0.3782117000", \ - "0.0392949000, 0.0409261000, 0.0451139000, 0.0563869000, 0.0865752000, 0.1664592000, 0.3786410000", \ - "0.0398348000, 0.0413274000, 0.0454177000, 0.0564036000, 0.0864967000, 0.1664980000, 0.3782473000", \ - "0.0472935000, 0.0487790000, 0.0524221000, 0.0621374000, 0.0895588000, 0.1669958000, 0.3787334000", \ - "0.0677961000, 0.0694568000, 0.0736007000, 0.0838806000, 0.1106784000, 0.1798963000, 0.3809514000", \ - "0.1104661000, 0.1124635000, 0.1176330000, 0.1311251000, 0.1630860000, 0.2339587000, 0.4143905000", \ - "0.1896321000, 0.1926712000, 0.1999103000, 0.2197187000, 0.2631063000, 0.3531077000, 0.5465834000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.1576365000, 0.1643307000, 0.1834717000, 0.2346428000, 0.3686248000, 0.7201995000, 1.6396362000", \ - "0.1572214000, 0.1642832000, 0.1834186000, 0.2347000000, 0.3682202000, 0.7188624000, 1.6388745000", \ - "0.1566642000, 0.1641100000, 0.1839015000, 0.2346159000, 0.3684749000, 0.7212363000, 1.6432677000", \ - "0.1571092000, 0.1641689000, 0.1834367000, 0.2347323000, 0.3682432000, 0.7194010000, 1.6385889000", \ - "0.1608044000, 0.1677033000, 0.1859555000, 0.2355910000, 0.3687316000, 0.7208740000, 1.6425914000", \ - "0.1967213000, 0.2038822000, 0.2218269000, 0.2669470000, 0.3869339000, 0.7217038000, 1.6386789000", \ - "0.2882246000, 0.2963994000, 0.3174487000, 0.3671698000, 0.4927153000, 0.7920219000, 1.6484929000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0150803000, 0.0158927000, 0.0179456000, 0.0230666000, 0.0359282000, 0.0688961000, 0.1553177000", \ - "0.0196640000, 0.0204705000, 0.0225292000, 0.0277164000, 0.0406118000, 0.0736606000, 0.1601065000", \ - "0.0268538000, 0.0282127000, 0.0312386000, 0.0382189000, 0.0517829000, 0.0848064000, 0.1711476000", \ - "0.0344861000, 0.0365670000, 0.0413698000, 0.0522396000, 0.0734483000, 0.1106072000, 0.1965527000", \ - "0.0386155000, 0.0418762000, 0.0490086000, 0.0660149000, 0.0993313000, 0.1579629000, 0.2567896000", \ - "0.0259227000, 0.0309485000, 0.0430966000, 0.0706109000, 0.1224838000, 0.2148005000, 0.3678520000", \ - "-0.031301400, -0.023483800, -0.004918200, 0.0376597000, 0.1192456000, 0.2646358000, 0.5050760000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.1408609000, 0.1459105000, 0.1621476000, 0.2018091000, 0.3030299000, 0.5640539000, 1.2447202000", \ - "0.1430935000, 0.1490019000, 0.1635221000, 0.2039918000, 0.3051858000, 0.5674844000, 1.2496107000", \ - "0.1511396000, 0.1569602000, 0.1720804000, 0.2110482000, 0.3135089000, 0.5759302000, 1.2584770000", \ - "0.1752662000, 0.1806383000, 0.1958368000, 0.2341055000, 0.3358699000, 0.5984142000, 1.2820071000", \ - "0.2401040000, 0.2451996000, 0.2591840000, 0.2965471000, 0.3948211000, 0.6560161000, 1.3395315000", \ - "0.3681453000, 0.3754802000, 0.3940898000, 0.4382497000, 0.5439230000, 0.7949677000, 1.4749185000", \ - "0.5735815000, 0.5842423000, 0.6113069000, 0.6767212000, 0.8266694000, 1.1386719000, 1.8105600000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0159149000, 0.0170051000, 0.0198620000, 0.0271073000, 0.0449826000, 0.0896445000, 0.2058098000", \ - "0.0168972000, 0.0178472000, 0.0203558000, 0.0271605000, 0.0450267000, 0.0895789000, 0.2055449000", \ - "0.0256644000, 0.0259248000, 0.0271188000, 0.0318864000, 0.0467807000, 0.0896457000, 0.2053637000", \ - "0.0430280000, 0.0436167000, 0.0453306000, 0.0499684000, 0.0615751000, 0.0960239000, 0.2050499000", \ - "0.0744832000, 0.0752341000, 0.0773409000, 0.0835057000, 0.0986954000, 0.1329695000, 0.2199614000", \ - "0.1315305000, 0.1324801000, 0.1353167000, 0.1431239000, 0.1649056000, 0.2120110000, 0.3056705000", \ - "0.2345623000, 0.2358789000, 0.2404219000, 0.2518087000, 0.2823670000, 0.3534438000, 0.4883803000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.1557064000, 0.1632013000, 0.1829163000, 0.2345199000, 0.3682180000, 0.7197818000, 1.6390093000", \ - "0.1555690000, 0.1626794000, 0.1834452000, 0.2344417000, 0.3684687000, 0.7193560000, 1.6438855000", \ - "0.1550680000, 0.1622504000, 0.1820668000, 0.2343444000, 0.3682403000, 0.7194773000, 1.6399112000", \ - "0.1508232000, 0.1587929000, 0.1797795000, 0.2332922000, 0.3680757000, 0.7196453000, 1.6438472000", \ - "0.1607096000, 0.1674459000, 0.1854814000, 0.2336503000, 0.3655165000, 0.7207422000, 1.6435371000", \ - "0.2096213000, 0.2174586000, 0.2380629000, 0.2863703000, 0.3987381000, 0.7224994000, 1.6435775000", \ - "0.2973100000, 0.3080723000, 0.3349099000, 0.3972698000, 0.5357219000, 0.8358348000, 1.6536112000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a222oi_1") { - leakage_power () { - value : 0.0007259000; - when : "!A1&!A2&!B1&!B2&!C1&C2"; - } - leakage_power () { - value : 0.0002046000; - when : "!A1&!A2&!B1&!B2&!C1&!C2"; - } - leakage_power () { - value : 0.0079443000; - when : "!A1&!A2&!B1&!B2&C1&C2"; - } - leakage_power () { - value : 0.0007770000; - when : "!A1&!A2&!B1&!B2&C1&!C2"; - } - leakage_power () { - value : 0.0015811000; - when : "!A1&!A2&!B1&B2&!C1&C2"; - } - leakage_power () { - value : 0.0010598000; - when : "!A1&!A2&!B1&B2&!C1&!C2"; - } - leakage_power () { - value : 0.0079443000; - when : "!A1&!A2&!B1&B2&C1&C2"; - } - leakage_power () { - value : 0.0016323000; - when : "!A1&!A2&!B1&B2&C1&!C2"; - } - leakage_power () { - value : 0.0013895000; - when : "!A1&!A2&B1&!B2&!C1&C2"; - } - leakage_power () { - value : 0.0008682000; - when : "!A1&!A2&B1&!B2&!C1&!C2"; - } - leakage_power () { - value : 0.0079443000; - when : "!A1&!A2&B1&!B2&C1&C2"; - } - leakage_power () { - value : 0.0014407000; - when : "!A1&!A2&B1&!B2&C1&!C2"; - } - leakage_power () { - value : 0.0023719000; - when : "!A1&!A2&B1&B2&!C1&C2"; - } - leakage_power () { - value : 0.0024386000; - when : "!A1&!A2&B1&B2&!C1&!C2"; - } - leakage_power () { - value : 0.0002728000; - when : "!A1&!A2&B1&B2&C1&C2"; - } - leakage_power () { - value : 0.0023719000; - when : "!A1&!A2&B1&B2&C1&!C2"; - } - leakage_power () { - value : 0.0015345000; - when : "!A1&A2&!B1&!B2&!C1&C2"; - } - leakage_power () { - value : 0.0010132000; - when : "!A1&A2&!B1&!B2&!C1&!C2"; - } - leakage_power () { - value : 0.0079443000; - when : "!A1&A2&!B1&!B2&C1&C2"; - } - leakage_power () { - value : 0.0015857000; - when : "!A1&A2&!B1&!B2&C1&!C2"; - } - leakage_power () { - value : 0.0023899000; - when : "!A1&A2&!B1&B2&!C1&C2"; - } - leakage_power () { - value : 0.0018684000; - when : "!A1&A2&!B1&B2&!C1&!C2"; - } - leakage_power () { - value : 0.0079443000; - when : "!A1&A2&!B1&B2&C1&C2"; - } - leakage_power () { - value : 0.0024409000; - when : "!A1&A2&!B1&B2&C1&!C2"; - } - leakage_power () { - value : 0.0021982000; - when : "!A1&A2&B1&!B2&!C1&C2"; - } - leakage_power () { - value : 0.0016768000; - when : "!A1&A2&B1&!B2&!C1&!C2"; - } - leakage_power () { - value : 0.0079443000; - when : "!A1&A2&B1&!B2&C1&C2"; - } - leakage_power () { - value : 0.0022493000; - when : "!A1&A2&B1&!B2&C1&!C2"; - } - leakage_power () { - value : 0.0023719000; - when : "!A1&A2&B1&B2&!C1&C2"; - } - leakage_power () { - value : 0.0024386000; - when : "!A1&A2&B1&B2&!C1&!C2"; - } - leakage_power () { - value : 0.0002728000; - when : "!A1&A2&B1&B2&C1&C2"; - } - leakage_power () { - value : 0.0023719000; - when : "!A1&A2&B1&B2&C1&!C2"; - } - leakage_power () { - value : 0.0011394000; - when : "A1&!A2&!B1&!B2&!C1&C2"; - } - leakage_power () { - value : 0.0006181000; - when : "A1&!A2&!B1&!B2&!C1&!C2"; - } - leakage_power () { - value : 0.0079443000; - when : "A1&!A2&!B1&!B2&C1&C2"; - } - leakage_power () { - value : 0.0011906000; - when : "A1&!A2&!B1&!B2&C1&!C2"; - } - leakage_power () { - value : 0.0019946000; - when : "A1&!A2&!B1&B2&!C1&C2"; - } - leakage_power () { - value : 0.0014733000; - when : "A1&!A2&!B1&B2&!C1&!C2"; - } - leakage_power () { - value : 0.0079443000; - when : "A1&!A2&!B1&B2&C1&C2"; - } - leakage_power () { - value : 0.0020458000; - when : "A1&!A2&!B1&B2&C1&!C2"; - } - leakage_power () { - value : 0.0018030000; - when : "A1&!A2&B1&!B2&!C1&C2"; - } - leakage_power () { - value : 0.0012817000; - when : "A1&!A2&B1&!B2&!C1&!C2"; - } - leakage_power () { - value : 0.0079443000; - when : "A1&!A2&B1&!B2&C1&C2"; - } - leakage_power () { - value : 0.0018542000; - when : "A1&!A2&B1&!B2&C1&!C2"; - } - leakage_power () { - value : 0.0023719000; - when : "A1&!A2&B1&B2&!C1&C2"; - } - leakage_power () { - value : 0.0024386000; - when : "A1&!A2&B1&B2&!C1&!C2"; - } - leakage_power () { - value : 0.0002728000; - when : "A1&!A2&B1&B2&C1&C2"; - } - leakage_power () { - value : 0.0023719000; - when : "A1&!A2&B1&B2&C1&!C2"; - } - leakage_power () { - value : 0.0022640000; - when : "A1&A2&!B1&!B2&!C1&C2"; - } - leakage_power () { - value : 0.0022832000; - when : "A1&A2&!B1&!B2&!C1&!C2"; - } - leakage_power () { - value : 0.0002735000; - when : "A1&A2&!B1&!B2&C1&C2"; - } - leakage_power () { - value : 0.0022640000; - when : "A1&A2&!B1&!B2&C1&!C2"; - } - leakage_power () { - value : 0.0021739000; - when : "A1&A2&!B1&B2&!C1&C2"; - } - leakage_power () { - value : 0.0021810000; - when : "A1&A2&!B1&B2&!C1&!C2"; - } - leakage_power () { - value : 0.0002735000; - when : "A1&A2&!B1&B2&C1&C2"; - } - leakage_power () { - value : 0.0021739000; - when : "A1&A2&!B1&B2&C1&!C2"; - } - leakage_power () { - value : 0.0022432000; - when : "A1&A2&B1&!B2&!C1&C2"; - } - leakage_power () { - value : 0.0022581000; - when : "A1&A2&B1&!B2&!C1&!C2"; - } - leakage_power () { - value : 0.0002733000; - when : "A1&A2&B1&!B2&C1&C2"; - } - leakage_power () { - value : 0.0022432000; - when : "A1&A2&B1&!B2&C1&!C2"; - } - leakage_power () { - value : 0.0002613000; - when : "A1&A2&B1&B2&!C1&C2"; - } - leakage_power () { - value : 0.0002621000; - when : "A1&A2&B1&B2&!C1&!C2"; - } - leakage_power () { - value : 0.0002340000; - when : "A1&A2&B1&B2&C1&C2"; - } - leakage_power () { - value : 0.0002613000; - when : "A1&A2&B1&B2&C1&!C2"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__a222oi"; - cell_leakage_power : 0.0024347500; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0022100000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044191000, 0.0044186000, 0.0044175000, 0.0044175000, 0.0044177000, 0.0044180000, 0.0044187000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003428900, -0.003431600, -0.003437900, -0.003430300, -0.003413000, -0.003373100, -0.003280900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0022720000; - } - pin ("A2") { - capacitance : 0.0022470000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021420000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039867000, 0.0039860000, 0.0039844000, 0.0039868000, 0.0039925000, 0.0040057000, 0.0040359000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003992900, -0.003988900, -0.003979600, -0.003980400, -0.003982000, -0.003985900, -0.003994800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023530000; - } - pin ("B1") { - capacitance : 0.0022360000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021260000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045529000, 0.0045451000, 0.0045270000, 0.0045264000, 0.0045251000, 0.0045222000, 0.0045154000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003695400, -0.003702800, -0.003719800, -0.003713500, -0.003699000, -0.003665400, -0.003588100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023460000; - } - pin ("B2") { - capacitance : 0.0022960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041906000, 0.0041910000, 0.0041921000, 0.0041919000, 0.0041915000, 0.0041904000, 0.0041879000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004190300, -0.004188800, -0.004185600, -0.004185600, -0.004185800, -0.004186100, -0.004186900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024600000; - } - pin ("C1") { - capacitance : 0.0022600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021110000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047448000, 0.0047440000, 0.0047422000, 0.0047413000, 0.0047391000, 0.0047341000, 0.0047225000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003943600, -0.003947600, -0.003956800, -0.003949700, -0.003933400, -0.003895900, -0.003809300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024100000; - } - pin ("C2") { - capacitance : 0.0022990000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042487000, 0.0042474000, 0.0042445000, 0.0042437000, 0.0042420000, 0.0042381000, 0.0042290000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004249600, -0.004248800, -0.004247100, -0.004246900, -0.004246500, -0.004245500, -0.004243300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024920000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1&!C1) | (!A1&!B1&!C2) | (!A1&!B2&!C1) | (!A2&!B1&!C1) | (!A1&!B2&!C2) | (!A2&!B1&!C2) | (!A2&!B2&!C1) | (!A2&!B2&!C2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0080884000, 0.0070789000, 0.0048109000, -0.000322200, -0.011929700, -0.038196400, -0.097553900", \ - "0.0080843000, 0.0070933000, 0.0048186000, -0.000305000, -0.011908700, -0.038165500, -0.097527300", \ - "0.0080264000, 0.0070224000, 0.0047747000, -0.000331200, -0.011909400, -0.038152100, -0.097499300", \ - "0.0078406000, 0.0068507000, 0.0046451000, -0.000429000, -0.011970700, -0.038169400, -0.097498000", \ - "0.0076090000, 0.0066232000, 0.0044125000, -0.000672700, -0.012157300, -0.038262500, -0.097539700", \ - "0.0077054000, 0.0066874000, 0.0044168000, -0.000710300, -0.012360400, -0.038447700, -0.097594500", \ - "0.0084456000, 0.0074074000, 0.0050802000, -0.000162700, -0.011898300, -0.038271300, -0.097641000"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0186427000, 0.0196537000, 0.0219946000, 0.0271324000, 0.0387213000, 0.0647921000, 0.1235284000", \ - "0.0185396000, 0.0195587000, 0.0218730000, 0.0270390000, 0.0386736000, 0.0647716000, 0.1235818000", \ - "0.0183709000, 0.0194149000, 0.0217089000, 0.0269302000, 0.0385885000, 0.0646946000, 0.1235427000", \ - "0.0182012000, 0.0192331000, 0.0215413000, 0.0267573000, 0.0384139000, 0.0646007000, 0.1233842000", \ - "0.0180764000, 0.0190936000, 0.0213590000, 0.0265684000, 0.0382407000, 0.0644222000, 0.1232276000", \ - "0.0180441000, 0.0190363000, 0.0213977000, 0.0265860000, 0.0381822000, 0.0643103000, 0.1231121000", \ - "0.0182027000, 0.0191993000, 0.0214566000, 0.0267382000, 0.0382328000, 0.0644716000, 0.1232927000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0041623000, 0.0031823000, 0.0009336000, -0.004184100, -0.015832200, -0.042149200, -0.101592500", \ - "0.0040747000, 0.0031161000, 0.0009031000, -0.004166700, -0.015761900, -0.042067400, -0.101487500", \ - "0.0039337000, 0.0029857000, 0.0008033000, -0.004212400, -0.015742800, -0.041984100, -0.101381500", \ - "0.0037076000, 0.0027795000, 0.0006135000, -0.004351100, -0.015831900, -0.041996700, -0.101348200", \ - "0.0035562000, 0.0025772000, 0.0003995000, -0.004579200, -0.016004700, -0.042120400, -0.101399600", \ - "0.0039987000, 0.0029956000, 0.0007967000, -0.004603600, -0.016226400, -0.042138700, -0.101424000", \ - "0.0051038000, 0.0040108000, 0.0015539000, -0.003837700, -0.015653600, -0.041755700, -0.101465900"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0130122000, 0.0140474000, 0.0163803000, 0.0215765000, 0.0331949000, 0.0592761000, 0.1180899000", \ - "0.0128191000, 0.0138596000, 0.0162094000, 0.0214465000, 0.0331276000, 0.0592278000, 0.1181200000", \ - "0.0125411000, 0.0135660000, 0.0159056000, 0.0212178000, 0.0329513000, 0.0591201000, 0.1179500000", \ - "0.0122890000, 0.0133078000, 0.0156642000, 0.0209097000, 0.0326695000, 0.0589692000, 0.1177940000", \ - "0.0121940000, 0.0131986000, 0.0155196000, 0.0207006000, 0.0323899000, 0.0586656000, 0.1176152000", \ - "0.0125800000, 0.0135492000, 0.0157881000, 0.0208785000, 0.0324150000, 0.0584906000, 0.1173575000", \ - "0.0139455000, 0.0149114000, 0.0170693000, 0.0220149000, 0.0333410000, 0.0588991000, 0.1175780000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0044804000, 0.0034918000, 0.0012253000, -0.003912600, -0.015567100, -0.041899800, -0.101352600", \ - "0.0044098000, 0.0034412000, 0.0012161000, -0.003880000, -0.015494700, -0.041808400, -0.101243900", \ - "0.0042396000, 0.0032965000, 0.0011157000, -0.003916500, -0.015463900, -0.041732400, -0.101139700", \ - "0.0039743000, 0.0030480000, 0.0008876000, -0.004076800, -0.015530500, -0.041726400, -0.101085400", \ - "0.0037709000, 0.0027867000, 0.0006122000, -0.004356000, -0.015759200, -0.041832500, -0.101116200", \ - "0.0039391000, 0.0029357000, 0.0006814000, -0.004387300, -0.015960800, -0.042033100, -0.101240600", \ - "0.0049660000, 0.0038935000, 0.0014897000, -0.003815700, -0.015586500, -0.041888000, -0.101290600"); - } - related_pin : "C2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0159104000, 0.0169080000, 0.0192006000, 0.0243801000, 0.0360035000, 0.0620862000, 0.1208793000", \ - "0.0157426000, 0.0167850000, 0.0190903000, 0.0242913000, 0.0359263000, 0.0620145000, 0.1207715000", \ - "0.0155496000, 0.0165735000, 0.0188742000, 0.0241289000, 0.0358048000, 0.0619410000, 0.1207075000", \ - "0.0153590000, 0.0164364000, 0.0186894000, 0.0239192000, 0.0356320000, 0.0618366000, 0.1206745000", \ - "0.0153066000, 0.0163319000, 0.0186327000, 0.0238063000, 0.0355003000, 0.0616672000, 0.1205939000", \ - "0.0156908000, 0.0166695000, 0.0189369000, 0.0240444000, 0.0356014000, 0.0616339000, 0.1204508000", \ - "0.0178886000, 0.0187423000, 0.0206692000, 0.0256047000, 0.0368467000, 0.0630412000, 0.1208141000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0110704000, 0.0100803000, 0.0077910000, 0.0026543000, -0.008900600, -0.034994400, -0.094207000", \ - "0.0109830000, 0.0099916000, 0.0076962000, 0.0025877000, -0.008959000, -0.035107600, -0.094235300", \ - "0.0108910000, 0.0098923000, 0.0075942000, 0.0024420000, -0.009074500, -0.035155200, -0.094365200", \ - "0.0107143000, 0.0097508000, 0.0074655000, 0.0022949000, -0.009203900, -0.035301700, -0.094460100", \ - "0.0105787000, 0.0095787000, 0.0073169000, 0.0022184000, -0.009242200, -0.035447100, -0.094536700", \ - "0.0107239000, 0.0096934000, 0.0073796000, 0.0021586000, -0.009422000, -0.035501900, -0.094600700", \ - "0.0120522000, 0.0110231000, 0.0086375000, 0.0035368000, -0.008724100, -0.034800800, -0.094611800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0185066000, 0.0195384000, 0.0218305000, 0.0270151000, 0.0386266000, 0.0646709000, 0.1234029000", \ - "0.0183733000, 0.0194130000, 0.0217202000, 0.0269259000, 0.0385626000, 0.0646337000, 0.1234092000", \ - "0.0181466000, 0.0191961000, 0.0215357000, 0.0267508000, 0.0384492000, 0.0645325000, 0.1233386000", \ - "0.0178921000, 0.0189370000, 0.0212637000, 0.0264914000, 0.0382181000, 0.0643621000, 0.1232632000", \ - "0.0177234000, 0.0187379000, 0.0210281000, 0.0262410000, 0.0379034000, 0.0641381000, 0.1230245000", \ - "0.0176719000, 0.0186864000, 0.0209847000, 0.0261339000, 0.0377713000, 0.0639436000, 0.1227578000", \ - "0.0176179000, 0.0186880000, 0.0209795000, 0.0261893000, 0.0378003000, 0.0637544000, 0.1225662000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0115809000, 0.0105717000, 0.0082845000, 0.0031533000, -0.008402500, -0.034496500, -0.093710600", \ - "0.0114996000, 0.0104864000, 0.0081898000, 0.0030546000, -0.008491700, -0.034587100, -0.093784300", \ - "0.0113740000, 0.0103628000, 0.0080840000, 0.0029432000, -0.008607100, -0.034735100, -0.093933100", \ - "0.0112705000, 0.0102624000, 0.0079726000, 0.0028275000, -0.008727700, -0.034801900, -0.094016000", \ - "0.0111025000, 0.0100962000, 0.0078430000, 0.0027934000, -0.008829500, -0.034876300, -0.094067900", \ - "0.0112164000, 0.0101951000, 0.0078699000, 0.0026930000, -0.008904700, -0.034964500, -0.094062800", \ - "0.0120496000, 0.0110150000, 0.0086885000, 0.0034556000, -0.008269200, -0.034714500, -0.094018500"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0215479000, 0.0225916000, 0.0248692000, 0.0300442000, 0.0416438000, 0.0676803000, 0.1264469000", \ - "0.0214666000, 0.0225244000, 0.0247981000, 0.0299883000, 0.0415957000, 0.0676543000, 0.1263587000", \ - "0.0213471000, 0.0223817000, 0.0246860000, 0.0298860000, 0.0414892000, 0.0676230000, 0.1263363000", \ - "0.0212097000, 0.0222218000, 0.0245444000, 0.0297214000, 0.0413769000, 0.0675335000, 0.1263032000", \ - "0.0210586000, 0.0221091000, 0.0243757000, 0.0295815000, 0.0412236000, 0.0673693000, 0.1261713000", \ - "0.0210278000, 0.0220442000, 0.0243334000, 0.0295210000, 0.0411279000, 0.0672561000, 0.1262467000", \ - "0.0209613000, 0.0220207000, 0.0242837000, 0.0295672000, 0.0411582000, 0.0671647000, 0.1259118000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0075501000, 0.0065386000, 0.0042708000, -0.000858400, -0.012467600, -0.038735800, -0.098092500", \ - "0.0075183000, 0.0065205000, 0.0042764000, -0.000833100, -0.012432600, -0.038699700, -0.098056400", \ - "0.0075135000, 0.0065205000, 0.0042331000, -0.000860500, -0.012422600, -0.038653600, -0.098005600", \ - "0.0073341000, 0.0063498000, 0.0041205000, -0.000984200, -0.012519400, -0.038691300, -0.098000600", \ - "0.0071452000, 0.0062180000, 0.0039817000, -0.001122200, -0.012535500, -0.038817600, -0.098054700", \ - "0.0073005000, 0.0062846000, 0.0039999000, -0.001152800, -0.012833300, -0.038770400, -0.098102500", \ - "0.0082112000, 0.0071704000, 0.0048370000, -0.000510000, -0.011974600, -0.038599500, -0.098060500"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0157472000, 0.0167718000, 0.0190751000, 0.0242604000, 0.0358894000, 0.0620039000, 0.1206852000", \ - "0.0156308000, 0.0166377000, 0.0189650000, 0.0241772000, 0.0358184000, 0.0619560000, 0.1206444000", \ - "0.0153773000, 0.0164239000, 0.0187735000, 0.0239976000, 0.0357091000, 0.0618510000, 0.1207470000", \ - "0.0151216000, 0.0161573000, 0.0184796000, 0.0237272000, 0.0354471000, 0.0616916000, 0.1205271000", \ - "0.0149401000, 0.0159643000, 0.0182617000, 0.0234673000, 0.0351377000, 0.0613837000, 0.1203002000", \ - "0.0149023000, 0.0159261000, 0.0182205000, 0.0234498000, 0.0350449000, 0.0611360000, 0.1200971000", \ - "0.0150417000, 0.0160110000, 0.0183135000, 0.0234686000, 0.0350244000, 0.0612909000, 0.1200962000"); - } - } - max_capacitance : 0.0659280000; - max_transition : 2.1354590000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0535556000, 0.0578479000, 0.0669363000, 0.0865058000, 0.1276936000, 0.2152164000, 0.4069360000", \ - "0.0577231000, 0.0620175000, 0.0712290000, 0.0907311000, 0.1316582000, 0.2193989000, 0.4113528000", \ - "0.0684809000, 0.0725940000, 0.0815811000, 0.1007484000, 0.1416475000, 0.2291393000, 0.4211147000", \ - "0.0947198000, 0.0985035000, 0.1071250000, 0.1254707000, 0.1658885000, 0.2534123000, 0.4454417000", \ - "0.1370827000, 0.1427053000, 0.1545265000, 0.1782516000, 0.2229690000, 0.3100743000, 0.5001861000", \ - "0.1917397000, 0.2000392000, 0.2175793000, 0.2527304000, 0.3180192000, 0.4288120000, 0.6287170000", \ - "0.2391096000, 0.2515119000, 0.2776351000, 0.3297946000, 0.4318659000, 0.6033160000, 0.8956671000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.2310211000, 0.2458561000, 0.2777128000, 0.3491754000, 0.5085208000, 0.8664926000, 1.6729935000", \ - "0.2354964000, 0.2502455000, 0.2822156000, 0.3542071000, 0.5141526000, 0.8735533000, 1.6787274000", \ - "0.2474892000, 0.2620257000, 0.2943142000, 0.3662545000, 0.5266991000, 0.8859722000, 1.6932560000", \ - "0.2754150000, 0.2893907000, 0.3219938000, 0.3940181000, 0.5547469000, 0.9147760000, 1.7225688000", \ - "0.3342840000, 0.3485502000, 0.3808487000, 0.4523613000, 0.6132385000, 0.9736592000, 1.7822788000", \ - "0.4509869000, 0.4664777000, 0.5015072000, 0.5753681000, 0.7351768000, 1.0942165000, 1.9024089000", \ - "0.6476300000, 0.6689997000, 0.7126905000, 0.8034058000, 0.9889213000, 1.3621039000, 2.1726256000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0545403000, 0.0595494000, 0.0697792000, 0.0930323000, 0.1429935000, 0.2540548000, 0.5040049000", \ - "0.0540219000, 0.0588079000, 0.0697181000, 0.0927865000, 0.1423099000, 0.2542770000, 0.5061289000", \ - "0.0523116000, 0.0572081000, 0.0680150000, 0.0920063000, 0.1418486000, 0.2537649000, 0.5037384000", \ - "0.0582066000, 0.0624387000, 0.0719248000, 0.0931827000, 0.1415975000, 0.2531812000, 0.5056958000", \ - "0.0859428000, 0.0906892000, 0.1004276000, 0.1207432000, 0.1591492000, 0.2595548000, 0.5039540000", \ - "0.1336404000, 0.1407101000, 0.1551188000, 0.1828604000, 0.2332167000, 0.3246698000, 0.5291957000", \ - "0.2200062000, 0.2302219000, 0.2539292000, 0.2951633000, 0.3675982000, 0.4976649000, 0.7060911000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1704179000, 0.1898861000, 0.2326918000, 0.3305943000, 0.5465627000, 1.0338974000, 2.1327120000", \ - "0.1706239000, 0.1899255000, 0.2327624000, 0.3292065000, 0.5470140000, 1.0376554000, 2.1326525000", \ - "0.1709251000, 0.1895097000, 0.2327017000, 0.3293886000, 0.5466068000, 1.0341551000, 2.1316375000", \ - "0.1703346000, 0.1895720000, 0.2328075000, 0.3299234000, 0.5466094000, 1.0342256000, 2.1312658000", \ - "0.1712834000, 0.1901235000, 0.2328647000, 0.3296593000, 0.5481390000, 1.0376837000, 2.1347850000", \ - "0.1934736000, 0.2118972000, 0.2509051000, 0.3412829000, 0.5507007000, 1.0358030000, 2.1325486000", \ - "0.2591329000, 0.2788030000, 0.3213750000, 0.4158359000, 0.6130219000, 1.0649618000, 2.1354594000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0584228000, 0.0626758000, 0.0717887000, 0.0914415000, 0.1325268000, 0.2200007000, 0.4117895000", \ - "0.0629731000, 0.0672591000, 0.0764798000, 0.0959632000, 0.1370658000, 0.2245279000, 0.4164766000", \ - "0.0730929000, 0.0773367000, 0.0864742000, 0.1059593000, 0.1467392000, 0.2345955000, 0.4263394000", \ - "0.0965723000, 0.1008751000, 0.1099260000, 0.1289658000, 0.1696971000, 0.2575064000, 0.4494295000", \ - "0.1402106000, 0.1453894000, 0.1563834000, 0.1780959000, 0.2223681000, 0.3114035000, 0.5029251000", \ - "0.2019898000, 0.2093232000, 0.2245891000, 0.2568669000, 0.3161724000, 0.4242361000, 0.6263718000", \ - "0.2716351000, 0.2838109000, 0.3078812000, 0.3546951000, 0.4465696000, 0.6053895000, 0.8714343000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.2523141000, 0.2667996000, 0.2986172000, 0.3683781000, 0.5244784000, 0.8765604000, 1.6685855000", \ - "0.2573154000, 0.2717867000, 0.3036989000, 0.3732926000, 0.5300104000, 0.8821145000, 1.6744324000", \ - "0.2710692000, 0.2842731000, 0.3156238000, 0.3858457000, 0.5436523000, 0.8961492000, 1.6878503000", \ - "0.2986089000, 0.3116219000, 0.3440720000, 0.4139264000, 0.5714065000, 0.9240799000, 1.7162238000", \ - "0.3531512000, 0.3682768000, 0.3995957000, 0.4697796000, 0.6269154000, 0.9796152000, 1.7726792000", \ - "0.4625789000, 0.4775018000, 0.5095999000, 0.5824602000, 0.7393641000, 1.0918104000, 1.8849255000", \ - "0.6423151000, 0.6610771000, 0.7007810000, 0.7893693000, 0.9694048000, 1.3368369000, 2.1298034000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0543305000, 0.0589963000, 0.0695352000, 0.0929260000, 0.1429148000, 0.2542838000, 0.5041593000", \ - "0.0539983000, 0.0588010000, 0.0697104000, 0.0926813000, 0.1427359000, 0.2542203000, 0.5040778000", \ - "0.0530891000, 0.0578428000, 0.0688233000, 0.0922908000, 0.1423303000, 0.2542466000, 0.5057072000", \ - "0.0559243000, 0.0603722000, 0.0702415000, 0.0922591000, 0.1419503000, 0.2538843000, 0.5052416000", \ - "0.0752553000, 0.0796063000, 0.0888349000, 0.1105135000, 0.1513920000, 0.2565774000, 0.5039954000", \ - "0.1163519000, 0.1221919000, 0.1341349000, 0.1587296000, 0.2048029000, 0.2984364000, 0.5211377000", \ - "0.1912894000, 0.1992859000, 0.2161841000, 0.2516137000, 0.3148817000, 0.4269314000, 0.6380204000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1902889000, 0.2092043000, 0.2518058000, 0.3480750000, 0.5610175000, 1.0402220000, 2.1190207000", \ - "0.1902646000, 0.2092377000, 0.2517634000, 0.3479213000, 0.5607783000, 1.0407768000, 2.1201425000", \ - "0.1901636000, 0.2092334000, 0.2518450000, 0.3473796000, 0.5608748000, 1.0410837000, 2.1190516000", \ - "0.1901380000, 0.2092033000, 0.2520361000, 0.3471049000, 0.5608427000, 1.0427815000, 2.1205602000", \ - "0.1908965000, 0.2098877000, 0.2519138000, 0.3471210000, 0.5608621000, 1.0416867000, 2.1206998000", \ - "0.2118058000, 0.2299430000, 0.2689690000, 0.3591024000, 0.5657111000, 1.0409480000, 2.1212267000", \ - "0.2757112000, 0.2955132000, 0.3380154000, 0.4302492000, 0.6277353000, 1.0735451000, 2.1250632000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0461211000, 0.0496360000, 0.0575224000, 0.0746462000, 0.1121263000, 0.1954012000, 0.3817223000", \ - "0.0504571000, 0.0540144000, 0.0618506000, 0.0790507000, 0.1165685000, 0.1998099000, 0.3861165000", \ - "0.0610246000, 0.0646338000, 0.0721959000, 0.0893809000, 0.1270277000, 0.2102963000, 0.3966245000", \ - "0.0844065000, 0.0884203000, 0.0967807000, 0.1132704000, 0.1508930000, 0.2343434000, 0.4207238000", \ - "0.1170676000, 0.1222394000, 0.1348943000, 0.1607009000, 0.2062791000, 0.2909725000, 0.4758908000", \ - "0.1520414000, 0.1612637000, 0.1805758000, 0.2188361000, 0.2890235000, 0.4073428000, 0.6075717000", \ - "0.1697531000, 0.1838786000, 0.2135969000, 0.2728346000, 0.3799306000, 0.5647167000, 0.8609158000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.2015097000, 0.2153683000, 0.2462998000, 0.3163357000, 0.4726384000, 0.8233279000, 1.6132297000", \ - "0.2048698000, 0.2190254000, 0.2501733000, 0.3206472000, 0.4772726000, 0.8279315000, 1.6167305000", \ - "0.2146069000, 0.2289681000, 0.2606629000, 0.3312240000, 0.4881963000, 0.8396224000, 1.6292934000", \ - "0.2401896000, 0.2545576000, 0.2862481000, 0.3568443000, 0.5144855000, 0.8669252000, 1.6572591000", \ - "0.3000356000, 0.3139301000, 0.3451524000, 0.4155146000, 0.5729145000, 0.9255849000, 1.7166235000", \ - "0.4233502000, 0.4405933000, 0.4756467000, 0.5528905000, 0.7095796000, 1.0619432000, 1.8525524000", \ - "0.6322523000, 0.6557374000, 0.7078936000, 0.8100434000, 1.0070328000, 1.3825739000, 2.1734607000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0474888000, 0.0516634000, 0.0610515000, 0.0823433000, 0.1309483000, 0.2398470000, 0.4870941000", \ - "0.0474806000, 0.0516357000, 0.0610653000, 0.0823745000, 0.1308387000, 0.2399930000, 0.4871042000", \ - "0.0473937000, 0.0514164000, 0.0608256000, 0.0822768000, 0.1309565000, 0.2399109000, 0.4870793000", \ - "0.0570006000, 0.0603037000, 0.0680308000, 0.0867484000, 0.1319018000, 0.2399317000, 0.4870521000", \ - "0.0863112000, 0.0915431000, 0.1003039000, 0.1180654000, 0.1558724000, 0.2485296000, 0.4867490000", \ - "0.1397236000, 0.1459777000, 0.1592113000, 0.1855051000, 0.2329345000, 0.3221778000, 0.5184648000", \ - "0.2334779000, 0.2433408000, 0.2635289000, 0.3038182000, 0.3764469000, 0.4968317000, 0.6995236000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1670644000, 0.1857861000, 0.2281023000, 0.3224601000, 0.5345254000, 1.0112547000, 2.0903972000", \ - "0.1674473000, 0.1856813000, 0.2280401000, 0.3224379000, 0.5346663000, 1.0113858000, 2.0842326000", \ - "0.1673894000, 0.1859231000, 0.2279660000, 0.3223966000, 0.5347914000, 1.0111950000, 2.0837780000", \ - "0.1671757000, 0.1861656000, 0.2279218000, 0.3226710000, 0.5364930000, 1.0108052000, 2.0874831000", \ - "0.1709378000, 0.1890124000, 0.2294328000, 0.3232832000, 0.5359885000, 1.0147395000, 2.0873117000", \ - "0.2091255000, 0.2264222000, 0.2617065000, 0.3460318000, 0.5445049000, 1.0122054000, 2.0892186000", \ - "0.3091234000, 0.3284366000, 0.3711488000, 0.4583939000, 0.6351666000, 1.0539342000, 2.0886239000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0510397000, 0.0545637000, 0.0623812000, 0.0794912000, 0.1170393000, 0.2003352000, 0.3866129000", \ - "0.0556446000, 0.0592697000, 0.0670036000, 0.0841975000, 0.1217161000, 0.2049999000, 0.3914638000", \ - "0.0652984000, 0.0688370000, 0.0767253000, 0.0939361000, 0.1316042000, 0.2149179000, 0.4012299000", \ - "0.0859078000, 0.0897899000, 0.0982611000, 0.1157469000, 0.1536966000, 0.2374472000, 0.4239434000", \ - "0.1185827000, 0.1239653000, 0.1353538000, 0.1579748000, 0.2017071000, 0.2882436000, 0.4757957000", \ - "0.1579049000, 0.1661323000, 0.1834121000, 0.2176287000, 0.2809472000, 0.3910991000, 0.5934988000", \ - "0.1873168000, 0.2000582000, 0.2270039000, 0.2804391000, 0.3788865000, 0.5456989000, 0.8168026000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.2292560000, 0.2424303000, 0.2745512000, 0.3437736000, 0.5009644000, 0.8529991000, 1.6451660000", \ - "0.2324509000, 0.2465091000, 0.2787289000, 0.3481873000, 0.5052909000, 0.8576409000, 1.6511953000", \ - "0.2439028000, 0.2579226000, 0.2885707000, 0.3596985000, 0.5164634000, 0.8690885000, 1.6613606000", \ - "0.2695069000, 0.2835258000, 0.3139418000, 0.3853373000, 0.5429397000, 0.8959408000, 1.6888773000", \ - "0.3256162000, 0.3398064000, 0.3703896000, 0.4410836000, 0.5986079000, 0.9517799000, 1.7486410000", \ - "0.4453848000, 0.4595453000, 0.4969349000, 0.5708692000, 0.7284331000, 1.0815423000, 1.8755348000", \ - "0.6477434000, 0.6695424000, 0.7168097000, 0.8173363000, 1.0067649000, 1.3827901000, 2.1766069000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0475076000, 0.0516607000, 0.0610251000, 0.0823807000, 0.1308410000, 0.2399434000, 0.4869879000", \ - "0.0474804000, 0.0516507000, 0.0610266000, 0.0823634000, 0.1308136000, 0.2398491000, 0.4869706000", \ - "0.0473547000, 0.0514596000, 0.0609072000, 0.0823338000, 0.1309549000, 0.2399522000, 0.4868532000", \ - "0.0528169000, 0.0564906000, 0.0650545000, 0.0846279000, 0.1313764000, 0.2400024000, 0.4871316000", \ - "0.0745758000, 0.0784325000, 0.0869576000, 0.1055621000, 0.1457799000, 0.2456190000, 0.4872059000", \ - "0.1192734000, 0.1244751000, 0.1356504000, 0.1579653000, 0.2026112000, 0.2929495000, 0.5087051000", \ - "0.1999027000, 0.2080729000, 0.2245882000, 0.2570616000, 0.3177179000, 0.4274134000, 0.6337708000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1901496000, 0.2091710000, 0.2523813000, 0.3473922000, 0.5618860000, 1.0428889000, 2.1249722000", \ - "0.1902273000, 0.2093428000, 0.2519910000, 0.3470323000, 0.5612219000, 1.0427422000, 2.1271276000", \ - "0.1901477000, 0.2090658000, 0.2517654000, 0.3471558000, 0.5610958000, 1.0404950000, 2.1199622000", \ - "0.1906143000, 0.2091304000, 0.2518938000, 0.3470703000, 0.5607079000, 1.0409639000, 2.1244513000", \ - "0.1929113000, 0.2110951000, 0.2528455000, 0.3472134000, 0.5608297000, 1.0410328000, 2.1273534000", \ - "0.2293402000, 0.2453789000, 0.2833962000, 0.3688926000, 0.5708012000, 1.0410925000, 2.1226831000", \ - "0.3274656000, 0.3470500000, 0.3882646000, 0.4784765000, 0.6592472000, 1.0859553000, 2.1237394000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0305462000, 0.0342107000, 0.0415031000, 0.0586551000, 0.0962719000, 0.1808546000, 0.3715769000", \ - "0.0350384000, 0.0384649000, 0.0460794000, 0.0630112000, 0.1008762000, 0.1856872000, 0.3765774000", \ - "0.0454247000, 0.0489124000, 0.0567066000, 0.0739783000, 0.1114680000, 0.1964803000, 0.3874507000", \ - "0.0627674000, 0.0681560000, 0.0788216000, 0.0991636000, 0.1366825000, 0.2215208000, 0.4124368000", \ - "0.0833447000, 0.0913945000, 0.1078962000, 0.1393142000, 0.1914227000, 0.2797608000, 0.4703506000", \ - "0.1008903000, 0.1131436000, 0.1384682000, 0.1865565000, 0.2681148000, 0.3968190000, 0.6038607000", \ - "0.0988907000, 0.1182028000, 0.1574784000, 0.2310262000, 0.3564205000, 0.5562416000, 0.8668194000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1481668000, 0.1622033000, 0.1938223000, 0.2641045000, 0.4210845000, 0.7723211000, 1.5631832000", \ - "0.1505250000, 0.1648395000, 0.1964827000, 0.2673700000, 0.4247921000, 0.7764538000, 1.5676330000", \ - "0.1580359000, 0.1717004000, 0.2039664000, 0.2753140000, 0.4334304000, 0.7863069000, 1.5782891000", \ - "0.1805883000, 0.1944844000, 0.2265146000, 0.2975234000, 0.4558963000, 0.8092768000, 1.6049273000", \ - "0.2422453000, 0.2553174000, 0.2857857000, 0.3554082000, 0.5130255000, 0.8667465000, 1.6602403000", \ - "0.3627308000, 0.3815788000, 0.4200323000, 0.4971406000, 0.6515677000, 1.0024674000, 1.7944977000", \ - "0.5470416000, 0.5746611000, 0.6306639000, 0.7439236000, 0.9567340000, 1.3263990000, 2.1121553000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0404436000, 0.0448254000, 0.0545562000, 0.0766033000, 0.1266999000, 0.2386282000, 0.4923221000", \ - "0.0404750000, 0.0447750000, 0.0545995000, 0.0767315000, 0.1264246000, 0.2387211000, 0.4922455000", \ - "0.0429155000, 0.0465966000, 0.0554488000, 0.0767114000, 0.1263654000, 0.2400718000, 0.4924463000", \ - "0.0587218000, 0.0614510000, 0.0679434000, 0.0847750000, 0.1286327000, 0.2386209000, 0.4927059000", \ - "0.0928322000, 0.0963172000, 0.1042792000, 0.1215754000, 0.1564875000, 0.2491545000, 0.4928106000", \ - "0.1541949000, 0.1596959000, 0.1716955000, 0.1935176000, 0.2387559000, 0.3271044000, 0.5248644000", \ - "0.2651866000, 0.2718537000, 0.2869152000, 0.3205479000, 0.3847567000, 0.5055974000, 0.7082772000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1674580000, 0.1862966000, 0.2286882000, 0.3237317000, 0.5374906000, 1.0110904000, 2.0833530000", \ - "0.1671182000, 0.1860836000, 0.2289584000, 0.3238921000, 0.5372141000, 1.0118657000, 2.0837458000", \ - "0.1666014000, 0.1858636000, 0.2284391000, 0.3231908000, 0.5359216000, 1.0114930000, 2.0861324000", \ - "0.1636332000, 0.1835583000, 0.2270170000, 0.3229825000, 0.5354022000, 1.0120267000, 2.0893778000", \ - "0.1745931000, 0.1912822000, 0.2296804000, 0.3213320000, 0.5348998000, 1.0147110000, 2.0839173000", \ - "0.2327949000, 0.2512385000, 0.2897034000, 0.3652832000, 0.5514081000, 1.0103995000, 2.0828836000", \ - "0.3360023000, 0.3591987000, 0.4100734000, 0.5107781000, 0.6969272000, 1.0848770000, 2.0860293000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0347150000, 0.0383578000, 0.0456915000, 0.0625607000, 0.1001197000, 0.1847732000, 0.3755281000", \ - "0.0391415000, 0.0427004000, 0.0504048000, 0.0671904000, 0.1050125000, 0.1896987000, 0.3804755000", \ - "0.0483485000, 0.0519269000, 0.0597133000, 0.0768624000, 0.1150035000, 0.1997793000, 0.3906124000", \ - "0.0646425000, 0.0691970000, 0.0786890000, 0.0985944000, 0.1368367000, 0.2221629000, 0.4132407000", \ - "0.0849203000, 0.0921926000, 0.1067953000, 0.1337200000, 0.1821291000, 0.2726972000, 0.4647790000", \ - "0.1034216000, 0.1147942000, 0.1377379000, 0.1800748000, 0.2533219000, 0.3718600000, 0.5800939000", \ - "0.1011903000, 0.1199058000, 0.1550800000, 0.2220628000, 0.3365030000, 0.5178400000, 0.7997402000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1748191000, 0.1885293000, 0.2196665000, 0.2897889000, 0.4465178000, 0.7984585000, 1.5904139000", \ - "0.1772610000, 0.1914058000, 0.2233995000, 0.2937620000, 0.4507717000, 0.8025785000, 1.5946128000", \ - "0.1857509000, 0.2003904000, 0.2311199000, 0.3021751000, 0.4597797000, 0.8126322000, 1.6050746000", \ - "0.2093245000, 0.2236758000, 0.2546153000, 0.3257735000, 0.4836531000, 0.8369713000, 1.6312226000", \ - "0.2703105000, 0.2839786000, 0.3151752000, 0.3851112000, 0.5421663000, 0.8955542000, 1.6889216000", \ - "0.4026222000, 0.4195255000, 0.4551321000, 0.5267562000, 0.6820124000, 1.0329372000, 1.8249005000", \ - "0.6099601000, 0.6342963000, 0.6858478000, 0.7914391000, 0.9940642000, 1.3614884000, 2.1398199000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0404994000, 0.0448268000, 0.0545919000, 0.0767126000, 0.1263548000, 0.2387738000, 0.4926093000", \ - "0.0404896000, 0.0448316000, 0.0546337000, 0.0766801000, 0.1264259000, 0.2400059000, 0.4920550000", \ - "0.0417783000, 0.0457431000, 0.0550183000, 0.0767542000, 0.1268014000, 0.2387555000, 0.4924951000", \ - "0.0517571000, 0.0550568000, 0.0625493000, 0.0816788000, 0.1277921000, 0.2387263000, 0.4919971000", \ - "0.0777628000, 0.0810760000, 0.0888241000, 0.1062789000, 0.1458143000, 0.2451398000, 0.4924360000", \ - "0.1288126000, 0.1332071000, 0.1425353000, 0.1632680000, 0.2058059000, 0.2964641000, 0.5149276000", \ - "0.2224628000, 0.2281131000, 0.2408516000, 0.2682962000, 0.3244096000, 0.4295071000, 0.6370537000"); - } - related_pin : "C2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1901869000, 0.2089188000, 0.2520872000, 0.3471085000, 0.5607171000, 1.0408284000, 2.1205120000", \ - "0.1897704000, 0.2091317000, 0.2515561000, 0.3470580000, 0.5629930000, 1.0409494000, 2.1210087000", \ - "0.1896439000, 0.2084545000, 0.2515000000, 0.3469324000, 0.5612152000, 1.0409790000, 2.1209403000", \ - "0.1877877000, 0.2075636000, 0.2507778000, 0.3466721000, 0.5608281000, 1.0408733000, 2.1271118000", \ - "0.1922490000, 0.2100489000, 0.2510100000, 0.3443047000, 0.5603805000, 1.0439044000, 2.1212989000", \ - "0.2490418000, 0.2672620000, 0.3034174000, 0.3822724000, 0.5729547000, 1.0416935000, 2.1198578000", \ - "0.3534276000, 0.3805314000, 0.4264584000, 0.5238086000, 0.7091915000, 1.1090958000, 2.1268902000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a22o_1") { - leakage_power () { - value : 0.0032049000; - when : "!A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0029598000; - when : "!A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0084432000; - when : "!A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0031466000; - when : "!A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0034788000; - when : "!A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0032337000; - when : "!A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0084432000; - when : "!A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0034205000; - when : "!A1&A2&B1&!B2"; - } - leakage_power () { - value : 0.0034866000; - when : "A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0032415000; - when : "A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0084432000; - when : "A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0034283000; - when : "A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0031227000; - when : "A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0032003000; - when : "A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0006321000; - when : "A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0031188000; - when : "A1&A2&B1&!B2"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__a22o"; - cell_leakage_power : 0.0040627470; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023470000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022600000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046748000, 0.0046798000, 0.0046915000, 0.0046915000, 0.0046915000, 0.0046914000, 0.0046914000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003650100, -0.003651700, -0.003655200, -0.003648500, -0.003633000, -0.003597300, -0.003515000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024340000; - } - pin ("A2") { - capacitance : 0.0023920000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022570000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043861000, 0.0043836000, 0.0043777000, 0.0043786000, 0.0043806000, 0.0043853000, 0.0043961000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004376300, -0.004375100, -0.004372500, -0.004372100, -0.004371400, -0.004369700, -0.004365700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025270000; - } - pin ("B1") { - capacitance : 0.0023750000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022430000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046919000, 0.0046910000, 0.0046890000, 0.0046883000, 0.0046866000, 0.0046828000, 0.0046739000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003839700, -0.003842200, -0.003847900, -0.003841700, -0.003827500, -0.003794700, -0.003719100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025060000; - } - pin ("B2") { - capacitance : 0.0023240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021610000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040431000, 0.0040422000, 0.0040399000, 0.0040397000, 0.0040391000, 0.0040377000, 0.0040344000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004048200, -0.004045500, -0.004039200, -0.004039600, -0.004040500, -0.004042500, -0.004047300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024870000; - } - pin ("X") { - direction : "output"; - function : "(B1&B2) | (A1&A2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("0.0143428000, 0.0134584000, 0.0110747000, 0.0034700000, -0.019016800, -0.079735900, -0.238950600", \ - "0.0142111000, 0.0132961000, 0.0108942000, 0.0032999000, -0.019167800, -0.079892600, -0.239127900", \ - "0.0139504000, 0.0130661000, 0.0106555000, 0.0030615000, -0.019438300, -0.080165200, -0.239393500", \ - "0.0136600000, 0.0127775000, 0.0103793000, 0.0027857000, -0.019727100, -0.080430700, -0.239646300", \ - "0.0134625000, 0.0125871000, 0.0101238000, 0.0025082000, -0.020000500, -0.080664600, -0.239850200", \ - "0.0146885000, 0.0133799000, 0.0099914000, 0.0021917000, -0.020118800, -0.080748000, -0.239913700", \ - "0.0165295000, 0.0151968000, 0.0117899000, 0.0030043000, -0.020120200, -0.080510900, -0.239606600"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("0.0147502000, 0.0161516000, 0.0196794000, 0.0285590000, 0.0515775000, 0.1123966000, 0.2705444000", \ - "0.0146136000, 0.0160079000, 0.0195405000, 0.0284368000, 0.0514612000, 0.1117151000, 0.2692233000", \ - "0.0144415000, 0.0158512000, 0.0193905000, 0.0282967000, 0.0513536000, 0.1121629000, 0.2703143000", \ - "0.0142231000, 0.0156283000, 0.0191623000, 0.0280910000, 0.0511686000, 0.1114771000, 0.2702005000", \ - "0.0141862000, 0.0156045000, 0.0191135000, 0.0280354000, 0.0511370000, 0.1114643000, 0.2701403000", \ - "0.0148699000, 0.0161885000, 0.0196232000, 0.0283133000, 0.0515291000, 0.1114054000, 0.2704458000", \ - "0.0162313000, 0.0174194000, 0.0208071000, 0.0297625000, 0.0528175000, 0.1132643000, 0.2693977000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("0.0171250000, 0.0162110000, 0.0137685000, 0.0059686000, -0.016827400, -0.077751300, -0.237095600", \ - "0.0170094000, 0.0160766000, 0.0136506000, 0.0058286000, -0.016956200, -0.077867600, -0.237215100", \ - "0.0168384000, 0.0159076000, 0.0134516000, 0.0056815000, -0.017106300, -0.078053500, -0.237396700", \ - "0.0165934000, 0.0156650000, 0.0132352000, 0.0054432000, -0.017289800, -0.078233000, -0.237557000", \ - "0.0164070000, 0.0154638000, 0.0129970000, 0.0052216000, -0.017490600, -0.078357100, -0.237660600", \ - "0.0165401000, 0.0152033000, 0.0125302000, 0.0051131000, -0.017453100, -0.078247100, -0.237564700", \ - "0.0194770000, 0.0181349000, 0.0146848000, 0.0057683000, -0.017484400, -0.078033900, -0.237285400"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("0.0163395000, 0.0177183000, 0.0212054000, 0.0300042000, 0.0528616000, 0.1134075000, 0.2701644000", \ - "0.0162569000, 0.0176294000, 0.0211157000, 0.0299256000, 0.0528087000, 0.1128837000, 0.2715264000", \ - "0.0160946000, 0.0174724000, 0.0209697000, 0.0297770000, 0.0526597000, 0.1127800000, 0.2701089000", \ - "0.0158850000, 0.0172576000, 0.0207427000, 0.0295835000, 0.0527539000, 0.1126817000, 0.2699833000", \ - "0.0156877000, 0.0170669000, 0.0206026000, 0.0294733000, 0.0524999000, 0.1126742000, 0.2700939000", \ - "0.0159621000, 0.0172993000, 0.0207146000, 0.0294086000, 0.0525812000, 0.1129242000, 0.2700146000", \ - "0.0168366000, 0.0180676000, 0.0214472000, 0.0303841000, 0.0536458000, 0.1139274000, 0.2697039000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("0.0116889000, 0.0108345000, 0.0083349000, 0.0005599000, -0.022117600, -0.082931300, -0.242131500", \ - "0.0115220000, 0.0105504000, 0.0081150000, 0.0003436000, -0.022295600, -0.083090900, -0.242353400", \ - "0.0111988000, 0.0103171000, 0.0078416000, 9.780000e-05, -0.022536500, -0.083362300, -0.242610700", \ - "0.0109694000, 0.0100436000, 0.0075815000, -0.000168600, -0.022771600, -0.083592200, -0.242850700", \ - "0.0108613000, 0.0099465000, 0.0074631000, -0.000308500, -0.022952200, -0.083702700, -0.242933200", \ - "0.0131151000, 0.0117892000, 0.0083696000, 0.0001754000, -0.022236200, -0.082961700, -0.242198300", \ - "0.0159734000, 0.0146083000, 0.0108946000, 0.0019775000, -0.021268800, -0.081773600, -0.241041700"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("0.0125921000, 0.0140265000, 0.0176689000, 0.0267823000, 0.0502506000, 0.1105686000, 0.2676911000", \ - "0.0125357000, 0.0139724000, 0.0176177000, 0.0267382000, 0.0499942000, 0.1110269000, 0.2681536000", \ - "0.0123586000, 0.0137932000, 0.0174204000, 0.0265280000, 0.0497989000, 0.1108260000, 0.2677829000", \ - "0.0120635000, 0.0134721000, 0.0170448000, 0.0261098000, 0.0494133000, 0.1098285000, 0.2675521000", \ - "0.0119646000, 0.0132905000, 0.0168601000, 0.0258062000, 0.0490862000, 0.1097140000, 0.2677513000", \ - "0.0123779000, 0.0137029000, 0.0171890000, 0.0260198000, 0.0492715000, 0.1093084000, 0.2676658000", \ - "0.0140562000, 0.0152750000, 0.0186256000, 0.0276506000, 0.0508110000, 0.1114933000, 0.2677833000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("0.0144695000, 0.0135528000, 0.0111065000, 0.0033690000, -0.019249900, -0.080015200, -0.239213400", \ - "0.0143693000, 0.0134328000, 0.0109814000, 0.0032504000, -0.019395700, -0.080128600, -0.239353600", \ - "0.0141293000, 0.0132319000, 0.0107504000, 0.0030460000, -0.019573400, -0.080312900, -0.239528500", \ - "0.0140097000, 0.0130809000, 0.0106269000, 0.0029173000, -0.019689400, -0.080407300, -0.239620800", \ - "0.0139110000, 0.0129913000, 0.0105182000, 0.0027991000, -0.019805400, -0.080489400, -0.239694000", \ - "0.0152766000, 0.0139506000, 0.0104888000, 0.0030233000, -0.019470500, -0.080159100, -0.239333300", \ - "0.0192735000, 0.0178944000, 0.0144197000, 0.0054492000, -0.017864300, -0.078389800, -0.237609600"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("0.0129036000, 0.0143457000, 0.0179808000, 0.0271087000, 0.0503650000, 0.1114056000, 0.2684366000", \ - "0.0128604000, 0.0142979000, 0.0179401000, 0.0270612000, 0.0503118000, 0.1108813000, 0.2692660000", \ - "0.0126686000, 0.0141018000, 0.0177374000, 0.0268543000, 0.0503406000, 0.1106460000, 0.2676530000", \ - "0.0123616000, 0.0137792000, 0.0173750000, 0.0264553000, 0.0497745000, 0.1108631000, 0.2677319000", \ - "0.0121497000, 0.0134875000, 0.0170703000, 0.0260654000, 0.0493393000, 0.1104575000, 0.2676820000", \ - "0.0124276000, 0.0137493000, 0.0172602000, 0.0260988000, 0.0493562000, 0.1100157000, 0.2675923000", \ - "0.0135011000, 0.0147541000, 0.0181597000, 0.0271883000, 0.0504091000, 0.1110948000, 0.2674000000"); - } - } - max_capacitance : 0.1593120000; - max_transition : 1.5047820000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.1695021000, 0.1769289000, 0.1922991000, 0.2217916000, 0.2806262000, 0.4101679000, 0.7341606000", \ - "0.1742285000, 0.1816289000, 0.1969741000, 0.2268700000, 0.2853671000, 0.4148178000, 0.7383320000", \ - "0.1858878000, 0.1933385000, 0.2086375000, 0.2383498000, 0.2971011000, 0.4265728000, 0.7501821000", \ - "0.2121395000, 0.2195533000, 0.2347127000, 0.2644920000, 0.3233604000, 0.4529685000, 0.7769334000", \ - "0.2687924000, 0.2760595000, 0.2913440000, 0.3212131000, 0.3800038000, 0.5097051000, 0.8339090000", \ - "0.3731247000, 0.3815356000, 0.3986679000, 0.4311958000, 0.4934145000, 0.6251815000, 0.9491741000", \ - "0.5419592000, 0.5522599000, 0.5732764000, 0.6115721000, 0.6810901000, 0.8188723000, 1.1452714000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0898781000, 0.0976838000, 0.1148350000, 0.1531252000, 0.2475561000, 0.4937036000, 1.1370700000", \ - "0.0939577000, 0.1017403000, 0.1189156000, 0.1572496000, 0.2520101000, 0.4976549000, 1.1399418000", \ - "0.1040172000, 0.1118349000, 0.1290166000, 0.1674267000, 0.2619220000, 0.5080119000, 1.1512453000", \ - "0.1285707000, 0.1363715000, 0.1535559000, 0.1919956000, 0.2866131000, 0.5320781000, 1.1749983000", \ - "0.1699456000, 0.1783458000, 0.1962944000, 0.2355872000, 0.3306690000, 0.5762369000, 1.2196606000", \ - "0.2217406000, 0.2319296000, 0.2521555000, 0.2932171000, 0.3889459000, 0.6348175000, 1.2776150000", \ - "0.2685510000, 0.2818219000, 0.3076855000, 0.3542203000, 0.4513774000, 0.6975336000, 1.3388042000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0266949000, 0.0315816000, 0.0430683000, 0.0686346000, 0.1278684000, 0.2834925000, 0.7132379000", \ - "0.0266365000, 0.0316123000, 0.0434281000, 0.0683682000, 0.1276568000, 0.2836874000, 0.7108892000", \ - "0.0268450000, 0.0317367000, 0.0430554000, 0.0686520000, 0.1276755000, 0.2836968000, 0.7108185000", \ - "0.0267399000, 0.0315611000, 0.0432894000, 0.0687040000, 0.1280437000, 0.2831243000, 0.7135590000", \ - "0.0269572000, 0.0322037000, 0.0433822000, 0.0688218000, 0.1278957000, 0.2837633000, 0.7131513000", \ - "0.0324255000, 0.0377788000, 0.0501603000, 0.0746543000, 0.1327130000, 0.2859087000, 0.7162891000", \ - "0.0440194000, 0.0499269000, 0.0626948000, 0.0896693000, 0.1476377000, 0.2958209000, 0.7105967000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0271754000, 0.0342739000, 0.0524220000, 0.1005958000, 0.2322199000, 0.5837557000, 1.5019874000", \ - "0.0271874000, 0.0343202000, 0.0523119000, 0.1004130000, 0.2325564000, 0.5837252000, 1.5005442000", \ - "0.0271496000, 0.0342752000, 0.0523874000, 0.1005265000, 0.2322910000, 0.5836682000, 1.5021653000", \ - "0.0271135000, 0.0342003000, 0.0523475000, 0.1004754000, 0.2324147000, 0.5828467000, 1.5019479000", \ - "0.0308940000, 0.0375478000, 0.0548202000, 0.1019649000, 0.2326498000, 0.5834187000, 1.5023044000", \ - "0.0395797000, 0.0457121000, 0.0615751000, 0.1055123000, 0.2336035000, 0.5816853000, 1.5010584000", \ - "0.0542306000, 0.0611997000, 0.0769084000, 0.1167111000, 0.2370272000, 0.5854333000, 1.4967455000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.1893934000, 0.1968596000, 0.2122836000, 0.2420809000, 0.3005215000, 0.4298290000, 0.7533002000", \ - "0.1943909000, 0.2018919000, 0.2173421000, 0.2470763000, 0.3055592000, 0.4348592000, 0.7583146000", \ - "0.2070075000, 0.2145246000, 0.2299306000, 0.2596880000, 0.3182682000, 0.4476388000, 0.7710047000", \ - "0.2350221000, 0.2424977000, 0.2579212000, 0.2876652000, 0.3461030000, 0.4754233000, 0.7992492000", \ - "0.2955147000, 0.3030421000, 0.3184587000, 0.3482808000, 0.4070297000, 0.5363354000, 0.8605393000", \ - "0.4154076000, 0.4237758000, 0.4406882000, 0.4726723000, 0.5338482000, 0.6649198000, 0.9886278000", \ - "0.6193528000, 0.6296411000, 0.6501418000, 0.6875270000, 0.7557343000, 0.8924106000, 1.2184773000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0975127000, 0.1045962000, 0.1206820000, 0.1578147000, 0.2514599000, 0.4975653000, 1.1382711000", \ - "0.1018560000, 0.1089698000, 0.1250483000, 0.1621793000, 0.2557301000, 0.5006049000, 1.1433293000", \ - "0.1109645000, 0.1180852000, 0.1341465000, 0.1713077000, 0.2652980000, 0.5103198000, 1.1520970000", \ - "0.1314434000, 0.1385269000, 0.1545446000, 0.1918434000, 0.2861302000, 0.5312900000, 1.1724524000", \ - "0.1694800000, 0.1770181000, 0.1936760000, 0.2316820000, 0.3262390000, 0.5715730000, 1.2123194000", \ - "0.2205009000, 0.2291075000, 0.2473030000, 0.2864332000, 0.3813279000, 0.6269517000, 1.2692619000", \ - "0.2663358000, 0.2772975000, 0.2992213000, 0.3416571000, 0.4371655000, 0.6834971000, 1.3237899000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0284916000, 0.0333411000, 0.0449736000, 0.0698435000, 0.1288313000, 0.2843825000, 0.7113461000", \ - "0.0282864000, 0.0331924000, 0.0449231000, 0.0695518000, 0.1288725000, 0.2843380000, 0.7114838000", \ - "0.0281527000, 0.0331941000, 0.0445913000, 0.0699348000, 0.1288009000, 0.2843702000, 0.7113252000", \ - "0.0283670000, 0.0332419000, 0.0449574000, 0.0694191000, 0.1290994000, 0.2841101000, 0.7146127000", \ - "0.0286164000, 0.0331657000, 0.0446270000, 0.0695094000, 0.1288523000, 0.2842164000, 0.7096273000", \ - "0.0330663000, 0.0382949000, 0.0496836000, 0.0748468000, 0.1325618000, 0.2860576000, 0.7115595000", \ - "0.0438223000, 0.0498560000, 0.0620502000, 0.0883844000, 0.1462250000, 0.2945229000, 0.7110780000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0245572000, 0.0317433000, 0.0501357000, 0.0992450000, 0.2322019000, 0.5831856000, 1.5016850000", \ - "0.0246164000, 0.0317943000, 0.0501439000, 0.0991926000, 0.2323584000, 0.5834236000, 1.5022928000", \ - "0.0245038000, 0.0317194000, 0.0501083000, 0.0993256000, 0.2326195000, 0.5838449000, 1.4993148000", \ - "0.0246945000, 0.0317957000, 0.0499924000, 0.0993126000, 0.2321514000, 0.5832897000, 1.4966267000", \ - "0.0266999000, 0.0336952000, 0.0516621000, 0.0999624000, 0.2325133000, 0.5818181000, 1.4996687000", \ - "0.0316431000, 0.0388663000, 0.0557735000, 0.1021951000, 0.2331248000, 0.5815277000, 1.4994213000", \ - "0.0422534000, 0.0495336000, 0.0658402000, 0.1087445000, 0.2347868000, 0.5851632000, 1.4967447000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.1451744000, 0.1525065000, 0.1677771000, 0.1974039000, 0.2559516000, 0.3854163000, 0.7092592000", \ - "0.1485482000, 0.1559701000, 0.1712227000, 0.2007202000, 0.2593465000, 0.3887313000, 0.7124695000", \ - "0.1579404000, 0.1653366000, 0.1802846000, 0.2099949000, 0.2686327000, 0.3982248000, 0.7216799000", \ - "0.1837670000, 0.1911553000, 0.2064460000, 0.2360802000, 0.2947634000, 0.4243046000, 0.7480098000", \ - "0.2475675000, 0.2549814000, 0.2701787000, 0.2998410000, 0.3586113000, 0.4882537000, 0.8121071000", \ - "0.3602230000, 0.3691533000, 0.3869649000, 0.4192256000, 0.4809932000, 0.6130910000, 0.9370292000", \ - "0.5315697000, 0.5431163000, 0.5652266000, 0.6046560000, 0.6721892000, 0.8060346000, 1.1328753000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0788010000, 0.0866264000, 0.1040243000, 0.1428493000, 0.2383187000, 0.4839187000, 1.1367418000", \ - "0.0832642000, 0.0910778000, 0.1084911000, 0.1474229000, 0.2428621000, 0.4897525000, 1.1302472000", \ - "0.0935024000, 0.1013587000, 0.1186592000, 0.1575562000, 0.2529823000, 0.5001279000, 1.1414626000", \ - "0.1164968000, 0.1243312000, 0.1416254000, 0.1804217000, 0.2756259000, 0.5247986000, 1.1655392000", \ - "0.1514752000, 0.1601349000, 0.1784777000, 0.2182781000, 0.3141482000, 0.5602485000, 1.2022142000", \ - "0.1923943000, 0.2033567000, 0.2246253000, 0.2666540000, 0.3629433000, 0.6098574000, 1.2517467000", \ - "0.2237880000, 0.2382372000, 0.2659039000, 0.3154067000, 0.4135612000, 0.6600794000, 1.3026160000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0270614000, 0.0325689000, 0.0435196000, 0.0687524000, 0.1283198000, 0.2841291000, 0.7135690000", \ - "0.0272300000, 0.0319809000, 0.0435548000, 0.0687594000, 0.1280946000, 0.2836506000, 0.7111178000", \ - "0.0271165000, 0.0320721000, 0.0438623000, 0.0691280000, 0.1283890000, 0.2837862000, 0.7110358000", \ - "0.0269793000, 0.0319921000, 0.0435830000, 0.0687617000, 0.1281075000, 0.2833759000, 0.7164021000", \ - "0.0276850000, 0.0330273000, 0.0438957000, 0.0695749000, 0.1284354000, 0.2834795000, 0.7160212000", \ - "0.0371730000, 0.0422526000, 0.0527333000, 0.0770380000, 0.1338954000, 0.2870784000, 0.7112748000", \ - "0.0521547000, 0.0586136000, 0.0711200000, 0.0938003000, 0.1472562000, 0.2947428000, 0.7122072000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0258912000, 0.0328865000, 0.0508658000, 0.0992172000, 0.2318279000, 0.5838255000, 1.5019773000", \ - "0.0258892000, 0.0329498000, 0.0508663000, 0.0990998000, 0.2316107000, 0.5848977000, 1.5047822000", \ - "0.0258189000, 0.0328731000, 0.0508329000, 0.0992404000, 0.2316614000, 0.5846416000, 1.4958637000", \ - "0.0267028000, 0.0335955000, 0.0514679000, 0.0993104000, 0.2315573000, 0.5844382000, 1.5010218000", \ - "0.0317894000, 0.0381331000, 0.0551019000, 0.1016834000, 0.2321644000, 0.5823705000, 1.5009471000", \ - "0.0423529000, 0.0484424000, 0.0639210000, 0.1064910000, 0.2341281000, 0.5819562000, 1.4990169000", \ - "0.0587370000, 0.0663206000, 0.0822451000, 0.1210265000, 0.2381746000, 0.5852077000, 1.4961364000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.1620750000, 0.1695908000, 0.1850384000, 0.2149156000, 0.2738526000, 0.4036166000, 0.7272526000", \ - "0.1657842000, 0.1733188000, 0.1887874000, 0.2186385000, 0.2775422000, 0.4073283000, 0.7310972000", \ - "0.1758301000, 0.1833670000, 0.1988086000, 0.2287418000, 0.2877142000, 0.4174434000, 0.7410901000", \ - "0.2024308000, 0.2099406000, 0.2254343000, 0.2552640000, 0.3142409000, 0.4440019000, 0.7678222000", \ - "0.2674677000, 0.2749804000, 0.2903142000, 0.3201719000, 0.3790013000, 0.5087370000, 0.8328700000", \ - "0.3910046000, 0.3998592000, 0.4173245000, 0.4492383000, 0.5103286000, 0.6419467000, 0.9664956000", \ - "0.5790353000, 0.5907337000, 0.6134400000, 0.6519089000, 0.7184439000, 0.8527021000, 1.1792530000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0829781000, 0.0908598000, 0.1082169000, 0.1471420000, 0.2425574000, 0.4890367000, 1.1293607000", \ - "0.0875777000, 0.0954001000, 0.1128083000, 0.1516858000, 0.2471148000, 0.4926393000, 1.1413774000", \ - "0.0969963000, 0.1048049000, 0.1221205000, 0.1610398000, 0.2566075000, 0.5022886000, 1.1540163000", \ - "0.1170463000, 0.1249178000, 0.1422301000, 0.1810732000, 0.2763554000, 0.5232306000, 1.1647169000", \ - "0.1506041000, 0.1591905000, 0.1775176000, 0.2172589000, 0.3131292000, 0.5606555000, 1.2006623000", \ - "0.1935066000, 0.2038711000, 0.2247595000, 0.2666317000, 0.3628240000, 0.6093535000, 1.2543830000", \ - "0.2296202000, 0.2432799000, 0.2700846000, 0.3183746000, 0.4170493000, 0.6640418000, 1.3055876000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0285578000, 0.0331770000, 0.0445820000, 0.0698696000, 0.1286205000, 0.2842082000, 0.7113242000", \ - "0.0286995000, 0.0333510000, 0.0445624000, 0.0699595000, 0.1288702000, 0.2835462000, 0.7158554000", \ - "0.0285126000, 0.0332777000, 0.0445048000, 0.0697607000, 0.1284849000, 0.2842705000, 0.7112897000", \ - "0.0283594000, 0.0333057000, 0.0447579000, 0.0697270000, 0.1285582000, 0.2842439000, 0.7113095000", \ - "0.0281436000, 0.0337090000, 0.0445680000, 0.0698738000, 0.1288084000, 0.2840055000, 0.7114911000", \ - "0.0373503000, 0.0419958000, 0.0521032000, 0.0762315000, 0.1333531000, 0.2864542000, 0.7122832000", \ - "0.0530547000, 0.0589688000, 0.0701943000, 0.0926039000, 0.1457647000, 0.2931985000, 0.7116149000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0258041000, 0.0328586000, 0.0508259000, 0.0992044000, 0.2316758000, 0.5847494000, 1.4991551000", \ - "0.0258695000, 0.0329516000, 0.0508084000, 0.0993177000, 0.2316638000, 0.5841648000, 1.5034635000", \ - "0.0259068000, 0.0329807000, 0.0508677000, 0.0991702000, 0.2317022000, 0.5834471000, 1.5045246000", \ - "0.0263738000, 0.0333612000, 0.0512958000, 0.0993031000, 0.2316435000, 0.5845912000, 1.4971869000", \ - "0.0303724000, 0.0371072000, 0.0543450000, 0.1009665000, 0.2315400000, 0.5840221000, 1.4997442000", \ - "0.0389224000, 0.0452706000, 0.0618744000, 0.1055850000, 0.2333818000, 0.5814713000, 1.4973509000", \ - "0.0545014000, 0.0618014000, 0.0781502000, 0.1185821000, 0.2375946000, 0.5837605000, 1.4942778000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a22o_2") { - leakage_power () { - value : 0.0037702000; - when : "!A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0035251000; - when : "!A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0087179000; - when : "!A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0037119000; - when : "!A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0040542000; - when : "!A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0038090000; - when : "!A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0087178000; - when : "!A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0039958000; - when : "!A1&A2&B1&!B2"; - } - leakage_power () { - value : 0.0040780000; - when : "A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0038329000; - when : "A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0087178000; - when : "A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0040197000; - when : "A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0030896000; - when : "A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0031547000; - when : "A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0010404000; - when : "A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0030896000; - when : "A1&A2&B1&!B2"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__a22o"; - cell_leakage_power : 0.0044577760; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023350000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022420000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047169000, 0.0047173000, 0.0047184000, 0.0047206000, 0.0047256000, 0.0047371000, 0.0047637000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003712300, -0.003713300, -0.003715600, -0.003708700, -0.003692800, -0.003656300, -0.003572000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024280000; - } - pin ("A2") { - capacitance : 0.0023740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022310000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043539000, 0.0043553000, 0.0043585000, 0.0043576000, 0.0043557000, 0.0043514000, 0.0043413000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004350200, -0.004348500, -0.004344700, -0.004344400, -0.004343600, -0.004341800, -0.004337600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025170000; - } - pin ("B1") { - capacitance : 0.0023610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022170000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047291000, 0.0047283000, 0.0047266000, 0.0047257000, 0.0047238000, 0.0047194000, 0.0047092000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003874000, -0.003877200, -0.003884600, -0.003878600, -0.003864500, -0.003832200, -0.003757700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025060000; - } - pin ("B2") { - capacitance : 0.0023200000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021450000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040774000, 0.0040756000, 0.0040717000, 0.0040714000, 0.0040707000, 0.0040692000, 0.0040657000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004080700, -0.004079400, -0.004076400, -0.004076600, -0.004077100, -0.004078300, -0.004081100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024950000; - } - pin ("X") { - direction : "output"; - function : "(B1&B2) | (A1&A2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0174882000, 0.0160417000, 0.0125030000, 0.0017206000, -0.033989700, -0.143226000, -0.463066200", \ - "0.0174390000, 0.0160001000, 0.0124531000, 0.0016344000, -0.034069400, -0.143425500, -0.463219800", \ - "0.0171382000, 0.0157357000, 0.0122809000, 0.0013621000, -0.034312600, -0.143579500, -0.463457800", \ - "0.0168401000, 0.0153920000, 0.0118650000, 0.0010598000, -0.034673200, -0.143946900, -0.463728500", \ - "0.0165908000, 0.0151530000, 0.0116091000, 0.0007611000, -0.034965500, -0.144230400, -0.463999400", \ - "0.0166192000, 0.0149938000, 0.0112105000, 0.0006060000, -0.035148500, -0.144366600, -0.464077900", \ - "0.0219389000, 0.0202413000, 0.0156000000, 0.0025506000, -0.035087200, -0.144296300, -0.463909400"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0199169000, 0.0215753000, 0.0263408000, 0.0395792000, 0.0771717000, 0.1861663000, 0.5021155000", \ - "0.0198108000, 0.0214534000, 0.0262114000, 0.0395135000, 0.0770740000, 0.1860269000, 0.5017455000", \ - "0.0196705000, 0.0213110000, 0.0260741000, 0.0393731000, 0.0768873000, 0.1859052000, 0.5016236000", \ - "0.0194589000, 0.0211031000, 0.0258608000, 0.0391431000, 0.0767218000, 0.1856246000, 0.5018147000", \ - "0.0195126000, 0.0211268000, 0.0258207000, 0.0390100000, 0.0766408000, 0.1865833000, 0.5041183000", \ - "0.0202169000, 0.0217338000, 0.0262400000, 0.0390523000, 0.0766562000, 0.1852867000, 0.5041389000", \ - "0.0219068000, 0.0233694000, 0.0277214000, 0.0407778000, 0.0783011000, 0.1872766000, 0.5038507000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0202682000, 0.0188312000, 0.0152175000, 0.0044210000, -0.031575900, -0.141249300, -0.461239700", \ - "0.0201574000, 0.0187145000, 0.0151653000, 0.0042003000, -0.031733500, -0.141281900, -0.461250100", \ - "0.0199954000, 0.0185275000, 0.0149189000, 0.0040141000, -0.031875900, -0.141541200, -0.461393800", \ - "0.0198399000, 0.0183834000, 0.0147956000, 0.0038191000, -0.032165400, -0.141736700, -0.461706300", \ - "0.0195439000, 0.0180962000, 0.0144998000, 0.0035407000, -0.032399000, -0.141918600, -0.461821700", \ - "0.0193663000, 0.0178591000, 0.0145516000, 0.0035035000, -0.032426100, -0.141894700, -0.461782500", \ - "0.0252251000, 0.0235330000, 0.0188555000, 0.0056872000, -0.032191800, -0.141694800, -0.461525000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0215606000, 0.0231892000, 0.0278312000, 0.0410016000, 0.0784857000, 0.1873511000, 0.5037146000", \ - "0.0214164000, 0.0230536000, 0.0277030000, 0.0408940000, 0.0783948000, 0.1871705000, 0.5033316000", \ - "0.0213144000, 0.0229546000, 0.0276770000, 0.0407838000, 0.0782459000, 0.1870799000, 0.5032325000", \ - "0.0211260000, 0.0227642000, 0.0274711000, 0.0406617000, 0.0781445000, 0.1868317000, 0.5029393000", \ - "0.0208658000, 0.0225292000, 0.0271921000, 0.0402833000, 0.0778630000, 0.1870441000, 0.5033794000", \ - "0.0214143000, 0.0229533000, 0.0274975000, 0.0404369000, 0.0780486000, 0.1862726000, 0.5031260000", \ - "0.0223108000, 0.0238145000, 0.0282303000, 0.0412626000, 0.0789041000, 0.1881372000, 0.5037813000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0147663000, 0.0133332000, 0.0097720000, -0.001175100, -0.037097500, -0.146485700, -0.466389600", \ - "0.0146691000, 0.0132492000, 0.0096609000, -0.001280900, -0.037178900, -0.146638000, -0.466510600", \ - "0.0143678000, 0.0129469000, 0.0093641000, -0.001581600, -0.037456400, -0.146875400, -0.466774900", \ - "0.0141226000, 0.0126718000, 0.0091179000, -0.001809000, -0.037677100, -0.147135700, -0.467041400", \ - "0.0139863000, 0.0125463000, 0.0089224000, -0.001733100, -0.037753800, -0.147214100, -0.467106900", \ - "0.0147319000, 0.0130392000, 0.0088295000, -0.001957100, -0.037806500, -0.147141500, -0.466904600", \ - "0.0212527000, 0.0194670000, 0.0146488000, 0.0014524000, -0.036397500, -0.145632800, -0.465535600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0178432000, 0.0195417000, 0.0243977000, 0.0379030000, 0.0758595000, 0.1851680000, 0.4994124000", \ - "0.0178410000, 0.0195410000, 0.0243849000, 0.0378973000, 0.0758580000, 0.1850605000, 0.5045968000", \ - "0.0177007000, 0.0193993000, 0.0242527000, 0.0377428000, 0.0757241000, 0.1849662000, 0.5004755000", \ - "0.0175258000, 0.0191841000, 0.0239504000, 0.0373568000, 0.0753277000, 0.1847751000, 0.5020419000", \ - "0.0173432000, 0.0189949000, 0.0237121000, 0.0369214000, 0.0747755000, 0.1852073000, 0.5012218000", \ - "0.0182353000, 0.0197478000, 0.0242566000, 0.0373061000, 0.0749899000, 0.1837189000, 0.5013351000", \ - "0.0198653000, 0.0213394000, 0.0256290000, 0.0389151000, 0.0764961000, 0.1858218000, 0.5007174000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0175604000, 0.0161568000, 0.0125472000, 0.0015972000, -0.034253900, -0.143637600, -0.463452300", \ - "0.0174773000, 0.0160486000, 0.0124421000, 0.0014646000, -0.034345100, -0.143725700, -0.463550300", \ - "0.0172840000, 0.0158283000, 0.0122207000, 0.0013754000, -0.034515300, -0.143863400, -0.463636600", \ - "0.0171596000, 0.0156983000, 0.0120897000, 0.0012118000, -0.034625200, -0.144007200, -0.463884200", \ - "0.0172163000, 0.0157327000, 0.0121314000, 0.0010857000, -0.034768300, -0.144129000, -0.463939900", \ - "0.0173572000, 0.0159114000, 0.0121547000, 0.0012554000, -0.034651300, -0.143978000, -0.463703500", \ - "0.0246272000, 0.0228504000, 0.0180375000, 0.0047805000, -0.033143900, -0.142258700, -0.462146400"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0181652000, 0.0198586000, 0.0246966000, 0.0382176000, 0.0761908000, 0.1855560000, 0.5026898000", \ - "0.0181442000, 0.0198384000, 0.0247101000, 0.0382283000, 0.0761927000, 0.1854104000, 0.4997745000", \ - "0.0180237000, 0.0197169000, 0.0245683000, 0.0381045000, 0.0760633000, 0.1853620000, 0.5049487000", \ - "0.0177745000, 0.0194499000, 0.0242500000, 0.0376906000, 0.0756554000, 0.1851178000, 0.5002191000", \ - "0.0175334000, 0.0191723000, 0.0239351000, 0.0372467000, 0.0752677000, 0.1854530000, 0.4993143000", \ - "0.0180765000, 0.0196107000, 0.0242227000, 0.0373158000, 0.0750921000, 0.1833653000, 0.5010710000", \ - "0.0194368000, 0.0208885000, 0.0253151000, 0.0385116000, 0.0762548000, 0.1856138000, 0.4999127000"); - } - } - max_capacitance : 0.3012180000; - max_transition : 1.5071530000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1999927000, 0.2064789000, 0.2209400000, 0.2499710000, 0.3062150000, 0.4291194000, 0.7488590000", \ - "0.2049276000, 0.2114044000, 0.2259717000, 0.2548385000, 0.3116297000, 0.4345568000, 0.7542277000", \ - "0.2168904000, 0.2233875000, 0.2378319000, 0.2667614000, 0.3235856000, 0.4461458000, 0.7659969000", \ - "0.2432949000, 0.2497625000, 0.2642010000, 0.2932192000, 0.3495679000, 0.4726360000, 0.7922383000", \ - "0.3006987000, 0.3071312000, 0.3216166000, 0.3505869000, 0.4073231000, 0.5302953000, 0.8496856000", \ - "0.4160632000, 0.4231838000, 0.4389856000, 0.4700881000, 0.5294217000, 0.6536448000, 0.9732272000", \ - "0.6085173000, 0.6170326000, 0.6362547000, 0.6726923000, 0.7400192000, 0.8731577000, 1.1973706000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0996642000, 0.1060833000, 0.1208656000, 0.1541568000, 0.2369780000, 0.4717516000, 1.1473313000", \ - "0.1037761000, 0.1101571000, 0.1249659000, 0.1583387000, 0.2412694000, 0.4752597000, 1.1516214000", \ - "0.1138500000, 0.1202201000, 0.1350407000, 0.1684025000, 0.2513456000, 0.4849232000, 1.1617228000", \ - "0.1384948000, 0.1448674000, 0.1596337000, 0.1929499000, 0.2759416000, 0.5099262000, 1.1871405000", \ - "0.1851514000, 0.1920904000, 0.2076152000, 0.2416913000, 0.3250447000, 0.5593248000, 1.2386661000", \ - "0.2460191000, 0.2545364000, 0.2730738000, 0.3100237000, 0.3947529000, 0.6289920000, 1.3082225000", \ - "0.3053019000, 0.3164053000, 0.3403315000, 0.3854542000, 0.4744111000, 0.7087797000, 1.3855967000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0293029000, 0.0333958000, 0.0429420000, 0.0632778000, 0.1137920000, 0.2503609000, 0.6674249000", \ - "0.0291811000, 0.0332186000, 0.0428842000, 0.0631821000, 0.1137213000, 0.2500145000, 0.6686193000", \ - "0.0291737000, 0.0334602000, 0.0428360000, 0.0639193000, 0.1135063000, 0.2504063000, 0.6684613000", \ - "0.0293766000, 0.0335194000, 0.0430680000, 0.0632250000, 0.1137647000, 0.2502361000, 0.6687473000", \ - "0.0293085000, 0.0335656000, 0.0428676000, 0.0633969000, 0.1134430000, 0.2502580000, 0.6689370000", \ - "0.0343035000, 0.0386789000, 0.0479182000, 0.0697075000, 0.1173927000, 0.2526620000, 0.6697010000", \ - "0.0460125000, 0.0503546000, 0.0610610000, 0.0835942000, 0.1343136000, 0.2655048000, 0.6696860000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0248481000, 0.0296924000, 0.0428468000, 0.0793252000, 0.1902242000, 0.5245882000, 1.4967940000", \ - "0.0247067000, 0.0297675000, 0.0428571000, 0.0794550000, 0.1900120000, 0.5246996000, 1.4979699000", \ - "0.0248377000, 0.0297257000, 0.0428424000, 0.0794444000, 0.1901204000, 0.5238351000, 1.4979684000", \ - "0.0248000000, 0.0297028000, 0.0428205000, 0.0793762000, 0.1898024000, 0.5242339000, 1.4995211000", \ - "0.0283998000, 0.0331473000, 0.0457049000, 0.0810760000, 0.1904781000, 0.5257083000, 1.5026725000", \ - "0.0378019000, 0.0422745000, 0.0546396000, 0.0873086000, 0.1934812000, 0.5244698000, 1.5029342000", \ - "0.0518886000, 0.0581216000, 0.0721092000, 0.1037575000, 0.1994158000, 0.5257629000, 1.4944743000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.2196925000, 0.2264166000, 0.2412643000, 0.2703001000, 0.3270068000, 0.4503838000, 0.7698357000", \ - "0.2249072000, 0.2316301000, 0.2464847000, 0.2758638000, 0.3322772000, 0.4554173000, 0.7753963000", \ - "0.2378419000, 0.2445477000, 0.2593742000, 0.2887738000, 0.3452238000, 0.4686384000, 0.7883693000", \ - "0.2663599000, 0.2730876000, 0.2879836000, 0.3171872000, 0.3742445000, 0.4973956000, 0.8172351000", \ - "0.3283463000, 0.3350606000, 0.3498631000, 0.3791302000, 0.4362365000, 0.5593657000, 0.8793078000", \ - "0.4584136000, 0.4656611000, 0.4822113000, 0.5130489000, 0.5715601000, 0.6957790000, 1.0158354000", \ - "0.6869799000, 0.6957795000, 0.7147798000, 0.7511295000, 0.8172745000, 0.9493248000, 1.2724121000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1077862000, 0.1136229000, 0.1274310000, 0.1593604000, 0.2411863000, 0.4747462000, 1.1519669000", \ - "0.1120472000, 0.1179078000, 0.1316815000, 0.1636583000, 0.2454396000, 0.4791079000, 1.1563291000", \ - "0.1211897000, 0.1270861000, 0.1408428000, 0.1727884000, 0.2546281000, 0.4893353000, 1.1645550000", \ - "0.1418521000, 0.1476714000, 0.1614593000, 0.1933685000, 0.2754165000, 0.5083498000, 1.1846397000", \ - "0.1822349000, 0.1884461000, 0.2025614000, 0.2353376000, 0.3176913000, 0.5512577000, 1.2287453000", \ - "0.2395063000, 0.2466700000, 0.2627170000, 0.2974369000, 0.3807930000, 0.6145843000, 1.2929185000", \ - "0.2950438000, 0.3044899000, 0.3249371000, 0.3642536000, 0.4505183000, 0.6854545000, 1.3610811000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0311866000, 0.0353575000, 0.0447347000, 0.0653202000, 0.1152087000, 0.2513059000, 0.6692149000", \ - "0.0312958000, 0.0355408000, 0.0442744000, 0.0648955000, 0.1154361000, 0.2511243000, 0.6679605000", \ - "0.0312502000, 0.0355260000, 0.0441260000, 0.0649535000, 0.1150839000, 0.2512717000, 0.6681129000", \ - "0.0310405000, 0.0350995000, 0.0449127000, 0.0647508000, 0.1148834000, 0.2510409000, 0.6690745000", \ - "0.0312500000, 0.0354664000, 0.0442885000, 0.0647761000, 0.1148696000, 0.2512724000, 0.6686485000", \ - "0.0354180000, 0.0391418000, 0.0487046000, 0.0689863000, 0.1174787000, 0.2520786000, 0.6692008000", \ - "0.0467134000, 0.0509361000, 0.0616950000, 0.0832734000, 0.1330238000, 0.2644257000, 0.6713408000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0222921000, 0.0272939000, 0.0405507000, 0.0775055000, 0.1896800000, 0.5253555000, 1.5019940000", \ - "0.0222791000, 0.0272337000, 0.0405824000, 0.0775367000, 0.1896136000, 0.5250091000, 1.5009399000", \ - "0.0222957000, 0.0272878000, 0.0404930000, 0.0774761000, 0.1896422000, 0.5246482000, 1.4989963000", \ - "0.0223596000, 0.0273518000, 0.0404130000, 0.0774594000, 0.1891736000, 0.5249053000, 1.4963530000", \ - "0.0242513000, 0.0291583000, 0.0426615000, 0.0788773000, 0.1899022000, 0.5254732000, 1.5018795000", \ - "0.0296298000, 0.0346639000, 0.0479442000, 0.0828520000, 0.1916790000, 0.5243804000, 1.5015568000", \ - "0.0407025000, 0.0466362000, 0.0600941000, 0.0930744000, 0.1955565000, 0.5258675000, 1.4982735000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1767070000, 0.1832373000, 0.1977802000, 0.2268886000, 0.2839394000, 0.4072315000, 0.7271403000", \ - "0.1801842000, 0.1867455000, 0.2013390000, 0.2304305000, 0.2875272000, 0.4108532000, 0.7307252000", \ - "0.1895163000, 0.1959966000, 0.2105233000, 0.2396557000, 0.2968090000, 0.4201000000, 0.7400026000", \ - "0.2152091000, 0.2217376000, 0.2363376000, 0.2653808000, 0.3222366000, 0.4456649000, 0.7654058000", \ - "0.2789960000, 0.2855018000, 0.2999601000, 0.3289191000, 0.3857457000, 0.5093481000, 0.8292710000", \ - "0.4065946000, 0.4141761000, 0.4308619000, 0.4625778000, 0.5223620000, 0.6477599000, 0.9677421000", \ - "0.6015430000, 0.6111840000, 0.6324248000, 0.6729054000, 0.7420360000, 0.8729674000, 1.1969964000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0890351000, 0.0953799000, 0.1101519000, 0.1437062000, 0.2269122000, 0.4613986000, 1.1387250000", \ - "0.0934614000, 0.0998041000, 0.1146121000, 0.1481208000, 0.2315082000, 0.4656710000, 1.1455411000", \ - "0.1040100000, 0.1103713000, 0.1251240000, 0.1585759000, 0.2418277000, 0.4768491000, 1.1635976000", \ - "0.1283069000, 0.1346037000, 0.1492562000, 0.1826123000, 0.2658669000, 0.5000786000, 1.1778890000", \ - "0.1704938000, 0.1775741000, 0.1934118000, 0.2279599000, 0.3115643000, 0.5458854000, 1.2232229000", \ - "0.2224181000, 0.2313845000, 0.2507803000, 0.2888065000, 0.3742539000, 0.6088886000, 1.2881770000", \ - "0.2706037000, 0.2822647000, 0.3071629000, 0.3552282000, 0.4460556000, 0.6809318000, 1.3578870000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0297033000, 0.0340285000, 0.0430307000, 0.0643172000, 0.1145945000, 0.2513137000, 0.6690128000", \ - "0.0299173000, 0.0338056000, 0.0432754000, 0.0639643000, 0.1147055000, 0.2509965000, 0.6689801000", \ - "0.0295891000, 0.0336945000, 0.0429689000, 0.0644596000, 0.1145741000, 0.2509598000, 0.6689608000", \ - "0.0297357000, 0.0339174000, 0.0429695000, 0.0642773000, 0.1147751000, 0.2511762000, 0.6664350000", \ - "0.0295788000, 0.0336764000, 0.0431458000, 0.0645337000, 0.1150703000, 0.2511401000, 0.6686203000", \ - "0.0388441000, 0.0430086000, 0.0524555000, 0.0722217000, 0.1197574000, 0.2537030000, 0.6694010000", \ - "0.0561193000, 0.0623563000, 0.0730681000, 0.0938024000, 0.1386502000, 0.2663901000, 0.6726459000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0239436000, 0.0288275000, 0.0418192000, 0.0784256000, 0.1893982000, 0.5241380000, 1.4984733000", \ - "0.0239718000, 0.0288178000, 0.0418197000, 0.0784011000, 0.1890200000, 0.5253765000, 1.5063437000", \ - "0.0239611000, 0.0288011000, 0.0419038000, 0.0782596000, 0.1893250000, 0.5239343000, 1.5002350000", \ - "0.0241073000, 0.0289823000, 0.0420983000, 0.0786188000, 0.1895107000, 0.5246076000, 1.5035549000", \ - "0.0288944000, 0.0337222000, 0.0461744000, 0.0811091000, 0.1904094000, 0.5259796000, 1.5035015000", \ - "0.0396450000, 0.0442229000, 0.0562304000, 0.0888349000, 0.1935513000, 0.5236656000, 1.5030098000", \ - "0.0551311000, 0.0614557000, 0.0762233000, 0.1088797000, 0.2018753000, 0.5270518000, 1.4955717000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1925965000, 0.1993503000, 0.2141533000, 0.2434884000, 0.3007086000, 0.4238958000, 0.7439947000", \ - "0.1965139000, 0.2032309000, 0.2180460000, 0.2473029000, 0.3045116000, 0.4277048000, 0.7479199000", \ - "0.2065421000, 0.2132591000, 0.2280831000, 0.2574720000, 0.3141757000, 0.4375363000, 0.7576447000", \ - "0.2323878000, 0.2391169000, 0.2539579000, 0.2831720000, 0.3403954000, 0.4635601000, 0.7835009000", \ - "0.2975934000, 0.3043165000, 0.3191488000, 0.3483166000, 0.4052459000, 0.5289487000, 0.8489726000", \ - "0.4324988000, 0.4404917000, 0.4570491000, 0.4885357000, 0.5474014000, 0.6717648000, 0.9917549000", \ - "0.6415297000, 0.6514756000, 0.6729419000, 0.7132198000, 0.7810519000, 0.9108927000, 1.2340382000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0932533000, 0.0995748000, 0.1143492000, 0.1478838000, 0.2312068000, 0.4652693000, 1.1421566000", \ - "0.0979057000, 0.1042383000, 0.1190758000, 0.1526137000, 0.2359959000, 0.4701959000, 1.1482922000", \ - "0.1074922000, 0.1138373000, 0.1286502000, 0.1621728000, 0.2455564000, 0.4799134000, 1.1575778000", \ - "0.1285945000, 0.1349080000, 0.1496068000, 0.1830142000, 0.2662960000, 0.5002584000, 1.1837232000", \ - "0.1669009000, 0.1737804000, 0.1895752000, 0.2240321000, 0.3076572000, 0.5422394000, 1.2196057000", \ - "0.2195603000, 0.2278954000, 0.2464013000, 0.2838205000, 0.3693423000, 0.6034834000, 1.2809938000", \ - "0.2723594000, 0.2833329000, 0.3073455000, 0.3525653000, 0.4427882000, 0.6777506000, 1.3541959000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0310994000, 0.0351664000, 0.0442021000, 0.0652336000, 0.1147339000, 0.2512526000, 0.6684591000", \ - "0.0311676000, 0.0351569000, 0.0441925000, 0.0655452000, 0.1148050000, 0.2509135000, 0.6683906000", \ - "0.0312345000, 0.0354499000, 0.0440564000, 0.0651749000, 0.1151861000, 0.2510441000, 0.6681548000", \ - "0.0312580000, 0.0354876000, 0.0440882000, 0.0653039000, 0.1150514000, 0.2511186000, 0.6656876000", \ - "0.0310225000, 0.0352850000, 0.0445339000, 0.0656371000, 0.1148025000, 0.2508866000, 0.6688237000", \ - "0.0391495000, 0.0429188000, 0.0518933000, 0.0711769000, 0.1188903000, 0.2525473000, 0.6663157000", \ - "0.0563787000, 0.0618658000, 0.0730043000, 0.0927271000, 0.1367098000, 0.2652423000, 0.6717119000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0239669000, 0.0287815000, 0.0417854000, 0.0783656000, 0.1894638000, 0.5246256000, 1.5044935000", \ - "0.0239897000, 0.0287931000, 0.0418419000, 0.0784570000, 0.1889720000, 0.5252339000, 1.4987814000", \ - "0.0239960000, 0.0287789000, 0.0418236000, 0.0784864000, 0.1890489000, 0.5244397000, 1.5071532000", \ - "0.0240985000, 0.0289410000, 0.0420052000, 0.0784723000, 0.1894338000, 0.5244293000, 1.5010820000", \ - "0.0273734000, 0.0324627000, 0.0452035000, 0.0804936000, 0.1896438000, 0.5253895000, 1.4987553000", \ - "0.0356865000, 0.0406223000, 0.0534520000, 0.0871309000, 0.1925898000, 0.5234900000, 1.4999428000", \ - "0.0495249000, 0.0558693000, 0.0700155000, 0.1025592000, 0.1999601000, 0.5252903000, 1.4972747000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a22o_4") { - leakage_power () { - value : 0.0113302000; - when : "!A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0102736000; - when : "!A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0061161000; - when : "!A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0120943000; - when : "!A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0093744000; - when : "!A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0082771000; - when : "!A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0061161000; - when : "!A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0091020000; - when : "!A1&A2&B1&!B2"; - } - leakage_power () { - value : 0.0090448000; - when : "A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0079480000; - when : "A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0061173000; - when : "A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0087723000; - when : "A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0043867000; - when : "A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0044935000; - when : "A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0022843000; - when : "A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0044550000; - when : "A1&A2&B1&!B2"; - } - area : 17.516800000; - cell_footprint : "sky130_fd_sc_hd__a22o"; - cell_leakage_power : 0.0075116040; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0043320000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041460000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087632000, 0.0087694000, 0.0087837000, 0.0087878000, 0.0087973000, 0.0088191000, 0.0088692000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006599000, -0.006605200, -0.006619200, -0.006606000, -0.006575300, -0.006504700, -0.006341900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045180000; - } - pin ("A2") { - capacitance : 0.0047870000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045420000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082199000, 0.0082125000, 0.0081953000, 0.0081981000, 0.0082045000, 0.0082194000, 0.0082536000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008185400, -0.008183800, -0.008179900, -0.008178800, -0.008176500, -0.008171100, -0.008158600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050320000; - } - pin ("B1") { - capacitance : 0.0043360000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040450000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0088787000, 0.0088744000, 0.0088645000, 0.0088622000, 0.0088569000, 0.0088447000, 0.0088165000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006744400, -0.006747500, -0.006754700, -0.006740300, -0.006707100, -0.006630500, -0.006454100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046260000; - } - pin ("B2") { - capacitance : 0.0048580000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0044930000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0086077000, 0.0086001000, 0.0085826000, 0.0085824000, 0.0085822000, 0.0085816000, 0.0085801000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008558600, -0.008559900, -0.008562800, -0.008563000, -0.008563300, -0.008564000, -0.008565700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0052230000; - } - pin ("X") { - direction : "output"; - function : "(B1&B2) | (A1&A2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0353936000, 0.0335352000, 0.0282869000, 0.0128063000, -0.042249400, -0.232990900, -0.853784900", \ - "0.0350140000, 0.0332082000, 0.0277792000, 0.0124077000, -0.042581100, -0.233200400, -0.853939100", \ - "0.0346298000, 0.0328359000, 0.0274054000, 0.0119944000, -0.043030400, -0.233639600, -0.854654200", \ - "0.0342764000, 0.0325427000, 0.0271305000, 0.0116217000, -0.043536300, -0.234084600, -0.855006200", \ - "0.0342624000, 0.0324673000, 0.0270040000, 0.0114034000, -0.043903800, -0.234562600, -0.855240000", \ - "0.0357446000, 0.0338951000, 0.0281348000, 0.0124344000, -0.043073200, -0.233639700, -0.854108400", \ - "0.0469745000, 0.0448363000, 0.0383170000, 0.0190875000, -0.040694700, -0.231402900, -0.852113500"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0319483000, 0.0339116000, 0.0401724000, 0.0596387000, 0.1196136000, 0.3106195000, 0.9270565000", \ - "0.0318752000, 0.0338285000, 0.0400903000, 0.0595805000, 0.1195763000, 0.3107998000, 0.9221629000", \ - "0.0316183000, 0.0335713000, 0.0398256000, 0.0592622000, 0.1193148000, 0.3106374000, 0.9262385000", \ - "0.0311194000, 0.0330536000, 0.0392349000, 0.0585241000, 0.1186960000, 0.3099405000, 0.9266482000", \ - "0.0307494000, 0.0326028000, 0.0386751000, 0.0578306000, 0.1179410000, 0.3093318000, 0.9206863000", \ - "0.0317057000, 0.0335125000, 0.0392859000, 0.0581651000, 0.1173558000, 0.3078341000, 0.9288231000", \ - "0.0342789000, 0.0359669000, 0.0416549000, 0.0600361000, 0.1199693000, 0.3106345000, 0.9252558000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0343112000, 0.0325446000, 0.0271752000, 0.0118191000, -0.043124300, -0.233880200, -0.854864900", \ - "0.0342718000, 0.0325934000, 0.0271757000, 0.0117948000, -0.043277500, -0.234056300, -0.855108200", \ - "0.0337509000, 0.0319511000, 0.0265678000, 0.0112308000, -0.043691900, -0.234503000, -0.855542200", \ - "0.0334202000, 0.0315676000, 0.0262144000, 0.0107392000, -0.044287500, -0.234821700, -0.856110000", \ - "0.0328569000, 0.0310427000, 0.0256904000, 0.0102608000, -0.044853800, -0.235485000, -0.856476100", \ - "0.0324706000, 0.0306889000, 0.0251074000, 0.0102916000, -0.045224500, -0.235778900, -0.856657700", \ - "0.0417172000, 0.0396433000, 0.0334036000, 0.0145739000, -0.044915300, -0.236087500, -0.856691100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0357267000, 0.0376945000, 0.0439747000, 0.0637147000, 0.1244793000, 0.3166912000, 0.9354572000", \ - "0.0355656000, 0.0375281000, 0.0438089000, 0.0635549000, 0.1242915000, 0.3166570000, 0.9353318000", \ - "0.0352887000, 0.0372508000, 0.0435418000, 0.0632680000, 0.1239941000, 0.3163195000, 0.9348198000", \ - "0.0349533000, 0.0368818000, 0.0431386000, 0.0627069000, 0.1233713000, 0.3156548000, 0.9293578000", \ - "0.0349681000, 0.0368623000, 0.0429616000, 0.0622899000, 0.1227206000, 0.3164195000, 0.9301355000", \ - "0.0369700000, 0.0387363000, 0.0445099000, 0.0632875000, 0.1228041000, 0.3141841000, 0.9309220000", \ - "0.0397126000, 0.0412146000, 0.0467914000, 0.0652647000, 0.1250973000, 0.3159731000, 0.9286844000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0409641000, 0.0394591000, 0.0340495000, 0.0185669000, -0.036643400, -0.227314900, -0.848279200", \ - "0.0407998000, 0.0389613000, 0.0336584000, 0.0181464000, -0.036908000, -0.227522000, -0.848616500", \ - "0.0407339000, 0.0389438000, 0.0335038000, 0.0180260000, -0.037054100, -0.227828100, -0.848678700", \ - "0.0402444000, 0.0384111000, 0.0329928000, 0.0176202000, -0.037428500, -0.228099800, -0.848843100", \ - "0.0398832000, 0.0380756000, 0.0326248000, 0.0172267000, -0.037865600, -0.228557600, -0.849334200", \ - "0.0402510000, 0.0384206000, 0.0328585000, 0.0169373000, -0.038323600, -0.228735900, -0.849467900", \ - "0.0490133000, 0.0469256000, 0.0407154000, 0.0218135000, -0.037943100, -0.229035500, -0.849445500"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0390874000, 0.0410066000, 0.0471843000, 0.0667453000, 0.1275045000, 0.3192417000, 0.9347616000", \ - "0.0388248000, 0.0407799000, 0.0470311000, 0.0666237000, 0.1273763000, 0.3196729000, 0.9369637000", \ - "0.0386193000, 0.0405731000, 0.0468383000, 0.0663990000, 0.1271639000, 0.3194009000, 0.9326831000", \ - "0.0383344000, 0.0402850000, 0.0465099000, 0.0660579000, 0.1266764000, 0.3190053000, 0.9377033000", \ - "0.0379426000, 0.0398702000, 0.0459580000, 0.0653026000, 0.1257649000, 0.3183134000, 0.9372547000", \ - "0.0390929000, 0.0409241000, 0.0468617000, 0.0655520000, 0.1253719000, 0.3167204000, 0.9332807000", \ - "0.0407914000, 0.0425874000, 0.0485437000, 0.0670169000, 0.1268690000, 0.3186801000, 0.9296196000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0288654000, 0.0270635000, 0.0217383000, 0.0063165000, -0.048697400, -0.239370600, -0.860335400", \ - "0.0285247000, 0.0267500000, 0.0213287000, 0.0059556000, -0.049024800, -0.239637500, -0.860471900", \ - "0.0280464000, 0.0262609000, 0.0208741000, 0.0054247000, -0.049524200, -0.240144300, -0.861014600", \ - "0.0275711000, 0.0257520000, 0.0203224000, 0.0049032000, -0.050143500, -0.240782500, -0.861730500", \ - "0.0277442000, 0.0259905000, 0.0204955000, 0.0049157000, -0.050338400, -0.240877300, -0.861738400", \ - "0.0284614000, 0.0264784000, 0.0208042000, 0.0050137000, -0.050436700, -0.240840400, -0.861462800", \ - "0.0401737000, 0.0379881000, 0.0313843000, 0.0120984000, -0.047873700, -0.238596600, -0.859405300"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0303920000, 0.0323602000, 0.0386135000, 0.0580925000, 0.1182446000, 0.3097294000, 0.9260125000", \ - "0.0303224000, 0.0322859000, 0.0385201000, 0.0580364000, 0.1182314000, 0.3097112000, 0.9260646000", \ - "0.0301089000, 0.0320751000, 0.0383212000, 0.0577651000, 0.1179672000, 0.3095672000, 0.9218057000", \ - "0.0297880000, 0.0317143000, 0.0378516000, 0.0571068000, 0.1171849000, 0.3088424000, 0.9241136000", \ - "0.0296441000, 0.0314548000, 0.0375289000, 0.0565883000, 0.1164400000, 0.3081938000, 0.9252519000", \ - "0.0308631000, 0.0326202000, 0.0383785000, 0.0571151000, 0.1163039000, 0.3070571000, 0.9236232000", \ - "0.0340970000, 0.0357240000, 0.0413361000, 0.0593831000, 0.1191455000, 0.3096785000, 0.9215306000"); - } - } - max_capacitance : 0.5566500000; - max_transition : 1.5092770000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.2086092000, 0.2128471000, 0.2238946000, 0.2485439000, 0.2996216000, 0.4166649000, 0.7435960000", \ - "0.2137310000, 0.2179814000, 0.2290124000, 0.2536847000, 0.3052143000, 0.4217356000, 0.7482968000", \ - "0.2263040000, 0.2305457000, 0.2415512000, 0.2661818000, 0.3173087000, 0.4343454000, 0.7610682000", \ - "0.2549899000, 0.2592146000, 0.2701972000, 0.2947878000, 0.3461398000, 0.4630773000, 0.7899553000", \ - "0.3168798000, 0.3211149000, 0.3321280000, 0.3569301000, 0.4084043000, 0.5253216000, 0.8524487000", \ - "0.4434832000, 0.4480488000, 0.4598075000, 0.4862306000, 0.5396315000, 0.6580130000, 0.9852815000", \ - "0.6653493000, 0.6707044000, 0.6847632000, 0.7155322000, 0.7766816000, 0.9039762000, 1.2354451000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0900997000, 0.0942027000, 0.1053732000, 0.1333575000, 0.2070436000, 0.4315213000, 1.1488147000", \ - "0.0940743000, 0.0982506000, 0.1093838000, 0.1373681000, 0.2111417000, 0.4355625000, 1.1521513000", \ - "0.1040560000, 0.1081754000, 0.1193423000, 0.1472704000, 0.2209555000, 0.4454733000, 1.1634614000", \ - "0.1276529000, 0.1317933000, 0.1428325000, 0.1705561000, 0.2442027000, 0.4694078000, 1.1830023000", \ - "0.1679142000, 0.1723687000, 0.1840805000, 0.2124474000, 0.2865181000, 0.5113884000, 1.2267333000", \ - "0.2146968000, 0.2200690000, 0.2338468000, 0.2647931000, 0.3400101000, 0.5645440000, 1.2811061000", \ - "0.2470712000, 0.2539202000, 0.2717226000, 0.3101698000, 0.3897708000, 0.6142775000, 1.3297441000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0301835000, 0.0327909000, 0.0396684000, 0.0561430000, 0.0990216000, 0.2243746000, 0.6466051000", \ - "0.0301091000, 0.0326678000, 0.0395258000, 0.0566054000, 0.0989161000, 0.2247061000, 0.6484165000", \ - "0.0301312000, 0.0326951000, 0.0395471000, 0.0564707000, 0.0990415000, 0.2242748000, 0.6484266000", \ - "0.0304248000, 0.0326438000, 0.0394828000, 0.0564336000, 0.0991397000, 0.2242508000, 0.6473625000", \ - "0.0303855000, 0.0326536000, 0.0399604000, 0.0564836000, 0.0987593000, 0.2245356000, 0.6476097000", \ - "0.0346469000, 0.0373164000, 0.0440490000, 0.0609066000, 0.1023288000, 0.2261149000, 0.6480832000", \ - "0.0459691000, 0.0492735000, 0.0574567000, 0.0750185000, 0.1180361000, 0.2393244000, 0.6492831000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0235617000, 0.0266947000, 0.0362478000, 0.0650194000, 0.1597339000, 0.4772549000, 1.5051268000", \ - "0.0236014000, 0.0266995000, 0.0362294000, 0.0650580000, 0.1599126000, 0.4773085000, 1.5044088000", \ - "0.0235159000, 0.0266270000, 0.0361267000, 0.0649921000, 0.1598114000, 0.4773206000, 1.5034169000", \ - "0.0235192000, 0.0266241000, 0.0361368000, 0.0650114000, 0.1595984000, 0.4766637000, 1.5006598000", \ - "0.0271234000, 0.0300255000, 0.0390813000, 0.0672397000, 0.1605165000, 0.4778349000, 1.5029634000", \ - "0.0354690000, 0.0383331000, 0.0470050000, 0.0729867000, 0.1631544000, 0.4768397000, 1.5030335000", \ - "0.0490778000, 0.0526997000, 0.0631417000, 0.0887335000, 0.1699493000, 0.4784231000, 1.5000984000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.2191499000, 0.2234763000, 0.2345614000, 0.2589813000, 0.3095332000, 0.4252621000, 0.7518649000", \ - "0.2244673000, 0.2288311000, 0.2398750000, 0.2643564000, 0.3150889000, 0.4302919000, 0.7567223000", \ - "0.2375369000, 0.2418626000, 0.2529520000, 0.2774320000, 0.3281724000, 0.4437449000, 0.7703093000", \ - "0.2670804000, 0.2713919000, 0.2825002000, 0.3069393000, 0.3572723000, 0.4729611000, 0.7991985000", \ - "0.3292193000, 0.3335326000, 0.3446347000, 0.3691163000, 0.4198549000, 0.5354567000, 0.8620633000", \ - "0.4580996000, 0.4627549000, 0.4745949000, 0.5002430000, 0.5526307000, 0.6691006000, 0.9958459000", \ - "0.6812710000, 0.6868324000, 0.7010715000, 0.7318318000, 0.7915787000, 0.9168181000, 1.2468162000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0976290000, 0.1014049000, 0.1116931000, 0.1380905000, 0.2107049000, 0.4351188000, 1.1511126000", \ - "0.1019486000, 0.1057512000, 0.1160438000, 0.1425132000, 0.2152701000, 0.4392852000, 1.1550664000", \ - "0.1112245000, 0.1150132000, 0.1253441000, 0.1517321000, 0.2244374000, 0.4484256000, 1.1629577000", \ - "0.1323342000, 0.1361121000, 0.1464103000, 0.1727779000, 0.2454164000, 0.4696231000, 1.1860561000", \ - "0.1717592000, 0.1757124000, 0.1863738000, 0.2133301000, 0.2862124000, 0.5106655000, 1.2277392000", \ - "0.2249470000, 0.2295660000, 0.2416378000, 0.2701260000, 0.3436970000, 0.5679358000, 1.2849303000", \ - "0.2726759000, 0.2787038000, 0.2942067000, 0.3272932000, 0.4035429000, 0.6277905000, 1.3431907000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0306192000, 0.0335174000, 0.0397497000, 0.0558937000, 0.0974541000, 0.2223470000, 0.6476895000", \ - "0.0307817000, 0.0333498000, 0.0397998000, 0.0560742000, 0.0971593000, 0.2223239000, 0.6466349000", \ - "0.0306269000, 0.0331601000, 0.0396784000, 0.0555469000, 0.0972905000, 0.2223262000, 0.6473708000", \ - "0.0310608000, 0.0336480000, 0.0396520000, 0.0561368000, 0.0975213000, 0.2224382000, 0.6474830000", \ - "0.0309752000, 0.0335092000, 0.0396297000, 0.0556395000, 0.0974842000, 0.2223189000, 0.6473045000", \ - "0.0348874000, 0.0377793000, 0.0441760000, 0.0596946000, 0.1000992000, 0.2238078000, 0.6476514000", \ - "0.0462567000, 0.0489463000, 0.0569052000, 0.0742259000, 0.1155720000, 0.2355883000, 0.6484627000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0209484000, 0.0241942000, 0.0337797000, 0.0626976000, 0.1588506000, 0.4776284000, 1.5040409000", \ - "0.0210789000, 0.0242131000, 0.0337475000, 0.0627814000, 0.1587114000, 0.4773311000, 1.5022553000", \ - "0.0209428000, 0.0241210000, 0.0336795000, 0.0627452000, 0.1590087000, 0.4769995000, 1.5005870000", \ - "0.0209872000, 0.0240730000, 0.0336918000, 0.0627715000, 0.1587347000, 0.4774534000, 1.5043988000", \ - "0.0229291000, 0.0261528000, 0.0355367000, 0.0642366000, 0.1595294000, 0.4774960000, 1.5052858000", \ - "0.0281669000, 0.0313100000, 0.0407580000, 0.0682085000, 0.1611100000, 0.4764269000, 1.5043417000", \ - "0.0388420000, 0.0427211000, 0.0530989000, 0.0787546000, 0.1654484000, 0.4786933000, 1.5002380000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.1855716000, 0.1899348000, 0.2011920000, 0.2262893000, 0.2783845000, 0.3957863000, 0.7225594000", \ - "0.1893765000, 0.1936919000, 0.2049055000, 0.2299411000, 0.2815940000, 0.3992477000, 0.7264162000", \ - "0.1989394000, 0.2032528000, 0.2144655000, 0.2394904000, 0.2911954000, 0.4088585000, 0.7360317000", \ - "0.2251566000, 0.2294078000, 0.2406466000, 0.2654601000, 0.3173639000, 0.4359277000, 0.7631084000", \ - "0.2930485000, 0.2973913000, 0.3086446000, 0.3335899000, 0.3856172000, 0.5033003000, 0.8304551000", \ - "0.4384917000, 0.4434692000, 0.4561895000, 0.4832000000, 0.5370593000, 0.6563417000, 0.9832856000", \ - "0.6740430000, 0.6803135000, 0.6960520000, 0.7311042000, 0.7953733000, 0.9201644000, 1.2511152000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0763085000, 0.0802411000, 0.0909519000, 0.1179355000, 0.1902059000, 0.4128630000, 1.1320175000", \ - "0.0804559000, 0.0844096000, 0.0951326000, 0.1221282000, 0.1944341000, 0.4170269000, 1.1362868000", \ - "0.0904389000, 0.0943797000, 0.1050776000, 0.1320218000, 0.2043814000, 0.4273270000, 1.1511226000", \ - "0.1124879000, 0.1164739000, 0.1271636000, 0.1540308000, 0.2263599000, 0.4500340000, 1.1633673000", \ - "0.1448715000, 0.1493607000, 0.1610610000, 0.1890760000, 0.2623712000, 0.4865842000, 1.2056931000", \ - "0.1770261000, 0.1827545000, 0.1973770000, 0.2290431000, 0.3037850000, 0.5275297000, 1.2453836000", \ - "0.1840993000, 0.1915076000, 0.2103821000, 0.2508120000, 0.3323272000, 0.5558973000, 1.2702864000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0314723000, 0.0338220000, 0.0405960000, 0.0571803000, 0.0999079000, 0.2251817000, 0.6479451000", \ - "0.0312053000, 0.0338638000, 0.0409552000, 0.0575403000, 0.1002024000, 0.2251727000, 0.6474894000", \ - "0.0312632000, 0.0339326000, 0.0410254000, 0.0574996000, 0.1001227000, 0.2252847000, 0.6474811000", \ - "0.0311915000, 0.0338104000, 0.0408047000, 0.0577120000, 0.1000619000, 0.2250769000, 0.6470515000", \ - "0.0311525000, 0.0336282000, 0.0405294000, 0.0570838000, 0.0998101000, 0.2247814000, 0.6480690000", \ - "0.0395318000, 0.0423001000, 0.0496772000, 0.0642073000, 0.1045485000, 0.2272458000, 0.6487939000", \ - "0.0579974000, 0.0613334000, 0.0706563000, 0.0876860000, 0.1248923000, 0.2410480000, 0.6513063000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0220009000, 0.0251042000, 0.0344677000, 0.0630021000, 0.1581055000, 0.4761367000, 1.5081061000", \ - "0.0220636000, 0.0251027000, 0.0345001000, 0.0630346000, 0.1581613000, 0.4759773000, 1.5083357000", \ - "0.0220356000, 0.0251597000, 0.0344885000, 0.0631083000, 0.1579727000, 0.4762942000, 1.5025973000", \ - "0.0229108000, 0.0258650000, 0.0350686000, 0.0636064000, 0.1582730000, 0.4759437000, 1.5019733000", \ - "0.0277105000, 0.0307425000, 0.0394488000, 0.0666928000, 0.1595993000, 0.4752377000, 1.5091276000", \ - "0.0383677000, 0.0413222000, 0.0499675000, 0.0746607000, 0.1625496000, 0.4747630000, 1.5068335000", \ - "0.0528026000, 0.0578000000, 0.0688818000, 0.0934097000, 0.1721415000, 0.4779502000, 1.4990712000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.1906399000, 0.1949825000, 0.2060978000, 0.2306113000, 0.2813006000, 0.3968695000, 0.7233993000", \ - "0.1944330000, 0.1987531000, 0.2098675000, 0.2344011000, 0.2850726000, 0.4003181000, 0.7266468000", \ - "0.2044283000, 0.2087389000, 0.2198391000, 0.2442870000, 0.2950268000, 0.4103264000, 0.7367980000", \ - "0.2316712000, 0.2360053000, 0.2472290000, 0.2715929000, 0.3221410000, 0.4378419000, 0.7641117000", \ - "0.2985983000, 0.3029281000, 0.3140618000, 0.3383474000, 0.3889150000, 0.5047356000, 0.8313015000", \ - "0.4425991000, 0.4476679000, 0.4601263000, 0.4867045000, 0.5388279000, 0.6559859000, 0.9823626000", \ - "0.6768356000, 0.6831234000, 0.6989392000, 0.7337105000, 0.7954856000, 0.9181720000, 1.2477972000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0814564000, 0.0854099000, 0.0961252000, 0.1230953000, 0.1951769000, 0.4185704000, 1.1370425000", \ - "0.0858556000, 0.0898049000, 0.1005303000, 0.1275077000, 0.1996571000, 0.4220803000, 1.1425519000", \ - "0.0949695000, 0.0989251000, 0.1096361000, 0.1365581000, 0.2087646000, 0.4313433000, 1.1479093000", \ - "0.1142244000, 0.1182084000, 0.1289221000, 0.1558238000, 0.2281029000, 0.4516016000, 1.1707288000", \ - "0.1452280000, 0.1496291000, 0.1612639000, 0.1893486000, 0.2626469000, 0.4866489000, 1.2003204000", \ - "0.1800315000, 0.1854522000, 0.1993871000, 0.2307037000, 0.3059127000, 0.5295269000, 1.2452495000", \ - "0.1949123000, 0.2019840000, 0.2201991000, 0.2597103000, 0.3407232000, 0.5650809000, 1.2790184000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0307918000, 0.0333389000, 0.0403591000, 0.0557101000, 0.0973443000, 0.2222519000, 0.6471873000", \ - "0.0309373000, 0.0335635000, 0.0396619000, 0.0560577000, 0.0971245000, 0.2223914000, 0.6472159000", \ - "0.0309008000, 0.0335343000, 0.0396363000, 0.0561171000, 0.0970980000, 0.2223518000, 0.6463383000", \ - "0.0308407000, 0.0334294000, 0.0400295000, 0.0553282000, 0.0972961000, 0.2221623000, 0.6470200000", \ - "0.0306758000, 0.0331381000, 0.0399252000, 0.0561953000, 0.0974213000, 0.2224490000, 0.6470928000", \ - "0.0383951000, 0.0407847000, 0.0471771000, 0.0619932000, 0.1007963000, 0.2239441000, 0.6477184000", \ - "0.0560220000, 0.0595167000, 0.0687326000, 0.0844233000, 0.1200374000, 0.2354132000, 0.6495919000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0220875000, 0.0251077000, 0.0344935000, 0.0630535000, 0.1581865000, 0.4757255000, 1.5092286000", \ - "0.0220442000, 0.0250996000, 0.0344137000, 0.0631502000, 0.1579503000, 0.4761570000, 1.5055849000", \ - "0.0220497000, 0.0250833000, 0.0344254000, 0.0631544000, 0.1579815000, 0.4762002000, 1.5072813000", \ - "0.0226632000, 0.0256292000, 0.0349828000, 0.0633033000, 0.1582520000, 0.4763674000, 1.5092766000", \ - "0.0262918000, 0.0294400000, 0.0385209000, 0.0661974000, 0.1593918000, 0.4751327000, 1.5014384000", \ - "0.0351339000, 0.0382481000, 0.0470772000, 0.0729645000, 0.1625394000, 0.4756791000, 1.5081270000", \ - "0.0490066000, 0.0532856000, 0.0643942000, 0.0907023000, 0.1705645000, 0.4783113000, 1.4950877000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a22oi_1") { - leakage_power () { - value : 0.0003040000; - when : "!A1&!A2&!B1&B2"; - } - leakage_power () { - value : 5.8899391e-05; - when : "!A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0079443000; - when : "!A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0002457000; - when : "!A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0005546000; - when : "!A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0003095000; - when : "!A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0079442000; - when : "!A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0004963000; - when : "!A1&A2&B1&!B2"; - } - leakage_power () { - value : 0.0005183000; - when : "A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0002731000; - when : "A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0079443000; - when : "A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0004599000; - when : "A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0045073000; - when : "A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0046373000; - when : "A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0005067000; - when : "A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0045073000; - when : "A1&A2&B1&!B2"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__a22oi"; - cell_leakage_power : 0.0025757180; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022850000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046905000, 0.0046941000, 0.0047025000, 0.0047027000, 0.0047032000, 0.0047044000, 0.0047072000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003877000, -0.003879700, -0.003886000, -0.003880400, -0.003867600, -0.003838100, -0.003770100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024350000; - } - pin ("A2") { - capacitance : 0.0023770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042726000, 0.0042718000, 0.0042699000, 0.0042720000, 0.0042769000, 0.0042880000, 0.0043138000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004272700, -0.004270000, -0.004263700, -0.004263500, -0.004262900, -0.004261700, -0.004258700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024970000; - } - pin ("B1") { - capacitance : 0.0023420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022170000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047243000, 0.0047270000, 0.0047335000, 0.0047330000, 0.0047320000, 0.0047298000, 0.0047245000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003881800, -0.003883900, -0.003888900, -0.003882600, -0.003868200, -0.003835000, -0.003758300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024670000; - } - pin ("B2") { - capacitance : 0.0023220000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021600000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040737000, 0.0040744000, 0.0040758000, 0.0040763000, 0.0040777000, 0.0040809000, 0.0040881000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004076500, -0.004076900, -0.004077700, -0.004077700, -0.004077600, -0.004077500, -0.004077100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024850000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A2&!B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0079020000, 0.0068110000, 0.0042180000, -0.001983900, -0.016686600, -0.051680100, -0.134780700", \ - "0.0077762000, 0.0066835000, 0.0041161000, -0.002033100, -0.016758700, -0.051753700, -0.134817000", \ - "0.0075808000, 0.0065127000, 0.0039606000, -0.002168400, -0.016834800, -0.051824500, -0.134889200", \ - "0.0074300000, 0.0063456000, 0.0037838000, -0.002336700, -0.016961300, -0.051902500, -0.134952500", \ - "0.0074134000, 0.0062633000, 0.0036291000, -0.002468500, -0.017096500, -0.051992600, -0.135022300", \ - "0.0079154000, 0.0065552000, 0.0039124000, -0.002333700, -0.017135600, -0.051957100, -0.135000000", \ - "0.0092354000, 0.0080739000, 0.0051762000, -0.001183400, -0.016094400, -0.051565300, -0.134890700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0122442000, 0.0133770000, 0.0160557000, 0.0223013000, 0.0369483000, 0.0715829000, 0.1537503000", \ - "0.0120602000, 0.0132031000, 0.0159003000, 0.0221711000, 0.0368901000, 0.0716004000, 0.1536285000", \ - "0.0117754000, 0.0129320000, 0.0156263000, 0.0219435000, 0.0367330000, 0.0715032000, 0.1535816000", \ - "0.0115427000, 0.0126720000, 0.0153577000, 0.0216879000, 0.0365148000, 0.0713459000, 0.1535357000", \ - "0.0114073000, 0.0125234000, 0.0151463000, 0.0214154000, 0.0361695000, 0.0710534000, 0.1532452000", \ - "0.0114241000, 0.0125376000, 0.0151831000, 0.0213740000, 0.0361702000, 0.0708181000, 0.1531511000", \ - "0.0117027000, 0.0127746000, 0.0153167000, 0.0216131000, 0.0363780000, 0.0712166000, 0.1532220000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0082599000, 0.0071672000, 0.0045720000, -0.001588600, -0.016317700, -0.051310900, -0.134436400", \ - "0.0081560000, 0.0070638000, 0.0044789000, -0.001680500, -0.016389600, -0.051388100, -0.134498700", \ - "0.0079991000, 0.0069190000, 0.0043463000, -0.001813200, -0.016495100, -0.051460500, -0.134540200", \ - "0.0077857000, 0.0067444000, 0.0041874000, -0.001948600, -0.016579300, -0.051529200, -0.134597100", \ - "0.0076634000, 0.0065540000, 0.0039560000, -0.002141300, -0.016761100, -0.051618700, -0.134652000", \ - "0.0078654000, 0.0067683000, 0.0041355000, -0.002065300, -0.016860100, -0.051729100, -0.134713300", \ - "0.0088221000, 0.0076646000, 0.0049942000, -0.001370600, -0.016302900, -0.051582100, -0.134604100"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0151536000, 0.0162833000, 0.0189142000, 0.0251299000, 0.0398191000, 0.0744822000, 0.1565828000", \ - "0.0150386000, 0.0161377000, 0.0188100000, 0.0250448000, 0.0397696000, 0.0744183000, 0.1565481000", \ - "0.0148192000, 0.0159585000, 0.0186215000, 0.0248961000, 0.0396573000, 0.0743704000, 0.1565567000", \ - "0.0146494000, 0.0157729000, 0.0184348000, 0.0247127000, 0.0394790000, 0.0742833000, 0.1564419000", \ - "0.0145227000, 0.0156250000, 0.0182567000, 0.0245047000, 0.0392551000, 0.0741039000, 0.1562544000", \ - "0.0144774000, 0.0156094000, 0.0183034000, 0.0245484000, 0.0391950000, 0.0739874000, 0.1562578000", \ - "0.0147176000, 0.0157714000, 0.0183181000, 0.0246972000, 0.0395524000, 0.0741937000, 0.1563944000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0048805000, 0.0038092000, 0.0012259000, -0.004968600, -0.019733800, -0.054801400, -0.137989100", \ - "0.0047826000, 0.0037322000, 0.0011939000, -0.004953500, -0.019676000, -0.054722900, -0.137893000", \ - "0.0045772000, 0.0035483000, 0.0010438000, -0.005037400, -0.019683600, -0.054678200, -0.137822500", \ - "0.0042904000, 0.0032571000, 0.0007683000, -0.005240900, -0.019820400, -0.054722800, -0.137826300", \ - "0.0041039000, 0.0030416000, 0.0005256000, -0.005483400, -0.020012700, -0.054871300, -0.137897900", \ - "0.0046609000, 0.0035370000, 0.0009114000, -0.005194700, -0.020224200, -0.054933800, -0.137951400", \ - "0.0061584000, 0.0049473000, 0.0020909000, -0.004450800, -0.019514000, -0.054811100, -0.138030200"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0094693000, 0.0105985000, 0.0132828000, 0.0195449000, 0.0341967000, 0.0688720000, 0.1509176000", \ - "0.0092340000, 0.0103965000, 0.0130920000, 0.0194100000, 0.0341661000, 0.0688647000, 0.1509320000", \ - "0.0089162000, 0.0100722000, 0.0127439000, 0.0191377000, 0.0339696000, 0.0687782000, 0.1508986000", \ - "0.0087195000, 0.0098576000, 0.0124888000, 0.0188439000, 0.0336793000, 0.0685568000, 0.1507633000", \ - "0.0086730000, 0.0097758000, 0.0123944000, 0.0186382000, 0.0333686000, 0.0682769000, 0.1506140000", \ - "0.0096093000, 0.0108229000, 0.0128272000, 0.0189069000, 0.0334302000, 0.0680885000, 0.1502906000", \ - "0.0111535000, 0.0119964000, 0.0143964000, 0.0202921000, 0.0346700000, 0.0692518000, 0.1503748000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0052104000, 0.0041309000, 0.0015381000, -0.004658100, -0.019423300, -0.054498200, -0.137682700", \ - "0.0051221000, 0.0040675000, 0.0015133000, -0.004638700, -0.019365900, -0.054415200, -0.137592300", \ - "0.0049061000, 0.0038666000, 0.0013604000, -0.004719000, -0.019380300, -0.054376700, -0.137530400", \ - "0.0045706000, 0.0035407000, 0.0010668000, -0.004932400, -0.019508400, -0.054431300, -0.137529500", \ - "0.0044765000, 0.0033604000, 0.0007861000, -0.005241400, -0.019766100, -0.054577200, -0.137597700", \ - "0.0045753000, 0.0034660000, 0.0008659000, -0.005292800, -0.020019500, -0.054808300, -0.137711900", \ - "0.0057382000, 0.0045402000, 0.0017640000, -0.004654100, -0.019606100, -0.054780700, -0.137811700"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0124673000, 0.0135875000, 0.0162194000, 0.0224479000, 0.0371095000, 0.0717924000, 0.1538465000", \ - "0.0123259000, 0.0134303000, 0.0161023000, 0.0223461000, 0.0370481000, 0.0717877000, 0.1538489000", \ - "0.0120360000, 0.0131979000, 0.0158881000, 0.0221636000, 0.0369387000, 0.0716725000, 0.1538240000", \ - "0.0119405000, 0.0130084000, 0.0156560000, 0.0219861000, 0.0367544000, 0.0715631000, 0.1537665000", \ - "0.0119061000, 0.0129536000, 0.0156407000, 0.0218685000, 0.0365821000, 0.0713617000, 0.1536621000", \ - "0.0123768000, 0.0134772000, 0.0160409000, 0.0221394000, 0.0367005000, 0.0712695000, 0.1535045000", \ - "0.0148478000, 0.0159848000, 0.0180336000, 0.0238195000, 0.0382474000, 0.0729831000, 0.1536849000"); - } - } - max_capacitance : 0.0888160000; - max_transition : 1.8611700000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0465203000, 0.0504282000, 0.0593373000, 0.0803187000, 0.1283089000, 0.2409005000, 0.5059853000", \ - "0.0505797000, 0.0546264000, 0.0637347000, 0.0845642000, 0.1327484000, 0.2451769000, 0.5103617000", \ - "0.0606922000, 0.0645769000, 0.0737749000, 0.0946425000, 0.1429528000, 0.2554253000, 0.5205162000", \ - "0.0836990000, 0.0883321000, 0.0983105000, 0.1193003000, 0.1672615000, 0.2796774000, 0.5447927000", \ - "0.1167042000, 0.1234663000, 0.1385997000, 0.1683101000, 0.2239225000, 0.3365925000, 0.6014100000", \ - "0.1539787000, 0.1640553000, 0.1867541000, 0.2324160000, 0.3168556000, 0.4628078000, 0.7316027000", \ - "0.1812486000, 0.1961057000, 0.2312154000, 0.3001762000, 0.4285924000, 0.6528539000, 1.0229030000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1120582000, 0.1225287000, 0.1467386000, 0.2036030000, 0.3369855000, 0.6518459000, 1.3972095000", \ - "0.1163447000, 0.1270168000, 0.1515127000, 0.2087640000, 0.3424611000, 0.6574447000, 1.4024643000", \ - "0.1279001000, 0.1382562000, 0.1630377000, 0.2207599000, 0.3548954000, 0.6707134000, 1.4158764000", \ - "0.1534918000, 0.1637900000, 0.1882848000, 0.2457191000, 0.3804349000, 0.6968861000, 1.4425510000", \ - "0.2055476000, 0.2171694000, 0.2435089000, 0.3006804000, 0.4349295000, 0.7516655000, 1.4999402000", \ - "0.2940041000, 0.3094104000, 0.3430848000, 0.4147475000, 0.5609516000, 0.8776881000, 1.6257975000", \ - "0.4315850000, 0.4558742000, 0.5067948000, 0.6085815000, 0.8018517000, 1.1682040000, 1.9184319000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0394416000, 0.0444367000, 0.0559189000, 0.0824950000, 0.1457102000, 0.2962057000, 0.6524022000", \ - "0.0394019000, 0.0443817000, 0.0555974000, 0.0827791000, 0.1461287000, 0.2962170000, 0.6528126000", \ - "0.0393122000, 0.0440658000, 0.0554525000, 0.0824714000, 0.1462118000, 0.2959275000, 0.6522761000", \ - "0.0499115000, 0.0534228000, 0.0625347000, 0.0857487000, 0.1465414000, 0.2963176000, 0.6525945000", \ - "0.0760714000, 0.0810682000, 0.0928183000, 0.1166036000, 0.1657634000, 0.3001842000, 0.6526859000", \ - "0.1216865000, 0.1295314000, 0.1465468000, 0.1808029000, 0.2441028000, 0.3629773000, 0.6663418000", \ - "0.1991640000, 0.2121485000, 0.2398693000, 0.2909703000, 0.3855523000, 0.5436369000, 0.8188175000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0922440000, 0.1060433000, 0.1385205000, 0.2156006000, 0.3982094000, 0.8315701000, 1.8593341000", \ - "0.0921982000, 0.1060292000, 0.1384760000, 0.2157287000, 0.3979571000, 0.8302555000, 1.8532879000", \ - "0.0922468000, 0.1060578000, 0.1385876000, 0.2159680000, 0.3980424000, 0.8296503000, 1.8530456000", \ - "0.0924967000, 0.1061449000, 0.1384940000, 0.2157092000, 0.3982784000, 0.8296353000, 1.8541252000", \ - "0.1062240000, 0.1188856000, 0.1475879000, 0.2200168000, 0.3982372000, 0.8301968000, 1.8597618000", \ - "0.1478569000, 0.1608599000, 0.1913632000, 0.2596315000, 0.4202206000, 0.8326511000, 1.8534172000", \ - "0.2364426000, 0.2518186000, 0.2880095000, 0.3682308000, 0.5296509000, 0.8984222000, 1.8611700000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0509773000, 0.0549171000, 0.0638634000, 0.0847697000, 0.1329355000, 0.2453362000, 0.5103237000", \ - "0.0552972000, 0.0592365000, 0.0682119000, 0.0890957000, 0.1371946000, 0.2497557000, 0.5148695000", \ - "0.0646678000, 0.0686320000, 0.0777471000, 0.0986878000, 0.1468574000, 0.2593404000, 0.5245636000", \ - "0.0850076000, 0.0889816000, 0.0989043000, 0.1202827000, 0.1689040000, 0.2815163000, 0.5467912000", \ - "0.1172700000, 0.1232056000, 0.1363075000, 0.1631042000, 0.2174410000, 0.3324250000, 0.5978511000", \ - "0.1564172000, 0.1660025000, 0.1857624000, 0.2260167000, 0.3027383000, 0.4414105000, 0.7145922000", \ - "0.1878899000, 0.2016236000, 0.2325653000, 0.2963850000, 0.4144871000, 0.6165843000, 0.9652713000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1257948000, 0.1355664000, 0.1594514000, 0.2140123000, 0.3431943000, 0.6478663000, 1.3690342000", \ - "0.1309482000, 0.1410665000, 0.1642696000, 0.2193871000, 0.3489538000, 0.6535284000, 1.3748593000", \ - "0.1428102000, 0.1529980000, 0.1768411000, 0.2322182000, 0.3616220000, 0.6668964000, 1.3891711000", \ - "0.1691121000, 0.1793689000, 0.2027279000, 0.2581187000, 0.3881824000, 0.6936786000, 1.4154158000", \ - "0.2246130000, 0.2355941000, 0.2593391000, 0.3143232000, 0.4442626000, 0.7501022000, 1.4756444000", \ - "0.3203653000, 0.3342637000, 0.3664430000, 0.4338896000, 0.5719566000, 0.8797787000, 1.6025080000", \ - "0.4783102000, 0.4989904000, 0.5442794000, 0.6373456000, 0.8231160000, 1.1714270000, 1.8994466000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0394058000, 0.0444116000, 0.0556576000, 0.0827981000, 0.1461497000, 0.2963381000, 0.6539170000", \ - "0.0394049000, 0.0443694000, 0.0556308000, 0.0827649000, 0.1462610000, 0.2962151000, 0.6522504000", \ - "0.0392518000, 0.0440939000, 0.0554100000, 0.0823874000, 0.1462614000, 0.2971022000, 0.6524712000", \ - "0.0453639000, 0.0495296000, 0.0595860000, 0.0845362000, 0.1464161000, 0.2967893000, 0.6528298000", \ - "0.0649442000, 0.0705518000, 0.0809976000, 0.1045171000, 0.1582377000, 0.2992661000, 0.6528367000", \ - "0.1048170000, 0.1113416000, 0.1262176000, 0.1549936000, 0.2135444000, 0.3370954000, 0.6630041000", \ - "0.1757016000, 0.1854223000, 0.2073635000, 0.2488942000, 0.3281049000, 0.4733471000, 0.7656894000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1033161000, 0.1167263000, 0.1485897000, 0.2231765000, 0.4005798000, 0.8188998000, 1.8115021000", \ - "0.1035163000, 0.1166586000, 0.1483850000, 0.2234097000, 0.4007778000, 0.8193304000, 1.8109608000", \ - "0.1033324000, 0.1167070000, 0.1483817000, 0.2245208000, 0.4001352000, 0.8185155000, 1.8155364000", \ - "0.1034373000, 0.1169129000, 0.1483333000, 0.2234619000, 0.4004348000, 0.8191900000, 1.8100836000", \ - "0.1141973000, 0.1263699000, 0.1557530000, 0.2265522000, 0.4001328000, 0.8190883000, 1.8167895000", \ - "0.1546936000, 0.1673960000, 0.1974444000, 0.2637774000, 0.4218943000, 0.8206024000, 1.8145452000", \ - "0.2416558000, 0.2578369000, 0.2928495000, 0.3677894000, 0.5300475000, 0.8853416000, 1.8198557000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0306176000, 0.0344129000, 0.0430970000, 0.0634550000, 0.1111089000, 0.2239917000, 0.4913413000", \ - "0.0349085000, 0.0387334000, 0.0475102000, 0.0678674000, 0.1157946000, 0.2287398000, 0.4961246000", \ - "0.0450693000, 0.0488957000, 0.0577953000, 0.0781582000, 0.1263447000, 0.2393696000, 0.5068253000", \ - "0.0614674000, 0.0673387000, 0.0795715000, 0.1029967000, 0.1508040000, 0.2636091000, 0.5305401000", \ - "0.0795245000, 0.0886268000, 0.1075900000, 0.1437029000, 0.2062317000, 0.3206874000, 0.5878829000", \ - "0.0940810000, 0.1079653000, 0.1365810000, 0.1908347000, 0.2882320000, 0.4448202000, 0.7183309000", \ - "0.0865979000, 0.1073702000, 0.1512860000, 0.2356780000, 0.3833946000, 0.6255425000, 1.0067200000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0879110000, 0.0981041000, 0.1217551000, 0.1770260000, 0.3067846000, 0.6125285000, 1.3369141000", \ - "0.0909829000, 0.1013195000, 0.1250016000, 0.1808498000, 0.3110391000, 0.6173610000, 1.3421401000", \ - "0.1001745000, 0.1103241000, 0.1343517000, 0.1906619000, 0.3212985000, 0.6279980000, 1.3526025000", \ - "0.1267264000, 0.1367413000, 0.1598398000, 0.2154129000, 0.3463315000, 0.6537196000, 1.3804323000", \ - "0.1862628000, 0.1982847000, 0.2243105000, 0.2796610000, 0.4096433000, 0.7170100000, 1.4429636000", \ - "0.2799244000, 0.2974706000, 0.3373225000, 0.4163218000, 0.5598232000, 0.8648122000, 1.5898587000", \ - "0.4261381000, 0.4523724000, 0.5109458000, 0.6296577000, 0.8469227000, 1.2168927000, 1.9319071000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0333585000, 0.0381562000, 0.0496039000, 0.0767030000, 0.1406852000, 0.2923718000, 0.6526888000", \ - "0.0333665000, 0.0381857000, 0.0496138000, 0.0766841000, 0.1406944000, 0.2923576000, 0.6524992000", \ - "0.0358658000, 0.0399973000, 0.0503234000, 0.0765914000, 0.1406946000, 0.2924280000, 0.6526090000", \ - "0.0508901000, 0.0546907000, 0.0628092000, 0.0838166000, 0.1418136000, 0.2925211000, 0.6524846000", \ - "0.0809615000, 0.0857972000, 0.0964795000, 0.1191645000, 0.1669922000, 0.2980130000, 0.6524296000", \ - "0.1342113000, 0.1413046000, 0.1567499000, 0.1894664000, 0.2478811000, 0.3656218000, 0.6683393000", \ - "0.2280652000, 0.2393496000, 0.2614317000, 0.3076620000, 0.3943286000, 0.5460863000, 0.8225086000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0896748000, 0.1033614000, 0.1352202000, 0.2112075000, 0.3904630000, 0.8147831000, 1.8196277000", \ - "0.0897668000, 0.1033393000, 0.1354351000, 0.2111655000, 0.3906063000, 0.8156283000, 1.8257222000", \ - "0.0895261000, 0.1032209000, 0.1353325000, 0.2114718000, 0.3906057000, 0.8154227000, 1.8203296000", \ - "0.0913913000, 0.1041852000, 0.1350960000, 0.2111425000, 0.3914712000, 0.8155316000, 1.8273735000", \ - "0.1207317000, 0.1309695000, 0.1556044000, 0.2196867000, 0.3905147000, 0.8155772000, 1.8222484000", \ - "0.1772685000, 0.1921547000, 0.2253574000, 0.2901472000, 0.4295602000, 0.8186118000, 1.8269470000", \ - "0.2713875000, 0.2929831000, 0.3402493000, 0.4335740000, 0.6001440000, 0.9285052000, 1.8288170000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0351545000, 0.0388619000, 0.0474281000, 0.0677775000, 0.1154595000, 0.2283816000, 0.4956422000", \ - "0.0394793000, 0.0433527000, 0.0520604000, 0.0724548000, 0.1203346000, 0.2334185000, 0.5006702000", \ - "0.0486972000, 0.0526290000, 0.0615723000, 0.0822150000, 0.1302775000, 0.2433498000, 0.5107541000", \ - "0.0646846000, 0.0698932000, 0.0808347000, 0.1038046000, 0.1524012000, 0.2659661000, 0.5335796000", \ - "0.0856521000, 0.0935218000, 0.1099392000, 0.1416531000, 0.2009879000, 0.3172951000, 0.5853631000", \ - "0.1050437000, 0.1175452000, 0.1435921000, 0.1928780000, 0.2790171000, 0.4259047000, 0.7053061000", \ - "0.1045052000, 0.1243881000, 0.1657614000, 0.2447000000, 0.3791885000, 0.5977943000, 0.9510482000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1037065000, 0.1136016000, 0.1373437000, 0.1919472000, 0.3210961000, 0.6259860000, 1.3469397000", \ - "0.1076706000, 0.1172143000, 0.1408966000, 0.1959740000, 0.3254157000, 0.6303319000, 1.3521746000", \ - "0.1168402000, 0.1274275000, 0.1509165000, 0.2064610000, 0.3362076000, 0.6414822000, 1.3628577000", \ - "0.1438368000, 0.1532675000, 0.1768166000, 0.2320904000, 0.3619995000, 0.6675984000, 1.3899605000", \ - "0.2084748000, 0.2186022000, 0.2429462000, 0.2972294000, 0.4266144000, 0.7319568000, 1.4545034000", \ - "0.3147846000, 0.3308389000, 0.3668068000, 0.4398462000, 0.5774222000, 0.8782235000, 1.5991823000", \ - "0.4788143000, 0.5034249000, 0.5570942000, 0.6672988000, 0.8742445000, 1.2303701000, 1.9457782000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0333539000, 0.0381750000, 0.0496018000, 0.0765832000, 0.1405580000, 0.2925422000, 0.6526688000", \ - "0.0333872000, 0.0381815000, 0.0496203000, 0.0766647000, 0.1406881000, 0.2922438000, 0.6529730000", \ - "0.0346595000, 0.0391069000, 0.0500025000, 0.0765895000, 0.1406722000, 0.2924402000, 0.6525689000", \ - "0.0444065000, 0.0483751000, 0.0573896000, 0.0809383000, 0.1413635000, 0.2925793000, 0.6525473000", \ - "0.0684010000, 0.0727427000, 0.0826378000, 0.1048882000, 0.1574337000, 0.2959676000, 0.6528380000", \ - "0.1144660000, 0.1203114000, 0.1331318000, 0.1605519000, 0.2159401000, 0.3392437000, 0.6645660000", \ - "0.1988076000, 0.2066683000, 0.2247653000, 0.2620294000, 0.3359570000, 0.4761544000, 0.7660580000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1033040000, 0.1166880000, 0.1482639000, 0.2230255000, 0.3999921000, 0.8191310000, 1.8109633000", \ - "0.1032576000, 0.1167166000, 0.1488349000, 0.2232213000, 0.4005532000, 0.8190563000, 1.8101375000", \ - "0.1032299000, 0.1168420000, 0.1483890000, 0.2233636000, 0.4010324000, 0.8195539000, 1.8132150000", \ - "0.1037036000, 0.1166148000, 0.1480917000, 0.2231929000, 0.4005221000, 0.8191980000, 1.8140124000", \ - "0.1272664000, 0.1376374000, 0.1636334000, 0.2290849000, 0.4005828000, 0.8189673000, 1.8153211000", \ - "0.1870291000, 0.2016386000, 0.2322605000, 0.2935160000, 0.4347557000, 0.8214873000, 1.8119596000", \ - "0.2850883000, 0.3065335000, 0.3538682000, 0.4424627000, 0.6044047000, 0.9270884000, 1.8202684000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a22oi_2") { - leakage_power () { - value : 0.0008302000; - when : "!A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0001445000; - when : "!A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0074111000; - when : "!A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0006657000; - when : "!A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0015164000; - when : "!A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0008307000; - when : "!A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0074111000; - when : "!A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0013518000; - when : "!A1&A2&B1&!B2"; - } - leakage_power () { - value : 0.0013522000; - when : "A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0006661000; - when : "A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0074111000; - when : "A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0011892000; - when : "A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0046626000; - when : "A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0047947000; - when : "A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0005212000; - when : "A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0046625000; - when : "A1&A2&B1&!B2"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__a22oi"; - cell_leakage_power : 0.0028388210; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0042620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041210000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091423000, 0.0091517000, 0.0091733000, 0.0091736000, 0.0091743000, 0.0091758000, 0.0091793000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006301800, -0.006311300, -0.006333300, -0.006314800, -0.006272400, -0.006174500, -0.005948900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044030000; - } - pin ("A2") { - capacitance : 0.0043650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041440000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079336000, 0.0079336000, 0.0079335000, 0.0079346000, 0.0079371000, 0.0079428000, 0.0079561000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007913700, -0.007914600, -0.007916800, -0.007915000, -0.007911000, -0.007901800, -0.007880500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045850000; - } - pin ("B1") { - capacitance : 0.0042340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040010000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091440000, 0.0091502000, 0.0091646000, 0.0091660000, 0.0091690000, 0.0091761000, 0.0091925000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006371200, -0.006374800, -0.006383300, -0.006367300, -0.006330500, -0.006245600, -0.006050000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044680000; - } - pin ("B2") { - capacitance : 0.0042690000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079050000, 0.0079011000, 0.0078920000, 0.0078909000, 0.0078884000, 0.0078826000, 0.0078692000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007874900, -0.007873300, -0.007869600, -0.007868500, -0.007866000, -0.007860200, -0.007846800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045830000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A2&!B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013213260, 0.0034918040, 0.0092276230, 0.0243853900, 0.0644421000, 0.1702980000"); - values("0.0136941000, 0.0123689000, 0.0088757000, -0.000236100, -0.024548800, -0.089216200, -0.260467600", \ - "0.0134712000, 0.0121722000, 0.0087017000, -0.000371700, -0.024713200, -0.089400000, -0.260574000", \ - "0.0131608000, 0.0118657000, 0.0084365000, -0.000639600, -0.024899400, -0.089469300, -0.260643200", \ - "0.0126709000, 0.0112805000, 0.0078772000, -0.001018500, -0.025264900, -0.089652400, -0.260774900", \ - "0.0125069000, 0.0111883000, 0.0077264000, -0.001220600, -0.025509800, -0.089851800, -0.260818200", \ - "0.0133177000, 0.0118992000, 0.0083191000, -0.000963200, -0.025727400, -0.090137900, -0.261107200", \ - "0.0157641000, 0.0143748000, 0.0107304000, 0.0011826000, -0.023441800, -0.089653300, -0.260498700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013213260, 0.0034918040, 0.0092276230, 0.0243853900, 0.0644421000, 0.1702980000"); - values("0.0207497000, 0.0221197000, 0.0257216000, 0.0351241000, 0.0595550000, 0.1237208000, 0.2929757000", \ - "0.0204118000, 0.0218028000, 0.0254554000, 0.0349224000, 0.0594507000, 0.1238623000, 0.2931371000", \ - "0.0198928000, 0.0213034000, 0.0249320000, 0.0344417000, 0.0591410000, 0.1235252000, 0.2929248000", \ - "0.0194649000, 0.0208701000, 0.0244790000, 0.0339926000, 0.0586465000, 0.1233121000, 0.2926934000", \ - "0.0192499000, 0.0205851000, 0.0241379000, 0.0335079000, 0.0580371000, 0.1226812000, 0.2924067000", \ - "0.0192204000, 0.0205517000, 0.0240725000, 0.0334279000, 0.0579476000, 0.1223957000, 0.2919065000", \ - "0.0194257000, 0.0206914000, 0.0241048000, 0.0332580000, 0.0581685000, 0.1227321000, 0.2918831000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013213260, 0.0034918040, 0.0092276230, 0.0243853900, 0.0644421000, 0.1702980000"); - values("0.0152778000, 0.0139516000, 0.0104940000, 0.0013243000, -0.023040000, -0.087652900, -0.258855700", \ - "0.0150927000, 0.0137718000, 0.0103211000, 0.0011665000, -0.023185600, -0.087799700, -0.259117500", \ - "0.0148013000, 0.0134983000, 0.0100474000, 0.0009547000, -0.023353200, -0.087923900, -0.259099800", \ - "0.0143713000, 0.0130842000, 0.0097214000, 0.0006236000, -0.023581100, -0.088100600, -0.259253700", \ - "0.0140811000, 0.0127817000, 0.0093356000, 0.0002851000, -0.023698000, -0.088238500, -0.259263400", \ - "0.0144719000, 0.0131351000, 0.0096447000, 0.0003992000, -0.024156500, -0.088505700, -0.259453600", \ - "0.0161031000, 0.0147173000, 0.0110981000, 0.0017002000, -0.023133000, -0.088337900, -0.259503500"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013213260, 0.0034918040, 0.0092276230, 0.0243853900, 0.0644421000, 0.1702980000"); - values("0.0281134000, 0.0294357000, 0.0329433000, 0.0422631000, 0.0666987000, 0.1309546000, 0.3001679000", \ - "0.0278117000, 0.0291592000, 0.0327589000, 0.0420777000, 0.0665975000, 0.1307956000, 0.2999875000", \ - "0.0274534000, 0.0288194000, 0.0324597000, 0.0417959000, 0.0663864000, 0.1306811000, 0.2999458000", \ - "0.0271628000, 0.0285511000, 0.0321104000, 0.0414707000, 0.0660676000, 0.1304455000, 0.2999232000", \ - "0.0269173000, 0.0282571000, 0.0317569000, 0.0411360000, 0.0657356000, 0.1300649000, 0.2996300000", \ - "0.0268654000, 0.0282397000, 0.0317743000, 0.0411295000, 0.0656955000, 0.1299215000, 0.2994509000", \ - "0.0269538000, 0.0282116000, 0.0316524000, 0.0410456000, 0.0659145000, 0.1305571000, 0.2996174000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013213260, 0.0034918040, 0.0092276230, 0.0243853900, 0.0644421000, 0.1702980000"); - values("0.0062229000, 0.0050005000, 0.0016464000, -0.007472300, -0.031905400, -0.096727700, -0.268193100", \ - "0.0059191000, 0.0047246000, 0.0014715000, -0.007513900, -0.031840700, -0.096599100, -0.268027600", \ - "0.0055284000, 0.0043553000, 0.0011268000, -0.007730800, -0.031881100, -0.096521900, -0.267897900", \ - "0.0050960000, 0.0038694000, 0.0005659000, -0.008140600, -0.032157100, -0.096620100, -0.267882800", \ - "0.0050398000, 0.0037611000, 0.0003760000, -0.008556000, -0.032570800, -0.096890800, -0.267976900", \ - "0.0060394000, 0.0046391000, 0.0010462000, -0.008191800, -0.032779100, -0.097278300, -0.268259600", \ - "0.0089279000, 0.0074329000, 0.0035294000, -0.006289500, -0.031388500, -0.097044700, -0.267946600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013213260, 0.0034918040, 0.0092276230, 0.0243853900, 0.0644421000, 0.1702980000"); - values("0.0149157000, 0.0163246000, 0.0199769000, 0.0294338000, 0.0539293000, 0.1181076000, 0.2873203000", \ - "0.0145136000, 0.0158807000, 0.0195620000, 0.0291177000, 0.0537843000, 0.1181093000, 0.2874069000", \ - "0.0140011000, 0.0153914000, 0.0190103000, 0.0286545000, 0.0533587000, 0.1178811000, 0.2873463000", \ - "0.0135988000, 0.0149756000, 0.0186103000, 0.0277805000, 0.0527312000, 0.1176024000, 0.2873354000", \ - "0.0135414000, 0.0148682000, 0.0185865000, 0.0278373000, 0.0522225000, 0.1169679000, 0.2868028000", \ - "0.0147041000, 0.0159954000, 0.0194114000, 0.0286880000, 0.0528491000, 0.1168765000, 0.2863155000", \ - "0.0178042000, 0.0189653000, 0.0221371000, 0.0307463000, 0.0546094000, 0.1178879000, 0.2868183000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013213260, 0.0034918040, 0.0092276230, 0.0243853900, 0.0644421000, 0.1702980000"); - values("0.0078991000, 0.0066418000, 0.0032345000, -0.005934800, -0.030413300, -0.095257200, -0.266731600", \ - "0.0076270000, 0.0064022000, 0.0030982000, -0.005951700, -0.030326000, -0.095122600, -0.266558100", \ - "0.0071470000, 0.0059723000, 0.0027393000, -0.006153500, -0.030356500, -0.095041300, -0.266430500", \ - "0.0065818000, 0.0053766000, 0.0021298000, -0.006645900, -0.030623600, -0.095108100, -0.266400300", \ - "0.0065353000, 0.0052706000, 0.0017061000, -0.007212100, -0.031115600, -0.095362600, -0.266466800", \ - "0.0067183000, 0.0053946000, 0.0019178000, -0.007260300, -0.031655800, -0.095857000, -0.266776100", \ - "0.0088379000, 0.0073997000, 0.0036529000, -0.006004600, -0.030825300, -0.095846900, -0.266930400"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013213260, 0.0034918040, 0.0092276230, 0.0243853900, 0.0644421000, 0.1702980000"); - values("0.0222557000, 0.0236008000, 0.0271516000, 0.0365373000, 0.0609546000, 0.1251481000, 0.2944266000", \ - "0.0218878000, 0.0232437000, 0.0267960000, 0.0362251000, 0.0607881000, 0.1250185000, 0.2943806000", \ - "0.0215074000, 0.0228775000, 0.0264431000, 0.0358711000, 0.0605644000, 0.1249566000, 0.2942949000", \ - "0.0211475000, 0.0224928000, 0.0261292000, 0.0354439000, 0.0601030000, 0.1246315000, 0.2942254000", \ - "0.0210592000, 0.0223880000, 0.0259751000, 0.0352739000, 0.0597879000, 0.1243172000, 0.2940121000", \ - "0.0222192000, 0.0235429000, 0.0269932000, 0.0360682000, 0.0603528000, 0.1242280000, 0.2937864000", \ - "0.0253721000, 0.0266246000, 0.0297633000, 0.0385450000, 0.0623568000, 0.1261273000, 0.2942414000"); - } - } - max_capacitance : 0.1702980000; - max_transition : 1.9552060000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0421782000, 0.0448446000, 0.0515205000, 0.0688988000, 0.1126086000, 0.2251632000, 0.5195483000", \ - "0.0460677000, 0.0488645000, 0.0556473000, 0.0731942000, 0.1167532000, 0.2293376000, 0.5236545000", \ - "0.0560682000, 0.0587835000, 0.0657040000, 0.0830487000, 0.1267964000, 0.2394082000, 0.5336773000", \ - "0.0779320000, 0.0805323000, 0.0883653000, 0.1063408000, 0.1500817000, 0.2626107000, 0.5575690000", \ - "0.1070600000, 0.1118676000, 0.1236348000, 0.1497148000, 0.2045251000, 0.3186732000, 0.6132189000", \ - "0.1357297000, 0.1430788000, 0.1604912000, 0.2008994000, 0.2842524000, 0.4406974000, 0.7414501000", \ - "0.1447044000, 0.1553877000, 0.1818583000, 0.2427473000, 0.3687074000, 0.6092759000, 1.0222753000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.1077597000, 0.1146122000, 0.1329025000, 0.1794219000, 0.3004827000, 0.6172912000, 1.4545951000", \ - "0.1122030000, 0.1193507000, 0.1373310000, 0.1846544000, 0.3060347000, 0.6235312000, 1.4590509000", \ - "0.1243176000, 0.1312563000, 0.1495781000, 0.1968545000, 0.3188702000, 0.6367724000, 1.4727223000", \ - "0.1521616000, 0.1588507000, 0.1769476000, 0.2237069000, 0.3463576000, 0.6650661000, 1.5014839000", \ - "0.2083333000, 0.2160067000, 0.2356719000, 0.2827056000, 0.4045544000, 0.7233957000, 1.5616051000", \ - "0.3020498000, 0.3123304000, 0.3376935000, 0.3992075000, 0.5361643000, 0.8557633000, 1.6936080000", \ - "0.4501425000, 0.4670221000, 0.5073584000, 0.5967885000, 0.7828728000, 1.1588791000, 2.0009330000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0345132000, 0.0377862000, 0.0460416000, 0.0681136000, 0.1252731000, 0.2768773000, 0.6770925000", \ - "0.0344206000, 0.0376431000, 0.0460293000, 0.0677340000, 0.1251830000, 0.2770056000, 0.6760086000", \ - "0.0342979000, 0.0373766000, 0.0455346000, 0.0677057000, 0.1252052000, 0.2768502000, 0.6751702000", \ - "0.0440643000, 0.0472779000, 0.0543010000, 0.0728891000, 0.1259966000, 0.2765930000, 0.6763316000", \ - "0.0664321000, 0.0705559000, 0.0803875000, 0.1026884000, 0.1497134000, 0.2820046000, 0.6761625000", \ - "0.1068869000, 0.1128883000, 0.1280160000, 0.1605676000, 0.2237099000, 0.3480481000, 0.6894975000", \ - "0.1765317000, 0.1862124000, 0.2091092000, 0.2601636000, 0.3564893000, 0.5242724000, 0.8424153000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0749059000, 0.0838757000, 0.1077232000, 0.1701075000, 0.3351348000, 0.7685707000, 1.9209885000", \ - "0.0748832000, 0.0839821000, 0.1075642000, 0.1702321000, 0.3350063000, 0.7687551000, 1.9146473000", \ - "0.0749686000, 0.0839391000, 0.1077308000, 0.1701251000, 0.3348740000, 0.7680953000, 1.9122008000", \ - "0.0752623000, 0.0841442000, 0.1077084000, 0.1702528000, 0.3346650000, 0.7682311000, 1.9123556000", \ - "0.0877417000, 0.0954515000, 0.1166256000, 0.1750154000, 0.3351527000, 0.7679956000, 1.9196447000", \ - "0.1245771000, 0.1337512000, 0.1571502000, 0.2147775000, 0.3587766000, 0.7707210000, 1.9134296000", \ - "0.2065131000, 0.2178294000, 0.2465850000, 0.3132428000, 0.4679290000, 0.8363426000, 1.9201192000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0481648000, 0.0508964000, 0.0575923000, 0.0749838000, 0.1185669000, 0.2312125000, 0.5254469000", \ - "0.0525724000, 0.0551779000, 0.0620686000, 0.0794901000, 0.1230784000, 0.2357065000, 0.5299847000", \ - "0.0617031000, 0.0644561000, 0.0713398000, 0.0888223000, 0.1324146000, 0.2450455000, 0.5394982000", \ - "0.0809216000, 0.0839956000, 0.0913732000, 0.1096366000, 0.1537236000, 0.2664791000, 0.5611658000", \ - "0.1104308000, 0.1146466000, 0.1249388000, 0.1484623000, 0.2001534000, 0.3154294000, 0.6106229000", \ - "0.1435571000, 0.1497613000, 0.1657659000, 0.2011905000, 0.2743670000, 0.4187145000, 0.7246746000", \ - "0.1601055000, 0.1704240000, 0.1934932000, 0.2495159000, 0.3646819000, 0.5775646000, 0.9605292000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.1319063000, 0.1391147000, 0.1569770000, 0.2038662000, 0.3252897000, 0.6441560000, 1.4843562000", \ - "0.1368250000, 0.1437715000, 0.1618555000, 0.2084377000, 0.3302663000, 0.6495229000, 1.4915488000", \ - "0.1496144000, 0.1565263000, 0.1743164000, 0.2211661000, 0.3435853000, 0.6629836000, 1.5035843000", \ - "0.1779031000, 0.1839401000, 0.2026484000, 0.2496776000, 0.3721211000, 0.6919266000, 1.5331164000", \ - "0.2368234000, 0.2440104000, 0.2617366000, 0.3084012000, 0.4306861000, 0.7512652000, 1.5927308000", \ - "0.3411076000, 0.3503185000, 0.3726421000, 0.4311761000, 0.5633324000, 0.8838879000, 1.7256736000", \ - "0.5111238000, 0.5251774000, 0.5600302000, 0.6412877000, 0.8172625000, 1.1874211000, 2.0322448000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0344651000, 0.0377197000, 0.0460563000, 0.0678626000, 0.1251703000, 0.2761827000, 0.6752054000", \ - "0.0344762000, 0.0376697000, 0.0460412000, 0.0678919000, 0.1251928000, 0.2766418000, 0.6771525000", \ - "0.0343781000, 0.0374527000, 0.0457460000, 0.0676498000, 0.1251681000, 0.2768928000, 0.6767127000", \ - "0.0398628000, 0.0428339000, 0.0504833000, 0.0702503000, 0.1255673000, 0.2765330000, 0.6767237000", \ - "0.0577241000, 0.0610083000, 0.0695843000, 0.0901340000, 0.1402511000, 0.2796135000, 0.6763860000", \ - "0.0939009000, 0.0987277000, 0.1106227000, 0.1371582000, 0.1932572000, 0.3227529000, 0.6842079000", \ - "0.1599799000, 0.1673012000, 0.1841675000, 0.2229876000, 0.3007408000, 0.4523304000, 0.7833291000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0946506000, 0.1036645000, 0.1276137000, 0.1912633000, 0.3570903000, 0.7965856000, 1.9456299000", \ - "0.0942844000, 0.1034103000, 0.1279215000, 0.1909383000, 0.3575304000, 0.7944250000, 1.9487965000", \ - "0.0944147000, 0.1034481000, 0.1279744000, 0.1909865000, 0.3574378000, 0.7939674000, 1.9456528000", \ - "0.0941961000, 0.1038070000, 0.1277282000, 0.1910446000, 0.3572115000, 0.7934261000, 1.9464892000", \ - "0.1028313000, 0.1114107000, 0.1340359000, 0.1940405000, 0.3575240000, 0.7953779000, 1.9475845000", \ - "0.1393408000, 0.1481917000, 0.1715103000, 0.2295307000, 0.3767050000, 0.7967990000, 1.9461066000", \ - "0.2218860000, 0.2326443000, 0.2606076000, 0.3270681000, 0.4815804000, 0.8572366000, 1.9535267000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0238102000, 0.0263642000, 0.0324772000, 0.0486333000, 0.0900711000, 0.1993605000, 0.4877124000", \ - "0.0277902000, 0.0302305000, 0.0366823000, 0.0529131000, 0.0946057000, 0.2039062000, 0.4923502000", \ - "0.0368435000, 0.0399675000, 0.0466310000, 0.0628950000, 0.1049183000, 0.2145043000, 0.5030907000", \ - "0.0481016000, 0.0525060000, 0.0633899000, 0.0847905000, 0.1287335000, 0.2385377000, 0.5269979000", \ - "0.0577204000, 0.0647832000, 0.0810368000, 0.1156225000, 0.1786106000, 0.2940363000, 0.5825956000", \ - "0.0568766000, 0.0673028000, 0.0924279000, 0.1443947000, 0.2419464000, 0.4100557000, 0.7112809000", \ - "0.0206273000, 0.0368504000, 0.0751351000, 0.1545184000, 0.3044999000, 0.5618455000, 0.9880914000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0776268000, 0.0843415000, 0.1028672000, 0.1497842000, 0.2706490000, 0.5866600000, 1.4195711000", \ - "0.0808056000, 0.0876204000, 0.1061093000, 0.1534174000, 0.2749058000, 0.5917177000, 1.4247628000", \ - "0.0904884000, 0.0976787000, 0.1155453000, 0.1629775000, 0.2851522000, 0.6024315000, 1.4359830000", \ - "0.1176736000, 0.1243782000, 0.1421627000, 0.1879446000, 0.3104513000, 0.6285873000, 1.4629330000", \ - "0.1769812000, 0.1856436000, 0.2068699000, 0.2538618000, 0.3745589000, 0.6923992000, 1.5281303000", \ - "0.2715637000, 0.2849737000, 0.3167447000, 0.3879750000, 0.5287737000, 0.8425899000, 1.6760039000", \ - "0.4248907000, 0.4439305000, 0.4899014000, 0.5960474000, 0.8110466000, 1.2002167000, 2.0265347000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0281387000, 0.0312101000, 0.0393176000, 0.0605236000, 0.1165623000, 0.2645282000, 0.6558970000", \ - "0.0280674000, 0.0311593000, 0.0392974000, 0.0605148000, 0.1164649000, 0.2644246000, 0.6551227000", \ - "0.0323462000, 0.0347385000, 0.0414790000, 0.0609714000, 0.1165996000, 0.2645288000, 0.6558546000", \ - "0.0475514000, 0.0501156000, 0.0564505000, 0.0723483000, 0.1192510000, 0.2644867000, 0.6552427000", \ - "0.0766369000, 0.0797353000, 0.0876672000, 0.1071092000, 0.1500836000, 0.2727831000, 0.6555012000", \ - "0.1284590000, 0.1330619000, 0.1445539000, 0.1719863000, 0.2286338000, 0.3451597000, 0.6716016000", \ - "0.2199751000, 0.2277143000, 0.2442156000, 0.2836971000, 0.3673617000, 0.5258096000, 0.8315629000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0744368000, 0.0836169000, 0.1072532000, 0.1696904000, 0.3335860000, 0.7650389000, 1.9040645000", \ - "0.0745413000, 0.0836190000, 0.1073841000, 0.1698056000, 0.3336421000, 0.7678211000, 1.9051181000", \ - "0.0739211000, 0.0832541000, 0.1071570000, 0.1697464000, 0.3339221000, 0.7656125000, 1.9044162000", \ - "0.0761565000, 0.0845665000, 0.1069964000, 0.1691873000, 0.3337098000, 0.7666996000, 1.9044335000", \ - "0.1038290000, 0.1123534000, 0.1307855000, 0.1820275000, 0.3343954000, 0.7653783000, 1.9094260000", \ - "0.1533883000, 0.1646535000, 0.1907966000, 0.2503169000, 0.3765937000, 0.7675616000, 1.9048139000", \ - "0.2350982000, 0.2513333000, 0.2916893000, 0.3792144000, 0.5427799000, 0.8751275000, 1.9169717000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0300747000, 0.0324039000, 0.0387024000, 0.0545346000, 0.0959780000, 0.2052522000, 0.4935243000", \ - "0.0343471000, 0.0367642000, 0.0430928000, 0.0592286000, 0.1009288000, 0.2101436000, 0.4985832000", \ - "0.0428499000, 0.0454731000, 0.0521105000, 0.0685586000, 0.1103723000, 0.2198901000, 0.5083290000", \ - "0.0553976000, 0.0591527000, 0.0680115000, 0.0878272000, 0.1314502000, 0.2414690000, 0.5301765000", \ - "0.0688261000, 0.0746853000, 0.0886120000, 0.1174621000, 0.1738778000, 0.2901850000, 0.5797512000", \ - "0.0732996000, 0.0828844000, 0.1051163000, 0.1508832000, 0.2367535000, 0.3889890000, 0.6912043000", \ - "0.0427571000, 0.0582965000, 0.0937468000, 0.1675563000, 0.3040153000, 0.5351535000, 0.9274179000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.1027106000, 0.1094347000, 0.1276784000, 0.1742858000, 0.2956839000, 0.6153277000, 1.4588174000", \ - "0.1053944000, 0.1127984000, 0.1308571000, 0.1779493000, 0.2998597000, 0.6191440000, 1.4596803000", \ - "0.1160588000, 0.1223469000, 0.1409346000, 0.1884821000, 0.3107120000, 0.6302186000, 1.4707795000", \ - "0.1433025000, 0.1499635000, 0.1679891000, 0.2139898000, 0.3370249000, 0.6569366000, 1.4979363000", \ - "0.2089540000, 0.2160380000, 0.2349278000, 0.2807745000, 0.4018694000, 0.7217701000, 1.5637728000", \ - "0.3208701000, 0.3321365000, 0.3600268000, 0.4227328000, 0.5580574000, 0.8728545000, 1.7130922000", \ - "0.4989887000, 0.5156974000, 0.5562311000, 0.6519243000, 0.8533469000, 1.2341721000, 2.0639541000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0280265000, 0.0311115000, 0.0392027000, 0.0604056000, 0.1162969000, 0.2644525000, 0.6554606000", \ - "0.0280585000, 0.0311440000, 0.0392156000, 0.0604369000, 0.1164563000, 0.2641612000, 0.6557738000", \ - "0.0299269000, 0.0326947000, 0.0401369000, 0.0605445000, 0.1164621000, 0.2643502000, 0.6551811000", \ - "0.0402163000, 0.0426772000, 0.0492626000, 0.0666896000, 0.1181004000, 0.2643605000, 0.6557598000", \ - "0.0632824000, 0.0660424000, 0.0732132000, 0.0913426000, 0.1369800000, 0.2696605000, 0.6555416000", \ - "0.1085124000, 0.1120579000, 0.1212413000, 0.1438768000, 0.1960338000, 0.3174138000, 0.6685524000", \ - "0.1941800000, 0.1985870000, 0.2106284000, 0.2416853000, 0.3089391000, 0.4515554000, 0.7722415000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0941757000, 0.1033816000, 0.1278126000, 0.1912664000, 0.3570691000, 0.7941625000, 1.9502508000", \ - "0.0944871000, 0.1035352000, 0.1275584000, 0.1909944000, 0.3572316000, 0.7939027000, 1.9468649000", \ - "0.0944391000, 0.1035243000, 0.1277074000, 0.1908827000, 0.3572597000, 0.7940446000, 1.9456268000", \ - "0.0937797000, 0.1027324000, 0.1268958000, 0.1908546000, 0.3572594000, 0.7941831000, 1.9473754000", \ - "0.1154411000, 0.1225956000, 0.1424991000, 0.1979573000, 0.3570234000, 0.7944509000, 1.9517445000", \ - "0.1690734000, 0.1794334000, 0.2044881000, 0.2622857000, 0.3947289000, 0.7958114000, 1.9463886000", \ - "0.2593867000, 0.2751221000, 0.3124466000, 0.3926242000, 0.5563461000, 0.8989355000, 1.9552060000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a22oi_4") { - leakage_power () { - value : 0.0020851000; - when : "!A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0303796000; - when : "!A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0052827000; - when : "!A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0016600000; - when : "!A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0038277000; - when : "!A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0316658000; - when : "!A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0052827000; - when : "!A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0034003000; - when : "!A1&A2&B1&!B2"; - } - leakage_power () { - value : 0.0034013000; - when : "A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0516426000; - when : "A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0052827000; - when : "A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0029795000; - when : "A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0030315000; - when : "A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0726102000; - when : "A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0034066000; - when : "A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0031381000; - when : "A1&A2&B1&!B2"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__a22oi"; - cell_leakage_power : 0.0143172700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0083100000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0080080000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0178772000, 0.0178987000, 0.0179483000, 0.0179495000, 0.0179525000, 0.0179596000, 0.0179759000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.012679300, -0.012695000, -0.012731400, -0.012697500, -0.012619300, -0.012439100, -0.012023600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0086130000; - } - pin ("A2") { - capacitance : 0.0086170000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081530000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0156594000, 0.0156545000, 0.0156434000, 0.0156434000, 0.0156435000, 0.0156437000, 0.0156443000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015608100, -0.015607000, -0.015604400, -0.015604500, -0.015604800, -0.015605500, -0.015607100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090810000; - } - pin ("B1") { - capacitance : 0.0083380000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078820000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0181848000, 0.0181864000, 0.0181902000, 0.0181943000, 0.0182038000, 0.0182258000, 0.0182763000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.012980600, -0.012988800, -0.013007300, -0.012978200, -0.012910700, -0.012755200, -0.012396800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087950000; - } - pin ("B2") { - capacitance : 0.0085430000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078830000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0157805000, 0.0157648000, 0.0157285000, 0.0157282000, 0.0157273000, 0.0157254000, 0.0157209000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015714000, -0.015708500, -0.015695700, -0.015695200, -0.015693800, -0.015690400, -0.015682800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092040000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A2&!B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0253393000, 0.0238166000, 0.0194834000, 0.0067331000, -0.029981200, -0.137263900, -0.448252100", \ - "0.0250118000, 0.0234531000, 0.0191466000, 0.0064756000, -0.030277500, -0.137523700, -0.448488500", \ - "0.0243290000, 0.0228136000, 0.0185291000, 0.0059329000, -0.030655100, -0.137760300, -0.448838500", \ - "0.0234157000, 0.0219365000, 0.0176373000, 0.0051878000, -0.031252900, -0.138015200, -0.448920400", \ - "0.0227697000, 0.0212915000, 0.0169648000, 0.0043793000, -0.031945300, -0.138336600, -0.449000200", \ - "0.0236092000, 0.0220708000, 0.0176135000, 0.0047512000, -0.032514900, -0.138894100, -0.449434700", \ - "0.0269242000, 0.0253514000, 0.0210235000, 0.0075713000, -0.029903300, -0.138814700, -0.448752700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0402919000, 0.0418603000, 0.0463599000, 0.0593540000, 0.0963766000, 0.2030235000, 0.5105981000", \ - "0.0396315000, 0.0412097000, 0.0457499000, 0.0589367000, 0.0962026000, 0.2030137000, 0.5105751000", \ - "0.0385817000, 0.0401560000, 0.0447798000, 0.0580144000, 0.0956433000, 0.2025323000, 0.5105666000", \ - "0.0377043000, 0.0392728000, 0.0438029000, 0.0569959000, 0.0947207000, 0.2019771000, 0.5101401000", \ - "0.0370570000, 0.0386016000, 0.0431381000, 0.0561964000, 0.0935107000, 0.2009108000, 0.5094441000", \ - "0.0369368000, 0.0385339000, 0.0429972000, 0.0559685000, 0.0932598000, 0.2000615000, 0.5086143000", \ - "0.0364563000, 0.0380043000, 0.0423323000, 0.0551678000, 0.0932039000, 0.2001956000, 0.5080979000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0279718000, 0.0264536000, 0.0220841000, 0.0093661000, -0.027352700, -0.134523600, -0.445687500", \ - "0.0276738000, 0.0261202000, 0.0217565000, 0.0090579000, -0.027753600, -0.134936700, -0.445806000", \ - "0.0271093000, 0.0256049000, 0.0212433000, 0.0086208000, -0.028008200, -0.135231600, -0.446230200", \ - "0.0263951000, 0.0249059000, 0.0205521000, 0.0080843000, -0.028525200, -0.135513800, -0.446425400", \ - "0.0257699000, 0.0242467000, 0.0199182000, 0.0074354000, -0.028796600, -0.135582000, -0.446381300", \ - "0.0263242000, 0.0247945000, 0.0203930000, 0.0076328000, -0.029703900, -0.136231700, -0.446575800", \ - "0.0290966000, 0.0275283000, 0.0229168000, 0.0098408000, -0.027593600, -0.135669800, -0.446629300"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0546029000, 0.0560109000, 0.0604943000, 0.0734170000, 0.1105456000, 0.2170325000, 0.5246839000", \ - "0.0539013000, 0.0556769000, 0.0600105000, 0.0729848000, 0.1101896000, 0.2168797000, 0.5244711000", \ - "0.0533042000, 0.0549145000, 0.0595183000, 0.0724907000, 0.1098150000, 0.2165619000, 0.5242626000", \ - "0.0527949000, 0.0543645000, 0.0587675000, 0.0717847000, 0.1092680000, 0.2162712000, 0.5242186000", \ - "0.0521650000, 0.0537193000, 0.0582479000, 0.0712470000, 0.1084787000, 0.2156312000, 0.5236947000", \ - "0.0521116000, 0.0536901000, 0.0582176000, 0.0713985000, 0.1083180000, 0.2151139000, 0.5234957000", \ - "0.0517065000, 0.0531488000, 0.0576538000, 0.0706600000, 0.1084715000, 0.2156389000, 0.5234586000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0099202000, 0.0085240000, 0.0043539000, -0.008147200, -0.045132000, -0.152700600, -0.464295100", \ - "0.0093598000, 0.0080191000, 0.0040004000, -0.008269100, -0.044987300, -0.152402700, -0.463908700", \ - "0.0086646000, 0.0073704000, 0.0032791000, -0.008713100, -0.045064400, -0.152192600, -0.463572900", \ - "0.0076887000, 0.0063839000, 0.0025116000, -0.009553400, -0.045594400, -0.152355600, -0.463497600", \ - "0.0076197000, 0.0061578000, 0.0019488000, -0.010198400, -0.046345500, -0.152799600, -0.463589300", \ - "0.0089823000, 0.0074544000, 0.0029330000, -0.009858200, -0.046881000, -0.153635800, -0.464135200", \ - "0.0133372000, 0.0115279000, 0.0066488000, -0.007016800, -0.045056400, -0.151575400, -0.464439800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0286357000, 0.0302416000, 0.0349134000, 0.0481555000, 0.0853579000, 0.1918005000, 0.4997312000", \ - "0.0277371000, 0.0293301000, 0.0339826000, 0.0473716000, 0.0851219000, 0.1918103000, 0.4995425000", \ - "0.0263707000, 0.0280376000, 0.0328773000, 0.0461488000, 0.0842712000, 0.1913577000, 0.4993692000", \ - "0.0256030000, 0.0272195000, 0.0318664000, 0.0452329000, 0.0829353000, 0.1906211000, 0.4990588000", \ - "0.0259316000, 0.0274899000, 0.0319098000, 0.0449138000, 0.0821702000, 0.1894037000, 0.4985164000", \ - "0.0269195000, 0.0284220000, 0.0327692000, 0.0454918000, 0.0819551000, 0.1878909000, 0.4974165000", \ - "0.0314679000, 0.0328687000, 0.0369521000, 0.0489235000, 0.0849531000, 0.1903373000, 0.4950249000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0131204000, 0.0117030000, 0.0074898000, -0.005056400, -0.042057800, -0.149630300, -0.461187300", \ - "0.0125771000, 0.0112149000, 0.0071690000, -0.005139900, -0.041888900, -0.149302900, -0.460822900", \ - "0.0116771000, 0.0103438000, 0.0063885000, -0.005615400, -0.041979000, -0.149140300, -0.460534700", \ - "0.0106193000, 0.0092544000, 0.0052450000, -0.006628200, -0.042569300, -0.149298900, -0.460446000", \ - "0.0106015000, 0.0091561000, 0.0046974000, -0.007697900, -0.043541100, -0.149831900, -0.460566800", \ - "0.0108807000, 0.0093532000, 0.0049454000, -0.007693000, -0.044258700, -0.150816700, -0.461151000", \ - "0.0145946000, 0.0129212000, 0.0081711000, -0.005213300, -0.042997600, -0.150556700, -0.461570000"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0431284000, 0.0446411000, 0.0492576000, 0.0621391000, 0.0992362000, 0.2059276000, 0.5134908000", \ - "0.0422546000, 0.0438113000, 0.0483958000, 0.0615141000, 0.0988625000, 0.2055256000, 0.5132624000", \ - "0.0415875000, 0.0429713000, 0.0476036000, 0.0607664000, 0.0983289000, 0.2052475000, 0.5132364000", \ - "0.0408873000, 0.0425818000, 0.0468208000, 0.0599476000, 0.0975134000, 0.2048133000, 0.5130078000", \ - "0.0405113000, 0.0420736000, 0.0466158000, 0.0595140000, 0.0968406000, 0.2042165000, 0.5125959000", \ - "0.0439760000, 0.0454630000, 0.0478574000, 0.0606996000, 0.0973025000, 0.2038405000, 0.5123072000", \ - "0.0479204000, 0.0492427000, 0.0530556000, 0.0653258000, 0.1012893000, 0.2072600000, 0.5150428000"); - } - } - max_capacitance : 0.2938940000; - max_transition : 1.9330300000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0417445000, 0.0435681000, 0.0485145000, 0.0619497000, 0.0985133000, 0.2003095000, 0.4901049000", \ - "0.0458038000, 0.0475463000, 0.0524354000, 0.0660325000, 0.1025963000, 0.2043993000, 0.4942327000", \ - "0.0554042000, 0.0571813000, 0.0622079000, 0.0758136000, 0.1123753000, 0.2143615000, 0.5041227000", \ - "0.0770916000, 0.0792248000, 0.0849485000, 0.0993265000, 0.1358835000, 0.2372621000, 0.5274726000", \ - "0.1041311000, 0.1072230000, 0.1156826000, 0.1368496000, 0.1862697000, 0.2923346000, 0.5813445000", \ - "0.1277344000, 0.1324649000, 0.1453635000, 0.1775102000, 0.2529581000, 0.4045462000, 0.7077506000", \ - "0.1215629000, 0.1286769000, 0.1480033000, 0.1989488000, 0.3141817000, 0.5482430000, 0.9758004000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.1164222000, 0.1210808000, 0.1345229000, 0.1723089000, 0.2790601000, 0.5843661000, 1.4653841000", \ - "0.1209319000, 0.1255380000, 0.1388080000, 0.1774753000, 0.2847454000, 0.5904908000, 1.4716270000", \ - "0.1326454000, 0.1375862000, 0.1509966000, 0.1898092000, 0.2977342000, 0.6043214000, 1.4864336000", \ - "0.1623014000, 0.1668592000, 0.1806140000, 0.2189379000, 0.3271789000, 0.6349473000, 1.5178909000", \ - "0.2251698000, 0.2303825000, 0.2444336000, 0.2823281000, 0.3895800000, 0.6978479000, 1.5811403000", \ - "0.3346391000, 0.3413004000, 0.3599990000, 0.4094291000, 0.5310003000, 0.8397888000, 1.7239398000", \ - "0.5172891000, 0.5269347000, 0.5561922000, 0.6296463000, 0.7973325000, 1.1625448000, 2.0547104000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0340878000, 0.0361235000, 0.0422447000, 0.0592769000, 0.1086477000, 0.2511921000, 0.6649156000", \ - "0.0339891000, 0.0361047000, 0.0421220000, 0.0593126000, 0.1084602000, 0.2512084000, 0.6650505000", \ - "0.0337524000, 0.0358148000, 0.0417741000, 0.0588173000, 0.1084213000, 0.2511625000, 0.6650423000", \ - "0.0430323000, 0.0451689000, 0.0508253000, 0.0651425000, 0.1099980000, 0.2509584000, 0.6655157000", \ - "0.0634686000, 0.0662911000, 0.0739823000, 0.0930318000, 0.1371711000, 0.2590482000, 0.6650379000", \ - "0.1025690000, 0.1067395000, 0.1178916000, 0.1449141000, 0.2048187000, 0.3308241000, 0.6837850000", \ - "0.1706656000, 0.1772532000, 0.1956361000, 0.2374549000, 0.3231373000, 0.4923946000, 0.8448724000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0779312000, 0.0839445000, 0.1010797000, 0.1512969000, 0.2956210000, 0.7134809000, 1.9131829000", \ - "0.0779733000, 0.0839049000, 0.1011784000, 0.1511343000, 0.2954056000, 0.7137815000, 1.9184689000", \ - "0.0779562000, 0.0839733000, 0.1012305000, 0.1509693000, 0.2955611000, 0.7106135000, 1.9199820000", \ - "0.0780272000, 0.0839978000, 0.1013013000, 0.1510399000, 0.2955087000, 0.7138344000, 1.9173543000", \ - "0.0873177000, 0.0924887000, 0.1082702000, 0.1552651000, 0.2962257000, 0.7142522000, 1.9131268000", \ - "0.1226244000, 0.1287622000, 0.1455350000, 0.1923661000, 0.3182650000, 0.7143448000, 1.9134858000", \ - "0.2027936000, 0.2099108000, 0.2309023000, 0.2855137000, 0.4225924000, 0.7759873000, 1.9221404000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0474738000, 0.0491836000, 0.0541107000, 0.0676458000, 0.1042513000, 0.2059293000, 0.4956935000", \ - "0.0517628000, 0.0534818000, 0.0583649000, 0.0719101000, 0.1085038000, 0.2102347000, 0.4997607000", \ - "0.0602761000, 0.0620712000, 0.0669906000, 0.0805443000, 0.1171903000, 0.2190245000, 0.5088149000", \ - "0.0778102000, 0.0797662000, 0.0851350000, 0.0994629000, 0.1365885000, 0.2387385000, 0.5286689000", \ - "0.1045198000, 0.1068015000, 0.1139547000, 0.1328730000, 0.1771505000, 0.2833234000, 0.5745071000", \ - "0.1312719000, 0.1352654000, 0.1462351000, 0.1740410000, 0.2381320000, 0.3732429000, 0.6790359000", \ - "0.1339625000, 0.1401325000, 0.1569483000, 0.2007458000, 0.3010077000, 0.5036428000, 0.8899688000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.1403011000, 0.1449765000, 0.1580999000, 0.1948136000, 0.3006424000, 0.6038869000, 1.4792638000", \ - "0.1448539000, 0.1488527000, 0.1630592000, 0.1999835000, 0.3061056000, 0.6093294000, 1.4862901000", \ - "0.1579123000, 0.1623242000, 0.1756708000, 0.2126936000, 0.3194477000, 0.6229902000, 1.4983709000", \ - "0.1864067000, 0.1915839000, 0.2049051000, 0.2424699000, 0.3491344000, 0.6530611000, 1.5273720000", \ - "0.2475735000, 0.2526837000, 0.2657055000, 0.3028457000, 0.4097611000, 0.7138452000, 1.5882202000", \ - "0.3585175000, 0.3649444000, 0.3819160000, 0.4265593000, 0.5437800000, 0.8484420000, 1.7242338000", \ - "0.5465813000, 0.5558322000, 0.5802979000, 0.6451184000, 0.7994862000, 1.1551017000, 2.0362965000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0340117000, 0.0361221000, 0.0421537000, 0.0593012000, 0.1083913000, 0.2512797000, 0.6646033000", \ - "0.0339587000, 0.0360765000, 0.0421134000, 0.0592495000, 0.1085006000, 0.2511415000, 0.6641467000", \ - "0.0338392000, 0.0359198000, 0.0419009000, 0.0590302000, 0.1084856000, 0.2510722000, 0.6653167000", \ - "0.0391383000, 0.0411208000, 0.0467295000, 0.0623502000, 0.1095170000, 0.2512552000, 0.6651164000", \ - "0.0552646000, 0.0573132000, 0.0636014000, 0.0805666000, 0.1257285000, 0.2559055000, 0.6643457000", \ - "0.0894548000, 0.0926127000, 0.1008802000, 0.1225156000, 0.1734830000, 0.3007174000, 0.6756755000", \ - "0.1529864000, 0.1575951000, 0.1698229000, 0.2010118000, 0.2692203000, 0.4199210000, 0.7748576000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0995492000, 0.1053329000, 0.1224722000, 0.1724776000, 0.3162268000, 0.7306545000, 1.9279787000", \ - "0.0992519000, 0.1057550000, 0.1224851000, 0.1724560000, 0.3161712000, 0.7313938000, 1.9287429000", \ - "0.0992181000, 0.1051971000, 0.1228983000, 0.1723709000, 0.3161570000, 0.7293468000, 1.9263071000", \ - "0.0996254000, 0.1054479000, 0.1224923000, 0.1726829000, 0.3163019000, 0.7324892000, 1.9253423000", \ - "0.1060969000, 0.1115181000, 0.1276325000, 0.1752453000, 0.3161072000, 0.7321900000, 1.9278825000", \ - "0.1397020000, 0.1457288000, 0.1623346000, 0.2094295000, 0.3365938000, 0.7337920000, 1.9310664000", \ - "0.2176954000, 0.2245951000, 0.2445315000, 0.2978962000, 0.4341381000, 0.7934992000, 1.9312560000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0226582000, 0.0243244000, 0.0286888000, 0.0411025000, 0.0756606000, 0.1747282000, 0.4606694000", \ - "0.0264655000, 0.0281009000, 0.0326891000, 0.0452622000, 0.0800505000, 0.1792922000, 0.4653158000", \ - "0.0350289000, 0.0370553000, 0.0425992000, 0.0551419000, 0.0902112000, 0.1897405000, 0.4758414000", \ - "0.0446733000, 0.0479641000, 0.0558396000, 0.0748424000, 0.1139526000, 0.2133936000, 0.4995565000", \ - "0.0505388000, 0.0554023000, 0.0681669000, 0.0979096000, 0.1572587000, 0.2685965000, 0.5544695000", \ - "0.0411346000, 0.0486037000, 0.0681659000, 0.1137236000, 0.2054904000, 0.3736105000, 0.6819510000", \ - "-0.014818300, -0.003589400, 0.0262403000, 0.0971367000, 0.2386578000, 0.4962242000, 0.9470810000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0818341000, 0.0867306000, 0.1004277000, 0.1386571000, 0.2455902000, 0.5505721000, 1.4286211000", \ - "0.0846807000, 0.0894617000, 0.1032309000, 0.1417232000, 0.2495788000, 0.5553151000, 1.4350769000", \ - "0.0937343000, 0.0986598000, 0.1127356000, 0.1513680000, 0.2598005000, 0.5661975000, 1.4453764000", \ - "0.1221416000, 0.1268239000, 0.1401532000, 0.1785294000, 0.2859888000, 0.5936474000, 1.4735303000", \ - "0.1870806000, 0.1928977000, 0.2079373000, 0.2469413000, 0.3513157000, 0.6607772000, 1.5411797000", \ - "0.2931430000, 0.3021253000, 0.3253662000, 0.3841138000, 0.5130201000, 0.8160209000, 1.6963490000", \ - "0.4714806000, 0.4841497000, 0.5203987000, 0.6081065000, 0.8046320000, 1.1918719000, 2.0601643000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0271302000, 0.0291179000, 0.0348428000, 0.0514067000, 0.0990824000, 0.2364862000, 0.6343001000", \ - "0.0270728000, 0.0290501000, 0.0348112000, 0.0513865000, 0.0989437000, 0.2361088000, 0.6341374000", \ - "0.0319334000, 0.0334337000, 0.0378968000, 0.0524807000, 0.0990795000, 0.2361028000, 0.6343665000", \ - "0.0467995000, 0.0484578000, 0.0534186000, 0.0659123000, 0.1044442000, 0.2362197000, 0.6341406000", \ - "0.0759947000, 0.0779900000, 0.0836371000, 0.0993737000, 0.1385738000, 0.2490606000, 0.6337847000", \ - "0.1286285000, 0.1314959000, 0.1395542000, 0.1611897000, 0.2128991000, 0.3278816000, 0.6554654000", \ - "0.2214663000, 0.2251100000, 0.2379311000, 0.2688567000, 0.3448621000, 0.5052693000, 0.8229272000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0761138000, 0.0821411000, 0.0993721000, 0.1496950000, 0.2937522000, 0.7106578000, 1.9181785000", \ - "0.0759807000, 0.0820037000, 0.0994890000, 0.1494486000, 0.2938463000, 0.7099293000, 1.9193587000", \ - "0.0755900000, 0.0817584000, 0.0991945000, 0.1492965000, 0.2936813000, 0.7096602000, 1.9119042000", \ - "0.0766757000, 0.0821098000, 0.0984037000, 0.1488819000, 0.2935907000, 0.7105493000, 1.9130819000", \ - "0.1027698000, 0.1077609000, 0.1204742000, 0.1609890000, 0.2943774000, 0.7121881000, 1.9127455000", \ - "0.1516066000, 0.1588885000, 0.1785447000, 0.2270490000, 0.3396299000, 0.7117802000, 1.9121646000", \ - "0.2340882000, 0.2450353000, 0.2752829000, 0.3475464000, 0.4984683000, 0.8203409000, 1.9191428000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0286168000, 0.0302352000, 0.0346828000, 0.0470228000, 0.0814442000, 0.1805408000, 0.4665177000", \ - "0.0327393000, 0.0343488000, 0.0388934000, 0.0514307000, 0.0861342000, 0.1853438000, 0.4713586000", \ - "0.0404568000, 0.0422719000, 0.0472108000, 0.0601012000, 0.0950977000, 0.1944753000, 0.4806038000", \ - "0.0510488000, 0.0535689000, 0.0601617000, 0.0767240000, 0.1144013000, 0.2144196000, 0.5010608000", \ - "0.0608858000, 0.0648255000, 0.0754091000, 0.0992794000, 0.1505566000, 0.2594478000, 0.5467063000", \ - "0.0578355000, 0.0642254000, 0.0806415000, 0.1190568000, 0.1975495000, 0.3450590000, 0.6504912000", \ - "0.0107234000, 0.0209699000, 0.0476450000, 0.1098294000, 0.2351581000, 0.4604083000, 0.8599036000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.1082230000, 0.1128985000, 0.1260021000, 0.1629210000, 0.2691893000, 0.5723120000, 1.4489692000", \ - "0.1111734000, 0.1157078000, 0.1291604000, 0.1663824000, 0.2728969000, 0.5763287000, 1.4512963000", \ - "0.1213297000, 0.1257096000, 0.1390407000, 0.1768964000, 0.2839203000, 0.5877378000, 1.4631052000", \ - "0.1481713000, 0.1531951000, 0.1650106000, 0.2029967000, 0.3101978000, 0.6147316000, 1.4891648000", \ - "0.2159227000, 0.2207934000, 0.2340453000, 0.2708777000, 0.3760712000, 0.6806518000, 1.5560234000", \ - "0.3354750000, 0.3426383000, 0.3623801000, 0.4140233000, 0.5349186000, 0.8361730000, 1.7102157000", \ - "0.5304325000, 0.5410480000, 0.5707648000, 0.6471319000, 0.8287259000, 1.1998541000, 2.0650193000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0271085000, 0.0290808000, 0.0348123000, 0.0513670000, 0.0989957000, 0.2360353000, 0.6343288000", \ - "0.0271474000, 0.0291171000, 0.0348236000, 0.0513541000, 0.0990049000, 0.2361856000, 0.6340432000", \ - "0.0292271000, 0.0309813000, 0.0361743000, 0.0518462000, 0.0990294000, 0.2363313000, 0.6337351000", \ - "0.0392879000, 0.0408697000, 0.0455711000, 0.0592449000, 0.1019316000, 0.2360102000, 0.6335341000", \ - "0.0617096000, 0.0635309000, 0.0685524000, 0.0827801000, 0.1229871000, 0.2438975000, 0.6335307000", \ - "0.1064480000, 0.1087860000, 0.1150951000, 0.1329393000, 0.1779336000, 0.2949763000, 0.6493002000", \ - "0.1924056000, 0.1948004000, 0.2029130000, 0.2260323000, 0.2856071000, 0.4205707000, 0.7542081000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0993889000, 0.1051368000, 0.1229124000, 0.1723519000, 0.3160406000, 0.7294441000, 1.9306530000", \ - "0.0990583000, 0.1051396000, 0.1224240000, 0.1724492000, 0.3161502000, 0.7304130000, 1.9322841000", \ - "0.0992892000, 0.1050649000, 0.1226380000, 0.1723956000, 0.3163194000, 0.7298038000, 1.9323505000", \ - "0.0983218000, 0.1043340000, 0.1215959000, 0.1721562000, 0.3169159000, 0.7295715000, 1.9249191000", \ - "0.1174946000, 0.1222487000, 0.1366366000, 0.1801790000, 0.3164289000, 0.7307575000, 1.9295123000", \ - "0.1700676000, 0.1770157000, 0.1965383000, 0.2435186000, 0.3573708000, 0.7333417000, 1.9313051000", \ - "0.2592366000, 0.2695661000, 0.2966724000, 0.3631665000, 0.5132976000, 0.8368187000, 1.9330302000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a2bb2o_1") { - leakage_power () { - value : 0.0023499000; - when : "!A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0023499000; - when : "!A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0016457000; - when : "!A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0023499000; - when : "!A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0078802000; - when : "!A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0076079000; - when : "!A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0022078000; - when : "!A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0081152000; - when : "!A1_N&A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0074059000; - when : "A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0071336000; - when : "A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0017335000; - when : "A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0076408000; - when : "A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0071031000; - when : "A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0068308000; - when : "A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0014307000; - when : "A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0073381000; - when : "A1_N&A2_N&B1&!B2"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__a2bb2o"; - cell_leakage_power : 0.0050702070; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1_N") { - capacitance : 0.0013830000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0084833000, 0.0084129000, 0.0082505000, 0.0082609000, 0.0082846000, 0.0083394000, 0.0084656000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0024068000, 0.0023673000, 0.0022761000, 0.0023179000, 0.0024144000, 0.0026367000, 0.0031491000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014180000; - } - pin ("A2_N") { - capacitance : 0.0014370000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013450000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0077209000, 0.0076270000, 0.0074107000, 0.0074649000, 0.0075898000, 0.0078778000, 0.0085417000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0017582000, 0.0017106000, 0.0016008000, 0.0016448000, 0.0017460000, 0.0019795000, 0.0025176000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015300000; - } - pin ("B1") { - capacitance : 0.0014910000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014500000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0020135000, 0.0020132000, 0.0020127000, 0.0020123000, 0.0020116000, 0.0020098000, 0.0020058000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002013000, -0.002011500, -0.002008200, -0.002008600, -0.002009500, -0.002011800, -0.002016900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015320000; - } - pin ("B2") { - capacitance : 0.0015660000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022268000, 0.0022242000, 0.0022182000, 0.0022189000, 0.0022204000, 0.0022240000, 0.0022322000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002215600, -0.002216500, -0.002218400, -0.002217800, -0.002216300, -0.002213000, -0.002205400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015970000; - } - pin ("X") { - direction : "output"; - function : "(B1&B2) | (!A1_N&!A2_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0087523000, 0.0076176000, 0.0048593000, -0.003020000, -0.025323000, -0.085519100, -0.243650600", \ - "0.0087484000, 0.0075980000, 0.0048924000, -0.003010800, -0.025335600, -0.085545600, -0.243660100", \ - "0.0087291000, 0.0076121000, 0.0048297000, -0.003077300, -0.025414400, -0.085601300, -0.243719300", \ - "0.0083980000, 0.0072837000, 0.0045357000, -0.003351800, -0.025690600, -0.085888600, -0.243992600", \ - "0.0082322000, 0.0070844000, 0.0043180000, -0.003538300, -0.025924700, -0.086118200, -0.244230300", \ - "0.0096323000, 0.0083147000, 0.0049648000, -0.003768000, -0.026157000, -0.086388800, -0.244491800", \ - "0.0103904000, 0.0091690000, 0.0057247000, -0.002947700, -0.025866100, -0.086343700, -0.244559200"); - } - related_pin : "A1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0098078000, 0.0112869000, 0.0149609000, 0.0241101000, 0.0474767000, 0.1073410000, 0.2641578000", \ - "0.0097327000, 0.0112136000, 0.0149037000, 0.0240632000, 0.0472211000, 0.1072867000, 0.2641400000", \ - "0.0098034000, 0.0112840000, 0.0149692000, 0.0241325000, 0.0472960000, 0.1072931000, 0.2632272000", \ - "0.0097162000, 0.0111918000, 0.0148799000, 0.0240398000, 0.0471987000, 0.1071954000, 0.2631475000", \ - "0.0095577000, 0.0110342000, 0.0147146000, 0.0238791000, 0.0470311000, 0.1070573000, 0.2630347000", \ - "0.0092180000, 0.0107224000, 0.0144660000, 0.0236553000, 0.0468252000, 0.1068161000, 0.2639678000", \ - "0.0098958000, 0.0112438000, 0.0147282000, 0.0237496000, 0.0468884000, 0.1067224000, 0.2630976000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0087160000, 0.0076388000, 0.0048706000, -0.002964200, -0.025277600, -0.085521900, -0.243677700", \ - "0.0087480000, 0.0076465000, 0.0049086000, -0.002969700, -0.025312400, -0.085544600, -0.243682000", \ - "0.0087069000, 0.0076189000, 0.0048445000, -0.003027100, -0.025364100, -0.085584400, -0.243747100", \ - "0.0084461000, 0.0073606000, 0.0045855000, -0.003295500, -0.025632100, -0.085840500, -0.244004400", \ - "0.0081038000, 0.0070236000, 0.0042693000, -0.003599300, -0.025961700, -0.086183700, -0.244304000", \ - "0.0098839000, 0.0085753000, 0.0052098000, -0.003572600, -0.026062400, -0.086310200, -0.244442500", \ - "0.0105762000, 0.0093718000, 0.0059221000, -0.002758700, -0.025683100, -0.086164300, -0.244389300"); - } - related_pin : "A2_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0095495000, 0.0110214000, 0.0146970000, 0.0238619000, 0.0470261000, 0.1069896000, 0.2639792000", \ - "0.0094495000, 0.0109175000, 0.0146046000, 0.0237571000, 0.0471285000, 0.1069737000, 0.2637830000", \ - "0.0095109000, 0.0109884000, 0.0146774000, 0.0238382000, 0.0469970000, 0.1069910000, 0.2629219000", \ - "0.0093819000, 0.0108422000, 0.0145427000, 0.0236889000, 0.0468358000, 0.1069051000, 0.2636946000", \ - "0.0091456000, 0.0106057000, 0.0142930000, 0.0234428000, 0.0468098000, 0.1066663000, 0.2634652000", \ - "0.0088773000, 0.0103428000, 0.0141228000, 0.0233025000, 0.0464227000, 0.1063201000, 0.2623771000", \ - "0.0095329000, 0.0108891000, 0.0143544000, 0.0233358000, 0.0464825000, 0.1065082000, 0.2630520000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0098643000, 0.0087447000, 0.0059680000, -0.001920400, -0.024280700, -0.084517900, -0.242674800", \ - "0.0099167000, 0.0087669000, 0.0059231000, -0.001947800, -0.024301100, -0.084560700, -0.242736400", \ - "0.0097369000, 0.0085830000, 0.0057953000, -0.002049200, -0.024384500, -0.084644200, -0.242816500", \ - "0.0097232000, 0.0085677000, 0.0057811000, -0.002141900, -0.024502300, -0.084748900, -0.242917000", \ - "0.0095297000, 0.0084189000, 0.0056127000, -0.002277500, -0.024636900, -0.084862200, -0.243022600", \ - "0.0094301000, 0.0082827000, 0.0055660000, -0.002276200, -0.024668700, -0.084907900, -0.243065800", \ - "0.0120041000, 0.0106375000, 0.0075707000, -0.001373000, -0.024566400, -0.084825400, -0.242976800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0104504000, 0.0118155000, 0.0154300000, 0.0245337000, 0.0478204000, 0.1083321000, 0.2656914000", \ - "0.0103905000, 0.0117709000, 0.0153738000, 0.0245006000, 0.0477457000, 0.1083496000, 0.2655433000", \ - "0.0103128000, 0.0117089000, 0.0153137000, 0.0244024000, 0.0478736000, 0.1078403000, 0.2643326000", \ - "0.0102668000, 0.0116314000, 0.0152046000, 0.0243133000, 0.0475556000, 0.1077307000, 0.2642367000", \ - "0.0101285000, 0.0115274000, 0.0150661000, 0.0240617000, 0.0473792000, 0.1075324000, 0.2639819000", \ - "0.0104227000, 0.0117372000, 0.0151764000, 0.0239319000, 0.0472469000, 0.1072049000, 0.2652817000", \ - "0.0108988000, 0.0122311000, 0.0155917000, 0.0245348000, 0.0476783000, 0.1082019000, 0.2634508000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0082929000, 0.0071750000, 0.0044037000, -0.003471100, -0.025870300, -0.086162500, -0.244451400", \ - "0.0081500000, 0.0070517000, 0.0043081000, -0.003533500, -0.025977200, -0.086301200, -0.244530500", \ - "0.0079903000, 0.0068988000, 0.0041510000, -0.003752800, -0.026148200, -0.086463500, -0.244680800", \ - "0.0078590000, 0.0067611000, 0.0039727000, -0.003901100, -0.026314100, -0.086625500, -0.244849000", \ - "0.0076990000, 0.0065777000, 0.0038164000, -0.004125200, -0.026530300, -0.086823500, -0.245029500", \ - "0.0074394000, 0.0063423000, 0.0035966000, -0.004252700, -0.026609200, -0.086892400, -0.245092200", \ - "0.0100105000, 0.0086317000, 0.0051517000, -0.003698200, -0.026751600, -0.086929600, -0.245055600"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0107427000, 0.0121451000, 0.0157183000, 0.0248281000, 0.0481092000, 0.1086390000, 0.2657433000", \ - "0.0106490000, 0.0120554000, 0.0156665000, 0.0247652000, 0.0480048000, 0.1081546000, 0.2646759000", \ - "0.0105830000, 0.0119780000, 0.0155806000, 0.0246555000, 0.0479412000, 0.1080404000, 0.2646025000", \ - "0.0104981000, 0.0118747000, 0.0154420000, 0.0244781000, 0.0477671000, 0.1079064000, 0.2645007000", \ - "0.0104297000, 0.0118267000, 0.0153696000, 0.0242081000, 0.0474884000, 0.1076919000, 0.2643321000", \ - "0.0107857000, 0.0120670000, 0.0154967000, 0.0241846000, 0.0474653000, 0.1073070000, 0.2639786000", \ - "0.0115156000, 0.0127828000, 0.0161375000, 0.0249622000, 0.0480433000, 0.1084115000, 0.2638571000"); - } - } - max_capacitance : 0.1583960000; - max_transition : 1.5038910000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.2401693000, 0.2493548000, 0.2679793000, 0.3024145000, 0.3678690000, 0.5034463000, 0.8305054000", \ - "0.2447132000, 0.2539161000, 0.2724928000, 0.3073226000, 0.3726284000, 0.5080832000, 0.8349749000", \ - "0.2542568000, 0.2634277000, 0.2819840000, 0.3168412000, 0.3820896000, 0.5178049000, 0.8446571000", \ - "0.2712983000, 0.2804712000, 0.2989582000, 0.3338641000, 0.3989583000, 0.5346795000, 0.8614905000", \ - "0.2948863000, 0.3040104000, 0.3226611000, 0.3573511000, 0.4226513000, 0.5583752000, 0.8851008000", \ - "0.3212151000, 0.3303463000, 0.3488664000, 0.3834036000, 0.4484397000, 0.5834673000, 0.9103276000", \ - "0.3286811000, 0.3379137000, 0.3564564000, 0.3912080000, 0.4565321000, 0.5918982000, 0.9178266000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.2152600000, 0.2224640000, 0.2388954000, 0.2768726000, 0.3726678000, 0.6193081000, 1.2639007000", \ - "0.2192364000, 0.2266038000, 0.2429385000, 0.2809767000, 0.3765759000, 0.6233660000, 1.2681691000", \ - "0.2309429000, 0.2383118000, 0.2546381000, 0.2926834000, 0.3881034000, 0.6342515000, 1.2761913000", \ - "0.2577709000, 0.2651272000, 0.2814539000, 0.3194838000, 0.4148649000, 0.6610918000, 1.3031100000", \ - "0.3175896000, 0.3249577000, 0.3412947000, 0.3793323000, 0.4748959000, 0.7213551000, 1.3630582000", \ - "0.4312468000, 0.4387641000, 0.4553227000, 0.4935656000, 0.5892302000, 0.8355580000, 1.4806697000", \ - "0.6267110000, 0.6349958000, 0.6523330000, 0.6908285000, 0.7870977000, 1.0337911000, 1.6751083000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0370043000, 0.0424336000, 0.0549129000, 0.0822966000, 0.1412827000, 0.2947715000, 0.7208776000", \ - "0.0370652000, 0.0424513000, 0.0556521000, 0.0814312000, 0.1415204000, 0.2935506000, 0.7161081000", \ - "0.0365976000, 0.0425105000, 0.0557486000, 0.0810208000, 0.1413713000, 0.2941583000, 0.7175806000", \ - "0.0370561000, 0.0430025000, 0.0556802000, 0.0810929000, 0.1414463000, 0.2931524000, 0.7164850000", \ - "0.0369911000, 0.0425025000, 0.0553063000, 0.0822251000, 0.1412256000, 0.2941353000, 0.7172899000", \ - "0.0370030000, 0.0423128000, 0.0547174000, 0.0811716000, 0.1408911000, 0.2939702000, 0.7163088000", \ - "0.0367556000, 0.0427399000, 0.0548645000, 0.0810540000, 0.1415093000, 0.2936243000, 0.7115464000"); - } - related_pin : "A1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0253525000, 0.0319003000, 0.0494545000, 0.0985606000, 0.2320043000, 0.5826000000, 1.5008297000", \ - "0.0253754000, 0.0318460000, 0.0494953000, 0.0986141000, 0.2318959000, 0.5829062000, 1.5011448000", \ - "0.0253607000, 0.0318327000, 0.0494743000, 0.0986954000, 0.2316375000, 0.5827573000, 1.5017443000", \ - "0.0253480000, 0.0318413000, 0.0494911000, 0.0988149000, 0.2318492000, 0.5826223000, 1.5022029000", \ - "0.0254770000, 0.0319497000, 0.0495761000, 0.0988501000, 0.2320822000, 0.5819380000, 1.5024622000", \ - "0.0270014000, 0.0332396000, 0.0505174000, 0.0993906000, 0.2323064000, 0.5813207000, 1.5002067000", \ - "0.0306125000, 0.0363845000, 0.0529004000, 0.1004396000, 0.2335832000, 0.5817904000, 1.4989637000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.2362244000, 0.2453956000, 0.2639005000, 0.2986982000, 0.3634044000, 0.4987780000, 0.8257701000", \ - "0.2408444000, 0.2499634000, 0.2685676000, 0.3032349000, 0.3685215000, 0.5038508000, 0.8308147000", \ - "0.2502123000, 0.2593510000, 0.2778923000, 0.3126018000, 0.3779443000, 0.5133500000, 0.8402816000", \ - "0.2659673000, 0.2751058000, 0.2936517000, 0.3283483000, 0.3937000000, 0.5291336000, 0.8560735000", \ - "0.2854594000, 0.2944701000, 0.3130834000, 0.3477675000, 0.4129022000, 0.5485071000, 0.8751579000", \ - "0.3028054000, 0.3120058000, 0.3304429000, 0.3652501000, 0.4303779000, 0.5656488000, 0.8927339000", \ - "0.2990847000, 0.3082899000, 0.3268235000, 0.3615369000, 0.4268702000, 0.5622718000, 0.8889014000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.2057366000, 0.2130323000, 0.2293715000, 0.2674337000, 0.3631660000, 0.6096329000, 1.2554007000", \ - "0.2076504000, 0.2149973000, 0.2313009000, 0.2692898000, 0.3651342000, 0.6117245000, 1.2564587000", \ - "0.2171386000, 0.2245113000, 0.2408185000, 0.2788557000, 0.3743433000, 0.6206241000, 1.2624146000", \ - "0.2446492000, 0.2520047000, 0.2683016000, 0.3062866000, 0.4021573000, 0.6487708000, 1.2932380000", \ - "0.3109229000, 0.3182985000, 0.3345942000, 0.3725764000, 0.4683923000, 0.7151072000, 1.3587975000", \ - "0.4337755000, 0.4413586000, 0.4579892000, 0.4961968000, 0.5918381000, 0.8388025000, 1.4803839000", \ - "0.6328756000, 0.6413581000, 0.6589198000, 0.6975389000, 0.7938499000, 1.0403362000, 1.6821393000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0364445000, 0.0423605000, 0.0546742000, 0.0807505000, 0.1409950000, 0.2942822000, 0.7202403000", \ - "0.0369180000, 0.0422952000, 0.0554933000, 0.0806716000, 0.1406259000, 0.2935515000, 0.7162201000", \ - "0.0367395000, 0.0428006000, 0.0546652000, 0.0805631000, 0.1410893000, 0.2939033000, 0.7201220000", \ - "0.0367398000, 0.0427618000, 0.0547115000, 0.0805376000, 0.1410836000, 0.2938802000, 0.7203366000", \ - "0.0367069000, 0.0424255000, 0.0551119000, 0.0813288000, 0.1408035000, 0.2936447000, 0.7169922000", \ - "0.0369095000, 0.0427364000, 0.0548178000, 0.0818798000, 0.1405760000, 0.2941171000, 0.7197979000", \ - "0.0366959000, 0.0426736000, 0.0548467000, 0.0809179000, 0.1413840000, 0.2938273000, 0.7125606000"); - } - related_pin : "A2_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0253712000, 0.0318265000, 0.0495284000, 0.0987892000, 0.2320792000, 0.5813369000, 1.5038908000", \ - "0.0253333000, 0.0318099000, 0.0494236000, 0.0985262000, 0.2319285000, 0.5827653000, 1.5001735000", \ - "0.0253480000, 0.0318195000, 0.0495197000, 0.0988183000, 0.2319878000, 0.5824021000, 1.5031864000", \ - "0.0253375000, 0.0318528000, 0.0493977000, 0.0985307000, 0.2317734000, 0.5829431000, 1.5015651000", \ - "0.0254580000, 0.0319421000, 0.0495053000, 0.0985859000, 0.2320237000, 0.5829351000, 1.5031458000", \ - "0.0273463000, 0.0335761000, 0.0506955000, 0.0993645000, 0.2322531000, 0.5819629000, 1.4997861000", \ - "0.0314232000, 0.0376026000, 0.0535999000, 0.1005991000, 0.2329673000, 0.5822949000, 1.4988324000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.2318699000, 0.2411087000, 0.2596007000, 0.2944249000, 0.3597975000, 0.4952126000, 0.8222545000", \ - "0.2368397000, 0.2459850000, 0.2646116000, 0.2993324000, 0.3647264000, 0.5002577000, 0.8271557000", \ - "0.2494333000, 0.2585096000, 0.2771186000, 0.3120242000, 0.3768916000, 0.5123932000, 0.8394059000", \ - "0.2767899000, 0.2859180000, 0.3044322000, 0.3392502000, 0.4042224000, 0.5401011000, 0.8669946000", \ - "0.3349232000, 0.3440903000, 0.3626807000, 0.3974792000, 0.4628181000, 0.5983577000, 0.9254119000", \ - "0.4546880000, 0.4644343000, 0.4840332000, 0.5202884000, 0.5869050000, 0.7234172000, 1.0500106000", \ - "0.6602791000, 0.6719021000, 0.6947248000, 0.7360867000, 0.8096887000, 0.9523490000, 1.2812089000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0894407000, 0.0970296000, 0.1141758000, 0.1530111000, 0.2491049000, 0.4970268000, 1.1382656000", \ - "0.0939130000, 0.1015527000, 0.1186980000, 0.1576337000, 0.2534535000, 0.5011866000, 1.1452699000", \ - "0.1036054000, 0.1112655000, 0.1283620000, 0.1672448000, 0.2634559000, 0.5102844000, 1.1529608000", \ - "0.1250565000, 0.1326324000, 0.1496483000, 0.1885062000, 0.2846903000, 0.5309405000, 1.1732877000", \ - "0.1637262000, 0.1716212000, 0.1894920000, 0.2286027000, 0.3245336000, 0.5718117000, 1.2140422000", \ - "0.2169488000, 0.2262091000, 0.2451088000, 0.2860848000, 0.3822188000, 0.6290532000, 1.2736916000", \ - "0.2686828000, 0.2811014000, 0.3049505000, 0.3500218000, 0.4471916000, 0.6952365000, 1.3363813000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0370019000, 0.0430012000, 0.0550593000, 0.0820721000, 0.1409626000, 0.2940015000, 0.7163796000", \ - "0.0366486000, 0.0425583000, 0.0552413000, 0.0810705000, 0.1415336000, 0.2941488000, 0.7172560000", \ - "0.0367932000, 0.0424551000, 0.0548481000, 0.0810357000, 0.1414181000, 0.2948189000, 0.7198609000", \ - "0.0370733000, 0.0425131000, 0.0557252000, 0.0810404000, 0.1415376000, 0.2940989000, 0.7173148000", \ - "0.0370468000, 0.0423797000, 0.0549290000, 0.0811101000, 0.1410629000, 0.2944807000, 0.7200899000", \ - "0.0406031000, 0.0466569000, 0.0592906000, 0.0854544000, 0.1444048000, 0.2950776000, 0.7174431000", \ - "0.0517767000, 0.0593284000, 0.0722965000, 0.1001586000, 0.1592763000, 0.3054556000, 0.7180047000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0253084000, 0.0325474000, 0.0509144000, 0.0999790000, 0.2334476000, 0.5838420000, 1.5025600000", \ - "0.0252121000, 0.0325077000, 0.0508826000, 0.0999488000, 0.2325166000, 0.5852735000, 1.5023430000", \ - "0.0253328000, 0.0325059000, 0.0508450000, 0.0997585000, 0.2331724000, 0.5849901000, 1.5023430000", \ - "0.0254089000, 0.0326892000, 0.0509711000, 0.0999906000, 0.2329071000, 0.5828043000, 1.4995348000", \ - "0.0281151000, 0.0353552000, 0.0532292000, 0.1009716000, 0.2333388000, 0.5844243000, 1.5005605000", \ - "0.0345313000, 0.0414647000, 0.0589819000, 0.1042937000, 0.2344875000, 0.5837316000, 1.5024799000", \ - "0.0469630000, 0.0548797000, 0.0717698000, 0.1137000000, 0.2370396000, 0.5857319000, 1.4945221000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.2044878000, 0.2132557000, 0.2311637000, 0.2650185000, 0.3287087000, 0.4628997000, 0.7889580000", \ - "0.2085858000, 0.2173643000, 0.2352937000, 0.2690417000, 0.3327542000, 0.4668431000, 0.7931614000", \ - "0.2198316000, 0.2286228000, 0.2464817000, 0.2799410000, 0.3440163000, 0.4782436000, 0.8040941000", \ - "0.2471256000, 0.2558645000, 0.2736096000, 0.3075537000, 0.3711866000, 0.5054512000, 0.8313601000", \ - "0.3084427000, 0.3171945000, 0.3350741000, 0.3688181000, 0.4328095000, 0.5669115000, 0.8924622000", \ - "0.4312423000, 0.4408357000, 0.4601823000, 0.4961686000, 0.5621565000, 0.6977112000, 1.0235859000", \ - "0.6392655000, 0.6506116000, 0.6735498000, 0.7156054000, 0.7897538000, 0.9322908000, 1.2602823000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0859182000, 0.0936095000, 0.1106881000, 0.1495485000, 0.2455346000, 0.4932789000, 1.1374760000", \ - "0.0900730000, 0.0977297000, 0.1148513000, 0.1538338000, 0.2499624000, 0.4966795000, 1.1392447000", \ - "0.1004354000, 0.1080983000, 0.1251870000, 0.1640099000, 0.2601431000, 0.5068650000, 1.1495784000", \ - "0.1243213000, 0.1319543000, 0.1489130000, 0.1875565000, 0.2836865000, 0.5306039000, 1.1733120000", \ - "0.1632301000, 0.1713778000, 0.1889132000, 0.2278487000, 0.3240275000, 0.5711047000, 1.2138874000", \ - "0.2094127000, 0.2187614000, 0.2381411000, 0.2784381000, 0.3741412000, 0.6215155000, 1.2644538000", \ - "0.2425730000, 0.2551543000, 0.2798963000, 0.3246346000, 0.4205405000, 0.6688813000, 1.3105732000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0339708000, 0.0397080000, 0.0520050000, 0.0781911000, 0.1384607000, 0.2913836000, 0.7199740000", \ - "0.0340027000, 0.0399501000, 0.0521961000, 0.0784995000, 0.1384151000, 0.2922049000, 0.7151422000", \ - "0.0338622000, 0.0396776000, 0.0527642000, 0.0790995000, 0.1382588000, 0.2914373000, 0.7154648000", \ - "0.0340047000, 0.0400705000, 0.0522556000, 0.0780633000, 0.1387211000, 0.2914588000, 0.7154364000", \ - "0.0338797000, 0.0401306000, 0.0522666000, 0.0782992000, 0.1381874000, 0.2905521000, 0.7168559000", \ - "0.0391597000, 0.0453314000, 0.0580056000, 0.0846315000, 0.1429608000, 0.2929124000, 0.7155672000", \ - "0.0519711000, 0.0592922000, 0.0726085000, 0.1005124000, 0.1599811000, 0.3050329000, 0.7170012000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0252306000, 0.0324007000, 0.0509261000, 0.1000474000, 0.2333478000, 0.5845096000, 1.4991101000", \ - "0.0252631000, 0.0325375000, 0.0508546000, 0.0999362000, 0.2327826000, 0.5849501000, 1.5023851000", \ - "0.0253275000, 0.0325009000, 0.0508461000, 0.0999252000, 0.2334628000, 0.5847363000, 1.5032746000", \ - "0.0255631000, 0.0327661000, 0.0510627000, 0.1000764000, 0.2334727000, 0.5848192000, 1.5033317000", \ - "0.0289360000, 0.0356552000, 0.0534972000, 0.1014760000, 0.2335946000, 0.5844086000, 1.5031365000", \ - "0.0375282000, 0.0436902000, 0.0598952000, 0.1049877000, 0.2343756000, 0.5828442000, 1.4974698000", \ - "0.0509012000, 0.0588033000, 0.0745606000, 0.1151538000, 0.2371915000, 0.5863766000, 1.4992546000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a2bb2o_2") { - leakage_power () { - value : 0.0035813000; - when : "!A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0035813000; - when : "!A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0021756000; - when : "!A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0035813000; - when : "!A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0069163000; - when : "!A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0066415000; - when : "!A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0037877000; - when : "!A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0071549000; - when : "!A1_N&A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0059918000; - when : "A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0057170000; - when : "A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0028631000; - when : "A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0062304000; - when : "A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0055850000; - when : "A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0053102000; - when : "A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0024563000; - when : "A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0058236000; - when : "A1_N&A2_N&B1&!B2"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__a2bb2o"; - cell_leakage_power : 0.0048373300; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1_N") { - capacitance : 0.0016570000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0100018000, 0.0099289000, 0.0097610000, 0.0097743000, 0.0098049000, 0.0098753000, 0.0100378000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0032612000, 0.0031977000, 0.0030512000, 0.0031046000, 0.0032275000, 0.0035109000, 0.0041641000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017180000; - } - pin ("A2_N") { - capacitance : 0.0017070000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087200000, 0.0086204000, 0.0083910000, 0.0084739000, 0.0086651000, 0.0091057000, 0.0101214000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022406000, 0.0021636000, 0.0019861000, 0.0020517000, 0.0022029000, 0.0025514000, 0.0033548000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018240000; - } - pin ("B1") { - capacitance : 0.0017260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016570000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0028075000, 0.0028066000, 0.0028047000, 0.0028042000, 0.0028031000, 0.0028006000, 0.0027948000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002805700, -0.002804400, -0.002801400, -0.002802100, -0.002803500, -0.002807000, -0.002814800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017950000; - } - pin ("B2") { - capacitance : 0.0017960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017440000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030428000, 0.0030433000, 0.0030444000, 0.0030457000, 0.0030486000, 0.0030553000, 0.0030708000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003017300, -0.003023500, -0.003037800, -0.003039500, -0.003043600, -0.003052900, -0.003074400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018480000; - } - pin ("X") { - direction : "output"; - function : "(B1&B2) | (!A1_N&!A2_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0121579000, 0.0106000000, 0.0068112000, -0.004529000, -0.040341900, -0.149135500, -0.467765000", \ - "0.0121486000, 0.0105912000, 0.0068011000, -0.004499700, -0.040364100, -0.149143100, -0.467777000", \ - "0.0121246000, 0.0105752000, 0.0066530000, -0.004577400, -0.040391500, -0.149150300, -0.467779100", \ - "0.0118983000, 0.0103715000, 0.0064216000, -0.004851000, -0.040700200, -0.149492800, -0.468088700", \ - "0.0115207000, 0.0099661000, 0.0060067000, -0.005269800, -0.041034300, -0.149830700, -0.468417500", \ - "0.0137963000, 0.0121994000, 0.0076671000, -0.005226600, -0.041309500, -0.150093300, -0.468710700", \ - "0.0154628000, 0.0138466000, 0.0094294000, -0.003302000, -0.040572300, -0.149961300, -0.468747800"); - } - related_pin : "A1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0155037000, 0.0171774000, 0.0219624000, 0.0354258000, 0.0733462000, 0.1820108000, 0.4987290000", \ - "0.0154795000, 0.0171601000, 0.0219244000, 0.0353908000, 0.0733013000, 0.1822417000, 0.5008525000", \ - "0.0155319000, 0.0171884000, 0.0219905000, 0.0354188000, 0.0733550000, 0.1818593000, 0.4987085000", \ - "0.0154133000, 0.0171021000, 0.0218944000, 0.0352921000, 0.0732581000, 0.1821651000, 0.4960262000", \ - "0.0152377000, 0.0169030000, 0.0216920000, 0.0351010000, 0.0730760000, 0.1818785000, 0.4959684000", \ - "0.0147463000, 0.0164379000, 0.0213148000, 0.0349261000, 0.0726471000, 0.1812755000, 0.4977940000", \ - "0.0154647000, 0.0170618000, 0.0216546000, 0.0349388000, 0.0725378000, 0.1817168000, 0.4962545000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0121244000, 0.0106149000, 0.0067052000, -0.004604800, -0.040386900, -0.149120800, -0.467787500", \ - "0.0121253000, 0.0106092000, 0.0066973000, -0.004570600, -0.040377300, -0.149122000, -0.467819400", \ - "0.0120807000, 0.0105457000, 0.0066616000, -0.004518000, -0.040305400, -0.149141300, -0.467824100", \ - "0.0118205000, 0.0103120000, 0.0064901000, -0.004822500, -0.040704200, -0.149527400, -0.468180900", \ - "0.0114252000, 0.0099085000, 0.0060286000, -0.005292100, -0.041076100, -0.149899300, -0.468533500", \ - "0.0141626000, 0.0126021000, 0.0081310000, -0.004749400, -0.041230600, -0.150078300, -0.468739600", \ - "0.0156432000, 0.0140360000, 0.0095936000, -0.003133400, -0.040457900, -0.149792300, -0.468635200"); - } - related_pin : "A2_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0152581000, 0.0169532000, 0.0217392000, 0.0351594000, 0.0731423000, 0.1818756000, 0.4961269000", \ - "0.0152068000, 0.0168604000, 0.0216666000, 0.0350823000, 0.0730642000, 0.1818183000, 0.4958365000", \ - "0.0152562000, 0.0169203000, 0.0217066000, 0.0351751000, 0.0730928000, 0.1816242000, 0.4984623000", \ - "0.0150694000, 0.0167491000, 0.0215304000, 0.0349608000, 0.0729299000, 0.1816783000, 0.4958720000", \ - "0.0147677000, 0.0164136000, 0.0212121000, 0.0346116000, 0.0725782000, 0.1812250000, 0.4954549000", \ - "0.0144480000, 0.0160164000, 0.0208367000, 0.0344995000, 0.0721758000, 0.1809696000, 0.4975955000", \ - "0.0149230000, 0.0165208000, 0.0210989000, 0.0343668000, 0.0719010000, 0.1815140000, 0.4953963000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0137254000, 0.0121566000, 0.0082263000, -0.002998100, -0.038809700, -0.147675300, -0.466403500", \ - "0.0136733000, 0.0121521000, 0.0081889000, -0.003113900, -0.038922600, -0.147702800, -0.466430700", \ - "0.0137041000, 0.0120422000, 0.0081434000, -0.003202600, -0.038953200, -0.147805200, -0.466525900", \ - "0.0135330000, 0.0119777000, 0.0081807000, -0.003144300, -0.039035600, -0.147905400, -0.466603800", \ - "0.0134044000, 0.0118441000, 0.0079382000, -0.003433700, -0.039279200, -0.148046800, -0.466733400", \ - "0.0133419000, 0.0117609000, 0.0078205000, -0.003387000, -0.039298900, -0.148140800, -0.466776800", \ - "0.0183138000, 0.0165527000, 0.0117641000, -0.001421300, -0.039072800, -0.148171800, -0.466746000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0163179000, 0.0179503000, 0.0227104000, 0.0361214000, 0.0741646000, 0.1833880000, 0.4991400000", \ - "0.0162353000, 0.0178791000, 0.0225936000, 0.0360481000, 0.0741048000, 0.1831292000, 0.4988918000", \ - "0.0161431000, 0.0177994000, 0.0225050000, 0.0359687000, 0.0740171000, 0.1830292000, 0.4987566000", \ - "0.0160398000, 0.0176886000, 0.0224361000, 0.0358534000, 0.0738978000, 0.1829420000, 0.4982658000", \ - "0.0159856000, 0.0176047000, 0.0222622000, 0.0355660000, 0.0735576000, 0.1828112000, 0.4985506000", \ - "0.0166339000, 0.0181781000, 0.0227186000, 0.0354247000, 0.0733387000, 0.1823369000, 0.5001822000", \ - "0.0174656000, 0.0189435000, 0.0233637000, 0.0365036000, 0.0737394000, 0.1828026000, 0.4968854000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0122042000, 0.0106760000, 0.0067857000, -0.004464100, -0.040330800, -0.149359400, -0.468147200", \ - "0.0120818000, 0.0105436000, 0.0066466000, -0.004504200, -0.040395600, -0.149394000, -0.468125100", \ - "0.0119659000, 0.0104623000, 0.0065640000, -0.004704300, -0.040548100, -0.149584100, -0.468352400", \ - "0.0117607000, 0.0102420000, 0.0063327000, -0.004847400, -0.040756900, -0.149750100, -0.468474600", \ - "0.0115935000, 0.0100512000, 0.0061131000, -0.005160300, -0.041052600, -0.149995200, -0.468702500", \ - "0.0113867000, 0.0097884000, 0.0058708000, -0.005378200, -0.041288900, -0.150100500, -0.468811000", \ - "0.0164155000, 0.0146213000, 0.0098352000, -0.003276600, -0.040779500, -0.149966000, -0.468645400"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0167063000, 0.0183619000, 0.0230991000, 0.0364541000, 0.0744694000, 0.1836211000, 0.5011907000", \ - "0.0166081000, 0.0182564000, 0.0230078000, 0.0363811000, 0.0744093000, 0.1835079000, 0.5010752000", \ - "0.0164929000, 0.0181298000, 0.0228077000, 0.0362610000, 0.0743114000, 0.1832932000, 0.4993447000", \ - "0.0163088000, 0.0179761000, 0.0227155000, 0.0361621000, 0.0740780000, 0.1831670000, 0.5009308000", \ - "0.0163506000, 0.0179499000, 0.0225969000, 0.0357313000, 0.0736522000, 0.1829991000, 0.5000468000", \ - "0.0172704000, 0.0187680000, 0.0232095000, 0.0361103000, 0.0736059000, 0.1824653000, 0.5005334000", \ - "0.0183632000, 0.0198182000, 0.0240805000, 0.0368804000, 0.0745668000, 0.1833999000, 0.4996248000"); - } - } - max_capacitance : 0.3003030000; - max_transition : 1.5036600000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.2333361000, 0.2404753000, 0.2562690000, 0.2873976000, 0.3467564000, 0.4723946000, 0.7913724000", \ - "0.2380189000, 0.2451499000, 0.2609164000, 0.2921121000, 0.3514678000, 0.4771117000, 0.7961138000", \ - "0.2485445000, 0.2556615000, 0.2714569000, 0.3023409000, 0.3620703000, 0.4876908000, 0.8066603000", \ - "0.2684023000, 0.2755195000, 0.2913360000, 0.3225879000, 0.3818929000, 0.5075971000, 0.8265810000", \ - "0.2960062000, 0.3031039000, 0.3188989000, 0.3502169000, 0.4098716000, 0.5354774000, 0.8544798000", \ - "0.3283034000, 0.3353891000, 0.3511211000, 0.3821975000, 0.4415973000, 0.5665545000, 0.8859496000", \ - "0.3477850000, 0.3549112000, 0.3706563000, 0.4017451000, 0.4614216000, 0.5870548000, 0.9055354000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.2036405000, 0.2094352000, 0.2229134000, 0.2542754000, 0.3363968000, 0.5693473000, 1.2485725000", \ - "0.2086331000, 0.2144504000, 0.2278770000, 0.2592417000, 0.3413011000, 0.5744664000, 1.2526472000", \ - "0.2209415000, 0.2267423000, 0.2402554000, 0.2715870000, 0.3536711000, 0.5868282000, 1.2653149000", \ - "0.2482698000, 0.2541068000, 0.2675566000, 0.2988952000, 0.3809325000, 0.6149924000, 1.2896122000", \ - "0.3075595000, 0.3134446000, 0.3269616000, 0.3583254000, 0.4403121000, 0.6743958000, 1.3482087000", \ - "0.4160029000, 0.4221620000, 0.4359258000, 0.4675505000, 0.5494549000, 0.7831673000, 1.4587428000", \ - "0.5969631000, 0.6036074000, 0.6181855000, 0.6504868000, 0.7328622000, 0.9661758000, 1.6412764000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0342219000, 0.0386102000, 0.0486791000, 0.0698547000, 0.1200818000, 0.2530827000, 0.6602083000", \ - "0.0342210000, 0.0386086000, 0.0486954000, 0.0698354000, 0.1200884000, 0.2530521000, 0.6603896000", \ - "0.0342847000, 0.0387419000, 0.0490145000, 0.0707715000, 0.1200156000, 0.2537095000, 0.6614240000", \ - "0.0341988000, 0.0386129000, 0.0485798000, 0.0701116000, 0.1196973000, 0.2530584000, 0.6609103000", \ - "0.0341462000, 0.0386149000, 0.0489408000, 0.0701916000, 0.1193875000, 0.2527288000, 0.6605571000", \ - "0.0342620000, 0.0385583000, 0.0482337000, 0.0701557000, 0.1194952000, 0.2526102000, 0.6630970000", \ - "0.0343185000, 0.0385917000, 0.0492214000, 0.0697573000, 0.1198398000, 0.2529646000, 0.6577147000"); - } - related_pin : "A1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0222170000, 0.0265402000, 0.0387389000, 0.0751106000, 0.1876746000, 0.5224123000, 1.5017740000", \ - "0.0221870000, 0.0265369000, 0.0387984000, 0.0750634000, 0.1876177000, 0.5221643000, 1.5009993000", \ - "0.0221551000, 0.0265557000, 0.0388619000, 0.0751400000, 0.1875280000, 0.5220925000, 1.5015678000", \ - "0.0221829000, 0.0265279000, 0.0388223000, 0.0752361000, 0.1875852000, 0.5221225000, 1.4989002000", \ - "0.0224438000, 0.0267721000, 0.0389203000, 0.0752995000, 0.1878390000, 0.5228079000, 1.4994428000", \ - "0.0234815000, 0.0280103000, 0.0400031000, 0.0759572000, 0.1877686000, 0.5223137000, 1.5036603000", \ - "0.0264709000, 0.0308192000, 0.0424695000, 0.0773956000, 0.1885936000, 0.5207987000, 1.4944374000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.2270551000, 0.2341485000, 0.2498300000, 0.2808147000, 0.3402809000, 0.4651181000, 0.7840102000", \ - "0.2317313000, 0.2388214000, 0.2545200000, 0.2855255000, 0.3450282000, 0.4702756000, 0.7890615000", \ - "0.2419727000, 0.2490633000, 0.2647982000, 0.2956170000, 0.3548880000, 0.4802831000, 0.7990168000", \ - "0.2591662000, 0.2662609000, 0.2819311000, 0.3130401000, 0.3721147000, 0.4976941000, 0.8165537000", \ - "0.2815568000, 0.2886545000, 0.3044299000, 0.3354243000, 0.3947333000, 0.5202845000, 0.8391401000", \ - "0.3040980000, 0.3112479000, 0.3269560000, 0.3578420000, 0.4173092000, 0.5426413000, 0.8618168000", \ - "0.3120426000, 0.3191422000, 0.3348782000, 0.3659395000, 0.4255019000, 0.5510729000, 0.8698544000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1918730000, 0.1977517000, 0.2112456000, 0.2425821000, 0.3244890000, 0.5584774000, 1.2389804000", \ - "0.1948178000, 0.2006206000, 0.2141343000, 0.2454749000, 0.3274506000, 0.5614382000, 1.2354232000", \ - "0.2045056000, 0.2103178000, 0.2237925000, 0.2550997000, 0.3372511000, 0.5703054000, 1.2494414000", \ - "0.2324300000, 0.2382178000, 0.2517148000, 0.2830499000, 0.3649270000, 0.5989843000, 1.2766432000", \ - "0.2953031000, 0.3011530000, 0.3146992000, 0.3460609000, 0.4279271000, 0.6614538000, 1.3364657000", \ - "0.4025563000, 0.4087294000, 0.4224979000, 0.4541530000, 0.5360624000, 0.7696223000, 1.4501003000", \ - "0.5762877000, 0.5828467000, 0.5975698000, 0.6296937000, 0.7121014000, 0.9458232000, 1.6209770000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0341871000, 0.0384919000, 0.0481226000, 0.0700793000, 0.1193411000, 0.2529137000, 0.6614278000", \ - "0.0341725000, 0.0384897000, 0.0481264000, 0.0701203000, 0.1192666000, 0.2521639000, 0.6615468000", \ - "0.0340750000, 0.0384628000, 0.0484219000, 0.0699136000, 0.1186645000, 0.2526372000, 0.6600324000", \ - "0.0340537000, 0.0383492000, 0.0487768000, 0.0696164000, 0.1196253000, 0.2524216000, 0.6608740000", \ - "0.0341437000, 0.0384449000, 0.0482890000, 0.0693822000, 0.1192445000, 0.2528422000, 0.6613337000", \ - "0.0344322000, 0.0385113000, 0.0482047000, 0.0700816000, 0.1193095000, 0.2517044000, 0.6623495000", \ - "0.0343611000, 0.0388196000, 0.0483861000, 0.0696696000, 0.1196032000, 0.2531711000, 0.6599115000"); - } - related_pin : "A2_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0222828000, 0.0265851000, 0.0388276000, 0.0752154000, 0.1879566000, 0.5231471000, 1.4959513000", \ - "0.0221322000, 0.0265281000, 0.0388590000, 0.0752025000, 0.1878917000, 0.5231312000, 1.4955591000", \ - "0.0221488000, 0.0264765000, 0.0387726000, 0.0752381000, 0.1875593000, 0.5221785000, 1.5024025000", \ - "0.0221630000, 0.0265918000, 0.0387430000, 0.0752057000, 0.1879600000, 0.5229656000, 1.4943867000", \ - "0.0224495000, 0.0267376000, 0.0390297000, 0.0752961000, 0.1878422000, 0.5216823000, 1.4993314000", \ - "0.0235293000, 0.0280813000, 0.0400787000, 0.0760276000, 0.1877887000, 0.5221904000, 1.4989996000", \ - "0.0266223000, 0.0307930000, 0.0425233000, 0.0774455000, 0.1887116000, 0.5212307000, 1.4943897000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.2202854000, 0.2273959000, 0.2431715000, 0.2743440000, 0.3334978000, 0.4590864000, 0.7780099000", \ - "0.2257284000, 0.2328344000, 0.2485908000, 0.2797218000, 0.3394021000, 0.4644258000, 0.7835529000", \ - "0.2386501000, 0.2457236000, 0.2615380000, 0.2926198000, 0.3518819000, 0.4774623000, 0.7965772000", \ - "0.2655451000, 0.2725926000, 0.2883234000, 0.3196447000, 0.3787410000, 0.5045351000, 0.8234487000", \ - "0.3224900000, 0.3296074000, 0.3453639000, 0.3764636000, 0.4361604000, 0.5618966000, 0.8807642000", \ - "0.4391110000, 0.4466294000, 0.4639892000, 0.4964106000, 0.5576128000, 0.6842827000, 1.0035905000", \ - "0.6358984000, 0.6448500000, 0.6644935000, 0.7023949000, 0.7714149000, 0.9064718000, 1.2292931000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1160620000, 0.1227042000, 0.1381480000, 0.1724821000, 0.2568683000, 0.4911946000, 1.1672969000", \ - "0.1204676000, 0.1271291000, 0.1425240000, 0.1768679000, 0.2612528000, 0.4963537000, 1.1713641000", \ - "0.1300528000, 0.1366990000, 0.1520861000, 0.1864197000, 0.2708041000, 0.5059085000, 1.1809119000", \ - "0.1518892000, 0.1585081000, 0.1738699000, 0.2081846000, 0.2924463000, 0.5277402000, 1.2061069000", \ - "0.1969090000, 0.2038643000, 0.2197106000, 0.2543314000, 0.3387209000, 0.5735489000, 1.2502862000", \ - "0.2668436000, 0.2749433000, 0.2925367000, 0.3293518000, 0.4147653000, 0.6488878000, 1.3279704000", \ - "0.3511328000, 0.3614657000, 0.3836772000, 0.4265602000, 0.5148224000, 0.7489054000, 1.4252063000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0342319000, 0.0386458000, 0.0487594000, 0.0699630000, 0.1201871000, 0.2538250000, 0.6614547000", \ - "0.0343222000, 0.0386747000, 0.0484195000, 0.0704725000, 0.1197195000, 0.2532554000, 0.6615676000", \ - "0.0342387000, 0.0387911000, 0.0483525000, 0.0704081000, 0.1200242000, 0.2536466000, 0.6603864000", \ - "0.0341203000, 0.0386528000, 0.0489351000, 0.0699960000, 0.1199603000, 0.2530178000, 0.6607784000", \ - "0.0342015000, 0.0385665000, 0.0490998000, 0.0696164000, 0.1197796000, 0.2530039000, 0.6610270000", \ - "0.0390890000, 0.0433635000, 0.0530577000, 0.0753081000, 0.1233433000, 0.2545390000, 0.6606938000", \ - "0.0508793000, 0.0561354000, 0.0667248000, 0.0902580000, 0.1402955000, 0.2677294000, 0.6631682000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0252360000, 0.0302964000, 0.0439321000, 0.0807674000, 0.1911133000, 0.5250904000, 1.4981877000", \ - "0.0250584000, 0.0304046000, 0.0439637000, 0.0807956000, 0.1911939000, 0.5245461000, 1.4967001000", \ - "0.0250244000, 0.0304184000, 0.0439127000, 0.0807723000, 0.1912187000, 0.5246233000, 1.4974794000", \ - "0.0251069000, 0.0304094000, 0.0438821000, 0.0807420000, 0.1914519000, 0.5249633000, 1.4988636000", \ - "0.0268376000, 0.0321371000, 0.0456116000, 0.0817817000, 0.1912618000, 0.5255810000, 1.4996729000", \ - "0.0329370000, 0.0384491000, 0.0522138000, 0.0876930000, 0.1939869000, 0.5245412000, 1.5004085000", \ - "0.0457407000, 0.0518010000, 0.0663790000, 0.0996035000, 0.2002136000, 0.5261548000, 1.4969918000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1979912000, 0.2047320000, 0.2197889000, 0.2497463000, 0.3073789000, 0.4307045000, 0.7483207000", \ - "0.2031874000, 0.2098993000, 0.2249690000, 0.2549328000, 0.3124482000, 0.4358158000, 0.7540217000", \ - "0.2156390000, 0.2224091000, 0.2374436000, 0.2673937000, 0.3249951000, 0.4484126000, 0.7660524000", \ - "0.2432100000, 0.2498336000, 0.2648576000, 0.2949376000, 0.3523650000, 0.4757549000, 0.7938684000", \ - "0.3038857000, 0.3106099000, 0.3256495000, 0.3555436000, 0.4133409000, 0.5366929000, 0.8546585000", \ - "0.4275479000, 0.4349380000, 0.4512712000, 0.4832398000, 0.5436263000, 0.6682483000, 0.9867178000", \ - "0.6353051000, 0.6440576000, 0.6634400000, 0.7013256000, 0.7698848000, 0.9037445000, 1.2260013000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1126657000, 0.1193346000, 0.1346954000, 0.1689598000, 0.2532430000, 0.4887090000, 1.1659778000", \ - "0.1167306000, 0.1234093000, 0.1387259000, 0.1730617000, 0.2573082000, 0.4927606000, 1.1700948000", \ - "0.1266339000, 0.1332754000, 0.1485708000, 0.1829692000, 0.2672895000, 0.5024013000, 1.1779374000", \ - "0.1508689000, 0.1575556000, 0.1729152000, 0.2072069000, 0.2912586000, 0.5262462000, 1.2031497000", \ - "0.2008338000, 0.2078510000, 0.2236179000, 0.2582798000, 0.3423183000, 0.5766408000, 1.2528455000", \ - "0.2677747000, 0.2761225000, 0.2945888000, 0.3314835000, 0.4162156000, 0.6505826000, 1.3281850000", \ - "0.3366335000, 0.3476256000, 0.3714333000, 0.4156598000, 0.5046156000, 0.7377858000, 1.4142395000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0317001000, 0.0357534000, 0.0453764000, 0.0670755000, 0.1160455000, 0.2495614000, 0.6585191000", \ - "0.0314252000, 0.0357614000, 0.0456862000, 0.0664639000, 0.1165179000, 0.2497106000, 0.6589679000", \ - "0.0316960000, 0.0357542000, 0.0453510000, 0.0670903000, 0.1160038000, 0.2495389000, 0.6583524000", \ - "0.0314359000, 0.0357212000, 0.0456479000, 0.0664724000, 0.1160122000, 0.2498203000, 0.6581742000", \ - "0.0314492000, 0.0356959000, 0.0453831000, 0.0664596000, 0.1161052000, 0.2488455000, 0.6609162000", \ - "0.0369276000, 0.0415575000, 0.0512403000, 0.0731803000, 0.1204238000, 0.2514860000, 0.6615815000", \ - "0.0502440000, 0.0553949000, 0.0673522000, 0.0890577000, 0.1390638000, 0.2667558000, 0.6634506000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0250133000, 0.0303591000, 0.0439520000, 0.0807258000, 0.1914691000, 0.5244228000, 1.5010040000", \ - "0.0250826000, 0.0303590000, 0.0437880000, 0.0808464000, 0.1914558000, 0.5248889000, 1.5009874000", \ - "0.0251451000, 0.0304620000, 0.0440169000, 0.0807871000, 0.1909325000, 0.5239678000, 1.4985805000", \ - "0.0250073000, 0.0302945000, 0.0438276000, 0.0807921000, 0.1911890000, 0.5263879000, 1.5002312000", \ - "0.0276900000, 0.0329108000, 0.0460895000, 0.0823891000, 0.1914888000, 0.5258157000, 1.4947834000", \ - "0.0368678000, 0.0419274000, 0.0545937000, 0.0882377000, 0.1946121000, 0.5254830000, 1.4971381000", \ - "0.0506996000, 0.0578342000, 0.0717021000, 0.1041785000, 0.2008270000, 0.5272707000, 1.4953992000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a2bb2o_4") { - leakage_power () { - value : 0.0076036000; - when : "!A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0076022000; - when : "!A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0047386000; - when : "!A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0076036000; - when : "!A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0074979000; - when : "!A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0068503000; - when : "!A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0063325000; - when : "!A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0078283000; - when : "!A1_N&A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0077883000; - when : "A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0071407000; - when : "A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0066229000; - when : "A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0081189000; - when : "A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0062568000; - when : "A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0056092000; - when : "A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0050914000; - when : "A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0065873000; - when : "A1_N&A2_N&B1&!B2"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__a2bb2o"; - cell_leakage_power : 0.0068295290; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1_N") { - capacitance : 0.0049000000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0046580000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0278574000, 0.0276228000, 0.0270821000, 0.0271445000, 0.0272884000, 0.0276201000, 0.0283845000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0053596000, 0.0051463000, 0.0046544000, 0.0048403000, 0.0052688000, 0.0062565000, 0.0085333000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0051410000; - } - pin ("A2_N") { - capacitance : 0.0044270000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041430000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0230574000, 0.0227685000, 0.0221024000, 0.0223078000, 0.0227814000, 0.0238730000, 0.0263892000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0020278000, 0.0018581000, 0.0014670000, 0.0016478000, 0.0020646000, 0.0030254000, 0.0052399000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047100000; - } - pin ("B1") { - capacitance : 0.0047680000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045350000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082890000, 0.0082899000, 0.0082921000, 0.0082953000, 0.0083028000, 0.0083200000, 0.0083597000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008313200, -0.008301800, -0.008275700, -0.008277400, -0.008281400, -0.008290600, -0.008311800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050020000; - } - pin ("B2") { - capacitance : 0.0043600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041820000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075709000, 0.0075693000, 0.0075654000, 0.0075631000, 0.0075579000, 0.0075459000, 0.0075181000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007572500, -0.007564000, -0.007544600, -0.007541500, -0.007534600, -0.007518600, -0.007481900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045390000; - } - pin ("X") { - direction : "output"; - function : "(B1&B2) | (!A1_N&!A2_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000"); - values("0.0323165000, 0.0305573000, 0.0254494000, 0.0107493000, -0.040389500, -0.213909800, -0.770061800", \ - "0.0321204000, 0.0306888000, 0.0254917000, 0.0108620000, -0.040288900, -0.214075000, -0.770238300", \ - "0.0322610000, 0.0305085000, 0.0254340000, 0.0107101000, -0.040388100, -0.213945000, -0.770213700", \ - "0.0314792000, 0.0298244000, 0.0246320000, 0.0099746000, -0.041052500, -0.214791600, -0.770956700", \ - "0.0309119000, 0.0292581000, 0.0241147000, 0.0093729000, -0.041694000, -0.215461100, -0.771551100", \ - "0.0366395000, 0.0349030000, 0.0295632000, 0.0127515000, -0.041983000, -0.215972800, -0.772086800", \ - "0.0378807000, 0.0361480000, 0.0309127000, 0.0139949000, -0.040290300, -0.215284500, -0.771912200"); - } - related_pin : "A1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000"); - values("0.0302697000, 0.0322524000, 0.0384436000, 0.0570356000, 0.1132173000, 0.2879976000, 0.8402169000", \ - "0.0300439000, 0.0320358000, 0.0382543000, 0.0568404000, 0.1129866000, 0.2876188000, 0.8357736000", \ - "0.0301627000, 0.0321360000, 0.0383539000, 0.0569477000, 0.1130572000, 0.2876874000, 0.8359631000", \ - "0.0297729000, 0.0317448000, 0.0379566000, 0.0565822000, 0.1126505000, 0.2872521000, 0.8357990000", \ - "0.0291298000, 0.0310985000, 0.0373014000, 0.0558740000, 0.1119945000, 0.2866992000, 0.8350848000", \ - "0.0281262000, 0.0299588000, 0.0356076000, 0.0549394000, 0.1109248000, 0.2856672000, 0.8340111000", \ - "0.0293831000, 0.0312189000, 0.0370126000, 0.0551023000, 0.1113418000, 0.2868924000, 0.8360326000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000"); - values("0.0329228000, 0.0311826000, 0.0260438000, 0.0114195000, -0.039646600, -0.213383200, -0.769864800", \ - "0.0330128000, 0.0313357000, 0.0262189000, 0.0115946000, -0.039561900, -0.213461800, -0.769781600", \ - "0.0328731000, 0.0310996000, 0.0261315000, 0.0113556000, -0.039655400, -0.213413100, -0.769925300", \ - "0.0320358000, 0.0302668000, 0.0251452000, 0.0105192000, -0.040631400, -0.214228000, -0.770647100", \ - "0.0311774000, 0.0295234000, 0.0243369000, 0.0097811000, -0.041346100, -0.215129400, -0.771397000", \ - "0.0370681000, 0.0353011000, 0.0299705000, 0.0130435000, -0.041493700, -0.215720300, -0.772033300", \ - "0.0383221000, 0.0366337000, 0.0314170000, 0.0144458000, -0.040084000, -0.214698200, -0.771550400"); - } - related_pin : "A2_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000"); - values("0.0296997000, 0.0316812000, 0.0378923000, 0.0564860000, 0.1125915000, 0.2872007000, 0.8351577000", \ - "0.0294611000, 0.0314483000, 0.0376442000, 0.0562531000, 0.1124456000, 0.2870244000, 0.8391289000", \ - "0.0295965000, 0.0315860000, 0.0377944000, 0.0564027000, 0.1125696000, 0.2872508000, 0.8355389000", \ - "0.0290149000, 0.0309834000, 0.0371948000, 0.0557828000, 0.1119185000, 0.2865432000, 0.8346786000", \ - "0.0282360000, 0.0302220000, 0.0364318000, 0.0550049000, 0.1110828000, 0.2857607000, 0.8338320000", \ - "0.0276289000, 0.0294400000, 0.0351152000, 0.0542422000, 0.1103715000, 0.2848539000, 0.8342153000", \ - "0.0291957000, 0.0311059000, 0.0367890000, 0.0548255000, 0.1107809000, 0.2859858000, 0.8354000000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000"); - values("0.0351194000, 0.0333439000, 0.0283012000, 0.0135748000, -0.037599700, -0.211312100, -0.767726900", \ - "0.0349394000, 0.0332931000, 0.0280223000, 0.0133685000, -0.037760800, -0.211604500, -0.768227100", \ - "0.0346374000, 0.0328901000, 0.0277233000, 0.0130711000, -0.038061400, -0.211902600, -0.768478300", \ - "0.0343079000, 0.0326521000, 0.0275306000, 0.0127341000, -0.038341200, -0.212226800, -0.768709600", \ - "0.0340671000, 0.0323479000, 0.0271497000, 0.0123674000, -0.038891900, -0.212764300, -0.769032500", \ - "0.0331015000, 0.0313257000, 0.0259739000, 0.0114010000, -0.039502000, -0.212877300, -0.769138100", \ - "0.0414386000, 0.0394852000, 0.0336185000, 0.0160219000, -0.038933000, -0.213276200, -0.768919800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000"); - values("0.0345718000, 0.0364822000, 0.0425960000, 0.0610973000, 0.1176301000, 0.2928181000, 0.8442256000", \ - "0.0342924000, 0.0362255000, 0.0422004000, 0.0608859000, 0.1174591000, 0.2927641000, 0.8435968000", \ - "0.0339279000, 0.0358574000, 0.0419247000, 0.0605120000, 0.1170625000, 0.2921882000, 0.8436940000", \ - "0.0336661000, 0.0355668000, 0.0414538000, 0.0599095000, 0.1164864000, 0.2917010000, 0.8431427000", \ - "0.0333716000, 0.0353475000, 0.0412681000, 0.0594459000, 0.1156060000, 0.2911183000, 0.8420561000", \ - "0.0344605000, 0.0362440000, 0.0419673000, 0.0594305000, 0.1150235000, 0.2896430000, 0.8421426000", \ - "0.0364945000, 0.0381598000, 0.0437974000, 0.0614359000, 0.1168876000, 0.2918859000, 0.8409365000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000"); - values("0.0298508000, 0.0282418000, 0.0231228000, 0.0085247000, -0.042607500, -0.216574600, -0.773317600", \ - "0.0294205000, 0.0279571000, 0.0227780000, 0.0083196000, -0.042854400, -0.216978200, -0.773584800", \ - "0.0291404000, 0.0275188000, 0.0224035000, 0.0078045000, -0.043328500, -0.217411500, -0.774013200", \ - "0.0286944000, 0.0270479000, 0.0219157000, 0.0072164000, -0.043925100, -0.217875100, -0.774458300", \ - "0.0284434000, 0.0267870000, 0.0216217000, 0.0067385000, -0.044476200, -0.218331200, -0.774921600", \ - "0.0286302000, 0.0267110000, 0.0214447000, 0.0057924000, -0.044888100, -0.218556100, -0.774927000", \ - "0.0358400000, 0.0338557000, 0.0280113000, 0.0104445000, -0.044370100, -0.218827300, -0.774650000"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015825240, 0.0050087660, 0.0158529900, 0.0501754700, 0.1588078000, 0.5026343000"); - values("0.0339403000, 0.0358929000, 0.0419667000, 0.0604432000, 0.1170041000, 0.2919003000, 0.8439185000", \ - "0.0336213000, 0.0355477000, 0.0416143000, 0.0602418000, 0.1168625000, 0.2921273000, 0.8427336000", \ - "0.0333217000, 0.0352559000, 0.0413260000, 0.0599084000, 0.1164473000, 0.2916929000, 0.8433081000", \ - "0.0331914000, 0.0350902000, 0.0409995000, 0.0593003000, 0.1157805000, 0.2910394000, 0.8426510000", \ - "0.0330275000, 0.0348660000, 0.0408315000, 0.0586907000, 0.1150819000, 0.2905472000, 0.8413806000", \ - "0.0345343000, 0.0363097000, 0.0418899000, 0.0596473000, 0.1144322000, 0.2894923000, 0.8414125000", \ - "0.0370730000, 0.0387354000, 0.0442726000, 0.0615688000, 0.1172539000, 0.2918811000, 0.8409611000"); - } - } - max_capacitance : 0.5026340000; - max_transition : 1.5045660000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.1812610000, 0.1852033000, 0.1952684000, 0.2175679000, 0.2638536000, 0.3705279000, 0.6698899000", \ - "0.1858589000, 0.1897823000, 0.1998833000, 0.2222378000, 0.2686923000, 0.3753406000, 0.6750271000", \ - "0.1962532000, 0.2001951000, 0.2102637000, 0.2325911000, 0.2788858000, 0.3855981000, 0.6848581000", \ - "0.2139762000, 0.2179139000, 0.2279842000, 0.2501680000, 0.2967764000, 0.4034162000, 0.7032850000", \ - "0.2347944000, 0.2386233000, 0.2485787000, 0.2708004000, 0.3172033000, 0.4242022000, 0.7234984000", \ - "0.2528099000, 0.2567236000, 0.2667510000, 0.2890031000, 0.3355522000, 0.4421618000, 0.7417389000", \ - "0.2441395000, 0.2480549000, 0.2581288000, 0.2803808000, 0.3269595000, 0.4338373000, 0.7336718000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.1824226000, 0.1860255000, 0.1956822000, 0.2213225000, 0.2947436000, 0.5205480000, 1.2368203000", \ - "0.1867734000, 0.1903485000, 0.2000419000, 0.2256768000, 0.2991186000, 0.5247163000, 1.2371056000", \ - "0.1990939000, 0.2026934000, 0.2123735000, 0.2380258000, 0.3115148000, 0.5372138000, 1.2494737000", \ - "0.2264985000, 0.2300219000, 0.2397595000, 0.2653641000, 0.3388854000, 0.5646577000, 1.2771347000", \ - "0.2841544000, 0.2877657000, 0.2974600000, 0.3231024000, 0.3965533000, 0.6222400000, 1.3348850000", \ - "0.3865151000, 0.3901513000, 0.4000870000, 0.4259103000, 0.4993993000, 0.7254375000, 1.4385320000", \ - "0.5620023000, 0.5660107000, 0.5763886000, 0.6025792000, 0.6765027000, 0.9025882000, 1.6156780000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.0272758000, 0.0296727000, 0.0360078000, 0.0508259000, 0.0910198000, 0.2086603000, 0.6017411000", \ - "0.0275356000, 0.0295664000, 0.0357445000, 0.0510662000, 0.0910329000, 0.2084289000, 0.6008078000", \ - "0.0272592000, 0.0296384000, 0.0358992000, 0.0509376000, 0.0910643000, 0.2086215000, 0.5988084000", \ - "0.0272169000, 0.0295094000, 0.0356818000, 0.0516760000, 0.0910885000, 0.2086468000, 0.6010752000", \ - "0.0271890000, 0.0295709000, 0.0360172000, 0.0508740000, 0.0911764000, 0.2086268000, 0.5982201000", \ - "0.0272823000, 0.0295988000, 0.0357263000, 0.0508421000, 0.0908837000, 0.2076126000, 0.6015757000", \ - "0.0274010000, 0.0296989000, 0.0363008000, 0.0513626000, 0.0910893000, 0.2087313000, 0.6007771000"); - } - related_pin : "A1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.0202336000, 0.0232279000, 0.0324526000, 0.0624682000, 0.1616113000, 0.4827844000, 1.5032696000", \ - "0.0202150000, 0.0231697000, 0.0324161000, 0.0624597000, 0.1617578000, 0.4827989000, 1.5000770000", \ - "0.0202620000, 0.0232406000, 0.0324581000, 0.0623352000, 0.1619234000, 0.4826979000, 1.5004742000", \ - "0.0202755000, 0.0232055000, 0.0324603000, 0.0623458000, 0.1620417000, 0.4825131000, 1.5020135000", \ - "0.0204634000, 0.0234344000, 0.0326253000, 0.0624816000, 0.1620069000, 0.4828038000, 1.5017680000", \ - "0.0215738000, 0.0244295000, 0.0335427000, 0.0630982000, 0.1619973000, 0.4824850000, 1.4991157000", \ - "0.0240575000, 0.0268462000, 0.0355111000, 0.0642467000, 0.1631057000, 0.4822050000, 1.4986121000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.1822825000, 0.1862167000, 0.1962834000, 0.2185939000, 0.2652104000, 0.3717345000, 0.6713780000", \ - "0.1868372000, 0.1907816000, 0.2008805000, 0.2232203000, 0.2697154000, 0.3765788000, 0.6766055000", \ - "0.1964574000, 0.2003889000, 0.2104753000, 0.2327846000, 0.2792445000, 0.3859301000, 0.6856138000", \ - "0.2113896000, 0.2153215000, 0.2253858000, 0.2477037000, 0.2941588000, 0.4010264000, 0.7011700000", \ - "0.2279148000, 0.2317978000, 0.2418286000, 0.2641193000, 0.3105803000, 0.4174112000, 0.7172130000", \ - "0.2369783000, 0.2408986000, 0.2509851000, 0.2732104000, 0.3196493000, 0.4268016000, 0.7269091000", \ - "0.2160743000, 0.2200039000, 0.2300966000, 0.2524422000, 0.2987782000, 0.4059462000, 0.7062007000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.1655555000, 0.1691459000, 0.1788201000, 0.2044676000, 0.2779781000, 0.5038446000, 1.2159201000", \ - "0.1684302000, 0.1719607000, 0.1816799000, 0.2072486000, 0.2805023000, 0.5072162000, 1.2218718000", \ - "0.1786103000, 0.1821955000, 0.1918917000, 0.2175345000, 0.2909892000, 0.5165509000, 1.2292439000", \ - "0.2075203000, 0.2111209000, 0.2207970000, 0.2464456000, 0.3199261000, 0.5455973000, 1.2581258000", \ - "0.2728460000, 0.2764479000, 0.2861684000, 0.3118189000, 0.3852970000, 0.6110517000, 1.3235582000", \ - "0.3851472000, 0.3888103000, 0.3986720000, 0.4244023000, 0.4980326000, 0.7241714000, 1.4364657000", \ - "0.5752232000, 0.5792071000, 0.5896179000, 0.6158729000, 0.6895162000, 0.9157875000, 1.6282844000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.0272993000, 0.0296853000, 0.0358498000, 0.0509247000, 0.0904921000, 0.2088181000, 0.6016163000", \ - "0.0271602000, 0.0295058000, 0.0356824000, 0.0509440000, 0.0909749000, 0.2085710000, 0.6012919000", \ - "0.0272910000, 0.0296682000, 0.0359575000, 0.0510633000, 0.0909354000, 0.2088417000, 0.6013569000", \ - "0.0272873000, 0.0296464000, 0.0358130000, 0.0509477000, 0.0910013000, 0.2088441000, 0.6007365000", \ - "0.0273778000, 0.0296528000, 0.0356064000, 0.0512050000, 0.0911795000, 0.2087047000, 0.5999983000", \ - "0.0276008000, 0.0297946000, 0.0359221000, 0.0514218000, 0.0911216000, 0.2089825000, 0.6018152000", \ - "0.0277500000, 0.0301583000, 0.0360224000, 0.0514395000, 0.0912500000, 0.2091380000, 0.6015667000"); - } - related_pin : "A2_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.0202436000, 0.0232260000, 0.0324498000, 0.0623287000, 0.1620334000, 0.4824611000, 1.4944298000", \ - "0.0201850000, 0.0231806000, 0.0324696000, 0.0622199000, 0.1619947000, 0.4824139000, 1.5022836000", \ - "0.0202346000, 0.0231790000, 0.0324096000, 0.0624588000, 0.1617336000, 0.4828315000, 1.5016131000", \ - "0.0202398000, 0.0232302000, 0.0324152000, 0.0624434000, 0.1618740000, 0.4827348000, 1.4998565000", \ - "0.0205044000, 0.0234190000, 0.0326399000, 0.0624228000, 0.1619002000, 0.4827233000, 1.4993775000", \ - "0.0216136000, 0.0245511000, 0.0335465000, 0.0629855000, 0.1626201000, 0.4812334000, 1.5018957000", \ - "0.0245481000, 0.0274302000, 0.0359098000, 0.0643876000, 0.1628658000, 0.4824696000, 1.4966000000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.1832677000, 0.1871899000, 0.1972930000, 0.2195867000, 0.2657564000, 0.3723799000, 0.6715818000", \ - "0.1884592000, 0.1923844000, 0.2024134000, 0.2247268000, 0.2713312000, 0.3777033000, 0.6768715000", \ - "0.2012875000, 0.2051929000, 0.2152417000, 0.2375440000, 0.2841492000, 0.3905490000, 0.6896697000", \ - "0.2294062000, 0.2333433000, 0.2433886000, 0.2654468000, 0.3119119000, 0.4186300000, 0.7179067000", \ - "0.2899330000, 0.2938747000, 0.3039225000, 0.3262085000, 0.3727103000, 0.4795057000, 0.7791087000", \ - "0.4078901000, 0.4121949000, 0.4233002000, 0.4475785000, 0.4968193000, 0.6057806000, 0.9058223000", \ - "0.6075947000, 0.6129514000, 0.6263973000, 0.6557050000, 0.7122333000, 0.8294899000, 1.1332602000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.0874570000, 0.0912668000, 0.1016947000, 0.1285348000, 0.2031202000, 0.4307015000, 1.1446318000", \ - "0.0916983000, 0.0955198000, 0.1058678000, 0.1328092000, 0.2074045000, 0.4346563000, 1.1491892000", \ - "0.1008996000, 0.1047587000, 0.1151176000, 0.1420274000, 0.2166610000, 0.4441100000, 1.1582209000", \ - "0.1216649000, 0.1254562000, 0.1357051000, 0.1624611000, 0.2368858000, 0.4644932000, 1.1809712000", \ - "0.1575424000, 0.1615903000, 0.1723703000, 0.1998444000, 0.2744607000, 0.5027982000, 1.2157336000", \ - "0.2028766000, 0.2076627000, 0.2199482000, 0.2488787000, 0.3240270000, 0.5515226000, 1.2681981000", \ - "0.2372363000, 0.2435110000, 0.2592370000, 0.2933702000, 0.3713470000, 0.5992233000, 1.3124750000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.0272731000, 0.0296895000, 0.0357828000, 0.0507589000, 0.0911377000, 0.2086786000, 0.6013721000", \ - "0.0272286000, 0.0295815000, 0.0358464000, 0.0510711000, 0.0905280000, 0.2086257000, 0.6005728000", \ - "0.0273304000, 0.0297067000, 0.0358527000, 0.0510310000, 0.0904901000, 0.2086234000, 0.6006809000", \ - "0.0272012000, 0.0295235000, 0.0356983000, 0.0513976000, 0.0911446000, 0.2087204000, 0.5988943000", \ - "0.0275334000, 0.0296876000, 0.0357807000, 0.0510464000, 0.0906990000, 0.2086315000, 0.6002530000", \ - "0.0327861000, 0.0355581000, 0.0415285000, 0.0574785000, 0.0961118000, 0.2120244000, 0.6009643000", \ - "0.0447861000, 0.0476984000, 0.0550899000, 0.0715436000, 0.1110040000, 0.2233819000, 0.6017117000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.0209540000, 0.0242483000, 0.0341076000, 0.0646292000, 0.1639472000, 0.4862745000, 1.5041085000", \ - "0.0208504000, 0.0242109000, 0.0341468000, 0.0645977000, 0.1639251000, 0.4859760000, 1.5042531000", \ - "0.0210096000, 0.0242758000, 0.0341581000, 0.0645560000, 0.1639896000, 0.4858194000, 1.5044034000", \ - "0.0210204000, 0.0243200000, 0.0343614000, 0.0647621000, 0.1641279000, 0.4854330000, 1.5042104000", \ - "0.0235496000, 0.0268917000, 0.0366359000, 0.0662944000, 0.1642557000, 0.4859042000, 1.5018760000", \ - "0.0295181000, 0.0327747000, 0.0423258000, 0.0708572000, 0.1661045000, 0.4838154000, 1.5042033000", \ - "0.0406088000, 0.0446235000, 0.0547955000, 0.0819446000, 0.1702493000, 0.4874301000, 1.5001632000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.1702127000, 0.1741091000, 0.1840822000, 0.2065363000, 0.2533614000, 0.3612158000, 0.6607177000", \ - "0.1750437000, 0.1788742000, 0.1888635000, 0.2113796000, 0.2583751000, 0.3660531000, 0.6657034000", \ - "0.1872954000, 0.1911535000, 0.2010708000, 0.2235583000, 0.2705599000, 0.3781002000, 0.6779639000", \ - "0.2150837000, 0.2189451000, 0.2289053000, 0.2512846000, 0.2981699000, 0.4060560000, 0.7057155000", \ - "0.2755499000, 0.2793739000, 0.2893368000, 0.3116957000, 0.3586855000, 0.4665607000, 0.7663435000", \ - "0.3909991000, 0.3953231000, 0.4063924000, 0.4309555000, 0.4812717000, 0.5917445000, 0.8927923000", \ - "0.5894414000, 0.5946347000, 0.6080097000, 0.6374939000, 0.6955500000, 0.8153180000, 1.1193858000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.0822472000, 0.0860652000, 0.0964554000, 0.1233319000, 0.1979896000, 0.4249381000, 1.1394222000", \ - "0.0862175000, 0.0900196000, 0.1003956000, 0.1272997000, 0.2018228000, 0.4300120000, 1.1425511000", \ - "0.0962197000, 0.1000743000, 0.1104338000, 0.1373281000, 0.2119496000, 0.4394332000, 1.1537176000", \ - "0.1195205000, 0.1232833000, 0.1335707000, 0.1601844000, 0.2346224000, 0.4620599000, 1.1789925000", \ - "0.1555624000, 0.1595543000, 0.1703106000, 0.1974903000, 0.2719112000, 0.5006506000, 1.2134276000", \ - "0.1960956000, 0.2009849000, 0.2131793000, 0.2417964000, 0.3161753000, 0.5438435000, 1.2580605000", \ - "0.2173330000, 0.2237495000, 0.2398292000, 0.2739040000, 0.3508231000, 0.5774936000, 1.2917118000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.0266137000, 0.0289259000, 0.0352627000, 0.0514061000, 0.0918973000, 0.2096584000, 0.6014580000", \ - "0.0264699000, 0.0288846000, 0.0352264000, 0.0511827000, 0.0920069000, 0.2097849000, 0.5986128000", \ - "0.0267024000, 0.0288242000, 0.0354694000, 0.0511405000, 0.0920107000, 0.2100717000, 0.5999802000", \ - "0.0266235000, 0.0289143000, 0.0353763000, 0.0511613000, 0.0920282000, 0.2101115000, 0.6011577000", \ - "0.0267299000, 0.0291147000, 0.0355821000, 0.0510656000, 0.0919617000, 0.2099276000, 0.6002916000", \ - "0.0324466000, 0.0349604000, 0.0422635000, 0.0583436000, 0.0976720000, 0.2138224000, 0.6013415000", \ - "0.0449421000, 0.0478249000, 0.0556585000, 0.0728937000, 0.1143831000, 0.2270420000, 0.6038857000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015825200, 0.0050087700, 0.0158530000, 0.0501755000, 0.1588080000, 0.5026340000"); - values("0.0208685000, 0.0242575000, 0.0341108000, 0.0645947000, 0.1641248000, 0.4846235000, 1.5045658000", \ - "0.0209097000, 0.0243180000, 0.0341864000, 0.0646124000, 0.1640981000, 0.4856112000, 1.5013609000", \ - "0.0209883000, 0.0242255000, 0.0341365000, 0.0645834000, 0.1638339000, 0.4858472000, 1.5044791000", \ - "0.0210857000, 0.0243931000, 0.0344188000, 0.0647935000, 0.1638821000, 0.4852158000, 1.5023398000", \ - "0.0239007000, 0.0271725000, 0.0367082000, 0.0666904000, 0.1645811000, 0.4855289000, 1.5005689000", \ - "0.0311271000, 0.0342270000, 0.0432145000, 0.0709573000, 0.1664819000, 0.4845334000, 1.5018551000", \ - "0.0435989000, 0.0474942000, 0.0573894000, 0.0833269000, 0.1704882000, 0.4876212000, 1.5008146000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a2bb2oi_1") { - leakage_power () { - value : 0.0029310000; - when : "!A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0029310000; - when : "!A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0010888000; - when : "!A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0029310000; - when : "!A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0050337000; - when : "!A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0047996000; - when : "!A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0069166000; - when : "!A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0052427000; - when : "!A1_N&A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0035501000; - when : "A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0033160000; - when : "A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0054330000; - when : "A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0037590000; - when : "A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0010844000; - when : "A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0008503000; - when : "A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0029673000; - when : "A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0012933000; - when : "A1_N&A2_N&B1&!B2"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__a2bb2oi"; - cell_leakage_power : 0.0033829740; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1_N") { - capacitance : 0.0023790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0146984000, 0.0145980000, 0.0143666000, 0.0144200000, 0.0145433000, 0.0148275000, 0.0154824000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046331000, 0.0045317000, 0.0042980000, 0.0044193000, 0.0046990000, 0.0053437000, 0.0068298000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024790000; - } - pin ("A2_N") { - capacitance : 0.0024790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0124968000, 0.0123542000, 0.0120255000, 0.0122062000, 0.0126229000, 0.0135833000, 0.0157972000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0031957000, 0.0030706000, 0.0027822000, 0.0029253000, 0.0032551000, 0.0040153000, 0.0057676000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026470000; - } - pin ("B1") { - capacitance : 0.0023450000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040144000, 0.0040148000, 0.0040158000, 0.0040157000, 0.0040156000, 0.0040152000, 0.0040144000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004008700, -0.004007300, -0.004004200, -0.004002400, -0.003998500, -0.003989300, -0.003968200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024570000; - } - pin ("B2") { - capacitance : 0.0024190000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023430000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042190000, 0.0042181000, 0.0042160000, 0.0042176000, 0.0042212000, 0.0042296000, 0.0042490000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004217000, -0.004218200, -0.004220900, -0.004219900, -0.004217500, -0.004212100, -0.004199600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024950000; - } - pin ("Y") { - direction : "output"; - function : "(A1_N&!B1) | (A1_N&!B2) | (A2_N&!B1) | (A2_N&!B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011382370, 0.0025911690, 0.0058987310, 0.0134283100, 0.0305692200, 0.0695900500"); - values("0.0018964000, 0.0011502000, -0.000670800, -0.005417300, -0.017123600, -0.044671700, -0.107818300", \ - "0.0018247000, 0.0010714000, -0.000785400, -0.005488200, -0.017184600, -0.044742800, -0.107883500", \ - "0.0018899000, 0.0011747000, -0.000698500, -0.005418100, -0.017123700, -0.044665800, -0.107813100", \ - "0.0016491000, 0.0008989000, -0.000940400, -0.005654400, -0.017335000, -0.044873000, -0.107992700", \ - "0.0012579000, 0.0005034000, -0.001347200, -0.005999800, -0.017607200, -0.045107600, -0.108219100", \ - "0.0018230000, 0.0008710000, -0.001320200, -0.006444200, -0.018342300, -0.045431200, -0.108463800", \ - "0.0026110000, 0.0015763000, -0.000836600, -0.006022400, -0.017929400, -0.045374800, -0.108111500"); - } - related_pin : "A1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011382370, 0.0025911690, 0.0058987310, 0.0134283100, 0.0305692200, 0.0695900500"); - values("0.0082519000, 0.0093643000, 0.0118352000, 0.0173750000, 0.0296264000, 0.0572174000, 0.1196506000", \ - "0.0082156000, 0.0093192000, 0.0118080000, 0.0173173000, 0.0295734000, 0.0571631000, 0.1196342000", \ - "0.0081986000, 0.0092929000, 0.0117700000, 0.0172694000, 0.0295642000, 0.0571829000, 0.1196346000", \ - "0.0077484000, 0.0088339000, 0.0112826000, 0.0167785000, 0.0290932000, 0.0567642000, 0.1192405000", \ - "0.0073453000, 0.0084056000, 0.0108324000, 0.0162916000, 0.0286134000, 0.0562994000, 0.1188511000", \ - "0.0072555000, 0.0082779000, 0.0105747000, 0.0159340000, 0.0282481000, 0.0559009000, 0.1186105000", \ - "0.0076592000, 0.0087094000, 0.0109954000, 0.0163584000, 0.0284460000, 0.0563112000, 0.1186707000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011382370, 0.0025911690, 0.0058987310, 0.0134283100, 0.0305692200, 0.0695900500"); - values("0.0019406000, 0.0011793000, -0.000637400, -0.005362700, -0.017087500, -0.044642500, -0.107775200", \ - "0.0017939000, 0.0010444000, -0.000799000, -0.005519900, -0.017231600, -0.044776300, -0.107910500", \ - "0.0018996000, 0.0011567000, -0.000711800, -0.005417000, -0.017112900, -0.044662200, -0.107786200", \ - "0.0016257000, 0.0008522000, -0.001031200, -0.005741300, -0.017422100, -0.044946900, -0.108058600", \ - "0.0011466000, 0.0004006000, -0.001507200, -0.006253700, -0.017822800, -0.045280100, -0.108344900", \ - "0.0017617000, 0.0007745000, -0.001462000, -0.006583100, -0.018551300, -0.045570700, -0.108568500", \ - "0.0019016000, 0.0008655000, -0.001397500, -0.006498500, -0.018452700, -0.045959400, -0.108682500"); - } - related_pin : "A2_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011382370, 0.0025911690, 0.0058987310, 0.0134283100, 0.0305692200, 0.0695900500"); - values("0.0082237000, 0.0093028000, 0.0117097000, 0.0170695000, 0.0291322000, 0.0565166000, 0.1187464000", \ - "0.0081762000, 0.0092576000, 0.0116792000, 0.0170615000, 0.0291491000, 0.0565742000, 0.1188703000", \ - "0.0081192000, 0.0091979000, 0.0116091000, 0.0170554000, 0.0292000000, 0.0566316000, 0.1189371000", \ - "0.0075725000, 0.0086518000, 0.0110576000, 0.0165015000, 0.0286972000, 0.0562249000, 0.1185832000", \ - "0.0071509000, 0.0082096000, 0.0105247000, 0.0159473000, 0.0281842000, 0.0558127000, 0.1182478000", \ - "0.0072104000, 0.0081828000, 0.0105078000, 0.0157794000, 0.0280375000, 0.0555824000, 0.1180561000", \ - "0.0081807000, 0.0092407000, 0.0115724000, 0.0168467000, 0.0288478000, 0.0564258000, 0.1187566000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011382370, 0.0025911690, 0.0058987310, 0.0134283100, 0.0305692200, 0.0695900500"); - values("0.0050310000, 0.0040205000, 0.0016963000, -0.003602100, -0.015723500, -0.043404600, -0.106526200", \ - "0.0049074000, 0.0038986000, 0.0015763000, -0.003708800, -0.015828100, -0.043512800, -0.106616000", \ - "0.0047437000, 0.0037403000, 0.0014352000, -0.003833000, -0.015915400, -0.043583900, -0.106727000", \ - "0.0045388000, 0.0035525000, 0.0012804000, -0.003957200, -0.016015900, -0.043654300, -0.106760700", \ - "0.0045587000, 0.0035496000, 0.0011772000, -0.004161000, -0.016164200, -0.043739100, -0.106804700", \ - "0.0047889000, 0.0037593000, 0.0013884000, -0.003970100, -0.016110700, -0.043826400, -0.106838200", \ - "0.0058687000, 0.0047861000, 0.0023328000, -0.003159100, -0.015542500, -0.043456400, -0.106755200"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011382370, 0.0025911690, 0.0058987310, 0.0134283100, 0.0305692200, 0.0695900500"); - values("0.0105861000, 0.0116241000, 0.0139942000, 0.0193254000, 0.0314125000, 0.0588561000, 0.1211723000", \ - "0.0104498000, 0.0114957000, 0.0138915000, 0.0192322000, 0.0313580000, 0.0587666000, 0.1211422000", \ - "0.0102967000, 0.0113435000, 0.0137416000, 0.0191056000, 0.0312607000, 0.0587487000, 0.1211310000", \ - "0.0101914000, 0.0112351000, 0.0136057000, 0.0189652000, 0.0311141000, 0.0586201000, 0.1210423000", \ - "0.0100864000, 0.0111421000, 0.0135233000, 0.0188446000, 0.0309592000, 0.0584865000, 0.1209470000", \ - "0.0100715000, 0.0110838000, 0.0134491000, 0.0188164000, 0.0310041000, 0.0584547000, 0.1208429000", \ - "0.0103669000, 0.0113620000, 0.0136338000, 0.0188190000, 0.0311926000, 0.0587366000, 0.1212691000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011382370, 0.0025911690, 0.0058987310, 0.0134283100, 0.0305692200, 0.0695900500"); - values("0.0053302000, 0.0043296000, 0.0020141000, -0.003276200, -0.015410400, -0.043078600, -0.106175100", \ - "0.0051874000, 0.0041853000, 0.0018923000, -0.003384100, -0.015488700, -0.043159700, -0.106260300", \ - "0.0049754000, 0.0039804000, 0.0017295000, -0.003534200, -0.015596600, -0.043234600, -0.106353200", \ - "0.0047601000, 0.0037812000, 0.0014964000, -0.003681600, -0.015720800, -0.043326500, -0.106396900", \ - "0.0047653000, 0.0037535000, 0.0014174000, -0.003774600, -0.015835200, -0.043435500, -0.106485800", \ - "0.0052740000, 0.0042211000, 0.0019888000, -0.003594400, -0.015775100, -0.043337000, -0.106429700", \ - "0.0067479000, 0.0056461000, 0.0031485000, -0.002418600, -0.014754100, -0.042771900, -0.106264500"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011382370, 0.0025911690, 0.0058987310, 0.0134283100, 0.0305692200, 0.0695900500"); - values("0.0077037000, 0.0088233000, 0.0112464000, 0.0166433000, 0.0287616000, 0.0561955000, 0.1185592000", \ - "0.0074612000, 0.0085860000, 0.0110555000, 0.0165201000, 0.0286486000, 0.0563426000, 0.1194861000", \ - "0.0072499000, 0.0083443000, 0.0107927000, 0.0163175000, 0.0286717000, 0.0561881000, 0.1185319000", \ - "0.0071259000, 0.0081843000, 0.0105792000, 0.0160270000, 0.0282957000, 0.0560948000, 0.1188871000", \ - "0.0070086000, 0.0080659000, 0.0104231000, 0.0157933000, 0.0280544000, 0.0555553000, 0.1191338000", \ - "0.0070043000, 0.0080360000, 0.0103932000, 0.0157534000, 0.0279528000, 0.0556243000, 0.1186187000", \ - "0.0072724000, 0.0082325000, 0.0105391000, 0.0157089000, 0.0280824000, 0.0557731000, 0.1184099000"); - } - } - max_capacitance : 0.0695900000; - max_transition : 1.4942230000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.1111415000, 0.1154701000, 0.1239808000, 0.1396402000, 0.1686284000, 0.2249226000, 0.3451324000", \ - "0.1160721000, 0.1203943000, 0.1289170000, 0.1445338000, 0.1735560000, 0.2299009000, 0.3500404000", \ - "0.1276640000, 0.1322772000, 0.1406176000, 0.1564070000, 0.1854206000, 0.2417337000, 0.3619886000", \ - "0.1534033000, 0.1579232000, 0.1663883000, 0.1821544000, 0.2111611000, 0.2675672000, 0.3877624000", \ - "0.2047048000, 0.2091118000, 0.2183404000, 0.2352032000, 0.2647292000, 0.3215943000, 0.4418911000", \ - "0.2883558000, 0.2936834000, 0.3043273000, 0.3233985000, 0.3565780000, 0.4166767000, 0.5387409000", \ - "0.4251904000, 0.4319152000, 0.4450878000, 0.4684088000, 0.5073971000, 0.5734328000, 0.6985780000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.1016376000, 0.1120047000, 0.1350522000, 0.1861222000, 0.2997900000, 0.5548120000, 1.1326634000", \ - "0.1062037000, 0.1164503000, 0.1396069000, 0.1907536000, 0.3042517000, 0.5593641000, 1.1373328000", \ - "0.1168338000, 0.1271052000, 0.1500059000, 0.2011440000, 0.3147958000, 0.5701323000, 1.1513779000", \ - "0.1361542000, 0.1465308000, 0.1693554000, 0.2202490000, 0.3340147000, 0.5897888000, 1.1706044000", \ - "0.1630373000, 0.1731082000, 0.1957694000, 0.2465357000, 0.3601626000, 0.6161379000, 1.1963941000", \ - "0.1936568000, 0.2032661000, 0.2254902000, 0.2758373000, 0.3892014000, 0.6450622000, 1.2244337000", \ - "0.2130845000, 0.2230796000, 0.2448727000, 0.2946385000, 0.4073978000, 0.6629732000, 1.2425178000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0306494000, 0.0330352000, 0.0386226000, 0.0513320000, 0.0792586000, 0.1438345000, 0.2970293000", \ - "0.0306552000, 0.0330770000, 0.0387230000, 0.0514435000, 0.0793166000, 0.1445239000, 0.2967399000", \ - "0.0306678000, 0.0330376000, 0.0386865000, 0.0512946000, 0.0792382000, 0.1444969000, 0.2965254000", \ - "0.0307070000, 0.0330926000, 0.0387103000, 0.0512642000, 0.0792460000, 0.1437777000, 0.2968163000", \ - "0.0343313000, 0.0366182000, 0.0420472000, 0.0542194000, 0.0814072000, 0.1446251000, 0.2970571000", \ - "0.0431056000, 0.0453205000, 0.0506471000, 0.0628321000, 0.0895151000, 0.1515371000, 0.3005791000", \ - "0.0595475000, 0.0620383000, 0.0676939000, 0.0800907000, 0.1060652000, 0.1637922000, 0.3073391000"); - } - related_pin : "A1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0688322000, 0.0820539000, 0.1120092000, 0.1800975000, 0.3340153000, 0.6835135000, 1.4740639000", \ - "0.0687159000, 0.0821103000, 0.1120553000, 0.1799139000, 0.3334102000, 0.6830734000, 1.4750529000", \ - "0.0687149000, 0.0821489000, 0.1119521000, 0.1796755000, 0.3341415000, 0.6814138000, 1.4787466000", \ - "0.0687407000, 0.0820674000, 0.1121385000, 0.1797452000, 0.3339982000, 0.6809829000, 1.4781276000", \ - "0.0689042000, 0.0823644000, 0.1126322000, 0.1801524000, 0.3337781000, 0.6813132000, 1.4779761000", \ - "0.0699207000, 0.0829870000, 0.1126566000, 0.1805940000, 0.3338096000, 0.6815518000, 1.4741851000", \ - "0.0760182000, 0.0879511000, 0.1156969000, 0.1815698000, 0.3350941000, 0.6845421000, 1.4752034000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0999285000, 0.1043033000, 0.1128539000, 0.1286150000, 0.1574329000, 0.2137291000, 0.3339391000", \ - "0.1029940000, 0.1071139000, 0.1156882000, 0.1315280000, 0.1603964000, 0.2167607000, 0.3369332000", \ - "0.1133437000, 0.1176779000, 0.1261948000, 0.1421743000, 0.1710271000, 0.2274626000, 0.3475977000", \ - "0.1410908000, 0.1454618000, 0.1539742000, 0.1696134000, 0.1986011000, 0.2551049000, 0.3752279000", \ - "0.1979656000, 0.2028347000, 0.2115981000, 0.2284041000, 0.2580026000, 0.3147635000, 0.4351368000", \ - "0.2880549000, 0.2937498000, 0.3048929000, 0.3236178000, 0.3558022000, 0.4144315000, 0.5374853000", \ - "0.4339974000, 0.4412159000, 0.4544426000, 0.4778520000, 0.5158117000, 0.5777655000, 0.7003452000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0953433000, 0.1054268000, 0.1280676000, 0.1780824000, 0.2901094000, 0.5436975000, 1.1213566000", \ - "0.0998315000, 0.1098324000, 0.1325104000, 0.1827216000, 0.2949305000, 0.5486510000, 1.1267438000", \ - "0.1092866000, 0.1194715000, 0.1421828000, 0.1926019000, 0.3053047000, 0.5600083000, 1.1386563000", \ - "0.1249494000, 0.1350644000, 0.1575674000, 0.2080773000, 0.3211766000, 0.5759228000, 1.1536343000", \ - "0.1439297000, 0.1540998000, 0.1760655000, 0.2266202000, 0.3397638000, 0.5951171000, 1.1733396000", \ - "0.1609914000, 0.1704264000, 0.1928278000, 0.2431791000, 0.3562508000, 0.6116045000, 1.1900723000", \ - "0.1590946000, 0.1690324000, 0.1910097000, 0.2402784000, 0.3522434000, 0.6070666000, 1.1860570000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0306454000, 0.0330416000, 0.0386479000, 0.0511677000, 0.0794170000, 0.1436869000, 0.2967407000", \ - "0.0306550000, 0.0330731000, 0.0386352000, 0.0513950000, 0.0793010000, 0.1438104000, 0.2968728000", \ - "0.0306437000, 0.0330984000, 0.0387113000, 0.0513740000, 0.0793010000, 0.1435971000, 0.2968578000", \ - "0.0308023000, 0.0332467000, 0.0388375000, 0.0514113000, 0.0792919000, 0.1436766000, 0.2971486000", \ - "0.0358535000, 0.0379898000, 0.0431177000, 0.0551208000, 0.0823819000, 0.1452377000, 0.2974561000", \ - "0.0482660000, 0.0500228000, 0.0541687000, 0.0644475000, 0.0897366000, 0.1519578000, 0.3014985000", \ - "0.0659634000, 0.0679877000, 0.0728235000, 0.0834127000, 0.1056278000, 0.1616299000, 0.3055877000"); - } - related_pin : "A2_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0685717000, 0.0819304000, 0.1118866000, 0.1794468000, 0.3332228000, 0.6819605000, 1.4774901000", \ - "0.0685912000, 0.0819583000, 0.1118802000, 0.1796764000, 0.3329860000, 0.6811079000, 1.4733732000", \ - "0.0686317000, 0.0818103000, 0.1117880000, 0.1796742000, 0.3334046000, 0.6822241000, 1.4791187000", \ - "0.0686667000, 0.0819620000, 0.1117985000, 0.1796654000, 0.3330540000, 0.6808758000, 1.4716729000", \ - "0.0689248000, 0.0822073000, 0.1123632000, 0.1801435000, 0.3331939000, 0.6816607000, 1.4764919000", \ - "0.0703493000, 0.0832404000, 0.1127630000, 0.1805700000, 0.3339300000, 0.6839764000, 1.4770157000", \ - "0.0789722000, 0.0904797000, 0.1174332000, 0.1826902000, 0.3348435000, 0.6828696000, 1.4734677000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0323587000, 0.0359830000, 0.0439006000, 0.0608027000, 0.0978872000, 0.1804666000, 0.3669202000", \ - "0.0366759000, 0.0403275000, 0.0481697000, 0.0651702000, 0.1023072000, 0.1848710000, 0.3715136000", \ - "0.0463640000, 0.0499753000, 0.0578086000, 0.0747904000, 0.1119719000, 0.1946566000, 0.3811514000", \ - "0.0636839000, 0.0683732000, 0.0778960000, 0.0965470000, 0.1343058000, 0.2171530000, 0.4037947000", \ - "0.0870286000, 0.0938935000, 0.1073255000, 0.1336986000, 0.1806066000, 0.2684128000, 0.4557904000", \ - "0.1091296000, 0.1196773000, 0.1412565000, 0.1819360000, 0.2524011000, 0.3691674000, 0.5748202000", \ - "0.1118479000, 0.1283173000, 0.1617749000, 0.2258197000, 0.3366134000, 0.5155092000, 0.7969144000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0938234000, 0.1037033000, 0.1258436000, 0.1754735000, 0.2875242000, 0.5415340000, 1.1193414000", \ - "0.0987514000, 0.1084996000, 0.1308193000, 0.1806902000, 0.2929786000, 0.5470041000, 1.1251405000", \ - "0.1110253000, 0.1209884000, 0.1432719000, 0.1933848000, 0.3058485000, 0.5602029000, 1.1380927000", \ - "0.1379304000, 0.1477145000, 0.1699277000, 0.2199616000, 0.3325332000, 0.5872003000, 1.1651373000", \ - "0.1887861000, 0.2005350000, 0.2253253000, 0.2765923000, 0.3891100000, 0.6439452000, 1.2223448000", \ - "0.2723480000, 0.2888294000, 0.3220043000, 0.3880531000, 0.5169808000, 0.7741751000, 1.3524510000", \ - "0.4030976000, 0.4278942000, 0.4794206000, 0.5762737000, 0.7511233000, 1.0627181000, 1.6524265000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0247276000, 0.0288683000, 0.0381614000, 0.0590299000, 0.1066106000, 0.2153406000, 0.4631432000", \ - "0.0245110000, 0.0287077000, 0.0380577000, 0.0589030000, 0.1067928000, 0.2159734000, 0.4629705000", \ - "0.0254277000, 0.0293169000, 0.0382519000, 0.0587957000, 0.1067564000, 0.2149431000, 0.4636845000", \ - "0.0339663000, 0.0376929000, 0.0455988000, 0.0633543000, 0.1078327000, 0.2151910000, 0.4627873000", \ - "0.0528753000, 0.0572508000, 0.0672129000, 0.0867048000, 0.1267405000, 0.2226218000, 0.4630098000", \ - "0.0879473000, 0.0947178000, 0.1086957000, 0.1347919000, 0.1842854000, 0.2761181000, 0.4864453000", \ - "0.1510213000, 0.1619192000, 0.1836350000, 0.2228106000, 0.2911469000, 0.4080418000, 0.6182835000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0687836000, 0.0820786000, 0.1119010000, 0.1796769000, 0.3337545000, 0.6831695000, 1.4778541000", \ - "0.0688410000, 0.0820631000, 0.1120764000, 0.1798769000, 0.3333348000, 0.6811366000, 1.4742713000", \ - "0.0687183000, 0.0820888000, 0.1118958000, 0.1796520000, 0.3328313000, 0.6806594000, 1.4728603000", \ - "0.0695549000, 0.0825259000, 0.1121272000, 0.1798236000, 0.3331738000, 0.6809518000, 1.4726683000", \ - "0.0846738000, 0.0968107000, 0.1234028000, 0.1857525000, 0.3331826000, 0.6824645000, 1.4755729000", \ - "0.1231942000, 0.1368632000, 0.1658532000, 0.2272887000, 0.3600115000, 0.6866172000, 1.4742810000", \ - "0.2048097000, 0.2217553000, 0.2578375000, 0.3295671000, 0.4745648000, 0.7696304000, 1.4942229000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0283184000, 0.0319725000, 0.0398706000, 0.0568721000, 0.0939537000, 0.1765426000, 0.3629918000", \ - "0.0323103000, 0.0359784000, 0.0439041000, 0.0608845000, 0.0980513000, 0.1805943000, 0.3671223000", \ - "0.0426013000, 0.0461389000, 0.0539549000, 0.0708034000, 0.1079536000, 0.1905752000, 0.3770573000", \ - "0.0595169000, 0.0642648000, 0.0744599000, 0.0946835000, 0.1317613000, 0.2135687000, 0.4001926000", \ - "0.0777684000, 0.0855482000, 0.1010788000, 0.1307744000, 0.1822397000, 0.2696027000, 0.4555943000", \ - "0.0897813000, 0.1016583000, 0.1254567000, 0.1701925000, 0.2492227000, 0.3752725000, 0.5814179000", \ - "0.0705264000, 0.0885825000, 0.1251494000, 0.1937130000, 0.3144163000, 0.5125567000, 0.8214047000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0735112000, 0.0831808000, 0.1048340000, 0.1522813000, 0.2584638000, 0.4992394000, 1.0464879000", \ - "0.0776720000, 0.0874686000, 0.1091983000, 0.1573968000, 0.2652508000, 0.5099017000, 1.0600451000", \ - "0.0900076000, 0.0995824000, 0.1211831000, 0.1694892000, 0.2788442000, 0.5207338000, 1.0650238000", \ - "0.1183675000, 0.1278749000, 0.1492284000, 0.1972357000, 0.3054511000, 0.5484059000, 1.1025418000", \ - "0.1691937000, 0.1816882000, 0.2073812000, 0.2582663000, 0.3656697000, 0.6070424000, 1.1603820000", \ - "0.2499783000, 0.2691764000, 0.3070897000, 0.3769383000, 0.5056666000, 0.7501447000, 1.3047959000", \ - "0.3758550000, 0.4066637000, 0.4675780000, 0.5770803000, 0.7599294000, 1.0727630000, 1.6316318000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0246436000, 0.0288180000, 0.0380258000, 0.0589978000, 0.1067886000, 0.2159201000, 0.4626711000", \ - "0.0241404000, 0.0283608000, 0.0377430000, 0.0587233000, 0.1066384000, 0.2151958000, 0.4626058000", \ - "0.0262993000, 0.0298258000, 0.0382794000, 0.0586302000, 0.1065487000, 0.2158029000, 0.4631605000", \ - "0.0381156000, 0.0425742000, 0.0511389000, 0.0668649000, 0.1088131000, 0.2157937000, 0.4627033000", \ - "0.0597607000, 0.0655998000, 0.0775686000, 0.1005394000, 0.1380304000, 0.2277402000, 0.4633434000", \ - "0.0983285000, 0.1076159000, 0.1253177000, 0.1572366000, 0.2141192000, 0.3082853000, 0.4995412000", \ - "0.1654841000, 0.1797563000, 0.2087731000, 0.2585471000, 0.3418052000, 0.4744314000, 0.6891110000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0499654000, 0.0621490000, 0.0903702000, 0.1541409000, 0.2987266000, 0.6280724000, 1.3755177000", \ - "0.0500102000, 0.0622872000, 0.0906204000, 0.1541740000, 0.2990276000, 0.6297939000, 1.3866492000", \ - "0.0500613000, 0.0622815000, 0.0905238000, 0.1547509000, 0.3014425000, 0.6294435000, 1.3756626000", \ - "0.0520485000, 0.0635672000, 0.0909603000, 0.1543413000, 0.2997904000, 0.6298896000, 1.3810024000", \ - "0.0700955000, 0.0814745000, 0.1053836000, 0.1617122000, 0.3004521000, 0.6285400000, 1.3856998000", \ - "0.1103609000, 0.1238420000, 0.1522454000, 0.2100191000, 0.3299566000, 0.6344144000, 1.3846777000", \ - "0.1948519000, 0.2117738000, 0.2475377000, 0.3185492000, 0.4540238000, 0.7262140000, 1.3997140000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a2bb2oi_2") { - leakage_power () { - value : 0.0051601000; - when : "!A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0051574000; - when : "!A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0022952000; - when : "!A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0051601000; - when : "!A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0053221000; - when : "!A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0048742000; - when : "!A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0063400000; - when : "!A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0056486000; - when : "!A1_N&A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0042684000; - when : "A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0039038000; - when : "A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0052863000; - when : "A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0045950000; - when : "A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0021498000; - when : "A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0017055000; - when : "A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0031677000; - when : "A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0024764000; - when : "A1_N&A2_N&B1&!B2"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__a2bb2oi"; - cell_leakage_power : 0.0042194160; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1_N") { - capacitance : 0.0045730000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042890000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0281200000, 0.0278816000, 0.0273322000, 0.0273962000, 0.0275438000, 0.0278839000, 0.0286679000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0055130000, 0.0052957000, 0.0047947000, 0.0049771000, 0.0053975000, 0.0063666000, 0.0086004000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0048570000; - } - pin ("A2_N") { - capacitance : 0.0044340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0231082000, 0.0228582000, 0.0222819000, 0.0225310000, 0.0231050000, 0.0244282000, 0.0274783000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0011842000, 0.0010336000, 0.0006864000, 0.0008767000, 0.0013154000, 0.0023265000, 0.0046572000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047530000; - } - pin ("B1") { - capacitance : 0.0047990000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0083804000, 0.0083776000, 0.0083713000, 0.0083687000, 0.0083628000, 0.0083491000, 0.0083176000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008356400, -0.008358400, -0.008363100, -0.008361700, -0.008358400, -0.008350900, -0.008333500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050270000; - } - pin ("B2") { - capacitance : 0.0043360000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041770000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075667000, 0.0075594000, 0.0075425000, 0.0075461000, 0.0075544000, 0.0075737000, 0.0076180000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007557100, -0.007553900, -0.007546700, -0.007543900, -0.007537500, -0.007522600, -0.007488500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044960000; - } - pin ("Y") { - direction : "output"; - function : "(A1_N&!B1) | (A1_N&!B2) | (A2_N&!B1) | (A2_N&!B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("0.0010386000, 0.0001982000, -0.002088000, -0.008474300, -0.026989500, -0.076635100, -0.203729300", \ - "0.0008628000, 3.150000e-05, -0.002246700, -0.008674300, -0.027151300, -0.076836100, -0.203882300", \ - "0.0010354000, 0.0001675000, -0.002099700, -0.008514500, -0.027014100, -0.076667900, -0.203732600", \ - "0.0006824000, -0.000184500, -0.002482800, -0.008993100, -0.027407400, -0.077040400, -0.204059700", \ - "6.140000e-05, -0.000836600, -0.003093600, -0.009585300, -0.027929800, -0.077466000, -0.204445300", \ - "0.0014397000, 0.0002606000, -0.002482700, -0.010087600, -0.029134700, -0.077912300, -0.204794500", \ - "0.0030120000, 0.0017844000, -0.001295600, -0.008965900, -0.028407400, -0.078171200, -0.204369100"); - } - related_pin : "A1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("0.0176279000, 0.0188675000, 0.0220981000, 0.0301226000, 0.0499711000, 0.0998658000, 0.2255460000", \ - "0.0175258000, 0.0188272000, 0.0220492000, 0.0300527000, 0.0499859000, 0.0998399000, 0.2253960000", \ - "0.0176178000, 0.0188840000, 0.0221047000, 0.0301429000, 0.0500677000, 0.1000101000, 0.2256773000", \ - "0.0167652000, 0.0180555000, 0.0212706000, 0.0293135000, 0.0493206000, 0.0993125000, 0.2250298000", \ - "0.0158674000, 0.0171785000, 0.0203808000, 0.0284519000, 0.0485147000, 0.0985117000, 0.2242209000", \ - "0.0163111000, 0.0175319000, 0.0205173000, 0.0280909000, 0.0481243000, 0.0982510000, 0.2239913000", \ - "0.0171809000, 0.0185187000, 0.0215755000, 0.0293947000, 0.0492492000, 0.0990750000, 0.2246370000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("0.0010637000, 0.0001914000, -0.002138500, -0.008532300, -0.027020100, -0.076678800, -0.203743900", \ - "0.0008763000, 1.260000e-05, -0.002287800, -0.008750300, -0.027237300, -0.076880400, -0.203927700", \ - "0.0010663000, 0.0002129000, -0.002095200, -0.008539500, -0.027033800, -0.076652300, -0.203711900", \ - "0.0007111000, -0.000186900, -0.002478000, -0.009001400, -0.027450600, -0.077119900, -0.204125100", \ - "-4.42000e-05, -0.000990100, -0.003368200, -0.009869200, -0.028179800, -0.077647200, -0.204585700", \ - "0.0021514000, 0.0009385000, -0.002097800, -0.009665000, -0.029222100, -0.077958600, -0.204725300", \ - "0.0029954000, 0.0017127000, -0.001387600, -0.009143600, -0.028487800, -0.078330100, -0.204507100"); - } - related_pin : "A2_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("0.0181572000, 0.0194724000, 0.0227529000, 0.0309115000, 0.0508580000, 0.1005831000, 0.2262537000", \ - "0.0181149000, 0.0194265000, 0.0227094000, 0.0308598000, 0.0508553000, 0.1006682000, 0.2263329000", \ - "0.0179773000, 0.0192805000, 0.0225395000, 0.0306833000, 0.0507469000, 0.1006957000, 0.2263885000", \ - "0.0171160000, 0.0184074000, 0.0216576000, 0.0297422000, 0.0498314000, 0.0999975000, 0.2256595000", \ - "0.0160975000, 0.0173666000, 0.0206082000, 0.0286820000, 0.0487804000, 0.0989298000, 0.2245252000", \ - "0.0163267000, 0.0174914000, 0.0206536000, 0.0282946000, 0.0483834000, 0.0984354000, 0.2244305000", \ - "0.0175694000, 0.0188384000, 0.0219553000, 0.0297611000, 0.0496660000, 0.0994190000, 0.2252305000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("0.0094244000, 0.0081993000, 0.0051230000, -0.002667800, -0.022447300, -0.072604700, -0.199679100", \ - "0.0091650000, 0.0079421000, 0.0048675000, -0.002891700, -0.022632900, -0.072779500, -0.199818900", \ - "0.0087811000, 0.0075860000, 0.0045363000, -0.003177900, -0.022857700, -0.072973600, -0.200015800", \ - "0.0082822000, 0.0071087000, 0.0041346000, -0.003501600, -0.023090400, -0.073174500, -0.200134300", \ - "0.0083744000, 0.0071835000, 0.0041321000, -0.003836400, -0.023351500, -0.073223300, -0.200088300", \ - "0.0086910000, 0.0074693000, 0.0043708000, -0.003525600, -0.023397700, -0.073572000, -0.200368900", \ - "0.0106198000, 0.0093161000, 0.0061201000, -0.002012600, -0.022284700, -0.072899600, -0.200243000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("0.0195753000, 0.0208003000, 0.0239697000, 0.0318886000, 0.0516419000, 0.1014736000, 0.2270582000", \ - "0.0192487000, 0.0204828000, 0.0236821000, 0.0316498000, 0.0515531000, 0.1013304000, 0.2268336000", \ - "0.0188807000, 0.0201436000, 0.0233209000, 0.0313475000, 0.0512985000, 0.1012094000, 0.2268310000", \ - "0.0186567000, 0.0199094000, 0.0230650000, 0.0310150000, 0.0509975000, 0.1010504000, 0.2267633000", \ - "0.0184455000, 0.0196848000, 0.0228678000, 0.0307744000, 0.0506236000, 0.1006349000, 0.2264933000", \ - "0.0184033000, 0.0196336000, 0.0227960000, 0.0307884000, 0.0507438000, 0.1007073000, 0.2264365000", \ - "0.0188075000, 0.0199965000, 0.0229692000, 0.0307026000, 0.0508235000, 0.1008626000, 0.2261879000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("0.0087466000, 0.0075263000, 0.0044440000, -0.003340700, -0.023094800, -0.073261000, -0.200282700", \ - "0.0084968000, 0.0072655000, 0.0042053000, -0.003558800, -0.023258600, -0.073433900, -0.200530300", \ - "0.0081148000, 0.0069101000, 0.0038683000, -0.003817100, -0.023489000, -0.073584800, -0.200633500", \ - "0.0077078000, 0.0065121000, 0.0035421000, -0.004103800, -0.023749700, -0.073807500, -0.200779800", \ - "0.0078772000, 0.0066608000, 0.0036317000, -0.004146600, -0.023788500, -0.073818300, -0.200796700", \ - "0.0089471000, 0.0076186000, 0.0045053000, -0.003445300, -0.023583400, -0.073864400, -0.200878300", \ - "0.0116632000, 0.0102702000, 0.0070435000, -0.001331900, -0.021257400, -0.072149100, -0.200247200"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("0.0139319000, 0.0153030000, 0.0186373000, 0.0267483000, 0.0465967000, 0.0963194000, 0.2220526000", \ - "0.0135270000, 0.0148553000, 0.0182268000, 0.0263247000, 0.0463524000, 0.0962985000, 0.2220002000", \ - "0.0132211000, 0.0144938000, 0.0177891000, 0.0258505000, 0.0463088000, 0.0969533000, 0.2237850000", \ - "0.0129921000, 0.0142903000, 0.0174600000, 0.0254922000, 0.0455164000, 0.0957432000, 0.2217618000", \ - "0.0127833000, 0.0140653000, 0.0172020000, 0.0251104000, 0.0450062000, 0.0956788000, 0.2212960000", \ - "0.0127433000, 0.0139830000, 0.0171373000, 0.0250692000, 0.0449506000, 0.0951392000, 0.2208176000", \ - "0.0131669000, 0.0143279000, 0.0172465000, 0.0249919000, 0.0450606000, 0.0952229000, 0.2213779000"); - } - } - max_capacitance : 0.1300150000; - max_transition : 1.4986590000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.1274651000, 0.1308839000, 0.1380992000, 0.1527826000, 0.1810170000, 0.2372808000, 0.3632509000", \ - "0.1315319000, 0.1349165000, 0.1421343000, 0.1569570000, 0.1850194000, 0.2413424000, 0.3672850000", \ - "0.1434148000, 0.1468530000, 0.1540691000, 0.1687465000, 0.1969396000, 0.2532342000, 0.3791041000", \ - "0.1706035000, 0.1739601000, 0.1813075000, 0.1962825000, 0.2242068000, 0.2805451000, 0.4065068000", \ - "0.2256967000, 0.2292713000, 0.2369748000, 0.2522278000, 0.2809852000, 0.3377933000, 0.4639231000", \ - "0.3194289000, 0.3235878000, 0.3321698000, 0.3494479000, 0.3816577000, 0.4425769000, 0.5713382000", \ - "0.4745557000, 0.4795883000, 0.4904127000, 0.5122887000, 0.5506860000, 0.6186351000, 0.7523411000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0875078000, 0.0935383000, 0.1091636000, 0.1469264000, 0.2403064000, 0.4742157000, 1.0640064000", \ - "0.0920104000, 0.0981819000, 0.1136364000, 0.1514636000, 0.2449201000, 0.4788612000, 1.0698002000", \ - "0.1026087000, 0.1089319000, 0.1240890000, 0.1622022000, 0.2559141000, 0.4900321000, 1.0797324000", \ - "0.1209598000, 0.1270612000, 0.1423795000, 0.1804158000, 0.2742976000, 0.5088686000, 1.0987973000", \ - "0.1431668000, 0.1494027000, 0.1647591000, 0.2027597000, 0.2967627000, 0.5318109000, 1.1238850000", \ - "0.1645366000, 0.1704197000, 0.1850484000, 0.2226823000, 0.3166458000, 0.5516387000, 1.1433977000", \ - "0.1611566000, 0.1673864000, 0.1824984000, 0.2196728000, 0.3127466000, 0.5476356000, 1.1402089000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0304778000, 0.0319216000, 0.0358481000, 0.0461547000, 0.0706713000, 0.1308391000, 0.2867011000", \ - "0.0304808000, 0.0319871000, 0.0360299000, 0.0462051000, 0.0706683000, 0.1306749000, 0.2865565000", \ - "0.0305173000, 0.0319664000, 0.0358818000, 0.0461860000, 0.0707485000, 0.1308623000, 0.2874369000", \ - "0.0305168000, 0.0320288000, 0.0360255000, 0.0462548000, 0.0706454000, 0.1310431000, 0.2868559000", \ - "0.0333922000, 0.0347729000, 0.0386953000, 0.0483847000, 0.0721594000, 0.1316966000, 0.2871557000", \ - "0.0409777000, 0.0423718000, 0.0464194000, 0.0562884000, 0.0804003000, 0.1387961000, 0.2912372000", \ - "0.0567122000, 0.0581264000, 0.0620679000, 0.0725610000, 0.0966881000, 0.1526647000, 0.2987108000"); - } - related_pin : "A1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0561927000, 0.0643135000, 0.0847500000, 0.1358406000, 0.2635503000, 0.5842112000, 1.3947655000", \ - "0.0561548000, 0.0643803000, 0.0848551000, 0.1357169000, 0.2632231000, 0.5856789000, 1.3983946000", \ - "0.0561015000, 0.0642802000, 0.0847984000, 0.1358495000, 0.2636147000, 0.5843453000, 1.3947724000", \ - "0.0560717000, 0.0642710000, 0.0847501000, 0.1358355000, 0.2641842000, 0.5843540000, 1.3944953000", \ - "0.0561490000, 0.0643689000, 0.0849917000, 0.1366298000, 0.2636909000, 0.5845839000, 1.3980284000", \ - "0.0570643000, 0.0650978000, 0.0852974000, 0.1362049000, 0.2649962000, 0.5848746000, 1.3954055000", \ - "0.0626091000, 0.0698673000, 0.0885696000, 0.1375507000, 0.2647884000, 0.5854971000, 1.3947795000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.1026576000, 0.1061915000, 0.1134007000, 0.1281864000, 0.1562845000, 0.2124615000, 0.3383851000", \ - "0.1055580000, 0.1090588000, 0.1163939000, 0.1311773000, 0.1591228000, 0.2154407000, 0.3413446000", \ - "0.1161078000, 0.1194579000, 0.1267696000, 0.1414361000, 0.1697623000, 0.2259761000, 0.3519403000", \ - "0.1436876000, 0.1470854000, 0.1543643000, 0.1689710000, 0.1971902000, 0.2537288000, 0.3796674000", \ - "0.2049148000, 0.2081325000, 0.2157676000, 0.2312320000, 0.2597050000, 0.3165363000, 0.4423688000", \ - "0.3025668000, 0.3070235000, 0.3161142000, 0.3338351000, 0.3649067000, 0.4241710000, 0.5535742000", \ - "0.4624311000, 0.4679512000, 0.4794227000, 0.5016607000, 0.5392291000, 0.6035351000, 0.7329644000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0836226000, 0.0899928000, 0.1058511000, 0.1442726000, 0.2385032000, 0.4732434000, 1.0631741000", \ - "0.0880833000, 0.0946457000, 0.1102196000, 0.1488915000, 0.2432227000, 0.4779303000, 1.0676504000", \ - "0.0978396000, 0.1040160000, 0.1198017000, 0.1582328000, 0.2529062000, 0.4879184000, 1.0784019000", \ - "0.1129816000, 0.1190515000, 0.1347131000, 0.1729850000, 0.2677458000, 0.5031032000, 1.0943694000", \ - "0.1303899000, 0.1364643000, 0.1519951000, 0.1905081000, 0.2849345000, 0.5206564000, 1.1131549000", \ - "0.1448312000, 0.1508420000, 0.1660230000, 0.2034591000, 0.2979055000, 0.5339665000, 1.1254545000", \ - "0.1344326000, 0.1404490000, 0.1561721000, 0.1932015000, 0.2871566000, 0.5219102000, 1.1146124000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0304824000, 0.0318885000, 0.0358508000, 0.0461195000, 0.0708384000, 0.1305416000, 0.2868852000", \ - "0.0304813000, 0.0318693000, 0.0358394000, 0.0462204000, 0.0707186000, 0.1308872000, 0.2873220000", \ - "0.0305236000, 0.0319928000, 0.0359514000, 0.0461133000, 0.0707494000, 0.1307139000, 0.2866570000", \ - "0.0304838000, 0.0319803000, 0.0360320000, 0.0462249000, 0.0708601000, 0.1305444000, 0.2869720000", \ - "0.0345982000, 0.0358795000, 0.0394435000, 0.0491423000, 0.0728786000, 0.1320698000, 0.2870688000", \ - "0.0467520000, 0.0477829000, 0.0507184000, 0.0585158000, 0.0802446000, 0.1381379000, 0.2920824000", \ - "0.0643296000, 0.0654453000, 0.0688068000, 0.0773772000, 0.0975066000, 0.1495084000, 0.2961158000"); - } - related_pin : "A2_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0558789000, 0.0641497000, 0.0845153000, 0.1356536000, 0.2631501000, 0.5847251000, 1.3972805000", \ - "0.0559205000, 0.0641580000, 0.0845718000, 0.1357743000, 0.2631686000, 0.5860288000, 1.3930610000", \ - "0.0559554000, 0.0640159000, 0.0844553000, 0.1355947000, 0.2630081000, 0.5840423000, 1.3938372000", \ - "0.0559003000, 0.0641122000, 0.0845950000, 0.1355318000, 0.2632560000, 0.5837116000, 1.3979776000", \ - "0.0560540000, 0.0642140000, 0.0847250000, 0.1362373000, 0.2633714000, 0.5855747000, 1.3980570000", \ - "0.0577357000, 0.0656215000, 0.0853769000, 0.1360264000, 0.2641949000, 0.5845415000, 1.3968461000", \ - "0.0663736000, 0.0738405000, 0.0914802000, 0.1388303000, 0.2647320000, 0.5855927000, 1.3948785000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0290002000, 0.0313374000, 0.0370161000, 0.0501052000, 0.0812390000, 0.1569506000, 0.3461020000", \ - "0.0332243000, 0.0355775000, 0.0412524000, 0.0543997000, 0.0855350000, 0.1613324000, 0.3501710000", \ - "0.0425779000, 0.0450276000, 0.0506340000, 0.0637785000, 0.0949295000, 0.1707376000, 0.3599862000", \ - "0.0579240000, 0.0610928000, 0.0683399000, 0.0838534000, 0.1164993000, 0.1926676000, 0.3820156000", \ - "0.0767297000, 0.0814832000, 0.0919802000, 0.1143950000, 0.1577578000, 0.2421165000, 0.4330492000", \ - "0.0899246000, 0.0972071000, 0.1138133000, 0.1487657000, 0.2149619000, 0.3323820000, 0.5471346000", \ - "0.0729800000, 0.0841963000, 0.1106062000, 0.1657020000, 0.2706209000, 0.4530139000, 0.7537834000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0883524000, 0.0943873000, 0.1097336000, 0.1471448000, 0.2406230000, 0.4746309000, 1.0645190000", \ - "0.0930442000, 0.0991354000, 0.1144433000, 0.1522668000, 0.2459722000, 0.4803693000, 1.0709524000", \ - "0.1055285000, 0.1119147000, 0.1269161000, 0.1650257000, 0.2590384000, 0.4937674000, 1.0853822000", \ - "0.1341143000, 0.1401276000, 0.1553408000, 0.1932455000, 0.2871927000, 0.5221532000, 1.1169381000", \ - "0.1884583000, 0.1957008000, 0.2137602000, 0.2541296000, 0.3479942000, 0.5829966000, 1.1739569000", \ - "0.2783125000, 0.2886215000, 0.3140925000, 0.3685697000, 0.4824638000, 0.7220883000, 1.3135160000", \ - "0.4202807000, 0.4374563000, 0.4767792000, 0.5604068000, 0.7220540000, 1.0259780000, 1.6352604000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0213501000, 0.0238187000, 0.0301067000, 0.0458242000, 0.0856613000, 0.1868498000, 0.4423514000", \ - "0.0210208000, 0.0235659000, 0.0298858000, 0.0456849000, 0.0855071000, 0.1865878000, 0.4420793000", \ - "0.0223605000, 0.0245737000, 0.0304533000, 0.0458070000, 0.0854167000, 0.1867423000, 0.4422657000", \ - "0.0306056000, 0.0329506000, 0.0387745000, 0.0525546000, 0.0880811000, 0.1869131000, 0.4423363000", \ - "0.0480955000, 0.0511271000, 0.0587971000, 0.0745672000, 0.1097096000, 0.1965609000, 0.4426652000", \ - "0.0807863000, 0.0854671000, 0.0961757000, 0.1184806000, 0.1637015000, 0.2533155000, 0.4674642000", \ - "0.1411385000, 0.1485272000, 0.1646038000, 0.1989980000, 0.2625027000, 0.3788876000, 0.6042171000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0563333000, 0.0644180000, 0.0848019000, 0.1355425000, 0.2634140000, 0.5854626000, 1.3938518000", \ - "0.0563598000, 0.0644294000, 0.0847381000, 0.1354777000, 0.2630660000, 0.5847837000, 1.3980755000", \ - "0.0562888000, 0.0644709000, 0.0847379000, 0.1356006000, 0.2631858000, 0.5834456000, 1.3959646000", \ - "0.0569937000, 0.0649194000, 0.0849577000, 0.1356919000, 0.2632552000, 0.5844415000, 1.3969548000", \ - "0.0719813000, 0.0796380000, 0.0977420000, 0.1433097000, 0.2644126000, 0.5839166000, 1.3942414000", \ - "0.1084626000, 0.1172810000, 0.1384618000, 0.1871280000, 0.2980795000, 0.5908539000, 1.3931211000", \ - "0.1866989000, 0.1988328000, 0.2266402000, 0.2852468000, 0.4114354000, 0.6812911000, 1.4143808000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0237196000, 0.0261151000, 0.0317251000, 0.0448548000, 0.0759670000, 0.1516969000, 0.3410260000", \ - "0.0278731000, 0.0301480000, 0.0357569000, 0.0489260000, 0.0799499000, 0.1557239000, 0.3447956000", \ - "0.0382336000, 0.0407000000, 0.0461805000, 0.0590163000, 0.0897807000, 0.1655686000, 0.3544597000", \ - "0.0526151000, 0.0561935000, 0.0641005000, 0.0811396000, 0.1131668000, 0.1891393000, 0.3781702000", \ - "0.0669837000, 0.0722498000, 0.0844078000, 0.1098884000, 0.1583089000, 0.2443052000, 0.4329081000", \ - "0.0720205000, 0.0800948000, 0.0984950000, 0.1365089000, 0.2115336000, 0.3409944000, 0.5599806000", \ - "0.0394002000, 0.0513500000, 0.0786998000, 0.1395171000, 0.2519927000, 0.4519289000, 0.7829078000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0697545000, 0.0768295000, 0.0936231000, 0.1349939000, 0.2362099000, 0.4866581000, 1.1202800000", \ - "0.0738824000, 0.0808593000, 0.0978630000, 0.1392916000, 0.2403449000, 0.4922308000, 1.1263778000", \ - "0.0866415000, 0.0933357000, 0.1099491000, 0.1510514000, 0.2541316000, 0.5090297000, 1.1485790000", \ - "0.1149932000, 0.1217029000, 0.1384880000, 0.1789715000, 0.2798689000, 0.5335429000, 1.1687767000", \ - "0.1640680000, 0.1732069000, 0.1937555000, 0.2391813000, 0.3405581000, 0.5969246000, 1.2305342000", \ - "0.2430601000, 0.2568969000, 0.2883075000, 0.3503413000, 0.4750981000, 0.7333401000, 1.3699559000", \ - "0.3669230000, 0.3894114000, 0.4394981000, 0.5397097000, 0.7172815000, 1.0448723000, 1.6918675000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0213135000, 0.0237924000, 0.0299888000, 0.0458045000, 0.0856535000, 0.1863136000, 0.4425676000", \ - "0.0204366000, 0.0229774000, 0.0295652000, 0.0455935000, 0.0855104000, 0.1866587000, 0.4428738000", \ - "0.0236930000, 0.0256726000, 0.0310364000, 0.0457381000, 0.0852593000, 0.1866673000, 0.4418096000", \ - "0.0339260000, 0.0367330000, 0.0436860000, 0.0573847000, 0.0903443000, 0.1863679000, 0.4424625000", \ - "0.0536139000, 0.0577661000, 0.0669816000, 0.0867156000, 0.1232347000, 0.2036484000, 0.4426952000", \ - "0.0885696000, 0.0949101000, 0.1099381000, 0.1387682000, 0.1888634000, 0.2837979000, 0.4832760000", \ - "0.1483215000, 0.1593184000, 0.1834051000, 0.2270216000, 0.3080206000, 0.4441135000, 0.6733765000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0427360000, 0.0513872000, 0.0728407000, 0.1280627000, 0.2652538000, 0.6102490000, 1.4846393000", \ - "0.0428226000, 0.0513423000, 0.0730452000, 0.1276322000, 0.2647573000, 0.6107203000, 1.4788636000", \ - "0.0428307000, 0.0514366000, 0.0732058000, 0.1277531000, 0.2666955000, 0.6153504000, 1.4962768000", \ - "0.0451174000, 0.0531862000, 0.0738845000, 0.1278993000, 0.2644918000, 0.6089958000, 1.4807878000", \ - "0.0612315000, 0.0695127000, 0.0893563000, 0.1369408000, 0.2665485000, 0.6146830000, 1.4827640000", \ - "0.0980476000, 0.1071543000, 0.1298877000, 0.1813100000, 0.3004394000, 0.6179653000, 1.4854243000", \ - "0.1776112000, 0.1899178000, 0.2177000000, 0.2806297000, 0.4134899000, 0.7032890000, 1.4986594000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a2bb2oi_4") { - leakage_power () { - value : 0.0083212000; - when : "!A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0083169000; - when : "!A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0048861000; - when : "!A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0083212000; - when : "!A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0080573000; - when : "!A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0108887000; - when : "!A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0074817000; - when : "!A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0088884000; - when : "!A1_N&A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0068163000; - when : "A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0116828000; - when : "A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0062407000; - when : "A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0076474000; - when : "A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0044426000; - when : "A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0073549000; - when : "A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0038670000; - when : "A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0052738000; - when : "A1_N&A2_N&B1&!B2"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__a2bb2oi"; - cell_leakage_power : 0.0074054400; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1_N") { - capacitance : 0.0087620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0556216000, 0.0551134000, 0.0539421000, 0.0540220000, 0.0542062000, 0.0546308000, 0.0556096000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0102057000, 0.0097930000, 0.0088418000, 0.0091615000, 0.0098984000, 0.0115970000, 0.0155127000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092290000; - } - pin ("A2_N") { - capacitance : 0.0087550000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0080860000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0456988000, 0.0451550000, 0.0439016000, 0.0442933000, 0.0451960000, 0.0472768000, 0.0520733000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025406000, 0.0022623000, 0.0016208000, 0.0019459000, 0.0026952000, 0.0044224000, 0.0084035000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0094240000; - } - pin ("B1") { - capacitance : 0.0091800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0087280000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0162435000, 0.0162423000, 0.0162396000, 0.0162417000, 0.0162466000, 0.0162579000, 0.0162838000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.016243600, -0.016237200, -0.016222700, -0.016220000, -0.016213700, -0.016199500, -0.016166400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0096330000; - } - pin ("B2") { - capacitance : 0.0085110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0150595000, 0.0150451000, 0.0150119000, 0.0150187000, 0.0150344000, 0.0150706000, 0.0151539000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015015300, -0.015015000, -0.015014300, -0.015018300, -0.015027700, -0.015049300, -0.015099000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0088480000; - } - pin ("Y") { - direction : "output"; - function : "(A1_N&!B1) | (A1_N&!B2) | (A2_N&!B1) | (A2_N&!B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000"); - values("0.0042095000, 0.0032138000, 0.0003528000, -0.008360100, -0.035893700, -0.118840300, -0.352577300", \ - "0.0038274000, 0.0028333000, -7.10000e-05, -0.008767700, -0.036248700, -0.119195100, -0.352896400", \ - "0.0042324000, 0.0031486000, 0.0003082000, -0.008358200, -0.035944900, -0.118870800, -0.352550700", \ - "0.0035245000, 0.0023801000, -0.000453500, -0.009236300, -0.036691700, -0.119593400, -0.353146500", \ - "0.0025703000, 0.0015154000, -0.001572100, -0.010197300, -0.037648800, -0.120360700, -0.353886600", \ - "0.0033758000, 0.0020357000, -0.001726400, -0.012135400, -0.039514200, -0.121181300, -0.354359100", \ - "0.0069495000, 0.0055306000, 0.0016169000, -0.008855200, -0.038479900, -0.121654200, -0.353513600"); - } - related_pin : "A1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000"); - values("0.0334924000, 0.0350264000, 0.0389766000, 0.0500108000, 0.0802687000, 0.1635932000, 0.3949988000", \ - "0.0335161000, 0.0349175000, 0.0389533000, 0.0499614000, 0.0801835000, 0.1635314000, 0.3945959000", \ - "0.0338304000, 0.0354048000, 0.0393652000, 0.0504722000, 0.0807662000, 0.1641805000, 0.3952607000", \ - "0.0324591000, 0.0338924000, 0.0379431000, 0.0490892000, 0.0794901000, 0.1630454000, 0.3940847000", \ - "0.0310210000, 0.0324964000, 0.0365645000, 0.0477882000, 0.0783073000, 0.1620062000, 0.3934014000", \ - "0.0316158000, 0.0330356000, 0.0367255000, 0.0473150000, 0.0780184000, 0.1616054000, 0.3927758000", \ - "0.0331312000, 0.0344679000, 0.0383731000, 0.0490924000, 0.0797538000, 0.1625968000, 0.3935406000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000"); - values("0.0041475000, 0.0030910000, 0.0002624000, -0.008401600, -0.035941800, -0.118858800, -0.352531500", \ - "0.0037101000, 0.0027330000, -0.000153200, -0.008791100, -0.036290800, -0.119205100, -0.352848200", \ - "0.0042600000, 0.0032083000, 0.0002947000, -0.008316600, -0.035910800, -0.118768000, -0.352411000", \ - "0.0031676000, 0.0020434000, -0.000943900, -0.009578700, -0.037127400, -0.119869500, -0.353404800", \ - "0.0023466000, 0.0012556000, -0.001802700, -0.010638500, -0.038113800, -0.120580500, -0.353997500", \ - "0.0048764000, 0.0034060000, -0.000580600, -0.010818000, -0.039116600, -0.120470000, -0.353611300", \ - "0.0071417000, 0.0056644000, 0.0016576000, -0.009093000, -0.038468800, -0.121886700, -0.353522500"); - } - related_pin : "A2_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000"); - values("0.0353345000, 0.0369507000, 0.0410513000, 0.0523849000, 0.0828736000, 0.1664484000, 0.3976297000", \ - "0.0352438000, 0.0368562000, 0.0410291000, 0.0524049000, 0.0829573000, 0.1666217000, 0.3978114000", \ - "0.0349204000, 0.0364354000, 0.0405986000, 0.0520067000, 0.0828839000, 0.1666353000, 0.3976491000", \ - "0.0331782000, 0.0346729000, 0.0387868000, 0.0501771000, 0.0811024000, 0.1651131000, 0.3964303000", \ - "0.0313580000, 0.0328417000, 0.0369804000, 0.0482078000, 0.0792116000, 0.1636365000, 0.3950165000", \ - "0.0314669000, 0.0329266000, 0.0369969000, 0.0478610000, 0.0783138000, 0.1624937000, 0.3946137000", \ - "0.0336754000, 0.0354174000, 0.0390921000, 0.0503073000, 0.0806163000, 0.1635643000, 0.3955040000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000"); - values("0.0188025000, 0.0173796000, 0.0134668000, 0.0025939000, -0.027609900, -0.111751800, -0.345486200", \ - "0.0182571000, 0.0168573000, 0.0129470000, 0.0021249000, -0.028011200, -0.112158300, -0.345777500", \ - "0.0175590000, 0.0161776000, 0.0123099000, 0.0015139000, -0.028498000, -0.112509200, -0.346171700", \ - "0.0166388000, 0.0152812000, 0.0114281000, 0.0008765000, -0.029033900, -0.112873000, -0.346419500", \ - "0.0164281000, 0.0150023000, 0.0110539000, 0.0002002000, -0.029596000, -0.113063700, -0.346514200", \ - "0.0173950000, 0.0159458000, 0.0119028000, 0.0009335000, -0.029243700, -0.113776900, -0.346834900", \ - "0.0204892000, 0.0190201000, 0.0149147000, 0.0035289000, -0.027429000, -0.112413000, -0.346700800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000"); - values("0.0389041000, 0.0403591000, 0.0444189000, 0.0553943000, 0.0857182000, 0.1693192000, 0.4005907000", \ - "0.0382415000, 0.0396794000, 0.0437150000, 0.0549191000, 0.0853324000, 0.1691159000, 0.4000705000", \ - "0.0374959000, 0.0389422000, 0.0430293000, 0.0542859000, 0.0848740000, 0.1686979000, 0.4002774000", \ - "0.0369872000, 0.0384263000, 0.0425134000, 0.0536420000, 0.0842719000, 0.1682973000, 0.3996023000", \ - "0.0365922000, 0.0380343000, 0.0420177000, 0.0530429000, 0.0835653000, 0.1674131000, 0.3992191000", \ - "0.0363929000, 0.0378403000, 0.0418723000, 0.0530163000, 0.0835863000, 0.1674232000, 0.3986968000", \ - "0.0367316000, 0.0381439000, 0.0419625000, 0.0526621000, 0.0836553000, 0.1677354000, 0.3994072000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000"); - values("0.0179066000, 0.0164941000, 0.0125875000, 0.0016944000, -0.028449400, -0.112538900, -0.346421500", \ - "0.0173742000, 0.0159766000, 0.0120969000, 0.0012751000, -0.028801200, -0.112963200, -0.346683000", \ - "0.0166598000, 0.0152741000, 0.0114746000, 0.0007173000, -0.029328600, -0.113226200, -0.347041400", \ - "0.0156538000, 0.0143115000, 0.0105567000, -5.90000e-06, -0.029833300, -0.113619400, -0.347189200", \ - "0.0159089000, 0.0145385000, 0.0107553000, -8.77000e-05, -0.029957700, -0.113621700, -0.347191900", \ - "0.0175552000, 0.0161034000, 0.0121141000, 0.0006538000, -0.029623400, -0.113753200, -0.347285100", \ - "0.0223660000, 0.0207969000, 0.0165358000, 0.0049942000, -0.025915400, -0.110834900, -0.346256800"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013852860, 0.0038380370, 0.0106335600, 0.0294610500, 0.0816239800, 0.2261452000"); - values("0.0271960000, 0.0288229000, 0.0330934000, 0.0444360000, 0.0749256000, 0.1585417000, 0.3897718000", \ - "0.0263053000, 0.0279172000, 0.0322151000, 0.0438625000, 0.0745589000, 0.1584570000, 0.3898368000", \ - "0.0255591000, 0.0271530000, 0.0313318000, 0.0428018000, 0.0739841000, 0.1586611000, 0.3930156000", \ - "0.0251669000, 0.0266493000, 0.0306990000, 0.0420717000, 0.0728006000, 0.1575359000, 0.3892952000", \ - "0.0247442000, 0.0262193000, 0.0302501000, 0.0412850000, 0.0719877000, 0.1562817000, 0.3884458000", \ - "0.0246407000, 0.0260757000, 0.0300558000, 0.0412428000, 0.0719633000, 0.1560336000, 0.3875943000", \ - "0.0251487000, 0.0264818000, 0.0300989000, 0.0407907000, 0.0717313000, 0.1561475000, 0.3877962000"); - } - } - max_capacitance : 0.2261450000; - max_transition : 1.4947280000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.1354253000, 0.1378961000, 0.1438628000, 0.1572142000, 0.1846833000, 0.2430794000, 0.3822626000", \ - "0.1393996000, 0.1416599000, 0.1479257000, 0.1611871000, 0.1886811000, 0.2471217000, 0.3863370000", \ - "0.1514291000, 0.1539181000, 0.1596362000, 0.1730668000, 0.2006474000, 0.2590816000, 0.3983149000", \ - "0.1788453000, 0.1813406000, 0.1871256000, 0.2003458000, 0.2279930000, 0.2862846000, 0.4257093000", \ - "0.2349268000, 0.2373393000, 0.2435162000, 0.2571300000, 0.2852441000, 0.3440507000, 0.4834779000", \ - "0.3328479000, 0.3356704000, 0.3426917000, 0.3578970000, 0.3888999000, 0.4517941000, 0.5944993000", \ - "0.4994719000, 0.5029067000, 0.5112846000, 0.5302941000, 0.5670820000, 0.6374808000, 0.7851451000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.0947069000, 0.0989362000, 0.1095689000, 0.1393114000, 0.2197788000, 0.4411359000, 1.0542964000", \ - "0.0993493000, 0.1032951000, 0.1144162000, 0.1441753000, 0.2245696000, 0.4459459000, 1.0598052000", \ - "0.1099726000, 0.1143020000, 0.1250314000, 0.1550168000, 0.2357708000, 0.4571896000, 1.0699384000", \ - "0.1293784000, 0.1333044000, 0.1444736000, 0.1742728000, 0.2553444000, 0.4769940000, 1.0899719000", \ - "0.1521973000, 0.1561657000, 0.1672431000, 0.1975182000, 0.2790005000, 0.5008583000, 1.1138149000", \ - "0.1730955000, 0.1770984000, 0.1879411000, 0.2174145000, 0.2988352000, 0.5213879000, 1.1343501000", \ - "0.1667251000, 0.1701478000, 0.1812752000, 0.2106847000, 0.2915621000, 0.5143921000, 1.1287483000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.0341067000, 0.0351732000, 0.0381042000, 0.0466836000, 0.0701567000, 0.1328159000, 0.3111436000", \ - "0.0341671000, 0.0351510000, 0.0381613000, 0.0467479000, 0.0701247000, 0.1330306000, 0.3110475000", \ - "0.0341147000, 0.0351506000, 0.0381415000, 0.0466071000, 0.0701583000, 0.1330106000, 0.3110454000", \ - "0.0341435000, 0.0351754000, 0.0381169000, 0.0467746000, 0.0700864000, 0.1326463000, 0.3109394000", \ - "0.0365273000, 0.0374604000, 0.0402510000, 0.0485788000, 0.0713470000, 0.1334548000, 0.3109187000", \ - "0.0436890000, 0.0446433000, 0.0474539000, 0.0558847000, 0.0790901000, 0.1407304000, 0.3150951000", \ - "0.0593999000, 0.0602694000, 0.0630755000, 0.0715482000, 0.0943359000, 0.1533546000, 0.3224956000"); - } - related_pin : "A1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.0613919000, 0.0667214000, 0.0813321000, 0.1216061000, 0.2319784000, 0.5350451000, 1.3766649000", \ - "0.0614467000, 0.0666581000, 0.0813963000, 0.1214246000, 0.2315334000, 0.5356692000, 1.3796920000", \ - "0.0613849000, 0.0667104000, 0.0813282000, 0.1216071000, 0.2318740000, 0.5354455000, 1.3769286000", \ - "0.0614498000, 0.0666385000, 0.0813133000, 0.1214349000, 0.2316130000, 0.5367731000, 1.3794761000", \ - "0.0615396000, 0.0669005000, 0.0814815000, 0.1223547000, 0.2320890000, 0.5364329000, 1.3774069000", \ - "0.0623876000, 0.0676332000, 0.0820325000, 0.1220798000, 0.2326222000, 0.5358224000, 1.3792185000", \ - "0.0674961000, 0.0726155000, 0.0859048000, 0.1237570000, 0.2328732000, 0.5364806000, 1.3764330000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.1131290000, 0.1156881000, 0.1216988000, 0.1350429000, 0.1624224000, 0.2207372000, 0.3601275000", \ - "0.1157843000, 0.1181749000, 0.1241559000, 0.1375062000, 0.1651635000, 0.2236500000, 0.3628974000", \ - "0.1262453000, 0.1286670000, 0.1346483000, 0.1477774000, 0.1753955000, 0.2336683000, 0.3730196000", \ - "0.1541685000, 0.1565537000, 0.1625054000, 0.1756649000, 0.2034929000, 0.2617129000, 0.4010846000", \ - "0.2181547000, 0.2206477000, 0.2263781000, 0.2401365000, 0.2683724000, 0.3274165000, 0.4670324000", \ - "0.3234562000, 0.3265004000, 0.3338487000, 0.3494389000, 0.3802113000, 0.4420172000, 0.5854506000", \ - "0.4975127000, 0.5012540000, 0.5105069000, 0.5302769000, 0.5675434000, 0.6349522000, 0.7787422000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.0919634000, 0.0962175000, 0.1076468000, 0.1382918000, 0.2199534000, 0.4421294000, 1.0557752000", \ - "0.0963274000, 0.1007890000, 0.1121730000, 0.1428790000, 0.2245953000, 0.4468880000, 1.0609152000", \ - "0.1058959000, 0.1100450000, 0.1217226000, 0.1525565000, 0.2346388000, 0.4575244000, 1.0712974000", \ - "0.1208086000, 0.1249363000, 0.1362545000, 0.1670394000, 0.2495069000, 0.4732882000, 1.0871862000", \ - "0.1373971000, 0.1414660000, 0.1528766000, 0.1831972000, 0.2661202000, 0.4901287000, 1.1045654000", \ - "0.1490993000, 0.1530869000, 0.1644893000, 0.1947166000, 0.2765827000, 0.5013285000, 1.1165103000", \ - "0.1317365000, 0.1363246000, 0.1475012000, 0.1774374000, 0.2580933000, 0.4816316000, 1.0974548000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.0341349000, 0.0351650000, 0.0381009000, 0.0467041000, 0.0701748000, 0.1332363000, 0.3110052000", \ - "0.0341689000, 0.0351415000, 0.0381052000, 0.0467964000, 0.0700973000, 0.1330613000, 0.3110981000", \ - "0.0341625000, 0.0351374000, 0.0380619000, 0.0467039000, 0.0702608000, 0.1330032000, 0.3109573000", \ - "0.0342415000, 0.0352451000, 0.0381418000, 0.0467430000, 0.0701832000, 0.1330705000, 0.3111684000", \ - "0.0378875000, 0.0387503000, 0.0414806000, 0.0495486000, 0.0720735000, 0.1338805000, 0.3109662000", \ - "0.0502751000, 0.0508958000, 0.0529525000, 0.0599086000, 0.0799767000, 0.1405512000, 0.3162982000", \ - "0.0693419000, 0.0700433000, 0.0723043000, 0.0791631000, 0.0982680000, 0.1519491000, 0.3209057000"); - } - related_pin : "A2_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.0612121000, 0.0665552000, 0.0810459000, 0.1211998000, 0.2315833000, 0.5356587000, 1.3789050000", \ - "0.0611916000, 0.0665441000, 0.0811913000, 0.1214014000, 0.2314234000, 0.5363079000, 1.3760312000", \ - "0.0610908000, 0.0664464000, 0.0810310000, 0.1212036000, 0.2313912000, 0.5363706000, 1.3751150000", \ - "0.0611997000, 0.0665609000, 0.0810538000, 0.1212632000, 0.2315082000, 0.5367613000, 1.3757562000", \ - "0.0614501000, 0.0667182000, 0.0813584000, 0.1219739000, 0.2318873000, 0.5357507000, 1.3749879000", \ - "0.0628413000, 0.0679845000, 0.0820518000, 0.1219996000, 0.2322420000, 0.5359075000, 1.3786227000", \ - "0.0708850000, 0.0751917000, 0.0881568000, 0.1250186000, 0.2332185000, 0.5365755000, 1.3760160000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.0311282000, 0.0327288000, 0.0368553000, 0.0475018000, 0.0746965000, 0.1464447000, 0.3414915000", \ - "0.0352322000, 0.0368097000, 0.0409928000, 0.0516624000, 0.0787714000, 0.1505795000, 0.3457818000", \ - "0.0440487000, 0.0456668000, 0.0498332000, 0.0603915000, 0.0875955000, 0.1593170000, 0.3544554000", \ - "0.0586347000, 0.0605974000, 0.0657491000, 0.0786288000, 0.1075242000, 0.1797699000, 0.3751837000", \ - "0.0764732000, 0.0794898000, 0.0869956000, 0.1046666000, 0.1434831000, 0.2253637000, 0.4221830000", \ - "0.0867694000, 0.0913273000, 0.1030544000, 0.1310407000, 0.1906432000, 0.3044813000, 0.5294937000", \ - "0.0631228000, 0.0702413000, 0.0891477000, 0.1328587000, 0.2269964000, 0.4039412000, 0.7166283000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.0958201000, 0.0999465000, 0.1112395000, 0.1411028000, 0.2221349000, 0.4442295000, 1.0577740000", \ - "0.1004321000, 0.1044370000, 0.1156871000, 0.1458819000, 0.2274115000, 0.4498095000, 1.0634760000", \ - "0.1128341000, 0.1168922000, 0.1281325000, 0.1584623000, 0.2405042000, 0.4632803000, 1.0773623000", \ - "0.1413854000, 0.1454214000, 0.1565211000, 0.1865053000, 0.2683905000, 0.4916015000, 1.1072346000", \ - "0.1968080000, 0.2014698000, 0.2141332000, 0.2471047000, 0.3287854000, 0.5521530000, 1.1669459000", \ - "0.2901398000, 0.2964992000, 0.3146382000, 0.3574306000, 0.4589449000, 0.6893250000, 1.3050595000", \ - "0.4431708000, 0.4537099000, 0.4811592000, 0.5474226000, 0.6905942000, 0.9867633000, 1.6253212000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.0231988000, 0.0248576000, 0.0293108000, 0.0420434000, 0.0772499000, 0.1758112000, 0.4499320000", \ - "0.0229577000, 0.0245378000, 0.0291932000, 0.0419058000, 0.0771907000, 0.1758549000, 0.4503029000", \ - "0.0240200000, 0.0255592000, 0.0298330000, 0.0421251000, 0.0770830000, 0.1758114000, 0.4504337000", \ - "0.0314309000, 0.0330302000, 0.0373843000, 0.0488803000, 0.0803019000, 0.1758396000, 0.4501909000", \ - "0.0484758000, 0.0504425000, 0.0558919000, 0.0688840000, 0.1012075000, 0.1869901000, 0.4511320000", \ - "0.0811204000, 0.0839209000, 0.0914450000, 0.1096956000, 0.1510729000, 0.2409694000, 0.4778407000", \ - "0.1406908000, 0.1451499000, 0.1568563000, 0.1846483000, 0.2427167000, 0.3594391000, 0.6027385000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.0615719000, 0.0667391000, 0.0813502000, 0.1213981000, 0.2314243000, 0.5347034000, 1.3752263000", \ - "0.0615385000, 0.0667511000, 0.0812533000, 0.1214122000, 0.2315344000, 0.5367874000, 1.3734835000", \ - "0.0615161000, 0.0667367000, 0.0813305000, 0.1213695000, 0.2321068000, 0.5346686000, 1.3772026000", \ - "0.0618991000, 0.0671529000, 0.0814725000, 0.1214413000, 0.2314093000, 0.5350111000, 1.3794101000", \ - "0.0758574000, 0.0808319000, 0.0937975000, 0.1297202000, 0.2336147000, 0.5357017000, 1.3782051000", \ - "0.1097782000, 0.1153273000, 0.1306525000, 0.1698676000, 0.2679934000, 0.5443811000, 1.3796602000", \ - "0.1865709000, 0.1938578000, 0.2131081000, 0.2597290000, 0.3700620000, 0.6355417000, 1.3943194000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.0253204000, 0.0268810000, 0.0310538000, 0.0417599000, 0.0688895000, 0.1405238000, 0.3356658000", \ - "0.0292807000, 0.0308821000, 0.0350345000, 0.0457105000, 0.0728136000, 0.1446683000, 0.3396256000", \ - "0.0395393000, 0.0411724000, 0.0452619000, 0.0555474000, 0.0825585000, 0.1542146000, 0.3494262000", \ - "0.0541514000, 0.0564205000, 0.0623959000, 0.0763697000, 0.1060414000, 0.1769818000, 0.3721317000", \ - "0.0678947000, 0.0712211000, 0.0798187000, 0.1010801000, 0.1458213000, 0.2317507000, 0.4257032000", \ - "0.0704145000, 0.0756529000, 0.0892912000, 0.1216491000, 0.1898165000, 0.3181257000, 0.5505554000", \ - "0.0312214000, 0.0390992000, 0.0593938000, 0.1079028000, 0.2135519000, 0.4127104000, 0.7669163000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.0764909000, 0.0812894000, 0.0939253000, 0.1271147000, 0.2171862000, 0.4587051000, 1.1287744000", \ - "0.0801710000, 0.0849917000, 0.0976537000, 0.1317271000, 0.2210186000, 0.4646113000, 1.1347335000", \ - "0.0926680000, 0.0971861000, 0.1095242000, 0.1429885000, 0.2338495000, 0.4802753000, 1.1583539000", \ - "0.1215655000, 0.1261837000, 0.1383642000, 0.1710256000, 0.2606992000, 0.5096031000, 1.1791419000", \ - "0.1725208000, 0.1783708000, 0.1934966000, 0.2314414000, 0.3214936000, 0.5658638000, 1.2400411000", \ - "0.2567967000, 0.2657464000, 0.2875468000, 0.3404835000, 0.4543893000, 0.7061624000, 1.3801605000", \ - "0.3926455000, 0.4070994000, 0.4426537000, 0.5257806000, 0.6914706000, 1.0160528000, 1.7055843000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.0232730000, 0.0249104000, 0.0294541000, 0.0420808000, 0.0773216000, 0.1757352000, 0.4505714000", \ - "0.0222893000, 0.0240506000, 0.0288104000, 0.0418111000, 0.0771574000, 0.1758837000, 0.4499137000", \ - "0.0249452000, 0.0263488000, 0.0303776000, 0.0421076000, 0.0767777000, 0.1757663000, 0.4502309000", \ - "0.0345889000, 0.0365562000, 0.0416579000, 0.0535602000, 0.0827754000, 0.1756595000, 0.4503196000", \ - "0.0541310000, 0.0569455000, 0.0641108000, 0.0801499000, 0.1162156000, 0.1954871000, 0.4506411000", \ - "0.0888767000, 0.0931751000, 0.1040160000, 0.1276170000, 0.1783571000, 0.2756267000, 0.4942272000", \ - "0.1494559000, 0.1563394000, 0.1745322000, 0.2125149000, 0.2867950000, 0.4250358000, 0.6798170000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013852900, 0.0038380400, 0.0106336000, 0.0294610000, 0.0816240000, 0.2261450000"); - values("0.0459677000, 0.0516783000, 0.0672976000, 0.1110813000, 0.2316182000, 0.5632186000, 1.4771047000", \ - "0.0460823000, 0.0517103000, 0.0673858000, 0.1109780000, 0.2311902000, 0.5631665000, 1.4840030000", \ - "0.0461927000, 0.0518628000, 0.0676066000, 0.1110636000, 0.2315113000, 0.5651321000, 1.4942887000", \ - "0.0476548000, 0.0530137000, 0.0680697000, 0.1114555000, 0.2312583000, 0.5649680000, 1.4814626000", \ - "0.0634547000, 0.0687903000, 0.0836265000, 0.1215533000, 0.2345455000, 0.5647436000, 1.4807072000", \ - "0.0986990000, 0.1048536000, 0.1214192000, 0.1634172000, 0.2691035000, 0.5723181000, 1.4800516000", \ - "0.1763009000, 0.1844642000, 0.2063255000, 0.2561083000, 0.3771322000, 0.6629259000, 1.4947281000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a311o_1") { - leakage_power () { - value : 0.0025248000; - when : "!A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0028009000; - when : "!A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0004016000; - when : "!A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0008348000; - when : "!A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0025248000; - when : "!A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0028230000; - when : "!A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0004016000; - when : "!A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0008348000; - when : "!A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0025248000; - when : "!A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0028211000; - when : "!A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0004016000; - when : "!A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0008348000; - when : "!A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0025248000; - when : "!A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0033112000; - when : "!A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0004016000; - when : "!A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0008348000; - when : "!A1&A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0025248000; - when : "A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0028255000; - when : "A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0004016000; - when : "A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0008348000; - when : "A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0025248000; - when : "A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0031925000; - when : "A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0004016000; - when : "A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0008348000; - when : "A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0025248000; - when : "A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0031549000; - when : "A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0004016000; - when : "A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0008348000; - when : "A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0004831000; - when : "A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0015461000; - when : "A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0003897000; - when : "A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0004643000; - when : "A1&A2&A3&B1&!C1"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__a311o"; - cell_leakage_power : 0.0015669190; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0022720000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021900000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045535000, 0.0045549000, 0.0045582000, 0.0045606000, 0.0045662000, 0.0045790000, 0.0046086000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003259200, -0.003261300, -0.003266200, -0.003258000, -0.003239400, -0.003196300, -0.003097000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023540000; - } - pin ("A2") { - capacitance : 0.0023030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022060000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043419000, 0.0043345000, 0.0043175000, 0.0043318000, 0.0043648000, 0.0044408000, 0.0046159000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004066300, -0.004066000, -0.004065300, -0.004064500, -0.004062600, -0.004058300, -0.004048200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024010000; - } - pin ("A3") { - capacitance : 0.0023750000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022210000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044019000, 0.0043983000, 0.0043900000, 0.0043903000, 0.0043909000, 0.0043922000, 0.0043953000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004389700, -0.004388500, -0.004385800, -0.004386400, -0.004387700, -0.004390900, -0.004398200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025290000; - } - pin ("B1") { - capacitance : 0.0023380000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021620000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038171000, 0.0038157000, 0.0038126000, 0.0038138000, 0.0038164000, 0.0038225000, 0.0038366000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003470400, -0.003531700, -0.003673200, -0.003677100, -0.003686000, -0.003706600, -0.003754000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025140000; - } - pin ("C1") { - capacitance : 0.0022530000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020600000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027920000, 0.0027884000, 0.0027801000, 0.0027874000, 0.0028041000, 0.0028427000, 0.0029317000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001749500, -0.001747600, -0.001743500, -0.001745600, -0.001750400, -0.001761700, -0.001787600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024460000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2&A3) | (B1) | (C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0135142000, 0.0127730000, 0.0106676000, 0.0039613000, -0.016158800, -0.070783800, -0.211938700", \ - "0.0133483000, 0.0125805000, 0.0104568000, 0.0038072000, -0.016317800, -0.070924500, -0.212091300", \ - "0.0130947000, 0.0123474000, 0.0102367000, 0.0034975000, -0.016593000, -0.071210600, -0.212361100", \ - "0.0128547000, 0.0120560000, 0.0099513000, 0.0032581000, -0.016883900, -0.071478500, -0.212641700", \ - "0.0125766000, 0.0118046000, 0.0096384000, 0.0029712000, -0.017147300, -0.071732000, -0.212859100", \ - "0.0120479000, 0.0112871000, 0.0093696000, 0.0027546000, -0.017243500, -0.071806600, -0.212932300", \ - "0.0163972000, 0.0151089000, 0.0118747000, 0.0037368000, -0.017428700, -0.071871600, -0.212955100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0138863000, 0.0152612000, 0.0187227000, 0.0272282000, 0.0487182000, 0.1034679000, 0.2438639000", \ - "0.0137897000, 0.0151948000, 0.0186390000, 0.0271573000, 0.0484736000, 0.1028646000, 0.2437263000", \ - "0.0136351000, 0.0150378000, 0.0184881000, 0.0270109000, 0.0483258000, 0.1029144000, 0.2424830000", \ - "0.0135170000, 0.0149064000, 0.0183882000, 0.0268665000, 0.0481840000, 0.1027007000, 0.2424873000", \ - "0.0134228000, 0.0147948000, 0.0181688000, 0.0266533000, 0.0479668000, 0.1025119000, 0.2420734000", \ - "0.0139255000, 0.0151997000, 0.0184457000, 0.0265188000, 0.0480284000, 0.1027688000, 0.2430082000", \ - "0.0151617000, 0.0163510000, 0.0195900000, 0.0279055000, 0.0487142000, 0.1033971000, 0.2418868000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0164584000, 0.0156567000, 0.0135502000, 0.0069138000, -0.013002700, -0.067487900, -0.208529000", \ - "0.0163201000, 0.0155260000, 0.0134181000, 0.0067863000, -0.013145900, -0.067574000, -0.208631400", \ - "0.0161277000, 0.0152804000, 0.0132119000, 0.0065603000, -0.013341700, -0.067801200, -0.208856700", \ - "0.0158565000, 0.0150988000, 0.0129440000, 0.0062858000, -0.013622400, -0.068056400, -0.209113700", \ - "0.0156198000, 0.0148123000, 0.0126344000, 0.0060370000, -0.013904200, -0.068349600, -0.209385600", \ - "0.0154261000, 0.0146389000, 0.0124816000, 0.0058623000, -0.014061600, -0.068492200, -0.209505400", \ - "0.0194711000, 0.0181940000, 0.0149553000, 0.0066983000, -0.014560500, -0.068697700, -0.209668500"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0146634000, 0.0160672000, 0.0195099000, 0.0279407000, 0.0491466000, 0.1035077000, 0.2430634000", \ - "0.0145410000, 0.0159273000, 0.0194198000, 0.0278636000, 0.0490714000, 0.1038705000, 0.2441759000", \ - "0.0144335000, 0.0158369000, 0.0192495000, 0.0277407000, 0.0489541000, 0.1032980000, 0.2439346000", \ - "0.0142977000, 0.0156934000, 0.0191428000, 0.0276136000, 0.0488362000, 0.1036298000, 0.2437738000", \ - "0.0142399000, 0.0156267000, 0.0189840000, 0.0275033000, 0.0487704000, 0.1031385000, 0.2429126000", \ - "0.0148329000, 0.0160796000, 0.0193250000, 0.0272932000, 0.0487416000, 0.1034859000, 0.2436549000", \ - "0.0155744000, 0.0166742000, 0.0198573000, 0.0281607000, 0.0493833000, 0.1040926000, 0.2424326000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0187237000, 0.0179036000, 0.0157348000, 0.0089403000, -0.011337500, -0.066411300, -0.207966400", \ - "0.0185913000, 0.0178135000, 0.0156058000, 0.0088277000, -0.011471500, -0.066554900, -0.208067300", \ - "0.0183951000, 0.0175702000, 0.0154165000, 0.0086378000, -0.011664000, -0.066723400, -0.208233400", \ - "0.0181482000, 0.0173304000, 0.0151588000, 0.0084433000, -0.011932000, -0.066936500, -0.208423800", \ - "0.0179906000, 0.0172037000, 0.0149961000, 0.0081991000, -0.012105200, -0.067082000, -0.208558400", \ - "0.0179128000, 0.0170900000, 0.0149029000, 0.0081258000, -0.012056800, -0.066941200, -0.208387000", \ - "0.0222992000, 0.0210025000, 0.0176727000, 0.0092657000, -0.012182900, -0.066654600, -0.208060000"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0150551000, 0.0164568000, 0.0198676000, 0.0283591000, 0.0493912000, 0.1035920000, 0.2430176000", \ - "0.0149451000, 0.0163490000, 0.0197608000, 0.0282105000, 0.0493256000, 0.1035035000, 0.2429444000", \ - "0.0148024000, 0.0161971000, 0.0196487000, 0.0280876000, 0.0491810000, 0.1033644000, 0.2430099000", \ - "0.0146059000, 0.0159963000, 0.0194456000, 0.0278879000, 0.0490351000, 0.1032747000, 0.2427237000", \ - "0.0144512000, 0.0158222000, 0.0192436000, 0.0276570000, 0.0489156000, 0.1032300000, 0.2426555000", \ - "0.0149864000, 0.0162472000, 0.0195007000, 0.0275089000, 0.0489500000, 0.1035344000, 0.2437137000", \ - "0.0156263000, 0.0168727000, 0.0201132000, 0.0282800000, 0.0494047000, 0.1040356000, 0.2424033000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0166075000, 0.0158671000, 0.0136506000, 0.0069442000, -0.013259900, -0.068023900, -0.209262900", \ - "0.0164933000, 0.0157062000, 0.0135009000, 0.0067336000, -0.013407200, -0.068160800, -0.209404500", \ - "0.0162868000, 0.0154897000, 0.0133047000, 0.0065557000, -0.013609800, -0.068350200, -0.209591000", \ - "0.0160442000, 0.0152864000, 0.0130682000, 0.0063378000, -0.013833200, -0.068533400, -0.209758200", \ - "0.0159119000, 0.0151368000, 0.0129485000, 0.0061859000, -0.013972300, -0.068646600, -0.209849100", \ - "0.0158623000, 0.0150177000, 0.0128348000, 0.0060914000, -0.013984600, -0.068627500, -0.209813700", \ - "0.0204775000, 0.0191557000, 0.0158388000, 0.0073827000, -0.014182800, -0.068328000, -0.209541800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0123456000, 0.0137534000, 0.0172317000, 0.0257889000, 0.0472530000, 0.1021922000, 0.2424975000", \ - "0.0123804000, 0.0137877000, 0.0172559000, 0.0258244000, 0.0472772000, 0.1022160000, 0.2414096000", \ - "0.0124261000, 0.0138200000, 0.0172692000, 0.0258157000, 0.0473128000, 0.1022821000, 0.2424248000", \ - "0.0121746000, 0.0135337000, 0.0169541000, 0.0254739000, 0.0469818000, 0.1016009000, 0.2413442000", \ - "0.0120557000, 0.0133074000, 0.0166889000, 0.0251354000, 0.0466035000, 0.1013272000, 0.2410626000", \ - "0.0123875000, 0.0136764000, 0.0169849000, 0.0252719000, 0.0467605000, 0.1015264000, 0.2419086000", \ - "0.0134480000, 0.0146801000, 0.0178866000, 0.0263331000, 0.0476403000, 0.1026231000, 0.2409958000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0153084000, 0.0144942000, 0.0123984000, 0.0056613000, -0.014422800, -0.068921200, -0.209988200", \ - "0.0151775000, 0.0143437000, 0.0122814000, 0.0054881000, -0.014548200, -0.069067700, -0.210126900", \ - "0.0149826000, 0.0141639000, 0.0119772000, 0.0052896000, -0.014771700, -0.069256500, -0.210315100", \ - "0.0147673000, 0.0139955000, 0.0118222000, 0.0051088000, -0.014921500, -0.069417100, -0.210473900", \ - "0.0146965000, 0.0138559000, 0.0117297000, 0.0050220000, -0.015024100, -0.069520200, -0.210592900", \ - "0.0149166000, 0.0140980000, 0.0119943000, 0.0055289000, -0.014533200, -0.069189500, -0.210319300", \ - "0.0214319000, 0.0200687000, 0.0167140000, 0.0082517000, -0.013057200, -0.067557100, -0.208810400"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0088025000, 0.0102189000, 0.0137084000, 0.0222328000, 0.0435654000, 0.0980688000, 0.2377693000", \ - "0.0087537000, 0.0101646000, 0.0136462000, 0.0221796000, 0.0437426000, 0.0981220000, 0.2379965000", \ - "0.0086068000, 0.0099936000, 0.0134397000, 0.0219822000, 0.0433530000, 0.0979477000, 0.2376636000", \ - "0.0083446000, 0.0097031000, 0.0131114000, 0.0216415000, 0.0430765000, 0.0977088000, 0.2374364000", \ - "0.0082839000, 0.0095276000, 0.0128607000, 0.0212951000, 0.0427251000, 0.0975206000, 0.2385973000", \ - "0.0086871000, 0.0100130000, 0.0132949000, 0.0215857000, 0.0429671000, 0.0977311000, 0.2377518000", \ - "0.0103191000, 0.0115263000, 0.0147188000, 0.0231427000, 0.0444502000, 0.0992936000, 0.2374344000"); - } - } - max_capacitance : 0.1428320000; - max_transition : 1.5016510000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.2226796000, 0.2308025000, 0.2478031000, 0.2801207000, 0.3408397000, 0.4649972000, 0.7533936000", \ - "0.2270763000, 0.2354048000, 0.2520264000, 0.2844328000, 0.3452816000, 0.4694158000, 0.7577937000", \ - "0.2385053000, 0.2466070000, 0.2635876000, 0.2958696000, 0.3566146000, 0.4807932000, 0.7692059000", \ - "0.2663982000, 0.2747192000, 0.2916035000, 0.3237804000, 0.3844765000, 0.5087573000, 0.7972971000", \ - "0.3272130000, 0.3354627000, 0.3523574000, 0.3846312000, 0.4453938000, 0.5695393000, 0.8578132000", \ - "0.4440893000, 0.4529030000, 0.4711618000, 0.5048136000, 0.5678068000, 0.6932050000, 0.9820833000", \ - "0.6435592000, 0.6539141000, 0.6745907000, 0.7131760000, 0.7826882000, 0.9156797000, 1.2081355000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.1075606000, 0.1163637000, 0.1355559000, 0.1773184000, 0.2758925000, 0.5252785000, 1.1607705000", \ - "0.1113070000, 0.1201133000, 0.1392393000, 0.1810448000, 0.2795478000, 0.5287518000, 1.1648656000", \ - "0.1207082000, 0.1294935000, 0.1486449000, 0.1904118000, 0.2892508000, 0.5374823000, 1.1709516000", \ - "0.1435738000, 0.1522991000, 0.1713277000, 0.2128880000, 0.3116260000, 0.5598937000, 1.1938264000", \ - "0.1854491000, 0.1943267000, 0.2135534000, 0.2561366000, 0.3545697000, 0.6022624000, 1.2358677000", \ - "0.2385575000, 0.2480546000, 0.2681979000, 0.3106804000, 0.4093147000, 0.6578114000, 1.2948532000", \ - "0.2773800000, 0.2895448000, 0.3134010000, 0.3583593000, 0.4566829000, 0.7053979000, 1.3389578000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0314896000, 0.0372776000, 0.0500753000, 0.0752816000, 0.1324759000, 0.2699942000, 0.6407364000", \ - "0.0315241000, 0.0370480000, 0.0495981000, 0.0752619000, 0.1324715000, 0.2699428000, 0.6397012000", \ - "0.0314949000, 0.0372657000, 0.0500483000, 0.0751527000, 0.1324692000, 0.2699715000, 0.6407614000", \ - "0.0314964000, 0.0371427000, 0.0497150000, 0.0760617000, 0.1325231000, 0.2697434000, 0.6385256000", \ - "0.0315655000, 0.0372016000, 0.0500251000, 0.0751965000, 0.1325041000, 0.2697524000, 0.6395568000", \ - "0.0352374000, 0.0409611000, 0.0543386000, 0.0799448000, 0.1360749000, 0.2719857000, 0.6408059000", \ - "0.0435075000, 0.0500362000, 0.0636340000, 0.0926276000, 0.1511152000, 0.2846932000, 0.6427409000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0314856000, 0.0398770000, 0.0596200000, 0.1101463000, 0.2431404000, 0.5925345000, 1.4943096000", \ - "0.0315495000, 0.0396601000, 0.0596227000, 0.1098708000, 0.2437115000, 0.5935277000, 1.4941698000", \ - "0.0315079000, 0.0396278000, 0.0595341000, 0.1099456000, 0.2429537000, 0.5922168000, 1.4889704000", \ - "0.0311084000, 0.0391041000, 0.0591181000, 0.1096267000, 0.2430383000, 0.5929991000, 1.4910028000", \ - "0.0329129000, 0.0409663000, 0.0603933000, 0.1104724000, 0.2433418000, 0.5919575000, 1.4893797000", \ - "0.0376623000, 0.0454112000, 0.0633226000, 0.1117270000, 0.2443121000, 0.5931262000, 1.4916401000", \ - "0.0495674000, 0.0572088000, 0.0750283000, 0.1184086000, 0.2456886000, 0.5952331000, 1.4912692000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.2670134000, 0.2759333000, 0.2939742000, 0.3277145000, 0.3908224000, 0.5171312000, 0.8068082000", \ - "0.2715538000, 0.2804819000, 0.2984313000, 0.3323629000, 0.3948867000, 0.5212736000, 0.8111442000", \ - "0.2831259000, 0.2920283000, 0.3097904000, 0.3438738000, 0.4069336000, 0.5328519000, 0.8228059000", \ - "0.3109772000, 0.3198791000, 0.3379683000, 0.3711343000, 0.4342846000, 0.5606949000, 0.8504844000", \ - "0.3705830000, 0.3794680000, 0.3974154000, 0.4309401000, 0.4939513000, 0.6204682000, 0.9105176000", \ - "0.4905205000, 0.4998977000, 0.5184844000, 0.5531297000, 0.6165742000, 0.7441965000, 1.0344130000", \ - "0.7005497000, 0.7112038000, 0.7325384000, 0.7712648000, 0.8414096000, 0.9738201000, 1.2673500000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.1163081000, 0.1250946000, 0.1442003000, 0.1858176000, 0.2839083000, 0.5311533000, 1.1656052000", \ - "0.1201864000, 0.1290282000, 0.1482242000, 0.1897694000, 0.2880079000, 0.5363396000, 1.1708551000", \ - "0.1291326000, 0.1379328000, 0.1569985000, 0.1986615000, 0.2966608000, 0.5444679000, 1.1806885000", \ - "0.1498459000, 0.1585422000, 0.1775621000, 0.2191078000, 0.3173900000, 0.5660081000, 1.2013669000", \ - "0.1902236000, 0.1992350000, 0.2184797000, 0.2603650000, 0.3588803000, 0.6069057000, 1.2399889000", \ - "0.2452826000, 0.2551528000, 0.2757015000, 0.3189306000, 0.4180110000, 0.6657928000, 1.3025000000", \ - "0.2922886000, 0.3051586000, 0.3293343000, 0.3754915000, 0.4751324000, 0.7233448000, 1.3570665000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0357690000, 0.0410866000, 0.0541790000, 0.0791645000, 0.1371724000, 0.2740108000, 0.6410107000", \ - "0.0355318000, 0.0414771000, 0.0533274000, 0.0791095000, 0.1368366000, 0.2742326000, 0.6412600000", \ - "0.0359633000, 0.0410046000, 0.0534832000, 0.0793567000, 0.1369184000, 0.2741700000, 0.6416197000", \ - "0.0358117000, 0.0416706000, 0.0534710000, 0.0805294000, 0.1371589000, 0.2742889000, 0.6406310000", \ - "0.0355793000, 0.0411489000, 0.0532864000, 0.0796256000, 0.1368835000, 0.2743013000, 0.6410792000", \ - "0.0381570000, 0.0438041000, 0.0560525000, 0.0814896000, 0.1390044000, 0.2755285000, 0.6427215000", \ - "0.0454746000, 0.0520280000, 0.0660442000, 0.0925794000, 0.1508503000, 0.2871582000, 0.6456893000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0315114000, 0.0396701000, 0.0596278000, 0.1101265000, 0.2435397000, 0.5924889000, 1.4934911000", \ - "0.0315905000, 0.0397070000, 0.0596991000, 0.1100397000, 0.2438131000, 0.5938028000, 1.4949608000", \ - "0.0315048000, 0.0395504000, 0.0596075000, 0.1098986000, 0.2436848000, 0.5935719000, 1.4947484000", \ - "0.0311892000, 0.0392822000, 0.0592218000, 0.1098738000, 0.2431443000, 0.5922922000, 1.4909570000", \ - "0.0328267000, 0.0408218000, 0.0605060000, 0.1103327000, 0.2429081000, 0.5936387000, 1.4908641000", \ - "0.0382222000, 0.0455838000, 0.0645277000, 0.1123462000, 0.2439693000, 0.5931254000, 1.4932806000", \ - "0.0498315000, 0.0571539000, 0.0756010000, 0.1193420000, 0.2459727000, 0.5967508000, 1.4909922000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.3040499000, 0.3133719000, 0.3320200000, 0.3662673000, 0.4286555000, 0.5555451000, 0.8456675000", \ - "0.3085079000, 0.3177148000, 0.3362087000, 0.3706042000, 0.4331315000, 0.5599710000, 0.8500752000", \ - "0.3200960000, 0.3294076000, 0.3480319000, 0.3822929000, 0.4448622000, 0.5717809000, 0.8619496000", \ - "0.3476778000, 0.3569996000, 0.3755237000, 0.4093439000, 0.4726992000, 0.5994429000, 0.8896203000", \ - "0.4060561000, 0.4153697000, 0.4338813000, 0.4681300000, 0.5311663000, 0.6580737000, 0.9482928000", \ - "0.5264084000, 0.5360019000, 0.5548875000, 0.5897767000, 0.6532900000, 0.7804683000, 1.0707478000", \ - "0.7393018000, 0.7503854000, 0.7717736000, 0.8107919000, 0.8804449000, 1.0138812000, 1.3074822000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.1221608000, 0.1310324000, 0.1501175000, 0.1916884000, 0.2893410000, 0.5357955000, 1.1695293000", \ - "0.1262540000, 0.1350761000, 0.1541628000, 0.1957522000, 0.2933463000, 0.5397797000, 1.1737381000", \ - "0.1342999000, 0.1431713000, 0.1622396000, 0.2036882000, 0.3015874000, 0.5487719000, 1.1816028000", \ - "0.1513045000, 0.1601411000, 0.1791008000, 0.2205635000, 0.3185597000, 0.5655158000, 1.1979788000", \ - "0.1846707000, 0.1936958000, 0.2131428000, 0.2550623000, 0.3533395000, 0.6002325000, 1.2331695000", \ - "0.2333976000, 0.2431589000, 0.2639008000, 0.3071308000, 0.4061770000, 0.6535936000, 1.2900534000", \ - "0.2771922000, 0.2896464000, 0.3137829000, 0.3601908000, 0.4604081000, 0.7081840000, 1.3414218000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0387689000, 0.0446811000, 0.0576060000, 0.0839208000, 0.1416967000, 0.2783347000, 0.6451846000", \ - "0.0393585000, 0.0448354000, 0.0569089000, 0.0832926000, 0.1417932000, 0.2783846000, 0.6428822000", \ - "0.0387546000, 0.0445847000, 0.0577404000, 0.0827906000, 0.1417964000, 0.2784164000, 0.6433915000", \ - "0.0387261000, 0.0445397000, 0.0568460000, 0.0842545000, 0.1417167000, 0.2783487000, 0.6426201000", \ - "0.0386354000, 0.0445320000, 0.0569845000, 0.0830492000, 0.1415093000, 0.2783453000, 0.6437942000", \ - "0.0408809000, 0.0467789000, 0.0590454000, 0.0845416000, 0.1423014000, 0.2780135000, 0.6445223000", \ - "0.0484699000, 0.0548934000, 0.0680762000, 0.0950016000, 0.1545432000, 0.2890143000, 0.6484489000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0314455000, 0.0396851000, 0.0596628000, 0.1100393000, 0.2434528000, 0.5919994000, 1.4929440000", \ - "0.0316028000, 0.0396258000, 0.0596374000, 0.1100961000, 0.2436129000, 0.5924997000, 1.4934739000", \ - "0.0314137000, 0.0398007000, 0.0594716000, 0.1099541000, 0.2433899000, 0.5931327000, 1.4908276000", \ - "0.0311661000, 0.0395665000, 0.0592385000, 0.1099197000, 0.2431611000, 0.5916619000, 1.4894568000", \ - "0.0328940000, 0.0410336000, 0.0605292000, 0.1102308000, 0.2433335000, 0.5916850000, 1.4901663000", \ - "0.0371033000, 0.0456337000, 0.0645445000, 0.1127674000, 0.2440248000, 0.5917389000, 1.4938418000", \ - "0.0479916000, 0.0567153000, 0.0752820000, 0.1205725000, 0.2468636000, 0.5940894000, 1.4899453000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.2758352000, 0.2851876000, 0.3036724000, 0.3375068000, 0.4014319000, 0.5287034000, 0.8194598000", \ - "0.2791814000, 0.2884997000, 0.3069354000, 0.3413751000, 0.4043344000, 0.5320179000, 0.8228059000", \ - "0.2890997000, 0.2984238000, 0.3169733000, 0.3513776000, 0.4142602000, 0.5420466000, 0.8328767000", \ - "0.3145814000, 0.3239245000, 0.3424235000, 0.3769355000, 0.4401421000, 0.5676721000, 0.8584617000", \ - "0.3757835000, 0.3849896000, 0.4032356000, 0.4378312000, 0.5015462000, 0.6291552000, 0.9199816000", \ - "0.5121613000, 0.5218158000, 0.5412043000, 0.5763306000, 0.6412227000, 0.7689836000, 1.0598505000", \ - "0.7569080000, 0.7686011000, 0.7915057000, 0.8319403000, 0.9030452000, 1.0371221000, 1.3317277000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0678302000, 0.0755676000, 0.0927225000, 0.1320982000, 0.2292958000, 0.4776728000, 1.1135534000", \ - "0.0726481000, 0.0803728000, 0.0975057000, 0.1368661000, 0.2340106000, 0.4825871000, 1.1158801000", \ - "0.0837282000, 0.0913938000, 0.1084243000, 0.1477411000, 0.2451531000, 0.4934003000, 1.1293266000", \ - "0.1070317000, 0.1147428000, 0.1317582000, 0.1710706000, 0.2690652000, 0.5163924000, 1.1502780000", \ - "0.1403408000, 0.1490739000, 0.1673067000, 0.2071534000, 0.3050088000, 0.5530062000, 1.1868728000", \ - "0.1749919000, 0.1863029000, 0.2077198000, 0.2497619000, 0.3474829000, 0.5952643000, 1.2327224000", \ - "0.1874508000, 0.2028586000, 0.2310768000, 0.2802001000, 0.3795719000, 0.6278146000, 1.2609475000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0388407000, 0.0448641000, 0.0569791000, 0.0843939000, 0.1411811000, 0.2781229000, 0.6432210000", \ - "0.0393989000, 0.0450314000, 0.0568958000, 0.0832243000, 0.1410304000, 0.2781463000, 0.6428603000", \ - "0.0387315000, 0.0445589000, 0.0578023000, 0.0840884000, 0.1415287000, 0.2781510000, 0.6429121000", \ - "0.0388171000, 0.0447694000, 0.0569411000, 0.0829469000, 0.1414005000, 0.2780097000, 0.6435214000", \ - "0.0392197000, 0.0446983000, 0.0572469000, 0.0832201000, 0.1408793000, 0.2771466000, 0.6446327000", \ - "0.0418131000, 0.0478980000, 0.0596857000, 0.0851561000, 0.1421519000, 0.2777469000, 0.6441261000", \ - "0.0535122000, 0.0604337000, 0.0729923000, 0.0990285000, 0.1547293000, 0.2891426000, 0.6464521000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0255601000, 0.0329904000, 0.0519951000, 0.1032131000, 0.2392189000, 0.5911829000, 1.4912612000", \ - "0.0255414000, 0.0329428000, 0.0519944000, 0.1031909000, 0.2393919000, 0.5908424000, 1.4929672000", \ - "0.0255210000, 0.0329685000, 0.0520253000, 0.1032076000, 0.2390339000, 0.5913965000, 1.4901352000", \ - "0.0267316000, 0.0338582000, 0.0523322000, 0.1033620000, 0.2396917000, 0.5888186000, 1.4923022000", \ - "0.0326436000, 0.0391598000, 0.0560739000, 0.1048960000, 0.2390380000, 0.5905897000, 1.4869723000", \ - "0.0446959000, 0.0506899000, 0.0653008000, 0.1093408000, 0.2405264000, 0.5889304000, 1.4897220000", \ - "0.0633445000, 0.0706320000, 0.0847471000, 0.1230965000, 0.2439013000, 0.5929635000, 1.4845662000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.2319520000, 0.2412628000, 0.2596018000, 0.2942413000, 0.3580646000, 0.4861436000, 0.7772181000", \ - "0.2347368000, 0.2439537000, 0.2624774000, 0.2970222000, 0.3612556000, 0.4891411000, 0.7802778000", \ - "0.2425301000, 0.2518656000, 0.2702595000, 0.3047621000, 0.3687709000, 0.4968561000, 0.7879977000", \ - "0.2655725000, 0.2750289000, 0.2933931000, 0.3280046000, 0.3923027000, 0.5198927000, 0.8110765000", \ - "0.3263418000, 0.3356286000, 0.3541499000, 0.3888575000, 0.4528558000, 0.5808887000, 0.8719742000", \ - "0.4643432000, 0.4744390000, 0.4933206000, 0.5278504000, 0.5925365000, 0.7206103000, 1.0115810000", \ - "0.6912358000, 0.7039047000, 0.7279940000, 0.7683376000, 0.8356300000, 0.9666782000, 1.2614370000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0631436000, 0.0712051000, 0.0887841000, 0.1283166000, 0.2251889000, 0.4726800000, 1.1049963000", \ - "0.0680542000, 0.0760990000, 0.0936522000, 0.1331062000, 0.2302966000, 0.4786472000, 1.1103222000", \ - "0.0792341000, 0.0871887000, 0.1046320000, 0.1442139000, 0.2412648000, 0.4889574000, 1.1222145000", \ - "0.1014615000, 0.1095610000, 0.1271097000, 0.1667262000, 0.2640000000, 0.5118851000, 1.1446351000", \ - "0.1326036000, 0.1418795000, 0.1607841000, 0.2013373000, 0.2989453000, 0.5474957000, 1.1871329000", \ - "0.1656020000, 0.1777531000, 0.2004963000, 0.2436462000, 0.3417162000, 0.5893205000, 1.2264630000", \ - "0.1805884000, 0.1971455000, 0.2273388000, 0.2790068000, 0.3793848000, 0.6271417000, 1.2609815000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0387487000, 0.0445736000, 0.0572698000, 0.0831592000, 0.1406847000, 0.2771971000, 0.6440065000", \ - "0.0391971000, 0.0445584000, 0.0577338000, 0.0826892000, 0.1405265000, 0.2778868000, 0.6419220000", \ - "0.0387026000, 0.0444304000, 0.0568244000, 0.0831290000, 0.1407136000, 0.2771514000, 0.6440946000", \ - "0.0392136000, 0.0455781000, 0.0573173000, 0.0833614000, 0.1408559000, 0.2774781000, 0.6442473000", \ - "0.0384217000, 0.0444588000, 0.0567679000, 0.0826492000, 0.1404895000, 0.2778002000, 0.6425020000", \ - "0.0431487000, 0.0484973000, 0.0602077000, 0.0859488000, 0.1428938000, 0.2782073000, 0.6436184000", \ - "0.0598108000, 0.0661162000, 0.0778307000, 0.1001210000, 0.1528117000, 0.2867284000, 0.6476868000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0259956000, 0.0335625000, 0.0525143000, 0.1033745000, 0.2389357000, 0.5925261000, 1.4907449000", \ - "0.0260160000, 0.0335972000, 0.0525239000, 0.1033454000, 0.2396543000, 0.5945737000, 1.4938134000", \ - "0.0260980000, 0.0337209000, 0.0525759000, 0.1034083000, 0.2388267000, 0.5918563000, 1.4874392000", \ - "0.0278786000, 0.0350842000, 0.0534368000, 0.1036453000, 0.2389856000, 0.5922927000, 1.4903596000", \ - "0.0346599000, 0.0412089000, 0.0578611000, 0.1060484000, 0.2388924000, 0.5930672000, 1.5016509000", \ - "0.0484279000, 0.0547954000, 0.0688678000, 0.1113589000, 0.2409098000, 0.5891319000, 1.4904002000", \ - "0.0690753000, 0.0766049000, 0.0907138000, 0.1278889000, 0.2457038000, 0.5914374000, 1.4868878000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a311o_2") { - leakage_power () { - value : 0.0029615000; - when : "!A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0037972000; - when : "!A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0008914000; - when : "!A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0013072000; - when : "!A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0029615000; - when : "!A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0038196000; - when : "!A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0008914000; - when : "!A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0013072000; - when : "!A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0029615000; - when : "!A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0038199000; - when : "!A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0008914000; - when : "!A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0013072000; - when : "!A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0029616000; - when : "!A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0043208000; - when : "!A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0008914000; - when : "!A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0013072000; - when : "!A1&A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0029615000; - when : "A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0038241000; - when : "A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0008914000; - when : "A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0013072000; - when : "A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0029616000; - when : "A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0042041000; - when : "A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0008914000; - when : "A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0013072000; - when : "A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0029617000; - when : "A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0041880000; - when : "A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0008914000; - when : "A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0013072000; - when : "A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0009541000; - when : "A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0018434000; - when : "A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0008762000; - when : "A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0009407000; - when : "A1&A2&A3&B1&!C1"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__a311o"; - cell_leakage_power : 0.0021471640; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0022790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021970000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045247000, 0.0045249000, 0.0045253000, 0.0045272000, 0.0045315000, 0.0045415000, 0.0045647000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003446700, -0.003449700, -0.003456600, -0.003449400, -0.003432700, -0.003394200, -0.003305400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023610000; - } - pin ("A2") { - capacitance : 0.0023050000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022040000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043767000, 0.0043701000, 0.0043548000, 0.0043705000, 0.0044065000, 0.0044897000, 0.0046813000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004000600, -0.003999800, -0.003997900, -0.003998000, -0.003998100, -0.003998400, -0.003999100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024060000; - } - pin ("A3") { - capacitance : 0.0023440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022010000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043035000, 0.0043025000, 0.0043001000, 0.0042996000, 0.0042984000, 0.0042956000, 0.0042892000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004293400, -0.004291600, -0.004287600, -0.004287700, -0.004288100, -0.004288900, -0.004290700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024870000; - } - pin ("B1") { - capacitance : 0.0022710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021080000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0037777000, 0.0037752000, 0.0037695000, 0.0037675000, 0.0037629000, 0.0037522000, 0.0037276000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003421400, -0.003482800, -0.003624200, -0.003628000, -0.003636800, -0.003657100, -0.003703800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024340000; - } - pin ("C1") { - capacitance : 0.0022340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020410000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027756000, 0.0027720000, 0.0027637000, 0.0027712000, 0.0027883000, 0.0028279000, 0.0029192000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001729300, -0.001730900, -0.001734600, -0.001736500, -0.001740700, -0.001750600, -0.001773400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024290000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2&A3) | (B1) | (C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162100, 0.0354480400, 0.1028603000, 0.2984718000"); - values("0.0162455000, 0.0147410000, 0.0111431000, 0.0004263000, -0.034233600, -0.141787900, -0.458312100", \ - "0.0161312000, 0.0146280000, 0.0110405000, 0.0003123000, -0.034337500, -0.141887900, -0.458412900", \ - "0.0158869000, 0.0144354000, 0.0107926000, 0.0001136000, -0.034514800, -0.142147900, -0.458705500", \ - "0.0155856000, 0.0141972000, 0.0104444000, -0.000222800, -0.034896300, -0.142476300, -0.459026700", \ - "0.0153033000, 0.0138113000, 0.0102904000, -0.000550800, -0.035158500, -0.142779100, -0.459323300", \ - "0.0153236000, 0.0138051000, 0.0101380000, -0.000605700, -0.035347100, -0.142938300, -0.459427600", \ - "0.0212829000, 0.0195610000, 0.0148871000, 0.0019237000, -0.035457800, -0.143033700, -0.459432800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162100, 0.0354480400, 0.1028603000, 0.2984718000"); - values("0.0191543000, 0.0208119000, 0.0255908000, 0.0388868000, 0.0764411000, 0.1845702000, 0.5000895000", \ - "0.0190538000, 0.0206961000, 0.0254295000, 0.0388283000, 0.0763369000, 0.1843851000, 0.5001461000", \ - "0.0189105000, 0.0205623000, 0.0253065000, 0.0386880000, 0.0761578000, 0.1842733000, 0.5000343000", \ - "0.0187411000, 0.0203911000, 0.0251920000, 0.0385812000, 0.0761285000, 0.1840421000, 0.4999427000", \ - "0.0189839000, 0.0205949000, 0.0252416000, 0.0385550000, 0.0758268000, 0.1841133000, 0.4992304000", \ - "0.0196229000, 0.0211284000, 0.0255880000, 0.0382358000, 0.0756779000, 0.1833228000, 0.4971957000", \ - "0.0212658000, 0.0227167000, 0.0270033000, 0.0400367000, 0.0764262000, 0.1848085000, 0.4960919000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162100, 0.0354480400, 0.1028603000, 0.2984718000"); - values("0.0189467000, 0.0174381000, 0.0137701000, 0.0030180000, -0.031559600, -0.138907200, -0.455367300", \ - "0.0188455000, 0.0173440000, 0.0137014000, 0.0029387000, -0.031666600, -0.139005100, -0.455467500", \ - "0.0186761000, 0.0171429000, 0.0135550000, 0.0028522000, -0.031754300, -0.139212500, -0.455646400", \ - "0.0184058000, 0.0169145000, 0.0132675000, 0.0024943000, -0.032124900, -0.139449200, -0.455908700", \ - "0.0181526000, 0.0166242000, 0.0130195000, 0.0022572000, -0.032424100, -0.139787400, -0.456188000", \ - "0.0182376000, 0.0166735000, 0.0129542000, 0.0021163000, -0.032596100, -0.139997500, -0.456364700", \ - "0.0236653000, 0.0223688000, 0.0176166000, 0.0044832000, -0.033250200, -0.140271800, -0.456609000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162100, 0.0354480400, 0.1028603000, 0.2984718000"); - values("0.0196856000, 0.0213511000, 0.0261292000, 0.0394215000, 0.0768473000, 0.1847866000, 0.5001878000", \ - "0.0196226000, 0.0212508000, 0.0260250000, 0.0393193000, 0.0767592000, 0.1847012000, 0.4998970000", \ - "0.0194622000, 0.0211032000, 0.0258520000, 0.0392166000, 0.0766259000, 0.1845683000, 0.5001624000", \ - "0.0192803000, 0.0209248000, 0.0257124000, 0.0390924000, 0.0765823000, 0.1843641000, 0.5001227000", \ - "0.0193335000, 0.0209398000, 0.0256455000, 0.0388826000, 0.0765209000, 0.1845603000, 0.4998415000", \ - "0.0201317000, 0.0216551000, 0.0261389000, 0.0387332000, 0.0759204000, 0.1840805000, 0.4998125000", \ - "0.0213606000, 0.0228308000, 0.0272128000, 0.0402105000, 0.0773631000, 0.1855438000, 0.4993740000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162100, 0.0354480400, 0.1028603000, 0.2984718000"); - values("0.0215996000, 0.0200887000, 0.0163870000, 0.0057794000, -0.029071500, -0.137017000, -0.453796900", \ - "0.0216613000, 0.0201755000, 0.0164968000, 0.0056240000, -0.029304000, -0.137125800, -0.453913000", \ - "0.0215565000, 0.0200081000, 0.0163120000, 0.0055175000, -0.029476600, -0.137276000, -0.454026200", \ - "0.0213039000, 0.0196625000, 0.0160843000, 0.0052194000, -0.029687600, -0.137482300, -0.454253300", \ - "0.0210245000, 0.0195052000, 0.0158124000, 0.0048911000, -0.029906100, -0.137678900, -0.454413300", \ - "0.0209956000, 0.0194651000, 0.0156971000, 0.0048080000, -0.029956200, -0.137707500, -0.454377300", \ - "0.0268122000, 0.0250691000, 0.0203469000, 0.0071680000, -0.030455600, -0.137630400, -0.454232700"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162100, 0.0354480400, 0.1028603000, 0.2984718000"); - values("0.0199195000, 0.0215822000, 0.0262665000, 0.0396680000, 0.0770356000, 0.1847950000, 0.4996967000", \ - "0.0198733000, 0.0215083000, 0.0262701000, 0.0395653000, 0.0770199000, 0.1854470000, 0.4977019000", \ - "0.0197366000, 0.0213692000, 0.0261222000, 0.0394618000, 0.0768956000, 0.1846716000, 0.4999009000", \ - "0.0194871000, 0.0211401000, 0.0259074000, 0.0392967000, 0.0767404000, 0.1853600000, 0.4973231000", \ - "0.0194654000, 0.0211400000, 0.0258370000, 0.0390642000, 0.0765601000, 0.1845999000, 0.4974647000", \ - "0.0203092000, 0.0218427000, 0.0262817000, 0.0389471000, 0.0764380000, 0.1842761000, 0.4977108000", \ - "0.0210792000, 0.0225505000, 0.0269635000, 0.0398202000, 0.0773459000, 0.1854007000, 0.4965085000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162100, 0.0354480400, 0.1028603000, 0.2984718000"); - values("0.0194999000, 0.0179470000, 0.0142701000, 0.0034685000, -0.031319300, -0.138893000, -0.455474000", \ - "0.0195036000, 0.0179639000, 0.0142929000, 0.0034346000, -0.031414900, -0.139078600, -0.455620100", \ - "0.0191855000, 0.0176352000, 0.0139371000, 0.0031419000, -0.031553800, -0.139192800, -0.455776200", \ - "0.0191134000, 0.0175499000, 0.0138938000, 0.0030296000, -0.031818500, -0.139439700, -0.455998900", \ - "0.0188396000, 0.0173343000, 0.0136758000, 0.0028422000, -0.032039200, -0.139606000, -0.456125500", \ - "0.0188360000, 0.0172597000, 0.0135630000, 0.0028286000, -0.032053700, -0.139686500, -0.456156900", \ - "0.0248935000, 0.0231255000, 0.0183024000, 0.0050290000, -0.032279300, -0.139534600, -0.455956800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162100, 0.0354480400, 0.1028603000, 0.2984718000"); - values("0.0172746000, 0.0189821000, 0.0238176000, 0.0373037000, 0.0751484000, 0.1834692000, 0.4985841000", \ - "0.0173279000, 0.0190315000, 0.0238757000, 0.0373278000, 0.0752029000, 0.1835319000, 0.4991787000", \ - "0.0173971000, 0.0191056000, 0.0239255000, 0.0373626000, 0.0752085000, 0.1835918000, 0.4992366000", \ - "0.0172246000, 0.0188946000, 0.0236461000, 0.0369801000, 0.0748181000, 0.1832841000, 0.4985716000", \ - "0.0172073000, 0.0187874000, 0.0234494000, 0.0366325000, 0.0743625000, 0.1829437000, 0.4982931000", \ - "0.0178378000, 0.0193767000, 0.0238884000, 0.0369700000, 0.0744295000, 0.1823659000, 0.4986469000", \ - "0.0190717000, 0.0205216000, 0.0249065000, 0.0379511000, 0.0753779000, 0.1840850000, 0.4956231000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162100, 0.0354480400, 0.1028603000, 0.2984718000"); - values("0.0183548000, 0.0168046000, 0.0131421000, 0.0022383000, -0.032468200, -0.139959400, -0.456373200", \ - "0.0182648000, 0.0167392000, 0.0130739000, 0.0022487000, -0.032599100, -0.140087400, -0.456488000", \ - "0.0179943000, 0.0163949000, 0.0127731000, 0.0019380000, -0.032819000, -0.140306900, -0.456717100", \ - "0.0177820000, 0.0162959000, 0.0125957000, 0.0017806000, -0.033022400, -0.140521800, -0.456929500", \ - "0.0176102000, 0.0160540000, 0.0123912000, 0.0015907000, -0.033209400, -0.140651200, -0.457048400", \ - "0.0180429000, 0.0164289000, 0.0127102000, 0.0017926000, -0.032460000, -0.140280500, -0.456780200", \ - "0.0260520000, 0.0241971000, 0.0192964000, 0.0064544000, -0.031468300, -0.139248000, -0.455805000"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162100, 0.0354480400, 0.1028603000, 0.2984718000"); - values("0.0137708000, 0.0154737000, 0.0203497000, 0.0338511000, 0.0715924000, 0.1801236000, 0.4932931000", \ - "0.0137736000, 0.0154762000, 0.0203493000, 0.0338369000, 0.0716077000, 0.1801640000, 0.4906342000", \ - "0.0136919000, 0.0153878000, 0.0202281000, 0.0336608000, 0.0714401000, 0.1799736000, 0.4961722000", \ - "0.0135019000, 0.0151687000, 0.0199232000, 0.0332729000, 0.0709791000, 0.1796417000, 0.4922712000", \ - "0.0134300000, 0.0149908000, 0.0196505000, 0.0328721000, 0.0705044000, 0.1801400000, 0.4919431000", \ - "0.0141837000, 0.0157205000, 0.0202062000, 0.0333362000, 0.0706669000, 0.1794284000, 0.4901077000", \ - "0.0157038000, 0.0171570000, 0.0214212000, 0.0345960000, 0.0719119000, 0.1802598000, 0.4918902000"); - } - } - max_capacitance : 0.2984720000; - max_transition : 1.5071490000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.2761387000, 0.2837482000, 0.3006614000, 0.3342587000, 0.3982002000, 0.5297224000, 0.8463810000", \ - "0.2810396000, 0.2886079000, 0.3055299000, 0.3391511000, 0.4031263000, 0.5346608000, 0.8513062000", \ - "0.2926751000, 0.3002927000, 0.3172342000, 0.3508955000, 0.4147754000, 0.5461809000, 0.8628208000", \ - "0.3202512000, 0.3280394000, 0.3447027000, 0.3780773000, 0.4427515000, 0.5738788000, 0.8905723000", \ - "0.3811766000, 0.3886146000, 0.4055289000, 0.4390382000, 0.5032830000, 0.6346661000, 0.9511794000", \ - "0.5062255000, 0.5139224000, 0.5314991000, 0.5656058000, 0.6305302000, 0.7623632000, 1.0791470000", \ - "0.7271605000, 0.7360320000, 0.7557386000, 0.7945099000, 0.8663932000, 1.0060018000, 1.3281124000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.1200203000, 0.1272043000, 0.1437376000, 0.1799983000, 0.2664454000, 0.5033254000, 1.1887564000", \ - "0.1236542000, 0.1308647000, 0.1473229000, 0.1836384000, 0.2701587000, 0.5079437000, 1.1912509000", \ - "0.1327904000, 0.1399726000, 0.1564894000, 0.1927046000, 0.2793518000, 0.5172118000, 1.2003046000", \ - "0.1554545000, 0.1626052000, 0.1790775000, 0.2152847000, 0.3018913000, 0.5398037000, 1.2224044000", \ - "0.2029433000, 0.2101644000, 0.2266505000, 0.2628950000, 0.3494539000, 0.5865541000, 1.2713760000", \ - "0.2654532000, 0.2735902000, 0.2918207000, 0.3285487000, 0.4162118000, 0.6536386000, 1.3363426000", \ - "0.3190545000, 0.3298373000, 0.3526176000, 0.3959528000, 0.4845708000, 0.7224007000, 1.4043351000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.0369233000, 0.0414206000, 0.0521121000, 0.0749922000, 0.1275567000, 0.2573995000, 0.6431917000", \ - "0.0369093000, 0.0414251000, 0.0521056000, 0.0749727000, 0.1273067000, 0.2574161000, 0.6431802000", \ - "0.0368398000, 0.0412461000, 0.0523646000, 0.0752948000, 0.1284381000, 0.2570530000, 0.6430427000", \ - "0.0366178000, 0.0414250000, 0.0524070000, 0.0762416000, 0.1269281000, 0.2576631000, 0.6429821000", \ - "0.0366125000, 0.0418672000, 0.0523455000, 0.0764753000, 0.1282340000, 0.2571582000, 0.6426360000", \ - "0.0393836000, 0.0442000000, 0.0548645000, 0.0775980000, 0.1281976000, 0.2580358000, 0.6445786000", \ - "0.0478958000, 0.0529644000, 0.0654736000, 0.0900233000, 0.1422921000, 0.2730871000, 0.6500703000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.0274802000, 0.0331575000, 0.0472315000, 0.0850759000, 0.1947460000, 0.5265138000, 1.4987601000", \ - "0.0274230000, 0.0332359000, 0.0473524000, 0.0848293000, 0.1950869000, 0.5278781000, 1.5016293000", \ - "0.0272857000, 0.0330448000, 0.0474286000, 0.0848690000, 0.1950603000, 0.5278691000, 1.5015645000", \ - "0.0272110000, 0.0329481000, 0.0470084000, 0.0844763000, 0.1947251000, 0.5274109000, 1.5010277000", \ - "0.0284852000, 0.0341659000, 0.0479872000, 0.0853205000, 0.1948600000, 0.5274857000, 1.4986202000", \ - "0.0350664000, 0.0405902000, 0.0534495000, 0.0896318000, 0.1968995000, 0.5258008000, 1.4996440000", \ - "0.0479268000, 0.0545160000, 0.0684903000, 0.1009053000, 0.2020938000, 0.5289502000, 1.4981425000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.3199903000, 0.3282464000, 0.3463968000, 0.3818616000, 0.4479082000, 0.5824265000, 0.9014202000", \ - "0.3248255000, 0.3330976000, 0.3512257000, 0.3866941000, 0.4528421000, 0.5873867000, 0.9063181000", \ - "0.3366427000, 0.3448935000, 0.3630864000, 0.3983455000, 0.4650423000, 0.5995200000, 0.9186277000", \ - "0.3648969000, 0.3731490000, 0.3912402000, 0.4266791000, 0.4929291000, 0.6274412000, 0.9462975000", \ - "0.4246365000, 0.4328733000, 0.4510448000, 0.4862010000, 0.5530366000, 0.6873306000, 1.0065552000", \ - "0.5508552000, 0.5591153000, 0.5775567000, 0.6132906000, 0.6802586000, 0.8141550000, 1.1333509000", \ - "0.7794345000, 0.7894249000, 0.8100275000, 0.8496191000, 0.9228600000, 1.0640900000, 1.3877615000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.1278215000, 0.1350835000, 0.1515277000, 0.1877932000, 0.2741897000, 0.5106555000, 1.1957072000", \ - "0.1318586000, 0.1390671000, 0.1554959000, 0.1917622000, 0.2781791000, 0.5147399000, 1.1996517000", \ - "0.1406043000, 0.1477648000, 0.1643225000, 0.2004992000, 0.2869428000, 0.5244108000, 1.2072201000", \ - "0.1611687000, 0.1683241000, 0.1847876000, 0.2209588000, 0.3074692000, 0.5451308000, 1.2273870000", \ - "0.2043334000, 0.2116771000, 0.2284064000, 0.2646139000, 0.3510003000, 0.5878016000, 1.2727513000", \ - "0.2672967000, 0.2755448000, 0.2938524000, 0.3309851000, 0.4192313000, 0.6565898000, 1.3416046000", \ - "0.3276545000, 0.3381952000, 0.3609577000, 0.4041105000, 0.4942121000, 0.7316351000, 1.4134179000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.0412291000, 0.0461228000, 0.0569244000, 0.0798020000, 0.1329451000, 0.2631919000, 0.6459716000", \ - "0.0412449000, 0.0461117000, 0.0569452000, 0.0809940000, 0.1324755000, 0.2631732000, 0.6457751000", \ - "0.0411952000, 0.0463177000, 0.0570612000, 0.0802250000, 0.1318841000, 0.2625582000, 0.6476095000", \ - "0.0412470000, 0.0461033000, 0.0569445000, 0.0809794000, 0.1325018000, 0.2630906000, 0.6459472000", \ - "0.0412027000, 0.0463166000, 0.0569807000, 0.0804142000, 0.1315690000, 0.2630061000, 0.6477777000", \ - "0.0427211000, 0.0474761000, 0.0582765000, 0.0808767000, 0.1329237000, 0.2632318000, 0.6453688000", \ - "0.0514098000, 0.0564122000, 0.0681778000, 0.0928619000, 0.1450507000, 0.2744796000, 0.6514861000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.0273388000, 0.0331291000, 0.0472292000, 0.0849785000, 0.1949927000, 0.5265351000, 1.4994783000", \ - "0.0272975000, 0.0330775000, 0.0472830000, 0.0849178000, 0.1950215000, 0.5261210000, 1.5006500000", \ - "0.0275108000, 0.0331685000, 0.0473390000, 0.0847695000, 0.1950963000, 0.5279067000, 1.5015533000", \ - "0.0270502000, 0.0329516000, 0.0470454000, 0.0845667000, 0.1947383000, 0.5274168000, 1.5008293000", \ - "0.0282845000, 0.0342207000, 0.0478636000, 0.0854278000, 0.1947895000, 0.5265475000, 1.4996892000", \ - "0.0337271000, 0.0394994000, 0.0534997000, 0.0899362000, 0.1967549000, 0.5272409000, 1.5002958000", \ - "0.0453050000, 0.0520043000, 0.0667874000, 0.1003372000, 0.2012089000, 0.5277367000, 1.4975060000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.3417731000, 0.3502457000, 0.3687743000, 0.4042222000, 0.4709763000, 0.6042221000, 0.9230869000", \ - "0.3465898000, 0.3550599000, 0.3735066000, 0.4092155000, 0.4759633000, 0.6089212000, 0.9276441000", \ - "0.3590891000, 0.3675109000, 0.3859141000, 0.4215901000, 0.4883683000, 0.6214677000, 0.9409691000", \ - "0.3877171000, 0.3961845000, 0.4146107000, 0.4503364000, 0.5170396000, 0.6500692000, 0.9688552000", \ - "0.4479077000, 0.4563753000, 0.4748750000, 0.5106016000, 0.5772262000, 0.7107708000, 1.0292450000", \ - "0.5741477000, 0.5825748000, 0.6013708000, 0.6371260000, 0.7033356000, 0.8373270000, 1.1562212000", \ - "0.8082402000, 0.8178141000, 0.8385847000, 0.8782570000, 0.9506059000, 1.0908299000, 1.4134256000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.1330275000, 0.1401993000, 0.1566616000, 0.1929988000, 0.2792742000, 0.5153301000, 1.2000115000", \ - "0.1370564000, 0.1442470000, 0.1608215000, 0.1969569000, 0.2833688000, 0.5204775000, 1.2018944000", \ - "0.1450323000, 0.1522350000, 0.1686911000, 0.2049038000, 0.2912133000, 0.5276530000, 1.2109660000", \ - "0.1617076000, 0.1688972000, 0.1854100000, 0.2215365000, 0.3079562000, 0.5451427000, 1.2255762000", \ - "0.1964610000, 0.2038218000, 0.2206049000, 0.2570478000, 0.3435629000, 0.5802394000, 1.2620497000", \ - "0.2493638000, 0.2575403000, 0.2757367000, 0.3136705000, 0.4019448000, 0.6387201000, 1.3210023000", \ - "0.3020929000, 0.3122432000, 0.3344435000, 0.3774676000, 0.4685821000, 0.7061721000, 1.3874552000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.0430101000, 0.0482469000, 0.0584798000, 0.0817229000, 0.1339577000, 0.2634369000, 0.6454567000", \ - "0.0432547000, 0.0477632000, 0.0586623000, 0.0825412000, 0.1324524000, 0.2634237000, 0.6467353000", \ - "0.0428910000, 0.0478205000, 0.0587468000, 0.0824336000, 0.1320923000, 0.2635166000, 0.6471524000", \ - "0.0430730000, 0.0480203000, 0.0585955000, 0.0826741000, 0.1317667000, 0.2633632000, 0.6466301000", \ - "0.0432210000, 0.0477528000, 0.0585321000, 0.0825502000, 0.1334627000, 0.2636575000, 0.6474454000", \ - "0.0439392000, 0.0485646000, 0.0590656000, 0.0815008000, 0.1330815000, 0.2627691000, 0.6478859000", \ - "0.0523642000, 0.0571872000, 0.0689861000, 0.0927731000, 0.1440265000, 0.2722582000, 0.6520181000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.0274557000, 0.0331657000, 0.0475445000, 0.0850488000, 0.1948161000, 0.5272349000, 1.4983844000", \ - "0.0272047000, 0.0329320000, 0.0473110000, 0.0849086000, 0.1948387000, 0.5270949000, 1.4994993000", \ - "0.0272904000, 0.0329919000, 0.0473325000, 0.0848197000, 0.1949100000, 0.5279265000, 1.5019475000", \ - "0.0271777000, 0.0327729000, 0.0472526000, 0.0847840000, 0.1944744000, 0.5275855000, 1.4963335000", \ - "0.0285092000, 0.0343361000, 0.0481087000, 0.0855011000, 0.1950265000, 0.5276082000, 1.4998530000", \ - "0.0324355000, 0.0383556000, 0.0530137000, 0.0895715000, 0.1969335000, 0.5272472000, 1.4985632000", \ - "0.0427263000, 0.0499633000, 0.0644727000, 0.0992377000, 0.2014993000, 0.5291124000, 1.4978990000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.3143103000, 0.3228085000, 0.3413589000, 0.3769840000, 0.4439941000, 0.5771714000, 0.8961001000", \ - "0.3180564000, 0.3265064000, 0.3449531000, 0.3807278000, 0.4474736000, 0.5813753000, 0.9003303000", \ - "0.3283394000, 0.3368764000, 0.3553117000, 0.3910056000, 0.4568431000, 0.5911148000, 0.9102409000", \ - "0.3540490000, 0.3622293000, 0.3809288000, 0.4166746000, 0.4834026000, 0.6165786000, 0.9354622000", \ - "0.4150031000, 0.4235638000, 0.4420967000, 0.4775458000, 0.5442108000, 0.6782184000, 0.9969952000", \ - "0.5553947000, 0.5640599000, 0.5823095000, 0.6186084000, 0.6847962000, 0.8191492000, 1.1380957000", \ - "0.8191788000, 0.8291670000, 0.8510870000, 0.8922313000, 0.9661244000, 1.1073262000, 1.4305546000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.0703856000, 0.0761756000, 0.0897063000, 0.1213088000, 0.2042687000, 0.4399700000, 1.1243679000", \ - "0.0751876000, 0.0809691000, 0.0945090000, 0.1260900000, 0.2088526000, 0.4448574000, 1.1260771000", \ - "0.0862629000, 0.0920479000, 0.1055431000, 0.1370515000, 0.2200246000, 0.4558870000, 1.1370500000", \ - "0.1111356000, 0.1169192000, 0.1303670000, 0.1617438000, 0.2447266000, 0.4806535000, 1.1650806000", \ - "0.1491070000, 0.1560871000, 0.1711635000, 0.2038622000, 0.2867759000, 0.5229717000, 1.2075323000", \ - "0.1899989000, 0.1994458000, 0.2186848000, 0.2552943000, 0.3393769000, 0.5751740000, 1.2589391000", \ - "0.2140460000, 0.2265361000, 0.2523940000, 0.2988660000, 0.3880106000, 0.6242180000, 1.3045165000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.0428962000, 0.0480466000, 0.0590092000, 0.0812280000, 0.1320514000, 0.2626095000, 0.6442480000", \ - "0.0430647000, 0.0477147000, 0.0586377000, 0.0827666000, 0.1335360000, 0.2624627000, 0.6476069000", \ - "0.0427917000, 0.0480571000, 0.0587818000, 0.0812536000, 0.1346358000, 0.2633042000, 0.6439688000", \ - "0.0430649000, 0.0477124000, 0.0586107000, 0.0827604000, 0.1334786000, 0.2634864000, 0.6465835000", \ - "0.0432110000, 0.0478166000, 0.0596758000, 0.0816197000, 0.1324318000, 0.2634391000, 0.6463374000", \ - "0.0448498000, 0.0498243000, 0.0600221000, 0.0823324000, 0.1350476000, 0.2631698000, 0.6466194000", \ - "0.0568521000, 0.0621707000, 0.0739525000, 0.0974450000, 0.1494099000, 0.2746670000, 0.6493011000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.0202705000, 0.0249122000, 0.0374697000, 0.0743705000, 0.1877880000, 0.5238889000, 1.4950500000", \ - "0.0202806000, 0.0249800000, 0.0375571000, 0.0741913000, 0.1877508000, 0.5232427000, 1.4980726000", \ - "0.0202521000, 0.0249144000, 0.0374733000, 0.0743915000, 0.1877905000, 0.5232578000, 1.4977833000", \ - "0.0210748000, 0.0255592000, 0.0379242000, 0.0745625000, 0.1877269000, 0.5240164000, 1.4947854000", \ - "0.0273771000, 0.0315795000, 0.0428466000, 0.0770906000, 0.1881911000, 0.5239367000, 1.4947716000", \ - "0.0389502000, 0.0438294000, 0.0547133000, 0.0845282000, 0.1903243000, 0.5209931000, 1.4984166000", \ - "0.0557454000, 0.0624364000, 0.0750167000, 0.1035170000, 0.1975922000, 0.5250417000, 1.4929979000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.2728000000, 0.2812728000, 0.2997839000, 0.3355630000, 0.4023832000, 0.5364259000, 0.8556437000", \ - "0.2757043000, 0.2841690000, 0.3026570000, 0.3383800000, 0.4052749000, 0.5393812000, 0.8585760000", \ - "0.2836882000, 0.2921931000, 0.3106633000, 0.3464749000, 0.4132935000, 0.5470000000, 0.8661230000", \ - "0.3068903000, 0.3152343000, 0.3338954000, 0.3703284000, 0.4370696000, 0.5708387000, 0.8896493000", \ - "0.3667726000, 0.3751587000, 0.3938158000, 0.4295964000, 0.4965320000, 0.6306713000, 0.9497024000", \ - "0.5093759000, 0.5177553000, 0.5366327000, 0.5723764000, 0.6387613000, 0.7729334000, 1.0920452000", \ - "0.7580062000, 0.7685339000, 0.7916721000, 0.8351592000, 0.9087919000, 1.0471761000, 1.3701916000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.0659373000, 0.0719601000, 0.0860420000, 0.1183116000, 0.2010862000, 0.4363957000, 1.1201410000", \ - "0.0707696000, 0.0767920000, 0.0908662000, 0.1231124000, 0.2059743000, 0.4412063000, 1.1227211000", \ - "0.0822072000, 0.0882149000, 0.1022270000, 0.1343755000, 0.2172092000, 0.4526995000, 1.1401332000", \ - "0.1067993000, 0.1128469000, 0.1268849000, 0.1589863000, 0.2420157000, 0.4774873000, 1.1737942000", \ - "0.1428811000, 0.1503243000, 0.1662300000, 0.1998925000, 0.2833402000, 0.5195816000, 1.2130739000", \ - "0.1832872000, 0.1933426000, 0.2137476000, 0.2518179000, 0.3369156000, 0.5725364000, 1.2575653000", \ - "0.2113077000, 0.2245279000, 0.2518530000, 0.3006733000, 0.3919937000, 0.6275806000, 1.3084903000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.0428918000, 0.0479460000, 0.0584303000, 0.0826736000, 0.1316637000, 0.2629781000, 0.6471743000", \ - "0.0432240000, 0.0478366000, 0.0586439000, 0.0825455000, 0.1320881000, 0.2631463000, 0.6471281000", \ - "0.0427403000, 0.0476760000, 0.0596175000, 0.0815578000, 0.1333418000, 0.2629384000, 0.6471993000", \ - "0.0430285000, 0.0476695000, 0.0584439000, 0.0821352000, 0.1333091000, 0.2635231000, 0.6474908000", \ - "0.0429369000, 0.0483087000, 0.0593103000, 0.0812846000, 0.1318659000, 0.2627865000, 0.6466306000", \ - "0.0454490000, 0.0504478000, 0.0606455000, 0.0825125000, 0.1350011000, 0.2632337000, 0.6477313000", \ - "0.0639013000, 0.0704646000, 0.0819441000, 0.1045520000, 0.1485408000, 0.2742712000, 0.6503801000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.0205197000, 0.0255954000, 0.0384100000, 0.0750072000, 0.1878090000, 0.5242268000, 1.4984867000", \ - "0.0205279000, 0.0255967000, 0.0384196000, 0.0749921000, 0.1878199000, 0.5243094000, 1.4944138000", \ - "0.0205591000, 0.0256213000, 0.0384688000, 0.0750920000, 0.1878155000, 0.5243471000, 1.5071487000", \ - "0.0219292000, 0.0267686000, 0.0392140000, 0.0753621000, 0.1873855000, 0.5223665000, 1.4970689000", \ - "0.0288647000, 0.0336283000, 0.0449054000, 0.0786887000, 0.1885308000, 0.5243311000, 1.4962938000", \ - "0.0413030000, 0.0466072000, 0.0572832000, 0.0872982000, 0.1913676000, 0.5222598000, 1.4958568000", \ - "0.0598615000, 0.0667575000, 0.0801013000, 0.1091710000, 0.2001923000, 0.5240319000, 1.4898824000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a311o_4") { - leakage_power () { - value : 0.0060209000; - when : "!A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0417042000; - when : "!A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0025761000; - when : "!A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0047136000; - when : "!A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0060209000; - when : "!A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0417080000; - when : "!A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0025766000; - when : "!A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0047136000; - when : "!A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0060208000; - when : "!A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0059077000; - when : "!A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0025761000; - when : "!A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0047136000; - when : "!A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0060166000; - when : "!A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0065861000; - when : "!A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0025765000; - when : "!A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0047136000; - when : "!A1&A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0060211000; - when : "A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0105316000; - when : "A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0255587000; - when : "A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0375639000; - when : "A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0060208000; - when : "A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0064212000; - when : "A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0025765000; - when : "A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0047136000; - when : "A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0060180000; - when : "A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0064781000; - when : "A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0025765000; - when : "A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0047136000; - when : "A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0026338000; - when : "A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0052185000; - when : "A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0024666000; - when : "A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0026328000; - when : "A1&A2&A3&B1&!C1"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__a311o"; - cell_leakage_power : 0.0087903070; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0042440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041090000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091384000, 0.0091362000, 0.0091312000, 0.0091300000, 0.0091274000, 0.0091214000, 0.0091076000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006394200, -0.006405500, -0.006431700, -0.006414300, -0.006374100, -0.006281500, -0.006067800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0043790000; - } - pin ("A2") { - capacitance : 0.0043190000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0084646000, 0.0084635000, 0.0084610000, 0.0084956000, 0.0085753000, 0.0087590000, 0.0091824000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007905500, -0.007903400, -0.007898500, -0.007897000, -0.007893500, -0.007885300, -0.007866300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044890000; - } - pin ("A3") { - capacitance : 0.0043800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041110000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080498000, 0.0080521000, 0.0080572000, 0.0080556000, 0.0080518000, 0.0080431000, 0.0080228000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008061500, -0.008055600, -0.008042000, -0.008042600, -0.008043900, -0.008046600, -0.008052900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046490000; - } - pin ("B1") { - capacitance : 0.0042840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039290000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082708000, 0.0082705000, 0.0082699000, 0.0082745000, 0.0082853000, 0.0083101000, 0.0083674000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007010200, -0.007139100, -0.007436300, -0.007446800, -0.007471000, -0.007526800, -0.007655400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046400000; - } - pin ("C1") { - capacitance : 0.0042640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0038830000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038856000, 0.0038840000, 0.0038803000, 0.0038974000, 0.0039367000, 0.0040273000, 0.0042362000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003527700, -0.003532300, -0.003543100, -0.003543400, -0.003544100, -0.003545800, -0.003549500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046460000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2&A3) | (B1) | (C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388480, 0.0150531700, 0.0468289000, 0.1456800000, 0.4531959000"); - values("0.0310501000, 0.0292719000, 0.0245031000, 0.0111181000, -0.034629400, -0.190548500, -0.687842800", \ - "0.0309355000, 0.0291440000, 0.0245147000, 0.0111607000, -0.034619100, -0.190721400, -0.687980800", \ - "0.0307652000, 0.0289536000, 0.0240944000, 0.0106300000, -0.035104100, -0.190959000, -0.688221000", \ - "0.0301783000, 0.0283374000, 0.0235510000, 0.0099842000, -0.035629200, -0.191627100, -0.688894000", \ - "0.0295612000, 0.0277488000, 0.0228717000, 0.0095347000, -0.036195300, -0.192220500, -0.689405900", \ - "0.0296718000, 0.0279119000, 0.0229971000, 0.0092859000, -0.036556000, -0.192450900, -0.689585800", \ - "0.0403084000, 0.0383346000, 0.0326780000, 0.0160407000, -0.034690100, -0.192686100, -0.689560000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388480, 0.0150531700, 0.0468289000, 0.1456800000, 0.4531959000"); - values("0.0350424000, 0.0367947000, 0.0423464000, 0.0596786000, 0.1113796000, 0.2703263000, 0.7632977000", \ - "0.0348153000, 0.0366062000, 0.0422045000, 0.0593336000, 0.1111378000, 0.2700677000, 0.7630831000", \ - "0.0345397000, 0.0362955000, 0.0419338000, 0.0591095000, 0.1109540000, 0.2698710000, 0.7628511000", \ - "0.0341784000, 0.0359352000, 0.0415466000, 0.0589169000, 0.1108961000, 0.2697209000, 0.7622484000", \ - "0.0343973000, 0.0361477000, 0.0416698000, 0.0589119000, 0.1101782000, 0.2693852000, 0.7621567000", \ - "0.0362576000, 0.0379231000, 0.0430992000, 0.0592390000, 0.1102464000, 0.2690681000, 0.7619869000", \ - "0.0387399000, 0.0403755000, 0.0454986000, 0.0618726000, 0.1127697000, 0.2700062000, 0.7614079000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388480, 0.0150531700, 0.0468289000, 0.1456800000, 0.4531959000"); - values("0.0377182000, 0.0359456000, 0.0308648000, 0.0173854000, -0.028266300, -0.184039900, -0.681290500", \ - "0.0374229000, 0.0356921000, 0.0307008000, 0.0171183000, -0.028598700, -0.184316100, -0.681522400", \ - "0.0372033000, 0.0353617000, 0.0304739000, 0.0170575000, -0.028806100, -0.184669400, -0.681803300", \ - "0.0368599000, 0.0349855000, 0.0298592000, 0.0163572000, -0.029385500, -0.185231700, -0.682308200", \ - "0.0360211000, 0.0342168000, 0.0291803000, 0.0157011000, -0.030130000, -0.185869100, -0.682986000", \ - "0.0359186000, 0.0339973000, 0.0291507000, 0.0153642000, -0.030466100, -0.186332200, -0.683284300", \ - "0.0451937000, 0.0432175000, 0.0373976000, 0.0205994000, -0.030452800, -0.186785700, -0.683680300"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388480, 0.0150531700, 0.0468289000, 0.1456800000, 0.4531959000"); - values("0.0369203000, 0.0386972000, 0.0442954000, 0.0614624000, 0.1133119000, 0.2716495000, 0.7636608000", \ - "0.0366748000, 0.0384022000, 0.0440569000, 0.0613266000, 0.1129816000, 0.2714428000, 0.7639090000", \ - "0.0364654000, 0.0382270000, 0.0438394000, 0.0610147000, 0.1128828000, 0.2711836000, 0.7632767000", \ - "0.0360329000, 0.0378422000, 0.0434460000, 0.0607268000, 0.1124953000, 0.2709262000, 0.7633669000", \ - "0.0361954000, 0.0379284000, 0.0434253000, 0.0607357000, 0.1122935000, 0.2710894000, 0.7633643000", \ - "0.0375036000, 0.0391749000, 0.0444082000, 0.0606998000, 0.1123359000, 0.2705387000, 0.7635033000", \ - "0.0390964000, 0.0407134000, 0.0458716000, 0.0622316000, 0.1133487000, 0.2716044000, 0.7615054000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388480, 0.0150531700, 0.0468289000, 0.1456800000, 0.4531959000"); - values("0.0426546000, 0.0408458000, 0.0356659000, 0.0220717000, -0.023686400, -0.180330000, -0.677836800", \ - "0.0426924000, 0.0408010000, 0.0356994000, 0.0220087000, -0.024018300, -0.180550400, -0.678120500", \ - "0.0424701000, 0.0406289000, 0.0355690000, 0.0218322000, -0.024280800, -0.180785100, -0.678344100", \ - "0.0418474000, 0.0400939000, 0.0350046000, 0.0213486000, -0.024727500, -0.181021100, -0.678512000", \ - "0.0414762000, 0.0396521000, 0.0346772000, 0.0209553000, -0.025075200, -0.181478800, -0.678955500", \ - "0.0417390000, 0.0399320000, 0.0348375000, 0.0210984000, -0.025188800, -0.181501400, -0.678938200", \ - "0.0509129000, 0.0489534000, 0.0430795000, 0.0260261000, -0.025853000, -0.181135400, -0.678489500"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388480, 0.0150531700, 0.0468289000, 0.1456800000, 0.4531959000"); - values("0.0370211000, 0.0387647000, 0.0443571000, 0.0617459000, 0.1132784000, 0.2713097000, 0.7634021000", \ - "0.0369049000, 0.0386844000, 0.0442662000, 0.0614560000, 0.1131463000, 0.2712242000, 0.7631964000", \ - "0.0366360000, 0.0383954000, 0.0440089000, 0.0611883000, 0.1128860000, 0.2710064000, 0.7629875000", \ - "0.0361994000, 0.0379594000, 0.0435909000, 0.0609419000, 0.1126333000, 0.2708179000, 0.7628508000", \ - "0.0361686000, 0.0379094000, 0.0434723000, 0.0605536000, 0.1123738000, 0.2708451000, 0.7625013000", \ - "0.0373499000, 0.0390505000, 0.0443406000, 0.0606206000, 0.1122370000, 0.2703218000, 0.7629825000", \ - "0.0386961000, 0.0403377000, 0.0456526000, 0.0622206000, 0.1133722000, 0.2711308000, 0.7620157000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388480, 0.0150531700, 0.0468289000, 0.1456800000, 0.4531959000"); - values("0.0375941000, 0.0357519000, 0.0305896000, 0.0169273000, -0.029021900, -0.185096900, -0.682221300", \ - "0.0371953000, 0.0354050000, 0.0302833000, 0.0166567000, -0.029367100, -0.185283000, -0.682423600", \ - "0.0369315000, 0.0351483000, 0.0300196000, 0.0163525000, -0.029578700, -0.185635700, -0.682750400", \ - "0.0365509000, 0.0347146000, 0.0296147000, 0.0159527000, -0.029752900, -0.186018900, -0.683103000", \ - "0.0361983000, 0.0343865000, 0.0292510000, 0.0156730000, -0.030389200, -0.186367600, -0.683584500", \ - "0.0363302000, 0.0345360000, 0.0292838000, 0.0155744000, -0.030226700, -0.186296800, -0.683518000", \ - "0.0467227000, 0.0446811000, 0.0387219000, 0.0214573000, -0.030501300, -0.186147100, -0.683164000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388480, 0.0150531700, 0.0468289000, 0.1456800000, 0.4531959000"); - values("0.0324879000, 0.0343529000, 0.0401202000, 0.0571552000, 0.1083863000, 0.2667872000, 0.7589170000", \ - "0.0325384000, 0.0344216000, 0.0401711000, 0.0572410000, 0.1084779000, 0.2669281000, 0.7594519000", \ - "0.0326778000, 0.0345545000, 0.0403120000, 0.0573698000, 0.1086787000, 0.2672147000, 0.7591482000", \ - "0.0323424000, 0.0341784000, 0.0398707000, 0.0569181000, 0.1082461000, 0.2669582000, 0.7594321000", \ - "0.0322552000, 0.0340125000, 0.0395996000, 0.0565860000, 0.1079801000, 0.2667614000, 0.7587623000", \ - "0.0336051000, 0.0353226000, 0.0406535000, 0.0571920000, 0.1080999000, 0.2660179000, 0.7591450000", \ - "0.0364006000, 0.0379881000, 0.0431330000, 0.0596334000, 0.1107857000, 0.2688059000, 0.7586041000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388480, 0.0150531700, 0.0468289000, 0.1456800000, 0.4531959000"); - values("0.0369897000, 0.0350425000, 0.0298622000, 0.0163066000, -0.029504800, -0.185313100, -0.682213700", \ - "0.0366090000, 0.0347949000, 0.0298954000, 0.0162429000, -0.029719100, -0.185559500, -0.682417700", \ - "0.0363631000, 0.0345031000, 0.0293894000, 0.0157598000, -0.030169400, -0.185852200, -0.682618500", \ - "0.0360140000, 0.0341903000, 0.0290728000, 0.0154296000, -0.030535900, -0.186228700, -0.683062000", \ - "0.0357330000, 0.0338829000, 0.0289143000, 0.0151872000, -0.030626100, -0.186265700, -0.683220800", \ - "0.0370693000, 0.0352785000, 0.0300472000, 0.0157498000, -0.030261700, -0.186152200, -0.682905400", \ - "0.0510035000, 0.0488697000, 0.0426873000, 0.0241511000, -0.027905900, -0.183705900, -0.680852700"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388480, 0.0150531700, 0.0468289000, 0.1456800000, 0.4531959000"); - values("0.0246636000, 0.0265989000, 0.0325954000, 0.0502883000, 0.1023148000, 0.2612713000, 0.7558071000", \ - "0.0247141000, 0.0266450000, 0.0326279000, 0.0502901000, 0.1023558000, 0.2613238000, 0.7507471000", \ - "0.0245871000, 0.0265341000, 0.0324401000, 0.0499526000, 0.1020819000, 0.2610402000, 0.7508923000", \ - "0.0243754000, 0.0262194000, 0.0319861000, 0.0491775000, 0.1012251000, 0.2607926000, 0.7522682000", \ - "0.0242693000, 0.0259854000, 0.0315355000, 0.0486305000, 0.1005137000, 0.2600658000, 0.7550933000", \ - "0.0254458000, 0.0271200000, 0.0325095000, 0.0492667000, 0.1003560000, 0.2589868000, 0.7533019000", \ - "0.0285365000, 0.0300862000, 0.0351886000, 0.0517017000, 0.1029004000, 0.2611139000, 0.7522716000"); - } - } - max_capacitance : 0.4531960000; - max_transition : 1.5010910000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.2778678000, 0.2828052000, 0.2954121000, 0.3231684000, 0.3791983000, 0.4970880000, 0.7848061000", \ - "0.2832090000, 0.2881830000, 0.3006897000, 0.3284021000, 0.3847730000, 0.5023995000, 0.7900204000", \ - "0.2949339000, 0.2998582000, 0.3124067000, 0.3401316000, 0.3965549000, 0.5139627000, 0.8018274000", \ - "0.3201525000, 0.3250569000, 0.3375469000, 0.3652578000, 0.4212979000, 0.5392039000, 0.8265713000", \ - "0.3731729000, 0.3781076000, 0.3906434000, 0.4182178000, 0.4744162000, 0.5922830000, 0.8799688000", \ - "0.4784617000, 0.4835679000, 0.4966971000, 0.5250659000, 0.5820188000, 0.7010758000, 0.9891117000", \ - "0.6594487000, 0.6649455000, 0.6790404000, 0.7107646000, 0.7730866000, 0.8998565000, 1.1943524000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.1364019000, 0.1419037000, 0.1561248000, 0.1898778000, 0.2712710000, 0.4998281000, 1.1955279000", \ - "0.1398313000, 0.1453713000, 0.1596458000, 0.1933125000, 0.2749753000, 0.5031349000, 1.1984215000", \ - "0.1490601000, 0.1545876000, 0.1689008000, 0.2025859000, 0.2842182000, 0.5125953000, 1.2070624000", \ - "0.1716416000, 0.1771672000, 0.1915084000, 0.2251219000, 0.3067493000, 0.5348165000, 1.2337350000", \ - "0.2215443000, 0.2270397000, 0.2412346000, 0.2747126000, 0.3559268000, 0.5839987000, 1.2798159000", \ - "0.2933102000, 0.2992313000, 0.3140351000, 0.3481678000, 0.4294372000, 0.6579418000, 1.3531951000", \ - "0.3676275000, 0.3751200000, 0.3930187000, 0.4316536000, 0.5150854000, 0.7422346000, 1.4380795000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.0367224000, 0.0397694000, 0.0477614000, 0.0663971000, 0.1094277000, 0.2221983000, 0.5643000000", \ - "0.0367073000, 0.0395005000, 0.0474267000, 0.0660604000, 0.1089396000, 0.2230593000, 0.5652360000", \ - "0.0364494000, 0.0394567000, 0.0474804000, 0.0659583000, 0.1103235000, 0.2225767000, 0.5645268000", \ - "0.0368145000, 0.0398985000, 0.0477750000, 0.0664801000, 0.1099211000, 0.2226038000, 0.5640364000", \ - "0.0364877000, 0.0395197000, 0.0474146000, 0.0667879000, 0.1093625000, 0.2229876000, 0.5646565000", \ - "0.0390887000, 0.0421955000, 0.0508012000, 0.0687107000, 0.1123951000, 0.2243724000, 0.5656360000", \ - "0.0464559000, 0.0498032000, 0.0580701000, 0.0783339000, 0.1253354000, 0.2369592000, 0.5709354000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.0318030000, 0.0361165000, 0.0482145000, 0.0814675000, 0.1799941000, 0.4975771000, 1.4992884000", \ - "0.0319084000, 0.0363466000, 0.0485563000, 0.0813798000, 0.1801507000, 0.4968180000, 1.5004913000", \ - "0.0318756000, 0.0363293000, 0.0484837000, 0.0814155000, 0.1799391000, 0.4973333000, 1.5007442000", \ - "0.0314804000, 0.0359420000, 0.0481436000, 0.0811870000, 0.1793835000, 0.4970532000, 1.4993827000", \ - "0.0316274000, 0.0360259000, 0.0481436000, 0.0809998000, 0.1797241000, 0.4968556000, 1.4992982000", \ - "0.0366523000, 0.0409374000, 0.0525803000, 0.0841044000, 0.1818822000, 0.4975688000, 1.5001812000", \ - "0.0487243000, 0.0536259000, 0.0650555000, 0.0943111000, 0.1857656000, 0.4992413000, 1.4988233000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.3311768000, 0.3366173000, 0.3503051000, 0.3799208000, 0.4377138000, 0.5584587000, 0.8485435000", \ - "0.3355914000, 0.3410863000, 0.3548270000, 0.3842685000, 0.4429263000, 0.5626948000, 0.8526631000", \ - "0.3473939000, 0.3528185000, 0.3665331000, 0.3958674000, 0.4545853000, 0.5745239000, 0.8645856000", \ - "0.3746331000, 0.3800939000, 0.3937643000, 0.4232866000, 0.4818043000, 0.6016755000, 0.8918069000", \ - "0.4323948000, 0.4378542000, 0.4515541000, 0.4808352000, 0.5393986000, 0.6597942000, 0.9500339000", \ - "0.5530203000, 0.5588155000, 0.5727146000, 0.6024871000, 0.6610794000, 0.7815733000, 1.0715995000", \ - "0.7742124000, 0.7802616000, 0.7951420000, 0.8282988000, 0.8917374000, 1.0192491000, 1.3145256000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.1478868000, 0.1534407000, 0.1677087000, 0.2012949000, 0.2828481000, 0.5100245000, 1.2078633000", \ - "0.1520377000, 0.1575378000, 0.1718031000, 0.2055043000, 0.2867963000, 0.5147004000, 1.2095548000", \ - "0.1610481000, 0.1665865000, 0.1808857000, 0.2144486000, 0.2959806000, 0.5235798000, 1.2216133000", \ - "0.1817633000, 0.1873021000, 0.2016149000, 0.2352246000, 0.3166858000, 0.5443564000, 1.2394237000", \ - "0.2277024000, 0.2332023000, 0.2474620000, 0.2810647000, 0.3624562000, 0.5893172000, 1.2872831000", \ - "0.2994887000, 0.3054892000, 0.3207067000, 0.3556796000, 0.4382189000, 0.6663555000, 1.3623074000", \ - "0.3790893000, 0.3865793000, 0.4048724000, 0.4440829000, 0.5294801000, 0.7577721000, 1.4534507000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.0415887000, 0.0447958000, 0.0532834000, 0.0719727000, 0.1156586000, 0.2277964000, 0.5673527000", \ - "0.0417976000, 0.0447518000, 0.0529935000, 0.0711257000, 0.1141509000, 0.2275196000, 0.5683791000", \ - "0.0413844000, 0.0446625000, 0.0528199000, 0.0713844000, 0.1137451000, 0.2275839000, 0.5683304000", \ - "0.0413509000, 0.0445291000, 0.0528345000, 0.0722339000, 0.1143044000, 0.2278609000, 0.5671961000", \ - "0.0415470000, 0.0446995000, 0.0531537000, 0.0717518000, 0.1139583000, 0.2273125000, 0.5671987000", \ - "0.0428356000, 0.0463912000, 0.0540899000, 0.0721333000, 0.1150875000, 0.2279999000, 0.5686878000", \ - "0.0504207000, 0.0536337000, 0.0624648000, 0.0820626000, 0.1265000000, 0.2390694000, 0.5738177000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.0318379000, 0.0362607000, 0.0484301000, 0.0814172000, 0.1796894000, 0.4974401000, 1.5009330000", \ - "0.0317873000, 0.0361367000, 0.0483510000, 0.0814615000, 0.1799864000, 0.4975951000, 1.4997712000", \ - "0.0317321000, 0.0361799000, 0.0483178000, 0.0814791000, 0.1796908000, 0.4967302000, 1.4991547000", \ - "0.0315217000, 0.0360605000, 0.0483333000, 0.0811315000, 0.1798422000, 0.4966997000, 1.5001205000", \ - "0.0322678000, 0.0366684000, 0.0487524000, 0.0813451000, 0.1797609000, 0.4965857000, 1.4999690000", \ - "0.0363912000, 0.0406058000, 0.0531076000, 0.0847833000, 0.1818155000, 0.4968940000, 1.4964463000", \ - "0.0470682000, 0.0518232000, 0.0644857000, 0.0954078000, 0.1866015000, 0.4987577000, 1.4976447000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.3601981000, 0.3659040000, 0.3801831000, 0.4106371000, 0.4696777000, 0.5906892000, 0.8819830000", \ - "0.3648958000, 0.3705746000, 0.3848596000, 0.4153037000, 0.4748176000, 0.5958153000, 0.8865112000", \ - "0.3775835000, 0.3830572000, 0.3975001000, 0.4279601000, 0.4874096000, 0.6084518000, 0.8991266000", \ - "0.4061784000, 0.4120199000, 0.4262280000, 0.4567531000, 0.5162114000, 0.6370505000, 0.9285490000", \ - "0.4653683000, 0.4710644000, 0.4854858000, 0.5158687000, 0.5748843000, 0.6963876000, 0.9875172000", \ - "0.5894232000, 0.5950939000, 0.6093360000, 0.6398183000, 0.6994850000, 0.8211402000, 1.1123150000", \ - "0.8196077000, 0.8259760000, 0.8416938000, 0.8751501000, 0.9394242000, 1.0664782000, 1.3623582000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.1526935000, 0.1582436000, 0.1725885000, 0.2063351000, 0.2876758000, 0.5148817000, 1.2084906000", \ - "0.1567254000, 0.1622472000, 0.1765888000, 0.2101689000, 0.2915536000, 0.5188499000, 1.2132575000", \ - "0.1641622000, 0.1696983000, 0.1840062000, 0.2175890000, 0.2989766000, 0.5263237000, 1.2208132000", \ - "0.1791965000, 0.1847096000, 0.1990449000, 0.2327428000, 0.3139473000, 0.5414081000, 1.2362361000", \ - "0.2107313000, 0.2163325000, 0.2307316000, 0.2644488000, 0.3458989000, 0.5730090000, 1.2708834000", \ - "0.2618155000, 0.2678087000, 0.2830705000, 0.3182405000, 0.4011572000, 0.6285782000, 1.3245690000", \ - "0.3194975000, 0.3264969000, 0.3440868000, 0.3829970000, 0.4688382000, 0.6969165000, 1.3919844000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.0446828000, 0.0479952000, 0.0559495000, 0.0744692000, 0.1185850000, 0.2306774000, 0.5701944000", \ - "0.0444682000, 0.0483946000, 0.0559726000, 0.0744476000, 0.1171526000, 0.2308436000, 0.5710720000", \ - "0.0445508000, 0.0481438000, 0.0560675000, 0.0743174000, 0.1167191000, 0.2310808000, 0.5707314000", \ - "0.0447359000, 0.0477953000, 0.0567721000, 0.0749058000, 0.1184004000, 0.2304924000, 0.5701860000", \ - "0.0449887000, 0.0483931000, 0.0564675000, 0.0743188000, 0.1182378000, 0.2303998000, 0.5701755000", \ - "0.0455161000, 0.0485993000, 0.0568668000, 0.0751824000, 0.1173267000, 0.2304981000, 0.5710326000", \ - "0.0527541000, 0.0567156000, 0.0650593000, 0.0840548000, 0.1271925000, 0.2405253000, 0.5753205000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.0317456000, 0.0360578000, 0.0483990000, 0.0814057000, 0.1799199000, 0.4974148000, 1.5005795000", \ - "0.0317291000, 0.0360931000, 0.0482989000, 0.0815165000, 0.1799469000, 0.4976148000, 1.4992819000", \ - "0.0317028000, 0.0361532000, 0.0483316000, 0.0815020000, 0.1799395000, 0.4975997000, 1.4991043000", \ - "0.0318190000, 0.0362482000, 0.0481585000, 0.0811960000, 0.1799344000, 0.4970313000, 1.4982142000", \ - "0.0325115000, 0.0368082000, 0.0486068000, 0.0814136000, 0.1796703000, 0.4973948000, 1.5010911000", \ - "0.0350612000, 0.0396659000, 0.0522184000, 0.0846545000, 0.1816986000, 0.4970591000, 1.4981428000", \ - "0.0439093000, 0.0488287000, 0.0614043000, 0.0932343000, 0.1865874000, 0.4977963000, 1.4981811000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.3349499000, 0.3406934000, 0.3550026000, 0.3855996000, 0.4450484000, 0.5659802000, 0.8575373000", \ - "0.3380933000, 0.3438151000, 0.3581435000, 0.3886433000, 0.4484001000, 0.5693251000, 0.8609367000", \ - "0.3480177000, 0.3537328000, 0.3680249000, 0.3986192000, 0.4580862000, 0.5790263000, 0.8706119000", \ - "0.3726306000, 0.3783459000, 0.3927211000, 0.4231038000, 0.4822469000, 0.6040052000, 0.8952225000", \ - "0.4290871000, 0.4347898000, 0.4488890000, 0.4792733000, 0.5388779000, 0.6606413000, 0.9520436000", \ - "0.5599724000, 0.5657924000, 0.5802732000, 0.6109070000, 0.6698718000, 0.7916987000, 1.0832569000", \ - "0.7997380000, 0.8063062000, 0.8229590000, 0.8585435000, 0.9247370000, 1.0542209000, 1.3513667000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.0680973000, 0.0723924000, 0.0840190000, 0.1124524000, 0.1865611000, 0.4095546000, 1.1038802000", \ - "0.0726438000, 0.0769502000, 0.0885971000, 0.1170736000, 0.1911486000, 0.4141001000, 1.1055803000", \ - "0.0837331000, 0.0880333000, 0.0996531000, 0.1280860000, 0.2024595000, 0.4254972000, 1.1191449000", \ - "0.1077088000, 0.1119998000, 0.1235624000, 0.1520455000, 0.2264152000, 0.4494088000, 1.1415314000", \ - "0.1423087000, 0.1472450000, 0.1600081000, 0.1896896000, 0.2647957000, 0.4882991000, 1.1820676000", \ - "0.1801726000, 0.1867375000, 0.2027597000, 0.2360696000, 0.3129748000, 0.5362762000, 1.2284014000", \ - "0.1984458000, 0.2073155000, 0.2290884000, 0.2714993000, 0.3546726000, 0.5787135000, 1.2688590000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.0444891000, 0.0479967000, 0.0558214000, 0.0753921000, 0.1186456000, 0.2302415000, 0.5703039000", \ - "0.0448195000, 0.0481785000, 0.0567118000, 0.0743738000, 0.1174436000, 0.2302469000, 0.5706025000", \ - "0.0448489000, 0.0476759000, 0.0558445000, 0.0753293000, 0.1186550000, 0.2303001000, 0.5703066000", \ - "0.0447594000, 0.0481287000, 0.0563334000, 0.0743544000, 0.1187197000, 0.2307574000, 0.5707523000", \ - "0.0445971000, 0.0480106000, 0.0562660000, 0.0749690000, 0.1176378000, 0.2301284000, 0.5710369000", \ - "0.0466488000, 0.0496099000, 0.0577676000, 0.0754933000, 0.1197156000, 0.2312510000, 0.5704657000", \ - "0.0581373000, 0.0623748000, 0.0707162000, 0.0898506000, 0.1319936000, 0.2423625000, 0.5761654000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.0216596000, 0.0258261000, 0.0373849000, 0.0689324000, 0.1681476000, 0.4888130000, 1.4907620000", \ - "0.0216289000, 0.0258603000, 0.0373863000, 0.0688760000, 0.1681751000, 0.4891473000, 1.4888978000", \ - "0.0216291000, 0.0257901000, 0.0373552000, 0.0690308000, 0.1678543000, 0.4882575000, 1.4921357000", \ - "0.0224191000, 0.0265259000, 0.0378408000, 0.0692111000, 0.1680404000, 0.4891947000, 1.4868370000", \ - "0.0276761000, 0.0316250000, 0.0421490000, 0.0718989000, 0.1690877000, 0.4883638000, 1.4920707000", \ - "0.0388465000, 0.0431106000, 0.0533457000, 0.0795753000, 0.1719862000, 0.4874331000, 1.4897398000", \ - "0.0566702000, 0.0620908000, 0.0739389000, 0.0984933000, 0.1808004000, 0.4908051000, 1.4847061000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.2789421000, 0.2847884000, 0.2991080000, 0.3296515000, 0.3887216000, 0.5107076000, 0.8024962000", \ - "0.2818326000, 0.2875808000, 0.3019597000, 0.3324923000, 0.3921112000, 0.5137641000, 0.8058321000", \ - "0.2904347000, 0.2961531000, 0.3104461000, 0.3408303000, 0.4007508000, 0.5221160000, 0.8139437000", \ - "0.3133536000, 0.3190859000, 0.3333808000, 0.3638676000, 0.4234193000, 0.5451581000, 0.8370060000", \ - "0.3748724000, 0.3805656000, 0.3950152000, 0.4252881000, 0.4849720000, 0.6069543000, 0.8985211000", \ - "0.5213301000, 0.5270840000, 0.5413857000, 0.5707299000, 0.6300791000, 0.7517540000, 1.0436341000", \ - "0.7805023000, 0.7874732000, 0.8053270000, 0.8418961000, 0.9081967000, 1.0324250000, 1.3278024000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.0622151000, 0.0665977000, 0.0785290000, 0.1077384000, 0.1829118000, 0.4065612000, 1.1034303000", \ - "0.0670242000, 0.0714022000, 0.0833256000, 0.1125201000, 0.1877163000, 0.4110714000, 1.1026283000", \ - "0.0783201000, 0.0826656000, 0.0944857000, 0.1235315000, 0.1988520000, 0.4232810000, 1.1153561000", \ - "0.1009000000, 0.1052854000, 0.1170864000, 0.1461883000, 0.2214767000, 0.4460100000, 1.1480082000", \ - "0.1327299000, 0.1378638000, 0.1510337000, 0.1812863000, 0.2571753000, 0.4816585000, 1.1794750000", \ - "0.1658825000, 0.1728409000, 0.1898763000, 0.2243333000, 0.3023312000, 0.5267035000, 1.2205740000", \ - "0.1809064000, 0.1901602000, 0.2129386000, 0.2573925000, 0.3423693000, 0.5669337000, 1.2583190000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.0447950000, 0.0479613000, 0.0559232000, 0.0743240000, 0.1185921000, 0.2301042000, 0.5708226000", \ - "0.0447240000, 0.0479789000, 0.0560935000, 0.0744123000, 0.1165913000, 0.2302400000, 0.5693320000", \ - "0.0448039000, 0.0480887000, 0.0564002000, 0.0743873000, 0.1168396000, 0.2300801000, 0.5703121000", \ - "0.0447841000, 0.0479638000, 0.0567227000, 0.0748400000, 0.1176059000, 0.2298668000, 0.5699801000", \ - "0.0450251000, 0.0483544000, 0.0565778000, 0.0742788000, 0.1185318000, 0.2294995000, 0.5703472000", \ - "0.0459717000, 0.0491887000, 0.0568653000, 0.0746221000, 0.1170939000, 0.2301845000, 0.5694635000", \ - "0.0648421000, 0.0687097000, 0.0777291000, 0.0967548000, 0.1327699000, 0.2400841000, 0.5763062000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015554500, 0.0048388500, 0.0150532000, 0.0468289000, 0.1456800000, 0.4531960000"); - values("0.0211803000, 0.0253667000, 0.0368382000, 0.0682955000, 0.1673830000, 0.4884279000, 1.4970017000", \ - "0.0212011000, 0.0254033000, 0.0368812000, 0.0683059000, 0.1676984000, 0.4894066000, 1.4918358000", \ - "0.0212562000, 0.0254209000, 0.0369521000, 0.0683925000, 0.1678259000, 0.4890781000, 1.4912631000", \ - "0.0227226000, 0.0267149000, 0.0379046000, 0.0688874000, 0.1674693000, 0.4889734000, 1.4944698000", \ - "0.0288340000, 0.0326316000, 0.0430293000, 0.0722909000, 0.1689493000, 0.4881047000, 1.4955892000", \ - "0.0415765000, 0.0458461000, 0.0561127000, 0.0813930000, 0.1726997000, 0.4877873000, 1.4925480000", \ - "0.0605377000, 0.0661096000, 0.0783569000, 0.1030045000, 0.1831129000, 0.4901877000, 1.4865832000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a311oi_1") { - leakage_power () { - value : 0.0023704000; - when : "!A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0008307000; - when : "!A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 6.9257337e-05; - when : "!A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0005870000; - when : "!A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0023704000; - when : "!A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0008524000; - when : "!A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 6.9257337e-05; - when : "!A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0005870000; - when : "!A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0023704000; - when : "!A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0008433000; - when : "!A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 6.9257337e-05; - when : "!A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0005870000; - when : "!A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0023704000; - when : "!A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0013056000; - when : "!A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 6.9257337e-05; - when : "!A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0005870000; - when : "!A1&A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0023704000; - when : "A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0008478000; - when : "A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 6.9257351e-05; - when : "A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0005870000; - when : "A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0023704000; - when : "A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0011731000; - when : "A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 6.9257351e-05; - when : "A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0005870000; - when : "A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0023704000; - when : "A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0010700000; - when : "A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 6.9257337e-05; - when : "A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0005870000; - when : "A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0002654000; - when : "A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0023770000; - when : "A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 5.7723391e-05; - when : "A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0002046000; - when : "A1&A2&A3&B1&!C1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__a311oi"; - cell_leakage_power : 0.0009691861; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023070000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045843000, 0.0045840000, 0.0045832000, 0.0045831000, 0.0045829000, 0.0045824000, 0.0045812000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003645700, -0.003650200, -0.003660600, -0.003653900, -0.003638500, -0.003602900, -0.003520800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024420000; - } - pin ("A2") { - capacitance : 0.0023420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022610000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043828000, 0.0043799000, 0.0043731000, 0.0043894000, 0.0044268000, 0.0045130000, 0.0047118000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003903700, -0.003905600, -0.003909900, -0.003908800, -0.003906200, -0.003900100, -0.003886200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024220000; - } - pin ("A3") { - capacitance : 0.0023110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040321000, 0.0040295000, 0.0040234000, 0.0040239000, 0.0040250000, 0.0040276000, 0.0040334000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004009100, -0.004010500, -0.004013900, -0.004013800, -0.004013700, -0.004013500, -0.004013000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024160000; - } - pin ("B1") { - capacitance : 0.0023310000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039251000, 0.0039269000, 0.0039309000, 0.0039331000, 0.0039383000, 0.0039501000, 0.0039772000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003522800, -0.003601600, -0.003783100, -0.003787700, -0.003798200, -0.003822700, -0.003879000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024830000; - } - pin ("C1") { - capacitance : 0.0022830000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026922000, 0.0026856000, 0.0026704000, 0.0026770000, 0.0026922000, 0.0027273000, 0.0028083000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001729500, -0.001729600, -0.001729900, -0.001730100, -0.001730400, -0.001731100, -0.001732800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024650000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1&!C1) | (!A2&!B1&!C1) | (!A3&!B1&!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010543310, 0.0022232270, 0.0046880330, 0.0098854730, 0.0208451200, 0.0439553000"); - values("0.0064142000, 0.0055211000, 0.0036454000, -0.000302000, -0.008631900, -0.026237200, -0.063515900", \ - "0.0063103000, 0.0054195000, 0.0035424000, -0.000411300, -0.008729700, -0.026335800, -0.063613400", \ - "0.0061384000, 0.0052562000, 0.0033946000, -0.000550400, -0.008847700, -0.026457600, -0.063739800", \ - "0.0059487000, 0.0050680000, 0.0032182000, -0.000699600, -0.009028700, -0.026592600, -0.063837500", \ - "0.0057415000, 0.0048667000, 0.0030617000, -0.000871800, -0.009152200, -0.026696300, -0.063967100", \ - "0.0060391000, 0.0051320000, 0.0032008000, -0.000842100, -0.009230500, -0.026741300, -0.063997900", \ - "0.0070855000, 0.0061329000, 0.0041424000, 0.0001571000, -0.008346100, -0.026307300, -0.063834300"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010543310, 0.0022232270, 0.0046880330, 0.0098854730, 0.0208451200, 0.0439553000"); - values("0.0114897000, 0.0124170000, 0.0143845000, 0.0183902000, 0.0267601000, 0.0443643000, 0.0813639000", \ - "0.0112587000, 0.0122418000, 0.0141955000, 0.0183177000, 0.0268536000, 0.0443253000, 0.0813047000", \ - "0.0110107000, 0.0119555000, 0.0139189000, 0.0180943000, 0.0265267000, 0.0442095000, 0.0816126000", \ - "0.0107912000, 0.0117228000, 0.0136708000, 0.0177588000, 0.0262320000, 0.0439814000, 0.0815049000", \ - "0.0106319000, 0.0115500000, 0.0134679000, 0.0175044000, 0.0259840000, 0.0436453000, 0.0814909000", \ - "0.0106350000, 0.0115362000, 0.0134575000, 0.0174133000, 0.0257723000, 0.0435613000, 0.0805844000", \ - "0.0103695000, 0.0112482000, 0.0131573000, 0.0173635000, 0.0259245000, 0.0434043000, 0.0807371000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010543310, 0.0022232270, 0.0046880330, 0.0098854730, 0.0208451200, 0.0439553000"); - values("0.0066020000, 0.0057088000, 0.0038275000, -0.000128700, -0.008444600, -0.026052900, -0.063332600", \ - "0.0064895000, 0.0056024000, 0.0037257000, -0.000229000, -0.008547900, -0.026159000, -0.063430500", \ - "0.0063615000, 0.0054660000, 0.0035880000, -0.000357800, -0.008668700, -0.026273400, -0.063554600", \ - "0.0062327000, 0.0053499000, 0.0034706000, -0.000460900, -0.008778300, -0.026373100, -0.063656700", \ - "0.0061024000, 0.0052240000, 0.0033794000, -0.000521300, -0.008802000, -0.026383300, -0.063673200", \ - "0.0062369000, 0.0053873000, 0.0034770000, -0.000554500, -0.008933500, -0.026513600, -0.063760900", \ - "0.0069516000, 0.0060309000, 0.0041021000, 5.380000e-05, -0.008425600, -0.026278200, -0.063690600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010543310, 0.0022232270, 0.0046880330, 0.0098854730, 0.0208451200, 0.0439553000"); - values("0.0143923000, 0.0152915000, 0.0172000000, 0.0211754000, 0.0294921000, 0.0470469000, 0.0840504000", \ - "0.0142285000, 0.0151447000, 0.0170551000, 0.0210799000, 0.0294425000, 0.0469973000, 0.0840415000", \ - "0.0140102000, 0.0149331000, 0.0168568000, 0.0209094000, 0.0293324000, 0.0469418000, 0.0840003000", \ - "0.0138085000, 0.0147092000, 0.0166377000, 0.0206708000, 0.0290972000, 0.0467686000, 0.0838795000", \ - "0.0136258000, 0.0145192000, 0.0164272000, 0.0204196000, 0.0288364000, 0.0464910000, 0.0836027000", \ - "0.0135324000, 0.0144226000, 0.0163061000, 0.0202861000, 0.0286905000, 0.0462721000, 0.0833432000", \ - "0.0130322000, 0.0138987000, 0.0159860000, 0.0200446000, 0.0285374000, 0.0461146000, 0.0829850000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010543310, 0.0022232270, 0.0046880330, 0.0098854730, 0.0208451200, 0.0439553000"); - values("0.0064617000, 0.0055675000, 0.0036883000, -0.000264200, -0.008593100, -0.026200900, -0.063479800", \ - "0.0063447000, 0.0054582000, 0.0035795000, -0.000377200, -0.008697100, -0.026300100, -0.063582900", \ - "0.0062187000, 0.0053275000, 0.0034538000, -0.000504900, -0.008817300, -0.026423600, -0.063710100", \ - "0.0060896000, 0.0051936000, 0.0033240000, -0.000617900, -0.008931200, -0.026528600, -0.063796200", \ - "0.0059799000, 0.0050944000, 0.0032471000, -0.000661800, -0.008936200, -0.026597500, -0.063859000", \ - "0.0061381000, 0.0052427000, 0.0033734000, -0.000629200, -0.009084900, -0.026651200, -0.063894800", \ - "0.0068828000, 0.0059940000, 0.0040545000, -1.95000e-05, -0.008496800, -0.026278300, -0.063795600"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010543310, 0.0022232270, 0.0046880330, 0.0098854730, 0.0208451200, 0.0439553000"); - values("0.0173120000, 0.0182209000, 0.0201083000, 0.0240870000, 0.0324604000, 0.0500232000, 0.0870006000", \ - "0.0172081000, 0.0180918000, 0.0200200000, 0.0240014000, 0.0323777000, 0.0499734000, 0.0869482000", \ - "0.0170912000, 0.0179900000, 0.0199167000, 0.0239049000, 0.0322971000, 0.0499002000, 0.0869660000", \ - "0.0169481000, 0.0178598000, 0.0197763000, 0.0238096000, 0.0322071000, 0.0498354000, 0.0868586000", \ - "0.0168192000, 0.0177304000, 0.0196441000, 0.0236427000, 0.0320408000, 0.0496991000, 0.0867588000", \ - "0.0168275000, 0.0177306000, 0.0196361000, 0.0236230000, 0.0320013000, 0.0496025000, 0.0867394000", \ - "0.0165767000, 0.0174525000, 0.0194246000, 0.0235986000, 0.0321520000, 0.0496945000, 0.0867356000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010543310, 0.0022232270, 0.0046880330, 0.0098854730, 0.0208451200, 0.0439553000"); - values("0.0036549000, 0.0027760000, 0.0009026000, -0.003050300, -0.011426100, -0.029128600, -0.066518000", \ - "0.0037005000, 0.0028210000, 0.0009622000, -0.002988000, -0.011344700, -0.029034700, -0.066417100", \ - "0.0037614000, 0.0029000000, 0.0010679000, -0.002857100, -0.011180000, -0.028850400, -0.066215200", \ - "0.0035452000, 0.0027037000, 0.0008844000, -0.002981300, -0.011271900, -0.028890500, -0.066228900", \ - "0.0035852000, 0.0027173000, 0.0008734000, -0.002950200, -0.011318900, -0.028911400, -0.066213500", \ - "0.0038640000, 0.0029987000, 0.0011441000, -0.002972300, -0.011320200, -0.028865600, -0.066237500", \ - "0.0049853000, 0.0040360000, 0.0020835000, -0.002002000, -0.010487700, -0.028215400, -0.065849900"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010543310, 0.0022232270, 0.0046880330, 0.0098854730, 0.0208451200, 0.0439553000"); - values("0.0150060000, 0.0159282000, 0.0178279000, 0.0218836000, 0.0303036000, 0.0479038000, 0.0849415000", \ - "0.0148311000, 0.0157356000, 0.0176899000, 0.0217253000, 0.0301519000, 0.0478123000, 0.0848574000", \ - "0.0146106000, 0.0155055000, 0.0174655000, 0.0215136000, 0.0300003000, 0.0476909000, 0.0848258000", \ - "0.0144242000, 0.0153524000, 0.0172689000, 0.0213042000, 0.0297552000, 0.0474759000, 0.0846747000", \ - "0.0143259000, 0.0152316000, 0.0171449000, 0.0211520000, 0.0295674000, 0.0472458000, 0.0844088000", \ - "0.0142703000, 0.0151656000, 0.0170455000, 0.0210949000, 0.0295226000, 0.0471429000, 0.0843145000", \ - "0.0146067000, 0.0154806000, 0.0173122000, 0.0212493000, 0.0295831000, 0.0471009000, 0.0844296000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010543310, 0.0022232270, 0.0046880330, 0.0098854730, 0.0208451200, 0.0439553000"); - values("0.0002324000, -0.000633000, -0.002484000, -0.006428900, -0.014812900, -0.032533600, -0.069951000", \ - "0.0001011000, -0.000733600, -0.002541100, -0.006442700, -0.014766500, -0.032455000, -0.069858900", \ - "-6.23000e-05, -0.000887600, -0.002667100, -0.006506400, -0.014783400, -0.032410600, -0.069772300", \ - "-0.000294300, -0.001102900, -0.002854400, -0.006662000, -0.014871700, -0.032445500, -0.069774700", \ - "-0.000149000, -0.001113100, -0.002929600, -0.006770100, -0.015008400, -0.032537800, -0.069794400", \ - "0.0001866000, -0.000695300, -0.002556400, -0.006743800, -0.015056500, -0.032699300, -0.069799600", \ - "0.0016774000, 0.0007250000, -0.001383000, -0.005572300, -0.014149800, -0.032211200, -0.069132100"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010543310, 0.0022232270, 0.0046880330, 0.0098854730, 0.0208451200, 0.0439553000"); - values("0.0138894000, 0.0148244000, 0.0167797000, 0.0208351000, 0.0292703000, 0.0469235000, 0.0839260000", \ - "0.0137132000, 0.0146529000, 0.0165709000, 0.0206539000, 0.0291449000, 0.0468105000, 0.0839351000", \ - "0.0134814000, 0.0144002000, 0.0163534000, 0.0204208000, 0.0289396000, 0.0466952000, 0.0838187000", \ - "0.0133185000, 0.0142351000, 0.0161528000, 0.0201977000, 0.0286843000, 0.0464554000, 0.0835807000", \ - "0.0133743000, 0.0143597000, 0.0161894000, 0.0201655000, 0.0285524000, 0.0461963000, 0.0834083000", \ - "0.0140594000, 0.0149534000, 0.0168494000, 0.0208198000, 0.0286704000, 0.0461934000, 0.0832198000", \ - "0.0152991000, 0.0161299000, 0.0178011000, 0.0215734000, 0.0296589000, 0.0468916000, 0.0832297000"); - } - } - max_capacitance : 0.0439550000; - max_transition : 1.4899030000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.0430119000, 0.0477281000, 0.0571925000, 0.0758366000, 0.1128822000, 0.1873518000, 0.3401084000", \ - "0.0467859000, 0.0513995000, 0.0609000000, 0.0795652000, 0.1165632000, 0.1910536000, 0.3437489000", \ - "0.0564144000, 0.0609868000, 0.0702450000, 0.0887466000, 0.1257987000, 0.2000926000, 0.3531238000", \ - "0.0795569000, 0.0844299000, 0.0937959000, 0.1117306000, 0.1474993000, 0.2217946000, 0.3746521000", \ - "0.1099247000, 0.1169290000, 0.1305031000, 0.1556754000, 0.1989562000, 0.2742084000, 0.4265843000", \ - "0.1398899000, 0.1504261000, 0.1706173000, 0.2074315000, 0.2720966000, 0.3763397000, 0.5456097000", \ - "0.1447299000, 0.1604318000, 0.1908961000, 0.2458364000, 0.3429780000, 0.5039625000, 0.7560508000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.1403010000, 0.1532606000, 0.1796961000, 0.2338974000, 0.3473332000, 0.5847661000, 1.0844684000", \ - "0.1436442000, 0.1568652000, 0.1837510000, 0.2393680000, 0.3546543000, 0.5907067000, 1.0911972000", \ - "0.1548701000, 0.1675694000, 0.1946003000, 0.2504382000, 0.3649121000, 0.6038144000, 1.1056891000", \ - "0.1826555000, 0.1954099000, 0.2219043000, 0.2776291000, 0.3919296000, 0.6320255000, 1.1346499000", \ - "0.2417679000, 0.2547668000, 0.2812950000, 0.3357314000, 0.4511079000, 0.6893701000, 1.1983388000", \ - "0.3423930000, 0.3591651000, 0.3914674000, 0.4549536000, 0.5752333000, 0.8146531000, 1.3198247000", \ - "0.5055222000, 0.5289795000, 0.5756382000, 0.6618973000, 0.8167335000, 1.0909658000, 1.6006951000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.0486345000, 0.0535533000, 0.0644016000, 0.0862858000, 0.1316836000, 0.2274708000, 0.4290154000", \ - "0.0477414000, 0.0529608000, 0.0637132000, 0.0859859000, 0.1314215000, 0.2270457000, 0.4290221000", \ - "0.0465813000, 0.0515592000, 0.0623724000, 0.0850084000, 0.1313182000, 0.2271746000, 0.4294843000", \ - "0.0556737000, 0.0596411000, 0.0684016000, 0.0878969000, 0.1312674000, 0.2268549000, 0.4285190000", \ - "0.0791937000, 0.0849427000, 0.0966628000, 0.1163531000, 0.1538911000, 0.2353271000, 0.4291300000", \ - "0.1245781000, 0.1324518000, 0.1479062000, 0.1758685000, 0.2251216000, 0.3085149000, 0.4695509000", \ - "0.2038979000, 0.2175758000, 0.2410044000, 0.2846624000, 0.3521257000, 0.4652999000, 0.6492906000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.0955263000, 0.1116518000, 0.1458961000, 0.2178401000, 0.3696887000, 0.6885034000, 1.3589081000", \ - "0.0953582000, 0.1118390000, 0.1459769000, 0.2180839000, 0.3719393000, 0.6888879000, 1.3587553000", \ - "0.0956049000, 0.1117925000, 0.1459511000, 0.2184914000, 0.3705059000, 0.6890467000, 1.3650039000", \ - "0.0957296000, 0.1119421000, 0.1459901000, 0.2184498000, 0.3703755000, 0.6880732000, 1.3654983000", \ - "0.1018421000, 0.1167344000, 0.1491092000, 0.2191496000, 0.3706626000, 0.6887659000, 1.3720256000", \ - "0.1327813000, 0.1485638000, 0.1812023000, 0.2464112000, 0.3842157000, 0.6923824000, 1.3620914000", \ - "0.2069036000, 0.2238844000, 0.2599503000, 0.3299771000, 0.4724546000, 0.7508887000, 1.3782815000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.0497273000, 0.0544036000, 0.0638074000, 0.0824326000, 0.1194754000, 0.1939093000, 0.3466226000", \ - "0.0537636000, 0.0584025000, 0.0677958000, 0.0864464000, 0.1234218000, 0.1978321000, 0.3510042000", \ - "0.0630718000, 0.0675800000, 0.0768342000, 0.0953766000, 0.1324543000, 0.2067831000, 0.3597011000", \ - "0.0836686000, 0.0884513000, 0.0981801000, 0.1168124000, 0.1535326000, 0.2280837000, 0.3808712000", \ - "0.1157368000, 0.1221305000, 0.1347162000, 0.1582935000, 0.2002409000, 0.2768800000, 0.4299370000", \ - "0.1519988000, 0.1615652000, 0.1796555000, 0.2139676000, 0.2738826000, 0.3730376000, 0.5415205000", \ - "0.1691632000, 0.1837958000, 0.2122852000, 0.2648282000, 0.3552246000, 0.5046590000, 0.7392994000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.1771798000, 0.1904207000, 0.2181501000, 0.2755980000, 0.3961012000, 0.6489752000, 1.1817561000", \ - "0.1811000000, 0.1947966000, 0.2225909000, 0.2806067000, 0.4014433000, 0.6551549000, 1.1871165000", \ - "0.1923124000, 0.2061331000, 0.2341829000, 0.2925823000, 0.4139798000, 0.6678427000, 1.2012725000", \ - "0.2201992000, 0.2334754000, 0.2611687000, 0.3195129000, 0.4413073000, 0.6958709000, 1.2301204000", \ - "0.2774162000, 0.2907441000, 0.3181444000, 0.3765961000, 0.4978221000, 0.7522968000, 1.2867194000", \ - "0.3824311000, 0.3981170000, 0.4301187000, 0.4937972000, 0.6180016000, 0.8718417000, 1.4085667000", \ - "0.5571343000, 0.5784881000, 0.6206824000, 0.7021570000, 0.8550815000, 1.1364562000, 1.6753141000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.0477088000, 0.0528095000, 0.0636644000, 0.0858456000, 0.1315876000, 0.2271333000, 0.4291245000", \ - "0.0472196000, 0.0524715000, 0.0632680000, 0.0855669000, 0.1314235000, 0.2272242000, 0.4292663000", \ - "0.0468900000, 0.0519857000, 0.0626967000, 0.0851056000, 0.1311909000, 0.2270809000, 0.4290782000", \ - "0.0527814000, 0.0575549000, 0.0664931000, 0.0871598000, 0.1313732000, 0.2268343000, 0.4289942000", \ - "0.0732779000, 0.0785250000, 0.0886683000, 0.1079132000, 0.1465449000, 0.2331814000, 0.4292037000", \ - "0.1139091000, 0.1213859000, 0.1338132000, 0.1575438000, 0.2030964000, 0.2854668000, 0.4564254000", \ - "0.1882688000, 0.1977322000, 0.2163938000, 0.2514268000, 0.3119159000, 0.4146973000, 0.5914193000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.1274358000, 0.1452489000, 0.1816332000, 0.2586037000, 0.4198848000, 0.7591291000, 1.4782843000", \ - "0.1277062000, 0.1455176000, 0.1816539000, 0.2585447000, 0.4202971000, 0.7613857000, 1.4739097000", \ - "0.1277184000, 0.1455046000, 0.1816368000, 0.2585314000, 0.4198731000, 0.7591817000, 1.4785835000", \ - "0.1281849000, 0.1449787000, 0.1817671000, 0.2585168000, 0.4200360000, 0.7591153000, 1.4784282000", \ - "0.1311071000, 0.1475953000, 0.1837883000, 0.2597869000, 0.4197903000, 0.7589772000, 1.4736893000", \ - "0.1589186000, 0.1757155000, 0.2096271000, 0.2801855000, 0.4315595000, 0.7619604000, 1.4803110000", \ - "0.2274571000, 0.2457879000, 0.2828372000, 0.3580237000, 0.5086434000, 0.8126385000, 1.4899034000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.0534049000, 0.0579934000, 0.0674694000, 0.0861469000, 0.1231280000, 0.1975600000, 0.3501716000", \ - "0.0575572000, 0.0621617000, 0.0715901000, 0.0902089000, 0.1271574000, 0.2015736000, 0.3545558000", \ - "0.0661699000, 0.0707838000, 0.0801307000, 0.0987098000, 0.1355809000, 0.2100975000, 0.3629326000", \ - "0.0843265000, 0.0889110000, 0.0983887000, 0.1169176000, 0.1536497000, 0.2280589000, 0.3808346000", \ - "0.1143766000, 0.1197782000, 0.1313158000, 0.1529023000, 0.1933672000, 0.2689863000, 0.4220877000", \ - "0.1523757000, 0.1602725000, 0.1763123000, 0.2067087000, 0.2589344000, 0.3502445000, 0.5154731000", \ - "0.1781284000, 0.1916421000, 0.2160525000, 0.2609213000, 0.3413528000, 0.4728919000, 0.6844605000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.1875915000, 0.2006298000, 0.2270658000, 0.2812688000, 0.3953672000, 0.6342913000, 1.1355693000", \ - "0.1929188000, 0.2055447000, 0.2317233000, 0.2868592000, 0.4006906000, 0.6397065000, 1.1416990000", \ - "0.2054066000, 0.2174364000, 0.2440828000, 0.2994551000, 0.4136090000, 0.6530998000, 1.1544191000", \ - "0.2312285000, 0.2441058000, 0.2708482000, 0.3257207000, 0.4402642000, 0.6798619000, 1.1820617000", \ - "0.2851578000, 0.2977518000, 0.3236768000, 0.3786614000, 0.4933198000, 0.7329339000, 1.2350893000", \ - "0.3820851000, 0.3958422000, 0.4265809000, 0.4858418000, 0.6033466000, 0.8426636000, 1.3448969000", \ - "0.5392130000, 0.5584542000, 0.5961817000, 0.6719301000, 0.8148123000, 1.0821360000, 1.5906734000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.0472961000, 0.0525190000, 0.0633111000, 0.0854273000, 0.1315532000, 0.2273365000, 0.4294738000", \ - "0.0470176000, 0.0523067000, 0.0630214000, 0.0853796000, 0.1313481000, 0.2270313000, 0.4287426000", \ - "0.0467041000, 0.0518384000, 0.0627862000, 0.0852331000, 0.1312024000, 0.2273047000, 0.4291352000", \ - "0.0504682000, 0.0551463000, 0.0650377000, 0.0862508000, 0.1312394000, 0.2269841000, 0.4290494000", \ - "0.0662983000, 0.0708600000, 0.0809403000, 0.1008895000, 0.1418774000, 0.2310984000, 0.4293318000", \ - "0.1028034000, 0.1077295000, 0.1200225000, 0.1420878000, 0.1848053000, 0.2695541000, 0.4479241000", \ - "0.1733060000, 0.1813889000, 0.1972246000, 0.2259493000, 0.2787974000, 0.3758766000, 0.5514046000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.1409610000, 0.1574035000, 0.1921305000, 0.2647404000, 0.4173521000, 0.7388352000, 1.4114480000", \ - "0.1410651000, 0.1571497000, 0.1921564000, 0.2645099000, 0.4169263000, 0.7391732000, 1.4150672000", \ - "0.1410006000, 0.1574564000, 0.1920069000, 0.2644913000, 0.4172475000, 0.7393287000, 1.4120222000", \ - "0.1409000000, 0.1573791000, 0.1921841000, 0.2651187000, 0.4169322000, 0.7390237000, 1.4164315000", \ - "0.1435275000, 0.1597218000, 0.1934557000, 0.2649687000, 0.4177103000, 0.7400493000, 1.4126974000", \ - "0.1700735000, 0.1861979000, 0.2192112000, 0.2863195000, 0.4291416000, 0.7399329000, 1.4130741000", \ - "0.2356382000, 0.2531697000, 0.2888390000, 0.3611399000, 0.5056208000, 0.7957763000, 1.4310190000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.0216340000, 0.0236881000, 0.0278532000, 0.0362246000, 0.0532232000, 0.0884877000, 0.1620241000", \ - "0.0264882000, 0.0285313000, 0.0326360000, 0.0408817000, 0.0579318000, 0.0931765000, 0.1667648000", \ - "0.0372111000, 0.0395238000, 0.0439881000, 0.0519628000, 0.0689743000, 0.1042196000, 0.1777926000", \ - "0.0517072000, 0.0555156000, 0.0621902000, 0.0742728000, 0.0943167000, 0.1298592000, 0.2032807000", \ - "0.0666372000, 0.0722485000, 0.0829583000, 0.1015407000, 0.1337396000, 0.1846074000, 0.2631021000", \ - "0.0722714000, 0.0808841000, 0.0974974000, 0.1284141000, 0.1792591000, 0.2580039000, 0.3783662000", \ - "0.0417690000, 0.0552783000, 0.0821466000, 0.1302504000, 0.2108365000, 0.3360786000, 0.5251767000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.1714631000, 0.1843246000, 0.2107553000, 0.2661848000, 0.3805010000, 0.6198774000, 1.1217917000", \ - "0.1746918000, 0.1877122000, 0.2137141000, 0.2699314000, 0.3848383000, 0.6242653000, 1.1265097000", \ - "0.1847093000, 0.1975298000, 0.2245248000, 0.2801430000, 0.3952617000, 0.6359549000, 1.1385253000", \ - "0.2109350000, 0.2239596000, 0.2499479000, 0.3057469000, 0.4211008000, 0.6613021000, 1.1648608000", \ - "0.2722849000, 0.2846083000, 0.3110800000, 0.3664344000, 0.4812505000, 0.7213782000, 1.2250473000", \ - "0.3934793000, 0.4097612000, 0.4411648000, 0.5050680000, 0.6235581000, 0.8636755000, 1.3664172000", \ - "0.5997497000, 0.6232755000, 0.6690827000, 0.7569671000, 0.9117784000, 1.1877369000, 1.6986890000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.0209000000, 0.0232537000, 0.0281868000, 0.0384791000, 0.0601379000, 0.1060046000, 0.2027830000", \ - "0.0206734000, 0.0229826000, 0.0279931000, 0.0383697000, 0.0601309000, 0.1058933000, 0.2029564000", \ - "0.0249847000, 0.0267423000, 0.0307814000, 0.0398167000, 0.0603358000, 0.1061754000, 0.2028316000", \ - "0.0396274000, 0.0417591000, 0.0459004000, 0.0539771000, 0.0701278000, 0.1091558000, 0.2028109000", \ - "0.0655779000, 0.0687373000, 0.0746717000, 0.0868160000, 0.1070570000, 0.1404079000, 0.2166664000", \ - "0.1122792000, 0.1172493000, 0.1269364000, 0.1439422000, 0.1736449000, 0.2242746000, 0.3007567000", \ - "0.1963468000, 0.2039193000, 0.2183247000, 0.2452425000, 0.2910622000, 0.3670729000, 0.4795411000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.1408788000, 0.1575946000, 0.1917289000, 0.2652722000, 0.4171966000, 0.7371598000, 1.4142724000", \ - "0.1410219000, 0.1570449000, 0.1919733000, 0.2645587000, 0.4171594000, 0.7373788000, 1.4100575000", \ - "0.1410645000, 0.1571560000, 0.1922825000, 0.2645312000, 0.4170170000, 0.7376051000, 1.4107546000", \ - "0.1409368000, 0.1575184000, 0.1920135000, 0.2644722000, 0.4170224000, 0.7376844000, 1.4109875000", \ - "0.1470779000, 0.1623637000, 0.1953910000, 0.2651741000, 0.4170976000, 0.7386289000, 1.4156122000", \ - "0.1913251000, 0.2067721000, 0.2367117000, 0.2988006000, 0.4345107000, 0.7394499000, 1.4129597000", \ - "0.2887182000, 0.3063519000, 0.3417067000, 0.4160176000, 0.5437194000, 0.8083055000, 1.4322373000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.0179694000, 0.0198885000, 0.0239240000, 0.0321818000, 0.0494041000, 0.0854919000, 0.1612370000", \ - "0.0227086000, 0.0246965000, 0.0286498000, 0.0369904000, 0.0543401000, 0.0903901000, 0.1662347000", \ - "0.0316283000, 0.0344344000, 0.0395947000, 0.0484628000, 0.0657567000, 0.1016242000, 0.1775404000", \ - "0.0426172000, 0.0468364000, 0.0549885000, 0.0689745000, 0.0914275000, 0.1282991000, 0.2028856000", \ - "0.0513509000, 0.0589018000, 0.0719235000, 0.0942574000, 0.1291796000, 0.1833072000, 0.2647666000", \ - "0.0506828000, 0.0616874000, 0.0822118000, 0.1178320000, 0.1744867000, 0.2596234000, 0.3827917000", \ - "0.0145119000, 0.0308717000, 0.0639785000, 0.1194937000, 0.2090932000, 0.3437850000, 0.5370834000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.1329190000, 0.1466503000, 0.1728627000, 0.2288326000, 0.3436713000, 0.5832340000, 1.0852215000", \ - "0.1356483000, 0.1489001000, 0.1757001000, 0.2316230000, 0.3471102000, 0.5867701000, 1.0892488000", \ - "0.1435501000, 0.1559919000, 0.1828329000, 0.2389279000, 0.3548581000, 0.5958213000, 1.0990620000", \ - "0.1672537000, 0.1801117000, 0.2066572000, 0.2617972000, 0.3769946000, 0.6180007000, 1.1222293000", \ - "0.2295421000, 0.2421996000, 0.2670912000, 0.3212752000, 0.4356504000, 0.6749812000, 1.1787767000", \ - "0.3490893000, 0.3664278000, 0.3991347000, 0.4640668000, 0.5799025000, 0.8164249000, 1.3174912000", \ - "0.5348192000, 0.5590373000, 0.6072601000, 0.7013825000, 0.8669525000, 1.1471774000, 1.6412420000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.0156987000, 0.0183527000, 0.0237504000, 0.0347108000, 0.0573517000, 0.1049492000, 0.2052245000", \ - "0.0161577000, 0.0185925000, 0.0237709000, 0.0347013000, 0.0572874000, 0.1049913000, 0.2051239000", \ - "0.0228059000, 0.0242480000, 0.0280255000, 0.0369291000, 0.0576858000, 0.1050296000, 0.2051671000", \ - "0.0378635000, 0.0400258000, 0.0443223000, 0.0523827000, 0.0681299000, 0.1083008000, 0.2051258000", \ - "0.0651271000, 0.0677751000, 0.0736618000, 0.0849625000, 0.1060088000, 0.1399896000, 0.2185441000", \ - "0.1131018000, 0.1175830000, 0.1273764000, 0.1435155000, 0.1731153000, 0.2224494000, 0.3042568000", \ - "0.2015805000, 0.2080553000, 0.2218722000, 0.2479230000, 0.2929818000, 0.3674057000, 0.4854807000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010543300, 0.0022232300, 0.0046880300, 0.0098854700, 0.0208451000, 0.0439553000"); - values("0.1405509000, 0.1572235000, 0.1920552000, 0.2644654000, 0.4171789000, 0.7370592000, 1.4105948000", \ - "0.1406517000, 0.1572957000, 0.1917472000, 0.2644931000, 0.4183294000, 0.7373722000, 1.4119795000", \ - "0.1404280000, 0.1568900000, 0.1917826000, 0.2644213000, 0.4171735000, 0.7372381000, 1.4117195000", \ - "0.1378472000, 0.1551235000, 0.1908621000, 0.2641365000, 0.4168517000, 0.7373131000, 1.4114332000", \ - "0.1517170000, 0.1658974000, 0.1972492000, 0.2653852000, 0.4159635000, 0.7373143000, 1.4137638000", \ - "0.2045778000, 0.2221200000, 0.2547395000, 0.3183134000, 0.4443988000, 0.7429373000, 1.4154635000", \ - "0.2966324000, 0.3186331000, 0.3627235000, 0.4453682000, 0.5905759000, 0.8505249000, 1.4409981000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a311oi_2") { - leakage_power () { - value : 0.0034799000; - when : "!A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0019063000; - when : "!A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0002465000; - when : "!A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0022524000; - when : "!A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0034799000; - when : "!A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0019359000; - when : "!A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0002465000; - when : "!A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0022524000; - when : "!A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0034799000; - when : "!A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0019387000; - when : "!A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0002465000; - when : "!A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0022524000; - when : "!A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0034799000; - when : "!A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0026814000; - when : "!A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0002465000; - when : "!A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0022524000; - when : "!A1&A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0034799000; - when : "A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0019506000; - when : "A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0002465000; - when : "A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0022524000; - when : "A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0034799000; - when : "A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0024609000; - when : "A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0002465000; - when : "A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0022524000; - when : "A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0034799000; - when : "A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0024464000; - when : "A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0002465000; - when : "A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0022524000; - when : "A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0004861000; - when : "A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0046281000; - when : "A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0001728000; - when : "A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0004677000; - when : "A1&A2&A3&B1&!C1"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__a311oi"; - cell_leakage_power : 0.0019664690; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0044240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043370000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0093531000, 0.0093516000, 0.0093482000, 0.0093486000, 0.0093494000, 0.0093513000, 0.0093556000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007130300, -0.007135900, -0.007148800, -0.007133200, -0.007097100, -0.007013900, -0.006822000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045120000; - } - pin ("A2") { - capacitance : 0.0043240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0085078000, 0.0084961000, 0.0084691000, 0.0085000000, 0.0085712000, 0.0087353000, 0.0091137000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007833800, -0.007832400, -0.007829300, -0.007827200, -0.007822500, -0.007811600, -0.007786400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044680000; - } - pin ("A3") { - capacitance : 0.0043690000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078567000, 0.0078490000, 0.0078311000, 0.0078346000, 0.0078427000, 0.0078614000, 0.0079044000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007813600, -0.007812900, -0.007811300, -0.007810300, -0.007808100, -0.007802900, -0.007791000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045820000; - } - pin ("B1") { - capacitance : 0.0043140000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080363000, 0.0080382000, 0.0080424000, 0.0080467000, 0.0080565000, 0.0080792000, 0.0081314000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007227900, -0.007334300, -0.007579800, -0.007587000, -0.007603700, -0.007642100, -0.007730800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046140000; - } - pin ("C1") { - capacitance : 0.0043080000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039610000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0055789000, 0.0055717000, 0.0055552000, 0.0055793000, 0.0056350000, 0.0057633000, 0.0060591000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003538000, -0.003538200, -0.003538700, -0.003536800, -0.003532500, -0.003522600, -0.003499600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046550000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1&!C1) | (!A2&!B1&!C1) | (!A3&!B1&!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615330, 0.0064899340, 0.0152521300, 0.0358443300, 0.0842384900"); - values("0.0128690000, 0.0117839000, 0.0092353000, 0.0032309000, -0.010790300, -0.043859300, -0.121822900", \ - "0.0126402000, 0.0115591000, 0.0089988000, 0.0030175000, -0.011024700, -0.044056200, -0.122018500", \ - "0.0123159000, 0.0112433000, 0.0086961000, 0.0027070000, -0.011282100, -0.044298300, -0.122352400", \ - "0.0119221000, 0.0108694000, 0.0083411000, 0.0024055000, -0.011594000, -0.044562900, -0.122560200", \ - "0.0115098000, 0.0104363000, 0.0079424000, 0.0020966000, -0.011812900, -0.044800400, -0.122696300", \ - "0.0118147000, 0.0107123000, 0.0081196000, 0.0020655000, -0.011928700, -0.044855100, -0.122753800", \ - "0.0142156000, 0.0130995000, 0.0104059000, 0.0043498000, -0.010227200, -0.044140100, -0.122485200"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615330, 0.0064899340, 0.0152521300, 0.0358443300, 0.0842384900"); - values("0.0227360000, 0.0239047000, 0.0265771000, 0.0327209000, 0.0468966000, 0.0798735000, 0.1580581000", \ - "0.0223195000, 0.0235342000, 0.0262086000, 0.0324797000, 0.0467969000, 0.0798749000, 0.1574092000", \ - "0.0217549000, 0.0229560000, 0.0257057000, 0.0320429000, 0.0464088000, 0.0798558000, 0.1577631000", \ - "0.0213176000, 0.0224743000, 0.0251241000, 0.0313355000, 0.0458385000, 0.0795191000, 0.1574114000", \ - "0.0209891000, 0.0220855000, 0.0247108000, 0.0308094000, 0.0452240000, 0.0785719000, 0.1575301000", \ - "0.0209670000, 0.0220835000, 0.0246768000, 0.0306470000, 0.0448008000, 0.0782053000, 0.1565388000", \ - "0.0204723000, 0.0215466000, 0.0241191000, 0.0306298000, 0.0449847000, 0.0778307000, 0.1556657000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615330, 0.0064899340, 0.0152521300, 0.0358443300, 0.0842384900"); - values("0.0136707000, 0.0125823000, 0.0100156000, 0.0040111000, -0.009994800, -0.043030800, -0.121017400", \ - "0.0134468000, 0.0123714000, 0.0098039000, 0.0038128000, -0.010200200, -0.043219300, -0.121239100", \ - "0.0132075000, 0.0121194000, 0.0095552000, 0.0035716000, -0.010444100, -0.043488200, -0.121500900", \ - "0.0129429000, 0.0118716000, 0.0093299000, 0.0033155000, -0.010700500, -0.043677400, -0.121672100", \ - "0.0126823000, 0.0116124000, 0.0091142000, 0.0032070000, -0.010702000, -0.043755600, -0.121685600", \ - "0.0125845000, 0.0114724000, 0.0089082000, 0.0029228000, -0.011053800, -0.043922900, -0.121874300", \ - "0.0139586000, 0.0128535000, 0.0102771000, 0.0041344000, -0.010182300, -0.043768000, -0.121853100"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615330, 0.0064899340, 0.0152521300, 0.0358443300, 0.0842384900"); - values("0.0288036000, 0.0299072000, 0.0324415000, 0.0384890000, 0.0525223000, 0.0855412000, 0.1630200000", \ - "0.0285168000, 0.0296416000, 0.0322565000, 0.0383218000, 0.0524805000, 0.0855478000, 0.1628792000", \ - "0.0281690000, 0.0292767000, 0.0319181000, 0.0380318000, 0.0523089000, 0.0854456000, 0.1626849000", \ - "0.0277279000, 0.0288473000, 0.0314593000, 0.0375676000, 0.0518613000, 0.0851085000, 0.1627708000", \ - "0.0273264000, 0.0284533000, 0.0310292000, 0.0371156000, 0.0513433000, 0.0844945000, 0.1621892000", \ - "0.0271399000, 0.0282492000, 0.0307946000, 0.0368038000, 0.0509685000, 0.0840667000, 0.1617508000", \ - "0.0261053000, 0.0271820000, 0.0299740000, 0.0363570000, 0.0507076000, 0.0836874000, 0.1610640000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615330, 0.0064899340, 0.0152521300, 0.0358443300, 0.0842384900"); - values("0.0134551000, 0.0123445000, 0.0097923000, 0.0037796000, -0.010227300, -0.043255200, -0.121237800", \ - "0.0132259000, 0.0121401000, 0.0095736000, 0.0035780000, -0.010434200, -0.043471700, -0.121459000", \ - "0.0129847000, 0.0118852000, 0.0093354000, 0.0033502000, -0.010677200, -0.043705000, -0.121710800", \ - "0.0126818000, 0.0116070000, 0.0090555000, 0.0030583000, -0.010920700, -0.043918800, -0.121924600", \ - "0.0124749000, 0.0114195000, 0.0088997000, 0.0029720000, -0.010952300, -0.043940400, -0.121927000", \ - "0.0124850000, 0.0114102000, 0.0088751000, 0.0027302000, -0.011274300, -0.044181000, -0.122152300", \ - "0.0137299000, 0.0128079000, 0.0101901000, 0.0039594000, -0.010253700, -0.043828400, -0.121942800"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615330, 0.0064899340, 0.0152521300, 0.0358443300, 0.0842384900"); - values("0.0353683000, 0.0364285000, 0.0390449000, 0.0450179000, 0.0592017000, 0.0922566000, 0.1697788000", \ - "0.0351445000, 0.0361849000, 0.0387653000, 0.0448123000, 0.0589439000, 0.0920553000, 0.1695689000", \ - "0.0347850000, 0.0358829000, 0.0384914000, 0.0445724000, 0.0588310000, 0.0919643000, 0.1695010000", \ - "0.0344745000, 0.0355821000, 0.0382288000, 0.0442973000, 0.0585374000, 0.0917723000, 0.1693742000", \ - "0.0342299000, 0.0353103000, 0.0379197000, 0.0439770000, 0.0582055000, 0.0914126000, 0.1691346000", \ - "0.0341892000, 0.0352585000, 0.0378047000, 0.0438905000, 0.0580785000, 0.0912723000, 0.1688340000", \ - "0.0335213000, 0.0346128000, 0.0375161000, 0.0437693000, 0.0581642000, 0.0912465000, 0.1687045000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615330, 0.0064899340, 0.0152521300, 0.0358443300, 0.0842384900"); - values("0.0079646000, 0.0068920000, 0.0043758000, -0.001587700, -0.015650800, -0.048841200, -0.127079800", \ - "0.0079870000, 0.0069223000, 0.0043990000, -0.001521200, -0.015572200, -0.048740600, -0.126963600", \ - "0.0080023000, 0.0069544000, 0.0044711000, -0.001430200, -0.015411900, -0.048520000, -0.126708000", \ - "0.0075481000, 0.0065112000, 0.0040794000, -0.001720900, -0.015603500, -0.048632800, -0.126758200", \ - "0.0077457000, 0.0066362000, 0.0041337000, -0.001797200, -0.015712300, -0.048674300, -0.126754300", \ - "0.0084809000, 0.0074065000, 0.0048880000, -0.001096800, -0.015521800, -0.048454300, -0.126733300", \ - "0.0111948000, 0.0100292000, 0.0073725000, 0.0010793000, -0.013399300, -0.046563600, -0.125741300"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615330, 0.0064899340, 0.0152521300, 0.0358443300, 0.0842384900"); - values("0.0298702000, 0.0310222000, 0.0336793000, 0.0398333000, 0.0540776000, 0.0872594000, 0.1648735000", \ - "0.0295618000, 0.0307109000, 0.0333329000, 0.0395235000, 0.0539035000, 0.0871568000, 0.1647895000", \ - "0.0291869000, 0.0302292000, 0.0329189000, 0.0390478000, 0.0534599000, 0.0868256000, 0.1645942000", \ - "0.0288235000, 0.0299001000, 0.0324912000, 0.0386296000, 0.0529531000, 0.0863524000, 0.1642649000", \ - "0.0284532000, 0.0295641000, 0.0321601000, 0.0382567000, 0.0525292000, 0.0858445000, 0.1637806000", \ - "0.0284103000, 0.0294958000, 0.0320937000, 0.0381780000, 0.0525378000, 0.0857513000, 0.1634023000", \ - "0.0292105000, 0.0302566000, 0.0327407000, 0.0386792000, 0.0527577000, 0.0857722000, 0.1636166000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615330, 0.0064899340, 0.0152521300, 0.0358443300, 0.0842384900"); - values("-4.39000e-05, -0.001073800, -0.003554800, -0.009502700, -0.023615500, -0.056907800, -0.135267700", \ - "-0.000311700, -0.001313300, -0.003724800, -0.009549200, -0.023544700, -0.056750000, -0.135058600", \ - "-0.000660300, -0.001654200, -0.004004500, -0.009725000, -0.023567600, -0.056653000, -0.134892700", \ - "-0.000869800, -0.002014200, -0.004318200, -0.009980300, -0.023699300, -0.056691200, -0.134814300", \ - "-0.000668000, -0.001712600, -0.004173200, -0.009973500, -0.024017000, -0.056858200, -0.134855300", \ - "-0.000161100, -0.001115500, -0.003744000, -0.009585600, -0.023800400, -0.056833800, -0.134980900", \ - "0.0028456000, 0.0016428000, -0.001184400, -0.007664000, -0.022180300, -0.055430700, -0.133897700"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615330, 0.0064899340, 0.0152521300, 0.0358443300, 0.0842384900"); - values("0.0275808000, 0.0286911000, 0.0313385000, 0.0375676000, 0.0519099000, 0.0851447000, 0.1627965000", \ - "0.0271408000, 0.0283265000, 0.0309814000, 0.0371687000, 0.0516484000, 0.0849864000, 0.1625853000", \ - "0.0268229000, 0.0279324000, 0.0304682000, 0.0367224000, 0.0511902000, 0.0846200000, 0.1624783000", \ - "0.0263997000, 0.0275054000, 0.0301365000, 0.0362519000, 0.0506002000, 0.0840629000, 0.1620830000", \ - "0.0264537000, 0.0275490000, 0.0301286000, 0.0361425000, 0.0502923000, 0.0835796000, 0.1615135000", \ - "0.0271119000, 0.0282107000, 0.0307640000, 0.0367451000, 0.0507924000, 0.0837384000, 0.1611046000", \ - "0.0294870000, 0.0305510000, 0.0329061000, 0.0386267000, 0.0523544000, 0.0846327000, 0.1607804000"); - } - } - max_capacitance : 0.0842380000; - max_transition : 1.5083280000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.0497650000, 0.0535324000, 0.0619952000, 0.0805388000, 0.1208090000, 0.2097721000, 0.4107689000", \ - "0.0534765000, 0.0572685000, 0.0657235000, 0.0841910000, 0.1245831000, 0.2133999000, 0.4145067000", \ - "0.0630907000, 0.0667173000, 0.0751117000, 0.0934769000, 0.1337732000, 0.2224194000, 0.4241636000", \ - "0.0880832000, 0.0916860000, 0.0995913000, 0.1168320000, 0.1565428000, 0.2450923000, 0.4466100000", \ - "0.1250142000, 0.1302159000, 0.1414335000, 0.1639672000, 0.2103852000, 0.2979559000, 0.4988416000", \ - "0.1645295000, 0.1720568000, 0.1886313000, 0.2231642000, 0.2900646000, 0.4114933000, 0.6197398000", \ - "0.1877738000, 0.1988024000, 0.2234260000, 0.2735148000, 0.3731777000, 0.5559225000, 0.8638704000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.1430240000, 0.1517501000, 0.1712971000, 0.2171663000, 0.3212748000, 0.5644946000, 1.1346082000", \ - "0.1461524000, 0.1550650000, 0.1751498000, 0.2216349000, 0.3266176000, 0.5704230000, 1.1412388000", \ - "0.1567956000, 0.1655126000, 0.1857913000, 0.2323606000, 0.3399849000, 0.5868320000, 1.1587887000", \ - "0.1825419000, 0.1911573000, 0.2109729000, 0.2568565000, 0.3642787000, 0.6148360000, 1.1878079000", \ - "0.2366760000, 0.2453916000, 0.2653312000, 0.3107249000, 0.4171707000, 0.6624447000, 1.2409218000", \ - "0.3260679000, 0.3364800000, 0.3612248000, 0.4144745000, 0.5279154000, 0.7745772000, 1.3534056000", \ - "0.4649028000, 0.4804963000, 0.5164332000, 0.5857402000, 0.7284193000, 1.0123523000, 1.5961752000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.0608145000, 0.0644839000, 0.0736148000, 0.0947191000, 0.1435083000, 0.2583377000, 0.5278294000", \ - "0.0597463000, 0.0637686000, 0.0728566000, 0.0941688000, 0.1433804000, 0.2581918000, 0.5273461000", \ - "0.0570064000, 0.0612041000, 0.0707629000, 0.0931883000, 0.1429242000, 0.2576246000, 0.5279906000", \ - "0.0635316000, 0.0669743000, 0.0748169000, 0.0942179000, 0.1417821000, 0.2573664000, 0.5276800000", \ - "0.0862592000, 0.0907701000, 0.1013007000, 0.1225253000, 0.1621783000, 0.2631098000, 0.5274222000", \ - "0.1332520000, 0.1392673000, 0.1522858000, 0.1790258000, 0.2312139000, 0.3306509000, 0.5552499000", \ - "0.2137278000, 0.2229964000, 0.2431569000, 0.2882539000, 0.3585117000, 0.4915650000, 0.7277554000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.0912506000, 0.1022611000, 0.1281090000, 0.1873540000, 0.3274304000, 0.6555387000, 1.4323404000", \ - "0.0908438000, 0.1017178000, 0.1271983000, 0.1873510000, 0.3274253000, 0.6561008000, 1.4249045000", \ - "0.0914773000, 0.1024293000, 0.1276262000, 0.1873927000, 0.3277972000, 0.6565576000, 1.4283956000", \ - "0.0911454000, 0.1019403000, 0.1275040000, 0.1881615000, 0.3278725000, 0.6600249000, 1.4306273000", \ - "0.0973156000, 0.1076521000, 0.1315603000, 0.1890896000, 0.3282762000, 0.6553542000, 1.4381557000", \ - "0.1237975000, 0.1345580000, 0.1594245000, 0.2166028000, 0.3441875000, 0.6600039000, 1.4329379000", \ - "0.1882500000, 0.1997420000, 0.2270253000, 0.2864595000, 0.4227441000, 0.7205553000, 1.4470248000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.0579580000, 0.0617197000, 0.0701021000, 0.0885257000, 0.1287071000, 0.2174753000, 0.4187882000", \ - "0.0619198000, 0.0656603000, 0.0739343000, 0.0924783000, 0.1326090000, 0.2213795000, 0.4231286000", \ - "0.0705686000, 0.0741755000, 0.0825042000, 0.1008702000, 0.1409415000, 0.2298782000, 0.4312896000", \ - "0.0900717000, 0.0938853000, 0.1023951000, 0.1204816000, 0.1602991000, 0.2490519000, 0.4505616000", \ - "0.1238830000, 0.1285153000, 0.1385312000, 0.1602062000, 0.2043802000, 0.2937401000, 0.4963603000", \ - "0.1647538000, 0.1715593000, 0.1861211000, 0.2164303000, 0.2754691000, 0.3873999000, 0.6002147000", \ - "0.1911655000, 0.2006164000, 0.2238037000, 0.2692529000, 0.3585094000, 0.5214565000, 0.7989089000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.1788222000, 0.1871436000, 0.2067400000, 0.2525088000, 0.3586238000, 0.6066158000, 1.1884744000", \ - "0.1833150000, 0.1914364000, 0.2117449000, 0.2576039000, 0.3643496000, 0.6127767000, 1.1941335000", \ - "0.1946952000, 0.2031330000, 0.2235964000, 0.2699115000, 0.3772996000, 0.6262998000, 1.2094442000", \ - "0.2224595000, 0.2311659000, 0.2510261000, 0.2975132000, 0.4050489000, 0.6548040000, 1.2378278000", \ - "0.2806177000, 0.2893829000, 0.3088983000, 0.3550251000, 0.4621928000, 0.7121443000, 1.2963394000", \ - "0.3883001000, 0.3978845000, 0.4211125000, 0.4718591000, 0.5834483000, 0.8328953000, 1.4179499000", \ - "0.5669775000, 0.5804153000, 0.6113051000, 0.6759355000, 0.8134325000, 1.0938368000, 1.6844679000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.0591162000, 0.0631847000, 0.0722947000, 0.0939434000, 0.1431509000, 0.2576747000, 0.5275557000", \ - "0.0586898000, 0.0627601000, 0.0719378000, 0.0935864000, 0.1429753000, 0.2578145000, 0.5284370000", \ - "0.0579299000, 0.0618487000, 0.0713031000, 0.0930608000, 0.1427269000, 0.2576063000, 0.5280248000", \ - "0.0619539000, 0.0654159000, 0.0737604000, 0.0941770000, 0.1426095000, 0.2574989000, 0.5277795000", \ - "0.0790306000, 0.0827907000, 0.0915701000, 0.1112509000, 0.1547395000, 0.2617793000, 0.5274013000", \ - "0.1188622000, 0.1233682000, 0.1335912000, 0.1563117000, 0.2053833000, 0.3050538000, 0.5433957000", \ - "0.1928855000, 0.1996069000, 0.2141787000, 0.2466507000, 0.3078974000, 0.4250405000, 0.6575150000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.1205049000, 0.1311691000, 0.1573799000, 0.2187728000, 0.3619081000, 0.6985483000, 1.4868902000", \ - "0.1199206000, 0.1313329000, 0.1575315000, 0.2190191000, 0.3632235000, 0.6971024000, 1.4813362000", \ - "0.1201290000, 0.1313438000, 0.1575023000, 0.2190087000, 0.3628252000, 0.6971811000, 1.4837368000", \ - "0.1206212000, 0.1312608000, 0.1573763000, 0.2187621000, 0.3618853000, 0.6967554000, 1.4836680000", \ - "0.1228634000, 0.1336712000, 0.1589999000, 0.2200923000, 0.3619282000, 0.6970903000, 1.4824583000", \ - "0.1473602000, 0.1582910000, 0.1835250000, 0.2410360000, 0.3742758000, 0.6997394000, 1.4875255000", \ - "0.2089697000, 0.2210175000, 0.2480876000, 0.3097893000, 0.4477095000, 0.7494266000, 1.4972393000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.0631959000, 0.0669396000, 0.0752776000, 0.0936630000, 0.1339270000, 0.2228332000, 0.4240830000", \ - "0.0674034000, 0.0711222000, 0.0793970000, 0.0978863000, 0.1380482000, 0.2269890000, 0.4281264000", \ - "0.0757444000, 0.0794192000, 0.0876966000, 0.1060961000, 0.1463041000, 0.2351597000, 0.4365343000", \ - "0.0924716000, 0.0960011000, 0.1043672000, 0.1225499000, 0.1625337000, 0.2512230000, 0.4526691000", \ - "0.1202813000, 0.1242468000, 0.1338189000, 0.1540475000, 0.1967935000, 0.2865925000, 0.4881821000", \ - "0.1569188000, 0.1627558000, 0.1756996000, 0.2014385000, 0.2545754000, 0.3581762000, 0.5687125000", \ - "0.1802434000, 0.1896643000, 0.2091409000, 0.2477365000, 0.3249180000, 0.4673160000, 0.7236101000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.2047562000, 0.2129420000, 0.2335329000, 0.2786447000, 0.3840905000, 0.6287622000, 1.2002512000", \ - "0.2094889000, 0.2176720000, 0.2374354000, 0.2836155000, 0.3890672000, 0.6337834000, 1.2056681000", \ - "0.2209024000, 0.2296926000, 0.2497967000, 0.2960299000, 0.4016965000, 0.6462740000, 1.2181831000", \ - "0.2478202000, 0.2565312000, 0.2771222000, 0.3230098000, 0.4287742000, 0.6741028000, 1.2463675000", \ - "0.3037110000, 0.3120494000, 0.3321048000, 0.3778487000, 0.4833843000, 0.7292695000, 1.3015974000", \ - "0.4066878000, 0.4160899000, 0.4375358000, 0.4877007000, 0.5968030000, 0.8416700000, 1.4145539000", \ - "0.5781784000, 0.5908727000, 0.6188218000, 0.6789480000, 0.8110765000, 1.0830605000, 1.6631736000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.0587195000, 0.0626051000, 0.0718765000, 0.0936645000, 0.1429116000, 0.2580135000, 0.5277521000", \ - "0.0583724000, 0.0624948000, 0.0717078000, 0.0934923000, 0.1426431000, 0.2579482000, 0.5280040000", \ - "0.0578836000, 0.0618295000, 0.0713330000, 0.0930956000, 0.1427802000, 0.2578542000, 0.5276339000", \ - "0.0601897000, 0.0639003000, 0.0727694000, 0.0937023000, 0.1427132000, 0.2574357000, 0.5282798000", \ - "0.0729685000, 0.0763780000, 0.0851863000, 0.1051515000, 0.1511139000, 0.2607955000, 0.5277215000", \ - "0.1060425000, 0.1104210000, 0.1194441000, 0.1406210000, 0.1866161000, 0.2909954000, 0.5401818000", \ - "0.1756386000, 0.1811392000, 0.1939643000, 0.2184346000, 0.2755519000, 0.3820997000, 0.6243673000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.1447495000, 0.1556272000, 0.1816344000, 0.2414349000, 0.3823277000, 0.7130959000, 1.4840685000", \ - "0.1447842000, 0.1557451000, 0.1813018000, 0.2414912000, 0.3821924000, 0.7117807000, 1.4863860000", \ - "0.1445969000, 0.1553714000, 0.1814342000, 0.2414682000, 0.3834849000, 0.7119088000, 1.4821718000", \ - "0.1445483000, 0.1555903000, 0.1815780000, 0.2419695000, 0.3826039000, 0.7115681000, 1.4827293000", \ - "0.1461328000, 0.1568305000, 0.1822312000, 0.2420608000, 0.3829496000, 0.7125034000, 1.4835256000", \ - "0.1699201000, 0.1804775000, 0.2054272000, 0.2608545000, 0.3938286000, 0.7140764000, 1.4841969000", \ - "0.2287150000, 0.2401157000, 0.2673218000, 0.3279889000, 0.4636606000, 0.7661693000, 1.4980303000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.0213812000, 0.0227920000, 0.0261240000, 0.0333800000, 0.0496051000, 0.0862099000, 0.1709280000", \ - "0.0261925000, 0.0276415000, 0.0308456000, 0.0380488000, 0.0541648000, 0.0908445000, 0.1755521000", \ - "0.0370126000, 0.0386264000, 0.0422005000, 0.0492479000, 0.0650747000, 0.1017516000, 0.1864366000", \ - "0.0515117000, 0.0539733000, 0.0593942000, 0.0700851000, 0.0902256000, 0.1271034000, 0.2117085000", \ - "0.0665549000, 0.0699464000, 0.0782376000, 0.0950944000, 0.1257601000, 0.1803190000, 0.2708352000", \ - "0.0710891000, 0.0769039000, 0.0896037000, 0.1156141000, 0.1657500000, 0.2500877000, 0.3875134000", \ - "0.0399692000, 0.0490809000, 0.0699409000, 0.1098576000, 0.1874378000, 0.3196242000, 0.5352482000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.1847295000, 0.1931817000, 0.2129608000, 0.2598380000, 0.3661104000, 0.6114675000, 1.1832934000", \ - "0.1865439000, 0.1959790000, 0.2154751000, 0.2630516000, 0.3695655000, 0.6150541000, 1.1879588000", \ - "0.1969869000, 0.2046868000, 0.2259662000, 0.2721952000, 0.3794520000, 0.6260776000, 1.1997813000", \ - "0.2224085000, 0.2307858000, 0.2503702000, 0.2972983000, 0.4039693000, 0.6507368000, 1.2248205000", \ - "0.2798887000, 0.2883203000, 0.3083126000, 0.3537536000, 0.4596973000, 0.7063364000, 1.2808732000", \ - "0.3920624000, 0.4029303000, 0.4271075000, 0.4805953000, 0.5937478000, 0.8391283000, 1.4126782000", \ - "0.5849330000, 0.6007490000, 0.6332250000, 0.7064616000, 0.8541002000, 1.1408116000, 1.7233331000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.0224256000, 0.0239820000, 0.0276534000, 0.0362625000, 0.0563090000, 0.1036416000, 0.2154381000", \ - "0.0220081000, 0.0235325000, 0.0272101000, 0.0359998000, 0.0562167000, 0.1036528000, 0.2155487000", \ - "0.0261444000, 0.0273104000, 0.0301915000, 0.0376177000, 0.0564595000, 0.1037049000, 0.2152766000", \ - "0.0407701000, 0.0421534000, 0.0452601000, 0.0521526000, 0.0666145000, 0.1072036000, 0.2158963000", \ - "0.0667116000, 0.0687247000, 0.0732956000, 0.0829294000, 0.1033031000, 0.1388460000, 0.2281823000", \ - "0.1128738000, 0.1161118000, 0.1233292000, 0.1386778000, 0.1672589000, 0.2202292000, 0.3100945000", \ - "0.1958547000, 0.2009429000, 0.2120382000, 0.2354542000, 0.2799212000, 0.3605302000, 0.4908772000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.1442732000, 0.1553607000, 0.1812312000, 0.2415053000, 0.3821659000, 0.7118560000, 1.4885208000", \ - "0.1447148000, 0.1555509000, 0.1814152000, 0.2415154000, 0.3835750000, 0.7118943000, 1.4831245000", \ - "0.1447611000, 0.1556415000, 0.1816367000, 0.2413622000, 0.3824369000, 0.7119345000, 1.4881967000", \ - "0.1448335000, 0.1557612000, 0.1811593000, 0.2414922000, 0.3824049000, 0.7119292000, 1.4839380000", \ - "0.1502841000, 0.1605893000, 0.1848883000, 0.2426696000, 0.3825959000, 0.7118884000, 1.4830840000", \ - "0.1893924000, 0.2000253000, 0.2243045000, 0.2771633000, 0.4022868000, 0.7154906000, 1.4827324000", \ - "0.2801949000, 0.2919700000, 0.3204235000, 0.3805318000, 0.5103987000, 0.7881512000, 1.5007815000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.0170375000, 0.0183376000, 0.0212924000, 0.0280201000, 0.0433285000, 0.0791259000, 0.1630460000", \ - "0.0217004000, 0.0230094000, 0.0260361000, 0.0326741000, 0.0482358000, 0.0840421000, 0.1679411000", \ - "0.0298726000, 0.0317883000, 0.0358922000, 0.0439691000, 0.0595064000, 0.0953279000, 0.1791128000", \ - "0.0386472000, 0.0422185000, 0.0485452000, 0.0611254000, 0.0832547000, 0.1214700000, 0.2044108000", \ - "0.0451253000, 0.0501405000, 0.0601234000, 0.0802047000, 0.1156779000, 0.1737054000, 0.2652337000", \ - "0.0376384000, 0.0455378000, 0.0617500000, 0.0930354000, 0.1494799000, 0.2401296000, 0.3816144000", \ - "-0.012318500, 0.0005128000, 0.0252296000, 0.0757589000, 0.1647423000, 0.3074239000, 0.5296493000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.1356900000, 0.1446085000, 0.1648108000, 0.2115098000, 0.3176783000, 0.5634469000, 1.1358707000", \ - "0.1373178000, 0.1466216000, 0.1673091000, 0.2132666000, 0.3204332000, 0.5667798000, 1.1398100000", \ - "0.1462327000, 0.1548055000, 0.1743742000, 0.2216587000, 0.3285615000, 0.5756333000, 1.1494775000", \ - "0.1703641000, 0.1785474000, 0.1985945000, 0.2442078000, 0.3511618000, 0.5985564000, 1.1729215000", \ - "0.2353442000, 0.2429146000, 0.2617388000, 0.3066194000, 0.4108610000, 0.6573335000, 1.2312081000", \ - "0.3595005000, 0.3708046000, 0.3961974000, 0.4498268000, 0.5590686000, 0.7996722000, 1.3711582000", \ - "0.5591067000, 0.5750847000, 0.6114751000, 0.6886917000, 0.8478911000, 1.1359728000, 1.7004288000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.0146345000, 0.0164058000, 0.0204604000, 0.0295535000, 0.0500670000, 0.0975949000, 0.2094711000", \ - "0.0152782000, 0.0168570000, 0.0206312000, 0.0295673000, 0.0500683000, 0.0976050000, 0.2091581000", \ - "0.0225607000, 0.0235557000, 0.0259781000, 0.0328468000, 0.0509064000, 0.0977107000, 0.2094828000", \ - "0.0376880000, 0.0388770000, 0.0421138000, 0.0490912000, 0.0637276000, 0.1018464000, 0.2106227000", \ - "0.0644898000, 0.0665099000, 0.0711188000, 0.0807924000, 0.0999875000, 0.1360264000, 0.2230167000", \ - "0.1124852000, 0.1153853000, 0.1220879000, 0.1373841000, 0.1652545000, 0.2180183000, 0.3057372000", \ - "0.2019531000, 0.2054652000, 0.2161302000, 0.2368938000, 0.2797565000, 0.3582352000, 0.4902868000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011750600, 0.0027615300, 0.0064899300, 0.0152521000, 0.0358443000, 0.0842385000"); - values("0.1442649000, 0.1551789000, 0.1808756000, 0.2419100000, 0.3822528000, 0.7116081000, 1.4868037000", \ - "0.1439468000, 0.1549201000, 0.1809162000, 0.2413624000, 0.3822165000, 0.7116631000, 1.4832694000", \ - "0.1436412000, 0.1546878000, 0.1808909000, 0.2416785000, 0.3824074000, 0.7117368000, 1.4874136000", \ - "0.1402489000, 0.1520195000, 0.1792488000, 0.2409903000, 0.3825904000, 0.7118441000, 1.4848707000", \ - "0.1513006000, 0.1611981000, 0.1844966000, 0.2417086000, 0.3809172000, 0.7139580000, 1.4836153000", \ - "0.2013438000, 0.2131059000, 0.2395745000, 0.2928799000, 0.4102307000, 0.7165835000, 1.4855184000", \ - "0.2911164000, 0.3069654000, 0.3421179000, 0.4137134000, 0.5548010000, 0.8239130000, 1.5083282000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a311oi_4") { - leakage_power () { - value : 0.0040734000; - when : "!A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0044586000; - when : "!A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0019761000; - when : "!A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0062757000; - when : "!A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0040741000; - when : "!A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0045346000; - when : "!A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0002918000; - when : "!A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0026345000; - when : "!A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0040741000; - when : "!A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0045388000; - when : "!A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0002918000; - when : "!A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0026345000; - when : "!A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0040741000; - when : "!A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0063446000; - when : "!A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0002918000; - when : "!A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0026345000; - when : "!A1&A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0040741000; - when : "A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0045603000; - when : "A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0002851000; - when : "A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0026345000; - when : "A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0040741000; - when : "A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0058524000; - when : "A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0002851000; - when : "A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0026345000; - when : "A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0040741000; - when : "A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0058280000; - when : "A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0002851000; - when : "A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0026345000; - when : "A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0005775000; - when : "A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0056543000; - when : "A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0170022000; - when : "A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0005746000; - when : "A1&A2&A3&B1&!C1"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__a311oi"; - cell_leakage_power : 0.0035697990; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0084310000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0182479000, 0.0182539000, 0.0182678000, 0.0182699000, 0.0182747000, 0.0182856000, 0.0183110000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013823500, -0.013826300, -0.013832800, -0.013801900, -0.013730900, -0.013567000, -0.013189300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0086470000; - } - pin ("A2") { - capacitance : 0.0084220000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0171745000, 0.0171579000, 0.0171198000, 0.0171811000, 0.0173225000, 0.0176483000, 0.0183993000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015589600, -0.015593600, -0.015602900, -0.015600300, -0.015594300, -0.015580500, -0.015548800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087100000; - } - pin ("A3") { - capacitance : 0.0086050000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081630000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0156409000, 0.0156384000, 0.0156328000, 0.0156393000, 0.0156543000, 0.0156889000, 0.0157687000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015627900, -0.015626100, -0.015622000, -0.015617300, -0.015606600, -0.015582000, -0.015525100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090460000; - } - pin ("B1") { - capacitance : 0.0082960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0076910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0152723000, 0.0152723000, 0.0152722000, 0.0152684000, 0.0152595000, 0.0152391000, 0.0151920000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013922700, -0.014174600, -0.014755300, -0.014776700, -0.014826100, -0.014939900, -0.015202200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0089010000; - } - pin ("C1") { - capacitance : 0.0084540000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0076890000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0124633000, 0.0124481000, 0.0124132000, 0.0124514000, 0.0125393000, 0.0127420000, 0.0132093000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006902700, -0.006909500, -0.006925000, -0.006922800, -0.006917500, -0.006905200, -0.006877100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092180000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1&!C1) | (!A2&!B1&!C1) | (!A3&!B1&!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0008578000, -0.000317800, -0.003336200, -0.011168300, -0.031393200, -0.082986000, -0.214131400", \ - "0.0003154000, -0.000814400, -0.003684100, -0.011363600, -0.031334900, -0.082714400, -0.213737100", \ - "-0.000319000, -0.001466000, -0.004335400, -0.011801300, -0.031419000, -0.082518700, -0.213389400", \ - "-0.001102200, -0.002237200, -0.005053200, -0.012483300, -0.031960500, -0.082681200, -0.213255800", \ - "-0.000681700, -0.001853300, -0.004843500, -0.012352400, -0.032270500, -0.082958300, -0.213338800", \ - "0.0004872000, -0.000780100, -0.004015900, -0.011757000, -0.032423000, -0.083264600, -0.213485200", \ - "0.0054757000, 0.0040362000, 0.0005324000, -0.008151700, -0.028997700, -0.080661300, -0.211610700"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0503484000, 0.0517970000, 0.0550932000, 0.0633403000, 0.0841247000, 0.1357541000, 0.2658558000", \ - "0.0495996000, 0.0508227000, 0.0541733000, 0.0624807000, 0.0833580000, 0.1353645000, 0.2656508000", \ - "0.0490239000, 0.0503657000, 0.0534734000, 0.0616464000, 0.0825978000, 0.1347068000, 0.2652305000", \ - "0.0481789000, 0.0495757000, 0.0527342000, 0.0608511000, 0.0815590000, 0.1337390000, 0.2646396000", \ - "0.0479147000, 0.0491923000, 0.0523503000, 0.0605055000, 0.0805727000, 0.1324884000, 0.2635202000", \ - "0.0498873000, 0.0511372000, 0.0542456000, 0.0623653000, 0.0814286000, 0.1326597000, 0.2624962000", \ - "0.0537480000, 0.0549216000, 0.0578402000, 0.0654715000, 0.0870795000, 0.1363888000, 0.2634706000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0250242000, 0.0238331000, 0.0206891000, 0.0126905000, -0.007488000, -0.058625700, -0.189031400", \ - "0.0245845000, 0.0233476000, 0.0202164000, 0.0122534000, -0.007988200, -0.059051500, -0.189488000", \ - "0.0239322000, 0.0227507000, 0.0195969000, 0.0116367000, -0.008514700, -0.059584000, -0.190027300", \ - "0.0230824000, 0.0220443000, 0.0188997000, 0.0109409000, -0.009213200, -0.060202700, -0.190613900", \ - "0.0224819000, 0.0212656000, 0.0183191000, 0.0103945000, -0.009414100, -0.060513200, -0.191004600", \ - "0.0229003000, 0.0216821000, 0.0185372000, 0.0100336000, -0.010155400, -0.061098900, -0.191192700", \ - "0.0268217000, 0.0255332000, 0.0222853000, 0.0143559000, -0.006197800, -0.058538400, -0.190745800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0420009000, 0.0433371000, 0.0468027000, 0.0549767000, 0.0754040000, 0.1267405000, 0.2564346000", \ - "0.0412565000, 0.0426375000, 0.0461030000, 0.0545520000, 0.0751746000, 0.1267470000, 0.2566129000", \ - "0.0401984000, 0.0416039000, 0.0449272000, 0.0535792000, 0.0744083000, 0.1263740000, 0.2563197000", \ - "0.0393157000, 0.0406586000, 0.0439434000, 0.0522771000, 0.0734826000, 0.1254901000, 0.2558373000", \ - "0.0386189000, 0.0398952000, 0.0431804000, 0.0513263000, 0.0720983000, 0.1247382000, 0.2553147000", \ - "0.0384593000, 0.0397217000, 0.0429703000, 0.0509320000, 0.0712368000, 0.1231092000, 0.2535246000", \ - "0.0374234000, 0.0386555000, 0.0418632000, 0.0509727000, 0.0715348000, 0.1228240000, 0.2528161000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0267867000, 0.0255622000, 0.0224082000, 0.0144296000, -0.005783600, -0.056866900, -0.187301600", \ - "0.0263522000, 0.0251111000, 0.0219726000, 0.0139936000, -0.006213300, -0.057322100, -0.187724400", \ - "0.0258105000, 0.0245799000, 0.0214493000, 0.0134580000, -0.006711600, -0.057826100, -0.188239700", \ - "0.0253537000, 0.0241256000, 0.0209504000, 0.0129491000, -0.007252200, -0.058327400, -0.188809200", \ - "0.0248074000, 0.0235962000, 0.0204924000, 0.0127276000, -0.007437300, -0.058362400, -0.188783000", \ - "0.0243804000, 0.0231492000, 0.0200171000, 0.0121258000, -0.007989700, -0.059093300, -0.189358700", \ - "0.0268988000, 0.0256239000, 0.0224302000, 0.0143248000, -0.006211800, -0.058196200, -0.188892400"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0544470000, 0.0556391000, 0.0588034000, 0.0668167000, 0.0870262000, 0.1381811000, 0.2678749000", \ - "0.0538210000, 0.0551154000, 0.0583779000, 0.0664633000, 0.0868037000, 0.1381130000, 0.2677489000", \ - "0.0530342000, 0.0543294000, 0.0576373000, 0.0657841000, 0.0863672000, 0.1377841000, 0.2677253000", \ - "0.0521597000, 0.0534857000, 0.0566972000, 0.0647903000, 0.0854863000, 0.1371818000, 0.2672112000", \ - "0.0513742000, 0.0525904000, 0.0558207000, 0.0638831000, 0.0843342000, 0.1360310000, 0.2663474000", \ - "0.0508781000, 0.0521597000, 0.0552577000, 0.0633415000, 0.0837081000, 0.1351518000, 0.2653084000", \ - "0.0492045000, 0.0506439000, 0.0541701000, 0.0624188000, 0.0830407000, 0.1342011000, 0.2640683000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0264055000, 0.0251800000, 0.0220305000, 0.0140282000, -0.006165400, -0.057256800, -0.187713000", \ - "0.0259534000, 0.0247279000, 0.0215797000, 0.0135865000, -0.006618500, -0.057713900, -0.188154600", \ - "0.0254154000, 0.0241923000, 0.0210392000, 0.0130668000, -0.007128200, -0.058197200, -0.188686800", \ - "0.0248741000, 0.0235994000, 0.0204847000, 0.0125258000, -0.007618200, -0.058692800, -0.189106300", \ - "0.0243644000, 0.0231899000, 0.0202000000, 0.0122105000, -0.007836200, -0.058935900, -0.189248000", \ - "0.0243796000, 0.0230841000, 0.0198737000, 0.0118835000, -0.008361400, -0.059302300, -0.189680800", \ - "0.0265083000, 0.0252725000, 0.0222009000, 0.0141295000, -0.006509900, -0.058276900, -0.189333500"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0672641000, 0.0685461000, 0.0715614000, 0.0796262000, 0.0999053000, 0.1513402000, 0.2811157000", \ - "0.0667549000, 0.0678947000, 0.0710983000, 0.0791808000, 0.0994802000, 0.1509037000, 0.2807772000", \ - "0.0661789000, 0.0674988000, 0.0705851000, 0.0786543000, 0.0990629000, 0.1506161000, 0.2804648000", \ - "0.0654464000, 0.0667087000, 0.0699944000, 0.0780936000, 0.0985762000, 0.1501431000, 0.2801031000", \ - "0.0650040000, 0.0663043000, 0.0693974000, 0.0775180000, 0.0979292000, 0.1495863000, 0.2795036000", \ - "0.0647165000, 0.0659535000, 0.0692283000, 0.0771308000, 0.0975900000, 0.1491776000, 0.2792249000", \ - "0.0642907000, 0.0659079000, 0.0690493000, 0.0771064000, 0.0979029000, 0.1489927000, 0.2790693000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0153148000, 0.0140920000, 0.0109834000, 0.0030589000, -0.017122200, -0.068541300, -0.199485300", \ - "0.0153952000, 0.0141679000, 0.0110863000, 0.0031991000, -0.016938700, -0.068312500, -0.199228800", \ - "0.0155792000, 0.0143720000, 0.0113469000, 0.0035394000, -0.016477000, -0.067774300, -0.198598600", \ - "0.0147934000, 0.0135978000, 0.0105567000, 0.0029057000, -0.016881300, -0.067970300, -0.198685300", \ - "0.0150699000, 0.0138987000, 0.0110365000, 0.0030297000, -0.017237000, -0.068202700, -0.198637800", \ - "0.0160999000, 0.0148719000, 0.0117402000, 0.0036764000, -0.016266800, -0.067831600, -0.198821300", \ - "0.0207888000, 0.0194309000, 0.0160957000, 0.0077810000, -0.013132300, -0.064589800, -0.196973300"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0569941000, 0.0583315000, 0.0615604000, 0.0697373000, 0.0903902000, 0.1419613000, 0.2719022000", \ - "0.0562318000, 0.0576043000, 0.0608096000, 0.0690975000, 0.0898394000, 0.1415954000, 0.2716976000", \ - "0.0553612000, 0.0567886000, 0.0599878000, 0.0682878000, 0.0889846000, 0.1410731000, 0.2714398000", \ - "0.0549254000, 0.0559521000, 0.0591976000, 0.0675021000, 0.0880963000, 0.1401116000, 0.2708564000", \ - "0.0541207000, 0.0553770000, 0.0586190000, 0.0666973000, 0.0871851000, 0.1391024000, 0.2697424000", \ - "0.0539734000, 0.0553090000, 0.0585394000, 0.0666531000, 0.0871396000, 0.1385379000, 0.2687761000", \ - "0.0552969000, 0.0565325000, 0.0595892000, 0.0674427000, 0.0878245000, 0.1390089000, 0.2693080000"); - } - } - max_capacitance : 0.1336770000; - max_transition : 1.5063120000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0488678000, 0.0513423000, 0.0574262000, 0.0716297000, 0.1050599000, 0.1826748000, 0.3691983000", \ - "0.0525733000, 0.0549859000, 0.0610794000, 0.0753172000, 0.1084849000, 0.1858597000, 0.3722939000", \ - "0.0622445000, 0.0645290000, 0.0703628000, 0.0845230000, 0.1174467000, 0.1949490000, 0.3814558000", \ - "0.0872649000, 0.0901937000, 0.0953725000, 0.1080483000, 0.1396295000, 0.2166485000, 0.4032173000", \ - "0.1230510000, 0.1263987000, 0.1340656000, 0.1514440000, 0.1910869000, 0.2687449000, 0.4555142000", \ - "0.1587859000, 0.1635444000, 0.1751368000, 0.2027383000, 0.2606231000, 0.3702091000, 0.5755584000", \ - "0.1744275000, 0.1814377000, 0.1984881000, 0.2371769000, 0.3227869000, 0.4907874000, 0.7967534000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.1563805000, 0.1623854000, 0.1779477000, 0.2149458000, 0.3065622000, 0.5406038000, 1.1158469000", \ - "0.1592059000, 0.1655967000, 0.1811581000, 0.2192351000, 0.3116523000, 0.5420135000, 1.1227524000", \ - "0.1692710000, 0.1755485000, 0.1909114000, 0.2292860000, 0.3239665000, 0.5585182000, 1.1372518000", \ - "0.1956818000, 0.2017174000, 0.2162586000, 0.2539899000, 0.3480918000, 0.5846389000, 1.1648292000", \ - "0.2504554000, 0.2565606000, 0.2716039000, 0.3085930000, 0.4012929000, 0.6364466000, 1.2239465000", \ - "0.3432316000, 0.3506896000, 0.3683563000, 0.4117570000, 0.5120139000, 0.7452131000, 1.3318279000", \ - "0.4919491000, 0.5021320000, 0.5269341000, 0.5849557000, 0.7088336000, 0.9764580000, 1.5740073000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0642942000, 0.0667216000, 0.0731232000, 0.0889511000, 0.1286267000, 0.2281419000, 0.4822958000", \ - "0.0629897000, 0.0655187000, 0.0721624000, 0.0882598000, 0.1281279000, 0.2280593000, 0.4816657000", \ - "0.0600580000, 0.0626274000, 0.0692050000, 0.0860806000, 0.1272617000, 0.2274482000, 0.4819187000", \ - "0.0661972000, 0.0687039000, 0.0739136000, 0.0885244000, 0.1265890000, 0.2268738000, 0.4819469000", \ - "0.0879750000, 0.0909171000, 0.0985155000, 0.1150891000, 0.1513447000, 0.2359417000, 0.4809637000", \ - "0.1332531000, 0.1377069000, 0.1472155000, 0.1678122000, 0.2125493000, 0.3078181000, 0.5167494000", \ - "0.2129669000, 0.2189767000, 0.2332868000, 0.2654809000, 0.3306239000, 0.4583602000, 0.6935797000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0987303000, 0.1063269000, 0.1252075000, 0.1732189000, 0.2956135000, 0.6069655000, 1.3874751000", \ - "0.0985216000, 0.1060437000, 0.1252209000, 0.1738480000, 0.2955099000, 0.6061517000, 1.3876946000", \ - "0.0987868000, 0.1062938000, 0.1252194000, 0.1738375000, 0.2959671000, 0.6058856000, 1.3872835000", \ - "0.0992229000, 0.1064885000, 0.1255148000, 0.1734637000, 0.2968005000, 0.6059142000, 1.3888987000", \ - "0.1044735000, 0.1110437000, 0.1290946000, 0.1754413000, 0.2965647000, 0.6092953000, 1.3907459000", \ - "0.1292128000, 0.1364442000, 0.1548399000, 0.2016670000, 0.3133147000, 0.6097230000, 1.3907633000", \ - "0.1896000000, 0.1972582000, 0.2165713000, 0.2652022000, 0.3840004000, 0.6697660000, 1.4081240000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0583363000, 0.0607502000, 0.0665498000, 0.0808335000, 0.1139413000, 0.1912226000, 0.3782001000", \ - "0.0621809000, 0.0645188000, 0.0703316000, 0.0845162000, 0.1175389000, 0.1951852000, 0.3818607000", \ - "0.0705321000, 0.0729263000, 0.0785874000, 0.0925834000, 0.1257280000, 0.2029898000, 0.3898124000", \ - "0.0896481000, 0.0920633000, 0.0978238000, 0.1117180000, 0.1441593000, 0.2215531000, 0.4083248000", \ - "0.1210528000, 0.1239572000, 0.1310081000, 0.1478225000, 0.1845302000, 0.2648947000, 0.4515424000", \ - "0.1584859000, 0.1627004000, 0.1729305000, 0.1971332000, 0.2468777000, 0.3468680000, 0.5496231000", \ - "0.1749274000, 0.1812554000, 0.1960502000, 0.2328903000, 0.3088750000, 0.4581641000, 0.7305005000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.2016808000, 0.2075952000, 0.2224741000, 0.2597035000, 0.3531536000, 0.5885800000, 1.1843527000", \ - "0.2056980000, 0.2116840000, 0.2263752000, 0.2642492000, 0.3581762000, 0.5939466000, 1.1894120000", \ - "0.2163006000, 0.2219576000, 0.2377945000, 0.2757743000, 0.3706397000, 0.6072824000, 1.2037713000", \ - "0.2431016000, 0.2494416000, 0.2646807000, 0.3028549000, 0.3976204000, 0.6352823000, 1.2330410000", \ - "0.3013209000, 0.3071282000, 0.3222734000, 0.3598659000, 0.4543425000, 0.6919518000, 1.2907673000", \ - "0.4091278000, 0.4154058000, 0.4324076000, 0.4736069000, 0.5728461000, 0.8096553000, 1.4092001000", \ - "0.5937521000, 0.6018014000, 0.6233498000, 0.6746839000, 0.7929514000, 1.0590334000, 1.6645375000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0623898000, 0.0649972000, 0.0713572000, 0.0877334000, 0.1278672000, 0.2280144000, 0.4823363000", \ - "0.0618302000, 0.0643623000, 0.0708284000, 0.0870769000, 0.1275610000, 0.2278293000, 0.4822810000", \ - "0.0610134000, 0.0635002000, 0.0698296000, 0.0863694000, 0.1270223000, 0.2273061000, 0.4816265000", \ - "0.0657359000, 0.0672340000, 0.0730489000, 0.0882939000, 0.1274054000, 0.2271245000, 0.4819939000", \ - "0.0814017000, 0.0839255000, 0.0901155000, 0.1053871000, 0.1409201000, 0.2330507000, 0.4816034000", \ - "0.1205452000, 0.1236434000, 0.1309575000, 0.1491095000, 0.1888098000, 0.2769609000, 0.5018915000", \ - "0.1946674000, 0.1990669000, 0.2092340000, 0.2334684000, 0.2855511000, 0.3918737000, 0.6228866000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.1361900000, 0.1437041000, 0.1630160000, 0.2135107000, 0.3399568000, 0.6558222000, 1.4643836000", \ - "0.1359617000, 0.1437915000, 0.1635550000, 0.2129001000, 0.3386400000, 0.6560743000, 1.4587374000", \ - "0.1356012000, 0.1435823000, 0.1638519000, 0.2129114000, 0.3386732000, 0.6574745000, 1.4640724000", \ - "0.1361625000, 0.1441341000, 0.1631639000, 0.2133554000, 0.3399283000, 0.6583800000, 1.4628457000", \ - "0.1376392000, 0.1453627000, 0.1642466000, 0.2135061000, 0.3384694000, 0.6562405000, 1.4642845000", \ - "0.1601317000, 0.1676535000, 0.1863963000, 0.2331518000, 0.3508233000, 0.6610542000, 1.4642078000", \ - "0.2156771000, 0.2238947000, 0.2440533000, 0.2948325000, 0.4163944000, 0.7080560000, 1.4718525000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0631078000, 0.0655039000, 0.0714893000, 0.0855871000, 0.1187631000, 0.1959788000, 0.3826303000", \ - "0.0669984000, 0.0694441000, 0.0753320000, 0.0894705000, 0.1225179000, 0.1997757000, 0.3863027000", \ - "0.0746415000, 0.0771098000, 0.0828713000, 0.0969664000, 0.1298410000, 0.2073072000, 0.3936855000", \ - "0.0893872000, 0.0919267000, 0.0978059000, 0.1116923000, 0.1443642000, 0.2215934000, 0.4080916000", \ - "0.1135096000, 0.1163070000, 0.1231289000, 0.1381195000, 0.1735394000, 0.2525448000, 0.4392056000", \ - "0.1443384000, 0.1476547000, 0.1559342000, 0.1758953000, 0.2203857000, 0.3113112000, 0.5091745000", \ - "0.1550735000, 0.1604476000, 0.1736255000, 0.2031972000, 0.2664416000, 0.3937648000, 0.6363144000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.2350132000, 0.2409919000, 0.2562064000, 0.2926868000, 0.3872503000, 0.6227362000, 1.2156343000", \ - "0.2393386000, 0.2452699000, 0.2601434000, 0.2975581000, 0.3917685000, 0.6272111000, 1.2199288000", \ - "0.2503797000, 0.2569169000, 0.2723537000, 0.3093581000, 0.4042333000, 0.6401149000, 1.2332013000", \ - "0.2777802000, 0.2833926000, 0.2977754000, 0.3372433000, 0.4320120000, 0.6680504000, 1.2611773000", \ - "0.3320967000, 0.3382956000, 0.3529216000, 0.3912828000, 0.4857279000, 0.7225510000, 1.3171453000", \ - "0.4346214000, 0.4415381000, 0.4589738000, 0.4996041000, 0.5965680000, 0.8320202000, 1.4268030000", \ - "0.6124317000, 0.6206132000, 0.6417017000, 0.6900743000, 0.8035894000, 1.0647974000, 1.6654971000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0617866000, 0.0644320000, 0.0709253000, 0.0873235000, 0.1276325000, 0.2278717000, 0.4824328000", \ - "0.0613812000, 0.0640732000, 0.0705168000, 0.0869973000, 0.1272810000, 0.2276016000, 0.4817282000", \ - "0.0607892000, 0.0634769000, 0.0699527000, 0.0863554000, 0.1270319000, 0.2271795000, 0.4819673000", \ - "0.0633916000, 0.0657712000, 0.0717337000, 0.0874764000, 0.1271339000, 0.2272251000, 0.4819120000", \ - "0.0747971000, 0.0771746000, 0.0833352000, 0.0985025000, 0.1360713000, 0.2312200000, 0.4820278000", \ - "0.1062329000, 0.1089597000, 0.1151366000, 0.1306159000, 0.1685841000, 0.2608121000, 0.4962817000", \ - "0.1746281000, 0.1776451000, 0.1860757000, 0.2061829000, 0.2490425000, 0.3480058000, 0.5793963000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.1680328000, 0.1760034000, 0.1950017000, 0.2448870000, 0.3696960000, 0.6860447000, 1.4885457000", \ - "0.1680445000, 0.1752871000, 0.1949774000, 0.2451478000, 0.3698307000, 0.6855891000, 1.4837753000", \ - "0.1677601000, 0.1758873000, 0.1950540000, 0.2447668000, 0.3696535000, 0.6860037000, 1.4887528000", \ - "0.1677154000, 0.1755487000, 0.1953685000, 0.2449682000, 0.3696177000, 0.6867086000, 1.4853406000", \ - "0.1684707000, 0.1764267000, 0.1956589000, 0.2449680000, 0.3697510000, 0.6863060000, 1.4868835000", \ - "0.1894958000, 0.1972158000, 0.2157097000, 0.2609025000, 0.3798910000, 0.6899906000, 1.4893831000", \ - "0.2409591000, 0.2499523000, 0.2692036000, 0.3186222000, 0.4416443000, 0.7362870000, 1.5016677000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0215046000, 0.0224084000, 0.0246530000, 0.0301297000, 0.0431420000, 0.0745707000, 0.1524245000", \ - "0.0261860000, 0.0271050000, 0.0293116000, 0.0347317000, 0.0477498000, 0.0791595000, 0.1570193000", \ - "0.0369388000, 0.0379713000, 0.0404320000, 0.0458192000, 0.0585813000, 0.0899349000, 0.1677929000", \ - "0.0508484000, 0.0524016000, 0.0561392000, 0.0646017000, 0.0816388000, 0.1150108000, 0.1927282000", \ - "0.0637769000, 0.0662072000, 0.0718880000, 0.0850228000, 0.1123976000, 0.1632790000, 0.2515236000", \ - "0.0648364000, 0.0686074000, 0.0776757000, 0.0982529000, 0.1409893000, 0.2211002000, 0.3575760000", \ - "0.0244452000, 0.0300998000, 0.0436056000, 0.0761432000, 0.1430676000, 0.2677561000, 0.4826467000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.2120221000, 0.2185210000, 0.2342746000, 0.2719610000, 0.3679606000, 0.6044460000, 1.1979679000", \ - "0.2134510000, 0.2202231000, 0.2365632000, 0.2749462000, 0.3711414000, 0.6080728000, 1.2024263000", \ - "0.2232807000, 0.2290670000, 0.2440750000, 0.2832981000, 0.3795209000, 0.6175725000, 1.2130319000", \ - "0.2482929000, 0.2546675000, 0.2695271000, 0.3081799000, 0.4030623000, 0.6414376000, 1.2377815000", \ - "0.3055868000, 0.3114678000, 0.3266649000, 0.3645531000, 0.4595568000, 0.6969351000, 1.2930871000", \ - "0.4222704000, 0.4292881000, 0.4485174000, 0.4921543000, 0.5929791000, 0.8293419000, 1.4249317000", \ - "0.6319977000, 0.6417561000, 0.6665805000, 0.7230948000, 0.8545076000, 1.1301841000, 1.7345201000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0232943000, 0.0242558000, 0.0267099000, 0.0330344000, 0.0490323000, 0.0896912000, 0.1940245000", \ - "0.0228012000, 0.0237462000, 0.0261770000, 0.0326710000, 0.0488935000, 0.0897723000, 0.1940397000", \ - "0.0267938000, 0.0275396000, 0.0294914000, 0.0349221000, 0.0494843000, 0.0896693000, 0.1940240000", \ - "0.0407777000, 0.0416960000, 0.0440297000, 0.0494650000, 0.0618609000, 0.0950264000, 0.1949086000", \ - "0.0666100000, 0.0679401000, 0.0714451000, 0.0788689000, 0.0954047000, 0.1295755000, 0.2103719000", \ - "0.1128428000, 0.1148467000, 0.1197645000, 0.1312794000, 0.1560704000, 0.2052011000, 0.2948084000", \ - "0.1957642000, 0.1986696000, 0.2077424000, 0.2249575000, 0.2639129000, 0.3375902000, 0.4680721000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.1676743000, 0.1757843000, 0.1950800000, 0.2447386000, 0.3697265000, 0.6870969000, 1.4905567000", \ - "0.1680442000, 0.1754410000, 0.1950111000, 0.2445594000, 0.3696182000, 0.6853371000, 1.4892991000", \ - "0.1678387000, 0.1755280000, 0.1954366000, 0.2449307000, 0.3698248000, 0.6854152000, 1.4843156000", \ - "0.1683687000, 0.1753575000, 0.1950983000, 0.2453054000, 0.3700480000, 0.6858760000, 1.4851756000", \ - "0.1719125000, 0.1791662000, 0.1980633000, 0.2458088000, 0.3703178000, 0.6859871000, 1.4854906000", \ - "0.2092759000, 0.2167165000, 0.2348065000, 0.2780953000, 0.3898851000, 0.6922632000, 1.4884124000", \ - "0.2973402000, 0.3058401000, 0.3268106000, 0.3749273000, 0.4969037000, 0.7622571000, 1.4986602000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0160744000, 0.0168380000, 0.0187500000, 0.0233902000, 0.0348644000, 0.0632303000, 0.1349742000", \ - "0.0206649000, 0.0214392000, 0.0233396000, 0.0280523000, 0.0395470000, 0.0680824000, 0.1398731000", \ - "0.0281207000, 0.0292876000, 0.0322072000, 0.0386905000, 0.0504885000, 0.0790825000, 0.1509921000", \ - "0.0359970000, 0.0377325000, 0.0423982000, 0.0522271000, 0.0713434000, 0.1051961000, 0.1764670000", \ - "0.0393223000, 0.0424410000, 0.0497700000, 0.0656100000, 0.0953921000, 0.1487661000, 0.2362350000", \ - "0.0255779000, 0.0305262000, 0.0421577000, 0.0668611000, 0.1158696000, 0.2000549000, 0.3359774000", \ - "-0.038276100, -0.030532900, -0.012158200, 0.0274139000, 0.1046526000, 0.2375244000, 0.4534674000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.1571997000, 0.1638106000, 0.1797607000, 0.2182234000, 0.3147806000, 0.5515737000, 1.1454279000", \ - "0.1589601000, 0.1653352000, 0.1798942000, 0.2200443000, 0.3163696000, 0.5540772000, 1.1486615000", \ - "0.1673128000, 0.1734039000, 0.1893071000, 0.2276787000, 0.3231948000, 0.5621273000, 1.1583849000", \ - "0.1909087000, 0.1970999000, 0.2120756000, 0.2508797000, 0.3463476000, 0.5846899000, 1.1811050000", \ - "0.2548001000, 0.2606929000, 0.2754409000, 0.3130974000, 0.4051673000, 0.6427147000, 1.2384205000", \ - "0.3916338000, 0.3990377000, 0.4172682000, 0.4607675000, 0.5563112000, 0.7889819000, 1.3813085000", \ - "0.6140679000, 0.6246171000, 0.6512109000, 0.7140116000, 0.8518101000, 1.1334320000, 1.7116903000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0131818000, 0.0142435000, 0.0169581000, 0.0236039000, 0.0393716000, 0.0777029000, 0.1747876000", \ - "0.0139800000, 0.0149194000, 0.0173370000, 0.0236350000, 0.0393506000, 0.0777084000, 0.1746595000", \ - "0.0216164000, 0.0222769000, 0.0238501000, 0.0283220000, 0.0413101000, 0.0777422000, 0.1744993000", \ - "0.0360588000, 0.0368754000, 0.0390696000, 0.0443863000, 0.0562240000, 0.0852993000, 0.1747536000", \ - "0.0624100000, 0.0635472000, 0.0664274000, 0.0737452000, 0.0898957000, 0.1223775000, 0.1954094000", \ - "0.1104722000, 0.1122214000, 0.1164794000, 0.1270586000, 0.1494168000, 0.1952438000, 0.2814634000", \ - "0.1990663000, 0.2026676000, 0.2085408000, 0.2240477000, 0.2576419000, 0.3275623000, 0.4513428000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.1676203000, 0.1756265000, 0.1949993000, 0.2445718000, 0.3697194000, 0.6856879000, 1.4904182000", \ - "0.1673820000, 0.1749541000, 0.1950531000, 0.2444932000, 0.3696342000, 0.6855956000, 1.4847332000", \ - "0.1673969000, 0.1752351000, 0.1944790000, 0.2444298000, 0.3698748000, 0.6859224000, 1.4896759000", \ - "0.1655348000, 0.1732390000, 0.1935075000, 0.2437925000, 0.3701569000, 0.6870436000, 1.4850603000", \ - "0.1705960000, 0.1776360000, 0.1959569000, 0.2428996000, 0.3677536000, 0.6858802000, 1.4855808000", \ - "0.2198587000, 0.2280260000, 0.2480708000, 0.2922563000, 0.3967172000, 0.6901822000, 1.4851352000", \ - "0.3101674000, 0.3210235000, 0.3469900000, 0.4059959000, 0.5334748000, 0.7978961000, 1.5063120000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a31o_1") { - leakage_power () { - value : 0.0021170000; - when : "!A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0026581000; - when : "!A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0021170000; - when : "!A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0026784000; - when : "!A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0021170000; - when : "!A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0026775000; - when : "!A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0021170000; - when : "!A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0031168000; - when : "!A1&A2&A3&!B1"; - } - leakage_power () { - value : 0.0021170000; - when : "A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0026821000; - when : "A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0021170000; - when : "A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0030257000; - when : "A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0021170000; - when : "A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0030004000; - when : "A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0014963000; - when : "A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0018432000; - when : "A1&A2&A3&!B1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__a31o"; - cell_leakage_power : 0.0023748210; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022680000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045228000, 0.0045243000, 0.0045278000, 0.0045292000, 0.0045326000, 0.0045403000, 0.0045581000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003504300, -0.003507400, -0.003514500, -0.003507300, -0.003490600, -0.003452200, -0.003363600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024200000; - } - pin ("A2") { - capacitance : 0.0023720000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043842000, 0.0043824000, 0.0043783000, 0.0043929000, 0.0044264000, 0.0045038000, 0.0046820000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003983300, -0.003984100, -0.003986000, -0.003984900, -0.003982300, -0.003976400, -0.003962600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024650000; - } - pin ("A3") { - capacitance : 0.0023830000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022590000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042353000, 0.0042334000, 0.0042290000, 0.0042311000, 0.0042359000, 0.0042470000, 0.0042726000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004234200, -0.004233400, -0.004231700, -0.004231800, -0.004232000, -0.004232500, -0.004233700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025070000; - } - pin ("B1") { - capacitance : 0.0023210000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021520000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042833000, 0.0042765000, 0.0042607000, 0.0042777000, 0.0043167000, 0.0044068000, 0.0046144000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001710300, -0.001709300, -0.001707200, -0.001707100, -0.001706900, -0.001706600, -0.001705700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024900000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2&A3) | (B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0109134000, 0.0100362000, 0.0075236000, -0.000295600, -0.023146800, -0.084326000, -0.244653700", \ - "0.0107707000, 0.0098678000, 0.0073563000, -0.000487500, -0.023344400, -0.084495700, -0.244824700", \ - "0.0104909000, 0.0096025000, 0.0070920000, -0.000766000, -0.023616400, -0.084773400, -0.245081100", \ - "0.0102314000, 0.0093403000, 0.0067959000, -0.001047800, -0.023874100, -0.085037600, -0.245346100", \ - "0.0100042000, 0.0090818000, 0.0065344000, -0.001354900, -0.024164500, -0.085272300, -0.245552800", \ - "0.0111592000, 0.0098699000, 0.0065315000, -0.001863600, -0.024296300, -0.085348200, -0.245626400", \ - "0.0123088000, 0.0109873000, 0.0075580000, -0.001247400, -0.024539200, -0.085250300, -0.245453800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0130454000, 0.0144466000, 0.0179878000, 0.0269336000, 0.0501471000, 0.1112721000, 0.2707150000", \ - "0.0129259000, 0.0143390000, 0.0178925000, 0.0268526000, 0.0500505000, 0.1107656000, 0.2693049000", \ - "0.0127270000, 0.0141319000, 0.0176924000, 0.0266903000, 0.0499078000, 0.1110533000, 0.2704618000", \ - "0.0124956000, 0.0139212000, 0.0174787000, 0.0264719000, 0.0496648000, 0.1103750000, 0.2691484000", \ - "0.0123981000, 0.0137827000, 0.0173505000, 0.0261822000, 0.0495028000, 0.1105815000, 0.2699301000", \ - "0.0130524000, 0.0143783000, 0.0177842000, 0.0265479000, 0.0498434000, 0.1101029000, 0.2689422000", \ - "0.0140946000, 0.0154627000, 0.0189862000, 0.0277362000, 0.0506361000, 0.1115648000, 0.2682191000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0134915000, 0.0125783000, 0.0101045000, 0.0023467000, -0.020354600, -0.081405700, -0.241667900", \ - "0.0133115000, 0.0124302000, 0.0099551000, 0.0022015000, -0.020473700, -0.081529400, -0.241797200", \ - "0.0131392000, 0.0122350000, 0.0097345000, 0.0019875000, -0.020722600, -0.081768300, -0.242012800", \ - "0.0128854000, 0.0119665000, 0.0094992000, 0.0017306000, -0.020967800, -0.082002000, -0.242245700", \ - "0.0127002000, 0.0117662000, 0.0092379000, 0.0014796000, -0.021226000, -0.082239100, -0.242394800", \ - "0.0132950000, 0.0120200000, 0.0085850000, 0.0010586000, -0.021477100, -0.082414000, -0.242597700", \ - "0.0149874000, 0.0136154000, 0.0102238000, 0.0013750000, -0.021898400, -0.082570900, -0.242669600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0134923000, 0.0149027000, 0.0184188000, 0.0273339000, 0.0504256000, 0.1113645000, 0.2703565000", \ - "0.0133874000, 0.0147935000, 0.0183260000, 0.0272501000, 0.0503255000, 0.1113028000, 0.2706523000", \ - "0.0132374000, 0.0146254000, 0.0181840000, 0.0271156000, 0.0502179000, 0.1112214000, 0.2705517000", \ - "0.0130134000, 0.0144000000, 0.0179696000, 0.0269210000, 0.0500308000, 0.1106617000, 0.2691070000", \ - "0.0128453000, 0.0142588000, 0.0177993000, 0.0268161000, 0.0500134000, 0.1110138000, 0.2696666000", \ - "0.0131956000, 0.0145451000, 0.0179685000, 0.0267225000, 0.0500494000, 0.1102026000, 0.2688152000", \ - "0.0140275000, 0.0152938000, 0.0187930000, 0.0275760000, 0.0509000000, 0.1118465000, 0.2697557000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0161312000, 0.0151992000, 0.0126518000, 0.0048424000, -0.018028200, -0.079224300, -0.239527400", \ - "0.0160161000, 0.0150717000, 0.0125247000, 0.0047050000, -0.018148100, -0.079342700, -0.239636100", \ - "0.0158900000, 0.0149294000, 0.0124066000, 0.0045571000, -0.018328600, -0.079499500, -0.239796300", \ - "0.0156422000, 0.0147117000, 0.0121734000, 0.0043269000, -0.018505300, -0.079680800, -0.239917400", \ - "0.0154583000, 0.0145195000, 0.0119857000, 0.0041209000, -0.018686700, -0.079794700, -0.240001100", \ - "0.0158754000, 0.0145718000, 0.0114033000, 0.0039106000, -0.018750100, -0.079796600, -0.240040100", \ - "0.0181641000, 0.0167975000, 0.0133820000, 0.0044249000, -0.018895500, -0.079677700, -0.239847500"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0136789000, 0.0150815000, 0.0186239000, 0.0275162000, 0.0504486000, 0.1112450000, 0.2704290000", \ - "0.0135815000, 0.0149864000, 0.0185315000, 0.0274280000, 0.0503741000, 0.1110578000, 0.2702358000", \ - "0.0134145000, 0.0148193000, 0.0183585000, 0.0272591000, 0.0502371000, 0.1109440000, 0.2696357000", \ - "0.0131781000, 0.0145889000, 0.0181302000, 0.0270452000, 0.0501031000, 0.1109615000, 0.2687853000", \ - "0.0130117000, 0.0143952000, 0.0179235000, 0.0268790000, 0.0501860000, 0.1104303000, 0.2687321000", \ - "0.0132682000, 0.0146091000, 0.0180483000, 0.0268110000, 0.0500703000, 0.1102404000, 0.2700524000", \ - "0.0138561000, 0.0151688000, 0.0186105000, 0.0275124000, 0.0507984000, 0.1114761000, 0.2684744000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0132759000, 0.0123542000, 0.0099282000, 0.0020559000, -0.020706000, -0.081736800, -0.241975800", \ - "0.0130785000, 0.0121461000, 0.0096790000, 0.0018770000, -0.020879000, -0.081909900, -0.242138100", \ - "0.0128971000, 0.0119980000, 0.0094468000, 0.0016161000, -0.021101400, -0.082157200, -0.242302900", \ - "0.0127060000, 0.0117885000, 0.0092650000, 0.0014467000, -0.021293100, -0.082307700, -0.242472900", \ - "0.0125793000, 0.0116469000, 0.0090904000, 0.0012609000, -0.021465400, -0.082436400, -0.242600800", \ - "0.0140729000, 0.0127345000, 0.0093006000, 0.0012895000, -0.021258100, -0.082210500, -0.242356500", \ - "0.0175164000, 0.0161049000, 0.0126126000, 0.0037191000, -0.019644500, -0.080411900, -0.240553300"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0086751000, 0.0101377000, 0.0137997000, 0.0228902000, 0.0464389000, 0.1072141000, 0.2653896000", \ - "0.0085825000, 0.0100361000, 0.0136832000, 0.0227961000, 0.0463303000, 0.1065248000, 0.2651680000", \ - "0.0083464000, 0.0097721000, 0.0133800000, 0.0225005000, 0.0461279000, 0.1067353000, 0.2651812000", \ - "0.0079939000, 0.0093835000, 0.0129531000, 0.0220585000, 0.0457201000, 0.1067123000, 0.2669209000", \ - "0.0080650000, 0.0094131000, 0.0127807000, 0.0218539000, 0.0451549000, 0.1067510000, 0.2655308000", \ - "0.0084111000, 0.0097320000, 0.0131844000, 0.0222065000, 0.0455785000, 0.1057846000, 0.2647160000", \ - "0.0101733000, 0.0113677000, 0.0147515000, 0.0237695000, 0.0470358000, 0.1080590000, 0.2652037000"); - } - } - max_capacitance : 0.1602270000; - max_transition : 1.5021620000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.1381343000, 0.1447753000, 0.1585197000, 0.1859716000, 0.2416238000, 0.3682428000, 0.6909207000", \ - "0.1428928000, 0.1495243000, 0.1634435000, 0.1906860000, 0.2464237000, 0.3730734000, 0.6954657000", \ - "0.1551251000, 0.1617570000, 0.1756710000, 0.2028646000, 0.2586294000, 0.3852501000, 0.7072738000", \ - "0.1840785000, 0.1907597000, 0.2045317000, 0.2318520000, 0.2875931000, 0.4142417000, 0.7363095000", \ - "0.2464951000, 0.2532904000, 0.2673397000, 0.2948746000, 0.3507172000, 0.4774676000, 0.7998964000", \ - "0.3567638000, 0.3646534000, 0.3808203000, 0.4114758000, 0.4715632000, 0.6010271000, 0.9238269000", \ - "0.5356320000, 0.5457075000, 0.5661604000, 0.6041047000, 0.6727286000, 0.8084461000, 1.1325216000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0917325000, 0.0994441000, 0.1164929000, 0.1549714000, 0.2499468000, 0.4965977000, 1.1376391000", \ - "0.0954001000, 0.1031306000, 0.1202331000, 0.1587457000, 0.2534747000, 0.4990832000, 1.1415801000", \ - "0.1046673000, 0.1123581000, 0.1295017000, 0.1681356000, 0.2632335000, 0.5099436000, 1.1510026000", \ - "0.1266301000, 0.1343593000, 0.1514258000, 0.1900543000, 0.2850358000, 0.5308045000, 1.1725108000", \ - "0.1627875000, 0.1707565000, 0.1882449000, 0.2272447000, 0.3226541000, 0.5694859000, 1.2131798000", \ - "0.2039179000, 0.2125951000, 0.2311094000, 0.2711328000, 0.3664418000, 0.6133046000, 1.2557896000", \ - "0.2274339000, 0.2392450000, 0.2617210000, 0.3042591000, 0.3989150000, 0.6449806000, 1.2875871000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0224411000, 0.0276033000, 0.0380837000, 0.0626801000, 0.1206471000, 0.2757691000, 0.7010983000", \ - "0.0225169000, 0.0272004000, 0.0383774000, 0.0626573000, 0.1208351000, 0.2767609000, 0.7017133000", \ - "0.0225430000, 0.0276789000, 0.0380784000, 0.0625623000, 0.1208387000, 0.2777838000, 0.6966009000", \ - "0.0228543000, 0.0273639000, 0.0384929000, 0.0626672000, 0.1208083000, 0.2767475000, 0.6998405000", \ - "0.0239195000, 0.0289683000, 0.0389806000, 0.0635759000, 0.1208514000, 0.2767299000, 0.7011454000", \ - "0.0296230000, 0.0347615000, 0.0467760000, 0.0715866000, 0.1282333000, 0.2796720000, 0.7042514000", \ - "0.0415129000, 0.0479687000, 0.0613214000, 0.0877780000, 0.1450478000, 0.2889598000, 0.6998724000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0260670000, 0.0334204000, 0.0517989000, 0.1000562000, 0.2314817000, 0.5800165000, 1.4947968000", \ - "0.0261108000, 0.0333863000, 0.0518359000, 0.1001041000, 0.2310543000, 0.5801313000, 1.4954421000", \ - "0.0260937000, 0.0333427000, 0.0517520000, 0.1000308000, 0.2313967000, 0.5802702000, 1.4954611000", \ - "0.0261605000, 0.0335390000, 0.0516838000, 0.0999224000, 0.2314929000, 0.5792447000, 1.4906214000", \ - "0.0278306000, 0.0351268000, 0.0532765000, 0.1015257000, 0.2318733000, 0.5804636000, 1.4947775000", \ - "0.0339297000, 0.0406509000, 0.0570018000, 0.1029142000, 0.2334096000, 0.5802281000, 1.4922559000", \ - "0.0458234000, 0.0529044000, 0.0692920000, 0.1096978000, 0.2343018000, 0.5812807000, 1.4917103000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.1666367000, 0.1737012000, 0.1882312000, 0.2169244000, 0.2736789000, 0.4015466000, 0.7246655000", \ - "0.1715987000, 0.1786489000, 0.1932927000, 0.2214681000, 0.2787613000, 0.4066676000, 0.7290258000", \ - "0.1841035000, 0.1911173000, 0.2056805000, 0.2343283000, 0.2912083000, 0.4190874000, 0.7419693000", \ - "0.2128273000, 0.2198584000, 0.2344747000, 0.2628424000, 0.3200306000, 0.4478999000, 0.7709434000", \ - "0.2759773000, 0.2829894000, 0.2974933000, 0.3260664000, 0.3832897000, 0.5113501000, 0.8343221000", \ - "0.3957523000, 0.4037595000, 0.4200178000, 0.4511188000, 0.5111765000, 0.6408334000, 0.9641030000", \ - "0.5966969000, 0.6067598000, 0.6267635000, 0.6639515000, 0.7312874000, 0.8666600000, 1.1913178000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0993331000, 0.1070584000, 0.1241375000, 0.1624241000, 0.2567632000, 0.5024695000, 1.1458398000", \ - "0.1032838000, 0.1110002000, 0.1280504000, 0.1664201000, 0.2609068000, 0.5070118000, 1.1478422000", \ - "0.1120850000, 0.1197755000, 0.1368789000, 0.1752089000, 0.2698153000, 0.5159632000, 1.1569445000", \ - "0.1320033000, 0.1396034000, 0.1567086000, 0.1952230000, 0.2898998000, 0.5353413000, 1.1770985000", \ - "0.1677929000, 0.1759745000, 0.1932377000, 0.2324920000, 0.3277608000, 0.5740558000, 1.2171403000", \ - "0.2123982000, 0.2215826000, 0.2408048000, 0.2812785000, 0.3770672000, 0.6230846000, 1.2661080000", \ - "0.2420628000, 0.2538506000, 0.2771139000, 0.3211441000, 0.4177052000, 0.6637180000, 1.3055189000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0253029000, 0.0300909000, 0.0405903000, 0.0653058000, 0.1239455000, 0.2783343000, 0.7038088000", \ - "0.0249492000, 0.0301347000, 0.0406336000, 0.0655653000, 0.1234935000, 0.2783377000, 0.7072667000", \ - "0.0251097000, 0.0301890000, 0.0406165000, 0.0651852000, 0.1236918000, 0.2785302000, 0.7044494000", \ - "0.0253019000, 0.0300637000, 0.0405877000, 0.0655181000, 0.1236395000, 0.2784526000, 0.7048771000", \ - "0.0253558000, 0.0304029000, 0.0408689000, 0.0658470000, 0.1235407000, 0.2781772000, 0.7000212000", \ - "0.0303585000, 0.0354689000, 0.0471601000, 0.0711991000, 0.1277829000, 0.2810251000, 0.7050189000", \ - "0.0416439000, 0.0476047000, 0.0599175000, 0.0863829000, 0.1428099000, 0.2884786000, 0.7020173000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0261008000, 0.0333445000, 0.0518186000, 0.1001854000, 0.2308484000, 0.5812245000, 1.4949660000", \ - "0.0260736000, 0.0333350000, 0.0517940000, 0.1000941000, 0.2314631000, 0.5807047000, 1.4956045000", \ - "0.0259888000, 0.0334304000, 0.0518369000, 0.1000614000, 0.2314627000, 0.5805894000, 1.4954294000", \ - "0.0259974000, 0.0336664000, 0.0517328000, 0.0999568000, 0.2314706000, 0.5802231000, 1.4911645000", \ - "0.0282325000, 0.0355351000, 0.0538396000, 0.1013373000, 0.2316861000, 0.5806914000, 1.4924358000", \ - "0.0337006000, 0.0412790000, 0.0578407000, 0.1033890000, 0.2324162000, 0.5786043000, 1.4958200000", \ - "0.0456954000, 0.0529588000, 0.0707816000, 0.1112325000, 0.2344978000, 0.5839777000, 1.4907261000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.1768402000, 0.1838228000, 0.1983429000, 0.2260869000, 0.2826010000, 0.4101365000, 0.7335192000", \ - "0.1821182000, 0.1891311000, 0.2036079000, 0.2317706000, 0.2878988000, 0.4154408000, 0.7388255000", \ - "0.1950702000, 0.2021132000, 0.2165620000, 0.2447428000, 0.3010780000, 0.4286586000, 0.7514129000", \ - "0.2242350000, 0.2312127000, 0.2456710000, 0.2736928000, 0.3301702000, 0.4578059000, 0.7812604000", \ - "0.2867720000, 0.2937723000, 0.3082730000, 0.3365341000, 0.3932205000, 0.5207626000, 0.8438528000", \ - "0.4097486000, 0.4176053000, 0.4335461000, 0.4639903000, 0.5231749000, 0.6521261000, 0.9753981000", \ - "0.6191535000, 0.6288975000, 0.6482322000, 0.6840133000, 0.7498333000, 0.8837358000, 1.2081520000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.1042957000, 0.1120295000, 0.1290775000, 0.1673452000, 0.2612812000, 0.5066648000, 1.1470258000", \ - "0.1083653000, 0.1160166000, 0.1331142000, 0.1712886000, 0.2652867000, 0.5105172000, 1.1536668000", \ - "0.1163150000, 0.1239668000, 0.1410521000, 0.1792481000, 0.2733474000, 0.5186662000, 1.1617850000", \ - "0.1327450000, 0.1404615000, 0.1575219000, 0.1957656000, 0.2901288000, 0.5358431000, 1.1765028000", \ - "0.1628836000, 0.1710480000, 0.1886987000, 0.2278513000, 0.3226994000, 0.5678827000, 1.2093939000", \ - "0.2036045000, 0.2128668000, 0.2321918000, 0.2727305000, 0.3685676000, 0.6139668000, 1.2571916000", \ - "0.2308632000, 0.2426603000, 0.2663394000, 0.3110771000, 0.4082544000, 0.6547297000, 1.2953495000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0257396000, 0.0303393000, 0.0414742000, 0.0657640000, 0.1238989000, 0.2789564000, 0.7032578000", \ - "0.0256071000, 0.0302251000, 0.0414685000, 0.0650221000, 0.1238698000, 0.2789636000, 0.7032827000", \ - "0.0259700000, 0.0302951000, 0.0410923000, 0.0654518000, 0.1235751000, 0.2782125000, 0.7022209000", \ - "0.0256886000, 0.0303049000, 0.0414767000, 0.0657800000, 0.1236057000, 0.2790163000, 0.7041571000", \ - "0.0256005000, 0.0303341000, 0.0410490000, 0.0658095000, 0.1233708000, 0.2785693000, 0.7005436000", \ - "0.0303192000, 0.0352410000, 0.0467883000, 0.0701091000, 0.1271446000, 0.2799248000, 0.7055184000", \ - "0.0407934000, 0.0464855000, 0.0581720000, 0.0833314000, 0.1402063000, 0.2877211000, 0.7013425000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0260408000, 0.0334434000, 0.0517943000, 0.1001086000, 0.2314682000, 0.5809210000, 1.4956146000", \ - "0.0260850000, 0.0333980000, 0.0517575000, 0.1000670000, 0.2314643000, 0.5800441000, 1.4929963000", \ - "0.0260758000, 0.0333781000, 0.0517090000, 0.0999340000, 0.2315149000, 0.5801281000, 1.4927529000", \ - "0.0261176000, 0.0334843000, 0.0518660000, 0.1001334000, 0.2312577000, 0.5796967000, 1.4942078000", \ - "0.0282375000, 0.0353751000, 0.0536138000, 0.1010628000, 0.2313054000, 0.5810487000, 1.4949333000", \ - "0.0334105000, 0.0406110000, 0.0579163000, 0.1038917000, 0.2324079000, 0.5778446000, 1.4961480000", \ - "0.0446499000, 0.0524751000, 0.0697124000, 0.1119172000, 0.2353616000, 0.5821150000, 1.4909257000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.1505266000, 0.1575611000, 0.1719639000, 0.2004206000, 0.2568508000, 0.3847037000, 0.7074489000", \ - "0.1537311000, 0.1606911000, 0.1754665000, 0.2038207000, 0.2603525000, 0.3881854000, 0.7109897000", \ - "0.1639964000, 0.1710062000, 0.1854419000, 0.2136407000, 0.2700741000, 0.3980145000, 0.7213014000", \ - "0.1911618000, 0.1981868000, 0.2125917000, 0.2409096000, 0.2977197000, 0.4255451000, 0.7491393000", \ - "0.2579638000, 0.2649248000, 0.2793483000, 0.3075704000, 0.3643107000, 0.4922220000, 0.8151690000", \ - "0.3840470000, 0.3922972000, 0.4087518000, 0.4387774000, 0.4975723000, 0.6273834000, 0.9508681000", \ - "0.5812459000, 0.5922263000, 0.6133621000, 0.6503625000, 0.7131781000, 0.8452235000, 1.1709567000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0556523000, 0.0628784000, 0.0790102000, 0.1164773000, 0.2111763000, 0.4575290000, 1.0984124000", \ - "0.0604416000, 0.0676382000, 0.0836916000, 0.1212135000, 0.2161269000, 0.4638312000, 1.1062919000", \ - "0.0712625000, 0.0783756000, 0.0943269000, 0.1318772000, 0.2266560000, 0.4770052000, 1.1146947000", \ - "0.0919993000, 0.0994337000, 0.1156622000, 0.1531307000, 0.2484379000, 0.4958223000, 1.1409063000", \ - "0.1194355000, 0.1282268000, 0.1460030000, 0.1843807000, 0.2794397000, 0.5271203000, 1.1721560000", \ - "0.1463603000, 0.1581747000, 0.1801376000, 0.2212274000, 0.3165675000, 0.5625762000, 1.2044946000", \ - "0.1523472000, 0.1685282000, 0.1980184000, 0.2481255000, 0.3454478000, 0.5920681000, 1.2349046000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0255102000, 0.0302795000, 0.0410972000, 0.0653047000, 0.1236762000, 0.2781317000, 0.7025733000", \ - "0.0257522000, 0.0303352000, 0.0410828000, 0.0654257000, 0.1233052000, 0.2785023000, 0.7025288000", \ - "0.0257979000, 0.0308112000, 0.0409341000, 0.0649287000, 0.1236268000, 0.2789266000, 0.7064289000", \ - "0.0255007000, 0.0304577000, 0.0412170000, 0.0654888000, 0.1234188000, 0.2788693000, 0.7043858000", \ - "0.0256705000, 0.0303392000, 0.0409627000, 0.0656287000, 0.1234049000, 0.2784383000, 0.6991248000", \ - "0.0341846000, 0.0388272000, 0.0481630000, 0.0712019000, 0.1273426000, 0.2808705000, 0.7051755000", \ - "0.0491499000, 0.0541509000, 0.0649801000, 0.0858391000, 0.1375872000, 0.2859725000, 0.7025301000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0222080000, 0.0289900000, 0.0468172000, 0.0958913000, 0.2296172000, 0.5793446000, 1.4899613000", \ - "0.0222061000, 0.0290069000, 0.0467715000, 0.0959832000, 0.2295212000, 0.5813563000, 1.4919799000", \ - "0.0223382000, 0.0291678000, 0.0468827000, 0.0960015000, 0.2294698000, 0.5808047000, 1.4906015000", \ - "0.0248676000, 0.0310555000, 0.0480699000, 0.0961771000, 0.2295221000, 0.5824449000, 1.5021618000", \ - "0.0321913000, 0.0377375000, 0.0525749000, 0.0981907000, 0.2287860000, 0.5810967000, 1.4981243000", \ - "0.0455731000, 0.0515323000, 0.0639972000, 0.1036429000, 0.2306549000, 0.5766865000, 1.4907284000", \ - "0.0656492000, 0.0730171000, 0.0855130000, 0.1200638000, 0.2344055000, 0.5801294000, 1.4895813000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a31o_2") { - leakage_power () { - value : 0.0025386000; - when : "A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0035004000; - when : "A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0025382000; - when : "A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0038532000; - when : "A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0025386000; - when : "A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0038419000; - when : "A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0009570000; - when : "A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0021622000; - when : "A1&A2&A3&!B1"; - } - leakage_power () { - value : 0.0025386000; - when : "!A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0034749000; - when : "!A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0025386000; - when : "!A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0034955000; - when : "!A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0025386000; - when : "!A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0034959000; - when : "!A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0025391000; - when : "!A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0039408000; - when : "!A1&A2&A3&!B1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__a31o"; - cell_leakage_power : 0.0029057600; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022840000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046520000, 0.0046511000, 0.0046491000, 0.0046503000, 0.0046529000, 0.0046589000, 0.0046728000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003647500, -0.003649000, -0.003652500, -0.003645600, -0.003629500, -0.003592400, -0.003506800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024580000; - } - pin ("A2") { - capacitance : 0.0023480000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022520000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043208000, 0.0043160000, 0.0043050000, 0.0043204000, 0.0043560000, 0.0044381000, 0.0046272000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003876000, -0.003877300, -0.003880300, -0.003879400, -0.003877200, -0.003872100, -0.003860400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024450000; - } - pin ("A3") { - capacitance : 0.0023730000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022400000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042154000, 0.0042148000, 0.0042135000, 0.0042146000, 0.0042170000, 0.0042226000, 0.0042354000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004211700, -0.004210300, -0.004207100, -0.004207200, -0.004207400, -0.004207900, -0.004209000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025070000; - } - pin ("B1") { - capacitance : 0.0023260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021470000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0024701000, 0.0024612000, 0.0024408000, 0.0024562000, 0.0024917000, 0.0025735000, 0.0027621000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001751700, -0.001748000, -0.001739500, -0.001738200, -0.001735400, -0.001728900, -0.001713800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025040000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2&A3) | (B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014285070, 0.0040812630, 0.0116602200, 0.0333134100, 0.0951768500, 0.2719215000"); - values("0.0139761000, 0.0125656000, 0.0091473000, -0.001116800, -0.034471700, -0.134088100, -0.420365000", \ - "0.0138147000, 0.0124508000, 0.0090002000, -0.001261900, -0.034563600, -0.134194300, -0.420431200", \ - "0.0135092000, 0.0121990000, 0.0087599000, -0.001530400, -0.034824100, -0.134446200, -0.420682400", \ - "0.0132548000, 0.0118580000, 0.0084538000, -0.001901800, -0.035227800, -0.134798300, -0.421040500", \ - "0.0130372000, 0.0116441000, 0.0081608000, -0.002189400, -0.035568900, -0.135150100, -0.421291000", \ - "0.0134658000, 0.0119289000, 0.0076324000, -0.002797200, -0.035820000, -0.135283600, -0.421398900", \ - "0.0171563000, 0.0155171000, 0.0110864000, -0.001125300, -0.035834400, -0.135287300, -0.421240000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014285070, 0.0040812630, 0.0116602200, 0.0333134100, 0.0951768500, 0.2719215000"); - values("0.0179133000, 0.0195236000, 0.0240710000, 0.0365903000, 0.0714607000, 0.1706552000, 0.4531696000", \ - "0.0178721000, 0.0194785000, 0.0240042000, 0.0364284000, 0.0713628000, 0.1704740000, 0.4531608000", \ - "0.0176616000, 0.0192728000, 0.0237709000, 0.0362615000, 0.0712058000, 0.1704951000, 0.4536706000", \ - "0.0174505000, 0.0190750000, 0.0235966000, 0.0361173000, 0.0710182000, 0.1701612000, 0.4545615000", \ - "0.0174334000, 0.0189933000, 0.0234741000, 0.0356778000, 0.0706793000, 0.1700983000, 0.4532694000", \ - "0.0182010000, 0.0197174000, 0.0239990000, 0.0359737000, 0.0708187000, 0.1699013000, 0.4533727000", \ - "0.0193536000, 0.0208055000, 0.0249612000, 0.0371023000, 0.0718580000, 0.1709805000, 0.4524854000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014285070, 0.0040812630, 0.0116602200, 0.0333134100, 0.0951768500, 0.2719215000"); - values("0.0164753000, 0.0150618000, 0.0115335000, 0.0013465000, -0.031773600, -0.131223200, -0.417337800", \ - "0.0164884000, 0.0150685000, 0.0114892000, 0.0013002000, -0.031868600, -0.131335000, -0.417447100", \ - "0.0161875000, 0.0147786000, 0.0112538000, 0.0010610000, -0.032058000, -0.131501600, -0.417607300", \ - "0.0159627000, 0.0145396000, 0.0110847000, 0.0007873000, -0.032300200, -0.131783300, -0.417870300", \ - "0.0156971000, 0.0142830000, 0.0107539000, 0.0004615000, -0.032655500, -0.132075500, -0.418149900", \ - "0.0152520000, 0.0138043000, 0.0102571000, 0.0001530000, -0.032977900, -0.132289900, -0.418265200", \ - "0.0199424000, 0.0182943000, 0.0138689000, 0.0016245000, -0.032695400, -0.132326900, -0.418330000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014285070, 0.0040812630, 0.0116602200, 0.0333134100, 0.0951768500, 0.2719215000"); - values("0.0181953000, 0.0198050000, 0.0243000000, 0.0368079000, 0.0716114000, 0.1706346000, 0.4529985000", \ - "0.0180523000, 0.0196262000, 0.0242085000, 0.0367141000, 0.0715211000, 0.1705777000, 0.4529502000", \ - "0.0179653000, 0.0195449000, 0.0240535000, 0.0365635000, 0.0714041000, 0.1703649000, 0.4527374000", \ - "0.0177903000, 0.0193976000, 0.0239344000, 0.0364312000, 0.0712520000, 0.1705266000, 0.4534125000", \ - "0.0177346000, 0.0192936000, 0.0237271000, 0.0362306000, 0.0710911000, 0.1704440000, 0.4533493000", \ - "0.0181813000, 0.0196904000, 0.0240636000, 0.0361128000, 0.0710402000, 0.1697869000, 0.4530831000", \ - "0.0190428000, 0.0205115000, 0.0247818000, 0.0370058000, 0.0718720000, 0.1709100000, 0.4526284000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014285070, 0.0040812630, 0.0116602200, 0.0333134100, 0.0951768500, 0.2719215000"); - values("0.0191570000, 0.0177333000, 0.0141910000, 0.0038168000, -0.029506100, -0.129169600, -0.415391100", \ - "0.0189914000, 0.0175745000, 0.0140541000, 0.0037153000, -0.029630600, -0.129270000, -0.415511700", \ - "0.0188691000, 0.0174551000, 0.0140139000, 0.0035432000, -0.029794400, -0.129424000, -0.415644600", \ - "0.0187260000, 0.0173385000, 0.0137750000, 0.0033817000, -0.029980800, -0.129651600, -0.415813600", \ - "0.0184230000, 0.0170118000, 0.0135049000, 0.0030984000, -0.030227600, -0.129851800, -0.415980000", \ - "0.0181706000, 0.0168202000, 0.0131837000, 0.0029954000, -0.030298200, -0.129767300, -0.415929900", \ - "0.0232389000, 0.0215841000, 0.0171070000, 0.0047625000, -0.030226200, -0.129773200, -0.415785800"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014285070, 0.0040812630, 0.0116602200, 0.0333134100, 0.0951768500, 0.2719215000"); - values("0.0184843000, 0.0200965000, 0.0246209000, 0.0370474000, 0.0718282000, 0.1706921000, 0.4533951000", \ - "0.0183570000, 0.0199686000, 0.0245217000, 0.0369251000, 0.0717251000, 0.1705654000, 0.4532792000", \ - "0.0182186000, 0.0198396000, 0.0243686000, 0.0368025000, 0.0715976000, 0.1704597000, 0.4531855000", \ - "0.0180058000, 0.0196223000, 0.0241557000, 0.0366387000, 0.0714153000, 0.1703360000, 0.4528824000", \ - "0.0179526000, 0.0195370000, 0.0240625000, 0.0363829000, 0.0712773000, 0.1702616000, 0.4528072000", \ - "0.0183627000, 0.0198777000, 0.0242207000, 0.0362746000, 0.0711908000, 0.1699041000, 0.4529907000", \ - "0.0189582000, 0.0204312000, 0.0246780000, 0.0369755000, 0.0718558000, 0.1711611000, 0.4524993000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014285070, 0.0040812630, 0.0116602200, 0.0333134100, 0.0951768500, 0.2719215000"); - values("0.0183158000, 0.0168848000, 0.0134385000, 0.0030191000, -0.030241000, -0.129661400, -0.415787300", \ - "0.0181721000, 0.0167605000, 0.0132866000, 0.0028596000, -0.030390500, -0.129844200, -0.415915600", \ - "0.0178996000, 0.0164738000, 0.0129448000, 0.0026577000, -0.030579400, -0.130049500, -0.416135200", \ - "0.0178086000, 0.0163789000, 0.0128355000, 0.0024436000, -0.030788500, -0.130255900, -0.416321500", \ - "0.0179296000, 0.0165020000, 0.0127146000, 0.0024667000, -0.030975800, -0.130421400, -0.416493300", \ - "0.0181922000, 0.0167021000, 0.0125929000, 0.0026962000, -0.030951000, -0.130366300, -0.416352600", \ - "0.0240085000, 0.0222963000, 0.0185066000, 0.0052797000, -0.029089700, -0.128655600, -0.414670100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014285070, 0.0040812630, 0.0116602200, 0.0333134100, 0.0951768500, 0.2719215000"); - values("0.0138204000, 0.0155112000, 0.0201851000, 0.0329439000, 0.0681184000, 0.1668043000, 0.4498529000", \ - "0.0137816000, 0.0154723000, 0.0201637000, 0.0329027000, 0.0680417000, 0.1667555000, 0.4511403000", \ - "0.0136350000, 0.0153081000, 0.0199508000, 0.0326276000, 0.0678024000, 0.1677924000, 0.4510391000", \ - "0.0133125000, 0.0149366000, 0.0194694000, 0.0320889000, 0.0673006000, 0.1670385000, 0.4500520000", \ - "0.0133053000, 0.0148338000, 0.0192675000, 0.0317540000, 0.0668539000, 0.1665991000, 0.4504103000", \ - "0.0138807000, 0.0153783000, 0.0196340000, 0.0320129000, 0.0668620000, 0.1659041000, 0.4491894000", \ - "0.0155450000, 0.0169493000, 0.0211063000, 0.0334515000, 0.0683242000, 0.1674896000, 0.4504069000"); - } - } - max_capacitance : 0.2719220000; - max_transition : 1.5046910000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.1666241000, 0.1723239000, 0.1850309000, 0.2105018000, 0.2602995000, 0.3701794000, 0.6562474000", \ - "0.1717685000, 0.1774547000, 0.1900707000, 0.2155362000, 0.2653258000, 0.3753705000, 0.6613972000", \ - "0.1841338000, 0.1897830000, 0.2024859000, 0.2278182000, 0.2776177000, 0.3876754000, 0.6736007000", \ - "0.2123781000, 0.2180866000, 0.2307679000, 0.2563082000, 0.3063054000, 0.4161352000, 0.7025046000", \ - "0.2761428000, 0.2818327000, 0.2943679000, 0.3197315000, 0.3699036000, 0.4797013000, 0.7661519000", \ - "0.3981833000, 0.4046365000, 0.4189490000, 0.4466746000, 0.5005403000, 0.6122404000, 0.8991559000", \ - "0.6032352000, 0.6112236000, 0.6286783000, 0.6628681000, 0.7250137000, 0.8459575000, 1.1367798000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.1065708000, 0.1131327000, 0.1282482000, 0.1624073000, 0.2457774000, 0.4761243000, 1.1331789000", \ - "0.1102398000, 0.1168475000, 0.1319638000, 0.1659977000, 0.2497136000, 0.4805069000, 1.1369836000", \ - "0.1192716000, 0.1258378000, 0.1409878000, 0.1750512000, 0.2586832000, 0.4901715000, 1.1455921000", \ - "0.1417356000, 0.1482989000, 0.1634015000, 0.1974294000, 0.2811956000, 0.5121616000, 1.1682900000", \ - "0.1838900000, 0.1906886000, 0.2062034000, 0.2407525000, 0.3246079000, 0.5559306000, 1.2116057000", \ - "0.2348523000, 0.2429127000, 0.2599380000, 0.2955234000, 0.3804141000, 0.6117524000, 1.2684901000", \ - "0.2740058000, 0.2843359000, 0.3059989000, 0.3475111000, 0.4341476000, 0.6652269000, 1.3209945000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.0256677000, 0.0289966000, 0.0377819000, 0.0567589000, 0.1025396000, 0.2268186000, 0.6049588000", \ - "0.0254003000, 0.0289901000, 0.0373350000, 0.0562625000, 0.1024559000, 0.2269443000, 0.6020274000", \ - "0.0254057000, 0.0289818000, 0.0373750000, 0.0563916000, 0.1025066000, 0.2267212000, 0.6017851000", \ - "0.0257164000, 0.0291195000, 0.0375026000, 0.0565075000, 0.1024486000, 0.2268370000, 0.6038239000", \ - "0.0256876000, 0.0292641000, 0.0375564000, 0.0563497000, 0.1018846000, 0.2266396000, 0.6034124000", \ - "0.0314993000, 0.0357008000, 0.0446245000, 0.0633936000, 0.1079534000, 0.2311670000, 0.6041662000", \ - "0.0442550000, 0.0494199000, 0.0591011000, 0.0802498000, 0.1259107000, 0.2445857000, 0.6051796000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.0253206000, 0.0309505000, 0.0453124000, 0.0840904000, 0.1981125000, 0.5346188000, 1.4984400000", \ - "0.0253169000, 0.0309059000, 0.0452275000, 0.0842089000, 0.1980231000, 0.5345783000, 1.4991446000", \ - "0.0253771000, 0.0309098000, 0.0452831000, 0.0841287000, 0.1975689000, 0.5346222000, 1.5015708000", \ - "0.0252058000, 0.0308099000, 0.0451860000, 0.0840336000, 0.1981358000, 0.5349842000, 1.5002446000", \ - "0.0272096000, 0.0328656000, 0.0471015000, 0.0857665000, 0.1981287000, 0.5345854000, 1.5013679000", \ - "0.0349200000, 0.0401020000, 0.0529699000, 0.0899798000, 0.2005521000, 0.5345718000, 1.5013875000", \ - "0.0476563000, 0.0541633000, 0.0679804000, 0.1017527000, 0.2052547000, 0.5369814000, 1.4989683000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.1952670000, 0.2013923000, 0.2148667000, 0.2415676000, 0.2930641000, 0.4050159000, 0.6924280000", \ - "0.2005236000, 0.2066388000, 0.2201265000, 0.2466556000, 0.2984346000, 0.4102239000, 0.6976628000", \ - "0.2131761000, 0.2193047000, 0.2327781000, 0.2594747000, 0.3110165000, 0.4229687000, 0.7103959000", \ - "0.2422237000, 0.2483466000, 0.2617599000, 0.2884753000, 0.3401437000, 0.4520142000, 0.7394861000", \ - "0.3053430000, 0.3114874000, 0.3249372000, 0.3516054000, 0.4034962000, 0.5154310000, 0.8029870000", \ - "0.4345641000, 0.4412783000, 0.4559227000, 0.4842582000, 0.5386503000, 0.6519000000, 0.9390939000", \ - "0.6577990000, 0.6659933000, 0.6839510000, 0.7176973000, 0.7789095000, 0.9002679000, 1.1912391000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.1133300000, 0.1198841000, 0.1350255000, 0.1691332000, 0.2525376000, 0.4824574000, 1.1378284000", \ - "0.1173000000, 0.1238394000, 0.1390246000, 0.1731412000, 0.2564507000, 0.4863353000, 1.1423810000", \ - "0.1261793000, 0.1327034000, 0.1478307000, 0.1818775000, 0.2654879000, 0.4958867000, 1.1506271000", \ - "0.1464116000, 0.1529816000, 0.1681018000, 0.2021341000, 0.2854698000, 0.5164703000, 1.1739226000", \ - "0.1856065000, 0.1924777000, 0.2082634000, 0.2429254000, 0.3266944000, 0.5576706000, 1.2151973000", \ - "0.2386786000, 0.2466050000, 0.2639800000, 0.3005744000, 0.3856387000, 0.6166522000, 1.2728667000", \ - "0.2824009000, 0.2926200000, 0.3142406000, 0.3562570000, 0.4439473000, 0.6747823000, 1.3304764000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.0285752000, 0.0323887000, 0.0406634000, 0.0593965000, 0.1053801000, 0.2303470000, 0.6051148000", \ - "0.0285494000, 0.0323131000, 0.0405855000, 0.0597137000, 0.1060863000, 0.2306314000, 0.6042506000", \ - "0.0285899000, 0.0323978000, 0.0406503000, 0.0596183000, 0.1054521000, 0.2303629000, 0.6051579000", \ - "0.0287629000, 0.0321193000, 0.0407111000, 0.0601870000, 0.1059885000, 0.2304420000, 0.6052820000", \ - "0.0285155000, 0.0322083000, 0.0406498000, 0.0598011000, 0.1053190000, 0.2305161000, 0.6052979000", \ - "0.0332168000, 0.0372050000, 0.0457968000, 0.0650662000, 0.1093062000, 0.2321467000, 0.6049659000", \ - "0.0456903000, 0.0496169000, 0.0596534000, 0.0799378000, 0.1269700000, 0.2453254000, 0.6066342000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.0252895000, 0.0308437000, 0.0453104000, 0.0842396000, 0.1981608000, 0.5350069000, 1.5004440000", \ - "0.0253213000, 0.0309473000, 0.0453365000, 0.0841949000, 0.1981662000, 0.5345523000, 1.4999750000", \ - "0.0253433000, 0.0310115000, 0.0453044000, 0.0840872000, 0.1980622000, 0.5353792000, 1.5007908000", \ - "0.0252737000, 0.0309494000, 0.0452260000, 0.0841436000, 0.1979641000, 0.5344677000, 1.4990889000", \ - "0.0274538000, 0.0330409000, 0.0475350000, 0.0854872000, 0.1982378000, 0.5344288000, 1.4991115000", \ - "0.0334174000, 0.0391466000, 0.0527737000, 0.0894733000, 0.2004809000, 0.5333563000, 1.5007452000", \ - "0.0457632000, 0.0520505000, 0.0664163000, 0.1010963000, 0.2053025000, 0.5365683000, 1.4988490000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.2076204000, 0.2138073000, 0.2273505000, 0.2539209000, 0.3050925000, 0.4165625000, 0.7042597000", \ - "0.2128672000, 0.2190627000, 0.2325960000, 0.2592653000, 0.3103797000, 0.4219276000, 0.7094893000", \ - "0.2260546000, 0.2322418000, 0.2457573000, 0.2724157000, 0.3235800000, 0.4351501000, 0.7227005000", \ - "0.2553716000, 0.2615611000, 0.2751491000, 0.3015966000, 0.3531112000, 0.4644930000, 0.7519649000", \ - "0.3188152000, 0.3250065000, 0.3385462000, 0.3650950000, 0.4167682000, 0.5283958000, 0.8159854000", \ - "0.4509911000, 0.4579951000, 0.4722227000, 0.5006331000, 0.5540678000, 0.6663983000, 0.9537409000", \ - "0.6833342000, 0.6914574000, 0.7089466000, 0.7420825000, 0.8027182000, 0.9227172000, 1.2135953000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.1184532000, 0.1250305000, 0.1401820000, 0.1741626000, 0.2575176000, 0.4873524000, 1.1431343000", \ - "0.1223624000, 0.1289311000, 0.1441032000, 0.1780838000, 0.2614506000, 0.4914248000, 1.1474549000", \ - "0.1303132000, 0.1368804000, 0.1520446000, 0.1860246000, 0.2694222000, 0.4994316000, 1.1554379000", \ - "0.1468557000, 0.1534353000, 0.1685663000, 0.2025672000, 0.2860458000, 0.5164233000, 1.1725085000", \ - "0.1788929000, 0.1857381000, 0.2014573000, 0.2360816000, 0.3200493000, 0.5506546000, 1.2066762000", \ - "0.2247486000, 0.2325286000, 0.2498130000, 0.2863929000, 0.3715898000, 0.6022075000, 1.2587591000", \ - "0.2625654000, 0.2724755000, 0.2938857000, 0.3358328000, 0.4242147000, 0.6558932000, 1.3104819000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.0293322000, 0.0330435000, 0.0413825000, 0.0601306000, 0.1058844000, 0.2305529000, 0.6051898000", \ - "0.0294230000, 0.0332283000, 0.0418021000, 0.0599241000, 0.1056419000, 0.2303250000, 0.6039978000", \ - "0.0293291000, 0.0330396000, 0.0412361000, 0.0599969000, 0.1056756000, 0.2302502000, 0.6054613000", \ - "0.0292677000, 0.0329795000, 0.0417701000, 0.0600964000, 0.1062816000, 0.2303771000, 0.6054450000", \ - "0.0294531000, 0.0332083000, 0.0413036000, 0.0601966000, 0.1060471000, 0.2300603000, 0.6056124000", \ - "0.0336716000, 0.0371609000, 0.0455592000, 0.0648742000, 0.1084424000, 0.2319354000, 0.6065796000", \ - "0.0445705000, 0.0489291000, 0.0584825000, 0.0779808000, 0.1238335000, 0.2426591000, 0.6066845000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.0253208000, 0.0309720000, 0.0452290000, 0.0840527000, 0.1981372000, 0.5345784000, 1.4988464000", \ - "0.0253905000, 0.0309208000, 0.0452389000, 0.0841320000, 0.1979259000, 0.5344081000, 1.4970226000", \ - "0.0253230000, 0.0309834000, 0.0452281000, 0.0840477000, 0.1981509000, 0.5342901000, 1.4972353000", \ - "0.0252579000, 0.0308196000, 0.0452280000, 0.0841481000, 0.1982279000, 0.5341935000, 1.4983023000", \ - "0.0272929000, 0.0329066000, 0.0471437000, 0.0854789000, 0.1983364000, 0.5347231000, 1.4990111000", \ - "0.0319727000, 0.0376365000, 0.0520978000, 0.0895529000, 0.2007771000, 0.5345678000, 1.5000067000", \ - "0.0434076000, 0.0497637000, 0.0643101000, 0.1002298000, 0.2057509000, 0.5356740000, 1.4989513000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.1827449000, 0.1889132000, 0.2024692000, 0.2290738000, 0.2808849000, 0.3921436000, 0.6794715000", \ - "0.1862537000, 0.1924443000, 0.2059610000, 0.2325838000, 0.2843794000, 0.3957043000, 0.6832995000", \ - "0.1959535000, 0.2021142000, 0.2155656000, 0.2422474000, 0.2936518000, 0.4053961000, 0.6930869000", \ - "0.2228940000, 0.2291133000, 0.2427570000, 0.2692174000, 0.3209081000, 0.4325560000, 0.7201899000", \ - "0.2888421000, 0.2950250000, 0.3091090000, 0.3350847000, 0.3873752000, 0.4992962000, 0.7870768000", \ - "0.4280605000, 0.4354867000, 0.4503303000, 0.4793778000, 0.5324801000, 0.6454576000, 0.9334581000", \ - "0.6471659000, 0.6564499000, 0.6774700000, 0.7132585000, 0.7756578000, 0.8939282000, 1.1847300000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.0628009000, 0.0685243000, 0.0819224000, 0.1133206000, 0.1952598000, 0.4247981000, 1.0925620000", \ - "0.0676883000, 0.0734121000, 0.0868101000, 0.1181558000, 0.2001153000, 0.4303222000, 1.0897520000", \ - "0.0789317000, 0.0846139000, 0.0979097000, 0.1291495000, 0.2112030000, 0.4429034000, 1.1021988000", \ - "0.1026288000, 0.1084655000, 0.1218469000, 0.1530787000, 0.2349796000, 0.4663350000, 1.1258791000", \ - "0.1362781000, 0.1435056000, 0.1589088000, 0.1914859000, 0.2737311000, 0.5040657000, 1.1604480000", \ - "0.1733754000, 0.1831049000, 0.2028407000, 0.2397543000, 0.3229833000, 0.5534037000, 1.2107805000", \ - "0.1965515000, 0.2093867000, 0.2358309000, 0.2830245000, 0.3718141000, 0.6020158000, 1.2571759000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.0296501000, 0.0332988000, 0.0416476000, 0.0602593000, 0.1058821000, 0.2302101000, 0.6049380000", \ - "0.0293830000, 0.0330324000, 0.0416115000, 0.0602351000, 0.1059766000, 0.2305099000, 0.6054128000", \ - "0.0294640000, 0.0333287000, 0.0412163000, 0.0599548000, 0.1063476000, 0.2303562000, 0.6054555000", \ - "0.0292552000, 0.0328790000, 0.0413484000, 0.0605085000, 0.1057803000, 0.2304556000, 0.6055346000", \ - "0.0292504000, 0.0332881000, 0.0413142000, 0.0608576000, 0.1059989000, 0.2299984000, 0.6056236000", \ - "0.0375184000, 0.0409810000, 0.0492425000, 0.0664556000, 0.1099522000, 0.2324034000, 0.6049812000", \ - "0.0551121000, 0.0593569000, 0.0699027000, 0.0877438000, 0.1273234000, 0.2424116000, 0.6075855000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014285100, 0.0040812600, 0.0116602000, 0.0333134000, 0.0951769000, 0.2719220000"); - values("0.0203236000, 0.0253646000, 0.0386177000, 0.0773623000, 0.1938673000, 0.5325025000, 1.4958655000", \ - "0.0203234000, 0.0253630000, 0.0386458000, 0.0772613000, 0.1938094000, 0.5326808000, 1.5022390000", \ - "0.0203333000, 0.0253919000, 0.0387093000, 0.0773243000, 0.1938333000, 0.5336862000, 1.4988106000", \ - "0.0221970000, 0.0268828000, 0.0396290000, 0.0776130000, 0.1940502000, 0.5331262000, 1.5046910000", \ - "0.0296961000, 0.0341199000, 0.0453546000, 0.0808145000, 0.1945624000, 0.5316135000, 1.5031798000", \ - "0.0421919000, 0.0474152000, 0.0578302000, 0.0889993000, 0.1969231000, 0.5317708000, 1.4989816000", \ - "0.0605753000, 0.0672864000, 0.0800634000, 0.1094615000, 0.2049051000, 0.5322462000, 1.4958256000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a31o_4") { - leakage_power () { - value : 0.0049246000; - when : "!A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0068611000; - when : "!A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0049246000; - when : "!A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0069085000; - when : "!A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0049246000; - when : "!A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0068995000; - when : "!A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0049251000; - when : "!A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0079455000; - when : "!A1&A2&A3&!B1"; - } - leakage_power () { - value : 0.0049246000; - when : "A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0069080000; - when : "A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0049244000; - when : "A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0076641000; - when : "A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0049247000; - when : "A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0075432000; - when : "A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0023308000; - when : "A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0052901000; - when : "A1&A2&A3&!B1"; - } - area : 17.516800000; - cell_footprint : "sky130_fd_sc_hd__a31o"; - cell_leakage_power : 0.0058014510; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0042770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0086645000, 0.0086592000, 0.0086469000, 0.0086462000, 0.0086445000, 0.0086405000, 0.0086315000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006771600, -0.006777600, -0.006791500, -0.006776400, -0.006741800, -0.006661900, -0.006477700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044540000; - } - pin ("A2") { - capacitance : 0.0047420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045430000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087574000, 0.0087524000, 0.0087407000, 0.0087722000, 0.0088447000, 0.0090117000, 0.0093968000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007849300, -0.007845500, -0.007836700, -0.007835500, -0.007832500, -0.007825800, -0.007810200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0049410000; - } - pin ("A3") { - capacitance : 0.0049160000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0046790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0085177000, 0.0085185000, 0.0085202000, 0.0085181000, 0.0085132000, 0.0085020000, 0.0084762000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008530000, -0.008523600, -0.008509000, -0.008505500, -0.008497600, -0.008479300, -0.008437200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0051540000; - } - pin ("B1") { - capacitance : 0.0044590000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045958000, 0.0045836000, 0.0045557000, 0.0045884000, 0.0046637000, 0.0048374000, 0.0052377000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003417100, -0.003411400, -0.003398500, -0.003399100, -0.003400500, -0.003403700, -0.003411100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0048140000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2&A3) | (B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015805970, 0.0049965720, 0.0157951300, 0.0499314600, 0.1578430000, 0.4989722000"); - values("0.0280411000, 0.0263166000, 0.0213879000, 0.0072106000, -0.043310800, -0.216139400, -0.768460500", \ - "0.0277785000, 0.0261725000, 0.0211848000, 0.0070836000, -0.043460800, -0.216373800, -0.768634200", \ - "0.0274898000, 0.0259039000, 0.0209051000, 0.0065949000, -0.043959000, -0.216649400, -0.768997400", \ - "0.0270212000, 0.0252755000, 0.0202746000, 0.0060852000, -0.044431000, -0.217278900, -0.769526500", \ - "0.0264573000, 0.0249330000, 0.0197855000, 0.0054826000, -0.045225600, -0.217798500, -0.770003600", \ - "0.0256213000, 0.0238698000, 0.0186946000, 0.0049347000, -0.045582800, -0.218117500, -0.770154300", \ - "0.0348975000, 0.0329246000, 0.0270516000, 0.0094182000, -0.045352800, -0.218389700, -0.770085000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015805970, 0.0049965720, 0.0157951300, 0.0499314600, 0.1578430000, 0.4989722000"); - values("0.0339809000, 0.0358473000, 0.0418293000, 0.0600140000, 0.1160557000, 0.2902158000, 0.8367808000", \ - "0.0337656000, 0.0356130000, 0.0416106000, 0.0598185000, 0.1158669000, 0.2899506000, 0.8367097000", \ - "0.0333640000, 0.0352334000, 0.0411885000, 0.0595401000, 0.1155524000, 0.2895328000, 0.8369673000", \ - "0.0330731000, 0.0349427000, 0.0408709000, 0.0592249000, 0.1150525000, 0.2891849000, 0.8366563000", \ - "0.0331295000, 0.0349979000, 0.0407981000, 0.0587326000, 0.1140776000, 0.2885899000, 0.8353350000", \ - "0.0344102000, 0.0361582000, 0.0417169000, 0.0592520000, 0.1142055000, 0.2873641000, 0.8359845000", \ - "0.0367626000, 0.0384287000, 0.0438981000, 0.0611830000, 0.1159533000, 0.2888314000, 0.8339947000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015805970, 0.0049965720, 0.0157951300, 0.0499314600, 0.1578430000, 0.4989722000"); - values("0.0339096000, 0.0321709000, 0.0271024000, 0.0128805000, -0.037840200, -0.210556700, -0.762613300", \ - "0.0339022000, 0.0321419000, 0.0270931000, 0.0127785000, -0.037958800, -0.210793600, -0.762890900", \ - "0.0333255000, 0.0316692000, 0.0265895000, 0.0123003000, -0.038398900, -0.211122200, -0.763173500", \ - "0.0328734000, 0.0311303000, 0.0261644000, 0.0118813000, -0.038823700, -0.211631300, -0.763699200", \ - "0.0323740000, 0.0306118000, 0.0255812000, 0.0111521000, -0.039626700, -0.212227600, -0.764240700", \ - "0.0315439000, 0.0297620000, 0.0246472000, 0.0103885000, -0.040044800, -0.212628000, -0.764543200", \ - "0.0396981000, 0.0377304000, 0.0318459000, 0.0144029000, -0.040254300, -0.213454700, -0.764976600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015805970, 0.0049965720, 0.0157951300, 0.0499314600, 0.1578430000, 0.4989722000"); - values("0.0347813000, 0.0366088000, 0.0425406000, 0.0609844000, 0.1170317000, 0.2909249000, 0.8377248000", \ - "0.0346489000, 0.0365075000, 0.0423895000, 0.0607403000, 0.1168260000, 0.2905372000, 0.8378446000", \ - "0.0343198000, 0.0362212000, 0.0421803000, 0.0604492000, 0.1164609000, 0.2906395000, 0.8369966000", \ - "0.0339546000, 0.0358504000, 0.0417802000, 0.0601711000, 0.1161063000, 0.2901265000, 0.8367001000", \ - "0.0337279000, 0.0355982000, 0.0415906000, 0.0596473000, 0.1154407000, 0.2895494000, 0.8371669000", \ - "0.0347128000, 0.0364896000, 0.0421643000, 0.0599145000, 0.1149964000, 0.2883524000, 0.8358424000", \ - "0.0365974000, 0.0382678000, 0.0438093000, 0.0614390000, 0.1166182000, 0.2899135000, 0.8350688000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015805970, 0.0049965720, 0.0157951300, 0.0499314600, 0.1578430000, 0.4989722000"); - values("0.0395742000, 0.0378305000, 0.0327575000, 0.0186607000, -0.032080500, -0.204684100, -0.756532600", \ - "0.0394514000, 0.0377212000, 0.0326408000, 0.0182442000, -0.032361300, -0.204839000, -0.756824800", \ - "0.0393288000, 0.0375593000, 0.0324971000, 0.0182745000, -0.032588100, -0.205118400, -0.757032500", \ - "0.0388180000, 0.0371433000, 0.0320083000, 0.0176917000, -0.032914600, -0.205410400, -0.757337600", \ - "0.0385114000, 0.0367502000, 0.0317307000, 0.0173014000, -0.033335900, -0.205849300, -0.757665400", \ - "0.0384393000, 0.0366330000, 0.0313401000, 0.0169129000, -0.033722800, -0.206001000, -0.757749200", \ - "0.0467280000, 0.0447566000, 0.0389875000, 0.0212984000, -0.033483000, -0.205849200, -0.757470400"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015805970, 0.0049965720, 0.0157951300, 0.0499314600, 0.1578430000, 0.4989722000"); - values("0.0353609000, 0.0372175000, 0.0431950000, 0.0614318000, 0.1175002000, 0.2911568000, 0.8383628000", \ - "0.0350798000, 0.0369833000, 0.0429283000, 0.0611844000, 0.1172309000, 0.2912405000, 0.8371590000", \ - "0.0347693000, 0.0366515000, 0.0426256000, 0.0608667000, 0.1168509000, 0.2909818000, 0.8373534000", \ - "0.0343155000, 0.0361701000, 0.0421494000, 0.0605254000, 0.1164729000, 0.2904406000, 0.8374593000", \ - "0.0342233000, 0.0360701000, 0.0419397000, 0.0598753000, 0.1158949000, 0.2898058000, 0.8373250000", \ - "0.0352120000, 0.0369751000, 0.0427506000, 0.0603822000, 0.1152316000, 0.2884658000, 0.8357927000", \ - "0.0364317000, 0.0381143000, 0.0437876000, 0.0610950000, 0.1165595000, 0.2897811000, 0.8348342000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015805970, 0.0049965720, 0.0157951300, 0.0499314600, 0.1578430000, 0.4989722000"); - values("0.0375251000, 0.0359103000, 0.0308210000, 0.0165099000, -0.034072600, -0.206549500, -0.758403500", \ - "0.0372019000, 0.0355921000, 0.0305301000, 0.0162835000, -0.034281700, -0.206821500, -0.758665100", \ - "0.0371032000, 0.0352618000, 0.0301752000, 0.0159283000, -0.034670600, -0.207245400, -0.759087800", \ - "0.0365221000, 0.0347551000, 0.0297298000, 0.0153224000, -0.035330000, -0.207742500, -0.759621600", \ - "0.0370146000, 0.0352402000, 0.0301433000, 0.0156156000, -0.035409000, -0.208074600, -0.759863300", \ - "0.0369936000, 0.0350662000, 0.0297970000, 0.0163153000, -0.034712600, -0.207550100, -0.759241400", \ - "0.0483489000, 0.0462886000, 0.0401880000, 0.0222419000, -0.032710300, -0.205849300, -0.756866700"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015805970, 0.0049965720, 0.0157951300, 0.0499314600, 0.1578430000, 0.4989722000"); - values("0.0247040000, 0.0266683000, 0.0327553000, 0.0509730000, 0.1060937000, 0.2780887000, 0.8219814000", \ - "0.0246205000, 0.0265851000, 0.0326576000, 0.0508679000, 0.1060560000, 0.2780580000, 0.8221090000", \ - "0.0243506000, 0.0262915000, 0.0322836000, 0.0504152000, 0.1057500000, 0.2778998000, 0.8218820000", \ - "0.0238951000, 0.0257638000, 0.0316138000, 0.0496068000, 0.1050701000, 0.2788634000, 0.8216755000", \ - "0.0239629000, 0.0257089000, 0.0312681000, 0.0491347000, 0.1043014000, 0.2780962000, 0.8215055000", \ - "0.0249920000, 0.0267336000, 0.0322739000, 0.0498900000, 0.1044919000, 0.2772045000, 0.8250773000", \ - "0.0292002000, 0.0308000000, 0.0360573000, 0.0533901000, 0.1084017000, 0.2806824000, 0.8251881000"); - } - } - max_capacitance : 0.4989720000; - max_transition : 1.5044100000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.1833494000, 0.1872618000, 0.1973707000, 0.2201386000, 0.2675200000, 0.3753909000, 0.6701638000", \ - "0.1883310000, 0.1922445000, 0.2023598000, 0.2249734000, 0.2725459000, 0.3803836000, 0.6751742000", \ - "0.2007563000, 0.2046252000, 0.2147073000, 0.2374383000, 0.2849314000, 0.3927454000, 0.6869703000", \ - "0.2297025000, 0.2336012000, 0.2436665000, 0.2663622000, 0.3137458000, 0.4216656000, 0.7164612000", \ - "0.2930105000, 0.2969354000, 0.3070372000, 0.3296346000, 0.3774754000, 0.4850718000, 0.7796997000", \ - "0.4169481000, 0.4212500000, 0.4323452000, 0.4570735000, 0.5077408000, 0.6178046000, 0.9134348000", \ - "0.6288848000, 0.6340857000, 0.6475243000, 0.6770111000, 0.7357500000, 0.8559528000, 1.1565813000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.1032693000, 0.1076521000, 0.1193829000, 0.1484235000, 0.2243103000, 0.4507915000, 1.1613078000", \ - "0.1068967000, 0.1112966000, 0.1230179000, 0.1520557000, 0.2280080000, 0.4543325000, 1.1657778000", \ - "0.1159563000, 0.1204656000, 0.1321217000, 0.1612544000, 0.2372363000, 0.4632974000, 1.1725623000", \ - "0.1380954000, 0.1424681000, 0.1541130000, 0.1831169000, 0.2588778000, 0.4853347000, 1.1940964000", \ - "0.1778348000, 0.1823160000, 0.1941841000, 0.2235876000, 0.2997665000, 0.5264155000, 1.2378785000", \ - "0.2247665000, 0.2299395000, 0.2429677000, 0.2728652000, 0.3499118000, 0.5768760000, 1.2868240000", \ - "0.2555917000, 0.2623382000, 0.2790448000, 0.3149890000, 0.3928870000, 0.6182187000, 1.3272877000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.0270730000, 0.0295087000, 0.0359404000, 0.0521989000, 0.0933951000, 0.2106308000, 0.5952913000", \ - "0.0272812000, 0.0298085000, 0.0363013000, 0.0527591000, 0.0934679000, 0.2102509000, 0.5944583000", \ - "0.0273241000, 0.0298162000, 0.0363169000, 0.0522151000, 0.0929927000, 0.2106332000, 0.5965788000", \ - "0.0271094000, 0.0295669000, 0.0361009000, 0.0520774000, 0.0934635000, 0.2105936000, 0.5945485000", \ - "0.0273505000, 0.0298608000, 0.0360859000, 0.0520578000, 0.0929503000, 0.2107273000, 0.5962897000", \ - "0.0325377000, 0.0351072000, 0.0420028000, 0.0586278000, 0.0984365000, 0.2137125000, 0.5950033000", \ - "0.0453402000, 0.0481729000, 0.0564489000, 0.0736956000, 0.1157033000, 0.2287728000, 0.5994550000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.0249389000, 0.0286219000, 0.0390471000, 0.0697794000, 0.1669309000, 0.4866164000, 1.5043238000", \ - "0.0249282000, 0.0285712000, 0.0389704000, 0.0697635000, 0.1670469000, 0.4870765000, 1.5003362000", \ - "0.0250152000, 0.0286541000, 0.0391042000, 0.0697431000, 0.1671647000, 0.4861045000, 1.5025012000", \ - "0.0248326000, 0.0284516000, 0.0389609000, 0.0696343000, 0.1670335000, 0.4870207000, 1.5016663000", \ - "0.0268550000, 0.0303332000, 0.0407478000, 0.0711422000, 0.1679562000, 0.4872410000, 1.5025816000", \ - "0.0333429000, 0.0369732000, 0.0463552000, 0.0754150000, 0.1699463000, 0.4863454000, 1.5028336000", \ - "0.0459108000, 0.0500861000, 0.0605545000, 0.0865796000, 0.1744996000, 0.4883753000, 1.5002247000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.1934297000, 0.1973884000, 0.2075071000, 0.2299738000, 0.2764649000, 0.3826518000, 0.6767225000", \ - "0.1985138000, 0.2025102000, 0.2126132000, 0.2350654000, 0.2816709000, 0.3877504000, 0.6820738000", \ - "0.2112100000, 0.2151632000, 0.2252807000, 0.2477800000, 0.2943202000, 0.4005427000, 0.6946353000", \ - "0.2405741000, 0.2445319000, 0.2546537000, 0.2768932000, 0.3235699000, 0.4298129000, 0.7241140000", \ - "0.3036444000, 0.3075551000, 0.3176963000, 0.3401094000, 0.3869108000, 0.4930877000, 0.7873482000", \ - "0.4306900000, 0.4350402000, 0.4461490000, 0.4702726000, 0.5189828000, 0.6272308000, 0.9217174000", \ - "0.6493326000, 0.6546587000, 0.6679063000, 0.6969911000, 0.7532612000, 0.8699625000, 1.1689256000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.1104839000, 0.1148516000, 0.1265433000, 0.1556329000, 0.2317051000, 0.4580653000, 1.1665499000", \ - "0.1144325000, 0.1188312000, 0.1304600000, 0.1595735000, 0.2355852000, 0.4617112000, 1.1707537000", \ - "0.1231140000, 0.1275344000, 0.1392319000, 0.1683027000, 0.2442981000, 0.4706329000, 1.1802073000", \ - "0.1432462000, 0.1476234000, 0.1593049000, 0.1883221000, 0.2642901000, 0.4912503000, 1.1980203000", \ - "0.1814392000, 0.1860347000, 0.1981649000, 0.2278435000, 0.3040906000, 0.5302289000, 1.2390745000", \ - "0.2321132000, 0.2373289000, 0.2507103000, 0.2814281000, 0.3586864000, 0.5852989000, 1.2961568000", \ - "0.2716845000, 0.2783061000, 0.2951731000, 0.3313295000, 0.4116487000, 0.6387658000, 1.3468094000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.0276349000, 0.0300454000, 0.0363870000, 0.0516217000, 0.0918769000, 0.2087640000, 0.5957450000", \ - "0.0278691000, 0.0299469000, 0.0362397000, 0.0517770000, 0.0919799000, 0.2087683000, 0.5961041000", \ - "0.0278411000, 0.0302119000, 0.0364052000, 0.0517237000, 0.0919406000, 0.2088306000, 0.5955859000", \ - "0.0275877000, 0.0299394000, 0.0362141000, 0.0521653000, 0.0920503000, 0.2088025000, 0.5960720000", \ - "0.0275612000, 0.0300671000, 0.0362288000, 0.0517036000, 0.0917806000, 0.2088855000, 0.5948751000", \ - "0.0324840000, 0.0350780000, 0.0416903000, 0.0566814000, 0.0964153000, 0.2113215000, 0.5960099000", \ - "0.0441438000, 0.0474893000, 0.0544458000, 0.0710954000, 0.1117273000, 0.2236189000, 0.5982929000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.0249730000, 0.0286110000, 0.0391484000, 0.0696425000, 0.1673188000, 0.4876551000, 1.5028264000", \ - "0.0249810000, 0.0286209000, 0.0390190000, 0.0696782000, 0.1672818000, 0.4867307000, 1.5027274000", \ - "0.0250392000, 0.0286720000, 0.0390160000, 0.0697156000, 0.1673338000, 0.4862665000, 1.5032079000", \ - "0.0249811000, 0.0285311000, 0.0388717000, 0.0695450000, 0.1671677000, 0.4875315000, 1.5019358000", \ - "0.0267633000, 0.0304120000, 0.0410101000, 0.0710875000, 0.1677517000, 0.4867465000, 1.5014220000", \ - "0.0324268000, 0.0361450000, 0.0463802000, 0.0755039000, 0.1700865000, 0.4869983000, 1.5036261000", \ - "0.0441442000, 0.0482044000, 0.0591867000, 0.0867300000, 0.1745828000, 0.4891137000, 1.4976167000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.2050415000, 0.2090544000, 0.2192364000, 0.2415317000, 0.2879866000, 0.3938720000, 0.6881342000", \ - "0.2103147000, 0.2143097000, 0.2244792000, 0.2469471000, 0.2936731000, 0.3993013000, 0.6935111000", \ - "0.2233650000, 0.2273604000, 0.2375524000, 0.2600654000, 0.3067407000, 0.4122402000, 0.7065139000", \ - "0.2529055000, 0.2568937000, 0.2670723000, 0.2895372000, 0.3361748000, 0.4417710000, 0.7361316000", \ - "0.3150142000, 0.3190093000, 0.3292085000, 0.3515891000, 0.3981716000, 0.5043393000, 0.7984900000", \ - "0.4413874000, 0.4457327000, 0.4567415000, 0.4808593000, 0.5295010000, 0.6361733000, 0.9311195000", \ - "0.6575757000, 0.6628363000, 0.6762745000, 0.7046701000, 0.7606077000, 0.8750088000, 1.1731133000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.1157956000, 0.1201938000, 0.1319313000, 0.1609236000, 0.2368939000, 0.4628382000, 1.1717778000", \ - "0.1197348000, 0.1241437000, 0.1358525000, 0.1649420000, 0.2409357000, 0.4670164000, 1.1757448000", \ - "0.1276738000, 0.1320651000, 0.1438232000, 0.1728889000, 0.2488058000, 0.4752193000, 1.1853805000", \ - "0.1445221000, 0.1489219000, 0.1606402000, 0.1896221000, 0.2656221000, 0.4917347000, 1.2006348000", \ - "0.1770541000, 0.1816482000, 0.1937594000, 0.2232274000, 0.2995081000, 0.5254470000, 1.2345470000", \ - "0.2237077000, 0.2288302000, 0.2420337000, 0.2732815000, 0.3508515000, 0.5771760000, 1.2880241000", \ - "0.2638777000, 0.2703821000, 0.2872202000, 0.3233409000, 0.4044034000, 0.6313618000, 1.3392923000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.0282387000, 0.0305715000, 0.0369591000, 0.0518923000, 0.0913561000, 0.2082537000, 0.5951306000", \ - "0.0283662000, 0.0307317000, 0.0367844000, 0.0522494000, 0.0910493000, 0.2079923000, 0.5942145000", \ - "0.0282516000, 0.0305920000, 0.0370208000, 0.0520172000, 0.0914041000, 0.2083014000, 0.5960045000", \ - "0.0283517000, 0.0307425000, 0.0370037000, 0.0519670000, 0.0915391000, 0.2081055000, 0.5958078000", \ - "0.0282548000, 0.0305731000, 0.0367971000, 0.0517338000, 0.0915009000, 0.2079995000, 0.5950158000", \ - "0.0327888000, 0.0353205000, 0.0412153000, 0.0563430000, 0.0948583000, 0.2104345000, 0.5942615000", \ - "0.0444761000, 0.0467760000, 0.0544680000, 0.0704326000, 0.1098810000, 0.2220146000, 0.5973779000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.0249818000, 0.0286203000, 0.0390751000, 0.0696774000, 0.1672528000, 0.4865773000, 1.5025449000", \ - "0.0250525000, 0.0286844000, 0.0389660000, 0.0697416000, 0.1672636000, 0.4860614000, 1.5003084000", \ - "0.0250026000, 0.0285993000, 0.0390324000, 0.0697492000, 0.1673687000, 0.4867688000, 1.5044096000", \ - "0.0248342000, 0.0285401000, 0.0390575000, 0.0695960000, 0.1673090000, 0.4868662000, 1.5025772000", \ - "0.0266048000, 0.0302831000, 0.0408219000, 0.0709013000, 0.1679782000, 0.4866648000, 1.5016772000", \ - "0.0311461000, 0.0348358000, 0.0458939000, 0.0751681000, 0.1701208000, 0.4865454000, 1.5026471000", \ - "0.0420567000, 0.0465337000, 0.0578150000, 0.0863733000, 0.1753358000, 0.4874247000, 1.5004430000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.1758746000, 0.1798793000, 0.1900793000, 0.2126109000, 0.2593541000, 0.3651565000, 0.6595879000", \ - "0.1795033000, 0.1835115000, 0.1937268000, 0.2163369000, 0.2626740000, 0.3685778000, 0.6627803000", \ - "0.1897512000, 0.1937323000, 0.2038013000, 0.2262877000, 0.2729808000, 0.3787288000, 0.6732116000", \ - "0.2167954000, 0.2207741000, 0.2309655000, 0.2534958000, 0.3000229000, 0.4059693000, 0.7000586000", \ - "0.2836572000, 0.2876478000, 0.2977579000, 0.3200413000, 0.3665906000, 0.4725502000, 0.7667680000", \ - "0.4236710000, 0.4282956000, 0.4399378000, 0.4645681000, 0.5126270000, 0.6203420000, 0.9148099000", \ - "0.6481007000, 0.6540106000, 0.6690471000, 0.7006749000, 0.7581752000, 0.8707808000, 1.1667961000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.0584161000, 0.0622635000, 0.0726477000, 0.0988440000, 0.1710801000, 0.3947480000, 1.1020740000", \ - "0.0629925000, 0.0668372000, 0.0772094000, 0.1034049000, 0.1757316000, 0.3994332000, 1.1068083000", \ - "0.0738632000, 0.0776657000, 0.0879403000, 0.1140546000, 0.1865489000, 0.4103737000, 1.1171259000", \ - "0.0950462000, 0.0989950000, 0.1094688000, 0.1357056000, 0.2084962000, 0.4333238000, 1.1390280000", \ - "0.1229965000, 0.1278125000, 0.1398490000, 0.1677781000, 0.2411510000, 0.4664811000, 1.1721017000", \ - "0.1486526000, 0.1552623000, 0.1710029000, 0.2032490000, 0.2784924000, 0.5030115000, 1.2128459000", \ - "0.1500490000, 0.1584204000, 0.1792040000, 0.2212892000, 0.3034233000, 0.5281440000, 1.2346044000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.0284031000, 0.0308395000, 0.0367154000, 0.0520610000, 0.0908986000, 0.2083609000, 0.5955511000", \ - "0.0283925000, 0.0308125000, 0.0371862000, 0.0523053000, 0.0917372000, 0.2081758000, 0.5955585000", \ - "0.0282655000, 0.0306077000, 0.0368652000, 0.0520292000, 0.0918480000, 0.2083329000, 0.5962461000", \ - "0.0286514000, 0.0310373000, 0.0372300000, 0.0520278000, 0.0916528000, 0.2082178000, 0.5960807000", \ - "0.0284349000, 0.0307689000, 0.0370478000, 0.0520615000, 0.0918678000, 0.2082636000, 0.5952441000", \ - "0.0367527000, 0.0388135000, 0.0449158000, 0.0591544000, 0.0961787000, 0.2106071000, 0.5958961000", \ - "0.0534687000, 0.0566202000, 0.0655198000, 0.0793925000, 0.1134012000, 0.2203884000, 0.5987071000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015806000, 0.0049965700, 0.0157951000, 0.0499315000, 0.1578430000, 0.4989720000"); - values("0.0198651000, 0.0233027000, 0.0331875000, 0.0631752000, 0.1622570000, 0.4839468000, 1.4966313000", \ - "0.0198669000, 0.0233067000, 0.0331966000, 0.0631868000, 0.1622760000, 0.4838616000, 1.4952067000", \ - "0.0198919000, 0.0233406000, 0.0332518000, 0.0632394000, 0.1622558000, 0.4837928000, 1.4977233000", \ - "0.0220802000, 0.0252257000, 0.0345796000, 0.0636785000, 0.1622174000, 0.4841029000, 1.4997475000", \ - "0.0289602000, 0.0321671000, 0.0406589000, 0.0675272000, 0.1633298000, 0.4840019000, 1.4986572000", \ - "0.0416913000, 0.0450958000, 0.0538139000, 0.0768239000, 0.1664525000, 0.4820825000, 1.5008292000", \ - "0.0596911000, 0.0642470000, 0.0746897000, 0.0985182000, 0.1762623000, 0.4846276000, 1.4970420000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a31oi_1") { - leakage_power () { - value : 0.0024357000; - when : "!A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0003518000; - when : "!A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0024357000; - when : "!A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0003716000; - when : "!A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0024357000; - when : "!A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0003639000; - when : "!A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0024357000; - when : "!A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0007808000; - when : "!A1&A2&A3&!B1"; - } - leakage_power () { - value : 0.0024357000; - when : "A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0003686000; - when : "A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0024357000; - when : "A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0006717000; - when : "A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0024357000; - when : "A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0005842000; - when : "A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0002991000; - when : "A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0028949000; - when : "A1&A2&A3&!B1"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__a31oi"; - cell_leakage_power : 0.0014835190; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0022990000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046302000, 0.0046323000, 0.0046373000, 0.0046344000, 0.0046277000, 0.0046123000, 0.0045769000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003557700, -0.003558500, -0.003560200, -0.003553000, -0.003536500, -0.003498400, -0.003410600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023640000; - } - pin ("A2") { - capacitance : 0.0024260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023380000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044647000, 0.0044554000, 0.0044341000, 0.0044505000, 0.0044883000, 0.0045753000, 0.0047760000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003973400, -0.003973700, -0.003974400, -0.003973400, -0.003971200, -0.003965900, -0.003953900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025130000; - } - pin ("A3") { - capacitance : 0.0023240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039755000, 0.0039845000, 0.0040053000, 0.0040072000, 0.0040116000, 0.0040218000, 0.0040454000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004001300, -0.004000000, -0.003996800, -0.003997000, -0.003997500, -0.003998700, -0.004001500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024330000; - } - pin ("B1") { - capacitance : 0.0022850000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021220000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041461000, 0.0041378000, 0.0041187000, 0.0041344000, 0.0041706000, 0.0042541000, 0.0044464000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001723000, -0.001724800, -0.001728900, -0.001727900, -0.001725500, -0.001719900, -0.001707100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024480000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A2&!B1) | (!A3&!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0048575000, 0.0038501000, 0.0015950000, -0.003523800, -0.015130300, -0.041396800, -0.100742800", \ - "0.0047079000, 0.0037166000, 0.0014862000, -0.003623900, -0.015214000, -0.041473700, -0.100839900", \ - "0.0044972000, 0.0035109000, 0.0012917000, -0.003772800, -0.015318500, -0.041575100, -0.100912300", \ - "0.0042556000, 0.0033071000, 0.0010998000, -0.003950800, -0.015480600, -0.041669600, -0.100992600", \ - "0.0041471000, 0.0031763000, 0.0009272000, -0.004131500, -0.015611100, -0.041789700, -0.101072500", \ - "0.0045445000, 0.0035005000, 0.0011798000, -0.004017900, -0.015670300, -0.041807100, -0.101105600", \ - "0.0057292000, 0.0046669000, 0.0022447000, -0.003067900, -0.014928500, -0.041495600, -0.101076400"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0074447000, 0.0085460000, 0.0109606000, 0.0162144000, 0.0279627000, 0.0542169000, 0.1124763000", \ - "0.0072095000, 0.0083207000, 0.0107787000, 0.0160947000, 0.0277699000, 0.0541156000, 0.1124736000", \ - "0.0069624000, 0.0080551000, 0.0104799000, 0.0157748000, 0.0275142000, 0.0538848000, 0.1133765000", \ - "0.0068003000, 0.0078512000, 0.0102027000, 0.0154701000, 0.0272534000, 0.0539370000, 0.1129470000", \ - "0.0066814000, 0.0077009000, 0.0100253000, 0.0152346000, 0.0270418000, 0.0531603000, 0.1121154000", \ - "0.0066591000, 0.0076847000, 0.0099912000, 0.0152201000, 0.0268544000, 0.0532615000, 0.1126165000", \ - "0.0068288000, 0.0078109000, 0.0100112000, 0.0150597000, 0.0269197000, 0.0534036000, 0.1124058000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0052581000, 0.0042562000, 0.0019936000, -0.003135600, -0.014734800, -0.041008400, -0.100371900", \ - "0.0051505000, 0.0041418000, 0.0018806000, -0.003236200, -0.014830200, -0.041103600, -0.100445800", \ - "0.0049882000, 0.0039885000, 0.0017472000, -0.003360400, -0.014929500, -0.041177900, -0.100547400", \ - "0.0047921000, 0.0038119000, 0.0015924000, -0.003481100, -0.015032500, -0.041269900, -0.100625000", \ - "0.0046758000, 0.0036989000, 0.0014410000, -0.003617800, -0.015092100, -0.041333500, -0.100646300", \ - "0.0048531000, 0.0038441000, 0.0015712000, -0.003607900, -0.015292100, -0.041450400, -0.100698400", \ - "0.0056227000, 0.0046119000, 0.0022411000, -0.003074300, -0.014850500, -0.041354500, -0.100673800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0105044000, 0.0115336000, 0.0138467000, 0.0190123000, 0.0305938000, 0.0566576000, 0.1152978000", \ - "0.0103186000, 0.0113680000, 0.0137188000, 0.0189277000, 0.0305435000, 0.0566270000, 0.1152695000", \ - "0.0101085000, 0.0111599000, 0.0135146000, 0.0187769000, 0.0304411000, 0.0565494000, 0.1153463000", \ - "0.0098967000, 0.0109322000, 0.0132767000, 0.0185288000, 0.0302112000, 0.0564422000, 0.1151728000", \ - "0.0097351000, 0.0107572000, 0.0130666000, 0.0182637000, 0.0299149000, 0.0561248000, 0.1150260000", \ - "0.0095739000, 0.0106168000, 0.0129439000, 0.0181525000, 0.0298411000, 0.0559879000, 0.1146599000", \ - "0.0094617000, 0.0104363000, 0.0126913000, 0.0178776000, 0.0296944000, 0.0557886000, 0.1148053000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0050386000, 0.0040365000, 0.0017772000, -0.003356600, -0.014961000, -0.041240200, -0.100599200", \ - "0.0049207000, 0.0039218000, 0.0016614000, -0.003469200, -0.015061700, -0.041322500, -0.100686700", \ - "0.0047737000, 0.0037727000, 0.0015255000, -0.003572900, -0.015152300, -0.041416800, -0.100787100", \ - "0.0045924000, 0.0036100000, 0.0013765000, -0.003697800, -0.015257200, -0.041494100, -0.100821700", \ - "0.0045155000, 0.0035200000, 0.0012760000, -0.003797200, -0.015349400, -0.041566200, -0.100899000", \ - "0.0046933000, 0.0037256000, 0.0014366000, -0.003753500, -0.015472400, -0.041637300, -0.100925600", \ - "0.0054709000, 0.0044180000, 0.0020926000, -0.003165700, -0.014950800, -0.041467100, -0.100846800"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0133282000, 0.0143469000, 0.0166684000, 0.0218295000, 0.0334432000, 0.0595016000, 0.1182224000", \ - "0.0132051000, 0.0142617000, 0.0165574000, 0.0217422000, 0.0333834000, 0.0594335000, 0.1181608000", \ - "0.0130676000, 0.0140838000, 0.0164288000, 0.0216129000, 0.0332383000, 0.0593470000, 0.1181324000", \ - "0.0129267000, 0.0139546000, 0.0162898000, 0.0215098000, 0.0331737000, 0.0592686000, 0.1180301000", \ - "0.0128406000, 0.0138727000, 0.0161508000, 0.0213461000, 0.0329978000, 0.0591425000, 0.1179213000", \ - "0.0127488000, 0.0137703000, 0.0161060000, 0.0213306000, 0.0330275000, 0.0591750000, 0.1178307000", \ - "0.0129726000, 0.0139800000, 0.0161957000, 0.0212520000, 0.0331883000, 0.0593089000, 0.1181791000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0001940000, -0.000745300, -0.002937800, -0.008029400, -0.019662800, -0.045979500, -0.105424900", \ - "4.980000e-05, -0.000862000, -0.003011200, -0.008044300, -0.019609600, -0.045908500, -0.105328300", \ - "-0.000161200, -0.001057900, -0.003153800, -0.008131800, -0.019639400, -0.045872300, -0.105268100", \ - "-0.000422800, -0.001333900, -0.003419500, -0.008349300, -0.019786700, -0.045937400, -0.105277800", \ - "-0.000216000, -0.001204400, -0.003398800, -0.008496400, -0.019922200, -0.046080300, -0.105362100", \ - "0.0002793000, -0.000789000, -0.003121800, -0.008179700, -0.020021000, -0.046049600, -0.105349700", \ - "0.0020561000, 0.0008724000, -0.001695800, -0.007086600, -0.019082000, -0.045497100, -0.105305000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0106109000, 0.0116544000, 0.0140461000, 0.0192794000, 0.0310038000, 0.0571238000, 0.1158343000", \ - "0.0103885000, 0.0114831000, 0.0138224000, 0.0191073000, 0.0308986000, 0.0570233000, 0.1157900000", \ - "0.0101478000, 0.0112103000, 0.0135310000, 0.0188488000, 0.0306817000, 0.0569193000, 0.1157519000", \ - "0.0100599000, 0.0110996000, 0.0133713000, 0.0186215000, 0.0303849000, 0.0566666000, 0.1155888000", \ - "0.0100122000, 0.0110199000, 0.0133179000, 0.0184996000, 0.0301820000, 0.0563213000, 0.1153170000", \ - "0.0104560000, 0.0114401000, 0.0136688000, 0.0187372000, 0.0302281000, 0.0562308000, 0.1149740000", \ - "0.0125951000, 0.0136918000, 0.0150305000, 0.0213537000, 0.0317599000, 0.0572466000, 0.1153903000"); - } - } - max_capacitance : 0.0659280000; - max_transition : 1.4930320000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0378727000, 0.0426639000, 0.0531889000, 0.0757871000, 0.1243397000, 0.2323774000, 0.4737301000", \ - "0.0413618000, 0.0462801000, 0.0568261000, 0.0792912000, 0.1280167000, 0.2359870000, 0.4781243000", \ - "0.0504667000, 0.0553040000, 0.0658439000, 0.0884170000, 0.1374280000, 0.2453812000, 0.4874620000", \ - "0.0702364000, 0.0757636000, 0.0881464000, 0.1109384000, 0.1598135000, 0.2679122000, 0.5093467000", \ - "0.0929778000, 0.1017745000, 0.1195651000, 0.1527424000, 0.2111890000, 0.3197584000, 0.5614312000", \ - "0.1118195000, 0.1249750000, 0.1514090000, 0.2009871000, 0.2888195000, 0.4320461000, 0.6803142000", \ - "0.1018308000, 0.1217189000, 0.1608224000, 0.2373249000, 0.3704348000, 0.5884229000, 0.9369858000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0745366000, 0.0844091000, 0.1056786000, 0.1520636000, 0.2553117000, 0.4874864000, 1.0015456000", \ - "0.0789484000, 0.0887694000, 0.1103170000, 0.1572039000, 0.2614229000, 0.4964756000, 1.0082489000", \ - "0.0913259000, 0.1008260000, 0.1223358000, 0.1691824000, 0.2738779000, 0.5087046000, 1.0308722000", \ - "0.1203027000, 0.1297836000, 0.1507067000, 0.1974807000, 0.3011466000, 0.5355577000, 1.0560687000", \ - "0.1736584000, 0.1859364000, 0.2111824000, 0.2603199000, 0.3640539000, 0.5975310000, 1.1158470000", \ - "0.2582014000, 0.2773756000, 0.3147497000, 0.3830121000, 0.5064347000, 0.7408232000, 1.2661490000", \ - "0.3900017000, 0.4208301000, 0.4808997000, 0.5881194000, 0.7654230000, 1.0678005000, 1.6008015000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0353066000, 0.0407895000, 0.0532129000, 0.0814766000, 0.1447502000, 0.2879651000, 0.6099952000", \ - "0.0350682000, 0.0406220000, 0.0532302000, 0.0814258000, 0.1448403000, 0.2880577000, 0.6113243000", \ - "0.0348578000, 0.0405096000, 0.0526535000, 0.0811441000, 0.1447201000, 0.2885344000, 0.6116116000", \ - "0.0449254000, 0.0510226000, 0.0610376000, 0.0851855000, 0.1450817000, 0.2879682000, 0.6111642000", \ - "0.0672927000, 0.0744989000, 0.0882001000, 0.1157378000, 0.1666756000, 0.2931532000, 0.6105472000", \ - "0.1076861000, 0.1181775000, 0.1386392000, 0.1763251000, 0.2417921000, 0.3581425000, 0.6304436000", \ - "0.1782922000, 0.1948078000, 0.2290662000, 0.2833083000, 0.3752914000, 0.5341649000, 0.7928446000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0492712000, 0.0614271000, 0.0887711000, 0.1511295000, 0.2915366000, 0.6117107000, 1.3096066000", \ - "0.0491756000, 0.0614743000, 0.0888034000, 0.1510484000, 0.2906627000, 0.6079774000, 1.3125441000", \ - "0.0494031000, 0.0615100000, 0.0888437000, 0.1505497000, 0.2897216000, 0.6050784000, 1.3240510000", \ - "0.0511087000, 0.0623875000, 0.0892065000, 0.1508074000, 0.2901809000, 0.6092296000, 1.3137993000", \ - "0.0691382000, 0.0803232000, 0.1031161000, 0.1577421000, 0.2912007000, 0.6043102000, 1.3094937000", \ - "0.1101107000, 0.1229082000, 0.1502115000, 0.2060311000, 0.3209326000, 0.6108278000, 1.3196484000", \ - "0.1958590000, 0.2125171000, 0.2473129000, 0.3144203000, 0.4424596000, 0.7037738000, 1.3335933000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0451720000, 0.0501147000, 0.0605230000, 0.0829548000, 0.1316200000, 0.2395004000, 0.4816105000", \ - "0.0492550000, 0.0540396000, 0.0645909000, 0.0868882000, 0.1356716000, 0.2435935000, 0.4850749000", \ - "0.0581510000, 0.0629856000, 0.0734701000, 0.0959328000, 0.1447975000, 0.2527769000, 0.4942972000", \ - "0.0765893000, 0.0820590000, 0.0936692000, 0.1167871000, 0.1659565000, 0.2740740000, 0.5158708000", \ - "0.1022696000, 0.1103035000, 0.1258264000, 0.1562286000, 0.2127762000, 0.3229077000, 0.5655448000", \ - "0.1267734000, 0.1388469000, 0.1631107000, 0.2085488000, 0.2893483000, 0.4258944000, 0.6784608000", \ - "0.1258007000, 0.1444881000, 0.1828433000, 0.2543967000, 0.3779522000, 0.5823707000, 0.9075063000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1022718000, 0.1128218000, 0.1356714000, 0.1865906000, 0.3002021000, 0.5555301000, 1.1306988000", \ - "0.1067194000, 0.1174487000, 0.1404984000, 0.1918376000, 0.3058678000, 0.5611773000, 1.1359765000", \ - "0.1189296000, 0.1293216000, 0.1526590000, 0.2043038000, 0.3188925000, 0.5748364000, 1.1498870000", \ - "0.1470825000, 0.1574013000, 0.1804664000, 0.2320723000, 0.3469085000, 0.6034073000, 1.1790202000", \ - "0.2040384000, 0.2157504000, 0.2406162000, 0.2923437000, 0.4068843000, 0.6637401000, 1.2401362000", \ - "0.3012489000, 0.3178172000, 0.3506624000, 0.4171514000, 0.5439737000, 0.8008150000, 1.3788513000", \ - "0.4586946000, 0.4843455000, 0.5359129000, 0.6323647000, 0.8035834000, 1.1109780000, 1.6965458000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0350468000, 0.0405830000, 0.0531072000, 0.0813994000, 0.1449217000, 0.2882656000, 0.6106278000", \ - "0.0349415000, 0.0405850000, 0.0531425000, 0.0813221000, 0.1448603000, 0.2883702000, 0.6101298000", \ - "0.0350820000, 0.0405863000, 0.0529515000, 0.0811325000, 0.1448448000, 0.2882448000, 0.6106248000", \ - "0.0423203000, 0.0474268000, 0.0580573000, 0.0837754000, 0.1450922000, 0.2881845000, 0.6108742000", \ - "0.0615040000, 0.0674027000, 0.0798364000, 0.1051312000, 0.1587619000, 0.2917492000, 0.6101821000", \ - "0.1000440000, 0.1080380000, 0.1246434000, 0.1572118000, 0.2168642000, 0.3348037000, 0.6242613000", \ - "0.1692431000, 0.1811687000, 0.2062824000, 0.2526251000, 0.3336668000, 0.4741887000, 0.7375779000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0727070000, 0.0864534000, 0.1169614000, 0.1860025000, 0.3415814000, 0.6903667000, 1.4804625000", \ - "0.0727784000, 0.0864633000, 0.1170315000, 0.1860031000, 0.3410226000, 0.6894333000, 1.4751703000", \ - "0.0728064000, 0.0864363000, 0.1170130000, 0.1861037000, 0.3416011000, 0.6891207000, 1.4758972000", \ - "0.0730922000, 0.0865245000, 0.1170884000, 0.1860795000, 0.3407884000, 0.6902640000, 1.4749287000", \ - "0.0865443000, 0.0986928000, 0.1259222000, 0.1901462000, 0.3410140000, 0.6922542000, 1.4796082000", \ - "0.1244909000, 0.1382502000, 0.1675244000, 0.2296527000, 0.3638743000, 0.6926162000, 1.4808886000", \ - "0.2086293000, 0.2259133000, 0.2615695000, 0.3328414000, 0.4735319000, 0.7659134000, 1.4930318000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0482910000, 0.0532109000, 0.0636221000, 0.0860688000, 0.1348342000, 0.2427032000, 0.4844560000", \ - "0.0524105000, 0.0572593000, 0.0677430000, 0.0901419000, 0.1389741000, 0.2468750000, 0.4884050000", \ - "0.0607661000, 0.0656894000, 0.0761763000, 0.0986353000, 0.1474696000, 0.2555169000, 0.4969429000", \ - "0.0773502000, 0.0824367000, 0.0935835000, 0.1164991000, 0.1654378000, 0.2736298000, 0.5156156000", \ - "0.1026623000, 0.1095950000, 0.1230820000, 0.1505541000, 0.2049659000, 0.3144109000, 0.5566825000", \ - "0.1304985000, 0.1405685000, 0.1609696000, 0.2013303000, 0.2720058000, 0.4014081000, 0.6522026000", \ - "0.1399980000, 0.1559438000, 0.1890701000, 0.2509187000, 0.3603359000, 0.5391577000, 0.8420571000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1067420000, 0.1161952000, 0.1378286000, 0.1850134000, 0.2904207000, 0.5262297000, 1.0563411000", \ - "0.1116382000, 0.1215255000, 0.1430993000, 0.1906522000, 0.2958569000, 0.5319061000, 1.0622212000", \ - "0.1247764000, 0.1343877000, 0.1559836000, 0.2035842000, 0.3093718000, 0.5451529000, 1.0749103000", \ - "0.1515911000, 0.1615371000, 0.1830810000, 0.2306312000, 0.3363142000, 0.5725920000, 1.1029823000", \ - "0.2059093000, 0.2171774000, 0.2401946000, 0.2878824000, 0.3935738000, 0.6298991000, 1.1601498000", \ - "0.2997492000, 0.3137070000, 0.3431569000, 0.4034295000, 0.5211296000, 0.7593891000, 1.2906427000", \ - "0.4492663000, 0.4709248000, 0.5145900000, 0.5999393000, 0.7602763000, 1.0457377000, 1.5890412000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0349439000, 0.0405124000, 0.0531272000, 0.0812989000, 0.1449348000, 0.2878140000, 0.6111538000", \ - "0.0348025000, 0.0404936000, 0.0530268000, 0.0813724000, 0.1449385000, 0.2884240000, 0.6103477000", \ - "0.0350262000, 0.0404193000, 0.0530075000, 0.0812742000, 0.1447705000, 0.2878316000, 0.6119166000", \ - "0.0395937000, 0.0446178000, 0.0560518000, 0.0828269000, 0.1450164000, 0.2878539000, 0.6111442000", \ - "0.0547451000, 0.0602503000, 0.0724896000, 0.0979750000, 0.1545550000, 0.2906122000, 0.6114363000", \ - "0.0894668000, 0.0968370000, 0.1114878000, 0.1404619000, 0.1977266000, 0.3235530000, 0.6208484000", \ - "0.1561145000, 0.1667931000, 0.1870070000, 0.2259065000, 0.2978826000, 0.4320004000, 0.7095801000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0803636000, 0.0930180000, 0.1214322000, 0.1851695000, 0.3285814000, 0.6516920000, 1.3790930000", \ - "0.0803296000, 0.0930058000, 0.1214981000, 0.1856070000, 0.3289057000, 0.6507316000, 1.3784653000", \ - "0.0803039000, 0.0929893000, 0.1213757000, 0.1851409000, 0.3285521000, 0.6501550000, 1.3769118000", \ - "0.0803321000, 0.0930789000, 0.1214913000, 0.1854450000, 0.3289420000, 0.6504497000, 1.3758177000", \ - "0.0926599000, 0.1037787000, 0.1296821000, 0.1894315000, 0.3288698000, 0.6510746000, 1.3781667000", \ - "0.1293889000, 0.1420513000, 0.1698046000, 0.2280150000, 0.3534464000, 0.6571620000, 1.3775185000", \ - "0.2105201000, 0.2260680000, 0.2598899000, 0.3265750000, 0.4622100000, 0.7362915000, 1.4002204000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0162661000, 0.0184681000, 0.0233374000, 0.0339101000, 0.0574340000, 0.1103052000, 0.2292388000", \ - "0.0209533000, 0.0232270000, 0.0281437000, 0.0386722000, 0.0623292000, 0.1152228000, 0.2342302000", \ - "0.0288437000, 0.0321345000, 0.0386319000, 0.0501325000, 0.0735259000, 0.1264934000, 0.2455063000", \ - "0.0375491000, 0.0429966000, 0.0534998000, 0.0713180000, 0.1001089000, 0.1529936000, 0.2707184000", \ - "0.0442047000, 0.0528616000, 0.0690829000, 0.0977788000, 0.1427652000, 0.2132203000, 0.3328298000", \ - "0.0399215000, 0.0534286000, 0.0792417000, 0.1233787000, 0.1960328000, 0.3040733000, 0.4684660000", \ - "-0.000617700, 0.0211681000, 0.0612476000, 0.1314908000, 0.2448462000, 0.4177132000, 0.6747672000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0868784000, 0.0970621000, 0.1189161000, 0.1667953000, 0.2727664000, 0.5090702000, 1.0392847000", \ - "0.0903231000, 0.1002248000, 0.1218885000, 0.1703140000, 0.2767379000, 0.5133781000, 1.0440240000", \ - "0.0998490000, 0.1100033000, 0.1317183000, 0.1800647000, 0.2869821000, 0.5243096000, 1.0558431000", \ - "0.1275579000, 0.1374782000, 0.1583156000, 0.2059299000, 0.3122852000, 0.5496074000, 1.0816076000", \ - "0.1902940000, 0.2016563000, 0.2254372000, 0.2726659000, 0.3778934000, 0.6133919000, 1.1461952000", \ - "0.2918856000, 0.3089789000, 0.3443243000, 0.4119170000, 0.5324829000, 0.7665464000, 1.2958103000", \ - "0.4530502000, 0.4764500000, 0.5303235000, 0.6325723000, 0.8170156000, 1.1189447000, 1.6424568000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0132676000, 0.0163363000, 0.0228827000, 0.0368678000, 0.0677984000, 0.1373919000, 0.2950742000", \ - "0.0140146000, 0.0167518000, 0.0229258000, 0.0368724000, 0.0678328000, 0.1373745000, 0.2953384000", \ - "0.0215074000, 0.0233172000, 0.0275708000, 0.0388675000, 0.0678875000, 0.1373418000, 0.2951514000", \ - "0.0365074000, 0.0388326000, 0.0440298000, 0.0542922000, 0.0766540000, 0.1386210000, 0.2949382000", \ - "0.0628433000, 0.0664004000, 0.0740463000, 0.0878507000, 0.1144524000, 0.1641246000, 0.2992316000", \ - "0.1097230000, 0.1149740000, 0.1259297000, 0.1490551000, 0.1866477000, 0.2550993000, 0.3636254000", \ - "0.1949487000, 0.2036187000, 0.2204874000, 0.2527992000, 0.3112928000, 0.4099863000, 0.5632628000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0804123000, 0.0928519000, 0.1216244000, 0.1850273000, 0.3287054000, 0.6520252000, 1.3800847000", \ - "0.0802919000, 0.0929689000, 0.1212814000, 0.1850261000, 0.3288743000, 0.6501680000, 1.3767986000", \ - "0.0801169000, 0.0928659000, 0.1213751000, 0.1853380000, 0.3286137000, 0.6512136000, 1.3812305000", \ - "0.0809714000, 0.0933724000, 0.1208623000, 0.1852513000, 0.3287018000, 0.6504685000, 1.3762169000", \ - "0.1069773000, 0.1164817000, 0.1386339000, 0.1944035000, 0.3291927000, 0.6508163000, 1.3778632000", \ - "0.1571214000, 0.1731099000, 0.2040646000, 0.2620175000, 0.3729564000, 0.6589590000, 1.3767543000", \ - "0.2390592000, 0.2622752000, 0.3113963000, 0.3945715000, 0.5420259000, 0.7830692000, 1.4100728000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a31oi_2") { - leakage_power () { - value : 0.0027951000; - when : "!A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0008266000; - when : "!A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0027951000; - when : "!A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0008558000; - when : "!A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0027951000; - when : "!A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0008588000; - when : "!A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0027951000; - when : "!A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0015629000; - when : "!A1&A2&A3&!B1"; - } - leakage_power () { - value : 0.0027951000; - when : "A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0008723000; - when : "A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0027951000; - when : "A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0013812000; - when : "A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0027951000; - when : "A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0013766000; - when : "A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0003247000; - when : "A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0031468000; - when : "A1&A2&A3&!B1"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__a31oi"; - cell_leakage_power : 0.0019232090; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0044510000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043400000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0094098000, 0.0094125000, 0.0094188000, 0.0094151000, 0.0094066000, 0.0093870000, 0.0093419000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007150000, -0.007152300, -0.007157600, -0.007143600, -0.007111300, -0.007036900, -0.006865400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045620000; - } - pin ("A2") { - capacitance : 0.0043430000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0084257000, 0.0084129000, 0.0083835000, 0.0084147000, 0.0084868000, 0.0086530000, 0.0090360000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007789300, -0.007789100, -0.007788500, -0.007786500, -0.007781900, -0.007771200, -0.007746600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045190000; - } - pin ("A3") { - capacitance : 0.0044070000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041590000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078495000, 0.0078554000, 0.0078692000, 0.0078732000, 0.0078825000, 0.0079038000, 0.0079530000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007877400, -0.007877800, -0.007878900, -0.007877400, -0.007873900, -0.007865800, -0.007847200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046550000; - } - pin ("B1") { - capacitance : 0.0043920000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0049663000, 0.0049504000, 0.0049138000, 0.0049500000, 0.0050336000, 0.0052262000, 0.0056701000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003516500, -0.003517900, -0.003521300, -0.003521000, -0.003520200, -0.003518500, -0.003514600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047080000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A2&!B1) | (!A3&!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012431530, 0.0030908590, 0.0076848220, 0.0191068200, 0.0475054100, 0.1181130000"); - values("0.0098799000, 0.0086903000, 0.0057383000, -0.001544200, -0.019906600, -0.065693200, -0.179911100", \ - "0.0096331000, 0.0084551000, 0.0055546000, -0.001751900, -0.020102400, -0.065903800, -0.180093900", \ - "0.0091989000, 0.0080217000, 0.0051502000, -0.002093800, -0.020369800, -0.066073300, -0.180167700", \ - "0.0086756000, 0.0075326000, 0.0046464000, -0.002508200, -0.020709100, -0.066324800, -0.180400500", \ - "0.0082564000, 0.0071271000, 0.0043263000, -0.002888400, -0.021035700, -0.066569200, -0.180537200", \ - "0.0090202000, 0.0076992000, 0.0047001000, -0.003017300, -0.021131100, -0.066671100, -0.180673700", \ - "0.0107110000, 0.0093999000, 0.0062350000, -0.001331400, -0.020191000, -0.066530400, -0.180813800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012431530, 0.0030908590, 0.0076848220, 0.0191068200, 0.0475054100, 0.1181130000"); - values("0.0151280000, 0.0164596000, 0.0196623000, 0.0273473000, 0.0457473000, 0.0912960000, 0.2042296000", \ - "0.0146648000, 0.0160109000, 0.0192598000, 0.0269821000, 0.0456307000, 0.0912841000, 0.2043027000", \ - "0.0141442000, 0.0154436000, 0.0186976000, 0.0265156000, 0.0452746000, 0.0913990000, 0.2042526000", \ - "0.0137499000, 0.0150188000, 0.0181827000, 0.0258088000, 0.0446727000, 0.0907269000, 0.2040701000", \ - "0.0134945000, 0.0147407000, 0.0177767000, 0.0253208000, 0.0441100000, 0.0906237000, 0.2043750000", \ - "0.0134082000, 0.0146610000, 0.0176931000, 0.0252506000, 0.0437472000, 0.0898241000, 0.2042141000", \ - "0.0133907000, 0.0144573000, 0.0174570000, 0.0247172000, 0.0436857000, 0.0896745000, 0.2035164000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012431530, 0.0030908590, 0.0076848220, 0.0191068200, 0.0475054100, 0.1181130000"); - values("0.0107967000, 0.0096117000, 0.0066646000, -0.000694300, -0.019027300, -0.064854300, -0.179005000", \ - "0.0105867000, 0.0093977000, 0.0064486000, -0.000885400, -0.019209000, -0.065025900, -0.179150300", \ - "0.0102467000, 0.0090734000, 0.0061675000, -0.001138400, -0.019431800, -0.065183300, -0.179331300", \ - "0.0098133000, 0.0086622000, 0.0057888000, -0.001468600, -0.019714400, -0.065377400, -0.179447000", \ - "0.0094680000, 0.0082915000, 0.0054430000, -0.001759600, -0.019909500, -0.065538400, -0.179559200", \ - "0.0096648000, 0.0084802000, 0.0054999000, -0.001886700, -0.020350400, -0.065862700, -0.179804400", \ - "0.0107866000, 0.0095500000, 0.0065401000, -0.000988700, -0.019639100, -0.065856700, -0.179814200"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012431530, 0.0030908590, 0.0076848220, 0.0191068200, 0.0475054100, 0.1181130000"); - values("0.0214001000, 0.0225943000, 0.0256062000, 0.0330372000, 0.0514638000, 0.0968632000, 0.2096911000", \ - "0.0211438000, 0.0223414000, 0.0253867000, 0.0328861000, 0.0513983000, 0.0968656000, 0.2097353000", \ - "0.0207248000, 0.0219689000, 0.0250418000, 0.0326138000, 0.0511642000, 0.0967639000, 0.2097393000", \ - "0.0203536000, 0.0215459000, 0.0245744000, 0.0321616000, 0.0507498000, 0.0965342000, 0.2095595000", \ - "0.0199379000, 0.0211690000, 0.0241971000, 0.0317107000, 0.0502033000, 0.0959978000, 0.2093558000", \ - "0.0196921000, 0.0209174000, 0.0239524000, 0.0314610000, 0.0499435000, 0.0955724000, 0.2086669000", \ - "0.0190553000, 0.0202163000, 0.0231896000, 0.0306716000, 0.0495243000, 0.0954283000, 0.2086831000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012431530, 0.0030908590, 0.0076848220, 0.0191068200, 0.0475054100, 0.1181130000"); - values("0.0107518000, 0.0095693000, 0.0066295000, -0.000719900, -0.019074200, -0.064847700, -0.179067700", \ - "0.0105257000, 0.0093461000, 0.0063907000, -0.000951700, -0.019293700, -0.065040600, -0.179230900", \ - "0.0101705000, 0.0090024000, 0.0060905000, -0.001205600, -0.019517000, -0.065252900, -0.179445800", \ - "0.0097143000, 0.0085546000, 0.0056344000, -0.001596200, -0.019769400, -0.065445400, -0.179566700", \ - "0.0093286000, 0.0081818000, 0.0053012000, -0.001889400, -0.020008300, -0.065609400, -0.179650400", \ - "0.0095460000, 0.0083293000, 0.0053971000, -0.001923200, -0.020501100, -0.065947200, -0.179854300", \ - "0.0106948000, 0.0094216000, 0.0064352000, -0.001097100, -0.019718700, -0.065936200, -0.179824500"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012431530, 0.0030908590, 0.0076848220, 0.0191068200, 0.0475054100, 0.1181130000"); - values("0.0278990000, 0.0291234000, 0.0321141000, 0.0395739000, 0.0579984000, 0.1036207000, 0.2166322000", \ - "0.0276298000, 0.0288626000, 0.0318611000, 0.0393429000, 0.0577906000, 0.1034004000, 0.2164345000", \ - "0.0273012000, 0.0284929000, 0.0315694000, 0.0391145000, 0.0576334000, 0.1032332000, 0.2162572000", \ - "0.0269555000, 0.0282015000, 0.0312267000, 0.0387713000, 0.0573218000, 0.1030778000, 0.2161716000", \ - "0.0267133000, 0.0279199000, 0.0309500000, 0.0384838000, 0.0570225000, 0.1028799000, 0.2159619000", \ - "0.0265779000, 0.0278346000, 0.0309274000, 0.0384706000, 0.0570073000, 0.1027723000, 0.2158181000", \ - "0.0265091000, 0.0276404000, 0.0305824000, 0.0381250000, 0.0570330000, 0.1028396000, 0.2161931000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012431530, 0.0030908590, 0.0076848220, 0.0191068200, 0.0475054100, 0.1181130000"); - values("-0.000295900, -0.001377500, -0.004183200, -0.011441000, -0.029814200, -0.075753700, -0.190101000", \ - "-0.000617300, -0.001661300, -0.004387000, -0.011492800, -0.029745900, -0.075612100, -0.189916400", \ - "-0.001008000, -0.002040700, -0.004701500, -0.011710300, -0.029778700, -0.075530200, -0.189768400", \ - "-0.001375400, -0.002363600, -0.005193200, -0.012111400, -0.030070800, -0.075602900, -0.189728900", \ - "-0.000944100, -0.002117600, -0.004988500, -0.012122800, -0.030403700, -0.075815900, -0.189816500", \ - "-0.000111800, -0.001472100, -0.004550800, -0.011872500, -0.029994700, -0.075964000, -0.189979900", \ - "0.0031092000, 0.0017263000, -0.001652900, -0.009599400, -0.028667800, -0.074318100, -0.189503300"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012431530, 0.0030908590, 0.0076848220, 0.0191068200, 0.0475054100, 0.1181130000"); - values("0.0251162000, 0.0264515000, 0.0295459000, 0.0372352000, 0.0558975000, 0.1015963000, 0.2146825000", \ - "0.0247741000, 0.0260065000, 0.0291507000, 0.0368679000, 0.0556773000, 0.1015928000, 0.2146177000", \ - "0.0243392000, 0.0256134000, 0.0287105000, 0.0363821000, 0.0551817000, 0.1011823000, 0.2144933000", \ - "0.0240151000, 0.0252548000, 0.0282481000, 0.0358592000, 0.0545944000, 0.1007613000, 0.2141288000", \ - "0.0238519000, 0.0250303000, 0.0280653000, 0.0355753000, 0.0541417000, 0.1000092000, 0.2135297000", \ - "0.0250787000, 0.0261998000, 0.0291214000, 0.0365441000, 0.0549510000, 0.0997917000, 0.2127271000", \ - "0.0278534000, 0.0289992000, 0.0316533000, 0.0383481000, 0.0559975000, 0.1007906000, 0.2127658000"); - } - } - max_capacitance : 0.1181130000; - max_transition : 1.4952570000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.0437825000, 0.0475475000, 0.0563683000, 0.0771605000, 0.1260529000, 0.2438415000, 0.5332076000", \ - "0.0472867000, 0.0510624000, 0.0600217000, 0.0809472000, 0.1296385000, 0.2477874000, 0.5371041000", \ - "0.0562562000, 0.0600454000, 0.0690206000, 0.0900067000, 0.1391126000, 0.2570586000, 0.5469041000", \ - "0.0780847000, 0.0822746000, 0.0918173000, 0.1126025000, 0.1617254000, 0.2798674000, 0.5687148000", \ - "0.1056485000, 0.1118654000, 0.1257022000, 0.1558597000, 0.2142027000, 0.3326056000, 0.6211858000", \ - "0.1299914000, 0.1393014000, 0.1603713000, 0.2060020000, 0.2919030000, 0.4494630000, 0.7455627000", \ - "0.1285278000, 0.1424212000, 0.1733546000, 0.2421298000, 0.3762751000, 0.6150253000, 1.0164897000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.0811107000, 0.0876962000, 0.1037586000, 0.1419459000, 0.2334235000, 0.4594151000, 1.0191952000", \ - "0.0851469000, 0.0918385000, 0.1081451000, 0.1466303000, 0.2389881000, 0.4654094000, 1.0256389000", \ - "0.0973830000, 0.1040645000, 0.1201072000, 0.1592391000, 0.2521738000, 0.4810243000, 1.0401739000", \ - "0.1275798000, 0.1339421000, 0.1494874000, 0.1879548000, 0.2813753000, 0.5096445000, 1.0712580000", \ - "0.1845705000, 0.1926092000, 0.2111745000, 0.2520301000, 0.3451914000, 0.5752782000, 1.1368783000", \ - "0.2767551000, 0.2889379000, 0.3160762000, 0.3731505000, 0.4859095000, 0.7192901000, 1.2874336000", \ - "0.4192404000, 0.4390803000, 0.4850920000, 0.5754909000, 0.7427775000, 1.0431163000, 1.6224860000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.0434670000, 0.0474350000, 0.0574800000, 0.0828449000, 0.1456033000, 0.3035531000, 0.6961279000", \ - "0.0432480000, 0.0471879000, 0.0573241000, 0.0827530000, 0.1459242000, 0.3034411000, 0.6964533000", \ - "0.0420014000, 0.0459185000, 0.0564229000, 0.0823896000, 0.1458708000, 0.3033531000, 0.6959283000", \ - "0.0510973000, 0.0545225000, 0.0630255000, 0.0852800000, 0.1458659000, 0.3030601000, 0.6960523000", \ - "0.0716376000, 0.0769936000, 0.0892497000, 0.1153558000, 0.1663500000, 0.3068157000, 0.6958849000", \ - "0.1138361000, 0.1218365000, 0.1386365000, 0.1729964000, 0.2423219000, 0.3691671000, 0.7072549000", \ - "0.1863940000, 0.1982003000, 0.2249184000, 0.2776813000, 0.3744375000, 0.5440797000, 0.8600605000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.0477130000, 0.0559325000, 0.0763447000, 0.1268999000, 0.2512880000, 0.5609587000, 1.3320217000", \ - "0.0479342000, 0.0560943000, 0.0764465000, 0.1268952000, 0.2514159000, 0.5599007000, 1.3321869000", \ - "0.0480465000, 0.0561950000, 0.0763990000, 0.1269975000, 0.2512955000, 0.5623801000, 1.3260297000", \ - "0.0489565000, 0.0568268000, 0.0768644000, 0.1269841000, 0.2511498000, 0.5611802000, 1.3268856000", \ - "0.0642234000, 0.0720215000, 0.0892123000, 0.1341713000, 0.2527009000, 0.5641815000, 1.3312518000", \ - "0.1007891000, 0.1093828000, 0.1303660000, 0.1776898000, 0.2841120000, 0.5670919000, 1.3382354000", \ - "0.1800636000, 0.1927415000, 0.2197419000, 0.2772258000, 0.3971342000, 0.6587531000, 1.3495898000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.0519063000, 0.0555187000, 0.0645168000, 0.0851480000, 0.1340065000, 0.2519625000, 0.5413185000", \ - "0.0558375000, 0.0594970000, 0.0683620000, 0.0891687000, 0.1380023000, 0.2560464000, 0.5451227000", \ - "0.0640300000, 0.0676575000, 0.0765732000, 0.0973642000, 0.1463398000, 0.2642221000, 0.5536359000", \ - "0.0811143000, 0.0851655000, 0.0948517000, 0.1164059000, 0.1655284000, 0.2838114000, 0.5738934000", \ - "0.1082115000, 0.1133171000, 0.1261514000, 0.1528659000, 0.2078507000, 0.3284851000, 0.6191600000", \ - "0.1362755000, 0.1442469000, 0.1629087000, 0.2019730000, 0.2789451000, 0.4235149000, 0.7237646000", \ - "0.1390885000, 0.1515853000, 0.1803450000, 0.2414311000, 0.3606028000, 0.5710172000, 0.9399518000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.1120437000, 0.1187694000, 0.1357593000, 0.1766832000, 0.2774264000, 0.5263233000, 1.1451744000", \ - "0.1169057000, 0.1237718000, 0.1406073000, 0.1822357000, 0.2831952000, 0.5323967000, 1.1503556000", \ - "0.1288580000, 0.1360125000, 0.1529230000, 0.1949584000, 0.2964967000, 0.5458905000, 1.1632337000", \ - "0.1587292000, 0.1654181000, 0.1826351000, 0.2243759000, 0.3264347000, 0.5765642000, 1.1946191000", \ - "0.2206564000, 0.2283182000, 0.2466021000, 0.2880612000, 0.3896434000, 0.6399418000, 1.2589297000", \ - "0.3285324000, 0.3387801000, 0.3627739000, 0.4171946000, 0.5318471000, 0.7835309000, 1.4059548000", \ - "0.5015469000, 0.5180543000, 0.5574318000, 0.6389112000, 0.7995859000, 1.1080024000, 1.7374010000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.0428954000, 0.0470525000, 0.0571334000, 0.0824582000, 0.1458490000, 0.3031947000, 0.6960898000", \ - "0.0428038000, 0.0469153000, 0.0571100000, 0.0824757000, 0.1458158000, 0.3034394000, 0.6959947000", \ - "0.0426340000, 0.0465708000, 0.0567898000, 0.0823931000, 0.1457875000, 0.3034454000, 0.6954706000", \ - "0.0482340000, 0.0519602000, 0.0608575000, 0.0845899000, 0.1460915000, 0.3030973000, 0.6958492000", \ - "0.0648834000, 0.0688595000, 0.0790893000, 0.1030905000, 0.1581272000, 0.3065433000, 0.6956992000", \ - "0.1025215000, 0.1082205000, 0.1210601000, 0.1488861000, 0.2090084000, 0.3437231000, 0.7048042000", \ - "0.1714608000, 0.1799953000, 0.1991253000, 0.2395548000, 0.3176243000, 0.4709939000, 0.7991403000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.0729178000, 0.0821080000, 0.1047185000, 0.1602937000, 0.2979253000, 0.6372682000, 1.4825833000", \ - "0.0730309000, 0.0820154000, 0.1046661000, 0.1604054000, 0.2976564000, 0.6376233000, 1.4824383000", \ - "0.0730377000, 0.0821677000, 0.1045370000, 0.1602221000, 0.2976483000, 0.6374802000, 1.4801164000", \ - "0.0732804000, 0.0822676000, 0.1047453000, 0.1604185000, 0.2986519000, 0.6386194000, 1.4801198000", \ - "0.0837979000, 0.0914900000, 0.1120462000, 0.1641823000, 0.2977405000, 0.6375720000, 1.4849160000", \ - "0.1198314000, 0.1289780000, 0.1511306000, 0.2027745000, 0.3208867000, 0.6417320000, 1.4874699000", \ - "0.2024464000, 0.2144188000, 0.2413422000, 0.3007654000, 0.4312690000, 0.7169590000, 1.4952567000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.0574766000, 0.0612042000, 0.0700831000, 0.0908160000, 0.1397262000, 0.2575686000, 0.5469889000", \ - "0.0616058000, 0.0653471000, 0.0741838000, 0.0950288000, 0.1438918000, 0.2617904000, 0.5511353000", \ - "0.0696018000, 0.0733945000, 0.0823384000, 0.1031749000, 0.1521824000, 0.2701098000, 0.5592888000", \ - "0.0847024000, 0.0884255000, 0.0977656000, 0.1190149000, 0.1681076000, 0.2862555000, 0.5756670000", \ - "0.1077961000, 0.1127269000, 0.1235598000, 0.1482691000, 0.2015756000, 0.3213411000, 0.6114448000", \ - "0.1346315000, 0.1413463000, 0.1577502000, 0.1912478000, 0.2581215000, 0.3953219000, 0.6929061000", \ - "0.1393450000, 0.1492290000, 0.1732224000, 0.2261893000, 0.3279947000, 0.5131093000, 0.8620565000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.1297773000, 0.1365019000, 0.1529569000, 0.1939032000, 0.2931186000, 0.5358593000, 1.1364645000", \ - "0.1344239000, 0.1412300000, 0.1576995000, 0.1988587000, 0.2981196000, 0.5409549000, 1.1416257000", \ - "0.1468784000, 0.1536704000, 0.1708364000, 0.2111967000, 0.3107742000, 0.5541081000, 1.1548446000", \ - "0.1751966000, 0.1825649000, 0.1993134000, 0.2405027000, 0.3400878000, 0.5836382000, 1.1845567000", \ - "0.2360273000, 0.2433718000, 0.2603321000, 0.3012033000, 0.4006033000, 0.6443200000, 1.2459299000", \ - "0.3416107000, 0.3506525000, 0.3742955000, 0.4244322000, 0.5347581000, 0.7796682000, 1.3813007000", \ - "0.5160767000, 0.5303836000, 0.5634330000, 0.6357799000, 0.7866049000, 1.0822796000, 1.6953040000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.0429050000, 0.0470330000, 0.0570731000, 0.0825861000, 0.1456931000, 0.3032144000, 0.6964472000", \ - "0.0428502000, 0.0469982000, 0.0571589000, 0.0825671000, 0.1457803000, 0.3032038000, 0.6960340000", \ - "0.0424865000, 0.0466547000, 0.0568890000, 0.0825472000, 0.1458424000, 0.3034020000, 0.6958692000", \ - "0.0458727000, 0.0497497000, 0.0592456000, 0.0837113000, 0.1458304000, 0.3030754000, 0.6960234000", \ - "0.0580094000, 0.0620776000, 0.0716327000, 0.0959706000, 0.1540634000, 0.3056299000, 0.6962083000", \ - "0.0906628000, 0.0954125000, 0.1064061000, 0.1324342000, 0.1905734000, 0.3325010000, 0.7035184000", \ - "0.1566774000, 0.1637725000, 0.1795081000, 0.2126149000, 0.2823337000, 0.4290307000, 0.7752199000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.0902374000, 0.0992089000, 0.1211477000, 0.1754186000, 0.3090476000, 0.6413096000, 1.4629763000", \ - "0.0902409000, 0.0992175000, 0.1211619000, 0.1754086000, 0.3090132000, 0.6408131000, 1.4656776000", \ - "0.0902621000, 0.0991201000, 0.1211535000, 0.1753658000, 0.3094369000, 0.6412249000, 1.4619996000", \ - "0.0901280000, 0.0990966000, 0.1211294000, 0.1754394000, 0.3091820000, 0.6417249000, 1.4631593000", \ - "0.0979200000, 0.1065187000, 0.1266718000, 0.1782836000, 0.3094276000, 0.6413592000, 1.4653500000", \ - "0.1336698000, 0.1426408000, 0.1640669000, 0.2154207000, 0.3322891000, 0.6448266000, 1.4635108000", \ - "0.2156525000, 0.2259579000, 0.2520997000, 0.3098848000, 0.4387791000, 0.7204828000, 1.4791686000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.0156217000, 0.0170860000, 0.0205675000, 0.0288992000, 0.0488834000, 0.0984892000, 0.2215380000", \ - "0.0202374000, 0.0217130000, 0.0252297000, 0.0334553000, 0.0536711000, 0.1033676000, 0.2263855000", \ - "0.0274274000, 0.0297536000, 0.0348125000, 0.0447569000, 0.0649272000, 0.1144667000, 0.2376112000", \ - "0.0346928000, 0.0385391000, 0.0467991000, 0.0624329000, 0.0901380000, 0.1407148000, 0.2629664000", \ - "0.0386264000, 0.0447062000, 0.0576315000, 0.0822423000, 0.1262741000, 0.1982725000, 0.3234072000", \ - "0.0281207000, 0.0374662000, 0.0578992000, 0.0973094000, 0.1658287000, 0.2791132000, 0.4566381000", \ - "-0.025259800, -0.010609400, 0.0214645000, 0.0833537000, 0.1928690000, 0.3694654000, 0.6484127000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.0955063000, 0.1025673000, 0.1203252000, 0.1617189000, 0.2622577000, 0.5055536000, 1.1067455000", \ - "0.0985492000, 0.1054277000, 0.1231186000, 0.1647646000, 0.2654194000, 0.5096517000, 1.1111881000", \ - "0.1082756000, 0.1155317000, 0.1328890000, 0.1744831000, 0.2749046000, 0.5199491000, 1.1221827000", \ - "0.1356145000, 0.1424491000, 0.1590766000, 0.1996181000, 0.3000558000, 0.5452606000, 1.1482255000", \ - "0.2014743000, 0.2091937000, 0.2260920000, 0.2668554000, 0.3649670000, 0.6091955000, 1.2120993000", \ - "0.3135236000, 0.3245301000, 0.3509744000, 0.4081222000, 0.5206401000, 0.7590392000, 1.3583231000", \ - "0.4929637000, 0.5096065000, 0.5485095000, 0.6334137000, 0.8025596000, 1.1152995000, 1.7148811000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.0125840000, 0.0145729000, 0.0193333000, 0.0304904000, 0.0570256000, 0.1225286000, 0.2855954000", \ - "0.0134917000, 0.0152260000, 0.0195689000, 0.0304796000, 0.0570460000, 0.1228619000, 0.2855579000", \ - "0.0213654000, 0.0225624000, 0.0251886000, 0.0335943000, 0.0574788000, 0.1224199000, 0.2861478000", \ - "0.0362337000, 0.0378092000, 0.0414748000, 0.0499871000, 0.0685380000, 0.1246906000, 0.2854381000", \ - "0.0627079000, 0.0649569000, 0.0702177000, 0.0823922000, 0.1063706000, 0.1532229000, 0.2909062000", \ - "0.1099488000, 0.1131542000, 0.1209857000, 0.1388553000, 0.1754757000, 0.2410329000, 0.3575796000", \ - "0.1953855000, 0.2004130000, 0.2127621000, 0.2394867000, 0.2938400000, 0.3934418000, 0.5573174000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012431500, 0.0030908600, 0.0076848200, 0.0191068000, 0.0475054000, 0.1181130000"); - values("0.0898512000, 0.0989933000, 0.1211241000, 0.1751616000, 0.3088549000, 0.6408460000, 1.4628853000", \ - "0.0901719000, 0.0989548000, 0.1208967000, 0.1752624000, 0.3093849000, 0.6405423000, 1.4626642000", \ - "0.0897488000, 0.0987870000, 0.1209336000, 0.1754786000, 0.3093553000, 0.6398887000, 1.4631908000", \ - "0.0889860000, 0.0977496000, 0.1194656000, 0.1749624000, 0.3093976000, 0.6408331000, 1.4631101000", \ - "0.1102098000, 0.1175139000, 0.1353189000, 0.1826056000, 0.3097315000, 0.6405094000, 1.4660373000", \ - "0.1602252000, 0.1703338000, 0.1947324000, 0.2464635000, 0.3536301000, 0.6486627000, 1.4631081000", \ - "0.2420902000, 0.2581956000, 0.2943659000, 0.3676622000, 0.5073871000, 0.7696906000, 1.4857888000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a31oi_4") { - leakage_power () { - value : 0.0031158000; - when : "!A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0020631000; - when : "!A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0031158000; - when : "!A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0021385000; - when : "!A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0031158000; - when : "!A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0021432000; - when : "!A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0031158000; - when : "!A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0038798000; - when : "!A1&A2&A3&!B1"; - } - leakage_power () { - value : 0.0031158000; - when : "A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0021647000; - when : "A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0031158000; - when : "A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0034546000; - when : "A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0031158000; - when : "A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0034296000; - when : "A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0004327000; - when : "A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0042707000; - when : "A1&A2&A3&!B1"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__a31oi"; - cell_leakage_power : 0.0028617010; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0084220000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081860000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0181798000, 0.0181836000, 0.0181924000, 0.0181861000, 0.0181715000, 0.0181380000, 0.0180608000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013762000, -0.013775900, -0.013808000, -0.013772900, -0.013692200, -0.013506000, -0.013076900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0086580000; - } - pin ("A2") { - capacitance : 0.0084170000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081090000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0171112000, 0.0171103000, 0.0171081000, 0.0171673000, 0.0173037000, 0.0176181000, 0.0183429000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015574500, -0.015577300, -0.015583900, -0.015581200, -0.015575000, -0.015560700, -0.015527700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087250000; - } - pin ("A3") { - capacitance : 0.0086310000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081800000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0156974000, 0.0156960000, 0.0156929000, 0.0156965000, 0.0157047000, 0.0157237000, 0.0157675000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015685400, -0.015685300, -0.015685000, -0.015675200, -0.015652700, -0.015600800, -0.015481000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090820000; - } - pin ("B1") { - capacitance : 0.0085110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078650000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078526000, 0.0078318000, 0.0077837000, 0.0078425000, 0.0079778000, 0.0082899000, 0.0090091000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006887600, -0.006881600, -0.006867900, -0.006869500, -0.006873400, -0.006882400, -0.006903000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091560000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A2&!B1) | (!A3&!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000"); - values("0.0194876000, 0.0180935000, 0.0143187000, 0.0038864000, -0.024797000, -0.103724500, -0.320892600", \ - "0.0190109000, 0.0176274000, 0.0138533000, 0.0035242000, -0.025123900, -0.104093300, -0.321252700", \ - "0.0182110000, 0.0168400000, 0.0131124000, 0.0028186000, -0.025668600, -0.104540100, -0.321609600", \ - "0.0171269000, 0.0159115000, 0.0122454000, 0.0019762000, -0.026365100, -0.104994800, -0.321931200", \ - "0.0163920000, 0.0150523000, 0.0113542000, 0.0013820000, -0.027046100, -0.105591600, -0.322395000", \ - "0.0173401000, 0.0159288000, 0.0119021000, 0.0014728000, -0.027554500, -0.106140100, -0.322668300", \ - "0.0206727000, 0.0192206000, 0.0151481000, 0.0041344000, -0.025563600, -0.105678400, -0.322906500"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000"); - values("0.0270778000, 0.0286687000, 0.0329058000, 0.0439591000, 0.0728528000, 0.1514589000, 0.3662759000", \ - "0.0262118000, 0.0278272000, 0.0320763000, 0.0432682000, 0.0726925000, 0.1515362000, 0.3665441000", \ - "0.0252554000, 0.0268179000, 0.0310348000, 0.0423807000, 0.0723534000, 0.1519631000, 0.3664694000", \ - "0.0244880000, 0.0260207000, 0.0300831000, 0.0412235000, 0.0708177000, 0.1505232000, 0.3690260000", \ - "0.0240657000, 0.0254749000, 0.0294643000, 0.0401897000, 0.0696318000, 0.1493642000, 0.3677560000", \ - "0.0239189000, 0.0253396000, 0.0294067000, 0.0402450000, 0.0690057000, 0.1485248000, 0.3661569000", \ - "0.0238329000, 0.0249150000, 0.0287529000, 0.0391384000, 0.0690373000, 0.1483908000, 0.3653221000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000"); - values("0.0212107000, 0.0197952000, 0.0159754000, 0.0055090000, -0.023140400, -0.102083900, -0.319112800", \ - "0.0207417000, 0.0193502000, 0.0155541000, 0.0051063000, -0.023528400, -0.102457200, -0.319496300", \ - "0.0201080000, 0.0187590000, 0.0149297000, 0.0045765000, -0.024013900, -0.102924300, -0.320024200", \ - "0.0193368000, 0.0180200000, 0.0142740000, 0.0039402000, -0.024527300, -0.103309400, -0.320322200", \ - "0.0187073000, 0.0173324000, 0.0136914000, 0.0034633000, -0.024828700, -0.103491600, -0.320407000", \ - "0.0190722000, 0.0176662000, 0.0138412000, 0.0031634000, -0.025724500, -0.104168600, -0.320749900", \ - "0.0213255000, 0.0198793000, 0.0159670000, 0.0052450000, -0.024141500, -0.103984900, -0.320836100"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000"); - values("0.0397243000, 0.0411642000, 0.0449674000, 0.0555542000, 0.0844176000, 0.1629296000, 0.3775397000", \ - "0.0390808000, 0.0405346000, 0.0444637000, 0.0551468000, 0.0842503000, 0.1626976000, 0.3774146000", \ - "0.0382176000, 0.0396539000, 0.0436351000, 0.0544817000, 0.0836277000, 0.1624740000, 0.3774048000", \ - "0.0372893000, 0.0387813000, 0.0426874000, 0.0534812000, 0.0828848000, 0.1619876000, 0.3770272000", \ - "0.0365777000, 0.0380153000, 0.0419130000, 0.0525856000, 0.0817478000, 0.1609475000, 0.3764486000", \ - "0.0360683000, 0.0374602000, 0.0413935000, 0.0522276000, 0.0812476000, 0.1599992000, 0.3754655000", \ - "0.0348007000, 0.0361926000, 0.0399696000, 0.0503132000, 0.0803602000, 0.1598504000, 0.3747782000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000"); - values("0.0209576000, 0.0195813000, 0.0157655000, 0.0053172000, -0.023360100, -0.102318200, -0.319452100", \ - "0.0205224000, 0.0191284000, 0.0153196000, 0.0048563000, -0.023768400, -0.102722200, -0.319833800", \ - "0.0198866000, 0.0185057000, 0.0147132000, 0.0043237000, -0.024272300, -0.103127100, -0.320251000", \ - "0.0190880000, 0.0177263000, 0.0139504000, 0.0036409000, -0.024798800, -0.103608100, -0.320518300", \ - "0.0184703000, 0.0171148000, 0.0133891000, 0.0030803000, -0.025248600, -0.103811100, -0.320705400", \ - "0.0189738000, 0.0176016000, 0.0137937000, 0.0032989000, -0.025896500, -0.104207900, -0.320928600", \ - "0.0208495000, 0.0194471000, 0.0154910000, 0.0047532000, -0.024447800, -0.104276400, -0.321054700"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000"); - values("0.0522149000, 0.0536587000, 0.0575446000, 0.0681344000, 0.0971317000, 0.1760219000, 0.3906503000", \ - "0.0516245000, 0.0530652000, 0.0570204000, 0.0676785000, 0.0966800000, 0.1753827000, 0.3903673000", \ - "0.0510689000, 0.0525791000, 0.0565277000, 0.0672199000, 0.0963753000, 0.1753812000, 0.3901926000", \ - "0.0504439000, 0.0519224000, 0.0558507000, 0.0666217000, 0.0957940000, 0.1747008000, 0.3901853000", \ - "0.0499622000, 0.0513228000, 0.0552836000, 0.0660589000, 0.0950975000, 0.1744455000, 0.3895806000", \ - "0.0500152000, 0.0513344000, 0.0552043000, 0.0660886000, 0.0951400000, 0.1740204000, 0.3897502000", \ - "0.0495846000, 0.0509932000, 0.0546311000, 0.0650139000, 0.0949560000, 0.1742805000, 0.3894086000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000"); - values("-0.000261000, -0.001488400, -0.005015300, -0.015178500, -0.043874000, -0.123147400, -0.340662500", \ - "-0.000889100, -0.002100300, -0.005499500, -0.015376900, -0.043801900, -0.122906100, -0.340333700", \ - "-0.001648700, -0.002861700, -0.006265500, -0.015977200, -0.043946900, -0.122787700, -0.340046300", \ - "-0.002319700, -0.003603400, -0.007131900, -0.016819600, -0.044576300, -0.122946200, -0.339988300", \ - "-0.001620400, -0.002980800, -0.006672700, -0.016686800, -0.045133900, -0.123391100, -0.340103300", \ - "-1.09000e-05, -0.001463200, -0.005404800, -0.016131400, -0.044612000, -0.123637900, -0.340473600", \ - "0.0060674000, 0.0044242000, 7.000000e-07, -0.011613100, -0.041885100, -0.120980900, -0.339881500"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000"); - values("0.0484172000, 0.0498668000, 0.0540460000, 0.0650474000, 0.0945426000, 0.1735922000, 0.3887407000", \ - "0.0476006000, 0.0491037000, 0.0532876000, 0.0643120000, 0.0940669000, 0.1733380000, 0.3887335000", \ - "0.0467527000, 0.0482860000, 0.0524002000, 0.0633871000, 0.0930446000, 0.1727969000, 0.3883548000", \ - "0.0462762000, 0.0477973000, 0.0517567000, 0.0624081000, 0.0919657000, 0.1716454000, 0.3876128000", \ - "0.0459857000, 0.0474115000, 0.0512976000, 0.0619712000, 0.0911030000, 0.1706533000, 0.3865565000", \ - "0.0477865000, 0.0491479000, 0.0529881000, 0.0634350000, 0.0923026000, 0.1710442000, 0.3846893000", \ - "0.0529524000, 0.0541388000, 0.0575351000, 0.0671777000, 0.0948849000, 0.1720701000, 0.3850177000"); - } - } - max_capacitance : 0.2114970000; - max_transition : 1.5022000000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0428614000, 0.0453677000, 0.0520402000, 0.0689655000, 0.1120614000, 0.2244097000, 0.5273142000", \ - "0.0462857000, 0.0488049000, 0.0554401000, 0.0724513000, 0.1156878000, 0.2279923000, 0.5310239000", \ - "0.0550202000, 0.0575787000, 0.0642148000, 0.0813060000, 0.1244927000, 0.2374660000, 0.5401638000", \ - "0.0765702000, 0.0796261000, 0.0871149000, 0.1038792000, 0.1463147000, 0.2592585000, 0.5617662000", \ - "0.1026150000, 0.1067575000, 0.1173482000, 0.1423167000, 0.1964854000, 0.3116404000, 0.6148137000", \ - "0.1234752000, 0.1296152000, 0.1450797000, 0.1825977000, 0.2650511000, 0.4207028000, 0.7341101000", \ - "0.1122973000, 0.1217507000, 0.1454960000, 0.2024997000, 0.3263249000, 0.5674539000, 1.0006677000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0855159000, 0.0904803000, 0.1032428000, 0.1362864000, 0.2230642000, 0.4563883000, 1.0949169000", \ - "0.0894742000, 0.0943921000, 0.1072753000, 0.1409205000, 0.2283306000, 0.4629762000, 1.1020765000", \ - "0.1012211000, 0.1062955000, 0.1189681000, 0.1528693000, 0.2414858000, 0.4813193000, 1.1172132000", \ - "0.1314468000, 0.1360625000, 0.1482288000, 0.1811195000, 0.2703025000, 0.5064856000, 1.1545398000", \ - "0.1879430000, 0.1934128000, 0.2081326000, 0.2440612000, 0.3319562000, 0.5703216000, 1.2164058000", \ - "0.2798517000, 0.2879274000, 0.3088962000, 0.3584142000, 0.4667400000, 0.7090428000, 1.3598879000", \ - "0.4242007000, 0.4369936000, 0.4724396000, 0.5516167000, 0.7096663000, 1.0178308000, 1.6790166000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0466987000, 0.0491564000, 0.0562076000, 0.0760112000, 0.1315848000, 0.2841718000, 0.7062089000", \ - "0.0461777000, 0.0487918000, 0.0559809000, 0.0758009000, 0.1313857000, 0.2842789000, 0.7059113000", \ - "0.0442412000, 0.0469110000, 0.0542944000, 0.0750405000, 0.1311271000, 0.2846596000, 0.7059839000", \ - "0.0528684000, 0.0557372000, 0.0620292000, 0.0793190000, 0.1312349000, 0.2843166000, 0.7064336000", \ - "0.0715082000, 0.0750835000, 0.0842017000, 0.1066594000, 0.1564798000, 0.2896347000, 0.7061794000", \ - "0.1115205000, 0.1167080000, 0.1297079000, 0.1607069000, 0.2248401000, 0.3576375000, 0.7199464000", \ - "0.1825348000, 0.1905322000, 0.2107970000, 0.2562765000, 0.3487255000, 0.5234793000, 0.8750246000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0490766000, 0.0548573000, 0.0707442000, 0.1140636000, 0.2320002000, 0.5537978000, 1.4340921000", \ - "0.0491195000, 0.0548249000, 0.0706484000, 0.1139464000, 0.2321668000, 0.5533272000, 1.4351325000", \ - "0.0492763000, 0.0549547000, 0.0708631000, 0.1141394000, 0.2322266000, 0.5584412000, 1.4348390000", \ - "0.0503577000, 0.0557578000, 0.0713218000, 0.1141977000, 0.2319366000, 0.5542410000, 1.4478481000", \ - "0.0645643000, 0.0701035000, 0.0836387000, 0.1216361000, 0.2334392000, 0.5566304000, 1.4454298000", \ - "0.0983954000, 0.1041648000, 0.1207188000, 0.1625305000, 0.2658860000, 0.5612177000, 1.4442363000", \ - "0.1746302000, 0.1825909000, 0.2032973000, 0.2541378000, 0.3699841000, 0.6470546000, 1.4511554000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0517796000, 0.0541960000, 0.0608226000, 0.0777641000, 0.1207995000, 0.2331670000, 0.5359643000", \ - "0.0554422000, 0.0579278000, 0.0645830000, 0.0815342000, 0.1244847000, 0.2370468000, 0.5404424000", \ - "0.0634483000, 0.0659532000, 0.0724891000, 0.0894661000, 0.1325698000, 0.2452149000, 0.5479410000", \ - "0.0797538000, 0.0826621000, 0.0896672000, 0.1075130000, 0.1508991000, 0.2636064000, 0.5666361000", \ - "0.1049671000, 0.1085261000, 0.1177426000, 0.1400773000, 0.1903750000, 0.3064505000, 0.6104872000", \ - "0.1286756000, 0.1339630000, 0.1473931000, 0.1804224000, 0.2509522000, 0.3943890000, 0.7095948000", \ - "0.1224165000, 0.1305273000, 0.1514808000, 0.2014506000, 0.3116202000, 0.5235690000, 0.9152718000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.1122825000, 0.1166791000, 0.1285381000, 0.1611958000, 0.2482007000, 0.4844300000, 1.1298193000", \ - "0.1167150000, 0.1212238000, 0.1334804000, 0.1662944000, 0.2536586000, 0.4903696000, 1.1372973000", \ - "0.1288732000, 0.1333507000, 0.1458384000, 0.1788112000, 0.2671341000, 0.5043265000, 1.1511178000", \ - "0.1583037000, 0.1630296000, 0.1749321000, 0.2081621000, 0.2966774000, 0.5346361000, 1.1811400000", \ - "0.2188386000, 0.2237968000, 0.2374677000, 0.2706139000, 0.3586648000, 0.5968961000, 1.2447251000", \ - "0.3246561000, 0.3311854000, 0.3484029000, 0.3918345000, 0.4935784000, 0.7359299000, 1.3840856000", \ - "0.4998089000, 0.5104134000, 0.5373524000, 0.6012880000, 0.7444969000, 1.0435386000, 1.7040580000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0456315000, 0.0483028000, 0.0555280000, 0.0757644000, 0.1311642000, 0.2842840000, 0.7064690000", \ - "0.0454631000, 0.0481377000, 0.0554083000, 0.0755528000, 0.1311239000, 0.2845779000, 0.7065606000", \ - "0.0453415000, 0.0478402000, 0.0549981000, 0.0753114000, 0.1310035000, 0.2844206000, 0.7063721000", \ - "0.0508721000, 0.0532341000, 0.0598840000, 0.0781032000, 0.1315710000, 0.2841821000, 0.7064753000", \ - "0.0663366000, 0.0691075000, 0.0766953000, 0.0963524000, 0.1462602000, 0.2880704000, 0.7060477000", \ - "0.1033213000, 0.1069444000, 0.1163195000, 0.1399966000, 0.1953346000, 0.3283414000, 0.7157523000", \ - "0.1709361000, 0.1766798000, 0.1912611000, 0.2245508000, 0.2976696000, 0.4529550000, 0.8120674000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0716629000, 0.0775768000, 0.0935168000, 0.1373608000, 0.2568517000, 0.5841019000, 1.4730356000", \ - "0.0716548000, 0.0774660000, 0.0936565000, 0.1373913000, 0.2568662000, 0.5820040000, 1.4747784000", \ - "0.0717187000, 0.0774628000, 0.0936711000, 0.1376328000, 0.2569840000, 0.5819654000, 1.4784582000", \ - "0.0717781000, 0.0778024000, 0.0937199000, 0.1374615000, 0.2574784000, 0.5835188000, 1.4728903000", \ - "0.0816557000, 0.0867387000, 0.1012077000, 0.1424199000, 0.2573456000, 0.5825211000, 1.4771074000", \ - "0.1137198000, 0.1198159000, 0.1359118000, 0.1780330000, 0.2838564000, 0.5896806000, 1.4734494000", \ - "0.1902393000, 0.1977728000, 0.2174996000, 0.2659482000, 0.3829034000, 0.6674703000, 1.4869455000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0567067000, 0.0592886000, 0.0657220000, 0.0826303000, 0.1258129000, 0.2384681000, 0.5411140000", \ - "0.0605734000, 0.0630692000, 0.0695683000, 0.0865374000, 0.1295184000, 0.2420572000, 0.5448511000", \ - "0.0678498000, 0.0704348000, 0.0769393000, 0.0939317000, 0.1370372000, 0.2496387000, 0.5523851000", \ - "0.0810865000, 0.0838954000, 0.0905521000, 0.1080369000, 0.1513193000, 0.2642326000, 0.5667824000", \ - "0.1013108000, 0.1042974000, 0.1120683000, 0.1320620000, 0.1795128000, 0.2948212000, 0.5980488000", \ - "0.1213111000, 0.1257975000, 0.1373992000, 0.1638211000, 0.2243283000, 0.3571703000, 0.6691380000", \ - "0.1118548000, 0.1194615000, 0.1363385000, 0.1786223000, 0.2701649000, 0.4499247000, 0.8148039000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.1308802000, 0.1357205000, 0.1483934000, 0.1806883000, 0.2682701000, 0.5034444000, 1.1425139000", \ - "0.1361021000, 0.1409311000, 0.1527309000, 0.1860871000, 0.2735872000, 0.5089112000, 1.1480057000", \ - "0.1489107000, 0.1530086000, 0.1660357000, 0.1987191000, 0.2867041000, 0.5219475000, 1.1618740000", \ - "0.1773192000, 0.1823439000, 0.1946139000, 0.2279597000, 0.3157860000, 0.5516573000, 1.1908045000", \ - "0.2371897000, 0.2420437000, 0.2546589000, 0.2874266000, 0.3753374000, 0.6106480000, 1.2504553000", \ - "0.3420757000, 0.3484394000, 0.3647115000, 0.4050534000, 0.5035304000, 0.7421638000, 1.3826299000", \ - "0.5215542000, 0.5302366000, 0.5531051000, 0.6087947000, 0.7413452000, 1.0287250000, 1.6838064000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0455310000, 0.0481976000, 0.0555265000, 0.0755897000, 0.1312013000, 0.2843253000, 0.7059475000", \ - "0.0454641000, 0.0481014000, 0.0554299000, 0.0756301000, 0.1310647000, 0.2841626000, 0.7064417000", \ - "0.0451931000, 0.0477939000, 0.0551026000, 0.0753553000, 0.1309330000, 0.2845482000, 0.7065714000", \ - "0.0485027000, 0.0510334000, 0.0581682000, 0.0770844000, 0.1314934000, 0.2844331000, 0.7064905000", \ - "0.0591927000, 0.0618689000, 0.0688671000, 0.0885097000, 0.1406707000, 0.2876008000, 0.7063675000", \ - "0.0899542000, 0.0930692000, 0.1010250000, 0.1217074000, 0.1739942000, 0.3160290000, 0.7148211000", \ - "0.1546505000, 0.1584734000, 0.1698866000, 0.1968042000, 0.2589624000, 0.4032025000, 0.7836443000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0929705000, 0.0988093000, 0.1147481000, 0.1582222000, 0.2763708000, 0.5991087000, 1.4821409000", \ - "0.0927086000, 0.0984870000, 0.1147789000, 0.1583226000, 0.2769821000, 0.5990999000, 1.4844165000", \ - "0.0930835000, 0.0987873000, 0.1147293000, 0.1581011000, 0.2768845000, 0.5987697000, 1.4852143000", \ - "0.0927773000, 0.0989413000, 0.1147445000, 0.1582219000, 0.2767141000, 0.5990784000, 1.4822353000", \ - "0.0998290000, 0.1053563000, 0.1199932000, 0.1616860000, 0.2766596000, 0.5995480000, 1.4827681000", \ - "0.1316539000, 0.1369615000, 0.1522703000, 0.1942747000, 0.3004768000, 0.6053427000, 1.4828276000", \ - "0.2046354000, 0.2121841000, 0.2297719000, 0.2768679000, 0.3939490000, 0.6782181000, 1.4992617000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0142939000, 0.0151931000, 0.0175935000, 0.0237542000, 0.0398552000, 0.0833914000, 0.2024686000", \ - "0.0187507000, 0.0197463000, 0.0221807000, 0.0283339000, 0.0445795000, 0.0882686000, 0.2073191000", \ - "0.0247543000, 0.0264110000, 0.0302912000, 0.0388360000, 0.0555109000, 0.0992862000, 0.2183649000", \ - "0.0301712000, 0.0328283000, 0.0391570000, 0.0524252000, 0.0780939000, 0.1245674000, 0.2436319000", \ - "0.0307823000, 0.0341956000, 0.0440183000, 0.0655538000, 0.1063535000, 0.1773284000, 0.3031028000", \ - "0.0121763000, 0.0186013000, 0.0341559000, 0.0679942000, 0.1320579000, 0.2442266000, 0.4292586000", \ - "-0.055739900, -0.045830700, -0.022251200, 0.0315186000, 0.1331743000, 0.3093892000, 0.6002498000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0995718000, 0.1045867000, 0.1166958000, 0.1508983000, 0.2400063000, 0.4762971000, 1.1159680000", \ - "0.1024317000, 0.1075168000, 0.1197922000, 0.1539705000, 0.2434693000, 0.4800481000, 1.1203827000", \ - "0.1123957000, 0.1168444000, 0.1300619000, 0.1641186000, 0.2530494000, 0.4905497000, 1.1316873000", \ - "0.1400733000, 0.1447419000, 0.1571722000, 0.1898673000, 0.2784502000, 0.5163129000, 1.1583730000", \ - "0.2081090000, 0.2129101000, 0.2252510000, 0.2583346000, 0.3452431000, 0.5818126000, 1.2236271000", \ - "0.3257878000, 0.3331870000, 0.3525563000, 0.3988406000, 0.5030797000, 0.7370614000, 1.3724423000", \ - "0.5188493000, 0.5294971000, 0.5574989000, 0.6263000000, 0.7828910000, 1.0984005000, 1.7370816000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0106563000, 0.0118721000, 0.0152523000, 0.0239343000, 0.0458615000, 0.1043635000, 0.2645117000", \ - "0.0119351000, 0.0129377000, 0.0158271000, 0.0239870000, 0.0458690000, 0.1045072000, 0.2643818000", \ - "0.0201429000, 0.0209008000, 0.0230295000, 0.0286525000, 0.0471691000, 0.1044947000, 0.2645042000", \ - "0.0347443000, 0.0356614000, 0.0382882000, 0.0450535000, 0.0609482000, 0.1084926000, 0.2642690000", \ - "0.0608668000, 0.0621674000, 0.0658025000, 0.0751698000, 0.0968844000, 0.1416418000, 0.2718829000", \ - "0.1077993000, 0.1096598000, 0.1148489000, 0.1284369000, 0.1608810000, 0.2239205000, 0.3450671000", \ - "0.1930527000, 0.1958534000, 0.2044107000, 0.2241848000, 0.2714266000, 0.3686815000, 0.5395123000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0928530000, 0.0985921000, 0.1148616000, 0.1579112000, 0.2762880000, 0.5991763000, 1.4849681000", \ - "0.0927007000, 0.0984726000, 0.1147293000, 0.1579820000, 0.2763177000, 0.6004691000, 1.4837637000", \ - "0.0926605000, 0.0985251000, 0.1147286000, 0.1580926000, 0.2768804000, 0.6001127000, 1.4852999000", \ - "0.0914203000, 0.0972081000, 0.1130496000, 0.1575336000, 0.2762966000, 0.5992477000, 1.4822158000", \ - "0.1115164000, 0.1161993000, 0.1290725000, 0.1668943000, 0.2768796000, 0.5993860000, 1.4822421000", \ - "0.1587565000, 0.1660106000, 0.1843130000, 0.2282104000, 0.3233447000, 0.6080458000, 1.4823552000", \ - "0.2414514000, 0.2518465000, 0.2782319000, 0.3408006000, 0.4722183000, 0.7342265000, 1.5022001000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a32o_1") { - leakage_power () { - value : 0.0021040000; - when : "!A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0016631000; - when : "!A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0033079000; - when : "!A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0019022000; - when : "!A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0021258000; - when : "!A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0016850000; - when : "!A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0033079000; - when : "!A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0019240000; - when : "!A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0021253000; - when : "!A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0016844000; - when : "!A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0033079000; - when : "!A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0019235000; - when : "!A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0026107000; - when : "!A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0021698000; - when : "!A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0033079000; - when : "!A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0024089000; - when : "!A1&A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0021296000; - when : "A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0016888000; - when : "A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0033079000; - when : "A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0019279000; - when : "A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0024979000; - when : "A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0020570000; - when : "A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0033079000; - when : "A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0022961000; - when : "A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0024754000; - when : "A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0020346000; - when : "A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0033079000; - when : "A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0022736000; - when : "A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0015496000; - when : "A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0016140000; - when : "A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0018622000; - when : "A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0015997000; - when : "A1&A2&A3&B1&!B2"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__a32o"; - cell_leakage_power : 0.0022965200; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023450000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022620000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045458000, 0.0045474000, 0.0045512000, 0.0045511000, 0.0045508000, 0.0045499000, 0.0045481000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003349900, -0.003354200, -0.003364000, -0.003355400, -0.003335600, -0.003289900, -0.003184600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024280000; - } - pin ("A2") { - capacitance : 0.0023360000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022300000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043483000, 0.0043481000, 0.0043477000, 0.0043630000, 0.0043983000, 0.0044796000, 0.0046671000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004005700, -0.004004500, -0.004001800, -0.004001000, -0.003999400, -0.003995500, -0.003986600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024420000; - } - pin ("A3") { - capacitance : 0.0023520000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022130000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042872000, 0.0042842000, 0.0042772000, 0.0042786000, 0.0042817000, 0.0042888000, 0.0043053000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004269000, -0.004267800, -0.004265200, -0.004265800, -0.004267100, -0.004270000, -0.004276900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024910000; - } - pin ("B1") { - capacitance : 0.0023780000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022360000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045478000, 0.0045462000, 0.0045426000, 0.0045438000, 0.0045464000, 0.0045524000, 0.0045662000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003705900, -0.003709500, -0.003717600, -0.003711400, -0.003696900, -0.003663600, -0.003586700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025190000; - } - pin ("B2") { - capacitance : 0.0022690000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021090000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039625000, 0.0039594000, 0.0039523000, 0.0039523000, 0.0039523000, 0.0039523000, 0.0039522000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003960500, -0.003961200, -0.003962800, -0.003962300, -0.003961100, -0.003958400, -0.003952200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024280000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2&A3) | (B1&B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0142174000, 0.0133453000, 0.0109657000, 0.0036264000, -0.017898400, -0.075605800, -0.225774200", \ - "0.0141067000, 0.0132312000, 0.0108205000, 0.0034962000, -0.018020000, -0.075735400, -0.225900500", \ - "0.0138264000, 0.0129600000, 0.0105580000, 0.0032249000, -0.018278100, -0.075997800, -0.226163700", \ - "0.0135651000, 0.0126998000, 0.0103019000, 0.0029333000, -0.018608600, -0.076297900, -0.226456700", \ - "0.0132808000, 0.0124371000, 0.0100026000, 0.0026254000, -0.018917200, -0.076574900, -0.226717100", \ - "0.0134043000, 0.0121261000, 0.0094159000, 0.0023393000, -0.019020700, -0.076662800, -0.226784200", \ - "0.0161660000, 0.0148895000, 0.0115545000, 0.0030091000, -0.019186700, -0.076670300, -0.226707000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0141652000, 0.0155551000, 0.0190520000, 0.0277396000, 0.0499159000, 0.1073178000, 0.2570331000", \ - "0.0140694000, 0.0154588000, 0.0189562000, 0.0276670000, 0.0498771000, 0.1077605000, 0.2568790000", \ - "0.0139150000, 0.0153228000, 0.0188146000, 0.0275351000, 0.0497577000, 0.1076194000, 0.2570147000", \ - "0.0137294000, 0.0151288000, 0.0186328000, 0.0273439000, 0.0495181000, 0.1069735000, 0.2556775000", \ - "0.0135772000, 0.0149653000, 0.0184139000, 0.0271403000, 0.0493892000, 0.1072711000, 0.2565892000", \ - "0.0142857000, 0.0155750000, 0.0189020000, 0.0273091000, 0.0496223000, 0.1071822000, 0.2568895000", \ - "0.0153141000, 0.0165200000, 0.0197999000, 0.0283628000, 0.0505804000, 0.1080739000, 0.2564586000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0170664000, 0.0161971000, 0.0138257000, 0.0065565000, -0.014825100, -0.072450300, -0.222512300", \ - "0.0169618000, 0.0160991000, 0.0137094000, 0.0064176000, -0.014950300, -0.072571400, -0.222702100", \ - "0.0167449000, 0.0158664000, 0.0134911000, 0.0062247000, -0.015171100, -0.072790300, -0.222905800", \ - "0.0165128000, 0.0156643000, 0.0132885000, 0.0059872000, -0.015438700, -0.073057900, -0.223150800", \ - "0.0162104000, 0.0153560000, 0.0129491000, 0.0056522000, -0.015729800, -0.073348100, -0.223407900", \ - "0.0156393000, 0.0147994000, 0.0125414000, 0.0053789000, -0.015907200, -0.073512000, -0.223549800", \ - "0.0190276000, 0.0176959000, 0.0143866000, 0.0059405000, -0.016300800, -0.073736200, -0.223710200"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0148338000, 0.0162278000, 0.0197219000, 0.0283864000, 0.0504426000, 0.1081459000, 0.2571557000", \ - "0.0147360000, 0.0161330000, 0.0196265000, 0.0283141000, 0.0503183000, 0.1076761000, 0.2564367000", \ - "0.0146040000, 0.0159974000, 0.0194865000, 0.0281805000, 0.0502161000, 0.1075799000, 0.2563399000", \ - "0.0144084000, 0.0157957000, 0.0192896000, 0.0279798000, 0.0501100000, 0.1074296000, 0.2569544000", \ - "0.0142026000, 0.0156059000, 0.0190676000, 0.0278031000, 0.0499476000, 0.1074004000, 0.2569230000", \ - "0.0146837000, 0.0159723000, 0.0193150000, 0.0277204000, 0.0499883000, 0.1076375000, 0.2571977000", \ - "0.0154911000, 0.0167561000, 0.0200213000, 0.0286289000, 0.0508041000, 0.1082716000, 0.2569781000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0195575000, 0.0186515000, 0.0162659000, 0.0088427000, -0.012823300, -0.070762800, -0.221009200", \ - "0.0195029000, 0.0186119000, 0.0161754000, 0.0087672000, -0.012979500, -0.070885800, -0.221088100", \ - "0.0193068000, 0.0184377000, 0.0160495000, 0.0085391000, -0.013161800, -0.071056500, -0.221266700", \ - "0.0190590000, 0.0181540000, 0.0158321000, 0.0083440000, -0.013345800, -0.071205900, -0.221448000", \ - "0.0188706000, 0.0179790000, 0.0155911000, 0.0080977000, -0.013532200, -0.071378000, -0.221575600", \ - "0.0184353000, 0.0175901000, 0.0153454000, 0.0080095000, -0.013530000, -0.071329300, -0.221510100", \ - "0.0219739000, 0.0206613000, 0.0173065000, 0.0086788000, -0.013679700, -0.071233400, -0.221366000"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0150403000, 0.0164314000, 0.0199283000, 0.0285764000, 0.0505210000, 0.1081612000, 0.2570430000", \ - "0.0149421000, 0.0163386000, 0.0198352000, 0.0284989000, 0.0503739000, 0.1075631000, 0.2560033000", \ - "0.0147881000, 0.0161848000, 0.0196810000, 0.0283275000, 0.0504395000, 0.1074536000, 0.2561003000", \ - "0.0145660000, 0.0159533000, 0.0194353000, 0.0281065000, 0.0501076000, 0.1074210000, 0.2555553000", \ - "0.0143948000, 0.0157894000, 0.0192597000, 0.0279673000, 0.0500719000, 0.1079028000, 0.2571094000", \ - "0.0147337000, 0.0160239000, 0.0193841000, 0.0278072000, 0.0501332000, 0.1070347000, 0.2560688000", \ - "0.0153582000, 0.0165991000, 0.0199939000, 0.0285808000, 0.0507279000, 0.1081851000, 0.2553745000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0139023000, 0.0129893000, 0.0105488000, 0.0031742000, -0.018365700, -0.076120500, -0.226226400", \ - "0.0137227000, 0.0128111000, 0.0103797000, 0.0030037000, -0.018529400, -0.076287100, -0.226390500", \ - "0.0134310000, 0.0125385000, 0.0101973000, 0.0027166000, -0.018871900, -0.076544500, -0.226629600", \ - "0.0131875000, 0.0122729000, 0.0098552000, 0.0024347000, -0.019108600, -0.076803000, -0.226884500", \ - "0.0131173000, 0.0122340000, 0.0098885000, 0.0024681000, -0.019142500, -0.076832400, -0.226922000", \ - "0.0138941000, 0.0125771000, 0.0099324000, 0.0027843000, -0.018733400, -0.076455300, -0.226538200", \ - "0.0175130000, 0.0161651000, 0.0129029000, 0.0042510000, -0.018108300, -0.075649700, -0.225757900"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0112246000, 0.0126391000, 0.0162192000, 0.0250720000, 0.0473913000, 0.1055268000, 0.2537255000", \ - "0.0111606000, 0.0125764000, 0.0161515000, 0.0250016000, 0.0473068000, 0.1042231000, 0.2534509000", \ - "0.0110128000, 0.0124264000, 0.0159745000, 0.0247917000, 0.0471582000, 0.1047641000, 0.2546363000", \ - "0.0107247000, 0.0121075000, 0.0156082000, 0.0243957000, 0.0467844000, 0.1050094000, 0.2532617000", \ - "0.0105145000, 0.0118131000, 0.0153177000, 0.0239934000, 0.0463554000, 0.1041851000, 0.2530996000", \ - "0.0109997000, 0.0123020000, 0.0157006000, 0.0242684000, 0.0465660000, 0.1042626000, 0.2542383000", \ - "0.0123246000, 0.0135270000, 0.0167859000, 0.0254724000, 0.0477508000, 0.1055615000, 0.2538803000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0166598000, 0.0157900000, 0.0133956000, 0.0060010000, -0.015538900, -0.073187100, -0.223259000", \ - "0.0165532000, 0.0156263000, 0.0132456000, 0.0058793000, -0.015574900, -0.073271500, -0.223375100", \ - "0.0163729000, 0.0154888000, 0.0131107000, 0.0057223000, -0.015803000, -0.073435100, -0.223485800", \ - "0.0162836000, 0.0154188000, 0.0130164000, 0.0056172000, -0.015885100, -0.073551000, -0.223605900", \ - "0.0163611000, 0.0154852000, 0.0130456000, 0.0055734000, -0.015965200, -0.073635100, -0.223699800", \ - "0.0168285000, 0.0155013000, 0.0128908000, 0.0057450000, -0.015731000, -0.073378700, -0.223422300", \ - "0.0217863000, 0.0204159000, 0.0170099000, 0.0083342000, -0.014103500, -0.071658000, -0.221697100"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0114120000, 0.0128361000, 0.0163967000, 0.0252545000, 0.0475574000, 0.1053260000, 0.2553178000", \ - "0.0113713000, 0.0127961000, 0.0163667000, 0.0252141000, 0.0477392000, 0.1050939000, 0.2555066000", \ - "0.0112179000, 0.0126332000, 0.0161950000, 0.0250383000, 0.0473669000, 0.1055650000, 0.2537634000", \ - "0.0109165000, 0.0123179000, 0.0158136000, 0.0246290000, 0.0472172000, 0.1047112000, 0.2531003000", \ - "0.0107418000, 0.0120600000, 0.0155630000, 0.0242612000, 0.0466624000, 0.1044074000, 0.2528732000", \ - "0.0109730000, 0.0122906000, 0.0157015000, 0.0243022000, 0.0466500000, 0.1044478000, 0.2534201000", \ - "0.0120775000, 0.0132989000, 0.0166297000, 0.0253283000, 0.0476549000, 0.1052511000, 0.2542268000"); - } - } - max_capacitance : 0.1510720000; - max_transition : 1.5081820000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.1802803000, 0.1873099000, 0.2018241000, 0.2299172000, 0.2855682000, 0.4064765000, 0.7043113000", \ - "0.1853347000, 0.1923552000, 0.2068745000, 0.2349359000, 0.2906541000, 0.4115666000, 0.7092528000", \ - "0.1976540000, 0.2046688000, 0.2191711000, 0.2472574000, 0.3029245000, 0.4238376000, 0.7216704000", \ - "0.2268760000, 0.2338437000, 0.2483904000, 0.2766261000, 0.3322440000, 0.4532044000, 0.7510614000", \ - "0.2920465000, 0.2990410000, 0.3135316000, 0.3418254000, 0.3974513000, 0.5184770000, 0.8163716000", \ - "0.4172493000, 0.4250562000, 0.4411426000, 0.4719662000, 0.5298478000, 0.6525812000, 0.9500933000", \ - "0.6288154000, 0.6386417000, 0.6581631000, 0.6943670000, 0.7603488000, 0.8897167000, 1.1901174000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.1085144000, 0.1173188000, 0.1362229000, 0.1773260000, 0.2748045000, 0.5230075000, 1.1659498000", \ - "0.1123008000, 0.1211005000, 0.1400116000, 0.1811486000, 0.2786764000, 0.5273260000, 1.1700559000", \ - "0.1214338000, 0.1302482000, 0.1491898000, 0.1903715000, 0.2879408000, 0.5366805000, 1.1795811000", \ - "0.1437572000, 0.1524174000, 0.1713920000, 0.2125554000, 0.3099070000, 0.5580037000, 1.1990846000", \ - "0.1848106000, 0.1937548000, 0.2131237000, 0.2549695000, 0.3527101000, 0.6012897000, 1.2444099000", \ - "0.2341305000, 0.2442404000, 0.2650375000, 0.3077782000, 0.4062638000, 0.6550765000, 1.2966214000", \ - "0.2694392000, 0.2824598000, 0.3085299000, 0.3552893000, 0.4543920000, 0.7029897000, 1.3442079000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0268033000, 0.0315240000, 0.0424265000, 0.0663820000, 0.1218370000, 0.2642801000, 0.6544756000", \ - "0.0267791000, 0.0315050000, 0.0426519000, 0.0662938000, 0.1219312000, 0.2639612000, 0.6534281000", \ - "0.0267829000, 0.0315121000, 0.0425727000, 0.0663531000, 0.1218137000, 0.2642455000, 0.6543869000", \ - "0.0271793000, 0.0319893000, 0.0422967000, 0.0658080000, 0.1218256000, 0.2643429000, 0.6546208000", \ - "0.0271781000, 0.0320713000, 0.0423736000, 0.0661739000, 0.1217915000, 0.2643897000, 0.6540660000", \ - "0.0319008000, 0.0368956000, 0.0479964000, 0.0715787000, 0.1260397000, 0.2663112000, 0.6532423000", \ - "0.0433827000, 0.0491739000, 0.0620136000, 0.0866376000, 0.1416588000, 0.2766280000, 0.6530779000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0327031000, 0.0403630000, 0.0591427000, 0.1078041000, 0.2387968000, 0.5907113000, 1.5005606000", \ - "0.0326958000, 0.0403644000, 0.0590882000, 0.1077865000, 0.2390197000, 0.5903890000, 1.4990930000", \ - "0.0326591000, 0.0402184000, 0.0591507000, 0.1075382000, 0.2391770000, 0.5900895000, 1.4989926000", \ - "0.0323432000, 0.0400472000, 0.0589297000, 0.1074840000, 0.2390445000, 0.5904177000, 1.4968058000", \ - "0.0344276000, 0.0419152000, 0.0606073000, 0.1088703000, 0.2393424000, 0.5903658000, 1.4996063000", \ - "0.0416337000, 0.0484353000, 0.0656219000, 0.1115524000, 0.2411183000, 0.5884007000, 1.5006574000", \ - "0.0551698000, 0.0629831000, 0.0799281000, 0.1210373000, 0.2436998000, 0.5927730000, 1.4933725000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.2052274000, 0.2125339000, 0.2275102000, 0.2563778000, 0.3126440000, 0.4344010000, 0.7323982000", \ - "0.2104265000, 0.2177401000, 0.2327207000, 0.2617351000, 0.3178356000, 0.4396203000, 0.7377045000", \ - "0.2231248000, 0.2303564000, 0.2453742000, 0.2744028000, 0.3304839000, 0.4522764000, 0.7503885000", \ - "0.2526978000, 0.2600341000, 0.2749686000, 0.3039328000, 0.3598792000, 0.4819211000, 0.7802606000", \ - "0.3179630000, 0.3252526000, 0.3401888000, 0.3691124000, 0.4255185000, 0.5473270000, 0.8457943000", \ - "0.4505719000, 0.4584452000, 0.4745556000, 0.5052350000, 0.5629482000, 0.6857454000, 0.9839159000", \ - "0.6786608000, 0.6884761000, 0.7080859000, 0.7425791000, 0.8079851000, 0.9369084000, 1.2372949000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.1168619000, 0.1256797000, 0.1445618000, 0.1855356000, 0.2824023000, 0.5302996000, 1.1726590000", \ - "0.1208944000, 0.1296217000, 0.1486120000, 0.1896392000, 0.2862463000, 0.5339314000, 1.1735440000", \ - "0.1296517000, 0.1383712000, 0.1573526000, 0.1983914000, 0.2951455000, 0.5428091000, 1.1825335000", \ - "0.1496893000, 0.1584594000, 0.1773213000, 0.2184009000, 0.3155631000, 0.5630556000, 1.2055770000", \ - "0.1883851000, 0.1975708000, 0.2170353000, 0.2587155000, 0.3562785000, 0.6034744000, 1.2459127000", \ - "0.2404690000, 0.2508522000, 0.2719267000, 0.3151494000, 0.4135649000, 0.6614603000, 1.3027064000", \ - "0.2821840000, 0.2953604000, 0.3209008000, 0.3685220000, 0.4686895000, 0.7169892000, 1.3573934000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0289485000, 0.0335815000, 0.0444995000, 0.0673629000, 0.1231221000, 0.2655819000, 0.6548169000", \ - "0.0289453000, 0.0335565000, 0.0445797000, 0.0672223000, 0.1230006000, 0.2654743000, 0.6555621000", \ - "0.0292300000, 0.0336176000, 0.0446054000, 0.0673677000, 0.1229954000, 0.2654442000, 0.6521478000", \ - "0.0289262000, 0.0340924000, 0.0440791000, 0.0678906000, 0.1236441000, 0.2655522000, 0.6561731000", \ - "0.0290134000, 0.0336723000, 0.0444903000, 0.0683713000, 0.1234093000, 0.2657834000, 0.6545222000", \ - "0.0331407000, 0.0382408000, 0.0483884000, 0.0717806000, 0.1264921000, 0.2661009000, 0.6532064000", \ - "0.0443050000, 0.0494974000, 0.0610585000, 0.0860027000, 0.1406162000, 0.2765378000, 0.6547746000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0326514000, 0.0403111000, 0.0591659000, 0.1076504000, 0.2390277000, 0.5904080000, 1.4971190000", \ - "0.0325816000, 0.0403137000, 0.0591993000, 0.1076440000, 0.2393891000, 0.5893257000, 1.4975757000", \ - "0.0325630000, 0.0403039000, 0.0591827000, 0.1076938000, 0.2394316000, 0.5888462000, 1.4972767000", \ - "0.0325698000, 0.0402164000, 0.0589977000, 0.1077955000, 0.2387943000, 0.5906399000, 1.5007487000", \ - "0.0347717000, 0.0422229000, 0.0608233000, 0.1084991000, 0.2388676000, 0.5903437000, 1.5018293000", \ - "0.0405064000, 0.0479599000, 0.0659917000, 0.1119317000, 0.2405498000, 0.5891705000, 1.5015147000", \ - "0.0538521000, 0.0615387000, 0.0787809000, 0.1211114000, 0.2443062000, 0.5918496000, 1.4958950000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.2162608000, 0.2235593000, 0.2384638000, 0.2667276000, 0.3223799000, 0.4434747000, 0.7416893000", \ - "0.2214964000, 0.2287103000, 0.2436075000, 0.2721401000, 0.3276260000, 0.4490579000, 0.7472523000", \ - "0.2346127000, 0.2419592000, 0.2568589000, 0.2854445000, 0.3407788000, 0.4619183000, 0.7605030000", \ - "0.2648825000, 0.2721811000, 0.2869004000, 0.3155354000, 0.3711287000, 0.4923063000, 0.7904992000", \ - "0.3293562000, 0.3366832000, 0.3515150000, 0.3801827000, 0.4361128000, 0.5572742000, 0.8554485000", \ - "0.4640929000, 0.4719281000, 0.4878241000, 0.5181737000, 0.5752514000, 0.6972896000, 0.9955098000", \ - "0.6985440000, 0.7083213000, 0.7276381000, 0.7624803000, 0.8263696000, 0.9539802000, 1.2538570000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.1219477000, 0.1307653000, 0.1496687000, 0.1905878000, 0.2870151000, 0.5342132000, 1.1762712000", \ - "0.1260032000, 0.1347191000, 0.1537140000, 0.1946582000, 0.2907759000, 0.5376194000, 1.1776193000", \ - "0.1339137000, 0.1426332000, 0.1616209000, 0.2025594000, 0.2987982000, 0.5458248000, 1.1851070000", \ - "0.1504103000, 0.1591458000, 0.1780109000, 0.2189982000, 0.3156601000, 0.5620588000, 1.2035511000", \ - "0.1822275000, 0.1914348000, 0.2109343000, 0.2525033000, 0.3497771000, 0.5970632000, 1.2374332000", \ - "0.2281375000, 0.2382248000, 0.2593820000, 0.3028205000, 0.4011577000, 0.6489351000, 1.2895497000", \ - "0.2658364000, 0.2783470000, 0.3037357000, 0.3515843000, 0.4521955000, 0.7005431000, 1.3403155000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0300217000, 0.0345888000, 0.0454722000, 0.0684150000, 0.1237539000, 0.2654832000, 0.6540430000", \ - "0.0300853000, 0.0347963000, 0.0454996000, 0.0691861000, 0.1239685000, 0.2661055000, 0.6570198000", \ - "0.0300117000, 0.0346144000, 0.0450952000, 0.0690606000, 0.1237431000, 0.2657954000, 0.6511209000", \ - "0.0299897000, 0.0345532000, 0.0458005000, 0.0682493000, 0.1237821000, 0.2657070000, 0.6540950000", \ - "0.0301052000, 0.0349915000, 0.0455625000, 0.0680782000, 0.1236101000, 0.2654763000, 0.6552906000", \ - "0.0337009000, 0.0383363000, 0.0486462000, 0.0715355000, 0.1260562000, 0.2665189000, 0.6540308000", \ - "0.0442579000, 0.0493842000, 0.0602637000, 0.0838658000, 0.1387892000, 0.2750975000, 0.6543338000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0326730000, 0.0403195000, 0.0591967000, 0.1077386000, 0.2393439000, 0.5901015000, 1.5001327000", \ - "0.0325865000, 0.0402430000, 0.0592075000, 0.1075469000, 0.2392460000, 0.5879258000, 1.5009036000", \ - "0.0325782000, 0.0402670000, 0.0592013000, 0.1075190000, 0.2390992000, 0.5894208000, 1.4968660000", \ - "0.0325726000, 0.0403204000, 0.0591454000, 0.1079179000, 0.2392554000, 0.5897030000, 1.5019058000", \ - "0.0345905000, 0.0421498000, 0.0607061000, 0.1087179000, 0.2395107000, 0.5905097000, 1.5002326000", \ - "0.0396939000, 0.0475501000, 0.0655734000, 0.1120948000, 0.2407375000, 0.5892524000, 1.4969223000", \ - "0.0520526000, 0.0597691000, 0.0787903000, 0.1213359000, 0.2447521000, 0.5918226000, 1.4953078000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.1677024000, 0.1749038000, 0.1895717000, 0.2180279000, 0.2737758000, 0.3951066000, 0.6934838000", \ - "0.1714368000, 0.1785510000, 0.1933277000, 0.2216023000, 0.2774802000, 0.3989372000, 0.6968531000", \ - "0.1812817000, 0.1884885000, 0.2032243000, 0.2317295000, 0.2876398000, 0.4090472000, 0.7072146000", \ - "0.2084461000, 0.2155890000, 0.2303008000, 0.2587228000, 0.3147883000, 0.4360317000, 0.7343597000", \ - "0.2762365000, 0.2833334000, 0.2971065000, 0.3255768000, 0.3815721000, 0.5029828000, 0.8012282000", \ - "0.4151955000, 0.4236022000, 0.4397829000, 0.4698421000, 0.5274520000, 0.6501777000, 0.9480124000", \ - "0.6371767000, 0.6479778000, 0.6699297000, 0.7065031000, 0.7690453000, 0.8947753000, 1.1956235000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0772193000, 0.0857534000, 0.1042425000, 0.1446030000, 0.2412004000, 0.4904465000, 1.1287213000", \ - "0.0815284000, 0.0900642000, 0.1085233000, 0.1488909000, 0.2456254000, 0.4943406000, 1.1331778000", \ - "0.0914831000, 0.0999229000, 0.1182956000, 0.1585527000, 0.2554143000, 0.5034886000, 1.1449938000", \ - "0.1128845000, 0.1214278000, 0.1398528000, 0.1800761000, 0.2770190000, 0.5266103000, 1.1655221000", \ - "0.1438675000, 0.1534646000, 0.1731437000, 0.2145351000, 0.3120716000, 0.5613576000, 1.2013534000", \ - "0.1758346000, 0.1880281000, 0.2112186000, 0.2552647000, 0.3534130000, 0.6023008000, 1.2486931000", \ - "0.1848187000, 0.2010064000, 0.2314852000, 0.2841947000, 0.3851213000, 0.6333237000, 1.2747070000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0294068000, 0.0338483000, 0.0440119000, 0.0673957000, 0.1231922000, 0.2651825000, 0.6536400000", \ - "0.0292789000, 0.0336498000, 0.0445025000, 0.0676190000, 0.1232130000, 0.2652780000, 0.6539382000", \ - "0.0289238000, 0.0335016000, 0.0442013000, 0.0681188000, 0.1229044000, 0.2654562000, 0.6546955000", \ - "0.0291595000, 0.0336807000, 0.0439783000, 0.0678587000, 0.1230017000, 0.2656878000, 0.6566361000", \ - "0.0289371000, 0.0337034000, 0.0445055000, 0.0685095000, 0.1229480000, 0.2654867000, 0.6493689000", \ - "0.0369875000, 0.0411434000, 0.0505975000, 0.0733364000, 0.1263021000, 0.2661904000, 0.6541810000", \ - "0.0528416000, 0.0583635000, 0.0687460000, 0.0892378000, 0.1380233000, 0.2739367000, 0.6539196000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0297789000, 0.0369937000, 0.0550252000, 0.1033466000, 0.2364782000, 0.5906948000, 1.4958118000", \ - "0.0297463000, 0.0370023000, 0.0550172000, 0.1032940000, 0.2365796000, 0.5876434000, 1.4970908000", \ - "0.0298299000, 0.0370811000, 0.0551772000, 0.1036259000, 0.2362236000, 0.5889645000, 1.5009696000", \ - "0.0312157000, 0.0381882000, 0.0558736000, 0.1038905000, 0.2364261000, 0.5906370000, 1.4949779000", \ - "0.0374807000, 0.0441130000, 0.0603438000, 0.1064736000, 0.2372842000, 0.5901808000, 1.4995692000", \ - "0.0512866000, 0.0571401000, 0.0710968000, 0.1124431000, 0.2389962000, 0.5870673000, 1.5025438000", \ - "0.0719464000, 0.0791653000, 0.0931257000, 0.1298796000, 0.2449473000, 0.5888542000, 1.4938044000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.1776460000, 0.1849585000, 0.1999144000, 0.2286104000, 0.2847112000, 0.4064288000, 0.7049993000", \ - "0.1816940000, 0.1890152000, 0.2039484000, 0.2323190000, 0.2885453000, 0.4103121000, 0.7085386000", \ - "0.1918975000, 0.1992101000, 0.2141241000, 0.2427316000, 0.2988709000, 0.4206585000, 0.7187791000", \ - "0.2189970000, 0.2263828000, 0.2413590000, 0.2699013000, 0.3261236000, 0.4477649000, 0.7459366000", \ - "0.2854267000, 0.2927727000, 0.3075085000, 0.3362218000, 0.3924165000, 0.5139418000, 0.8121901000", \ - "0.4218725000, 0.4301982000, 0.4464095000, 0.4763566000, 0.5339416000, 0.6568709000, 0.9551625000", \ - "0.6358339000, 0.6469944000, 0.6680628000, 0.7041323000, 0.7657957000, 0.8913092000, 1.1918595000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0811213000, 0.0896763000, 0.1081402000, 0.1485182000, 0.2455107000, 0.4946031000, 1.1406899000", \ - "0.0856440000, 0.0941990000, 0.1126536000, 0.1530470000, 0.2500893000, 0.4974486000, 1.1426753000", \ - "0.0950767000, 0.1035898000, 0.1219628000, 0.1623250000, 0.2591995000, 0.5077734000, 1.1468876000", \ - "0.1147847000, 0.1233242000, 0.1416494000, 0.1819601000, 0.2792134000, 0.5268234000, 1.1829688000", \ - "0.1468687000, 0.1562328000, 0.1757224000, 0.2169534000, 0.3142956000, 0.5622990000, 1.2051147000", \ - "0.1857602000, 0.1972709000, 0.2196650000, 0.2635800000, 0.3618081000, 0.6098903000, 1.2531933000", \ - "0.2126072000, 0.2279490000, 0.2572436000, 0.3080884000, 0.4094372000, 0.6582406000, 1.2985629000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0299048000, 0.0345351000, 0.0450845000, 0.0686701000, 0.1236140000, 0.2661315000, 0.6501799000", \ - "0.0300458000, 0.0345519000, 0.0455218000, 0.0688156000, 0.1236766000, 0.2656903000, 0.6533392000", \ - "0.0301004000, 0.0346148000, 0.0455235000, 0.0683746000, 0.1237403000, 0.2657722000, 0.6555761000", \ - "0.0299535000, 0.0349537000, 0.0449014000, 0.0687128000, 0.1233169000, 0.2655819000, 0.6545185000", \ - "0.0301557000, 0.0347145000, 0.0451828000, 0.0681784000, 0.1233011000, 0.2656113000, 0.6559836000", \ - "0.0368030000, 0.0412594000, 0.0503183000, 0.0730693000, 0.1256954000, 0.2666548000, 0.6542736000", \ - "0.0533462000, 0.0581871000, 0.0687494000, 0.0885752000, 0.1364608000, 0.2731066000, 0.6541366000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0297858000, 0.0368668000, 0.0549596000, 0.1035997000, 0.2367330000, 0.5902081000, 1.5081822000", \ - "0.0297874000, 0.0368790000, 0.0550047000, 0.1035653000, 0.2363349000, 0.5887774000, 1.5053990000", \ - "0.0298101000, 0.0370397000, 0.0550923000, 0.1033475000, 0.2366198000, 0.5908155000, 1.4967700000", \ - "0.0306006000, 0.0376697000, 0.0555054000, 0.1037996000, 0.2366377000, 0.5890691000, 1.5030808000", \ - "0.0353153000, 0.0423671000, 0.0592950000, 0.1056253000, 0.2367856000, 0.5871764000, 1.4979843000", \ - "0.0465139000, 0.0527190000, 0.0681950000, 0.1116825000, 0.2390358000, 0.5866532000, 1.4969179000", \ - "0.0658634000, 0.0732970000, 0.0880352000, 0.1262412000, 0.2440359000, 0.5897067000, 1.4918292000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a32o_2") { - leakage_power () { - value : 0.0085240000; - when : "!A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0080156000; - when : "!A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0041161000; - when : "!A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0083968000; - when : "!A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0085472000; - when : "!A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0080388000; - when : "!A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0041161000; - when : "!A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0084199000; - when : "!A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0085371000; - when : "!A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0080287000; - when : "!A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0041161000; - when : "!A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0084098000; - when : "!A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0090478000; - when : "!A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0085393000; - when : "!A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0041159000; - when : "!A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0089205000; - when : "!A1&A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0085415000; - when : "A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0080331000; - when : "A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0041161000; - when : "A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0084142000; - when : "A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0088850000; - when : "A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0083766000; - when : "A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0041159000; - when : "A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0087578000; - when : "A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0087723000; - when : "A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0082639000; - when : "A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0041159000; - when : "A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0086451000; - when : "A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0032881000; - when : "A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0034224000; - when : "A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0011109000; - when : "A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0033903000; - when : "A1&A2&A3&B1&!B2"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__a32o"; - cell_leakage_power : 0.0068168340; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0022910000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022200000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044057000, 0.0044064000, 0.0044081000, 0.0044085000, 0.0044096000, 0.0044122000, 0.0044179000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003271100, -0.003273800, -0.003280000, -0.003273300, -0.003257700, -0.003221700, -0.003139000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023610000; - } - pin ("A2") { - capacitance : 0.0023440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022520000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043079000, 0.0042965000, 0.0042703000, 0.0042870000, 0.0043257000, 0.0044147000, 0.0046198000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003902700, -0.003899700, -0.003892800, -0.003892500, -0.003891600, -0.003889500, -0.003884900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024360000; - } - pin ("A3") { - capacitance : 0.0023030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040017000, 0.0039984000, 0.0039908000, 0.0039880000, 0.0039817000, 0.0039671000, 0.0039334000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003984300, -0.003981700, -0.003975900, -0.003976900, -0.003979100, -0.003984300, -0.003996300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024180000; - } - pin ("B1") { - capacitance : 0.0022850000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021410000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046086000, 0.0046091000, 0.0046103000, 0.0046114000, 0.0046138000, 0.0046194000, 0.0046322000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003553800, -0.003557900, -0.003567500, -0.003560700, -0.003545200, -0.003509500, -0.003427100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024290000; - } - pin ("B2") { - capacitance : 0.0024630000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022700000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044886000, 0.0044886000, 0.0044887000, 0.0044900000, 0.0044930000, 0.0045000000, 0.0045160000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004491400, -0.004489400, -0.004484700, -0.004485300, -0.004486600, -0.004489700, -0.004497000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026560000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2&A3) | (B1&B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0186249000, 0.0172232000, 0.0137098000, 0.0037379000, -0.028403900, -0.125112800, -0.402741300", \ - "0.0185428000, 0.0171409000, 0.0136717000, 0.0036628000, -0.028495300, -0.125190300, -0.402771600", \ - "0.0184395000, 0.0170362000, 0.0135431000, 0.0034441000, -0.028713800, -0.125400900, -0.402987800", \ - "0.0181024000, 0.0167248000, 0.0132034000, 0.0030777000, -0.029047300, -0.125659500, -0.403323700", \ - "0.0177577000, 0.0163569000, 0.0128917000, 0.0027643000, -0.029409100, -0.125994800, -0.403595200", \ - "0.0177195000, 0.0163462000, 0.0127909000, 0.0026477000, -0.029615000, -0.126270600, -0.403752400", \ - "0.0226239000, 0.0210072000, 0.0165214000, 0.0042923000, -0.029703200, -0.126448000, -0.403803100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0191082000, 0.0207161000, 0.0252219000, 0.0377916000, 0.0724169000, 0.1698941000, 0.4450598000", \ - "0.0190080000, 0.0206100000, 0.0251129000, 0.0376862000, 0.0723205000, 0.1698020000, 0.4449810000", \ - "0.0188659000, 0.0204609000, 0.0249787000, 0.0375257000, 0.0722277000, 0.1694383000, 0.4448639000", \ - "0.0187081000, 0.0203039000, 0.0248072000, 0.0373125000, 0.0719517000, 0.1692409000, 0.4445445000", \ - "0.0186571000, 0.0202237000, 0.0246008000, 0.0370145000, 0.0715135000, 0.1690286000, 0.4443363000", \ - "0.0194641000, 0.0209306000, 0.0251455000, 0.0370415000, 0.0713819000, 0.1683417000, 0.4441727000", \ - "0.0211543000, 0.0225817000, 0.0266238000, 0.0387556000, 0.0727548000, 0.1698169000, 0.4438529000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0216522000, 0.0202361000, 0.0166975000, 0.0066354000, -0.025471700, -0.122100300, -0.399633500", \ - "0.0214464000, 0.0200169000, 0.0164650000, 0.0065202000, -0.025566200, -0.122202300, -0.399741200", \ - "0.0212896000, 0.0198644000, 0.0163136000, 0.0062827000, -0.025804200, -0.122352300, -0.399852900", \ - "0.0210540000, 0.0196265000, 0.0160912000, 0.0060427000, -0.025977300, -0.122583300, -0.400077800", \ - "0.0207994000, 0.0194099000, 0.0158713000, 0.0056901000, -0.026322100, -0.122901400, -0.400376600", \ - "0.0206565000, 0.0191962000, 0.0159442000, 0.0056637000, -0.026559100, -0.123126900, -0.400564600", \ - "0.0254080000, 0.0237492000, 0.0192752000, 0.0071244000, -0.026448400, -0.123371500, -0.400750100"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0197474000, 0.0213474000, 0.0258728000, 0.0384243000, 0.0730604000, 0.1705330000, 0.4457132000", \ - "0.0196501000, 0.0212545000, 0.0257662000, 0.0383385000, 0.0729716000, 0.1704356000, 0.4456061000", \ - "0.0195086000, 0.0211215000, 0.0256239000, 0.0381953000, 0.0728033000, 0.1702821000, 0.4454303000", \ - "0.0193441000, 0.0209473000, 0.0254465000, 0.0379945000, 0.0725724000, 0.1698489000, 0.4447341000", \ - "0.0192590000, 0.0208443000, 0.0252602000, 0.0377502000, 0.0722307000, 0.1695921000, 0.4446012000", \ - "0.0198852000, 0.0213461000, 0.0256029000, 0.0374998000, 0.0720538000, 0.1690941000, 0.4447417000", \ - "0.0210746000, 0.0225212000, 0.0266460000, 0.0387844000, 0.0728674000, 0.1700131000, 0.4438904000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0242894000, 0.0229408000, 0.0193894000, 0.0092899000, -0.022700900, -0.119224700, -0.396583800", \ - "0.0242610000, 0.0228272000, 0.0192833000, 0.0093957000, -0.022716700, -0.119341500, -0.396769000", \ - "0.0242946000, 0.0228507000, 0.0193366000, 0.0091690000, -0.022872700, -0.119425100, -0.396780100", \ - "0.0240833000, 0.0226628000, 0.0191231000, 0.0089940000, -0.023060500, -0.119474000, -0.396917800", \ - "0.0238821000, 0.0224597000, 0.0189589000, 0.0088628000, -0.023232100, -0.119758700, -0.397161800", \ - "0.0237900000, 0.0223350000, 0.0190696000, 0.0087285000, -0.023384500, -0.119869500, -0.397214000", \ - "0.0289631000, 0.0273087000, 0.0228813000, 0.0107406000, -0.023286900, -0.120045100, -0.397196700"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0196094000, 0.0212199000, 0.0257262000, 0.0383014000, 0.0729129000, 0.1703906000, 0.4464765000", \ - "0.0195302000, 0.0211266000, 0.0256395000, 0.0381810000, 0.0728985000, 0.1701763000, 0.4454132000", \ - "0.0193817000, 0.0209820000, 0.0254927000, 0.0380651000, 0.0726989000, 0.1701834000, 0.4453486000", \ - "0.0192166000, 0.0208179000, 0.0253176000, 0.0378779000, 0.0724723000, 0.1697236000, 0.4446623000", \ - "0.0191361000, 0.0207054000, 0.0251592000, 0.0376032000, 0.0722040000, 0.1695788000, 0.4448173000", \ - "0.0197197000, 0.0212172000, 0.0255037000, 0.0375074000, 0.0720347000, 0.1690990000, 0.4447108000", \ - "0.0208368000, 0.0222773000, 0.0263830000, 0.0386408000, 0.0728232000, 0.1699773000, 0.4435403000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0184795000, 0.0170553000, 0.0135838000, 0.0034623000, -0.028703900, -0.125407900, -0.402923700", \ - "0.0182388000, 0.0168429000, 0.0132874000, 0.0032183000, -0.028916000, -0.125564400, -0.403078500", \ - "0.0179496000, 0.0165269000, 0.0129946000, 0.0029472000, -0.029221100, -0.125844500, -0.403333300", \ - "0.0177301000, 0.0162988000, 0.0127468000, 0.0026571000, -0.029562000, -0.126060300, -0.403590900", \ - "0.0177022000, 0.0162921000, 0.0127390000, 0.0025177000, -0.029695600, -0.126276500, -0.403711000", \ - "0.0183709000, 0.0168952000, 0.0131119000, 0.0029239000, -0.029382700, -0.125930000, -0.403265500", \ - "0.0244641000, 0.0227159000, 0.0180256000, 0.0056469000, -0.028661200, -0.125457600, -0.402697800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0158547000, 0.0174900000, 0.0219868000, 0.0343511000, 0.0686280000, 0.1656941000, 0.4411693000", \ - "0.0158320000, 0.0174600000, 0.0219460000, 0.0343336000, 0.0686317000, 0.1654882000, 0.4384952000", \ - "0.0157124000, 0.0173361000, 0.0218267000, 0.0341745000, 0.0685026000, 0.1654192000, 0.4385130000", \ - "0.0155037000, 0.0170892000, 0.0215052000, 0.0338068000, 0.0681380000, 0.1644300000, 0.4380869000", \ - "0.0153571000, 0.0169064000, 0.0212920000, 0.0334024000, 0.0677418000, 0.1647715000, 0.4403369000", \ - "0.0161700000, 0.0176229000, 0.0218355000, 0.0338763000, 0.0678693000, 0.1644223000, 0.4380991000", \ - "0.0180965000, 0.0194899000, 0.0234709000, 0.0356349000, 0.0695356000, 0.1659652000, 0.4391794000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0213269000, 0.0199371000, 0.0164024000, 0.0063132000, -0.025780100, -0.122275200, -0.399731500", \ - "0.0213285000, 0.0198782000, 0.0163668000, 0.0062849000, -0.025844200, -0.122430100, -0.399839300", \ - "0.0210490000, 0.0196152000, 0.0161351000, 0.0060378000, -0.026091900, -0.122664600, -0.400143600", \ - "0.0208169000, 0.0193923000, 0.0158254000, 0.0058167000, -0.026321500, -0.122904000, -0.400309600", \ - "0.0206928000, 0.0192816000, 0.0156702000, 0.0055886000, -0.026633700, -0.123137200, -0.400517400", \ - "0.0211304000, 0.0196259000, 0.0159090000, 0.0057782000, -0.026560200, -0.123025300, -0.400286500", \ - "0.0278782000, 0.0261758000, 0.0215308000, 0.0097140000, -0.024825000, -0.121646300, -0.398826400"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0168419000, 0.0184222000, 0.0229423000, 0.0353195000, 0.0695159000, 0.1662324000, 0.4391818000", \ - "0.0167880000, 0.0184142000, 0.0229158000, 0.0352743000, 0.0694917000, 0.1662555000, 0.4392097000", \ - "0.0166218000, 0.0182403000, 0.0227298000, 0.0350742000, 0.0693234000, 0.1661373000, 0.4388052000", \ - "0.0163254000, 0.0179118000, 0.0223607000, 0.0346853000, 0.0690011000, 0.1652161000, 0.4414668000", \ - "0.0160942000, 0.0176343000, 0.0220768000, 0.0342357000, 0.0685446000, 0.1656964000, 0.4387816000", \ - "0.0166533000, 0.0181329000, 0.0224316000, 0.0345215000, 0.0685784000, 0.1649594000, 0.4408935000", \ - "0.0182356000, 0.0196448000, 0.0237595000, 0.0357752000, 0.0699981000, 0.1670127000, 0.4399069000"); - } - } - max_capacitance : 0.2645970000; - max_transition : 1.5022100000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.2055854000, 0.2110921000, 0.2236333000, 0.2493651000, 0.2996294000, 0.4075911000, 0.6808221000", \ - "0.2109408000, 0.2164478000, 0.2290216000, 0.2543667000, 0.3049625000, 0.4129570000, 0.6861518000", \ - "0.2236106000, 0.2291260000, 0.2416656000, 0.2673420000, 0.3175681000, 0.4255544000, 0.6987729000", \ - "0.2528429000, 0.2583272000, 0.2708249000, 0.2964682000, 0.3467771000, 0.4548456000, 0.7279137000", \ - "0.3163790000, 0.3219580000, 0.3344682000, 0.3600716000, 0.4106193000, 0.5187447000, 0.7916444000", \ - "0.4470668000, 0.4531790000, 0.4666452000, 0.4938867000, 0.5463802000, 0.6556837000, 0.9290985000", \ - "0.6718474000, 0.6792295000, 0.6953804000, 0.7276748000, 0.7877178000, 0.9053794000, 1.1826160000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.1182213000, 0.1251615000, 0.1414062000, 0.1782550000, 0.2667622000, 0.5071378000, 1.1845129000", \ - "0.1218355000, 0.1287745000, 0.1450423000, 0.1818795000, 0.2704030000, 0.5107658000, 1.1883838000", \ - "0.1309177000, 0.1377942000, 0.1541154000, 0.1908344000, 0.2795387000, 0.5203856000, 1.1960810000", \ - "0.1532791000, 0.1601444000, 0.1764066000, 0.2130378000, 0.3015731000, 0.5415071000, 1.2183931000", \ - "0.1982168000, 0.2052352000, 0.2218045000, 0.2586178000, 0.3472067000, 0.5878067000, 1.2668486000", \ - "0.2550987000, 0.2633186000, 0.2818173000, 0.3203220000, 0.4098789000, 0.6499921000, 1.3298265000", \ - "0.3015443000, 0.3119622000, 0.3349925000, 0.3801940000, 0.4722037000, 0.7117169000, 1.3887402000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0305041000, 0.0341172000, 0.0425133000, 0.0603051000, 0.1051299000, 0.2229413000, 0.5753767000", \ - "0.0303991000, 0.0339600000, 0.0420544000, 0.0612709000, 0.1048515000, 0.2230665000, 0.5753582000", \ - "0.0304488000, 0.0337288000, 0.0424293000, 0.0602959000, 0.1048894000, 0.2229214000, 0.5757014000", \ - "0.0303915000, 0.0338385000, 0.0418004000, 0.0608236000, 0.1050620000, 0.2228725000, 0.5753378000", \ - "0.0303088000, 0.0340312000, 0.0418619000, 0.0603480000, 0.1049327000, 0.2228275000, 0.5740898000", \ - "0.0348172000, 0.0385512000, 0.0469105000, 0.0659280000, 0.1084704000, 0.2239489000, 0.5755436000", \ - "0.0471511000, 0.0513153000, 0.0607862000, 0.0808770000, 0.1247265000, 0.2383054000, 0.5775325000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0322325000, 0.0376553000, 0.0518548000, 0.0905344000, 0.2034135000, 0.5396604000, 1.4992125000", \ - "0.0321175000, 0.0376590000, 0.0518162000, 0.0905229000, 0.2034285000, 0.5396886000, 1.4994402000", \ - "0.0321981000, 0.0376070000, 0.0519032000, 0.0903789000, 0.2035084000, 0.5387992000, 1.4980109000", \ - "0.0320752000, 0.0375579000, 0.0518020000, 0.0903683000, 0.2035193000, 0.5388130000, 1.4986742000", \ - "0.0344882000, 0.0396678000, 0.0536040000, 0.0915998000, 0.2039419000, 0.5383244000, 1.4959374000", \ - "0.0428427000, 0.0480282000, 0.0607206000, 0.0966855000, 0.2062648000, 0.5374665000, 1.4983792000", \ - "0.0573512000, 0.0635482000, 0.0785530000, 0.1116196000, 0.2121167000, 0.5404130000, 1.4938167000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.2284532000, 0.2341581000, 0.2472548000, 0.2735050000, 0.3247070000, 0.4333937000, 0.7070260000", \ - "0.2339089000, 0.2396556000, 0.2526216000, 0.2786658000, 0.3296497000, 0.4385978000, 0.7124153000", \ - "0.2468927000, 0.2526438000, 0.2656122000, 0.2919061000, 0.3427346000, 0.4515147000, 0.7250987000", \ - "0.2763650000, 0.2821028000, 0.2950791000, 0.3213535000, 0.3722113000, 0.4809987000, 0.7546057000", \ - "0.3392990000, 0.3449331000, 0.3578965000, 0.3844792000, 0.4357815000, 0.5447099000, 0.8183959000", \ - "0.4726582000, 0.4787825000, 0.4925342000, 0.5198396000, 0.5722855000, 0.6818424000, 0.9556209000", \ - "0.7051930000, 0.7126387000, 0.7289978000, 0.7609529000, 0.8201367000, 0.9374570000, 1.2143031000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.1264165000, 0.1333797000, 0.1497313000, 0.1864609000, 0.2749837000, 0.5152954000, 1.1926075000", \ - "0.1304753000, 0.1374076000, 0.1536602000, 0.1905105000, 0.2790154000, 0.5192122000, 1.1963688000", \ - "0.1393565000, 0.1463140000, 0.1625383000, 0.1993959000, 0.2878568000, 0.5278505000, 1.2046429000", \ - "0.1598204000, 0.1667624000, 0.1830580000, 0.2197524000, 0.3081871000, 0.5476916000, 1.2238471000", \ - "0.2015533000, 0.2086227000, 0.2253441000, 0.2623487000, 0.3507894000, 0.5909401000, 1.2676858000", \ - "0.2613224000, 0.2694420000, 0.2877946000, 0.3267334000, 0.4163748000, 0.6565676000, 1.3350724000", \ - "0.3178668000, 0.3280410000, 0.3507709000, 0.3957110000, 0.4885392000, 0.7284141000, 1.4052436000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0323756000, 0.0358227000, 0.0439288000, 0.0622489000, 0.1063838000, 0.2237113000, 0.5759031000", \ - "0.0327036000, 0.0357259000, 0.0443603000, 0.0623902000, 0.1065432000, 0.2240862000, 0.5764570000", \ - "0.0326911000, 0.0357436000, 0.0437084000, 0.0622581000, 0.1068890000, 0.2237145000, 0.5751005000", \ - "0.0327850000, 0.0357806000, 0.0437482000, 0.0622530000, 0.1068263000, 0.2237192000, 0.5751942000", \ - "0.0325003000, 0.0364642000, 0.0439879000, 0.0628169000, 0.1064610000, 0.2241659000, 0.5762126000", \ - "0.0360510000, 0.0395878000, 0.0482308000, 0.0657341000, 0.1088642000, 0.2250334000, 0.5758087000", \ - "0.0481863000, 0.0517842000, 0.0611217000, 0.0804789000, 0.1240977000, 0.2379044000, 0.5778434000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0323324000, 0.0375656000, 0.0519055000, 0.0905210000, 0.2034168000, 0.5397536000, 1.4991338000", \ - "0.0322117000, 0.0376492000, 0.0518485000, 0.0905315000, 0.2033636000, 0.5398229000, 1.4985775000", \ - "0.0322653000, 0.0376399000, 0.0518815000, 0.0905240000, 0.2031028000, 0.5395659000, 1.4969791000", \ - "0.0320662000, 0.0375672000, 0.0519484000, 0.0904438000, 0.2036458000, 0.5379020000, 1.4935435000", \ - "0.0342020000, 0.0396585000, 0.0532985000, 0.0915432000, 0.2034794000, 0.5384681000, 1.4952654000", \ - "0.0410641000, 0.0466852000, 0.0602345000, 0.0963388000, 0.2066925000, 0.5386586000, 1.4986413000", \ - "0.0543667000, 0.0604638000, 0.0750317000, 0.1099032000, 0.2121422000, 0.5400645000, 1.4914880000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.2269836000, 0.2327557000, 0.2453776000, 0.2710488000, 0.3208036000, 0.4282181000, 0.7016301000", \ - "0.2324312000, 0.2380691000, 0.2507623000, 0.2762235000, 0.3262260000, 0.4336531000, 0.7069259000", \ - "0.2457726000, 0.2514117000, 0.2641139000, 0.2898129000, 0.3395582000, 0.4469992000, 0.7202431000", \ - "0.2747203000, 0.2803101000, 0.2930035000, 0.3185201000, 0.3686273000, 0.4759800000, 0.7491352000", \ - "0.3346086000, 0.3402461000, 0.3529497000, 0.3786586000, 0.4288480000, 0.5362156000, 0.8093760000", \ - "0.4582212000, 0.4642606000, 0.4781270000, 0.5045407000, 0.5557532000, 0.6641063000, 0.9375404000", \ - "0.6702651000, 0.6774640000, 0.6934696000, 0.7246850000, 0.7827745000, 0.8980726000, 1.1745148000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.1301089000, 0.1370481000, 0.1532899000, 0.1901520000, 0.2786217000, 0.5186041000, 1.1954466000", \ - "0.1342951000, 0.1411774000, 0.1574880000, 0.1942543000, 0.2829353000, 0.5237151000, 1.2023478000", \ - "0.1426532000, 0.1496081000, 0.1658487000, 0.2027081000, 0.2912513000, 0.5315168000, 1.2088665000", \ - "0.1603962000, 0.1673702000, 0.1836628000, 0.2203753000, 0.3088709000, 0.5486235000, 1.2251608000", \ - "0.1966352000, 0.2038006000, 0.2205112000, 0.2574026000, 0.3460650000, 0.5861741000, 1.2632682000", \ - "0.2530536000, 0.2609891000, 0.2792384000, 0.3183034000, 0.4082608000, 0.6481000000, 1.3266050000", \ - "0.3150981000, 0.3249968000, 0.3470988000, 0.3915029000, 0.4849980000, 0.7254973000, 1.4014684000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0318914000, 0.0353962000, 0.0429334000, 0.0609984000, 0.1046674000, 0.2218236000, 0.5757066000", \ - "0.0317330000, 0.0350600000, 0.0427337000, 0.0610477000, 0.1043061000, 0.2221821000, 0.5749551000", \ - "0.0320586000, 0.0350073000, 0.0428915000, 0.0608003000, 0.1047636000, 0.2220256000, 0.5750014000", \ - "0.0319770000, 0.0357053000, 0.0430791000, 0.0613133000, 0.1042543000, 0.2215619000, 0.5753457000", \ - "0.0317780000, 0.0351054000, 0.0430809000, 0.0609607000, 0.1042660000, 0.2219076000, 0.5739109000", \ - "0.0354203000, 0.0388467000, 0.0468887000, 0.0646051000, 0.1071151000, 0.2226487000, 0.5758864000", \ - "0.0464188000, 0.0502686000, 0.0589924000, 0.0774904000, 0.1214110000, 0.2338879000, 0.5758038000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0322308000, 0.0376534000, 0.0518664000, 0.0905262000, 0.2031032000, 0.5396087000, 1.4970706000", \ - "0.0321791000, 0.0376263000, 0.0518045000, 0.0904013000, 0.2035638000, 0.5387081000, 1.4958560000", \ - "0.0323229000, 0.0375975000, 0.0518791000, 0.0905207000, 0.2034860000, 0.5398067000, 1.4991132000", \ - "0.0322948000, 0.0375606000, 0.0519580000, 0.0904730000, 0.2036522000, 0.5375587000, 1.4945202000", \ - "0.0338496000, 0.0392740000, 0.0530390000, 0.0913815000, 0.2036108000, 0.5388451000, 1.4989123000", \ - "0.0391994000, 0.0450069000, 0.0590754000, 0.0961485000, 0.2059783000, 0.5385287000, 1.4991353000", \ - "0.0513433000, 0.0577102000, 0.0722486000, 0.1084650000, 0.2118647000, 0.5399328000, 1.4931166000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.1882062000, 0.1937409000, 0.2061803000, 0.2317136000, 0.2813272000, 0.3886133000, 0.6615586000", \ - "0.1922477000, 0.1978128000, 0.2102915000, 0.2356271000, 0.2851860000, 0.3924042000, 0.6653422000", \ - "0.2020860000, 0.2076037000, 0.2201567000, 0.2452978000, 0.2952570000, 0.4024449000, 0.6755101000", \ - "0.2296672000, 0.2351515000, 0.2476049000, 0.2731247000, 0.3229680000, 0.4303095000, 0.7032443000", \ - "0.2966096000, 0.3021074000, 0.3146901000, 0.3400199000, 0.3898853000, 0.4973608000, 0.7704396000", \ - "0.4442908000, 0.4506784000, 0.4645146000, 0.4916325000, 0.5430475000, 0.6511280000, 0.9245701000", \ - "0.6843129000, 0.6923019000, 0.7100043000, 0.7443088000, 0.8036366000, 0.9170608000, 1.1934764000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0813524000, 0.0878791000, 0.1031341000, 0.1376076000, 0.2234476000, 0.4620663000, 1.1417712000", \ - "0.0857208000, 0.0922394000, 0.1074969000, 0.1419756000, 0.2278177000, 0.4666662000, 1.1413936000", \ - "0.0957668000, 0.1022772000, 0.1175033000, 0.1519132000, 0.2377323000, 0.4761578000, 1.1512031000", \ - "0.1183218000, 0.1248327000, 0.1400296000, 0.1744225000, 0.2602355000, 0.4988165000, 1.1744981000", \ - "0.1525599000, 0.1601454000, 0.1767221000, 0.2125444000, 0.2991762000, 0.5377833000, 1.2156139000", \ - "0.1881525000, 0.1980048000, 0.2187171000, 0.2583315000, 0.3465155000, 0.5849980000, 1.2616870000", \ - "0.2035003000, 0.2160375000, 0.2428693000, 0.2928038000, 0.3867628000, 0.6243722000, 1.2998924000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0312716000, 0.0346549000, 0.0423515000, 0.0603662000, 0.1040993000, 0.2218572000, 0.5745084000", \ - "0.0312723000, 0.0346677000, 0.0423065000, 0.0603637000, 0.1043720000, 0.2217377000, 0.5742566000", \ - "0.0312909000, 0.0343211000, 0.0426613000, 0.0611163000, 0.1040027000, 0.2218562000, 0.5755730000", \ - "0.0310627000, 0.0347278000, 0.0424063000, 0.0601763000, 0.1042410000, 0.2216842000, 0.5752570000", \ - "0.0310510000, 0.0344164000, 0.0428825000, 0.0604510000, 0.1040209000, 0.2215704000, 0.5751379000", \ - "0.0384751000, 0.0419893000, 0.0498148000, 0.0664216000, 0.1073956000, 0.2232157000, 0.5757952000", \ - "0.0565188000, 0.0607878000, 0.0704557000, 0.0883824000, 0.1252258000, 0.2342387000, 0.5770151000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0289043000, 0.0341693000, 0.0476923000, 0.0855263000, 0.1997875000, 0.5367517000, 1.5007774000", \ - "0.0288749000, 0.0341661000, 0.0476387000, 0.0854988000, 0.1998578000, 0.5361042000, 1.4953351000", \ - "0.0289058000, 0.0341747000, 0.0477077000, 0.0855823000, 0.1997018000, 0.5370337000, 1.4928601000", \ - "0.0298328000, 0.0349719000, 0.0481918000, 0.0858982000, 0.1995666000, 0.5349267000, 1.4904189000", \ - "0.0369973000, 0.0418069000, 0.0537691000, 0.0893350000, 0.2009709000, 0.5367758000, 1.4995108000", \ - "0.0505515000, 0.0559323000, 0.0669878000, 0.0981917000, 0.2039311000, 0.5356335000, 1.4925985000", \ - "0.0702332000, 0.0769211000, 0.0912055000, 0.1215252000, 0.2137915000, 0.5382449000, 1.4896063000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.2019866000, 0.2076768000, 0.2203626000, 0.2459821000, 0.2962456000, 0.4032251000, 0.6761630000", \ - "0.2057334000, 0.2113774000, 0.2240853000, 0.2498040000, 0.2999992000, 0.4068521000, 0.6801345000", \ - "0.2157536000, 0.2213869000, 0.2340823000, 0.2597596000, 0.3099851000, 0.4168931000, 0.6901738000", \ - "0.2420664000, 0.2477034000, 0.2603758000, 0.2860611000, 0.3361567000, 0.4437427000, 0.7169412000", \ - "0.3085664000, 0.3142198000, 0.3268607000, 0.3525164000, 0.4026262000, 0.5100246000, 0.7834656000", \ - "0.4529158000, 0.4591694000, 0.4729067000, 0.4998614000, 0.5512634000, 0.6593585000, 0.9331590000", \ - "0.6853177000, 0.6936265000, 0.7113244000, 0.7456479000, 0.8041402000, 0.9170186000, 1.1931693000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0870298000, 0.0935583000, 0.1088000000, 0.1432835000, 0.2288826000, 0.4673008000, 1.1418939000", \ - "0.0914013000, 0.0979200000, 0.1131730000, 0.1476029000, 0.2331816000, 0.4713299000, 1.1461926000", \ - "0.1004236000, 0.1069206000, 0.1221531000, 0.1565779000, 0.2422157000, 0.4804886000, 1.1574764000", \ - "0.1197516000, 0.1262727000, 0.1414273000, 0.1758986000, 0.2618090000, 0.4996811000, 1.1808642000", \ - "0.1514500000, 0.1586745000, 0.1750992000, 0.2108479000, 0.2974157000, 0.5361876000, 1.2108435000", \ - "0.1891430000, 0.1982157000, 0.2176763000, 0.2567448000, 0.3449430000, 0.5832477000, 1.2617946000", \ - "0.2116101000, 0.2234138000, 0.2488552000, 0.2966355000, 0.3899990000, 0.6290524000, 1.3037820000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0319562000, 0.0357568000, 0.0431368000, 0.0613971000, 0.1042656000, 0.2222587000, 0.5758915000", \ - "0.0320944000, 0.0349788000, 0.0428950000, 0.0608187000, 0.1043285000, 0.2221482000, 0.5763515000", \ - "0.0320234000, 0.0349938000, 0.0429004000, 0.0607759000, 0.1042899000, 0.2219869000, 0.5760368000", \ - "0.0319160000, 0.0352113000, 0.0432614000, 0.0613967000, 0.1044524000, 0.2221215000, 0.5749662000", \ - "0.0317260000, 0.0353096000, 0.0427451000, 0.0608560000, 0.1042809000, 0.2219889000, 0.5754255000", \ - "0.0384762000, 0.0418032000, 0.0494709000, 0.0663902000, 0.1071391000, 0.2230596000, 0.5756468000", \ - "0.0562030000, 0.0605446000, 0.0699977000, 0.0861699000, 0.1239265000, 0.2330434000, 0.5773531000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0288140000, 0.0339932000, 0.0475996000, 0.0856656000, 0.1998889000, 0.5361550000, 1.4949206000", \ - "0.0288884000, 0.0341541000, 0.0476751000, 0.0855692000, 0.1997318000, 0.5370010000, 1.4924439000", \ - "0.0287631000, 0.0340550000, 0.0477047000, 0.0856542000, 0.1997441000, 0.5369919000, 1.4956901000", \ - "0.0293235000, 0.0346295000, 0.0480911000, 0.0858246000, 0.1997325000, 0.5356141000, 1.5022100000", \ - "0.0342557000, 0.0394191000, 0.0522176000, 0.0887443000, 0.2004995000, 0.5365238000, 1.4925728000", \ - "0.0453122000, 0.0502509000, 0.0627251000, 0.0958885000, 0.2034524000, 0.5354723000, 1.4952349000", \ - "0.0630617000, 0.0697908000, 0.0832787000, 0.1141074000, 0.2117839000, 0.5375477000, 1.4919928000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a32o_4") { - leakage_power () { - value : 0.0053900000; - when : "!A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0047000000; - when : "!A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0057453000; - when : "!A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0052260000; - when : "!A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0054184000; - when : "!A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0047285000; - when : "!A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0057453000; - when : "!A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0052546000; - when : "!A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0054237000; - when : "!A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0047338000; - when : "!A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0057453000; - when : "!A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0052599000; - when : "!A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0061047000; - when : "!A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0054147000; - when : "!A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0057453000; - when : "!A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0059408000; - when : "!A1&A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0054350000; - when : "A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0047430000; - when : "A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0057453000; - when : "A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0052703000; - when : "A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0059403000; - when : "A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0052501000; - when : "A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0057462000; - when : "A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0057746000; - when : "A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0059702000; - when : "A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0052780000; - when : "A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0057452000; - when : "A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0058030000; - when : "A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0060543000; - when : "A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0062855000; - when : "A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0022874000; - when : "A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0062303000; - when : "A1&A2&A3&B1&!B2"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__a32o"; - cell_leakage_power : 0.0054417170; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0042720000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041030000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092163000, 0.0092109000, 0.0091985000, 0.0092000000, 0.0092035000, 0.0092117000, 0.0092305000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006441700, -0.006446300, -0.006456800, -0.006441100, -0.006404800, -0.006321100, -0.006128300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044410000; - } - pin ("A2") { - capacitance : 0.0042840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040990000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0085840000, 0.0085770000, 0.0085610000, 0.0085894000, 0.0086551000, 0.0088063000, 0.0091550000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007914300, -0.007916600, -0.007922000, -0.007923900, -0.007928400, -0.007938800, -0.007962600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044700000; - } - pin ("A3") { - capacitance : 0.0044660000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082592000, 0.0082553000, 0.0082462000, 0.0082495000, 0.0082572000, 0.0082749000, 0.0083156000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008244000, -0.008243400, -0.008242000, -0.008241000, -0.008238700, -0.008233500, -0.008221400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047390000; - } - pin ("B1") { - capacitance : 0.0043510000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040630000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092281000, 0.0092271000, 0.0092248000, 0.0092216000, 0.0092143000, 0.0091974000, 0.0091584000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006491400, -0.006495200, -0.006503900, -0.006485600, -0.006443300, -0.006346000, -0.006121600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046390000; - } - pin ("B2") { - capacitance : 0.0042810000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0077717000, 0.0077680000, 0.0077593000, 0.0077584000, 0.0077564000, 0.0077517000, 0.0077410000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007742300, -0.007741400, -0.007739300, -0.007735300, -0.007726100, -0.007704700, -0.007655600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046120000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2&A3) | (B1&B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0342602000, 0.0324812000, 0.0273363000, 0.0123641000, -0.040934700, -0.225160000, -0.821915200", \ - "0.0341961000, 0.0324186000, 0.0272692000, 0.0123104000, -0.040965000, -0.225182900, -0.822047400", \ - "0.0338849000, 0.0321275000, 0.0270072000, 0.0120676000, -0.041331200, -0.225409200, -0.822335400", \ - "0.0331047000, 0.0313484000, 0.0261855000, 0.0113140000, -0.041926100, -0.226045000, -0.822926900", \ - "0.0324500000, 0.0307449000, 0.0255575000, 0.0105755000, -0.042728500, -0.226808600, -0.823571000", \ - "0.0323963000, 0.0305780000, 0.0256120000, 0.0104790000, -0.043137300, -0.227131300, -0.823892900", \ - "0.0414712000, 0.0394609000, 0.0331187000, 0.0147159000, -0.043242200, -0.227570400, -0.823863700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0367803000, 0.0386147000, 0.0446528000, 0.0638584000, 0.1225574000, 0.3074977000, 0.8978022000", \ - "0.0365757000, 0.0384107000, 0.0444249000, 0.0635840000, 0.1225380000, 0.3074990000, 0.8989715000", \ - "0.0362881000, 0.0381363000, 0.0441131000, 0.0633860000, 0.1222306000, 0.3072220000, 0.8989063000", \ - "0.0358637000, 0.0376619000, 0.0436121000, 0.0628937000, 0.1218678000, 0.3067918000, 0.9017991000", \ - "0.0357507000, 0.0375508000, 0.0434290000, 0.0625891000, 0.1212801000, 0.3062719000, 0.8978020000", \ - "0.0372539000, 0.0389869000, 0.0445177000, 0.0625099000, 0.1211604000, 0.3059143000, 0.8975955000", \ - "0.0402019000, 0.0417823000, 0.0472307000, 0.0651464000, 0.1232055000, 0.3078066000, 0.8986330000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0406982000, 0.0388763000, 0.0336620000, 0.0187075000, -0.034419800, -0.218372900, -0.815193300", \ - "0.0405417000, 0.0387226000, 0.0334967000, 0.0187613000, -0.034669900, -0.218660900, -0.815305100", \ - "0.0402788000, 0.0384838000, 0.0332886000, 0.0182985000, -0.034949700, -0.218943700, -0.815568900", \ - "0.0396771000, 0.0379598000, 0.0327238000, 0.0177098000, -0.035574800, -0.219448300, -0.816066000", \ - "0.0391040000, 0.0373330000, 0.0320585000, 0.0171549000, -0.036162000, -0.220115700, -0.816701700", \ - "0.0389067000, 0.0370816000, 0.0317935000, 0.0165979000, -0.036586100, -0.220535400, -0.817115900", \ - "0.0478645000, 0.0458626000, 0.0397333000, 0.0212808000, -0.036819800, -0.221195800, -0.817496500"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0382928000, 0.0400848000, 0.0460118000, 0.0652828000, 0.1241118000, 0.3085949000, 0.9033375000", \ - "0.0380635000, 0.0398769000, 0.0459248000, 0.0651104000, 0.1237636000, 0.3085899000, 0.9032305000", \ - "0.0377800000, 0.0395677000, 0.0455807000, 0.0648351000, 0.1234881000, 0.3081372000, 0.8989100000", \ - "0.0373949000, 0.0392154000, 0.0452322000, 0.0643806000, 0.1232014000, 0.3079666000, 0.8999176000", \ - "0.0371942000, 0.0389797000, 0.0448958000, 0.0640265000, 0.1226791000, 0.3073637000, 0.9018149000", \ - "0.0383541000, 0.0400699000, 0.0456764000, 0.0637769000, 0.1225226000, 0.3071360000, 0.8988616000", \ - "0.0411334000, 0.0427850000, 0.0482253000, 0.0662490000, 0.1245978000, 0.3088585000, 0.9000456000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0458395000, 0.0440631000, 0.0388324000, 0.0237211000, -0.029784600, -0.214094400, -0.810911600", \ - "0.0458673000, 0.0440329000, 0.0387107000, 0.0236921000, -0.029877200, -0.214273900, -0.811106000", \ - "0.0454233000, 0.0436281000, 0.0382976000, 0.0233242000, -0.030120200, -0.214385600, -0.811372900", \ - "0.0451701000, 0.0433358000, 0.0381143000, 0.0230132000, -0.030586500, -0.214765600, -0.811571200", \ - "0.0447974000, 0.0430015000, 0.0377458000, 0.0226057000, -0.030988600, -0.215162200, -0.811909300", \ - "0.0448495000, 0.0429822000, 0.0376031000, 0.0224175000, -0.030977700, -0.215159000, -0.811918600", \ - "0.0542026000, 0.0521646000, 0.0461137000, 0.0275517000, -0.030665100, -0.215258300, -0.811440300"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0381963000, 0.0400792000, 0.0460699000, 0.0652183000, 0.1239121000, 0.3083924000, 0.9016084000", \ - "0.0380115000, 0.0398077000, 0.0457509000, 0.0650199000, 0.1238274000, 0.3080837000, 0.9025915000", \ - "0.0377220000, 0.0395542000, 0.0455985000, 0.0648277000, 0.1234268000, 0.3077976000, 0.8983268000", \ - "0.0373870000, 0.0392220000, 0.0452285000, 0.0644798000, 0.1230566000, 0.3074994000, 0.8978428000", \ - "0.0371723000, 0.0389579000, 0.0448935000, 0.0639645000, 0.1226568000, 0.3075062000, 0.8985005000", \ - "0.0385059000, 0.0402483000, 0.0459591000, 0.0639118000, 0.1227272000, 0.3070491000, 0.8989336000", \ - "0.0407633000, 0.0424292000, 0.0480372000, 0.0661054000, 0.1245128000, 0.3085683000, 0.8972162000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0334345000, 0.0315838000, 0.0263427000, 0.0112358000, -0.042139500, -0.226246400, -0.822851200", \ - "0.0332666000, 0.0315019000, 0.0262572000, 0.0111534000, -0.042268600, -0.226373400, -0.823036900", \ - "0.0328134000, 0.0309714000, 0.0258076000, 0.0106541000, -0.042736900, -0.226733000, -0.823471700", \ - "0.0323909000, 0.0306015000, 0.0253382000, 0.0102217000, -0.043240000, -0.227279000, -0.823915600", \ - "0.0319498000, 0.0301391000, 0.0248747000, 0.0098146000, -0.043637200, -0.227620500, -0.824243300", \ - "0.0329422000, 0.0311270000, 0.0255844000, 0.0100789000, -0.043712900, -0.227601600, -0.824122900", \ - "0.0438520000, 0.0417263000, 0.0353631000, 0.0164638000, -0.042150300, -0.226595400, -0.822996700"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0295724000, 0.0314990000, 0.0377403000, 0.0572210000, 0.1163367000, 0.3018058000, 0.8940574000", \ - "0.0295869000, 0.0315135000, 0.0376458000, 0.0572199000, 0.1163331000, 0.3017897000, 0.8930922000", \ - "0.0294413000, 0.0313489000, 0.0375763000, 0.0570421000, 0.1161150000, 0.3010373000, 0.8944190000", \ - "0.0291291000, 0.0310400000, 0.0371145000, 0.0563254000, 0.1153577000, 0.3010185000, 0.8931337000", \ - "0.0291258000, 0.0309374000, 0.0369541000, 0.0557764000, 0.1146545000, 0.3002908000, 0.8927529000", \ - "0.0304353000, 0.0321403000, 0.0377754000, 0.0561030000, 0.1142667000, 0.2988762000, 0.8914739000", \ - "0.0332218000, 0.0347188000, 0.0400913000, 0.0579054000, 0.1161520000, 0.3007143000, 0.8884842000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0402889000, 0.0384835000, 0.0332772000, 0.0181770000, -0.035204300, -0.219166300, -0.815586300", \ - "0.0400539000, 0.0382423000, 0.0329340000, 0.0179101000, -0.035325700, -0.219230900, -0.815850700", \ - "0.0397343000, 0.0380156000, 0.0327456000, 0.0176554000, -0.035706700, -0.219644400, -0.816036100", \ - "0.0395351000, 0.0377482000, 0.0324621000, 0.0173349000, -0.036066300, -0.219966400, -0.816474600", \ - "0.0391355000, 0.0373593000, 0.0320564000, 0.0170511000, -0.036413000, -0.220297500, -0.816737200", \ - "0.0405927000, 0.0388001000, 0.0332952000, 0.0179491000, -0.035672000, -0.219616800, -0.815971600", \ - "0.0531150000, 0.0509894000, 0.0446231000, 0.0255108000, -0.033456900, -0.218059500, -0.814490000"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0308567000, 0.0327835000, 0.0390184000, 0.0585138000, 0.1175976000, 0.3026992000, 0.8956743000", \ - "0.0308621000, 0.0327897000, 0.0390669000, 0.0584977000, 0.1176537000, 0.3030899000, 0.8952564000", \ - "0.0307657000, 0.0326820000, 0.0388490000, 0.0583100000, 0.1175007000, 0.3029111000, 0.8901727000", \ - "0.0303975000, 0.0323087000, 0.0384963000, 0.0578146000, 0.1168637000, 0.3024753000, 0.8946058000", \ - "0.0300892000, 0.0319176000, 0.0379877000, 0.0569514000, 0.1159969000, 0.3016563000, 0.8966604000", \ - "0.0312110000, 0.0329692000, 0.0386857000, 0.0571847000, 0.1155186000, 0.3000721000, 0.8926748000", \ - "0.0333859000, 0.0350250000, 0.0405285000, 0.0585219000, 0.1172163000, 0.3017095000, 0.8929161000"); - } - } - max_capacitance : 0.5365090000; - max_transition : 1.5014820000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.2416561000, 0.2462427000, 0.2580539000, 0.2842765000, 0.3385462000, 0.4578538000, 0.7790916000", \ - "0.2473248000, 0.2519134000, 0.2637716000, 0.2900513000, 0.3442046000, 0.4636069000, 0.7846774000", \ - "0.2598859000, 0.2644685000, 0.2762517000, 0.3025166000, 0.3565060000, 0.4760202000, 0.7972008000", \ - "0.2892658000, 0.2938495000, 0.3056414000, 0.3318431000, 0.3856349000, 0.5054288000, 0.8263091000", \ - "0.3527044000, 0.3572154000, 0.3690483000, 0.3951287000, 0.4492522000, 0.5690736000, 0.8903359000", \ - "0.4855028000, 0.4903440000, 0.5028156000, 0.5301869000, 0.5855470000, 0.7060894000, 1.0274767000", \ - "0.7219137000, 0.7276318000, 0.7420104000, 0.7738717000, 0.8369313000, 0.9664460000, 1.2909560000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.1430799000, 0.1488374000, 0.1637321000, 0.1983809000, 0.2799113000, 0.5060163000, 1.2133828000", \ - "0.1466550000, 0.1523993000, 0.1673253000, 0.2019363000, 0.2835891000, 0.5092397000, 1.2176424000", \ - "0.1555708000, 0.1613320000, 0.1762867000, 0.2108645000, 0.2924821000, 0.5182105000, 1.2265018000", \ - "0.1778492000, 0.1835589000, 0.1984953000, 0.2331538000, 0.3147882000, 0.5402582000, 1.2513456000", \ - "0.2271172000, 0.2328971000, 0.2478252000, 0.2825618000, 0.3641053000, 0.5896944000, 1.2983088000", \ - "0.2994378000, 0.3058670000, 0.3221790000, 0.3586358000, 0.4419220000, 0.6690458000, 1.3785415000", \ - "0.3729763000, 0.3808916000, 0.4011399000, 0.4445302000, 0.5321041000, 0.7600154000, 1.4687367000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0323322000, 0.0347718000, 0.0426408000, 0.0597220000, 0.1041847000, 0.2293872000, 0.6398706000", \ - "0.0323309000, 0.0347720000, 0.0422523000, 0.0600065000, 0.1043010000, 0.2297711000, 0.6386693000", \ - "0.0321213000, 0.0348636000, 0.0421920000, 0.0603689000, 0.1043139000, 0.2293669000, 0.6388038000", \ - "0.0321028000, 0.0348794000, 0.0422345000, 0.0601940000, 0.1036255000, 0.2298274000, 0.6405084000", \ - "0.0321643000, 0.0350863000, 0.0424237000, 0.0604573000, 0.1041656000, 0.2296639000, 0.6396775000", \ - "0.0355747000, 0.0384926000, 0.0459177000, 0.0632207000, 0.1070741000, 0.2302070000, 0.6402187000", \ - "0.0466174000, 0.0493651000, 0.0576041000, 0.0765896000, 0.1216372000, 0.2436988000, 0.6450389000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0341971000, 0.0381731000, 0.0489996000, 0.0798409000, 0.1724192000, 0.4821035000, 1.4970271000", \ - "0.0343232000, 0.0381949000, 0.0492962000, 0.0799025000, 0.1723925000, 0.4818635000, 1.4998266000", \ - "0.0342000000, 0.0381081000, 0.0491956000, 0.0798715000, 0.1724526000, 0.4819005000, 1.4989029000", \ - "0.0342606000, 0.0379323000, 0.0489689000, 0.0797043000, 0.1719123000, 0.4815594000, 1.5011744000", \ - "0.0350193000, 0.0388211000, 0.0495338000, 0.0802542000, 0.1726920000, 0.4817421000, 1.5006497000", \ - "0.0426890000, 0.0460593000, 0.0562114000, 0.0854368000, 0.1762620000, 0.4831949000, 1.4995554000", \ - "0.0567031000, 0.0609340000, 0.0725126000, 0.1008409000, 0.1834747000, 0.4858817000, 1.4938366000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.2643898000, 0.2691908000, 0.2814375000, 0.3082238000, 0.3623996000, 0.4826037000, 0.8043524000", \ - "0.2694225000, 0.2742227000, 0.2864641000, 0.3131558000, 0.3677345000, 0.4878720000, 0.8098181000", \ - "0.2815997000, 0.2864161000, 0.2987301000, 0.3253757000, 0.3802378000, 0.4998688000, 0.8215947000", \ - "0.3101980000, 0.3150295000, 0.3272696000, 0.3539822000, 0.4086583000, 0.5284272000, 0.8501921000", \ - "0.3702127000, 0.3749081000, 0.3871447000, 0.4138957000, 0.4685329000, 0.5885578000, 0.9104654000", \ - "0.4966444000, 0.5016977000, 0.5145908000, 0.5422808000, 0.5970947000, 0.7184672000, 1.0403780000", \ - "0.7199218000, 0.7258730000, 0.7408728000, 0.7728229000, 0.8351794000, 0.9642122000, 1.2902543000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.1538872000, 0.1595954000, 0.1745014000, 0.2091492000, 0.2906290000, 0.5157426000, 1.2260749000", \ - "0.1578754000, 0.1636371000, 0.1785452000, 0.2131689000, 0.2946896000, 0.5200665000, 1.2286653000", \ - "0.1669053000, 0.1726407000, 0.1875931000, 0.2221984000, 0.3036428000, 0.5294954000, 1.2372778000", \ - "0.1874194000, 0.1931540000, 0.2080497000, 0.2426399000, 0.3240941000, 0.5498445000, 1.2578590000", \ - "0.2321897000, 0.2379923000, 0.2529904000, 0.2877840000, 0.3693243000, 0.5953603000, 1.3032939000", \ - "0.3040567000, 0.3105145000, 0.3270377000, 0.3638126000, 0.4475706000, 0.6744850000, 1.3835353000", \ - "0.3853828000, 0.3931788000, 0.4130881000, 0.4561596000, 0.5448453000, 0.7731076000, 1.4808901000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0343128000, 0.0371845000, 0.0447289000, 0.0619814000, 0.1056352000, 0.2301390000, 0.6409913000", \ - "0.0343036000, 0.0371861000, 0.0439876000, 0.0617079000, 0.1042750000, 0.2304438000, 0.6389216000", \ - "0.0342102000, 0.0370025000, 0.0445649000, 0.0612175000, 0.1051965000, 0.2300632000, 0.6404460000", \ - "0.0343341000, 0.0371531000, 0.0443795000, 0.0612429000, 0.1051863000, 0.2301268000, 0.6407875000", \ - "0.0342630000, 0.0374855000, 0.0441806000, 0.0615644000, 0.1055195000, 0.2301601000, 0.6399409000", \ - "0.0373561000, 0.0403627000, 0.0473919000, 0.0640855000, 0.1076332000, 0.2311983000, 0.6409986000", \ - "0.0471229000, 0.0503705000, 0.0584895000, 0.0765587000, 0.1204550000, 0.2425490000, 0.6440075000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0341657000, 0.0378577000, 0.0492545000, 0.0798146000, 0.1721292000, 0.4817140000, 1.5013508000", \ - "0.0340808000, 0.0381068000, 0.0491088000, 0.0797889000, 0.1724035000, 0.4811034000, 1.4997610000", \ - "0.0342335000, 0.0381648000, 0.0491854000, 0.0799000000, 0.1723064000, 0.4819026000, 1.4985477000", \ - "0.0341093000, 0.0381019000, 0.0492214000, 0.0798392000, 0.1724571000, 0.4818116000, 1.4997278000", \ - "0.0351337000, 0.0389628000, 0.0499733000, 0.0801321000, 0.1726785000, 0.4811606000, 1.4984950000", \ - "0.0407356000, 0.0446320000, 0.0556196000, 0.0853132000, 0.1757977000, 0.4827691000, 1.4998457000", \ - "0.0536338000, 0.0582029000, 0.0696358000, 0.0984033000, 0.1840911000, 0.4856254000, 1.4933028000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.2759154000, 0.2808505000, 0.2933095000, 0.3202566000, 0.3752439000, 0.4951263000, 0.8178240000", \ - "0.2810306000, 0.2859393000, 0.2984021000, 0.3255012000, 0.3803582000, 0.5004517000, 0.8224548000", \ - "0.2939344000, 0.2988399000, 0.3113105000, 0.3383808000, 0.3928452000, 0.5131651000, 0.8355441000", \ - "0.3227266000, 0.3276244000, 0.3401843000, 0.3671034000, 0.4219501000, 0.5420564000, 0.8647754000", \ - "0.3816092000, 0.3865656000, 0.3990432000, 0.4259955000, 0.4806829000, 0.6012326000, 0.9236280000", \ - "0.5045989000, 0.5096064000, 0.5226957000, 0.5502771000, 0.6056314000, 0.7264793000, 1.0491783000", \ - "0.7246420000, 0.7303842000, 0.7454022000, 0.7770402000, 0.8387691000, 0.9669172000, 1.2928650000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.1581201000, 0.1638444000, 0.1787613000, 0.2133777000, 0.2948544000, 0.5198452000, 1.2276802000", \ - "0.1619187000, 0.1676411000, 0.1825799000, 0.2172446000, 0.2987025000, 0.5235446000, 1.2334083000", \ - "0.1692836000, 0.1750500000, 0.1899610000, 0.2246173000, 0.3060277000, 0.5315409000, 1.2390131000", \ - "0.1840420000, 0.1897918000, 0.2047301000, 0.2393195000, 0.3207085000, 0.5462919000, 1.2535850000", \ - "0.2143597000, 0.2202101000, 0.2353865000, 0.2701561000, 0.3518360000, 0.5772876000, 1.2851795000", \ - "0.2642422000, 0.2705509000, 0.2867526000, 0.3235054000, 0.4073127000, 0.6340066000, 1.3423861000", \ - "0.3229904000, 0.3303442000, 0.3492312000, 0.3906589000, 0.4788823000, 0.7076381000, 1.4147957000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0356227000, 0.0384083000, 0.0462411000, 0.0623723000, 0.1061212000, 0.2312433000, 0.6423874000", \ - "0.0356204000, 0.0384640000, 0.0452858000, 0.0631626000, 0.1064278000, 0.2309664000, 0.6430431000", \ - "0.0357441000, 0.0387079000, 0.0453934000, 0.0624631000, 0.1065464000, 0.2312919000, 0.6422138000", \ - "0.0356015000, 0.0386347000, 0.0460684000, 0.0623591000, 0.1062078000, 0.2311442000, 0.6424422000", \ - "0.0355463000, 0.0383452000, 0.0458940000, 0.0623312000, 0.1063414000, 0.2311426000, 0.6429577000", \ - "0.0381116000, 0.0413987000, 0.0479860000, 0.0648253000, 0.1078615000, 0.2318818000, 0.6435458000", \ - "0.0469908000, 0.0506152000, 0.0583839000, 0.0762027000, 0.1196985000, 0.2418897000, 0.6457597000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0341320000, 0.0382984000, 0.0490482000, 0.0797596000, 0.1723459000, 0.4811385000, 1.4979705000", \ - "0.0343032000, 0.0380307000, 0.0490383000, 0.0798030000, 0.1723596000, 0.4817029000, 1.5014824000", \ - "0.0341055000, 0.0381672000, 0.0490682000, 0.0799159000, 0.1724084000, 0.4819113000, 1.4986073000", \ - "0.0342832000, 0.0381032000, 0.0491219000, 0.0798926000, 0.1724256000, 0.4819449000, 1.4981253000", \ - "0.0353769000, 0.0388659000, 0.0497296000, 0.0804273000, 0.1724447000, 0.4819224000, 1.5002024000", \ - "0.0389614000, 0.0427584000, 0.0542844000, 0.0847151000, 0.1757666000, 0.4820616000, 1.4993450000", \ - "0.0489755000, 0.0531112000, 0.0646945000, 0.0953690000, 0.1827642000, 0.4849585000, 1.4973804000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.2218537000, 0.2266124000, 0.2388036000, 0.2654438000, 0.3201834000, 0.4402634000, 0.7627749000", \ - "0.2261330000, 0.2308938000, 0.2430931000, 0.2697410000, 0.3244753000, 0.4444941000, 0.7668950000", \ - "0.2364402000, 0.2411782000, 0.2529904000, 0.2796088000, 0.3338793000, 0.4543163000, 0.7765164000", \ - "0.2629673000, 0.2677205000, 0.2799118000, 0.3065389000, 0.3610904000, 0.4814359000, 0.8038041000", \ - "0.3295710000, 0.3342906000, 0.3463728000, 0.3730869000, 0.4277208000, 0.5481473000, 0.8705446000", \ - "0.4849878000, 0.4901369000, 0.5031560000, 0.5306400000, 0.5857735000, 0.7065230000, 1.0289363000", \ - "0.7454241000, 0.7519714000, 0.7689583000, 0.8040995000, 0.8682788000, 0.9950858000, 1.3223154000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0898754000, 0.0951194000, 0.1088585000, 0.1408565000, 0.2179114000, 0.4411974000, 1.1510239000", \ - "0.0942457000, 0.0994882000, 0.1132041000, 0.1451952000, 0.2222584000, 0.4456169000, 1.1522436000", \ - "0.1045682000, 0.1098164000, 0.1235591000, 0.1554858000, 0.2325546000, 0.4554602000, 1.1645449000", \ - "0.1280423000, 0.1332705000, 0.1469295000, 0.1786361000, 0.2557436000, 0.4792557000, 1.1842717000", \ - "0.1664695000, 0.1723183000, 0.1870561000, 0.2202328000, 0.2982614000, 0.5218901000, 1.2324310000", \ - "0.2115545000, 0.2190898000, 0.2375621000, 0.2750134000, 0.3560418000, 0.5805034000, 1.2912605000", \ - "0.2428068000, 0.2523992000, 0.2762938000, 0.3241185000, 0.4140256000, 0.6393264000, 1.3460904000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0344588000, 0.0372409000, 0.0444427000, 0.0613961000, 0.1053996000, 0.2305710000, 0.6411706000", \ - "0.0342966000, 0.0370466000, 0.0447007000, 0.0616273000, 0.1056650000, 0.2305510000, 0.6408770000", \ - "0.0344490000, 0.0373135000, 0.0444956000, 0.0622205000, 0.1060036000, 0.2304156000, 0.6420589000", \ - "0.0342539000, 0.0370341000, 0.0443671000, 0.0616676000, 0.1057710000, 0.2305137000, 0.6413757000", \ - "0.0346889000, 0.0374502000, 0.0448697000, 0.0624320000, 0.1057409000, 0.2311226000, 0.6415356000", \ - "0.0394243000, 0.0422671000, 0.0499031000, 0.0652788000, 0.1074845000, 0.2313920000, 0.6420229000", \ - "0.0586342000, 0.0622275000, 0.0700463000, 0.0872188000, 0.1256647000, 0.2434379000, 0.6470253000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0279339000, 0.0319919000, 0.0427107000, 0.0715719000, 0.1638420000, 0.4769756000, 1.4977037000", \ - "0.0279667000, 0.0318963000, 0.0424901000, 0.0715665000, 0.1638064000, 0.4762085000, 1.4930942000", \ - "0.0278589000, 0.0318126000, 0.0426424000, 0.0717922000, 0.1640733000, 0.4755537000, 1.4988193000", \ - "0.0281585000, 0.0321818000, 0.0428385000, 0.0719886000, 0.1641648000, 0.4761013000, 1.4975745000", \ - "0.0337627000, 0.0376067000, 0.0479663000, 0.0753742000, 0.1657603000, 0.4760543000, 1.4962469000", \ - "0.0473925000, 0.0512380000, 0.0607856000, 0.0854015000, 0.1707236000, 0.4780676000, 1.4945316000", \ - "0.0678609000, 0.0724745000, 0.0844264000, 0.1085634000, 0.1840091000, 0.4801596000, 1.4896590000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.2365798000, 0.2415000000, 0.2540553000, 0.2809845000, 0.3360194000, 0.4566215000, 0.7791627000", \ - "0.2403787000, 0.2452901000, 0.2577697000, 0.2848572000, 0.3393468000, 0.4599661000, 0.7824662000", \ - "0.2509123000, 0.2558509000, 0.2683517000, 0.2953403000, 0.3504048000, 0.4708046000, 0.7936601000", \ - "0.2782403000, 0.2831815000, 0.2956977000, 0.3226238000, 0.3775488000, 0.4979285000, 0.8211837000", \ - "0.3437761000, 0.3486935000, 0.3611772000, 0.3882687000, 0.4429034000, 0.5639100000, 0.8863565000", \ - "0.4963432000, 0.5017700000, 0.5147052000, 0.5423955000, 0.5974141000, 0.7186124000, 1.0416122000", \ - "0.7537443000, 0.7605337000, 0.7776396000, 0.8128169000, 0.8759141000, 1.0020723000, 1.3285030000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0957302000, 0.1009796000, 0.1147306000, 0.1467346000, 0.2237388000, 0.4468995000, 1.1549355000", \ - "0.1004188000, 0.1056641000, 0.1194187000, 0.1514073000, 0.2285283000, 0.4518373000, 1.1573265000", \ - "0.1098388000, 0.1151086000, 0.1288851000, 0.1607783000, 0.2379867000, 0.4612408000, 1.1664533000", \ - "0.1298354000, 0.1350640000, 0.1487381000, 0.1806019000, 0.2577045000, 0.4811979000, 1.1856518000", \ - "0.1650038000, 0.1706827000, 0.1852445000, 0.2182133000, 0.2961244000, 0.5190788000, 1.2310265000", \ - "0.2107620000, 0.2176963000, 0.2349537000, 0.2715684000, 0.3524109000, 0.5762777000, 1.2863000000", \ - "0.2478312000, 0.2568249000, 0.2793485000, 0.3247997000, 0.4133104000, 0.6392684000, 1.3451318000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0357644000, 0.0386644000, 0.0461774000, 0.0624079000, 0.1060586000, 0.2308585000, 0.6426437000", \ - "0.0357499000, 0.0386741000, 0.0453791000, 0.0632610000, 0.1067183000, 0.2311186000, 0.6426344000", \ - "0.0357526000, 0.0385879000, 0.0458842000, 0.0623599000, 0.1060147000, 0.2310135000, 0.6421095000", \ - "0.0357294000, 0.0383714000, 0.0453791000, 0.0627917000, 0.1063421000, 0.2310926000, 0.6422176000", \ - "0.0357727000, 0.0387133000, 0.0452790000, 0.0628846000, 0.1064720000, 0.2305456000, 0.6432848000", \ - "0.0397560000, 0.0424652000, 0.0493480000, 0.0650028000, 0.1077454000, 0.2320846000, 0.6421599000", \ - "0.0584725000, 0.0616108000, 0.0699732000, 0.0863652000, 0.1234758000, 0.2414813000, 0.6470851000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0279260000, 0.0319952000, 0.0426704000, 0.0715666000, 0.1639796000, 0.4772453000, 1.4989895000", \ - "0.0279965000, 0.0319768000, 0.0426993000, 0.0716012000, 0.1639619000, 0.4762466000, 1.4972055000", \ - "0.0279001000, 0.0319305000, 0.0424887000, 0.0716576000, 0.1640528000, 0.4762471000, 1.4960497000", \ - "0.0281581000, 0.0321059000, 0.0429211000, 0.0717690000, 0.1640993000, 0.4759375000, 1.4976670000", \ - "0.0318366000, 0.0356293000, 0.0465338000, 0.0743421000, 0.1650793000, 0.4768403000, 1.4978638000", \ - "0.0415961000, 0.0457220000, 0.0560170000, 0.0826538000, 0.1699200000, 0.4772506000, 1.4949740000", \ - "0.0594015000, 0.0648303000, 0.0766495000, 0.1015189000, 0.1810019000, 0.4805714000, 1.4909654000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a32oi_1") { - leakage_power () { - value : 0.0004824000; - when : "!A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 5.6089539e-05; - when : "!A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0032770000; - when : "!A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0002863000; - when : "!A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0005045000; - when : "!A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 7.8171444e-05; - when : "!A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0032770000; - when : "!A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0003084000; - when : "!A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0004950000; - when : "!A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 6.8659217e-05; - when : "!A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0032770000; - when : "!A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0002989000; - when : "!A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0009569000; - when : "!A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0005306000; - when : "!A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0032770000; - when : "!A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0007609000; - when : "!A1&A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0004995000; - when : "A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 7.3193005e-05; - when : "A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0032770000; - when : "A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0003034000; - when : "A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0008298000; - when : "A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0004035000; - when : "A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0032770000; - when : "A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0006337000; - when : "A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0007217000; - when : "A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0002954000; - when : "A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0032770000; - when : "A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0005256000; - when : "A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0024445000; - when : "A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0025784000; - when : "A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0002760000; - when : "A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0025465000; - when : "A1&A2&A3&B1&!B2"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__a32oi"; - cell_leakage_power : 0.0012467940; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023090000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044649000, 0.0044674000, 0.0044731000, 0.0044720000, 0.0044695000, 0.0044638000, 0.0044506000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003630500, -0.003633700, -0.003640900, -0.003635000, -0.003621300, -0.003589600, -0.003516600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023700000; - } - pin ("A2") { - capacitance : 0.0023550000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022680000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043291000, 0.0043253000, 0.0043165000, 0.0043327000, 0.0043700000, 0.0044559000, 0.0046540000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003858700, -0.003856000, -0.003849800, -0.003851000, -0.003853700, -0.003860000, -0.003874400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024410000; - } - pin ("A3") { - capacitance : 0.0023110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022020000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040213000, 0.0040239000, 0.0040299000, 0.0040307000, 0.0040325000, 0.0040366000, 0.0040461000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004017700, -0.004020100, -0.004025700, -0.004025200, -0.004023900, -0.004020900, -0.004014100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024210000; - } - pin ("B1") { - capacitance : 0.0023410000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022200000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047500000, 0.0047481000, 0.0047437000, 0.0047452000, 0.0047485000, 0.0047561000, 0.0047737000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003886600, -0.003889800, -0.003897000, -0.003891100, -0.003877500, -0.003846100, -0.003773700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024620000; - } - pin ("B2") { - capacitance : 0.0023080000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041800000, 0.0041776000, 0.0041720000, 0.0041720000, 0.0041719000, 0.0041717000, 0.0041711000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004176300, -0.004177700, -0.004180900, -0.004180800, -0.004180500, -0.004179900, -0.004178500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024670000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A3&!B1) | (!A2&!B2) | (!A3&!B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011771790, 0.0027715010, 0.0065251060, 0.0153624400, 0.0361686800, 0.0851540200"); - values("0.0070880000, 0.0059930000, 0.0034746000, -0.002550700, -0.016738600, -0.050302400, -0.129506700", \ - "0.0070180000, 0.0059531000, 0.0033735000, -0.002585200, -0.016792800, -0.050374700, -0.129545500", \ - "0.0068599000, 0.0057929000, 0.0032747000, -0.002705700, -0.016890600, -0.050439300, -0.129648100", \ - "0.0066278000, 0.0055970000, 0.0030564000, -0.002908100, -0.017010100, -0.050511500, -0.129697400", \ - "0.0064471000, 0.0054476000, 0.0029242000, -0.003012300, -0.017155700, -0.050629000, -0.129768500", \ - "0.0067706000, 0.0056611000, 0.0030596000, -0.002944700, -0.017292700, -0.050708200, -0.129779900", \ - "0.0078657000, 0.0068577000, 0.0042363000, -0.001821100, -0.016539100, -0.050134000, -0.129624100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011771790, 0.0027715010, 0.0065251060, 0.0153624400, 0.0361686800, 0.0851540200"); - values("0.0112796000, 0.0124123000, 0.0150260000, 0.0211159000, 0.0353513000, 0.0686303000, 0.1468920000", \ - "0.0111050000, 0.0122477000, 0.0149107000, 0.0210605000, 0.0353069000, 0.0686621000, 0.1469369000", \ - "0.0108171000, 0.0119671000, 0.0146310000, 0.0208280000, 0.0351488000, 0.0685618000, 0.1469323000", \ - "0.0105636000, 0.0117006000, 0.0143432000, 0.0205233000, 0.0349180000, 0.0683965000, 0.1468276000", \ - "0.0104400000, 0.0115410000, 0.0141425000, 0.0202694000, 0.0345541000, 0.0681162000, 0.1466430000", \ - "0.0103771000, 0.0115107000, 0.0140928000, 0.0201384000, 0.0344576000, 0.0679151000, 0.1463625000", \ - "0.0103100000, 0.0113784000, 0.0139134000, 0.0201622000, 0.0345180000, 0.0679131000, 0.1463066000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011771790, 0.0027715010, 0.0065251060, 0.0153624400, 0.0361686800, 0.0851540200"); - values("0.0072907000, 0.0062132000, 0.0036782000, -0.002329200, -0.016544500, -0.050098300, -0.129308900", \ - "0.0072206000, 0.0061415000, 0.0036056000, -0.002389000, -0.016604000, -0.050179900, -0.129383700", \ - "0.0070874000, 0.0060106000, 0.0034799000, -0.002495600, -0.016688500, -0.050230500, -0.129385000", \ - "0.0069184000, 0.0058561000, 0.0033197000, -0.002630900, -0.016772700, -0.050302500, -0.129510400", \ - "0.0066875000, 0.0056111000, 0.0031740000, -0.002802300, -0.016923100, -0.050385400, -0.129524300", \ - "0.0069205000, 0.0058324000, 0.0032477000, -0.002802800, -0.017046000, -0.050480100, -0.129562300", \ - "0.0076772000, 0.0065609000, 0.0039659000, -0.002209900, -0.016627000, -0.050426000, -0.129529400"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011771790, 0.0027715010, 0.0065251060, 0.0153624400, 0.0361686800, 0.0851540200"); - values("0.0140120000, 0.0151132000, 0.0176939000, 0.0237395000, 0.0379451000, 0.0712378000, 0.1495786000", \ - "0.0138747000, 0.0149946000, 0.0175880000, 0.0236773000, 0.0379013000, 0.0712047000, 0.1495501000", \ - "0.0136681000, 0.0147828000, 0.0174067000, 0.0235379000, 0.0378221000, 0.0712261000, 0.1495111000", \ - "0.0134400000, 0.0145590000, 0.0171785000, 0.0233183000, 0.0376275000, 0.0709953000, 0.1495273000", \ - "0.0132561000, 0.0143596000, 0.0169639000, 0.0230672000, 0.0373371000, 0.0708060000, 0.1492935000", \ - "0.0131321000, 0.0142277000, 0.0168491000, 0.0229060000, 0.0372320000, 0.0705671000, 0.1490605000", \ - "0.0128252000, 0.0138934000, 0.0164181000, 0.0226865000, 0.0370206000, 0.0705992000, 0.1490688000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011771790, 0.0027715010, 0.0065251060, 0.0153624400, 0.0361686800, 0.0851540200"); - values("0.0071722000, 0.0061113000, 0.0035525000, -0.002449700, -0.016658800, -0.050216500, -0.129378500", \ - "0.0071064000, 0.0060199000, 0.0034777000, -0.002508300, -0.016726500, -0.050273100, -0.129522300", \ - "0.0069695000, 0.0058918000, 0.0033721000, -0.002609400, -0.016797400, -0.050339300, -0.129580800", \ - "0.0067848000, 0.0057124000, 0.0031957000, -0.002771200, -0.016908500, -0.050417100, -0.129622600", \ - "0.0066280000, 0.0056136000, 0.0030698000, -0.002826100, -0.016971200, -0.050516500, -0.129669400", \ - "0.0068165000, 0.0057147000, 0.0031475000, -0.002924000, -0.017186700, -0.050564100, -0.129691300", \ - "0.0075372000, 0.0064154000, 0.0038047000, -0.002327700, -0.016741300, -0.050575700, -0.129650600"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011771790, 0.0027715010, 0.0065251060, 0.0153624400, 0.0361686800, 0.0851540200"); - values("0.0169872000, 0.0180863000, 0.0206513000, 0.0267406000, 0.0409808000, 0.0742948000, 0.1526179000", \ - "0.0168903000, 0.0179819000, 0.0205621000, 0.0266454000, 0.0408801000, 0.0742732000, 0.1525595000", \ - "0.0167631000, 0.0178590000, 0.0204458000, 0.0265800000, 0.0408166000, 0.0742132000, 0.1525632000", \ - "0.0166083000, 0.0177248000, 0.0203313000, 0.0264489000, 0.0407117000, 0.0741266000, 0.1526132000", \ - "0.0164944000, 0.0175986000, 0.0201945000, 0.0263233000, 0.0405620000, 0.0739923000, 0.1523549000", \ - "0.0164591000, 0.0175749000, 0.0202054000, 0.0263032000, 0.0406082000, 0.0739271000, 0.1522996000", \ - "0.0164901000, 0.0175543000, 0.0200691000, 0.0263166000, 0.0407122000, 0.0742099000, 0.1524348000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011771790, 0.0027715010, 0.0065251060, 0.0153624400, 0.0361686800, 0.0851540200"); - values("0.0024497000, 0.0014176000, -0.001092800, -0.007110900, -0.021392500, -0.055082500, -0.134437800", \ - "0.0023140000, 0.0013111000, -0.001140300, -0.007101500, -0.021342900, -0.055005300, -0.134341800", \ - "0.0021408000, 0.0011704000, -0.001264100, -0.007160500, -0.021322200, -0.054939500, -0.134250200", \ - "0.0019088000, 0.0008972000, -0.001489200, -0.007334100, -0.021423700, -0.054961300, -0.134227300", \ - "0.0020320000, 0.0009494000, -0.001612400, -0.007504800, -0.021562400, -0.055074400, -0.134279200", \ - "0.0023387000, 0.0012624000, -0.001347200, -0.007532100, -0.021824600, -0.055154200, -0.134323600", \ - "0.0036699000, 0.0024426000, -0.000364700, -0.006663300, -0.021047200, -0.054939100, -0.134388900"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011771790, 0.0027715010, 0.0065251060, 0.0153624400, 0.0361686800, 0.0851540200"); - values("0.0108551000, 0.0120220000, 0.0146761000, 0.0208098000, 0.0350712000, 0.0684311000, 0.1468822000", \ - "0.0106418000, 0.0118055000, 0.0144861000, 0.0206473000, 0.0349990000, 0.0684298000, 0.1467249000", \ - "0.0103700000, 0.0115138000, 0.0141780000, 0.0203909000, 0.0347932000, 0.0683063000, 0.1466975000", \ - "0.0101240000, 0.0112656000, 0.0139255000, 0.0200887000, 0.0345097000, 0.0681618000, 0.1466064000", \ - "0.0100304000, 0.0111328000, 0.0137230000, 0.0198291000, 0.0341825000, 0.0677730000, 0.1464172000", \ - "0.0106882000, 0.0117896000, 0.0144939000, 0.0199970000, 0.0341479000, 0.0675654000, 0.1460785000", \ - "0.0119300000, 0.0129432000, 0.0153330000, 0.0210937000, 0.0352259000, 0.0681461000, 0.1459120000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011771790, 0.0027715010, 0.0065251060, 0.0153624400, 0.0361686800, 0.0851540200"); - values("0.0028424000, 0.0017939000, -0.000727700, -0.006762300, -0.021048200, -0.054736900, -0.134088200", \ - "0.0027060000, 0.0016956000, -0.000772100, -0.006747300, -0.020992300, -0.054655900, -0.133998600", \ - "0.0024762000, 0.0014877000, -0.000918600, -0.006806200, -0.020977500, -0.054596000, -0.133910800", \ - "0.0022042000, 0.0012049000, -0.001196900, -0.007018000, -0.021075200, -0.054617200, -0.133885400", \ - "0.0021571000, 0.0011621000, -0.001376400, -0.007287600, -0.021326000, -0.054747600, -0.133934200", \ - "0.0023559000, 0.0012558000, -0.001289400, -0.007316900, -0.021552100, -0.054949100, -0.134052400", \ - "0.0035753000, 0.0023829000, -0.000373800, -0.006674500, -0.021130600, -0.054888300, -0.134116300"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011771790, 0.0027715010, 0.0065251060, 0.0153624400, 0.0361686800, 0.0851540200"); - values("0.0139333000, 0.0150149000, 0.0176283000, 0.0237177000, 0.0379754000, 0.0713727000, 0.1497693000", \ - "0.0137598000, 0.0148534000, 0.0175221000, 0.0236256000, 0.0379310000, 0.0713022000, 0.1496279000", \ - "0.0135285000, 0.0146252000, 0.0172660000, 0.0234415000, 0.0378059000, 0.0712564000, 0.1496835000", \ - "0.0133469000, 0.0144583000, 0.0170981000, 0.0232505000, 0.0375740000, 0.0710894000, 0.1495009000", \ - "0.0134460000, 0.0146356000, 0.0172138000, 0.0232890000, 0.0374318000, 0.0708428000, 0.1494692000", \ - "0.0143175000, 0.0153942000, 0.0179661000, 0.0234146000, 0.0375477000, 0.0709303000, 0.1492000000", \ - "0.0154414000, 0.0164876000, 0.0189355000, 0.0247410000, 0.0387269000, 0.0718045000, 0.1497035000"); - } - } - max_capacitance : 0.0851540000; - max_transition : 1.8643180000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0511088000, 0.0560668000, 0.0680286000, 0.0941493000, 0.1539693000, 0.2917982000, 0.6132582000", \ - "0.0548102000, 0.0601134000, 0.0715213000, 0.0980450000, 0.1577455000, 0.2956752000, 0.6171811000", \ - "0.0640186000, 0.0693130000, 0.0810491000, 0.1073634000, 0.1672704000, 0.3051179000, 0.6262111000", \ - "0.0857066000, 0.0911897000, 0.1032045000, 0.1288129000, 0.1892867000, 0.3271076000, 0.6484662000", \ - "0.1172556000, 0.1249297000, 0.1416353000, 0.1762556000, 0.2414068000, 0.3786840000, 0.6999041000", \ - "0.1483521000, 0.1602233000, 0.1863023000, 0.2364147000, 0.3326426000, 0.4952442000, 0.8169068000", \ - "0.1560484000, 0.1743834000, 0.2115010000, 0.2899488000, 0.4324558000, 0.6798254000, 1.0915600000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.1119629000, 0.1228477000, 0.1482734000, 0.2064965000, 0.3417004000, 0.6588167000, 1.4035093000", \ - "0.1167343000, 0.1276784000, 0.1532657000, 0.2119151000, 0.3475535000, 0.6644317000, 1.4091310000", \ - "0.1286330000, 0.1397767000, 0.1655958000, 0.2248315000, 0.3612469000, 0.6784973000, 1.4236358000", \ - "0.1580580000, 0.1695390000, 0.1949384000, 0.2539244000, 0.3906966000, 0.7091074000, 1.4547807000", \ - "0.2212732000, 0.2331271000, 0.2589861000, 0.3178356000, 0.4543161000, 0.7730477000, 1.5198820000", \ - "0.3283531000, 0.3449509000, 0.3804065000, 0.4527939000, 0.5977767000, 0.9161547000, 1.6633616000", \ - "0.5023644000, 0.5285997000, 0.5837517000, 0.6897931000, 0.8853805000, 1.2475366000, 1.9953781000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0469052000, 0.0527966000, 0.0669223000, 0.0999744000, 0.1776656000, 0.3611400000, 0.7930496000", \ - "0.0468158000, 0.0527972000, 0.0668390000, 0.0999722000, 0.1777751000, 0.3613347000, 0.7928012000", \ - "0.0459095000, 0.0520047000, 0.0664084000, 0.0997389000, 0.1778152000, 0.3615717000, 0.7935305000", \ - "0.0533545000, 0.0588909000, 0.0710100000, 0.1012870000, 0.1774876000, 0.3609721000, 0.7928646000", \ - "0.0757850000, 0.0831380000, 0.0978568000, 0.1282045000, 0.1922747000, 0.3621336000, 0.7927349000", \ - "0.1193226000, 0.1296194000, 0.1502882000, 0.1932241000, 0.2663409000, 0.4131489000, 0.8002961000", \ - "0.1938409000, 0.2103952000, 0.2426851000, 0.3055202000, 0.4125099000, 0.5936494000, 0.9311588000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0754931000, 0.0898828000, 0.1237717000, 0.2034053000, 0.3877272000, 0.8227298000, 1.8516065000", \ - "0.0756235000, 0.0898825000, 0.1237774000, 0.2027360000, 0.3879831000, 0.8231451000, 1.8496747000", \ - "0.0756203000, 0.0898380000, 0.1237671000, 0.2029281000, 0.3893344000, 0.8225992000, 1.8458413000", \ - "0.0758439000, 0.0900281000, 0.1238085000, 0.2033328000, 0.3879816000, 0.8227875000, 1.8509192000", \ - "0.0859659000, 0.0983472000, 0.1295816000, 0.2046191000, 0.3882790000, 0.8243135000, 1.8462524000", \ - "0.1247025000, 0.1391457000, 0.1703908000, 0.2391023000, 0.4036497000, 0.8230220000, 1.8457639000", \ - "0.2101724000, 0.2277145000, 0.2668694000, 0.3464435000, 0.5096804000, 0.8802175000, 1.8490272000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0575795000, 0.0629352000, 0.0746826000, 0.1012714000, 0.1607829000, 0.2986360000, 0.6199075000", \ - "0.0619014000, 0.0669503000, 0.0789166000, 0.1054016000, 0.1650041000, 0.3026713000, 0.6239488000", \ - "0.0706285000, 0.0758721000, 0.0877532000, 0.1142354000, 0.1739814000, 0.3117139000, 0.6329827000", \ - "0.0909019000, 0.0960380000, 0.1085711000, 0.1351785000, 0.1954047000, 0.3331276000, 0.6545825000", \ - "0.1232099000, 0.1302476000, 0.1464554000, 0.1785817000, 0.2429534000, 0.3825369000, 0.7046190000", \ - "0.1602224000, 0.1713501000, 0.1941865000, 0.2415753000, 0.3294374000, 0.4902452000, 0.8187019000", \ - "0.1789601000, 0.1956280000, 0.2327324000, 0.3057165000, 0.4392025000, 0.6703996000, 1.0664778000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.1301475000, 0.1410904000, 0.1657925000, 0.2238061000, 0.3584820000, 0.6744657000, 1.4167585000", \ - "0.1348817000, 0.1461544000, 0.1713430000, 0.2293668000, 0.3643090000, 0.6803669000, 1.4227202000", \ - "0.1475971000, 0.1588861000, 0.1838118000, 0.2422798000, 0.3774840000, 0.6945930000, 1.4365258000", \ - "0.1775895000, 0.1885095000, 0.2136924000, 0.2722456000, 0.4079896000, 0.7253688000, 1.4690832000", \ - "0.2413929000, 0.2522230000, 0.2771630000, 0.3359851000, 0.4715195000, 0.7886535000, 1.5319529000", \ - "0.3562546000, 0.3700662000, 0.4038064000, 0.4721383000, 0.6139666000, 0.9309306000, 1.6755782000", \ - "0.5439519000, 0.5672454000, 0.6161865000, 0.7150406000, 0.9040992000, 1.2586180000, 2.0039088000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0467108000, 0.0527524000, 0.0668982000, 0.1000861000, 0.1774873000, 0.3611003000, 0.7931964000", \ - "0.0467789000, 0.0527893000, 0.0667728000, 0.0998185000, 0.1777355000, 0.3614763000, 0.7925384000", \ - "0.0462612000, 0.0523349000, 0.0666365000, 0.0998871000, 0.1776854000, 0.3611191000, 0.7919696000", \ - "0.0511022000, 0.0566024000, 0.0693282000, 0.1008251000, 0.1773290000, 0.3606792000, 0.7931567000", \ - "0.0700475000, 0.0761364000, 0.0907341000, 0.1198348000, 0.1868401000, 0.3621528000, 0.7930119000", \ - "0.1096913000, 0.1184228000, 0.1356313000, 0.1718714000, 0.2430792000, 0.3952792000, 0.7958416000", \ - "0.1810380000, 0.1930170000, 0.2198233000, 0.2692441000, 0.3639613000, 0.5344117000, 0.8905610000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0914822000, 0.1060191000, 0.1396437000, 0.2187056000, 0.4046105000, 0.8409087000, 1.8643180000", \ - "0.0915564000, 0.1057699000, 0.1396130000, 0.2188089000, 0.4034432000, 0.8407565000, 1.8606127000", \ - "0.0915162000, 0.1060409000, 0.1396158000, 0.2187123000, 0.4037201000, 0.8389063000, 1.8636352000", \ - "0.0916312000, 0.1059729000, 0.1398880000, 0.2192406000, 0.4037272000, 0.8387793000, 1.8621100000", \ - "0.0985768000, 0.1118916000, 0.1441372000, 0.2199620000, 0.4038904000, 0.8395331000, 1.8620091000", \ - "0.1363353000, 0.1504838000, 0.1822794000, 0.2509740000, 0.4176557000, 0.8390027000, 1.8582088000", \ - "0.2227684000, 0.2396031000, 0.2771621000, 0.3560384000, 0.5231440000, 0.8931522000, 1.8621434000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0615531000, 0.0669741000, 0.0785406000, 0.1049936000, 0.1647164000, 0.3025682000, 0.6236934000", \ - "0.0660327000, 0.0711659000, 0.0830448000, 0.1095578000, 0.1689913000, 0.3068809000, 0.6280502000", \ - "0.0744547000, 0.0796880000, 0.0914902000, 0.1181002000, 0.1775869000, 0.3153952000, 0.6366819000", \ - "0.0919808000, 0.0973333000, 0.1093908000, 0.1357778000, 0.1957971000, 0.3336104000, 0.6550041000", \ - "0.1216372000, 0.1285146000, 0.1428962000, 0.1732277000, 0.2364936000, 0.3746973000, 0.6973384000", \ - "0.1610257000, 0.1699693000, 0.1899477000, 0.2317537000, 0.3110004000, 0.4670019000, 0.7920009000", \ - "0.1891058000, 0.2034862000, 0.2358977000, 0.2991592000, 0.4170695000, 0.6221729000, 1.0017198000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.1321123000, 0.1427532000, 0.1659860000, 0.2190499000, 0.3426470000, 0.6317777000, 1.3096986000", \ - "0.1376991000, 0.1481304000, 0.1709927000, 0.2247139000, 0.3485505000, 0.6374347000, 1.3163227000", \ - "0.1510702000, 0.1611406000, 0.1848371000, 0.2378247000, 0.3619645000, 0.6512252000, 1.3298682000", \ - "0.1802342000, 0.1899458000, 0.2135384000, 0.2672784000, 0.3915155000, 0.6809702000, 1.3592276000", \ - "0.2411343000, 0.2510772000, 0.2741883000, 0.3276227000, 0.4517753000, 0.7414813000, 1.4205122000", \ - "0.3487782000, 0.3608752000, 0.3916608000, 0.4548319000, 0.5858386000, 0.8757706000, 1.5560533000", \ - "0.5218314000, 0.5421490000, 0.5857614000, 0.6752564000, 0.8470540000, 1.1813692000, 1.8633834000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0467147000, 0.0527023000, 0.0668881000, 0.0999649000, 0.1777520000, 0.3611660000, 0.7919593000", \ - "0.0466963000, 0.0528071000, 0.0668091000, 0.0997844000, 0.1776842000, 0.3611553000, 0.7929174000", \ - "0.0464860000, 0.0525511000, 0.0667988000, 0.0999939000, 0.1777319000, 0.3610184000, 0.7932844000", \ - "0.0493697000, 0.0547930000, 0.0682728000, 0.1006503000, 0.1775064000, 0.3607716000, 0.7929321000", \ - "0.0633201000, 0.0696339000, 0.0827074000, 0.1135141000, 0.1840965000, 0.3620652000, 0.7927924000", \ - "0.0983994000, 0.1054286000, 0.1206955000, 0.1534680000, 0.2251244000, 0.3867609000, 0.7973535000", \ - "0.1662150000, 0.1764659000, 0.1981322000, 0.2419986000, 0.3257563000, 0.4926226000, 0.8667935000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0973976000, 0.1105964000, 0.1414252000, 0.2148168000, 0.3846026000, 0.7829248000, 1.7192335000", \ - "0.0973030000, 0.1104665000, 0.1414711000, 0.2141376000, 0.3857756000, 0.7825323000, 1.7230470000", \ - "0.0973107000, 0.1106475000, 0.1413222000, 0.2142083000, 0.3845941000, 0.7823779000, 1.7194957000", \ - "0.0972783000, 0.1105705000, 0.1418266000, 0.2147050000, 0.3855054000, 0.7848972000, 1.7221258000", \ - "0.1039711000, 0.1167402000, 0.1461415000, 0.2160018000, 0.3840398000, 0.7829543000, 1.7237243000", \ - "0.1409458000, 0.1538294000, 0.1837905000, 0.2485310000, 0.4016248000, 0.7847892000, 1.7254652000", \ - "0.2244915000, 0.2403312000, 0.2756079000, 0.3506311000, 0.5042927000, 0.8484539000, 1.7281241000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0228694000, 0.0264011000, 0.0346251000, 0.0533793000, 0.0972233000, 0.1998434000, 0.4412317000", \ - "0.0268721000, 0.0305576000, 0.0388707000, 0.0576656000, 0.1015302000, 0.2042820000, 0.4456988000", \ - "0.0356913000, 0.0402138000, 0.0488598000, 0.0680175000, 0.1117942000, 0.2146998000, 0.4561129000", \ - "0.0466622000, 0.0531261000, 0.0665959000, 0.0909702000, 0.1356001000, 0.2384758000, 0.4795114000", \ - "0.0546304000, 0.0649432000, 0.0855489000, 0.1229510000, 0.1861727000, 0.2938722000, 0.5348475000", \ - "0.0505047000, 0.0666455000, 0.0985403000, 0.1568277000, 0.2539096000, 0.4062613000, 0.6612903000", \ - "0.0062984000, 0.0309673000, 0.0798123000, 0.1693643000, 0.3190476000, 0.5568400000, 0.9255772000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0922065000, 0.1029739000, 0.1275415000, 0.1844726000, 0.3155811000, 0.6198095000, 1.3339465000", \ - "0.0954463000, 0.1064138000, 0.1316364000, 0.1882515000, 0.3195350000, 0.6244799000, 1.3392958000", \ - "0.1052723000, 0.1164270000, 0.1410882000, 0.1982789000, 0.3301706000, 0.6360274000, 1.3512449000", \ - "0.1332980000, 0.1439427000, 0.1683860000, 0.2245614000, 0.3564536000, 0.6629031000, 1.3788165000", \ - "0.2001767000, 0.2118778000, 0.2373083000, 0.2924206000, 0.4235030000, 0.7296320000, 1.4461679000", \ - "0.3123425000, 0.3309826000, 0.3680839000, 0.4440290000, 0.5826641000, 0.8846513000, 1.5995965000", \ - "0.4967919000, 0.5234281000, 0.5804764000, 0.6946184000, 0.9050002000, 1.2581735000, 1.9619693000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0242772000, 0.0287479000, 0.0393062000, 0.0641277000, 0.1227399000, 0.2595468000, 0.5825752000", \ - "0.0242643000, 0.0287449000, 0.0393171000, 0.0640273000, 0.1227828000, 0.2594640000, 0.5829789000", \ - "0.0290998000, 0.0325560000, 0.0412297000, 0.0643171000, 0.1223348000, 0.2591926000, 0.5822560000", \ - "0.0441977000, 0.0479225000, 0.0562695000, 0.0744994000, 0.1251420000, 0.2596376000, 0.5825241000", \ - "0.0724960000, 0.0777436000, 0.0886520000, 0.1115771000, 0.1544260000, 0.2688259000, 0.5828115000", \ - "0.1223339000, 0.1298938000, 0.1459782000, 0.1773734000, 0.2354790000, 0.3426367000, 0.6054769000", \ - "0.2116653000, 0.2219338000, 0.2456973000, 0.2927519000, 0.3796496000, 0.5272887000, 0.7779923000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0854996000, 0.0995556000, 0.1318614000, 0.2078311000, 0.3871942000, 0.8029595000, 1.7841146000", \ - "0.0855632000, 0.0995930000, 0.1317359000, 0.2077612000, 0.3865391000, 0.8029315000, 1.7845186000", \ - "0.0854150000, 0.0993786000, 0.1319702000, 0.2078817000, 0.3860252000, 0.8023837000, 1.7855131000", \ - "0.0850418000, 0.0987809000, 0.1311419000, 0.2079262000, 0.3857633000, 0.8034925000, 1.7913705000", \ - "0.1084229000, 0.1191299000, 0.1459010000, 0.2130084000, 0.3856960000, 0.8036991000, 1.7850069000", \ - "0.1618707000, 0.1787497000, 0.2102469000, 0.2742506000, 0.4155513000, 0.8053774000, 1.7860965000", \ - "0.2523236000, 0.2755074000, 0.3245079000, 0.4168967000, 0.5764292000, 0.8985317000, 1.7964658000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0275254000, 0.0310571000, 0.0392530000, 0.0578835000, 0.1016619000, 0.2043378000, 0.4455726000", \ - "0.0317464000, 0.0353669000, 0.0437670000, 0.0626689000, 0.1064556000, 0.2091910000, 0.4504828000", \ - "0.0406145000, 0.0446310000, 0.0531958000, 0.0723667000, 0.1164829000, 0.2193632000, 0.4606935000", \ - "0.0531617000, 0.0589973000, 0.0707898000, 0.0934883000, 0.1388292000, 0.2421301000, 0.4836775000", \ - "0.0673372000, 0.0766000000, 0.0946498000, 0.1277534000, 0.1852790000, 0.2937676000, 0.5357478000", \ - "0.0739781000, 0.0887040000, 0.1181039000, 0.1699149000, 0.2570303000, 0.3990156000, 0.6542855000", \ - "0.0504291000, 0.0743629000, 0.1208044000, 0.2034106000, 0.3410132000, 0.5566762000, 0.8946039000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.1032867000, 0.1142434000, 0.1369729000, 0.1909624000, 0.3144603000, 0.6037138000, 1.2822898000", \ - "0.1073882000, 0.1181256000, 0.1408356000, 0.1945903000, 0.3189504000, 0.6082829000, 1.2867273000", \ - "0.1182870000, 0.1277847000, 0.1510652000, 0.2050710000, 0.3296483000, 0.6196214000, 1.2982690000", \ - "0.1457016000, 0.1554421000, 0.1787460000, 0.2326689000, 0.3567947000, 0.6470057000, 1.3264634000", \ - "0.2118776000, 0.2207721000, 0.2451873000, 0.2979944000, 0.4203697000, 0.7102361000, 1.3891945000", \ - "0.3257420000, 0.3416531000, 0.3749170000, 0.4447534000, 0.5768349000, 0.8589693000, 1.5357339000", \ - "0.5050382000, 0.5285135000, 0.5801463000, 0.6856641000, 0.8818999000, 1.2209797000, 1.8929049000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0242740000, 0.0287670000, 0.0393179000, 0.0641329000, 0.1223116000, 0.2593960000, 0.5831867000", \ - "0.0243153000, 0.0287852000, 0.0393201000, 0.0641002000, 0.1222561000, 0.2591952000, 0.5824231000", \ - "0.0266979000, 0.0306050000, 0.0402489000, 0.0641379000, 0.1222844000, 0.2594300000, 0.5826686000", \ - "0.0378294000, 0.0413884000, 0.0497514000, 0.0699254000, 0.1237808000, 0.2594359000, 0.5823255000", \ - "0.0613799000, 0.0655337000, 0.0750183000, 0.0958611000, 0.1421320000, 0.2648398000, 0.5825154000", \ - "0.1057187000, 0.1114699000, 0.1240461000, 0.1506807000, 0.2034715000, 0.3142333000, 0.5962265000", \ - "0.1879224000, 0.1953869000, 0.2129698000, 0.2502097000, 0.3223699000, 0.4549993000, 0.7143218000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011771800, 0.0027715000, 0.0065251100, 0.0153624000, 0.0361687000, 0.0851540000"); - values("0.0973297000, 0.1104250000, 0.1414195000, 0.2140724000, 0.3847555000, 0.7826692000, 1.7200644000", \ - "0.0971829000, 0.1102790000, 0.1417488000, 0.2140867000, 0.3843141000, 0.7826013000, 1.7195083000", \ - "0.0967813000, 0.1104008000, 0.1415576000, 0.2140810000, 0.3844141000, 0.7829074000, 1.7216288000", \ - "0.0964732000, 0.1094601000, 0.1409206000, 0.2141930000, 0.3843193000, 0.7830245000, 1.7235685000", \ - "0.1177805000, 0.1286715000, 0.1542337000, 0.2189513000, 0.3839216000, 0.7832573000, 1.7210899000", \ - "0.1709832000, 0.1860122000, 0.2176222000, 0.2795873000, 0.4166285000, 0.7850345000, 1.7199048000", \ - "0.2645532000, 0.2866365000, 0.3323118000, 0.4195525000, 0.5786818000, 0.8860120000, 1.7304458000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a32oi_2") { - leakage_power () { - value : 0.0007973000; - when : "!A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0167322000; - when : "!A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0037690000; - when : "!A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0006329000; - when : "!A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0008306000; - when : "!A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0018249000; - when : "!A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0037690000; - when : "!A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0006652000; - when : "!A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0008207000; - when : "!A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0018471000; - when : "!A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0037690000; - when : "!A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0006560000; - when : "!A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0015174000; - when : "!A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0024733000; - when : "!A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0037690000; - when : "!A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0013522000; - when : "!A1&A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0035251000; - when : "A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0028764000; - when : "A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0037690000; - when : "A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0043939000; - when : "A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0013527000; - when : "A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0033431000; - when : "A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0037690000; - when : "A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0011878000; - when : "A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0012316000; - when : "A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0042336000; - when : "A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0037690000; - when : "A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0010670000; - when : "A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0026624000; - when : "A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0069509000; - when : "A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0003049000; - when : "A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0027760000; - when : "A1&A2&A3&B1&!B2"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__a32oi"; - cell_leakage_power : 0.0028886930; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0043510000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042290000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092225000, 0.0092220000, 0.0092210000, 0.0092167000, 0.0092070000, 0.0091847000, 0.0091331000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006443400, -0.006442800, -0.006441200, -0.006423600, -0.006383000, -0.006289400, -0.006073800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044730000; - } - pin ("A2") { - capacitance : 0.0043260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0083516000, 0.0083521000, 0.0083534000, 0.0083836000, 0.0084532000, 0.0086136000, 0.0089834000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007765000, -0.007765100, -0.007765300, -0.007762700, -0.007756600, -0.007742500, -0.007710000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044750000; - } - pin ("A3") { - capacitance : 0.0044840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042380000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078930000, 0.0079037000, 0.0079282000, 0.0079323000, 0.0079418000, 0.0079638000, 0.0080143000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007914100, -0.007914400, -0.007915200, -0.007916500, -0.007919400, -0.007926000, -0.007941400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047300000; - } - pin ("B1") { - capacitance : 0.0042230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0090018000, 0.0089995000, 0.0089942000, 0.0089983000, 0.0090078000, 0.0090297000, 0.0090802000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006279100, -0.006279500, -0.006280400, -0.006265400, -0.006230800, -0.006151100, -0.005967300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044560000; - } - pin ("B2") { - capacitance : 0.0042970000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039830000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079033000, 0.0078973000, 0.0078835000, 0.0078851000, 0.0078886000, 0.0078968000, 0.0079155000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007885300, -0.007881400, -0.007872400, -0.007869900, -0.007864000, -0.007850400, -0.007819100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046100000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A3&!B1) | (!A2&!B2) | (!A3&!B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0136346000, 0.0123622000, 0.0090176000, 0.0003987000, -0.022288500, -0.081761500, -0.236688000", \ - "0.0134760000, 0.0121819000, 0.0088810000, 0.0002250000, -0.022475500, -0.081828700, -0.236775600", \ - "0.0131306000, 0.0118750000, 0.0085896000, -2.23000e-05, -0.022596400, -0.082027800, -0.237095200", \ - "0.0126427000, 0.0113829000, 0.0081211000, -0.000421000, -0.022945100, -0.082234100, -0.237057400", \ - "0.0121937000, 0.0109288000, 0.0076645000, -0.000860900, -0.023299700, -0.082472400, -0.237317700", \ - "0.0126477000, 0.0112434000, 0.0078610000, -0.000815700, -0.023493700, -0.082647600, -0.237410000", \ - "0.0140308000, 0.0126663000, 0.0092061000, 0.0002146000, -0.022733000, -0.082569800, -0.237033300"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0213410000, 0.0226696000, 0.0261460000, 0.0350934000, 0.0579015000, 0.1168090000, 0.2701850000", \ - "0.0211154000, 0.0224556000, 0.0259643000, 0.0349661000, 0.0578983000, 0.1169636000, 0.2704089000", \ - "0.0206376000, 0.0220187000, 0.0255411000, 0.0346076000, 0.0576892000, 0.1168549000, 0.2703904000", \ - "0.0200906000, 0.0214355000, 0.0249280000, 0.0339760000, 0.0571825000, 0.1166491000, 0.2700673000", \ - "0.0197098000, 0.0210518000, 0.0244891000, 0.0333690000, 0.0565044000, 0.1159908000, 0.2699711000", \ - "0.0196218000, 0.0209424000, 0.0243614000, 0.0332350000, 0.0561249000, 0.1154661000, 0.2695192000", \ - "0.0193125000, 0.0206086000, 0.0239477000, 0.0330745000, 0.0561448000, 0.1154513000, 0.2690513000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0149690000, 0.0136804000, 0.0103702000, 0.0017070000, -0.021023600, -0.080432500, -0.235535900", \ - "0.0148161000, 0.0135638000, 0.0101881000, 0.0015842000, -0.021130800, -0.080520000, -0.235606700", \ - "0.0145771000, 0.0133215000, 0.0099958000, 0.0013658000, -0.021355900, -0.080726000, -0.235757700", \ - "0.0142103000, 0.0129552000, 0.0096579000, 0.0010600000, -0.021543800, -0.080820500, -0.235867100", \ - "0.0138366000, 0.0125676000, 0.0093304000, 0.0007416000, -0.021695200, -0.080933800, -0.235880200", \ - "0.0139056000, 0.0126253000, 0.0092400000, 0.0004017000, -0.022164300, -0.081200600, -0.236091900", \ - "0.0148598000, 0.0135376000, 0.0101515000, 0.0012830000, -0.021741700, -0.081391900, -0.236131000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0284049000, 0.0297020000, 0.0330621000, 0.0418622000, 0.0646841000, 0.1237271000, 0.2771789000", \ - "0.0281421000, 0.0294833000, 0.0328374000, 0.0417239000, 0.0646119000, 0.1236593000, 0.2770005000", \ - "0.0276494000, 0.0289910000, 0.0324682000, 0.0414347000, 0.0644443000, 0.1235495000, 0.2769752000", \ - "0.0271828000, 0.0285111000, 0.0319281000, 0.0409129000, 0.0639766000, 0.1232901000, 0.2767960000", \ - "0.0266836000, 0.0279872000, 0.0314862000, 0.0403488000, 0.0633867000, 0.1226990000, 0.2764783000", \ - "0.0264611000, 0.0277803000, 0.0311994000, 0.0400534000, 0.0629776000, 0.1223040000, 0.2760691000", \ - "0.0256756000, 0.0270213000, 0.0302759000, 0.0395535000, 0.0626211000, 0.1221600000, 0.2754338000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0149715000, 0.0137176000, 0.0103365000, 0.0017267000, -0.020996700, -0.080389800, -0.235524300", \ - "0.0148026000, 0.0135264000, 0.0101977000, 0.0015542000, -0.021148300, -0.080527100, -0.235582700", \ - "0.0145545000, 0.0132866000, 0.0099931000, 0.0013211000, -0.021396900, -0.080664200, -0.235747500", \ - "0.0141236000, 0.0128675000, 0.0095612000, 0.0009796000, -0.021621500, -0.080858700, -0.235878400", \ - "0.0138076000, 0.0125398000, 0.0093497000, 0.0007402000, -0.021795500, -0.080992600, -0.235724300", \ - "0.0138682000, 0.0125744000, 0.0092462000, 0.0004549000, -0.022266200, -0.081255500, -0.236024900", \ - "0.0148741000, 0.0135453000, 0.0101165000, 0.0013035000, -0.021691300, -0.081361700, -0.236048500"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0339712000, 0.0352415000, 0.0387384000, 0.0475379000, 0.0704060000, 0.1295225000, 0.2830538000", \ - "0.0338570000, 0.0351890000, 0.0385060000, 0.0474644000, 0.0703352000, 0.1293786000, 0.2829689000", \ - "0.0335807000, 0.0349236000, 0.0382611000, 0.0472197000, 0.0701564000, 0.1293576000, 0.2827598000", \ - "0.0332691000, 0.0345828000, 0.0380946000, 0.0469998000, 0.0699595000, 0.1291038000, 0.2825228000", \ - "0.0330484000, 0.0343927000, 0.0378411000, 0.0466770000, 0.0696491000, 0.1288907000, 0.2824602000", \ - "0.0329177000, 0.0343113000, 0.0377663000, 0.0466108000, 0.0695989000, 0.1288923000, 0.2824290000", \ - "0.0326703000, 0.0339430000, 0.0372264000, 0.0465244000, 0.0696214000, 0.1291720000, 0.2823598000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0044062000, 0.0031929000, -4.56000e-05, -0.008714700, -0.031547100, -0.091159200, -0.246462000", \ - "0.0041294000, 0.0029736000, -0.000181100, -0.008732200, -0.031469100, -0.091029200, -0.246288100", \ - "0.0037924000, 0.0026633000, -0.000451600, -0.008871400, -0.031476300, -0.090921200, -0.246124800", \ - "0.0033906000, 0.0022389000, -0.000846500, -0.009243900, -0.031688200, -0.090951500, -0.246072500", \ - "0.0032975000, 0.0020610000, -0.001127100, -0.009615800, -0.032003900, -0.091146400, -0.246110800", \ - "0.0039564000, 0.0026381000, -0.000759300, -0.009410900, -0.032286400, -0.091607300, -0.246371600", \ - "0.0061332000, 0.0046547000, 0.0009442000, -0.008237400, -0.031466400, -0.090775700, -0.246534100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0206355000, 0.0219767000, 0.0255010000, 0.0345292000, 0.0575163000, 0.1166280000, 0.2701029000", \ - "0.0202826000, 0.0217078000, 0.0252140000, 0.0343212000, 0.0574407000, 0.1166248000, 0.2700968000", \ - "0.0198906000, 0.0212557000, 0.0248132000, 0.0338252000, 0.0570798000, 0.1166124000, 0.2700163000", \ - "0.0193945000, 0.0207489000, 0.0243023000, 0.0332062000, 0.0562713000, 0.1160484000, 0.2698544000", \ - "0.0192263000, 0.0205495000, 0.0239348000, 0.0328685000, 0.0559082000, 0.1153965000, 0.2694169000", \ - "0.0197293000, 0.0210083000, 0.0243627000, 0.0330895000, 0.0558717000, 0.1150858000, 0.2687773000", \ - "0.0237427000, 0.0231287000, 0.0262858000, 0.0347370000, 0.0571151000, 0.1155223000, 0.2684864000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0060755000, 0.0048519000, 0.0015825000, -0.007106900, -0.029949500, -0.089558600, -0.244847800", \ - "0.0058186000, 0.0046411000, 0.0014685000, -0.007102300, -0.029848600, -0.089411500, -0.244672600", \ - "0.0053876000, 0.0042336000, 0.0011548000, -0.007264100, -0.029845400, -0.089303900, -0.244500000", \ - "0.0048726000, 0.0037002000, 0.0006033000, -0.007704900, -0.030070200, -0.089349800, -0.244442700", \ - "0.0048524000, 0.0036244000, 0.0002596000, -0.008238700, -0.030488500, -0.089562600, -0.244509000", \ - "0.0050376000, 0.0037398000, 0.0003968000, -0.008289800, -0.031029500, -0.090057700, -0.244776300", \ - "0.0069757000, 0.0055664000, 0.0019665000, -0.007177800, -0.030350700, -0.090002100, -0.245000500"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0280204000, 0.0293568000, 0.0327814000, 0.0416598000, 0.0646245000, 0.1237732000, 0.2772448000", \ - "0.0277713000, 0.0290654000, 0.0325765000, 0.0413846000, 0.0644088000, 0.1236408000, 0.2770896000", \ - "0.0273181000, 0.0286474000, 0.0321102000, 0.0411010000, 0.0642672000, 0.1235703000, 0.2771363000", \ - "0.0270101000, 0.0283077000, 0.0318071000, 0.0407072000, 0.0638749000, 0.1232643000, 0.2768867000", \ - "0.0268045000, 0.0281482000, 0.0315353000, 0.0404444000, 0.0634481000, 0.1228437000, 0.2765995000", \ - "0.0274717000, 0.0287590000, 0.0321223000, 0.0408374000, 0.0635656000, 0.1227702000, 0.2762798000", \ - "0.0305353000, 0.0317352000, 0.0348809000, 0.0431276000, 0.0656819000, 0.1247319000, 0.2762801000"); - } - } - max_capacitance : 0.1556500000; - max_transition : 1.9190130000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0569036000, 0.0607645000, 0.0700704000, 0.0940991000, 0.1523542000, 0.3005744000, 0.6820212000", \ - "0.0607648000, 0.0643047000, 0.0740295000, 0.0973068000, 0.1560901000, 0.3044822000, 0.6859547000", \ - "0.0695766000, 0.0734790000, 0.0831338000, 0.1069520000, 0.1653946000, 0.3137558000, 0.6953947000", \ - "0.0922350000, 0.0959512000, 0.1052310000, 0.1288865000, 0.1875751000, 0.3360931000, 0.7189506000", \ - "0.1275691000, 0.1331283000, 0.1464452000, 0.1771437000, 0.2401004000, 0.3882062000, 0.7698881000", \ - "0.1641932000, 0.1725018000, 0.1918997000, 0.2374481000, 0.3317047000, 0.5092428000, 0.8909768000", \ - "0.1801657000, 0.1923714000, 0.2231456000, 0.2930186000, 0.4348162000, 0.7020420000, 1.1715496000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1160443000, 0.1232117000, 0.1417684000, 0.1881995000, 0.3070008000, 0.6131677000, 1.4071890000", \ - "0.1211853000, 0.1283322000, 0.1471043000, 0.1938762000, 0.3131929000, 0.6191944000, 1.4132757000", \ - "0.1336245000, 0.1409424000, 0.1594559000, 0.2067411000, 0.3266142000, 0.6336004000, 1.4280125000", \ - "0.1634422000, 0.1709522000, 0.1894594000, 0.2367157000, 0.3569341000, 0.6646284000, 1.4605437000", \ - "0.2282401000, 0.2360709000, 0.2547117000, 0.3012667000, 0.4208022000, 0.7295635000, 1.5253139000", \ - "0.3408078000, 0.3513172000, 0.3761429000, 0.4358337000, 0.5661462000, 0.8744024000, 1.6715871000", \ - "0.5250455000, 0.5419374000, 0.5821132000, 0.6704329000, 0.8499949000, 1.2075339000, 2.0108495000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0517678000, 0.0561893000, 0.0676121000, 0.0971048000, 0.1740351000, 0.3735088000, 0.8931955000", \ - "0.0519753000, 0.0563296000, 0.0676952000, 0.0971669000, 0.1737486000, 0.3737181000, 0.8935365000", \ - "0.0509856000, 0.0554910000, 0.0673915000, 0.0969752000, 0.1738479000, 0.3734263000, 0.8949035000", \ - "0.0564934000, 0.0602347000, 0.0703324000, 0.0978981000, 0.1736799000, 0.3735287000, 0.8937273000", \ - "0.0770974000, 0.0823867000, 0.0949501000, 0.1235638000, 0.1875023000, 0.3737448000, 0.8933443000", \ - "0.1204941000, 0.1279874000, 0.1453930000, 0.1826098000, 0.2588695000, 0.4217415000, 0.8961904000", \ - "0.1949270000, 0.2073074000, 0.2339523000, 0.2903956000, 0.3956266000, 0.6004056000, 1.0128732000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0746841000, 0.0839109000, 0.1081924000, 0.1705955000, 0.3333439000, 0.7522546000, 1.8463786000", \ - "0.0747124000, 0.0838855000, 0.1082096000, 0.1705200000, 0.3333649000, 0.7510104000, 1.8460147000", \ - "0.0747752000, 0.0840728000, 0.1082102000, 0.1705775000, 0.3321870000, 0.7522335000, 1.8450975000", \ - "0.0750139000, 0.0841164000, 0.1082855000, 0.1706945000, 0.3320609000, 0.7510939000, 1.8463155000", \ - "0.0833811000, 0.0917555000, 0.1139774000, 0.1731855000, 0.3318594000, 0.7540374000, 1.8406451000", \ - "0.1198623000, 0.1290143000, 0.1524302000, 0.2093589000, 0.3508558000, 0.7519377000, 1.8431479000", \ - "0.2017930000, 0.2132376000, 0.2419370000, 0.3080802000, 0.4571369000, 0.8122062000, 1.8439283000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0669239000, 0.0708910000, 0.0805462000, 0.1041985000, 0.1624737000, 0.3107037000, 0.6923114000", \ - "0.0713913000, 0.0752536000, 0.0845088000, 0.1084020000, 0.1667840000, 0.3150093000, 0.6965339000", \ - "0.0802044000, 0.0841754000, 0.0935921000, 0.1173171000, 0.1760402000, 0.3243845000, 0.7058013000", \ - "0.1005120000, 0.1047043000, 0.1143053000, 0.1381697000, 0.1971266000, 0.3455246000, 0.7272350000", \ - "0.1358640000, 0.1409077000, 0.1533639000, 0.1811709000, 0.2450029000, 0.3942253000, 0.7768587000", \ - "0.1789239000, 0.1863038000, 0.2042255000, 0.2456823000, 0.3315360000, 0.5047291000, 0.8905437000", \ - "0.2054078000, 0.2169333000, 0.2448770000, 0.3080066000, 0.4406634000, 0.6869920000, 1.1405171000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1423745000, 0.1494099000, 0.1675209000, 0.2142499000, 0.3334885000, 0.6415437000, 1.4408335000", \ - "0.1465083000, 0.1542238000, 0.1728853000, 0.2191904000, 0.3388490000, 0.6471646000, 1.4477112000", \ - "0.1597554000, 0.1669963000, 0.1858211000, 0.2326770000, 0.3523429000, 0.6611873000, 1.4606273000", \ - "0.1899296000, 0.1972368000, 0.2153986000, 0.2627614000, 0.3832408000, 0.6925918000, 1.4941534000", \ - "0.2555597000, 0.2621517000, 0.2807943000, 0.3273976000, 0.4476858000, 0.7580573000, 1.5588042000", \ - "0.3778708000, 0.3871804000, 0.4093926000, 0.4653418000, 0.5921785000, 0.9024286000, 1.7036395000", \ - "0.5846869000, 0.5987609000, 0.6336595000, 0.7125371000, 0.8821606000, 1.2359195000, 2.0412294000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0518144000, 0.0561666000, 0.0677324000, 0.0970402000, 0.1738560000, 0.3733772000, 0.8947258000", \ - "0.0517085000, 0.0561929000, 0.0675741000, 0.0972864000, 0.1738799000, 0.3733951000, 0.8937168000", \ - "0.0515003000, 0.0558921000, 0.0674974000, 0.0971002000, 0.1739254000, 0.3739455000, 0.8940416000", \ - "0.0545792000, 0.0586742000, 0.0694739000, 0.0978523000, 0.1736818000, 0.3733490000, 0.8941476000", \ - "0.0722412000, 0.0765115000, 0.0876899000, 0.1149983000, 0.1825067000, 0.3743927000, 0.8937471000", \ - "0.1120082000, 0.1173167000, 0.1317537000, 0.1636139000, 0.2352402000, 0.4068229000, 0.8957268000", \ - "0.1850320000, 0.1934913000, 0.2138265000, 0.2597653000, 0.3544595000, 0.5387871000, 0.9689536000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0953601000, 0.1045726000, 0.1290774000, 0.1924793000, 0.3560791000, 0.7791889000, 1.8823867000", \ - "0.0953850000, 0.1048779000, 0.1293041000, 0.1923146000, 0.3559810000, 0.7783528000, 1.8777586000", \ - "0.0949931000, 0.1045445000, 0.1292829000, 0.1928214000, 0.3560306000, 0.7788611000, 1.8748565000", \ - "0.0952850000, 0.1047029000, 0.1291226000, 0.1924115000, 0.3556890000, 0.7792271000, 1.8791857000", \ - "0.1003988000, 0.1094140000, 0.1328249000, 0.1936206000, 0.3559222000, 0.7794669000, 1.8807955000", \ - "0.1352364000, 0.1444884000, 0.1676916000, 0.2244330000, 0.3713599000, 0.7790871000, 1.8784154000", \ - "0.2180830000, 0.2291537000, 0.2562207000, 0.3215896000, 0.4705391000, 0.8340332000, 1.8811370000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0714429000, 0.0752892000, 0.0845504000, 0.1084646000, 0.1667790000, 0.3149657000, 0.6965448000", \ - "0.0755215000, 0.0793875000, 0.0888711000, 0.1127420000, 0.1710481000, 0.3191895000, 0.7006495000", \ - "0.0835437000, 0.0871629000, 0.0968198000, 0.1205129000, 0.1791233000, 0.3275155000, 0.7087851000", \ - "0.0990821000, 0.1030675000, 0.1127815000, 0.1364882000, 0.1953176000, 0.3437512000, 0.7254251000", \ - "0.1265333000, 0.1308020000, 0.1420370000, 0.1683733000, 0.2304346000, 0.3795671000, 0.7618144000", \ - "0.1642163000, 0.1703333000, 0.1852046000, 0.2195022000, 0.2946150000, 0.4594039000, 0.8444075000", \ - "0.1913298000, 0.2006170000, 0.2239448000, 0.2767905000, 0.3852751000, 0.5953715000, 1.0283254000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1551111000, 0.1618630000, 0.1800485000, 0.2250983000, 0.3422328000, 0.6429278000, 1.4210069000", \ - "0.1596940000, 0.1675369000, 0.1856608000, 0.2308360000, 0.3477086000, 0.6484184000, 1.4265910000", \ - "0.1729087000, 0.1808916000, 0.1990981000, 0.2448172000, 0.3613588000, 0.6621773000, 1.4408229000", \ - "0.2039284000, 0.2107907000, 0.2288471000, 0.2740563000, 0.3916978000, 0.6927778000, 1.4711098000", \ - "0.2658827000, 0.2729612000, 0.2908598000, 0.3368059000, 0.4539792000, 0.7554907000, 1.5338012000", \ - "0.3833218000, 0.3916539000, 0.4150021000, 0.4679055000, 0.5906890000, 0.8922472000, 1.6717471000", \ - "0.5820815000, 0.5946121000, 0.6255372000, 0.6981766000, 0.8592494000, 1.2038588000, 1.9866291000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0517168000, 0.0562159000, 0.0675911000, 0.0972584000, 0.1738843000, 0.3735415000, 0.8939117000", \ - "0.0516899000, 0.0561409000, 0.0676827000, 0.0970818000, 0.1739211000, 0.3735134000, 0.8933260000", \ - "0.0516920000, 0.0561244000, 0.0675183000, 0.0970819000, 0.1739301000, 0.3737600000, 0.8936169000", \ - "0.0535136000, 0.0576954000, 0.0687311000, 0.0977508000, 0.1737594000, 0.3733510000, 0.8943281000", \ - "0.0642897000, 0.0686265000, 0.0800254000, 0.1083391000, 0.1796908000, 0.3744022000, 0.8935475000", \ - "0.0943765000, 0.0992885000, 0.1114947000, 0.1409887000, 0.2134932000, 0.3945501000, 0.8973382000", \ - "0.1600918000, 0.1662070000, 0.1831799000, 0.2198715000, 0.3016833000, 0.4853823000, 0.9484754000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1093092000, 0.1187132000, 0.1432094000, 0.2047988000, 0.3645048000, 0.7776185000, 1.8476149000", \ - "0.1097318000, 0.1194035000, 0.1428166000, 0.2052159000, 0.3646606000, 0.7763261000, 1.8472780000", \ - "0.1097181000, 0.1194011000, 0.1428117000, 0.2049995000, 0.3644859000, 0.7767045000, 1.8520376000", \ - "0.1092740000, 0.1186860000, 0.1430823000, 0.2053050000, 0.3645686000, 0.7764027000, 1.8490647000", \ - "0.1141399000, 0.1228478000, 0.1458025000, 0.2058871000, 0.3649471000, 0.7783325000, 1.8493211000", \ - "0.1472479000, 0.1570147000, 0.1796302000, 0.2352509000, 0.3804954000, 0.7783202000, 1.8521562000", \ - "0.2280898000, 0.2389599000, 0.2659505000, 0.3296457000, 0.4772063000, 0.8360905000, 1.8548678000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0221796000, 0.0245342000, 0.0306291000, 0.0459262000, 0.0852760000, 0.1869791000, 0.4515194000", \ - "0.0261391000, 0.0285186000, 0.0347279000, 0.0502822000, 0.0896924000, 0.1915168000, 0.4562522000", \ - "0.0350278000, 0.0379750000, 0.0448101000, 0.0604942000, 0.0999169000, 0.2021385000, 0.4665348000", \ - "0.0451422000, 0.0497060000, 0.0603118000, 0.0823426000, 0.1240701000, 0.2260716000, 0.4910382000", \ - "0.0527207000, 0.0601353000, 0.0770602000, 0.1107679000, 0.1724431000, 0.2817855000, 0.5462625000", \ - "0.0470666000, 0.0585516000, 0.0843460000, 0.1366800000, 0.2321128000, 0.3943176000, 0.6750152000", \ - "-0.001095200, 0.0166167000, 0.0567705000, 0.1376835000, 0.2864529000, 0.5348162000, 0.9438361000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1000900000, 0.1078745000, 0.1268005000, 0.1758559000, 0.2985432000, 0.6120114000, 1.4251423000", \ - "0.1037672000, 0.1111469000, 0.1308540000, 0.1797331000, 0.3027049000, 0.6171040000, 1.4300515000", \ - "0.1143115000, 0.1218108000, 0.1412838000, 0.1899848000, 0.3134528000, 0.6285529000, 1.4414827000", \ - "0.1417204000, 0.1492339000, 0.1682222000, 0.2172739000, 0.3397256000, 0.6556831000, 1.4694757000", \ - "0.2103635000, 0.2181320000, 0.2377245000, 0.2846531000, 0.4070950000, 0.7218730000, 1.5369489000", \ - "0.3302390000, 0.3425661000, 0.3702677000, 0.4347794000, 0.5677492000, 0.8796400000, 1.6903353000", \ - "0.5270072000, 0.5441161000, 0.5876707000, 0.6860301000, 0.8844940000, 1.2521245000, 2.0581177000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0268395000, 0.0298979000, 0.0377773000, 0.0581531000, 0.1111629000, 0.2489279000, 0.6078971000", \ - "0.0267972000, 0.0298227000, 0.0377395000, 0.0581388000, 0.1109891000, 0.2500409000, 0.6082644000", \ - "0.0316166000, 0.0339196000, 0.0402871000, 0.0587626000, 0.1109973000, 0.2490802000, 0.6079210000", \ - "0.0466870000, 0.0492731000, 0.0556216000, 0.0705353000, 0.1147735000, 0.2488827000, 0.6078896000", \ - "0.0757359000, 0.0788212000, 0.0864610000, 0.1052380000, 0.1464318000, 0.2596941000, 0.6081941000", \ - "0.1285381000, 0.1328874000, 0.1438443000, 0.1700367000, 0.2240838000, 0.3341434000, 0.6287895000", \ - "0.2213138000, 0.2286077000, 0.2437190000, 0.2820987000, 0.3632414000, 0.5167452000, 0.7981150000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0937453000, 0.1034193000, 0.1282991000, 0.1927480000, 0.3592494000, 0.7915423000, 1.9190134000", \ - "0.0932489000, 0.1033967000, 0.1282498000, 0.1928142000, 0.3597227000, 0.7906011000, 1.9112356000", \ - "0.0935048000, 0.1033492000, 0.1282687000, 0.1927401000, 0.3597562000, 0.7910559000, 1.9165107000", \ - "0.0920914000, 0.1020660000, 0.1272989000, 0.1927043000, 0.3593613000, 0.7907016000, 1.9178212000", \ - "0.1113506000, 0.1192836000, 0.1400510000, 0.1978178000, 0.3590050000, 0.7910398000, 1.9158177000", \ - "0.1639491000, 0.1749586000, 0.2012714000, 0.2583295000, 0.3909016000, 0.7930058000, 1.9135493000", \ - "0.2541364000, 0.2714748000, 0.3095892000, 0.3906877000, 0.5487447000, 0.8827529000, 1.9187290000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0281836000, 0.0305889000, 0.0365614000, 0.0518332000, 0.0910477000, 0.1927897000, 0.4573442000", \ - "0.0324890000, 0.0349820000, 0.0412179000, 0.0565774000, 0.0960044000, 0.1978298000, 0.4623902000", \ - "0.0409782000, 0.0437316000, 0.0501772000, 0.0659798000, 0.1055864000, 0.2076856000, 0.4723127000", \ - "0.0529803000, 0.0567387000, 0.0656885000, 0.0851782000, 0.1267655000, 0.2294403000, 0.4942156000", \ - "0.0651768000, 0.0712540000, 0.0855510000, 0.1140523000, 0.1694888000, 0.2782945000, 0.5436623000", \ - "0.0674529000, 0.0772228000, 0.1000246000, 0.1454866000, 0.2295355000, 0.3745412000, 0.6561873000", \ - "0.0319449000, 0.0478586000, 0.0839703000, 0.1575870000, 0.2916235000, 0.5143939000, 0.8845850000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1208244000, 0.1278998000, 0.1454875000, 0.1919625000, 0.3082678000, 0.6090359000, 1.3885699000", \ - "0.1237259000, 0.1315924000, 0.1494578000, 0.1955234000, 0.3130095000, 0.6140993000, 1.3924343000", \ - "0.1346527000, 0.1410689000, 0.1596305000, 0.2057656000, 0.3232902000, 0.6246454000, 1.4036364000", \ - "0.1609800000, 0.1678437000, 0.1861174000, 0.2325474000, 0.3495986000, 0.6517058000, 1.4306892000", \ - "0.2283212000, 0.2358967000, 0.2534753000, 0.2986086000, 0.4158968000, 0.7176870000, 1.4968409000", \ - "0.3557724000, 0.3660255000, 0.3910187000, 0.4484307000, 0.5723173000, 0.8703320000, 1.6487360000", \ - "0.5575478000, 0.5728443000, 0.6101394000, 0.6963271000, 0.8812297000, 1.2321714000, 2.0046962000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0267925000, 0.0298079000, 0.0376905000, 0.0580713000, 0.1110283000, 0.2485692000, 0.6080661000", \ - "0.0268325000, 0.0298326000, 0.0376812000, 0.0580856000, 0.1109421000, 0.2489182000, 0.6077415000", \ - "0.0289169000, 0.0316073000, 0.0388183000, 0.0582155000, 0.1108794000, 0.2488716000, 0.6074914000", \ - "0.0394559000, 0.0418910000, 0.0482398000, 0.0647622000, 0.1130501000, 0.2489120000, 0.6079974000", \ - "0.0625461000, 0.0652808000, 0.0722668000, 0.0897511000, 0.1332674000, 0.2553065000, 0.6074319000", \ - "0.1075932000, 0.1113064000, 0.1202681000, 0.1423261000, 0.1925317000, 0.3044581000, 0.6234735000", \ - "0.1937237000, 0.1978421000, 0.2095524000, 0.2396549000, 0.3047028000, 0.4393317000, 0.7333680000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1091351000, 0.1185979000, 0.1427565000, 0.2047895000, 0.3641752000, 0.7786454000, 1.8527229000", \ - "0.1097608000, 0.1187201000, 0.1431845000, 0.2046863000, 0.3645307000, 0.7791765000, 1.8485951000", \ - "0.1090009000, 0.1185351000, 0.1426063000, 0.2046811000, 0.3646347000, 0.7778064000, 1.8478085000", \ - "0.1081140000, 0.1174719000, 0.1425140000, 0.2047216000, 0.3647219000, 0.7772913000, 1.8490752000", \ - "0.1225991000, 0.1308934000, 0.1515850000, 0.2083239000, 0.3642025000, 0.7781707000, 1.8492003000", \ - "0.1774709000, 0.1876786000, 0.2122323000, 0.2666152000, 0.3957324000, 0.7795615000, 1.8488255000", \ - "0.2710649000, 0.2858670000, 0.3208092000, 0.3979886000, 0.5518584000, 0.8747492000, 1.8532813000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a32oi_4") { - leakage_power () { - value : 0.0020017000; - when : "!A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0002656000; - when : "!A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0051337000; - when : "!A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0015811000; - when : "!A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0020858000; - when : "!A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0003500000; - when : "!A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0051337000; - when : "!A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0016654000; - when : "!A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0020610000; - when : "!A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0003247000; - when : "!A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0051337000; - when : "!A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0016402000; - when : "!A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0038377000; - when : "!A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0020941000; - when : "!A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0051337000; - when : "!A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0034103000; - when : "!A1&A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0020858000; - when : "A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0003434000; - when : "A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0051337000; - when : "A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0016653000; - when : "A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0034103000; - when : "A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0016713000; - when : "A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0051337000; - when : "A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0029897000; - when : "A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0031021000; - when : "A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0013641000; - when : "A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0051337000; - when : "A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0026885000; - when : "A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0039808000; - when : "A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0041797000; - when : "A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0004544000; - when : "A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0041240000; - when : "A1&A2&A3&B1&!B2"; - } - area : 27.526400000; - cell_footprint : "sky130_fd_sc_hd__a32oi"; - cell_leakage_power : 0.0027910300; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0083290000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081180000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0180689000, 0.0180753000, 0.0180902000, 0.0180910000, 0.0180930000, 0.0180975000, 0.0181080000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.012790900, -0.012801500, -0.012825800, -0.012795500, -0.012725800, -0.012565000, -0.012194500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0085390000; - } - pin ("A2") { - capacitance : 0.0082260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0079160000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0170436000, 0.0170463000, 0.0170524000, 0.0171143000, 0.0172572000, 0.0175865000, 0.0183456000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015580600, -0.015563100, -0.015522800, -0.015520600, -0.015515700, -0.015504200, -0.015477700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0085360000; - } - pin ("A3") { - capacitance : 0.0085060000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0080340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0156261000, 0.0156256000, 0.0156245000, 0.0156310000, 0.0156461000, 0.0156809000, 0.0157611000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015626000, -0.015623500, -0.015617800, -0.015605700, -0.015578000, -0.015514200, -0.015367000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0089780000; - } - pin ("B1") { - capacitance : 0.0082430000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0077540000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0179179000, 0.0179139000, 0.0179046000, 0.0179095000, 0.0179207000, 0.0179466000, 0.0180062000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013000400, -0.013013200, -0.013042600, -0.013013900, -0.012947800, -0.012795500, -0.012444500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087320000; - } - pin ("B2") { - capacitance : 0.0084790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0077930000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0158960000, 0.0158818000, 0.0158489000, 0.0158494000, 0.0158507000, 0.0158537000, 0.0158606000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015838800, -0.015829300, -0.015807500, -0.015806300, -0.015803800, -0.015797800, -0.015784100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091650000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A1&!B2) | (!A2&!B1) | (!A3&!B1) | (!A2&!B2) | (!A3&!B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000"); - values("0.0278766000, 0.0264201000, 0.0222980000, 0.0109070000, -0.021786800, -0.114684400, -0.377348600", \ - "0.0275289000, 0.0260537000, 0.0219530000, 0.0104810000, -0.022150900, -0.115003200, -0.377570500", \ - "0.0268871000, 0.0254520000, 0.0214726000, 0.0100793000, -0.022487800, -0.115302300, -0.377778600", \ - "0.0260353000, 0.0246087000, 0.0206181000, 0.0092055000, -0.023207700, -0.115737000, -0.378136800", \ - "0.0252271000, 0.0238025000, 0.0197467000, 0.0084272000, -0.023928100, -0.116042800, -0.378226900", \ - "0.0253285000, 0.0238487000, 0.0196637000, 0.0079230000, -0.024711500, -0.116796200, -0.378893300", \ - "0.0289701000, 0.0274419000, 0.0231762000, 0.0113162000, -0.022496500, -0.116512700, -0.378185300"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000"); - values("0.0410125000, 0.0425153000, 0.0467475000, 0.0586282000, 0.0917013000, 0.1839724000, 0.4439377000", \ - "0.0405943000, 0.0421112000, 0.0464076000, 0.0584278000, 0.0916548000, 0.1841829000, 0.4441066000", \ - "0.0397363000, 0.0412736000, 0.0456023000, 0.0577566000, 0.0912575000, 0.1840801000, 0.4442834000", \ - "0.0386007000, 0.0401267000, 0.0444189000, 0.0565431000, 0.0903480000, 0.1837342000, 0.4441581000", \ - "0.0377004000, 0.0391902000, 0.0434548000, 0.0553810000, 0.0889233000, 0.1824561000, 0.4433356000", \ - "0.0374857000, 0.0391039000, 0.0432051000, 0.0551072000, 0.0883531000, 0.1813678000, 0.4423273000", \ - "0.0366890000, 0.0381412000, 0.0422750000, 0.0544668000, 0.0882858000, 0.1811266000, 0.4419393000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000"); - values("0.0307081000, 0.0292893000, 0.0252044000, 0.0136874000, -0.019107800, -0.111937000, -0.374439200", \ - "0.0303897000, 0.0289353000, 0.0247893000, 0.0132089000, -0.019365100, -0.112178000, -0.374758500", \ - "0.0298725000, 0.0284395000, 0.0243794000, 0.0129363000, -0.019752000, -0.112549300, -0.375083500", \ - "0.0291630000, 0.0277158000, 0.0236573000, 0.0121711000, -0.020304700, -0.112898800, -0.375339400", \ - "0.0284397000, 0.0270150000, 0.0229908000, 0.0116320000, -0.020778100, -0.113087400, -0.375272200", \ - "0.0282484000, 0.0267933000, 0.0224719000, 0.0109428000, -0.021590700, -0.113838900, -0.375819300", \ - "0.0303459000, 0.0288439000, 0.0246433000, 0.0127830000, -0.020512200, -0.113992200, -0.375966000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000"); - values("0.0544658000, 0.0559562000, 0.0599835000, 0.0717614000, 0.1048586000, 0.1973518000, 0.4571095000", \ - "0.0540045000, 0.0555083000, 0.0595638000, 0.0714129000, 0.1045284000, 0.1970975000, 0.4574470000", \ - "0.0530197000, 0.0545277000, 0.0588307000, 0.0707566000, 0.1040973000, 0.1968323000, 0.4571198000", \ - "0.0520749000, 0.0534723000, 0.0577903000, 0.0697466000, 0.1032137000, 0.1961499000, 0.4566939000", \ - "0.0510458000, 0.0525347000, 0.0568070000, 0.0686036000, 0.1021390000, 0.1952136000, 0.4558781000", \ - "0.0505036000, 0.0519561000, 0.0562282000, 0.0681005000, 0.1010342000, 0.1941495000, 0.4550029000", \ - "0.0488229000, 0.0503015000, 0.0545728000, 0.0671363000, 0.1003978000, 0.1935604000, 0.4535081000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000"); - values("0.0302688000, 0.0288083000, 0.0247579000, 0.0131676000, -0.019475300, -0.112361000, -0.374933900", \ - "0.0299341000, 0.0284707000, 0.0243305000, 0.0127557000, -0.019839300, -0.112678800, -0.375234700", \ - "0.0294092000, 0.0279650000, 0.0239288000, 0.0123518000, -0.020262300, -0.112944100, -0.375520300", \ - "0.0287223000, 0.0272943000, 0.0231942000, 0.0117371000, -0.020738500, -0.113302900, -0.375759300", \ - "0.0282017000, 0.0267667000, 0.0226755000, 0.0112398000, -0.021160900, -0.113587200, -0.375843200", \ - "0.0282989000, 0.0268208000, 0.0226803000, 0.0109056000, -0.021806300, -0.114261600, -0.376347000", \ - "0.0298117000, 0.0283509000, 0.0241266000, 0.0122734000, -0.020800300, -0.114381500, -0.376567200"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000"); - values("0.0656566000, 0.0671949000, 0.0711356000, 0.0829324000, 0.1160739000, 0.2086735000, 0.4690819000", \ - "0.0652911000, 0.0666609000, 0.0707460000, 0.0825732000, 0.1157552000, 0.2083764000, 0.4687773000", \ - "0.0646514000, 0.0663538000, 0.0703813000, 0.0822300000, 0.1154985000, 0.2082918000, 0.4683546000", \ - "0.0641798000, 0.0656163000, 0.0700869000, 0.0817687000, 0.1149867000, 0.2077813000, 0.4685010000", \ - "0.0637448000, 0.0652511000, 0.0694875000, 0.0812767000, 0.1144962000, 0.2072476000, 0.4680689000", \ - "0.0636562000, 0.0651908000, 0.0694741000, 0.0812847000, 0.1142260000, 0.2071672000, 0.4676047000", \ - "0.0626060000, 0.0640273000, 0.0684984000, 0.0809993000, 0.1143476000, 0.2071161000, 0.4675350000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000"); - values("0.0102263000, 0.0088686000, 0.0049933000, -0.006373800, -0.039200700, -0.132401000, -0.395612800", \ - "0.0096843000, 0.0083805000, 0.0046204000, -0.006519800, -0.039082800, -0.132124400, -0.395284700", \ - "0.0089692000, 0.0076993000, 0.0039742000, -0.006955200, -0.039174200, -0.131924600, -0.394938800", \ - "0.0081225000, 0.0068616000, 0.0031360000, -0.007790500, -0.039708900, -0.132074100, -0.394845200", \ - "0.0079151000, 0.0065004000, 0.0025781000, -0.008374000, -0.040394300, -0.132533900, -0.394987800", \ - "0.0092460000, 0.0077176000, 0.0034984000, -0.008182800, -0.040718900, -0.133321700, -0.395526400", \ - "0.0132181000, 0.0115731000, 0.0069952000, -0.005371200, -0.039320600, -0.131611200, -0.395698600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000"); - values("0.0397343000, 0.0414025000, 0.0458187000, 0.0579274000, 0.0914463000, 0.1844203000, 0.4445760000", \ - "0.0391156000, 0.0406920000, 0.0451554000, 0.0572473000, 0.0910800000, 0.1839581000, 0.4442736000", \ - "0.0380187000, 0.0396739000, 0.0441225000, 0.0562301000, 0.0902209000, 0.1836820000, 0.4443456000", \ - "0.0372774000, 0.0388756000, 0.0431924000, 0.0550595000, 0.0890679000, 0.1826366000, 0.4439148000", \ - "0.0372482000, 0.0387278000, 0.0429049000, 0.0547830000, 0.0879884000, 0.1812268000, 0.4429036000", \ - "0.0378416000, 0.0393090000, 0.0434913000, 0.0552754000, 0.0885517000, 0.1809267000, 0.4417179000", \ - "0.0418625000, 0.0432153000, 0.0471429000, 0.0582656000, 0.0905266000, 0.1808693000, 0.4405905000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000"); - values("0.0132651000, 0.0118955000, 0.0079330000, -0.003528800, -0.036412200, -0.129638400, -0.392910400", \ - "0.0127093000, 0.0113932000, 0.0076019000, -0.003613700, -0.036263800, -0.129364900, -0.392545000", \ - "0.0118289000, 0.0105485000, 0.0068633000, -0.004070500, -0.036354600, -0.129175800, -0.392232900", \ - "0.0108067000, 0.0094992000, 0.0057612000, -0.005030700, -0.036897100, -0.129299400, -0.392090500", \ - "0.0107241000, 0.0093482000, 0.0051328000, -0.006110800, -0.037902800, -0.129823800, -0.392203600", \ - "0.0111736000, 0.0097096000, 0.0056337000, -0.005921400, -0.038358000, -0.130832700, -0.392761800", \ - "0.0146325000, 0.0130111000, 0.0085786000, -0.003699400, -0.037312600, -0.130475700, -0.393173100"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000"); - values("0.0537850000, 0.0551719000, 0.0594628000, 0.0712795000, 0.1044275000, 0.1972826000, 0.4574210000", \ - "0.0529348000, 0.0546709000, 0.0587288000, 0.0707145000, 0.1040372000, 0.1969175000, 0.4574128000", \ - "0.0521410000, 0.0537154000, 0.0581955000, 0.0702084000, 0.1037314000, 0.1968372000, 0.4573818000", \ - "0.0517419000, 0.0531286000, 0.0574055000, 0.0693654000, 0.1029324000, 0.1964982000, 0.4568732000", \ - "0.0512530000, 0.0527690000, 0.0569220000, 0.0688278000, 0.1021283000, 0.1954371000, 0.4564109000", \ - "0.0536827000, 0.0551697000, 0.0595200000, 0.0707031000, 0.1033649000, 0.1956224000, 0.4561915000", \ - "0.0574036000, 0.0587795000, 0.0627099000, 0.0739175000, 0.1062040000, 0.1978908000, 0.4578756000"); - } - } - max_capacitance : 0.2517800000; - max_transition : 1.9155600000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0630657000, 0.0654408000, 0.0722250000, 0.0909962000, 0.1402217000, 0.2735502000, 0.6444260000", \ - "0.0665302000, 0.0689019000, 0.0757836000, 0.0943391000, 0.1435266000, 0.2772597000, 0.6481833000", \ - "0.0752580000, 0.0777904000, 0.0848710000, 0.1034613000, 0.1528853000, 0.2864013000, 0.6578349000", \ - "0.0976183000, 0.1000441000, 0.1066723000, 0.1249241000, 0.1747367000, 0.3087677000, 0.6797549000", \ - "0.1327838000, 0.1362835000, 0.1457159000, 0.1698431000, 0.2254226000, 0.3590586000, 0.7304173000", \ - "0.1714766000, 0.1767238000, 0.1908316000, 0.2261385000, 0.3087844000, 0.4776692000, 0.8513563000", \ - "0.1855081000, 0.1933455000, 0.2139552000, 0.2675513000, 0.3926483000, 0.6468102000, 1.1234380000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.1266089000, 0.1313370000, 0.1444580000, 0.1813859000, 0.2819532000, 0.5625286000, 1.3514893000", \ - "0.1317187000, 0.1364690000, 0.1499684000, 0.1869440000, 0.2883845000, 0.5698871000, 1.3586670000", \ - "0.1438973000, 0.1486142000, 0.1623210000, 0.1994360000, 0.3017912000, 0.5838810000, 1.3732124000", \ - "0.1731464000, 0.1778436000, 0.1917248000, 0.2289467000, 0.3314844000, 0.6148539000, 1.4051470000", \ - "0.2368378000, 0.2418173000, 0.2553190000, 0.2920380000, 0.3940128000, 0.6775875000, 1.4704280000", \ - "0.3486082000, 0.3548779000, 0.3728046000, 0.4185858000, 0.5323070000, 0.8161334000, 1.6089333000", \ - "0.5359468000, 0.5461029000, 0.5733357000, 0.6410683000, 0.7961520000, 1.1308794000, 1.9303530000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0577527000, 0.0607191000, 0.0690385000, 0.0926684000, 0.1588076000, 0.3438747000, 0.8675964000", \ - "0.0577628000, 0.0606605000, 0.0690083000, 0.0927139000, 0.1585741000, 0.3437919000, 0.8674793000", \ - "0.0572097000, 0.0603463000, 0.0688789000, 0.0925058000, 0.1586568000, 0.3440579000, 0.8674069000", \ - "0.0607231000, 0.0633508000, 0.0715150000, 0.0938015000, 0.1582614000, 0.3441498000, 0.8679141000", \ - "0.0818785000, 0.0854325000, 0.0946505000, 0.1184768000, 0.1751785000, 0.3454305000, 0.8679409000", \ - "0.1231947000, 0.1280580000, 0.1405956000, 0.1712885000, 0.2429569000, 0.3989612000, 0.8726148000", \ - "0.1988126000, 0.2060646000, 0.2249872000, 0.2707801000, 0.3700002000, 0.5704678000, 1.0067406000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0822411000, 0.0883106000, 0.1057198000, 0.1544289000, 0.2912195000, 0.6751363000, 1.7584443000", \ - "0.0822301000, 0.0882819000, 0.1058222000, 0.1543742000, 0.2912498000, 0.6771178000, 1.7560209000", \ - "0.0823610000, 0.0883258000, 0.1058442000, 0.1545631000, 0.2920006000, 0.6756536000, 1.7566049000", \ - "0.0823736000, 0.0885544000, 0.1058972000, 0.1546328000, 0.2913496000, 0.6754648000, 1.7545466000", \ - "0.0894775000, 0.0950810000, 0.1111683000, 0.1574837000, 0.2915996000, 0.6748923000, 1.7612699000", \ - "0.1225115000, 0.1288143000, 0.1455064000, 0.1914552000, 0.3120153000, 0.6773513000, 1.7550136000", \ - "0.2003166000, 0.2077214000, 0.2275378000, 0.2796592000, 0.4099772000, 0.7404953000, 1.7629052000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0741493000, 0.0767697000, 0.0835593000, 0.1020553000, 0.1511691000, 0.2848718000, 0.6562631000", \ - "0.0783165000, 0.0806582000, 0.0873394000, 0.1060780000, 0.1552259000, 0.2888473000, 0.6600450000", \ - "0.0866180000, 0.0892044000, 0.0961517000, 0.1147227000, 0.1640427000, 0.2974340000, 0.6684897000", \ - "0.1059908000, 0.1085132000, 0.1153248000, 0.1337805000, 0.1834287000, 0.3174092000, 0.6884195000", \ - "0.1395357000, 0.1427290000, 0.1514164000, 0.1738143000, 0.2272597000, 0.3627744000, 0.7360753000", \ - "0.1808510000, 0.1854912000, 0.1979486000, 0.2293609000, 0.3028022000, 0.4621385000, 0.8416398000", \ - "0.2020230000, 0.2091689000, 0.2283191000, 0.2766700000, 0.3895697000, 0.6198591000, 1.0740526000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.1672817000, 0.1724475000, 0.1865223000, 0.2246793000, 0.3323867000, 0.6330334000, 1.4786321000", \ - "0.1721066000, 0.1770584000, 0.1913127000, 0.2298481000, 0.3379313000, 0.6386243000, 1.4830051000", \ - "0.1842831000, 0.1894627000, 0.2030960000, 0.2428649000, 0.3510533000, 0.6524219000, 1.4982323000", \ - "0.2134942000, 0.2187805000, 0.2326736000, 0.2723569000, 0.3812585000, 0.6833099000, 1.5330348000", \ - "0.2767469000, 0.2814365000, 0.2955551000, 0.3343506000, 0.4432583000, 0.7466389000, 1.5933176000", \ - "0.3979528000, 0.4029951000, 0.4208920000, 0.4647612000, 0.5809624000, 0.8833232000, 1.7308945000", \ - "0.6089800000, 0.6175745000, 0.6417589000, 0.7025645000, 0.8523728000, 1.1957992000, 2.0470872000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0575554000, 0.0605805000, 0.0691004000, 0.0925988000, 0.1586251000, 0.3442561000, 0.8684707000", \ - "0.0576427000, 0.0606380000, 0.0689536000, 0.0927303000, 0.1584761000, 0.3443620000, 0.8680608000", \ - "0.0573674000, 0.0604000000, 0.0689509000, 0.0923691000, 0.1585739000, 0.3439877000, 0.8675514000", \ - "0.0599300000, 0.0627796000, 0.0707367000, 0.0933468000, 0.1582924000, 0.3441448000, 0.8675381000", \ - "0.0758581000, 0.0788467000, 0.0872384000, 0.1098382000, 0.1682839000, 0.3451579000, 0.8685843000", \ - "0.1146300000, 0.1185688000, 0.1287653000, 0.1545956000, 0.2184952000, 0.3794771000, 0.8706168000", \ - "0.1885389000, 0.1940320000, 0.2082562000, 0.2452468000, 0.3278577000, 0.5085881000, 0.9569705000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.1144142000, 0.1208270000, 0.1390101000, 0.1917489000, 0.3385895000, 0.7501653000, 1.9114126000", \ - "0.1145875000, 0.1207890000, 0.1390320000, 0.1916865000, 0.3384553000, 0.7507847000, 1.9053870000", \ - "0.1142566000, 0.1205075000, 0.1393398000, 0.1920321000, 0.3384999000, 0.7489070000, 1.9068571000", \ - "0.1140420000, 0.1210246000, 0.1393259000, 0.1915415000, 0.3384132000, 0.7496070000, 1.9097892000", \ - "0.1176752000, 0.1240193000, 0.1418895000, 0.1928720000, 0.3386913000, 0.7499905000, 1.9091264000", \ - "0.1482071000, 0.1547798000, 0.1728146000, 0.2210891000, 0.3533913000, 0.7503762000, 1.9057771000", \ - "0.2258288000, 0.2330775000, 0.2531194000, 0.3070152000, 0.4441484000, 0.8030375000, 1.9155597000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0779121000, 0.0804319000, 0.0875148000, 0.1056135000, 0.1549190000, 0.2886099000, 0.6594363000", \ - "0.0818014000, 0.0841068000, 0.0909169000, 0.1095809000, 0.1586909000, 0.2922841000, 0.6636789000", \ - "0.0888331000, 0.0914208000, 0.0985318000, 0.1168845000, 0.1661536000, 0.2998844000, 0.6709920000", \ - "0.1029832000, 0.1055433000, 0.1124803000, 0.1309619000, 0.1803626000, 0.3143191000, 0.6856697000", \ - "0.1271460000, 0.1301894000, 0.1375344000, 0.1578698000, 0.2105402000, 0.3453686000, 0.7177897000", \ - "0.1605339000, 0.1639826000, 0.1740447000, 0.1998106000, 0.2638474000, 0.4124352000, 0.7890070000", \ - "0.1776121000, 0.1834283000, 0.1991179000, 0.2385754000, 0.3296012000, 0.5226431000, 0.9460080000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.1789357000, 0.1843792000, 0.1983718000, 0.2359599000, 0.3409948000, 0.6321601000, 1.4476842000", \ - "0.1846445000, 0.1895201000, 0.2034285000, 0.2408570000, 0.3456534000, 0.6374285000, 1.4532232000", \ - "0.1976066000, 0.2017601000, 0.2164060000, 0.2542436000, 0.3589384000, 0.6507277000, 1.4663430000", \ - "0.2275338000, 0.2324228000, 0.2447277000, 0.2843176000, 0.3895675000, 0.6811195000, 1.4968901000", \ - "0.2874144000, 0.2920739000, 0.3054593000, 0.3435585000, 0.4495730000, 0.7411936000, 1.5575325000", \ - "0.4034826000, 0.4092005000, 0.4233074000, 0.4666882000, 0.5777315000, 0.8696167000, 1.6867905000", \ - "0.6040872000, 0.6119435000, 0.6327228000, 0.6879160000, 0.8291991000, 1.1581146000, 1.9796800000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0575620000, 0.0605556000, 0.0690128000, 0.0926581000, 0.1585032000, 0.3442407000, 0.8675564000", \ - "0.0576069000, 0.0604886000, 0.0689377000, 0.0926796000, 0.1584495000, 0.3440454000, 0.8679918000", \ - "0.0574685000, 0.0604987000, 0.0689532000, 0.0926209000, 0.1586420000, 0.3443646000, 0.8671929000", \ - "0.0590806000, 0.0619066000, 0.0701702000, 0.0931906000, 0.1583072000, 0.3441145000, 0.8674279000", \ - "0.0686556000, 0.0719314000, 0.0802626000, 0.1029439000, 0.1650091000, 0.3453841000, 0.8684359000", \ - "0.0968441000, 0.1001727000, 0.1085859000, 0.1324772000, 0.1966347000, 0.3680520000, 0.8725299000", \ - "0.1615900000, 0.1657499000, 0.1771642000, 0.2061199000, 0.2763627000, 0.4500575000, 0.9287308000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.1319592000, 0.1386206000, 0.1558127000, 0.2064446000, 0.3485766000, 0.7486793000, 1.8675359000", \ - "0.1321309000, 0.1375626000, 0.1554684000, 0.2064667000, 0.3486608000, 0.7468695000, 1.8711628000", \ - "0.1310299000, 0.1383956000, 0.1557712000, 0.2064539000, 0.3486796000, 0.7465225000, 1.8675961000", \ - "0.1309379000, 0.1374771000, 0.1563026000, 0.2064442000, 0.3488362000, 0.7486849000, 1.8669539000", \ - "0.1342162000, 0.1407284000, 0.1578258000, 0.2073534000, 0.3485236000, 0.7474032000, 1.8677289000", \ - "0.1619904000, 0.1681493000, 0.1864357000, 0.2340710000, 0.3644383000, 0.7489770000, 1.8698765000", \ - "0.2343623000, 0.2411975000, 0.2612291000, 0.3128327000, 0.4476143000, 0.8042446000, 1.8753150000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0228628000, 0.0243698000, 0.0287636000, 0.0400161000, 0.0712259000, 0.1583423000, 0.4035713000", \ - "0.0267291000, 0.0282752000, 0.0326503000, 0.0442090000, 0.0756659000, 0.1629010000, 0.4080534000", \ - "0.0353045000, 0.0372398000, 0.0425300000, 0.0541318000, 0.0857220000, 0.1734406000, 0.4185534000", \ - "0.0453721000, 0.0485177000, 0.0563905000, 0.0737827000, 0.1095528000, 0.1972618000, 0.4419445000", \ - "0.0515353000, 0.0562343000, 0.0683358000, 0.0961188000, 0.1509936000, 0.2524491000, 0.4974011000", \ - "0.0420613000, 0.0495576000, 0.0676598000, 0.1106520000, 0.1953875000, 0.3509191000, 0.6251089000", \ - "-0.013524200, -0.002595800, 0.0241975000, 0.0899586000, 0.2233417000, 0.4613413000, 0.8736000000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.1136998000, 0.1186065000, 0.1340197000, 0.1737656000, 0.2804877000, 0.5744935000, 1.3979263000", \ - "0.1173851000, 0.1229418000, 0.1373077000, 0.1769244000, 0.2847414000, 0.5796778000, 1.4024243000", \ - "0.1270502000, 0.1316467000, 0.1468616000, 0.1860706000, 0.2948323000, 0.5906068000, 1.4142829000", \ - "0.1540890000, 0.1595307000, 0.1735387000, 0.2115879000, 0.3200727000, 0.6169341000, 1.4419161000", \ - "0.2212308000, 0.2271368000, 0.2421603000, 0.2799719000, 0.3846605000, 0.6833645000, 1.5107473000", \ - "0.3518417000, 0.3595153000, 0.3800608000, 0.4307447000, 0.5479623000, 0.8387391000, 1.6628048000", \ - "0.5616945000, 0.5725486000, 0.6032336000, 0.6810676000, 0.8573455000, 1.2105578000, 2.0276452000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0276447000, 0.0295649000, 0.0349438000, 0.0501059000, 0.0924258000, 0.2125546000, 0.5484127000", \ - "0.0275705000, 0.0295002000, 0.0349362000, 0.0500720000, 0.0925305000, 0.2116680000, 0.5484060000", \ - "0.0322993000, 0.0337509000, 0.0379314000, 0.0512665000, 0.0924049000, 0.2126024000, 0.5482601000", \ - "0.0470554000, 0.0486727000, 0.0532219000, 0.0646630000, 0.0985931000, 0.2119290000, 0.5484762000", \ - "0.0762059000, 0.0781390000, 0.0835346000, 0.0980672000, 0.1334511000, 0.2270089000, 0.5480060000", \ - "0.1291810000, 0.1318989000, 0.1399458000, 0.1597273000, 0.2083484000, 0.3094888000, 0.5764449000", \ - "0.2233058000, 0.2272196000, 0.2400696000, 0.2682402000, 0.3377887000, 0.4828309000, 0.7606898000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.1079814000, 0.1147154000, 0.1324694000, 0.1825834000, 0.3254048000, 0.7235146000, 1.8475274000", \ - "0.1080164000, 0.1140606000, 0.1323451000, 0.1826349000, 0.3245940000, 0.7234882000, 1.8444714000", \ - "0.1078102000, 0.1144344000, 0.1324612000, 0.1828586000, 0.3246151000, 0.7245126000, 1.8454874000", \ - "0.1061760000, 0.1126199000, 0.1314782000, 0.1824914000, 0.3244301000, 0.7235148000, 1.8453477000", \ - "0.1227137000, 0.1276724000, 0.1423220000, 0.1877989000, 0.3239465000, 0.7233898000, 1.8537267000", \ - "0.1755682000, 0.1828397000, 0.2016059000, 0.2484398000, 0.3601431000, 0.7240319000, 1.8447206000", \ - "0.2677529000, 0.2785008000, 0.3060349000, 0.3724120000, 0.5159935000, 0.8248174000, 1.8530910000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0286764000, 0.0301934000, 0.0342822000, 0.0455736000, 0.0768841000, 0.1638776000, 0.4088483000", \ - "0.0326900000, 0.0342208000, 0.0385830000, 0.0499794000, 0.0813320000, 0.1686067000, 0.4135846000", \ - "0.0402271000, 0.0419776000, 0.0466441000, 0.0585483000, 0.0901436000, 0.1775979000, 0.4227503000", \ - "0.0506531000, 0.0532617000, 0.0595138000, 0.0745228000, 0.1090853000, 0.1970882000, 0.4427255000", \ - "0.0604525000, 0.0640546000, 0.0736809000, 0.0961773000, 0.1427820000, 0.2413537000, 0.4877563000", \ - "0.0567370000, 0.0628141000, 0.0786279000, 0.1139775000, 0.1865996000, 0.3197594000, 0.5904712000", \ - "0.0094019000, 0.0186559000, 0.0437334000, 0.1017818000, 0.2172943000, 0.4244050000, 0.7825129000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.1428129000, 0.1482367000, 0.1623572000, 0.2000247000, 0.3055006000, 0.5963472000, 1.4131912000", \ - "0.1463693000, 0.1510980000, 0.1654645000, 0.2038497000, 0.3092663000, 0.6010220000, 1.4167282000", \ - "0.1564045000, 0.1614614000, 0.1745699000, 0.2133343000, 0.3194096000, 0.6116207000, 1.4285777000", \ - "0.1829183000, 0.1879877000, 0.2020005000, 0.2403837000, 0.3457387000, 0.6384091000, 1.4564789000", \ - "0.2504213000, 0.2553519000, 0.2684888000, 0.3063798000, 0.4120352000, 0.7045515000, 1.5239653000", \ - "0.3895200000, 0.3958117000, 0.4136028000, 0.4587492000, 0.5692063000, 0.8553145000, 1.6720798000", \ - "0.6122353000, 0.6221197000, 0.6488952000, 0.7176376000, 0.8821526000, 1.2265209000, 2.0311315000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0276202000, 0.0295423000, 0.0349271000, 0.0500310000, 0.0923760000, 0.2118897000, 0.5483888000", \ - "0.0276569000, 0.0295619000, 0.0349383000, 0.0500512000, 0.0924142000, 0.2118188000, 0.5485418000", \ - "0.0297162000, 0.0314112000, 0.0363381000, 0.0506109000, 0.0924344000, 0.2117120000, 0.5486273000", \ - "0.0396062000, 0.0411461000, 0.0455562000, 0.0580010000, 0.0956675000, 0.2118936000, 0.5485445000", \ - "0.0618745000, 0.0635622000, 0.0682401000, 0.0812287000, 0.1168194000, 0.2209088000, 0.5480907000", \ - "0.1066810000, 0.1088556000, 0.1144169000, 0.1303548000, 0.1712976000, 0.2716584000, 0.5668517000", \ - "0.1921372000, 0.1946776000, 0.2020090000, 0.2230917000, 0.2765496000, 0.3950065000, 0.6801013000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.1318296000, 0.1375300000, 0.1557944000, 0.2064068000, 0.3484968000, 0.7483363000, 1.8704487000", \ - "0.1309791000, 0.1381116000, 0.1554703000, 0.2064546000, 0.3488247000, 0.7467036000, 1.8674005000", \ - "0.1309437000, 0.1374439000, 0.1562095000, 0.2071143000, 0.3486143000, 0.7467579000, 1.8708943000", \ - "0.1306280000, 0.1368664000, 0.1553304000, 0.2062295000, 0.3486391000, 0.7473056000, 1.8706230000", \ - "0.1395991000, 0.1451272000, 0.1616725000, 0.2091450000, 0.3479345000, 0.7464853000, 1.8761086000", \ - "0.1959397000, 0.2027786000, 0.2221442000, 0.2647304000, 0.3843588000, 0.7501039000, 1.8724284000", \ - "0.2908698000, 0.3006607000, 0.3267835000, 0.3904190000, 0.5314923000, 0.8469735000, 1.8730781000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a41o_1") { - leakage_power () { - value : 0.0027220000; - when : "!A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0109879000; - when : "!A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0027220000; - when : "!A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0109964000; - when : "!A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0027220000; - when : "!A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0109930000; - when : "!A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0027220000; - when : "!A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0110186000; - when : "!A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0027220000; - when : "!A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0109933000; - when : "!A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0027220000; - when : "!A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0110166000; - when : "!A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0027220000; - when : "!A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0110062000; - when : "!A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0027220000; - when : "!A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0115128000; - when : "!A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0027220000; - when : "A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0109985000; - when : "A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0027220000; - when : "A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0110208000; - when : "A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0027220000; - when : "A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0110108000; - when : "A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0027220000; - when : "A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0113862000; - when : "A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0027220000; - when : "A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0110158000; - when : "A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0027220000; - when : "A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0113487000; - when : "A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0027220000; - when : "A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0112406000; - when : "A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0006570000; - when : "A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0034383000; - when : "A1&A2&A3&A4&!B1"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__a41o"; - cell_leakage_power : 0.0066084930; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023100000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022460000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044244000, 0.0044257000, 0.0044288000, 0.0044286000, 0.0044282000, 0.0044272000, 0.0044249000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003493900, -0.003496200, -0.003501400, -0.003494800, -0.003479600, -0.003444700, -0.003364100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023740000; - } - pin ("A2") { - capacitance : 0.0023640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022840000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043182000, 0.0043180000, 0.0043176000, 0.0043336000, 0.0043706000, 0.0044558000, 0.0046523000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003866800, -0.003865400, -0.003862000, -0.003860500, -0.003857000, -0.003849000, -0.003830500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024450000; - } - pin ("A3") { - capacitance : 0.0023460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022540000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038976000, 0.0039003000, 0.0039063000, 0.0039059000, 0.0039050000, 0.0039029000, 0.0038981000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003901600, -0.003901500, -0.003901100, -0.003900400, -0.003898600, -0.003894700, -0.003885500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024370000; - } - pin ("A4") { - capacitance : 0.0023120000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021940000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040277000, 0.0040227000, 0.0040112000, 0.0040121000, 0.0040143000, 0.0040193000, 0.0040308000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003999600, -0.003999900, -0.004000700, -0.004001200, -0.004002300, -0.004004800, -0.004010500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024290000; - } - pin ("B1") { - capacitance : 0.0024140000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022240000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026218000, 0.0026120000, 0.0025895000, 0.0026098000, 0.0026565000, 0.0027643000, 0.0030127000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001699100, -0.001704700, -0.001717700, -0.001720200, -0.001726000, -0.001739300, -0.001770000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026030000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2&A3&A4) | (B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013153390, 0.0034602330, 0.0091027580, 0.0239464200, 0.0629953200, 0.1657204000"); - values("0.0113389000, 0.0103988000, 0.0078976000, -7.72000e-05, -0.023497200, -0.086622500, -0.253042300", \ - "0.0112058000, 0.0102753000, 0.0077588000, -0.000207500, -0.023630200, -0.086720500, -0.253135600", \ - "0.0109645000, 0.0100249000, 0.0075196000, -0.000467200, -0.023875500, -0.086989900, -0.253364200", \ - "0.0107303000, 0.0097756000, 0.0072210000, -0.000776700, -0.024153000, -0.087215400, -0.253634900", \ - "0.0104695000, 0.0094999000, 0.0069347000, -0.001071800, -0.024469200, -0.087520900, -0.253869700", \ - "0.0115748000, 0.0102469000, 0.0068629000, -0.001554200, -0.024617300, -0.087613800, -0.253955900", \ - "0.0129614000, 0.0115785000, 0.0080860000, -0.000965400, -0.024792500, -0.087526700, -0.253783800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013153390, 0.0034602330, 0.0091027580, 0.0239464200, 0.0629953200, 0.1657204000"); - values("0.0137924000, 0.0152215000, 0.0189964000, 0.0284022000, 0.0525568000, 0.1154753000, 0.2799414000", \ - "0.0137015000, 0.0151172000, 0.0188391000, 0.0282982000, 0.0524391000, 0.1152253000, 0.2810719000", \ - "0.0135210000, 0.0149571000, 0.0186590000, 0.0281385000, 0.0522402000, 0.1156760000, 0.2810527000", \ - "0.0133390000, 0.0147782000, 0.0185059000, 0.0278796000, 0.0520188000, 0.1148544000, 0.2808274000", \ - "0.0132702000, 0.0146777000, 0.0183191000, 0.0275284000, 0.0516105000, 0.1145923000, 0.2791992000", \ - "0.0137781000, 0.0151247000, 0.0185683000, 0.0275009000, 0.0517574000, 0.1142209000, 0.2806814000", \ - "0.0153874000, 0.0167206000, 0.0201858000, 0.0291647000, 0.0527378000, 0.1158564000, 0.2804743000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013153390, 0.0034602330, 0.0091027580, 0.0239464200, 0.0629953200, 0.1657204000"); - values("0.0139115000, 0.0129481000, 0.0104353000, 0.0025334000, -0.020737000, -0.083734100, -0.250055500", \ - "0.0138296000, 0.0128570000, 0.0103324000, 0.0024375000, -0.020807900, -0.083826100, -0.250134100", \ - "0.0135478000, 0.0125990000, 0.0100808000, 0.0022026000, -0.021060300, -0.084050200, -0.250344000", \ - "0.0133218000, 0.0123573000, 0.0098404000, 0.0019387000, -0.021335000, -0.084311700, -0.250614700", \ - "0.0130737000, 0.0121421000, 0.0095332000, 0.0015951000, -0.021662900, -0.084604900, -0.250886600", \ - "0.0134406000, 0.0121142000, 0.0087307000, 0.0012769000, -0.021895800, -0.084803200, -0.251056400", \ - "0.0154635000, 0.0140693000, 0.0105788000, 0.0015052000, -0.022325200, -0.085035700, -0.251189700"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013153390, 0.0034602330, 0.0091027580, 0.0239464200, 0.0629953200, 0.1657204000"); - values("0.0141388000, 0.0155783000, 0.0193455000, 0.0287508000, 0.0529123000, 0.1158026000, 0.2818302000", \ - "0.0140547000, 0.0154804000, 0.0192419000, 0.0286512000, 0.0528102000, 0.1156256000, 0.2815570000", \ - "0.0139309000, 0.0153796000, 0.0190910000, 0.0285143000, 0.0526711000, 0.1155628000, 0.2801298000", \ - "0.0137319000, 0.0151692000, 0.0188950000, 0.0282927000, 0.0524459000, 0.1152825000, 0.2812773000", \ - "0.0136247000, 0.0150539000, 0.0187119000, 0.0279686000, 0.0521458000, 0.1151002000, 0.2796961000", \ - "0.0140000000, 0.0153047000, 0.0188244000, 0.0278115000, 0.0520377000, 0.1145573000, 0.2807967000", \ - "0.0150168000, 0.0163201000, 0.0197793000, 0.0288585000, 0.0527662000, 0.1157745000, 0.2787528000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013153390, 0.0034602330, 0.0091027580, 0.0239464200, 0.0629953200, 0.1657204000"); - values("0.0167157000, 0.0157435000, 0.0132408000, 0.0054520000, -0.017636100, -0.080514100, -0.246768800", \ - "0.0166310000, 0.0156790000, 0.0131747000, 0.0053482000, -0.017778100, -0.080660000, -0.246868200", \ - "0.0164390000, 0.0155683000, 0.0130206000, 0.0051670000, -0.017975400, -0.080837800, -0.247046200", \ - "0.0162387000, 0.0153462000, 0.0128137000, 0.0049535000, -0.018189300, -0.081045300, -0.247240600", \ - "0.0160402000, 0.0150748000, 0.0125511000, 0.0047037000, -0.018435000, -0.081261200, -0.247449400", \ - "0.0159367000, 0.0146159000, 0.0121060000, 0.0044813000, -0.018607300, -0.081361100, -0.247528800", \ - "0.0189238000, 0.0175358000, 0.0140464000, 0.0049697000, -0.018862900, -0.081454000, -0.247512200"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013153390, 0.0034602330, 0.0091027580, 0.0239464200, 0.0629953200, 0.1657204000"); - values("0.0141887000, 0.0156296000, 0.0193356000, 0.0287390000, 0.0529573000, 0.1157888000, 0.2803499000", \ - "0.0140963000, 0.0155415000, 0.0192531000, 0.0286633000, 0.0528410000, 0.1156593000, 0.2802803000", \ - "0.0139444000, 0.0153612000, 0.0190731000, 0.0285340000, 0.0526873000, 0.1154688000, 0.2801896000", \ - "0.0137217000, 0.0151564000, 0.0188979000, 0.0282979000, 0.0524357000, 0.1153288000, 0.2813807000", \ - "0.0136020000, 0.0150506000, 0.0186557000, 0.0280490000, 0.0522088000, 0.1151082000, 0.2797305000", \ - "0.0139707000, 0.0153251000, 0.0187904000, 0.0278063000, 0.0520149000, 0.1145936000, 0.2809283000", \ - "0.0146351000, 0.0159748000, 0.0193627000, 0.0285884000, 0.0525122000, 0.1154923000, 0.2789242000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013153390, 0.0034602330, 0.0091027580, 0.0239464200, 0.0629953200, 0.1657204000"); - values("0.0187890000, 0.0178766000, 0.0153859000, 0.0076315000, -0.015378400, -0.078128100, -0.244246100", \ - "0.0187121000, 0.0177425000, 0.0152442000, 0.0075590000, -0.015430600, -0.078239800, -0.244337400", \ - "0.0185841000, 0.0176235000, 0.0152227000, 0.0074440000, -0.015585600, -0.078272500, -0.244430900", \ - "0.0185283000, 0.0176121000, 0.0151348000, 0.0073098000, -0.015670200, -0.078427000, -0.244513500", \ - "0.0183403000, 0.0173706000, 0.0148584000, 0.0071043000, -0.015893400, -0.078601000, -0.244684300", \ - "0.0184556000, 0.0171115000, 0.0144902000, 0.0069722000, -0.015951000, -0.078646400, -0.244737300", \ - "0.0216138000, 0.0202443000, 0.0167307000, 0.0076495000, -0.016199500, -0.078745000, -0.244700200"); - } - related_pin : "A4"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013153390, 0.0034602330, 0.0091027580, 0.0239464200, 0.0629953200, 0.1657204000"); - values("0.0141741000, 0.0155977000, 0.0193634000, 0.0287723000, 0.0529463000, 0.1158441000, 0.2803340000", \ - "0.0140905000, 0.0155322000, 0.0192647000, 0.0286690000, 0.0528618000, 0.1156967000, 0.2802666000", \ - "0.0139193000, 0.0153417000, 0.0191096000, 0.0285179000, 0.0526543000, 0.1156133000, 0.2800951000", \ - "0.0136986000, 0.0151374000, 0.0188788000, 0.0282893000, 0.0524282000, 0.1153029000, 0.2812811000", \ - "0.0134835000, 0.0149302000, 0.0185921000, 0.0280264000, 0.0521319000, 0.1150882000, 0.2796230000", \ - "0.0140343000, 0.0153897000, 0.0188833000, 0.0278193000, 0.0520211000, 0.1146091000, 0.2794758000", \ - "0.0145689000, 0.0158776000, 0.0195099000, 0.0285481000, 0.0525231000, 0.1154567000, 0.2803424000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013153390, 0.0034602330, 0.0091027580, 0.0239464200, 0.0629953200, 0.1657204000"); - values("0.0175454000, 0.0165976000, 0.0141351000, 0.0063831000, -0.016655000, -0.079376100, -0.245456600", \ - "0.0173145000, 0.0163712000, 0.0139164000, 0.0061602000, -0.016868100, -0.079584300, -0.245566500", \ - "0.0170973000, 0.0161377000, 0.0136866000, 0.0059517000, -0.016998200, -0.079750400, -0.245861000", \ - "0.0168493000, 0.0158983000, 0.0134990000, 0.0057403000, -0.017254300, -0.079978300, -0.246078600", \ - "0.0167638000, 0.0158137000, 0.0132996000, 0.0055903000, -0.017489300, -0.080183900, -0.246244800", \ - "0.0182702000, 0.0169182000, 0.0136089000, 0.0061083000, -0.016942800, -0.079596000, -0.245599500", \ - "0.0219055000, 0.0204874000, 0.0168614000, 0.0077075000, -0.015911200, -0.078536900, -0.244593000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013153390, 0.0034602330, 0.0091027580, 0.0239464200, 0.0629953200, 0.1657204000"); - values("0.0084622000, 0.0098720000, 0.0133956000, 0.0223573000, 0.0459363000, 0.1087541000, 0.2728602000", \ - "0.0083612000, 0.0097653000, 0.0132838000, 0.0222873000, 0.0459279000, 0.1089979000, 0.2729248000", \ - "0.0081527000, 0.0095573000, 0.0130853000, 0.0221515000, 0.0458870000, 0.1088731000, 0.2727136000", \ - "0.0078880000, 0.0092641000, 0.0127743000, 0.0218798000, 0.0456694000, 0.1088739000, 0.2729390000", \ - "0.0079191000, 0.0092468000, 0.0126466000, 0.0218708000, 0.0456155000, 0.1077502000, 0.2746387000", \ - "0.0085781000, 0.0098926000, 0.0133378000, 0.0224276000, 0.0461971000, 0.1081006000, 0.2747685000", \ - "0.0108266000, 0.0120391000, 0.0157874000, 0.0248244000, 0.0486277000, 0.1113141000, 0.2738778000"); - } - } - max_capacitance : 0.1657200000; - max_transition : 1.5041780000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.1409252000, 0.1475898000, 0.1615119000, 0.1894297000, 0.2464150000, 0.3771552000, 0.7123209000", \ - "0.1459884000, 0.1526387000, 0.1665663000, 0.1944711000, 0.2514819000, 0.3822388000, 0.7169884000", \ - "0.1585110000, 0.1650240000, 0.1790845000, 0.2068444000, 0.2639065000, 0.3946181000, 0.7290927000", \ - "0.1879588000, 0.1945064000, 0.2084704000, 0.2363567000, 0.2933208000, 0.4241125000, 0.7594467000", \ - "0.2515047000, 0.2580626000, 0.2721792000, 0.3001940000, 0.3573149000, 0.4880665000, 0.8226069000", \ - "0.3631385000, 0.3710507000, 0.3872725000, 0.4184120000, 0.4797175000, 0.6128368000, 0.9473945000", \ - "0.5427002000, 0.5524638000, 0.5725794000, 0.6110145000, 0.6813045000, 0.8208104000, 1.1579644000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.1073293000, 0.1160195000, 0.1349265000, 0.1760054000, 0.2726878000, 0.5191153000, 1.1651464000", \ - "0.1108459000, 0.1195166000, 0.1384806000, 0.1795829000, 0.2761343000, 0.5246342000, 1.1708955000", \ - "0.1193125000, 0.1279711000, 0.1469256000, 0.1880929000, 0.2846604000, 0.5324973000, 1.1796883000", \ - "0.1399967000, 0.1486616000, 0.1675407000, 0.2084744000, 0.3050572000, 0.5521754000, 1.1992032000", \ - "0.1786789000, 0.1872592000, 0.2062502000, 0.2475410000, 0.3443954000, 0.5913340000, 1.2357708000", \ - "0.2255030000, 0.2348556000, 0.2542365000, 0.2957462000, 0.3928231000, 0.6407301000, 1.2874541000", \ - "0.2559966000, 0.2680994000, 0.2919253000, 0.3355600000, 0.4322262000, 0.6795773000, 1.3254213000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.0225348000, 0.0273312000, 0.0382239000, 0.0633991000, 0.1230390000, 0.2842654000, 0.7196205000", \ - "0.0225126000, 0.0271498000, 0.0382478000, 0.0633813000, 0.1230447000, 0.2833877000, 0.7300325000", \ - "0.0225193000, 0.0273477000, 0.0382715000, 0.0631978000, 0.1230814000, 0.2856458000, 0.7239314000", \ - "0.0225311000, 0.0273736000, 0.0385547000, 0.0635395000, 0.1228209000, 0.2852580000, 0.7261220000", \ - "0.0237077000, 0.0285014000, 0.0394223000, 0.0640442000, 0.1235443000, 0.2843700000, 0.7239144000", \ - "0.0293917000, 0.0345637000, 0.0461061000, 0.0715519000, 0.1297380000, 0.2873710000, 0.7204278000", \ - "0.0416190000, 0.0478452000, 0.0614430000, 0.0885396000, 0.1478795000, 0.2967442000, 0.7245631000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.0294357000, 0.0371448000, 0.0556078000, 0.1027501000, 0.2308711000, 0.5789636000, 1.4987924000", \ - "0.0296279000, 0.0372409000, 0.0555877000, 0.1027675000, 0.2313020000, 0.5800823000, 1.4965473000", \ - "0.0295727000, 0.0370875000, 0.0555781000, 0.1025396000, 0.2313882000, 0.5796557000, 1.4972101000", \ - "0.0293141000, 0.0369467000, 0.0554709000, 0.1027151000, 0.2313734000, 0.5804899000, 1.5002285000", \ - "0.0304104000, 0.0379519000, 0.0565954000, 0.1041154000, 0.2317600000, 0.5793945000, 1.4953864000", \ - "0.0360058000, 0.0428322000, 0.0600250000, 0.1056458000, 0.2336982000, 0.5800070000, 1.4995095000", \ - "0.0479561000, 0.0555393000, 0.0720028000, 0.1132488000, 0.2351983000, 0.5824286000, 1.4969299000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.1694908000, 0.1764844000, 0.1911681000, 0.2200387000, 0.2785547000, 0.4104508000, 0.7460424000", \ - "0.1748281000, 0.1818742000, 0.1965670000, 0.2253649000, 0.2838780000, 0.4158814000, 0.7515470000", \ - "0.1874960000, 0.1945030000, 0.2089532000, 0.2380545000, 0.2966303000, 0.4285206000, 0.7640791000", \ - "0.2165377000, 0.2235398000, 0.2381934000, 0.2670454000, 0.3255833000, 0.4575803000, 0.7932488000", \ - "0.2807552000, 0.2877726000, 0.3024311000, 0.3314108000, 0.3900062000, 0.5221210000, 0.8577353000", \ - "0.4020135000, 0.4098468000, 0.4261800000, 0.4573396000, 0.5187091000, 0.6523460000, 0.9880059000", \ - "0.6042148000, 0.6139098000, 0.6338781000, 0.6712492000, 0.7404293000, 0.8799795000, 1.2170420000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.1179507000, 0.1266725000, 0.1455873000, 0.1866961000, 0.2833919000, 0.5298385000, 1.1760465000", \ - "0.1216699000, 0.1303691000, 0.1493113000, 0.1904001000, 0.2870933000, 0.5343698000, 1.1813291000", \ - "0.1299997000, 0.1387656000, 0.1576046000, 0.1986470000, 0.2952683000, 0.5423513000, 1.1874522000", \ - "0.1496507000, 0.1582977000, 0.1772030000, 0.2181880000, 0.3148295000, 0.5620721000, 1.2087232000", \ - "0.1877544000, 0.1966248000, 0.2159109000, 0.2572478000, 0.3540164000, 0.6012006000, 1.2461132000", \ - "0.2385842000, 0.2482764000, 0.2689408000, 0.3112016000, 0.4085257000, 0.6559228000, 1.3044706000", \ - "0.2773350000, 0.2896816000, 0.3145168000, 0.3604495000, 0.4585001000, 0.7059183000, 1.3516048000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.0250476000, 0.0299004000, 0.0408397000, 0.0663565000, 0.1263579000, 0.2861071000, 0.7290905000", \ - "0.0248835000, 0.0300508000, 0.0408036000, 0.0661844000, 0.1265620000, 0.2868080000, 0.7276793000", \ - "0.0250283000, 0.0298286000, 0.0414318000, 0.0664594000, 0.1260003000, 0.2862610000, 0.7287469000", \ - "0.0249699000, 0.0298527000, 0.0408351000, 0.0661313000, 0.1264386000, 0.2867533000, 0.7276701000", \ - "0.0254018000, 0.0302936000, 0.0409289000, 0.0661027000, 0.1263139000, 0.2858994000, 0.7293985000", \ - "0.0299855000, 0.0352252000, 0.0472834000, 0.0720340000, 0.1308323000, 0.2884832000, 0.7297927000", \ - "0.0412239000, 0.0472717000, 0.0601381000, 0.0877933000, 0.1454483000, 0.2967168000, 0.7263001000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.0294800000, 0.0371185000, 0.0556042000, 0.1027311000, 0.2314511000, 0.5792904000, 1.4991677000", \ - "0.0296159000, 0.0371068000, 0.0555778000, 0.1027202000, 0.2314851000, 0.5805559000, 1.4995489000", \ - "0.0293533000, 0.0370582000, 0.0555340000, 0.1027672000, 0.2314939000, 0.5798541000, 1.4980509000", \ - "0.0294853000, 0.0369585000, 0.0554257000, 0.1026355000, 0.2314522000, 0.5805204000, 1.5003616000", \ - "0.0311256000, 0.0387974000, 0.0571064000, 0.1039636000, 0.2317387000, 0.5798366000, 1.4970636000", \ - "0.0363948000, 0.0435689000, 0.0612070000, 0.1063884000, 0.2332991000, 0.5797467000, 1.4996980000", \ - "0.0480576000, 0.0562184000, 0.0743463000, 0.1148148000, 0.2356529000, 0.5818465000, 1.4939541000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.1880322000, 0.1952591000, 0.2103209000, 0.2396435000, 0.2989094000, 0.4316086000, 0.7672287000", \ - "0.1933353000, 0.2005378000, 0.2155680000, 0.2451992000, 0.3040646000, 0.4367397000, 0.7722590000", \ - "0.2062231000, 0.2132795000, 0.2283315000, 0.2579335000, 0.3170291000, 0.4498238000, 0.7853380000", \ - "0.2358848000, 0.2429516000, 0.2580264000, 0.2874117000, 0.3467117000, 0.4794963000, 0.8149451000", \ - "0.2993993000, 0.3066004000, 0.3215667000, 0.3511200000, 0.4103704000, 0.5431526000, 0.8792785000", \ - "0.4259041000, 0.4338123000, 0.4500813000, 0.4816004000, 0.5429661000, 0.6765396000, 1.0127932000", \ - "0.6399164000, 0.6493667000, 0.6689854000, 0.7057261000, 0.7737768000, 0.9130723000, 1.2502687000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.1253497000, 0.1340628000, 0.1529293000, 0.1939777000, 0.2906753000, 0.5375255000, 1.1819177000", \ - "0.1291699000, 0.1379265000, 0.1567654000, 0.1978285000, 0.2944331000, 0.5411183000, 1.1859567000", \ - "0.1371175000, 0.1457888000, 0.1647015000, 0.2058251000, 0.3023884000, 0.5508141000, 1.1949099000", \ - "0.1539614000, 0.1626097000, 0.1815338000, 0.2225569000, 0.3192857000, 0.5659710000, 1.2124306000", \ - "0.1870668000, 0.1960030000, 0.2154534000, 0.2568099000, 0.3535391000, 0.6006163000, 1.2461253000", \ - "0.2346403000, 0.2447124000, 0.2654521000, 0.3083784000, 0.4058311000, 0.6530063000, 1.2992230000", \ - "0.2723676000, 0.2850504000, 0.3100571000, 0.3576251000, 0.4568384000, 0.7051105000, 1.3495620000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.0262585000, 0.0311284000, 0.0424687000, 0.0677074000, 0.1272051000, 0.2865095000, 0.7305926000", \ - "0.0262986000, 0.0316233000, 0.0422836000, 0.0674139000, 0.1275973000, 0.2873230000, 0.7257535000", \ - "0.0265731000, 0.0317566000, 0.0423287000, 0.0678054000, 0.1275497000, 0.2868371000, 0.7237442000", \ - "0.0265908000, 0.0317417000, 0.0422845000, 0.0673261000, 0.1275668000, 0.2870257000, 0.7249570000", \ - "0.0262808000, 0.0313372000, 0.0427736000, 0.0669091000, 0.1274681000, 0.2873590000, 0.7301729000", \ - "0.0304138000, 0.0356208000, 0.0476183000, 0.0716923000, 0.1307631000, 0.2889206000, 0.7300899000", \ - "0.0406596000, 0.0466780000, 0.0596095000, 0.0851322000, 0.1445377000, 0.2963268000, 0.7281845000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.0294676000, 0.0371349000, 0.0556003000, 0.1026351000, 0.2314530000, 0.5792687000, 1.4956546000", \ - "0.0293602000, 0.0370507000, 0.0555465000, 0.1027958000, 0.2314939000, 0.5791123000, 1.4954413000", \ - "0.0295551000, 0.0372983000, 0.0555504000, 0.1027705000, 0.2313622000, 0.5800684000, 1.4994975000", \ - "0.0295310000, 0.0369559000, 0.0555145000, 0.1026841000, 0.2309105000, 0.5798392000, 1.4999449000", \ - "0.0309294000, 0.0388765000, 0.0572057000, 0.1038843000, 0.2316680000, 0.5801348000, 1.4999356000", \ - "0.0358426000, 0.0438651000, 0.0619225000, 0.1069732000, 0.2333547000, 0.5787984000, 1.4998396000", \ - "0.0471688000, 0.0560650000, 0.0739282000, 0.1164309000, 0.2366040000, 0.5802329000, 1.4958996000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.1972676000, 0.2045603000, 0.2198238000, 0.2496784000, 0.3087849000, 0.4420299000, 0.7785132000", \ - "0.2024933000, 0.2097467000, 0.2250177000, 0.2544346000, 0.3142565000, 0.4472353000, 0.7838266000", \ - "0.2155579000, 0.2228653000, 0.2378768000, 0.2676830000, 0.3273913000, 0.4605619000, 0.7968867000", \ - "0.2443545000, 0.2516332000, 0.2669137000, 0.2967520000, 0.3561647000, 0.4894390000, 0.8258312000", \ - "0.3044815000, 0.3117646000, 0.3269659000, 0.3568155000, 0.4163117000, 0.5496085000, 0.8863317000", \ - "0.4229539000, 0.4308569000, 0.4471707000, 0.4787205000, 0.5394599000, 0.6735056000, 1.0103113000", \ - "0.6203056000, 0.6298004000, 0.6489955000, 0.6852619000, 0.7528499000, 0.8920349000, 1.2294566000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.1296017000, 0.1383034000, 0.1572454000, 0.1983378000, 0.2950671000, 0.5414406000, 1.1875319000", \ - "0.1336639000, 0.1424055000, 0.1612640000, 0.2022965000, 0.2989917000, 0.5459060000, 1.1905253000", \ - "0.1417520000, 0.1504421000, 0.1693668000, 0.2104808000, 0.3072264000, 0.5535021000, 1.1994632000", \ - "0.1576314000, 0.1662845000, 0.1852361000, 0.2263014000, 0.3230298000, 0.5701282000, 1.2168843000", \ - "0.1875381000, 0.1964918000, 0.2158653000, 0.2571854000, 0.3540369000, 0.6005552000, 1.2467360000", \ - "0.2332722000, 0.2429636000, 0.2637387000, 0.3067576000, 0.4042238000, 0.6508743000, 1.2972198000", \ - "0.2787672000, 0.2909827000, 0.3156765000, 0.3631668000, 0.4629954000, 0.7108487000, 1.3550796000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.0273026000, 0.0326742000, 0.0432160000, 0.0681640000, 0.1281945000, 0.2872699000, 0.7225603000", \ - "0.0274217000, 0.0321277000, 0.0435647000, 0.0684974000, 0.1280325000, 0.2882637000, 0.7281643000", \ - "0.0270851000, 0.0319754000, 0.0437783000, 0.0680759000, 0.1281342000, 0.2869332000, 0.7304601000", \ - "0.0271206000, 0.0326281000, 0.0431506000, 0.0685731000, 0.1282244000, 0.2874042000, 0.7312168000", \ - "0.0272775000, 0.0320032000, 0.0434496000, 0.0685558000, 0.1280850000, 0.2885774000, 0.7292477000", \ - "0.0307935000, 0.0366764000, 0.0473388000, 0.0723100000, 0.1314177000, 0.2892177000, 0.7309687000", \ - "0.0402725000, 0.0460181000, 0.0581559000, 0.0841923000, 0.1432917000, 0.2963797000, 0.7271378000"); - } - related_pin : "A4"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.0296543000, 0.0371143000, 0.0556051000, 0.1027312000, 0.2313427000, 0.5790813000, 1.4989708000", \ - "0.0294583000, 0.0370516000, 0.0555407000, 0.1025523000, 0.2314561000, 0.5794449000, 1.4964582000", \ - "0.0296388000, 0.0370976000, 0.0555877000, 0.1027317000, 0.2311680000, 0.5787967000, 1.4984264000", \ - "0.0295159000, 0.0370021000, 0.0555066000, 0.1026856000, 0.2312533000, 0.5804530000, 1.5003843000", \ - "0.0309754000, 0.0386139000, 0.0568254000, 0.1034571000, 0.2310753000, 0.5791250000, 1.4987643000", \ - "0.0348834000, 0.0430642000, 0.0613412000, 0.1068592000, 0.2329449000, 0.5779598000, 1.5000050000", \ - "0.0449831000, 0.0536749000, 0.0732149000, 0.1161059000, 0.2370198000, 0.5806817000, 1.4958265000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.1681932000, 0.1755355000, 0.1907993000, 0.2206230000, 0.2801669000, 0.4133581000, 0.7493332000", \ - "0.1714280000, 0.1787201000, 0.1939725000, 0.2238520000, 0.2834517000, 0.4165847000, 0.7530718000", \ - "0.1817174000, 0.1890309000, 0.2042570000, 0.2339334000, 0.2936336000, 0.4268246000, 0.7631391000", \ - "0.2082160000, 0.2155311000, 0.2306803000, 0.2605917000, 0.3202429000, 0.4534709000, 0.7896507000", \ - "0.2742519000, 0.2815191000, 0.2965772000, 0.3261006000, 0.3856680000, 0.5188945000, 0.8555154000", \ - "0.4088897000, 0.4170908000, 0.4334710000, 0.4642592000, 0.5251128000, 0.6595811000, 0.9962350000", \ - "0.6209249000, 0.6313334000, 0.6514796000, 0.6874687000, 0.7521888000, 0.8882922000, 1.2273501000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.0524699000, 0.0597824000, 0.0764017000, 0.1135721000, 0.2064277000, 0.4528914000, 1.0960104000", \ - "0.0571199000, 0.0644040000, 0.0810835000, 0.1183397000, 0.2113243000, 0.4570103000, 1.0990489000", \ - "0.0677166000, 0.0749789000, 0.0917553000, 0.1292923000, 0.2223939000, 0.4691602000, 1.1108824000", \ - "0.0868426000, 0.0946511000, 0.1120622000, 0.1499615000, 0.2435299000, 0.4888285000, 1.1311364000", \ - "0.1103451000, 0.1201453000, 0.1401083000, 0.1798288000, 0.2738751000, 0.5184604000, 1.1650748000", \ - "0.1293868000, 0.1429809000, 0.1694622000, 0.2145151000, 0.3097162000, 0.5545183000, 1.2000640000", \ - "0.1218076000, 0.1404016000, 0.1774693000, 0.2355231000, 0.3362745000, 0.5813386000, 1.2257737000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.0274990000, 0.0320515000, 0.0432118000, 0.0683512000, 0.1276290000, 0.2879343000, 0.7272797000", \ - "0.0272719000, 0.0320621000, 0.0431948000, 0.0680354000, 0.1278243000, 0.2882424000, 0.7304364000", \ - "0.0270762000, 0.0319829000, 0.0433537000, 0.0681850000, 0.1280518000, 0.2866777000, 0.7318878000", \ - "0.0272199000, 0.0323189000, 0.0437273000, 0.0676401000, 0.1277180000, 0.2875801000, 0.7239204000", \ - "0.0268226000, 0.0319282000, 0.0429012000, 0.0682879000, 0.1281028000, 0.2885067000, 0.7306012000", \ - "0.0337788000, 0.0383221000, 0.0486262000, 0.0725674000, 0.1316102000, 0.2895508000, 0.7309088000", \ - "0.0481069000, 0.0535962000, 0.0642497000, 0.0854451000, 0.1394805000, 0.2942925000, 0.7284610000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.0226295000, 0.0305826000, 0.0493555000, 0.0967722000, 0.2268048000, 0.5787040000, 1.4963569000", \ - "0.0226377000, 0.0305917000, 0.0493724000, 0.0967574000, 0.2272205000, 0.5781934000, 1.4947800000", \ - "0.0227691000, 0.0307173000, 0.0494464000, 0.0968233000, 0.2272525000, 0.5784607000, 1.4929221000", \ - "0.0258168000, 0.0333501000, 0.0510172000, 0.0971448000, 0.2271824000, 0.5778589000, 1.4965147000", \ - "0.0341226000, 0.0415615000, 0.0577960000, 0.1007419000, 0.2277860000, 0.5773397000, 1.5041784000", \ - "0.0488236000, 0.0581340000, 0.0739499000, 0.1101319000, 0.2304991000, 0.5756852000, 1.5032025000", \ - "0.0703621000, 0.0831738000, 0.1039349000, 0.1349291000, 0.2388163000, 0.5792488000, 1.4909466000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a41o_2") { - leakage_power () { - value : 0.0029176000; - when : "!A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0082932000; - when : "!A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0029176000; - when : "!A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0082991000; - when : "!A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0029176000; - when : "!A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0082988000; - when : "!A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0029176000; - when : "!A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0083156000; - when : "!A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0029176000; - when : "!A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0083004000; - when : "!A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0029176000; - when : "!A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0083188000; - when : "!A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0029176000; - when : "!A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0083175000; - when : "!A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0029176000; - when : "!A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0086075000; - when : "!A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0029176000; - when : "A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0083060000; - when : "A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0029176000; - when : "A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0083248000; - when : "A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0029176000; - when : "A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0083235000; - when : "A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0029177000; - when : "A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0086332000; - when : "A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0029176000; - when : "A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0083293000; - when : "A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0029176000; - when : "A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0086515000; - when : "A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0029175000; - when : "A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0086309000; - when : "A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0008650000; - when : "A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0036480000; - when : "A1&A2&A3&A4&!B1"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__a41o"; - cell_leakage_power : 0.0054445730; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0022800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022210000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046217000, 0.0046225000, 0.0046244000, 0.0046261000, 0.0046298000, 0.0046384000, 0.0046581000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003698000, -0.003701200, -0.003708600, -0.003702100, -0.003687300, -0.003653100, -0.003574300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023390000; - } - pin ("A2") { - capacitance : 0.0023350000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022520000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043559000, 0.0043522000, 0.0043435000, 0.0043573000, 0.0043892000, 0.0044625000, 0.0046315000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004009700, -0.004008400, -0.004005400, -0.004004600, -0.004002700, -0.003998400, -0.003988500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024180000; - } - pin ("A3") { - capacitance : 0.0023260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022280000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040049000, 0.0040071000, 0.0040122000, 0.0040118000, 0.0040108000, 0.0040087000, 0.0040036000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004012400, -0.004010500, -0.004006000, -0.004004500, -0.004001000, -0.003992900, -0.003974400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024230000; - } - pin ("A4") { - capacitance : 0.0023640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022380000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040528000, 0.0040518000, 0.0040494000, 0.0040500000, 0.0040515000, 0.0040551000, 0.0040632000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004042800, -0.004041400, -0.004038200, -0.004038100, -0.004037900, -0.004037400, -0.004036300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024890000; - } - pin ("B1") { - capacitance : 0.0023360000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021580000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027317000, 0.0027181000, 0.0026869000, 0.0027082000, 0.0027575000, 0.0028709000, 0.0031323000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001699300, -0.001701500, -0.001706600, -0.001708900, -0.001714100, -0.001726100, -0.001753800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025140000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2&A3&A4) | (B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0146375000, 0.0131818000, 0.0095882000, -0.001861500, -0.040033900, -0.157513900, -0.505017900", \ - "0.0146468000, 0.0131696000, 0.0094873000, -0.001946900, -0.040151800, -0.157588300, -0.505102300", \ - "0.0144659000, 0.0129871000, 0.0092975000, -0.002144000, -0.040361000, -0.157807600, -0.505280900", \ - "0.0141771000, 0.0127112000, 0.0090029000, -0.002441500, -0.040679700, -0.158117800, -0.505576700", \ - "0.0138627000, 0.0123686000, 0.0086594000, -0.002819600, -0.041040100, -0.158460600, -0.505885200", \ - "0.0149249000, 0.0132696000, 0.0085994000, -0.003186700, -0.041298400, -0.158616400, -0.506037300", \ - "0.0184877000, 0.0167272000, 0.0119220000, -0.001678000, -0.041452500, -0.158701800, -0.506002700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0184612000, 0.0200966000, 0.0250822000, 0.0393317000, 0.0795157000, 0.1965472000, 0.5408657000", \ - "0.0184488000, 0.0201350000, 0.0250324000, 0.0391494000, 0.0794430000, 0.1967353000, 0.5403592000", \ - "0.0182080000, 0.0198439000, 0.0248022000, 0.0390611000, 0.0792912000, 0.1965025000, 0.5409441000", \ - "0.0179687000, 0.0196104000, 0.0245540000, 0.0387422000, 0.0791064000, 0.1960908000, 0.5392340000", \ - "0.0181482000, 0.0197417000, 0.0245964000, 0.0386578000, 0.0786718000, 0.1959417000, 0.5401327000", \ - "0.0192395000, 0.0207829000, 0.0253289000, 0.0386059000, 0.0788177000, 0.1958315000, 0.5422735000", \ - "0.0208693000, 0.0223231000, 0.0267497000, 0.0403331000, 0.0799430000, 0.1968747000, 0.5388685000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0172926000, 0.0157873000, 0.0121035000, 0.0007054000, -0.037328700, -0.154673000, -0.502070400", \ - "0.0172368000, 0.0157327000, 0.0120533000, 0.0006608000, -0.037379100, -0.154735900, -0.502121300", \ - "0.0170904000, 0.0156342000, 0.0118971000, 0.0004535000, -0.037607200, -0.154914400, -0.502287200", \ - "0.0168272000, 0.0153572000, 0.0116312000, 0.0001898000, -0.037885000, -0.155170200, -0.502535900", \ - "0.0165161000, 0.0150474000, 0.0113166000, -0.000186000, -0.038242200, -0.155519100, -0.502875000", \ - "0.0160987000, 0.0145895000, 0.0108922000, -0.000540900, -0.038530000, -0.155735700, -0.503072700", \ - "0.0211220000, 0.0193672000, 0.0145348000, 0.0008997000, -0.038955500, -0.156122500, -0.503293700"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0188852000, 0.0205537000, 0.0254782000, 0.0395582000, 0.0800022000, 0.1970905000, 0.5427569000", \ - "0.0188145000, 0.0205011000, 0.0254017000, 0.0394783000, 0.0798010000, 0.1970604000, 0.5433396000", \ - "0.0186718000, 0.0203596000, 0.0252590000, 0.0393463000, 0.0796687000, 0.1969248000, 0.5432089000", \ - "0.0184239000, 0.0200614000, 0.0250014000, 0.0392125000, 0.0795557000, 0.1965914000, 0.5402212000", \ - "0.0182773000, 0.0199079000, 0.0247970000, 0.0388101000, 0.0791860000, 0.1963333000, 0.5402664000", \ - "0.0191487000, 0.0206911000, 0.0253777000, 0.0387942000, 0.0788738000, 0.1960615000, 0.5424564000", \ - "0.0203925000, 0.0218437000, 0.0263854000, 0.0400241000, 0.0799505000, 0.1969646000, 0.5389392000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0200877000, 0.0186130000, 0.0150721000, 0.0035781000, -0.034407500, -0.151596600, -0.498894700", \ - "0.0201539000, 0.0186650000, 0.0148904000, 0.0034605000, -0.034505800, -0.151716400, -0.499002500", \ - "0.0199636000, 0.0184932000, 0.0146499000, 0.0033147000, -0.034648200, -0.151864200, -0.499150700", \ - "0.0196871000, 0.0181711000, 0.0144165000, 0.0029978000, -0.034867100, -0.152058200, -0.499392100", \ - "0.0194107000, 0.0179238000, 0.0141912000, 0.0027965000, -0.035182900, -0.152353500, -0.499597100", \ - "0.0193908000, 0.0178485000, 0.0140343000, 0.0025872000, -0.035285600, -0.152427600, -0.499692900", \ - "0.0244835000, 0.0227133000, 0.0178818000, 0.0041684000, -0.035709600, -0.152701400, -0.499768800"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0189210000, 0.0205961000, 0.0254950000, 0.0395727000, 0.0798859000, 0.1970484000, 0.5433234000", \ - "0.0188245000, 0.0205103000, 0.0254079000, 0.0394924000, 0.0798111000, 0.1971005000, 0.5432820000", \ - "0.0186831000, 0.0203529000, 0.0252630000, 0.0393473000, 0.0796646000, 0.1968118000, 0.5431018000", \ - "0.0184222000, 0.0200539000, 0.0250136000, 0.0392170000, 0.0795093000, 0.1967863000, 0.5429297000", \ - "0.0184278000, 0.0200311000, 0.0249173000, 0.0389131000, 0.0792626000, 0.1963513000, 0.5401663000", \ - "0.0191186000, 0.0206674000, 0.0253118000, 0.0387154000, 0.0789604000, 0.1959159000, 0.5404783000", \ - "0.0201901000, 0.0216718000, 0.0261955000, 0.0397997000, 0.0796315000, 0.1969546000, 0.5409640000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0222834000, 0.0207662000, 0.0170726000, 0.0056896000, -0.032186800, -0.149313500, -0.496488300", \ - "0.0221470000, 0.0206657000, 0.0169744000, 0.0056216000, -0.032278500, -0.149401800, -0.496573700", \ - "0.0220143000, 0.0205275000, 0.0168534000, 0.0054536000, -0.032386600, -0.149488500, -0.496694700", \ - "0.0218375000, 0.0203562000, 0.0167644000, 0.0053578000, -0.032548700, -0.149665500, -0.496842400", \ - "0.0216436000, 0.0201970000, 0.0164893000, 0.0051308000, -0.032784500, -0.149855700, -0.496995900", \ - "0.0216485000, 0.0201104000, 0.0163790000, 0.0049899000, -0.032902200, -0.149979800, -0.497112800", \ - "0.0268690000, 0.0251089000, 0.0203182000, 0.0073278000, -0.032858600, -0.150000600, -0.497094700"); - } - related_pin : "A4"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0189184000, 0.0205559000, 0.0254953000, 0.0397766000, 0.0800885000, 0.1972321000, 0.5415334000", \ - "0.0189100000, 0.0205925000, 0.0254899000, 0.0395775000, 0.0799011000, 0.1971663000, 0.5432692000", \ - "0.0187700000, 0.0204518000, 0.0253491000, 0.0394424000, 0.0797657000, 0.1970395000, 0.5431208000", \ - "0.0184772000, 0.0201170000, 0.0250939000, 0.0393561000, 0.0796310000, 0.1968250000, 0.5410940000", \ - "0.0183845000, 0.0200016000, 0.0249106000, 0.0390172000, 0.0792604000, 0.1964715000, 0.5427941000", \ - "0.0190929000, 0.0206968000, 0.0253184000, 0.0387662000, 0.0791881000, 0.1960712000, 0.5405311000", \ - "0.0198628000, 0.0215133000, 0.0260537000, 0.0396141000, 0.0796371000, 0.1967659000, 0.5387707000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0206737000, 0.0191755000, 0.0154353000, 0.0041091000, -0.033755500, -0.150832800, -0.497973800", \ - "0.0205791000, 0.0190630000, 0.0153341000, 0.0040123000, -0.033880400, -0.150952000, -0.498060400", \ - "0.0203324000, 0.0187847000, 0.0152632000, 0.0038053000, -0.034065900, -0.151101400, -0.498265400", \ - "0.0201369000, 0.0186412000, 0.0149309000, 0.0036390000, -0.034257400, -0.151332100, -0.498492500", \ - "0.0199854000, 0.0186314000, 0.0147522000, 0.0035501000, -0.034617700, -0.151619800, -0.498725100", \ - "0.0202951000, 0.0192584000, 0.0148245000, 0.0039271000, -0.034591600, -0.151615800, -0.498648000", \ - "0.0270941000, 0.0254513000, 0.0202757000, 0.0065119000, -0.033560800, -0.150597400, -0.497610600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014716140, 0.0043312940, 0.0127479800, 0.0375202100, 0.1104305000, 0.3250221000"); - values("0.0131670000, 0.0149261000, 0.0200239000, 0.0341551000, 0.0741075000, 0.1920941000, 0.5325179000", \ - "0.0131167000, 0.0148579000, 0.0199785000, 0.0340839000, 0.0740986000, 0.1911551000, 0.5358136000", \ - "0.0129576000, 0.0147155000, 0.0197792000, 0.0338020000, 0.0738934000, 0.1910196000, 0.5328290000", \ - "0.0127533000, 0.0144557000, 0.0194225000, 0.0334066000, 0.0734789000, 0.1905480000, 0.5336886000", \ - "0.0127491000, 0.0143649000, 0.0191770000, 0.0330592000, 0.0730801000, 0.1901333000, 0.5347912000", \ - "0.0135492000, 0.0151055000, 0.0197561000, 0.0334535000, 0.0732614000, 0.1896550000, 0.5365777000", \ - "0.0156851000, 0.0170778000, 0.0215399000, 0.0350718000, 0.0751408000, 0.1919565000, 0.5336023000"); - } - } - max_capacitance : 0.3250220000; - max_transition : 1.5009670000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.1761867000, 0.1824799000, 0.1965336000, 0.2252298000, 0.2827718000, 0.4137085000, 0.7681329000", \ - "0.1816162000, 0.1878941000, 0.2020227000, 0.2307618000, 0.2883540000, 0.4190095000, 0.7730819000", \ - "0.1941617000, 0.2003947000, 0.2145695000, 0.2432893000, 0.3008436000, 0.4315971000, 0.7854987000", \ - "0.2218845000, 0.2281719000, 0.2422670000, 0.2708948000, 0.3285703000, 0.4593019000, 0.8131309000", \ - "0.2805685000, 0.2868831000, 0.3009512000, 0.3295568000, 0.3872989000, 0.5179357000, 0.8724217000", \ - "0.3912882000, 0.3983045000, 0.4139012000, 0.4452597000, 0.5061173000, 0.6394474000, 0.9937288000", \ - "0.5708143000, 0.5793303000, 0.5982287000, 0.6356004000, 0.7057127000, 0.8477620000, 1.2048473000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.1324705000, 0.1401871000, 0.1577949000, 0.1952367000, 0.2818014000, 0.5151351000, 1.1932850000", \ - "0.1359649000, 0.1436837000, 0.1612653000, 0.1987844000, 0.2853326000, 0.5188779000, 1.1991562000", \ - "0.1445870000, 0.1523063000, 0.1698768000, 0.2074812000, 0.2941459000, 0.5272099000, 1.2052065000", \ - "0.1661767000, 0.1739905000, 0.1915208000, 0.2291088000, 0.3157124000, 0.5490047000, 1.2260355000", \ - "0.2144279000, 0.2221635000, 0.2397015000, 0.2773207000, 0.3640306000, 0.5968084000, 1.2757643000", \ - "0.2828455000, 0.2916815000, 0.3107289000, 0.3494423000, 0.4369938000, 0.6712304000, 1.3520836000", \ - "0.3553947000, 0.3664853000, 0.3903454000, 0.4357208000, 0.5255734000, 0.7585704000, 1.4378282000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0253702000, 0.0292026000, 0.0391034000, 0.0606166000, 0.1140026000, 0.2635062000, 0.7307991000", \ - "0.0253868000, 0.0293119000, 0.0387338000, 0.0609084000, 0.1141270000, 0.2643384000, 0.7333944000", \ - "0.0253869000, 0.0293930000, 0.0390919000, 0.0604849000, 0.1140124000, 0.2642836000, 0.7332692000", \ - "0.0253802000, 0.0293222000, 0.0387181000, 0.0609838000, 0.1139240000, 0.2643200000, 0.7330779000", \ - "0.0253105000, 0.0297122000, 0.0390835000, 0.0606642000, 0.1140971000, 0.2638929000, 0.7317172000", \ - "0.0303969000, 0.0352920000, 0.0450525000, 0.0672461000, 0.1193577000, 0.2671936000, 0.7328094000", \ - "0.0415605000, 0.0472805000, 0.0594503000, 0.0830316000, 0.1363765000, 0.2794965000, 0.7319111000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0276589000, 0.0334447000, 0.0479627000, 0.0848250000, 0.1908561000, 0.5177696000, 1.4993049000", \ - "0.0275740000, 0.0335131000, 0.0480005000, 0.0848818000, 0.1908328000, 0.5176160000, 1.4995731000", \ - "0.0276568000, 0.0334405000, 0.0479208000, 0.0847944000, 0.1908287000, 0.5181683000, 1.4975959000", \ - "0.0276998000, 0.0334120000, 0.0481768000, 0.0847043000, 0.1906159000, 0.5173340000, 1.4971125000", \ - "0.0283293000, 0.0343086000, 0.0484945000, 0.0853435000, 0.1911981000, 0.5187770000, 1.4991036000", \ - "0.0350796000, 0.0406575000, 0.0539292000, 0.0894574000, 0.1938796000, 0.5193905000, 1.5004150000", \ - "0.0474336000, 0.0547544000, 0.0690718000, 0.1015051000, 0.1989028000, 0.5208173000, 1.4976830000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.2037041000, 0.2103144000, 0.2250693000, 0.2542642000, 0.3133197000, 0.4454107000, 0.8003822000", \ - "0.2093419000, 0.2159526000, 0.2307190000, 0.2601178000, 0.3189906000, 0.4510820000, 0.8060670000", \ - "0.2224204000, 0.2290221000, 0.2437238000, 0.2733594000, 0.3321370000, 0.4641889000, 0.8192597000", \ - "0.2517284000, 0.2583616000, 0.2730696000, 0.3027042000, 0.3614690000, 0.4935411000, 0.8486333000", \ - "0.3146804000, 0.3212804000, 0.3360413000, 0.3655440000, 0.4246116000, 0.5566960000, 0.9116785000", \ - "0.4439366000, 0.4511306000, 0.4669646000, 0.4982980000, 0.5594333000, 0.6923472000, 1.0474057000", \ - "0.6644014000, 0.6731093000, 0.6920836000, 0.7292688000, 0.7985970000, 0.9403590000, 1.2979218000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.1432249000, 0.1509882000, 0.1684576000, 0.2060133000, 0.2925843000, 0.5260095000, 1.2032616000", \ - "0.1469379000, 0.1546612000, 0.1722171000, 0.2097272000, 0.2962253000, 0.5299752000, 1.2085783000", \ - "0.1552486000, 0.1629719000, 0.1805278000, 0.2180371000, 0.3045253000, 0.5382801000, 1.2168050000", \ - "0.1748716000, 0.1826009000, 0.2001287000, 0.2377357000, 0.3243050000, 0.5574715000, 1.2351572000", \ - "0.2170109000, 0.2249040000, 0.2427148000, 0.2806826000, 0.3673406000, 0.6003297000, 1.2787847000", \ - "0.2819642000, 0.2907555000, 0.3100473000, 0.3497681000, 0.4378752000, 0.6715890000, 1.3516465000", \ - "0.3475792000, 0.3586778000, 0.3827667000, 0.4279499000, 0.5193214000, 0.7534762000, 1.4320140000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0273615000, 0.0315968000, 0.0412361000, 0.0636414000, 0.1166353000, 0.2658385000, 0.7323001000", \ - "0.0273643000, 0.0315893000, 0.0412078000, 0.0628242000, 0.1166434000, 0.2659771000, 0.7324367000", \ - "0.0274038000, 0.0315965000, 0.0410424000, 0.0629568000, 0.1166888000, 0.2652453000, 0.7310143000", \ - "0.0276532000, 0.0315691000, 0.0410356000, 0.0627254000, 0.1164065000, 0.2656192000, 0.7324426000", \ - "0.0272792000, 0.0314890000, 0.0414016000, 0.0632169000, 0.1163819000, 0.2653503000, 0.7327267000", \ - "0.0313564000, 0.0357885000, 0.0465144000, 0.0673452000, 0.1196477000, 0.2676880000, 0.7330482000", \ - "0.0422634000, 0.0472034000, 0.0586299000, 0.0824622000, 0.1364463000, 0.2792805000, 0.7328831000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0276562000, 0.0336311000, 0.0481933000, 0.0847854000, 0.1906386000, 0.5187749000, 1.4954573000", \ - "0.0275892000, 0.0335268000, 0.0479795000, 0.0845408000, 0.1910194000, 0.5188814000, 1.4999395000", \ - "0.0276013000, 0.0335330000, 0.0479746000, 0.0845235000, 0.1910639000, 0.5189688000, 1.4997112000", \ - "0.0276722000, 0.0334364000, 0.0482080000, 0.0846293000, 0.1906732000, 0.5179986000, 1.4985543000", \ - "0.0289480000, 0.0345309000, 0.0489428000, 0.0856239000, 0.1911020000, 0.5184897000, 1.4990464000", \ - "0.0339832000, 0.0398112000, 0.0545700000, 0.0897514000, 0.1939698000, 0.5184214000, 1.4989812000", \ - "0.0451718000, 0.0522269000, 0.0676677000, 0.1015675000, 0.1994348000, 0.5202116000, 1.4968078000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.2262080000, 0.2330288000, 0.2481099000, 0.2783777000, 0.3381381000, 0.4713425000, 0.8268393000", \ - "0.2315690000, 0.2383736000, 0.2534827000, 0.2837809000, 0.3434437000, 0.4766599000, 0.8323342000", \ - "0.2445862000, 0.2513776000, 0.2665569000, 0.2968131000, 0.3564751000, 0.4896971000, 0.8453844000", \ - "0.2748172000, 0.2816022000, 0.2967630000, 0.3270289000, 0.3867811000, 0.5199248000, 0.8752687000", \ - "0.3387770000, 0.3456126000, 0.3609071000, 0.3912244000, 0.4511835000, 0.5842721000, 0.9398166000", \ - "0.4751863000, 0.4824103000, 0.4974487000, 0.5298637000, 0.5904968000, 0.7244938000, 1.0801783000", \ - "0.7131595000, 0.7216337000, 0.7408239000, 0.7777167000, 0.8470549000, 0.9880700000, 1.3461500000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.1510802000, 0.1588736000, 0.1763801000, 0.2139053000, 0.3003895000, 0.5341492000, 1.2122170000", \ - "0.1550185000, 0.1627365000, 0.1803187000, 0.2178174000, 0.3043147000, 0.5380039000, 1.2169907000", \ - "0.1629160000, 0.1707168000, 0.1882234000, 0.2257476000, 0.3122199000, 0.5459748000, 1.2239054000", \ - "0.1794580000, 0.1871572000, 0.2048044000, 0.2422975000, 0.3286946000, 0.5624377000, 1.2417730000", \ - "0.2143931000, 0.2223418000, 0.2400405000, 0.2779014000, 0.3646377000, 0.5976479000, 1.2759499000", \ - "0.2699388000, 0.2786506000, 0.2981389000, 0.3375002000, 0.4263362000, 0.6600844000, 1.3387277000", \ - "0.3266313000, 0.3374097000, 0.3610369000, 0.4065793000, 0.4992822000, 0.7338452000, 1.4115049000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0291242000, 0.0335686000, 0.0433177000, 0.0647052000, 0.1185829000, 0.2678197000, 0.7341092000", \ - "0.0292384000, 0.0336862000, 0.0435449000, 0.0647970000, 0.1186824000, 0.2670439000, 0.7325585000", \ - "0.0291119000, 0.0332777000, 0.0432896000, 0.0647955000, 0.1186864000, 0.2671686000, 0.7327621000", \ - "0.0290274000, 0.0334453000, 0.0429480000, 0.0651890000, 0.1185729000, 0.2679419000, 0.7328202000", \ - "0.0292569000, 0.0336875000, 0.0429449000, 0.0648534000, 0.1184999000, 0.2676079000, 0.7346180000", \ - "0.0323905000, 0.0367730000, 0.0462126000, 0.0678261000, 0.1208874000, 0.2686862000, 0.7348234000", \ - "0.0425116000, 0.0480221000, 0.0589724000, 0.0816617000, 0.1350974000, 0.2788765000, 0.7352043000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0276708000, 0.0335628000, 0.0481282000, 0.0846964000, 0.1911363000, 0.5189123000, 1.4980358000", \ - "0.0275848000, 0.0335091000, 0.0479716000, 0.0846234000, 0.1909227000, 0.5185903000, 1.5004611000", \ - "0.0276890000, 0.0335714000, 0.0481048000, 0.0846534000, 0.1911455000, 0.5189245000, 1.4975186000", \ - "0.0277403000, 0.0334699000, 0.0480348000, 0.0844708000, 0.1910815000, 0.5189146000, 1.5006952000", \ - "0.0288540000, 0.0347209000, 0.0486925000, 0.0854492000, 0.1910580000, 0.5184514000, 1.4989525000", \ - "0.0330043000, 0.0390581000, 0.0539918000, 0.0903043000, 0.1946986000, 0.5195678000, 1.4980575000", \ - "0.0437675000, 0.0508941000, 0.0663954000, 0.1020031000, 0.2003120000, 0.5213488000, 1.4949886000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.2305364000, 0.2373333000, 0.2523685000, 0.2823645000, 0.3418062000, 0.4737138000, 0.8295198000", \ - "0.2358976000, 0.2427023000, 0.2577402000, 0.2877482000, 0.3471834000, 0.4790940000, 0.8348955000", \ - "0.2493523000, 0.2561501000, 0.2712549000, 0.3012102000, 0.3602407000, 0.4924473000, 0.8483244000", \ - "0.2799691000, 0.2868180000, 0.3017940000, 0.3317363000, 0.3911150000, 0.5232078000, 0.8790113000", \ - "0.3453927000, 0.3522601000, 0.3672339000, 0.3972506000, 0.4565140000, 0.5891229000, 0.9446159000", \ - "0.4846680000, 0.4918444000, 0.5078123000, 0.5387654000, 0.5987238000, 0.7317013000, 1.0872604000", \ - "0.7304422000, 0.7389959000, 0.7578448000, 0.7940066000, 0.8619878000, 1.0017017000, 1.3591689000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.1554765000, 0.1631867000, 0.1807238000, 0.2183945000, 0.3048937000, 0.5374998000, 1.2161352000", \ - "0.1595082000, 0.1672237000, 0.1848407000, 0.2223282000, 0.3088255000, 0.5424193000, 1.2220333000", \ - "0.1672765000, 0.1749914000, 0.1926105000, 0.2301064000, 0.3165972000, 0.5501918000, 1.2300146000", \ - "0.1821457000, 0.1898549000, 0.2074348000, 0.2450366000, 0.3316358000, 0.5642942000, 1.2429724000", \ - "0.2112836000, 0.2191286000, 0.2369309000, 0.2747756000, 0.3614108000, 0.5950071000, 1.2724624000", \ - "0.2570577000, 0.2656019000, 0.2847016000, 0.3247292000, 0.4131659000, 0.6465908000, 1.3251059000", \ - "0.3067867000, 0.3172244000, 0.3399036000, 0.3848259000, 0.4773196000, 0.7122855000, 1.3895929000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0290604000, 0.0333556000, 0.0426417000, 0.0639820000, 0.1173117000, 0.2665422000, 0.7335695000", \ - "0.0290801000, 0.0333960000, 0.0426474000, 0.0640936000, 0.1172891000, 0.2665771000, 0.7337461000", \ - "0.0291108000, 0.0334645000, 0.0433833000, 0.0639861000, 0.1175221000, 0.2664593000, 0.7344029000", \ - "0.0292101000, 0.0334289000, 0.0430928000, 0.0640224000, 0.1172832000, 0.2665194000, 0.7334781000", \ - "0.0292090000, 0.0332588000, 0.0426962000, 0.0642469000, 0.1171361000, 0.2663104000, 0.7347798000", \ - "0.0321755000, 0.0360339000, 0.0458410000, 0.0667180000, 0.1192685000, 0.2670601000, 0.7346387000", \ - "0.0422050000, 0.0469873000, 0.0582637000, 0.0811218000, 0.1337245000, 0.2766134000, 0.7345039000"); - } - related_pin : "A4"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0275700000, 0.0334924000, 0.0482613000, 0.0846868000, 0.1909224000, 0.5184947000, 1.4980915000", \ - "0.0276211000, 0.0334683000, 0.0480313000, 0.0847568000, 0.1907091000, 0.5179805000, 1.5006389000", \ - "0.0276627000, 0.0334642000, 0.0480288000, 0.0847533000, 0.1907457000, 0.5178621000, 1.5005208000", \ - "0.0275856000, 0.0334621000, 0.0478780000, 0.0847650000, 0.1909083000, 0.5183837000, 1.4980810000", \ - "0.0287696000, 0.0343775000, 0.0486077000, 0.0851626000, 0.1912782000, 0.5189221000, 1.4962587000", \ - "0.0318101000, 0.0382144000, 0.0531383000, 0.0897031000, 0.1937304000, 0.5181744000, 1.4972831000", \ - "0.0406797000, 0.0478347000, 0.0633906000, 0.0999961000, 0.1998597000, 0.5212281000, 1.4957744000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.1956633000, 0.2024055000, 0.2174481000, 0.2474760000, 0.3069319000, 0.4391949000, 0.7947148000", \ - "0.1993289000, 0.2061821000, 0.2212989000, 0.2512930000, 0.3107664000, 0.4430938000, 0.7983363000", \ - "0.2094914000, 0.2162219000, 0.2312655000, 0.2610216000, 0.3203761000, 0.4528404000, 0.8083318000", \ - "0.2350939000, 0.2421903000, 0.2573085000, 0.2875369000, 0.3468130000, 0.4791172000, 0.8348461000", \ - "0.3008453000, 0.3073086000, 0.3226670000, 0.3523008000, 0.4120517000, 0.5444682000, 0.9002492000", \ - "0.4430214000, 0.4506613000, 0.4667212000, 0.4982476000, 0.5586262000, 0.6911700000, 1.0470420000", \ - "0.6700491000, 0.6803942000, 0.7001913000, 0.7397150000, 0.8065767000, 0.9432910000, 1.3014988000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0589109000, 0.0645962000, 0.0787619000, 0.1117248000, 0.1924293000, 0.4223469000, 1.0990101000", \ - "0.0637574000, 0.0694313000, 0.0836008000, 0.1165280000, 0.1974896000, 0.4275463000, 1.1079409000", \ - "0.0749350000, 0.0805970000, 0.0946679000, 0.1275617000, 0.2086233000, 0.4388627000, 1.1260405000", \ - "0.0985004000, 0.1044015000, 0.1186241000, 0.1515508000, 0.2327031000, 0.4637958000, 1.1524317000", \ - "0.1309933000, 0.1385515000, 0.1555659000, 0.1910725000, 0.2730699000, 0.5041517000, 1.1798637000", \ - "0.1662883000, 0.1766819000, 0.1998601000, 0.2425236000, 0.3278045000, 0.5585118000, 1.2379773000", \ - "0.1871065000, 0.2010967000, 0.2332300000, 0.2909181000, 0.3861958000, 0.6177429000, 1.2939808000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0290786000, 0.0334811000, 0.0428390000, 0.0648311000, 0.1170142000, 0.2663275000, 0.7347557000", \ - "0.0289560000, 0.0331796000, 0.0430006000, 0.0639719000, 0.1171508000, 0.2667532000, 0.7317874000", \ - "0.0292003000, 0.0331089000, 0.0430536000, 0.0649081000, 0.1173997000, 0.2667300000, 0.7338299000", \ - "0.0290914000, 0.0332119000, 0.0430017000, 0.0645305000, 0.1172913000, 0.2660267000, 0.7328308000", \ - "0.0289593000, 0.0332182000, 0.0430418000, 0.0641171000, 0.1170037000, 0.2661851000, 0.7343355000", \ - "0.0348980000, 0.0388869000, 0.0481080000, 0.0688274000, 0.1193775000, 0.2673758000, 0.7337886000", \ - "0.0507678000, 0.0553247000, 0.0664381000, 0.0862004000, 0.1341073000, 0.2759569000, 0.7336277000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014716100, 0.0043312900, 0.0127480000, 0.0375202000, 0.1104310000, 0.3250220000"); - values("0.0175903000, 0.0229565000, 0.0373982000, 0.0740412000, 0.1822031000, 0.5156106000, 1.4950134000", \ - "0.0175939000, 0.0229572000, 0.0374015000, 0.0740890000, 0.1821962000, 0.5132384000, 1.5009672000", \ - "0.0176287000, 0.0229848000, 0.0374395000, 0.0740614000, 0.1821738000, 0.5133977000, 1.4966319000", \ - "0.0195779000, 0.0246493000, 0.0384999000, 0.0745107000, 0.1820963000, 0.5149501000, 1.4989773000", \ - "0.0267900000, 0.0322278000, 0.0456418000, 0.0790521000, 0.1835827000, 0.5140972000, 1.4965659000", \ - "0.0389113000, 0.0462094000, 0.0616380000, 0.0913124000, 0.1880880000, 0.5122560000, 1.4970293000", \ - "0.0572977000, 0.0678697000, 0.0882168000, 0.1189229000, 0.2016623000, 0.5152390000, 1.4919785000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a41o_4") { - leakage_power () { - value : 0.0046105000; - when : "!A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0082481000; - when : "!A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0046100000; - when : "!A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0082575000; - when : "!A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0046100000; - when : "!A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0082581000; - when : "!A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0046100000; - when : "!A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0082869000; - when : "!A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0046100000; - when : "!A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0082599000; - when : "!A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0046100000; - when : "!A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0082888000; - when : "!A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0046100000; - when : "!A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0082912000; - when : "!A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0046109000; - when : "!A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0089728000; - when : "!A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0046100000; - when : "A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0082703000; - when : "A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0046100000; - when : "A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0083003000; - when : "A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0046100000; - when : "A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0083028000; - when : "A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0046103000; - when : "A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0088094000; - when : "A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0046100000; - when : "A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0083167000; - when : "A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0046099000; - when : "A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0088056000; - when : "A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0046100000; - when : "A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0088092000; - when : "A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0021909000; - when : "A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0054482000; - when : "A1&A2&A3&A4&!B1"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__a41o"; - cell_leakage_power : 0.0063521180; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0042110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0088935000, 0.0088876000, 0.0088741000, 0.0088719000, 0.0088668000, 0.0088551000, 0.0088282000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006171300, -0.006172800, -0.006176500, -0.006159500, -0.006120300, -0.006030100, -0.005822100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0043280000; - } - pin ("A2") { - capacitance : 0.0042220000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040820000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0083726000, 0.0083698000, 0.0083633000, 0.0083959000, 0.0084713000, 0.0086448000, 0.0090450000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007752000, -0.007748400, -0.007740000, -0.007738100, -0.007733800, -0.007723800, -0.007700800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0043620000; - } - pin ("A3") { - capacitance : 0.0043970000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042280000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078491000, 0.0078549000, 0.0078680000, 0.0078667000, 0.0078637000, 0.0078566000, 0.0078404000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007845000, -0.007846300, -0.007849200, -0.007851100, -0.007855500, -0.007865600, -0.007889000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045650000; - } - pin ("A4") { - capacitance : 0.0044010000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041640000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078615000, 0.0078653000, 0.0078740000, 0.0078766000, 0.0078825000, 0.0078961000, 0.0079274000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007869500, -0.007867900, -0.007864300, -0.007863400, -0.007861100, -0.007856000, -0.007844000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046380000; - } - pin ("B1") { - capacitance : 0.0045140000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039355000, 0.0039187000, 0.0038799000, 0.0039153000, 0.0039970000, 0.0041851000, 0.0046188000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003398400, -0.003409200, -0.003434000, -0.003438100, -0.003447300, -0.003468700, -0.003518000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0048960000; - } - pin ("X") { - direction : "output"; - function : "(A1&A2&A3&A4) | (B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000"); - values("0.0283566000, 0.0265670000, 0.0214184000, 0.0061189000, -0.049881000, -0.242562700, -0.868521400", \ - "0.0280678000, 0.0264028000, 0.0212607000, 0.0060403000, -0.049909300, -0.242778900, -0.868557800", \ - "0.0278946000, 0.0260994000, 0.0209503000, 0.0056863000, -0.050359400, -0.243007800, -0.868928700", \ - "0.0273414000, 0.0255613000, 0.0204113000, 0.0051336000, -0.050773500, -0.243517100, -0.869395100", \ - "0.0267200000, 0.0249667000, 0.0197803000, 0.0043789000, -0.051575000, -0.244261600, -0.869954200", \ - "0.0256060000, 0.0237654000, 0.0183663000, 0.0033590000, -0.052226000, -0.244540100, -0.870177700", \ - "0.0347086000, 0.0322526000, 0.0260554000, 0.0072155000, -0.052388500, -0.244896000, -0.870154200"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000"); - values("0.0338333000, 0.0356243000, 0.0417639000, 0.0615851000, 0.1225181000, 0.3156794000, 0.9357667000", \ - "0.0333588000, 0.0351549000, 0.0412926000, 0.0611566000, 0.1224145000, 0.3154337000, 0.9362187000", \ - "0.0331112000, 0.0349775000, 0.0410904000, 0.0607144000, 0.1220379000, 0.3154240000, 0.9345519000", \ - "0.0326079000, 0.0344323000, 0.0405597000, 0.0603972000, 0.1215607000, 0.3147928000, 0.9353658000", \ - "0.0326094000, 0.0344464000, 0.0404429000, 0.0601711000, 0.1206257000, 0.3141379000, 0.9349147000", \ - "0.0338426000, 0.0355877000, 0.0412813000, 0.0597400000, 0.1204101000, 0.3134558000, 0.9329565000", \ - "0.0368070000, 0.0383718000, 0.0439586000, 0.0623088000, 0.1221448000, 0.3145637000, 0.9323754000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000"); - values("0.0346549000, 0.0328990000, 0.0275833000, 0.0122223000, -0.043399500, -0.235572200, -0.861250600", \ - "0.0346663000, 0.0328870000, 0.0275853000, 0.0121122000, -0.043512300, -0.235751700, -0.861420900", \ - "0.0343455000, 0.0324895000, 0.0272440000, 0.0120322000, -0.043778300, -0.236040400, -0.861652200", \ - "0.0336994000, 0.0319681000, 0.0266361000, 0.0112380000, -0.044396700, -0.236524600, -0.862184500", \ - "0.0330929000, 0.0312577000, 0.0259732000, 0.0104660000, -0.045260900, -0.237388600, -0.862906800", \ - "0.0324240000, 0.0305331000, 0.0251662000, 0.0097977000, -0.045780200, -0.237886300, -0.863273700", \ - "0.0403502000, 0.0382967000, 0.0321176000, 0.0133000000, -0.045885200, -0.238597500, -0.863777600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000"); - values("0.0352090000, 0.0370408000, 0.0431815000, 0.0628633000, 0.1241415000, 0.3173625000, 0.9370636000", \ - "0.0351157000, 0.0368996000, 0.0430367000, 0.0626601000, 0.1238578000, 0.3171228000, 0.9377107000", \ - "0.0347503000, 0.0365531000, 0.0426773000, 0.0622565000, 0.1236887000, 0.3170704000, 0.9404864000", \ - "0.0343557000, 0.0360742000, 0.0422013000, 0.0619166000, 0.1233850000, 0.3164428000, 0.9388132000", \ - "0.0344455000, 0.0362337000, 0.0422643000, 0.0617448000, 0.1228334000, 0.3160841000, 0.9384244000", \ - "0.0350807000, 0.0370292000, 0.0427457000, 0.0613545000, 0.1220861000, 0.3148963000, 0.9360292000", \ - "0.0378416000, 0.0395152000, 0.0450949000, 0.0637751000, 0.1234246000, 0.3159692000, 0.9328086000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000"); - values("0.0403557000, 0.0385641000, 0.0331159000, 0.0178161000, -0.037840100, -0.229758500, -0.855075300", \ - "0.0399791000, 0.0381966000, 0.0328705000, 0.0174838000, -0.037974900, -0.229871500, -0.855227900", \ - "0.0399757000, 0.0381705000, 0.0328181000, 0.0174059000, -0.038200600, -0.230231300, -0.855485900", \ - "0.0395812000, 0.0378202000, 0.0324444000, 0.0170309000, -0.038622000, -0.230500600, -0.855845800", \ - "0.0389614000, 0.0371668000, 0.0318093000, 0.0163859000, -0.039164000, -0.231141500, -0.856286100", \ - "0.0388110000, 0.0370585000, 0.0316474000, 0.0160690000, -0.039430300, -0.231320600, -0.856493200", \ - "0.0472567000, 0.0452092000, 0.0390311000, 0.0201257000, -0.039786400, -0.231862900, -0.856713700"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000"); - values("0.0352324000, 0.0370341000, 0.0431742000, 0.0628031000, 0.1240054000, 0.3173077000, 0.9377950000", \ - "0.0350356000, 0.0368914000, 0.0429733000, 0.0625792000, 0.1238348000, 0.3171586000, 0.9376107000", \ - "0.0346191000, 0.0365214000, 0.0426178000, 0.0622063000, 0.1236449000, 0.3170022000, 0.9403905000", \ - "0.0343278000, 0.0361146000, 0.0422544000, 0.0618658000, 0.1233662000, 0.3164119000, 0.9387489000", \ - "0.0340978000, 0.0359312000, 0.0419462000, 0.0616662000, 0.1226481000, 0.3161689000, 0.9367587000", \ - "0.0351113000, 0.0368784000, 0.0426614000, 0.0612501000, 0.1224490000, 0.3152237000, 0.9351694000", \ - "0.0370366000, 0.0387233000, 0.0443706000, 0.0631729000, 0.1234732000, 0.3161723000, 0.9338160000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000"); - values("0.0450691000, 0.0432673000, 0.0379496000, 0.0225730000, -0.032708000, -0.224240900, -0.849146400", \ - "0.0448084000, 0.0429961000, 0.0376185000, 0.0222254000, -0.033043000, -0.224393300, -0.849350100", \ - "0.0443803000, 0.0425954000, 0.0372545000, 0.0220401000, -0.033293800, -0.224736900, -0.849649400", \ - "0.0443311000, 0.0425185000, 0.0371812000, 0.0218069000, -0.033464700, -0.224973400, -0.849939300", \ - "0.0438487000, 0.0420422000, 0.0366650000, 0.0212830000, -0.033968400, -0.225379700, -0.850250300", \ - "0.0444455000, 0.0426674000, 0.0371784000, 0.0214707000, -0.034050600, -0.225538000, -0.850406700", \ - "0.0529207000, 0.0508729000, 0.0447423000, 0.0257731000, -0.034195000, -0.226101300, -0.850570300"); - } - related_pin : "A4"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000"); - values("0.0352459000, 0.0370984000, 0.0431815000, 0.0627875000, 0.1240433000, 0.3173460000, 0.9377597000", \ - "0.0348994000, 0.0367251000, 0.0428280000, 0.0626215000, 0.1238822000, 0.3170619000, 0.9363928000", \ - "0.0346165000, 0.0364723000, 0.0425521000, 0.0623546000, 0.1236541000, 0.3169981000, 0.9388711000", \ - "0.0342458000, 0.0361000000, 0.0422027000, 0.0618820000, 0.1233086000, 0.3165759000, 0.9399334000", \ - "0.0340523000, 0.0358858000, 0.0419435000, 0.0614765000, 0.1226252000, 0.3161127000, 0.9363976000", \ - "0.0354089000, 0.0371923000, 0.0429965000, 0.0612362000, 0.1224440000, 0.3152548000, 0.9373385000", \ - "0.0369649000, 0.0386596000, 0.0443766000, 0.0631184000, 0.1230420000, 0.3156195000, 0.9339815000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000"); - values("0.0430353000, 0.0411739000, 0.0358115000, 0.0205105000, -0.034691400, -0.226051600, -0.850947200", \ - "0.0427847000, 0.0409430000, 0.0358425000, 0.0203843000, -0.034834300, -0.226340600, -0.851186800", \ - "0.0424475000, 0.0405546000, 0.0353112000, 0.0198995000, -0.035236500, -0.226697300, -0.851573400", \ - "0.0420354000, 0.0403004000, 0.0349262000, 0.0195810000, -0.035663000, -0.227111100, -0.851946300", \ - "0.0415580000, 0.0398134000, 0.0344628000, 0.0190226000, -0.036367100, -0.227684700, -0.852493800", \ - "0.0423874000, 0.0405541000, 0.0350424000, 0.0193355000, -0.036321400, -0.227760300, -0.852331700", \ - "0.0542434000, 0.0520001000, 0.0454990000, 0.0261681000, -0.032659800, -0.225355000, -0.850275600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000"); - values("0.0240264000, 0.0260792000, 0.0325039000, 0.0520580000, 0.1118664000, 0.3039243000, 0.9233464000", \ - "0.0239445000, 0.0259866000, 0.0324230000, 0.0519706000, 0.1118424000, 0.3039011000, 0.9239186000", \ - "0.0237323000, 0.0257321000, 0.0320857000, 0.0515570000, 0.1116545000, 0.3039013000, 0.9275183000", \ - "0.0232338000, 0.0251642000, 0.0314041000, 0.0507404000, 0.1109710000, 0.3029268000, 0.9207356000", \ - "0.0233640000, 0.0251964000, 0.0311067000, 0.0504307000, 0.1104745000, 0.3030241000, 0.9235364000", \ - "0.0248931000, 0.0266617000, 0.0324365000, 0.0512065000, 0.1105823000, 0.3022687000, 0.9223149000", \ - "0.0286964000, 0.0302440000, 0.0357174000, 0.0540921000, 0.1141410000, 0.3053202000, 0.9213523000"); - } - } - max_capacitance : 0.5603130000; - max_transition : 1.5019620000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.1752514000, 0.1791203000, 0.1892402000, 0.2123169000, 0.2610626000, 0.3765588000, 0.7077884000", \ - "0.1810962000, 0.1849696000, 0.1948706000, 0.2179863000, 0.2669347000, 0.3822915000, 0.7138947000", \ - "0.1943133000, 0.1982127000, 0.2083303000, 0.2312909000, 0.2802480000, 0.3955737000, 0.7265627000", \ - "0.2243421000, 0.2282099000, 0.2382933000, 0.2611022000, 0.3100811000, 0.4255340000, 0.7563434000", \ - "0.2892406000, 0.2930873000, 0.3031748000, 0.3260370000, 0.3750517000, 0.4905000000, 0.8220858000", \ - "0.4156313000, 0.4199282000, 0.4310825000, 0.4562251000, 0.5083077000, 0.6263552000, 0.9575946000", \ - "0.6293223000, 0.6346536000, 0.6483119000, 0.6784631000, 0.7396329000, 0.8676851000, 1.2019676000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.1461379000, 0.1516169000, 0.1660870000, 0.2001547000, 0.2814216000, 0.5072767000, 1.2164513000", \ - "0.1493791000, 0.1548650000, 0.1693780000, 0.2034896000, 0.2847993000, 0.5105574000, 1.2197858000", \ - "0.1579377000, 0.1634175000, 0.1779224000, 0.2119935000, 0.2933181000, 0.5188925000, 1.2305049000", \ - "0.1781200000, 0.1836060000, 0.1981581000, 0.2322415000, 0.3134594000, 0.5393937000, 1.2486778000", \ - "0.2264467000, 0.2318251000, 0.2462109000, 0.2802640000, 0.3618031000, 0.5874718000, 1.2963699000", \ - "0.2969285000, 0.3027674000, 0.3175987000, 0.3521641000, 0.4342047000, 0.6609791000, 1.3700651000", \ - "0.3666661000, 0.3739380000, 0.3924625000, 0.4317737000, 0.5154365000, 0.7413850000, 1.4522047000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.0252063000, 0.0274268000, 0.0340146000, 0.0509178000, 0.0943433000, 0.2239810000, 0.6630501000", \ - "0.0251819000, 0.0277002000, 0.0341288000, 0.0513620000, 0.0945233000, 0.2242965000, 0.6644656000", \ - "0.0250751000, 0.0274864000, 0.0341061000, 0.0506719000, 0.0944516000, 0.2245173000, 0.6635229000", \ - "0.0249974000, 0.0274492000, 0.0339728000, 0.0508639000, 0.0946908000, 0.2246556000, 0.6640458000", \ - "0.0250869000, 0.0274996000, 0.0341027000, 0.0511351000, 0.0944645000, 0.2244578000, 0.6637077000", \ - "0.0306109000, 0.0333115000, 0.0404313000, 0.0571171000, 0.0998780000, 0.2275906000, 0.6639230000", \ - "0.0428126000, 0.0456007000, 0.0539458000, 0.0724845000, 0.1175443000, 0.2413572000, 0.6663838000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.0312085000, 0.0353777000, 0.0469587000, 0.0780061000, 0.1705116000, 0.4794298000, 1.5005253000", \ - "0.0312782000, 0.0353818000, 0.0470021000, 0.0779977000, 0.1701456000, 0.4781017000, 1.4991723000", \ - "0.0311815000, 0.0355123000, 0.0470612000, 0.0780472000, 0.1706938000, 0.4792934000, 1.5018078000", \ - "0.0314397000, 0.0354191000, 0.0471003000, 0.0779307000, 0.1700635000, 0.4785637000, 1.4991850000", \ - "0.0317072000, 0.0357172000, 0.0473698000, 0.0785319000, 0.1705057000, 0.4791598000, 1.4980269000", \ - "0.0369726000, 0.0405209000, 0.0516069000, 0.0813609000, 0.1737468000, 0.4806289000, 1.4997764000", \ - "0.0495075000, 0.0540569000, 0.0656182000, 0.0928751000, 0.1787961000, 0.4822752000, 1.4960329000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.2084035000, 0.2125618000, 0.2232894000, 0.2473198000, 0.2976568000, 0.4147988000, 0.7474036000", \ - "0.2141028000, 0.2182475000, 0.2289846000, 0.2530054000, 0.3037750000, 0.4206382000, 0.7526624000", \ - "0.2270611000, 0.2312170000, 0.2419979000, 0.2658729000, 0.3163270000, 0.4335012000, 0.7659363000", \ - "0.2571079000, 0.2612625000, 0.2719950000, 0.2960239000, 0.3464045000, 0.4635673000, 0.7962131000", \ - "0.3218594000, 0.3260863000, 0.3367729000, 0.3607606000, 0.4113594000, 0.5287186000, 0.8613418000", \ - "0.4549048000, 0.4593880000, 0.4709315000, 0.4965186000, 0.5488238000, 0.6676411000, 1.0002996000", \ - "0.6881120000, 0.6935225000, 0.7074501000, 0.7378831000, 0.7979073000, 0.9253940000, 1.2614298000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.1626114000, 0.1680796000, 0.1825323000, 0.2166310000, 0.2979694000, 0.5234292000, 1.2326098000", \ - "0.1664008000, 0.1718874000, 0.1863561000, 0.2203952000, 0.3016112000, 0.5275075000, 1.2359093000", \ - "0.1749747000, 0.1804399000, 0.1948829000, 0.2290173000, 0.3103465000, 0.5357770000, 1.2469841000", \ - "0.1949106000, 0.2003215000, 0.2148216000, 0.2489157000, 0.3302970000, 0.5554036000, 1.2652615000", \ - "0.2395660000, 0.2450639000, 0.2596316000, 0.2937328000, 0.3750100000, 0.6003534000, 1.3094026000", \ - "0.3114933000, 0.3174848000, 0.3330377000, 0.3687185000, 0.4516343000, 0.6788103000, 1.3884410000", \ - "0.3895433000, 0.3970293000, 0.4159512000, 0.4567584000, 0.5429120000, 0.7698433000, 1.4798712000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.0280233000, 0.0305922000, 0.0374384000, 0.0536687000, 0.0970564000, 0.2272409000, 0.6651744000", \ - "0.0280287000, 0.0305559000, 0.0371153000, 0.0540799000, 0.0970824000, 0.2270634000, 0.6651681000", \ - "0.0282405000, 0.0304380000, 0.0372756000, 0.0537527000, 0.0977265000, 0.2273754000, 0.6653935000", \ - "0.0280445000, 0.0306069000, 0.0374313000, 0.0536709000, 0.0970642000, 0.2272310000, 0.6652914000", \ - "0.0280469000, 0.0306168000, 0.0371371000, 0.0537485000, 0.0975663000, 0.2270545000, 0.6646811000", \ - "0.0320994000, 0.0348362000, 0.0413300000, 0.0586628000, 0.1009492000, 0.2286762000, 0.6659718000", \ - "0.0435616000, 0.0467400000, 0.0539672000, 0.0720928000, 0.1161741000, 0.2414245000, 0.6674505000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.0313448000, 0.0354562000, 0.0469858000, 0.0779929000, 0.1701743000, 0.4784647000, 1.5004373000", \ - "0.0312862000, 0.0354547000, 0.0470087000, 0.0781229000, 0.1701113000, 0.4788263000, 1.4971424000", \ - "0.0314535000, 0.0356178000, 0.0472135000, 0.0780695000, 0.1705415000, 0.4792411000, 1.5019579000", \ - "0.0314156000, 0.0354174000, 0.0471454000, 0.0781241000, 0.1700027000, 0.4785948000, 1.4981526000", \ - "0.0321451000, 0.0361981000, 0.0477292000, 0.0789871000, 0.1703809000, 0.4781920000, 1.4969748000", \ - "0.0363647000, 0.0407751000, 0.0523461000, 0.0826262000, 0.1738623000, 0.4807431000, 1.4988446000", \ - "0.0483433000, 0.0531669000, 0.0656092000, 0.0953566000, 0.1800782000, 0.4828592000, 1.4953170000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.2208521000, 0.2250906000, 0.2359925000, 0.2602206000, 0.3111092000, 0.4279598000, 0.7610656000", \ - "0.2264531000, 0.2306852000, 0.2416375000, 0.2659224000, 0.3163745000, 0.4336926000, 0.7663901000", \ - "0.2399870000, 0.2442265000, 0.2551599000, 0.2793772000, 0.3302593000, 0.4471772000, 0.7802277000", \ - "0.2707384000, 0.2749689000, 0.2859101000, 0.3101157000, 0.3606507000, 0.4779604000, 0.8110062000", \ - "0.3355239000, 0.3397513000, 0.3506417000, 0.3749287000, 0.4257578000, 0.5430787000, 0.8761012000", \ - "0.4714280000, 0.4760451000, 0.4875532000, 0.5129129000, 0.5646264000, 0.6825269000, 1.0158043000", \ - "0.7116703000, 0.7171012000, 0.7307890000, 0.7604968000, 0.8199454000, 0.9462263000, 1.2816578000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.1708478000, 0.1763283000, 0.1907895000, 0.2248420000, 0.3060447000, 0.5318668000, 1.2401106000", \ - "0.1745980000, 0.1800890000, 0.1945498000, 0.2286021000, 0.3098011000, 0.5355830000, 1.2440716000", \ - "0.1821749000, 0.1876431000, 0.2020933000, 0.2362389000, 0.3175778000, 0.5429726000, 1.2541402000", \ - "0.1979189000, 0.2033833000, 0.2177978000, 0.2518881000, 0.3332880000, 0.5584118000, 1.2680612000", \ - "0.2311284000, 0.2366685000, 0.2512844000, 0.2857349000, 0.3670065000, 0.5927756000, 1.3014522000", \ - "0.2873981000, 0.2933862000, 0.3090629000, 0.3450936000, 0.4282101000, 0.6550773000, 1.3673691000", \ - "0.3519727000, 0.3591384000, 0.3775853000, 0.4181636000, 0.5054936000, 0.7335346000, 1.4430807000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.0290173000, 0.0315223000, 0.0388297000, 0.0544612000, 0.0978407000, 0.2268872000, 0.6660019000", \ - "0.0292310000, 0.0318142000, 0.0385830000, 0.0545914000, 0.0976621000, 0.2273587000, 0.6655940000", \ - "0.0290988000, 0.0316338000, 0.0384238000, 0.0545525000, 0.0978899000, 0.2274636000, 0.6660046000", \ - "0.0290727000, 0.0316210000, 0.0383773000, 0.0545987000, 0.0975420000, 0.2273429000, 0.6658036000", \ - "0.0292295000, 0.0318581000, 0.0381006000, 0.0546394000, 0.0979172000, 0.2269566000, 0.6650637000", \ - "0.0326398000, 0.0351477000, 0.0416795000, 0.0582123000, 0.0998739000, 0.2283109000, 0.6642956000", \ - "0.0427398000, 0.0457445000, 0.0542537000, 0.0708891000, 0.1143660000, 0.2396836000, 0.6670784000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.0313287000, 0.0354644000, 0.0470112000, 0.0781220000, 0.1702678000, 0.4791021000, 1.4981816000", \ - "0.0313246000, 0.0355038000, 0.0470115000, 0.0781049000, 0.1704204000, 0.4792357000, 1.4990194000", \ - "0.0311882000, 0.0354433000, 0.0472385000, 0.0780886000, 0.1705334000, 0.4792319000, 1.5019620000", \ - "0.0313997000, 0.0355936000, 0.0471749000, 0.0780951000, 0.1700144000, 0.4785612000, 1.4980901000", \ - "0.0319956000, 0.0362022000, 0.0477943000, 0.0785111000, 0.1705290000, 0.4792566000, 1.4978659000", \ - "0.0354013000, 0.0397251000, 0.0514088000, 0.0824821000, 0.1734943000, 0.4796122000, 1.5001551000", \ - "0.0460240000, 0.0505819000, 0.0626674000, 0.0940170000, 0.1800051000, 0.4826735000, 1.4964869000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.2410485000, 0.2454709000, 0.2568412000, 0.2819583000, 0.3340698000, 0.4524888000, 0.7864164000", \ - "0.2460859000, 0.2505167000, 0.2618473000, 0.2868881000, 0.3391378000, 0.4574795000, 0.7917172000", \ - "0.2592834000, 0.2636923000, 0.2750424000, 0.3000772000, 0.3520401000, 0.4707898000, 0.8049722000", \ - "0.2888771000, 0.2933018000, 0.3046834000, 0.3298137000, 0.3819035000, 0.5003815000, 0.8343762000", \ - "0.3502996000, 0.3547797000, 0.3660220000, 0.3910245000, 0.4431869000, 0.5621863000, 0.8961218000", \ - "0.4789627000, 0.4835849000, 0.4955052000, 0.5213801000, 0.5743657000, 0.6936326000, 1.0278260000", \ - "0.7046645000, 0.7099994000, 0.7241543000, 0.7539749000, 0.8138581000, 0.9405312000, 1.2772817000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.1761856000, 0.1816757000, 0.1961402000, 0.2301902000, 0.3113901000, 0.5371392000, 1.2456103000", \ - "0.1800949000, 0.1855772000, 0.2000881000, 0.2342394000, 0.3155481000, 0.5411459000, 1.2499256000", \ - "0.1879246000, 0.1934088000, 0.2079332000, 0.2421238000, 0.3234292000, 0.5485477000, 1.2577395000", \ - "0.2025091000, 0.2079813000, 0.2224550000, 0.2567253000, 0.3379951000, 0.5631967000, 1.2737137000", \ - "0.2303163000, 0.2358227000, 0.2503042000, 0.2846393000, 0.3659616000, 0.5916156000, 1.3003612000", \ - "0.2751373000, 0.2810445000, 0.2965994000, 0.3325309000, 0.4157631000, 0.6418768000, 1.3506855000", \ - "0.3292962000, 0.3361681000, 0.3540822000, 0.3940359000, 0.4812716000, 0.7094981000, 1.4178754000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.0312560000, 0.0338341000, 0.0407997000, 0.0572744000, 0.1003391000, 0.2299890000, 0.6656039000", \ - "0.0311327000, 0.0336976000, 0.0411793000, 0.0567406000, 0.1001514000, 0.2294996000, 0.6677875000", \ - "0.0312299000, 0.0340222000, 0.0408639000, 0.0570483000, 0.1004597000, 0.2299502000, 0.6671865000", \ - "0.0312294000, 0.0337930000, 0.0407461000, 0.0572572000, 0.1002958000, 0.2298046000, 0.6682758000", \ - "0.0312520000, 0.0338740000, 0.0411378000, 0.0575608000, 0.0998021000, 0.2294376000, 0.6677904000", \ - "0.0339923000, 0.0365755000, 0.0432951000, 0.0595861000, 0.1022825000, 0.2306543000, 0.6678573000", \ - "0.0437593000, 0.0469199000, 0.0548762000, 0.0716434000, 0.1150917000, 0.2409118000, 0.6685779000"); - } - related_pin : "A4"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.0313309000, 0.0355146000, 0.0470147000, 0.0781027000, 0.1704333000, 0.4792434000, 1.4991051000", \ - "0.0314525000, 0.0354559000, 0.0472843000, 0.0779579000, 0.1704301000, 0.4791403000, 1.5003612000", \ - "0.0315719000, 0.0356266000, 0.0472609000, 0.0779706000, 0.1704370000, 0.4789264000, 1.4975199000", \ - "0.0311329000, 0.0353449000, 0.0471723000, 0.0780804000, 0.1702056000, 0.4790275000, 1.5010134000", \ - "0.0317766000, 0.0358824000, 0.0474277000, 0.0785842000, 0.1708894000, 0.4793825000, 1.4997511000", \ - "0.0346567000, 0.0391544000, 0.0509248000, 0.0823933000, 0.1735508000, 0.4792481000, 1.4982235000", \ - "0.0428182000, 0.0472415000, 0.0599733000, 0.0917148000, 0.1800962000, 0.4822683000, 1.4981930000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.2072282000, 0.2116229000, 0.2229969000, 0.2480858000, 0.3003378000, 0.4191678000, 0.7535192000", \ - "0.2111326000, 0.2155620000, 0.2268983000, 0.2521068000, 0.3043012000, 0.4229365000, 0.7575783000", \ - "0.2214330000, 0.2258442000, 0.2372230000, 0.2620876000, 0.3139860000, 0.4330377000, 0.7671114000", \ - "0.2478567000, 0.2522755000, 0.2638543000, 0.2888894000, 0.3409798000, 0.4600459000, 0.7942103000", \ - "0.3142416000, 0.3186885000, 0.3299579000, 0.3549964000, 0.4071320000, 0.5261432000, 0.8605729000", \ - "0.4635771000, 0.4684100000, 0.4807453000, 0.5068427000, 0.5597615000, 0.6788016000, 1.0135619000", \ - "0.7089455000, 0.7152285000, 0.7309564000, 0.7640402000, 0.8240074000, 0.9478859000, 1.2849117000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.0570989000, 0.0609560000, 0.0717899000, 0.1002234000, 0.1730440000, 0.3932248000, 1.0984375000", \ - "0.0618867000, 0.0657577000, 0.0765858000, 0.1050187000, 0.1779049000, 0.3978622000, 1.1054031000", \ - "0.0730108000, 0.0768356000, 0.0875808000, 0.1159710000, 0.1890914000, 0.4087506000, 1.1164475000", \ - "0.0951134000, 0.0991041000, 0.1101411000, 0.1386601000, 0.2119098000, 0.4315735000, 1.1478953000", \ - "0.1247925000, 0.1297778000, 0.1428091000, 0.1738966000, 0.2486437000, 0.4693222000, 1.1772505000", \ - "0.1552133000, 0.1620376000, 0.1798279000, 0.2180873000, 0.2970146000, 0.5180440000, 1.2279460000", \ - "0.1659175000, 0.1750542000, 0.1994561000, 0.2523741000, 0.3432131000, 0.5656097000, 1.2708894000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.0313910000, 0.0340692000, 0.0403322000, 0.0574984000, 0.1002465000, 0.2292491000, 0.6677858000", \ - "0.0312936000, 0.0340093000, 0.0404162000, 0.0571154000, 0.1002455000, 0.2296427000, 0.6678712000", \ - "0.0315374000, 0.0337304000, 0.0404813000, 0.0573342000, 0.1004623000, 0.2296777000, 0.6678723000", \ - "0.0313809000, 0.0340219000, 0.0405700000, 0.0577597000, 0.1004392000, 0.2297419000, 0.6674458000", \ - "0.0312466000, 0.0336636000, 0.0411964000, 0.0574809000, 0.1002981000, 0.2295139000, 0.6651223000", \ - "0.0367009000, 0.0390709000, 0.0454577000, 0.0608918000, 0.1024936000, 0.2298953000, 0.6673047000", \ - "0.0539706000, 0.0576017000, 0.0645313000, 0.0808044000, 0.1185278000, 0.2392599000, 0.6693164000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.0187345000, 0.0222924000, 0.0334262000, 0.0646484000, 0.1576572000, 0.4716914000, 1.4957987000", \ - "0.0187035000, 0.0222944000, 0.0333752000, 0.0646505000, 0.1576898000, 0.4721440000, 1.4942688000", \ - "0.0187314000, 0.0223507000, 0.0334839000, 0.0646321000, 0.1577190000, 0.4701748000, 1.5001480000", \ - "0.0209076000, 0.0243064000, 0.0348916000, 0.0652364000, 0.1576108000, 0.4714336000, 1.4966234000", \ - "0.0281409000, 0.0315719000, 0.0418864000, 0.0704077000, 0.1595496000, 0.4720082000, 1.4969825000", \ - "0.0410716000, 0.0456649000, 0.0577893000, 0.0839021000, 0.1653925000, 0.4708894000, 1.4938556000", \ - "0.0615857000, 0.0679530000, 0.0844398000, 0.1138514000, 0.1817333000, 0.4741884000, 1.4864959000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a41oi_1") { - leakage_power () { - value : 0.0022593000; - when : "!A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0003614000; - when : "!A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0022593000; - when : "!A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0003679000; - when : "!A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0022593000; - when : "!A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0003678000; - when : "!A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0022593000; - when : "!A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0003867000; - when : "!A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0022593000; - when : "!A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0003689000; - when : "!A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0022593000; - when : "!A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0003886000; - when : "!A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0022593000; - when : "!A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0003882000; - when : "!A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0022593000; - when : "!A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0007368000; - when : "!A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0022593000; - when : "A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0003745000; - when : "A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0022593000; - when : "A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0003943000; - when : "A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0022593000; - when : "A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0003939000; - when : "A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0022593000; - when : "A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0007331000; - when : "A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0022593000; - when : "A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0003994000; - when : "A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0022593000; - when : "A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0007391000; - when : "A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0022593000; - when : "A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0007256000; - when : "A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0002356000; - when : "A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0022626000; - when : "A1&A2&A3&A4&!B1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__a41oi"; - cell_leakage_power : 0.0013598110; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0022370000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021860000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046728000, 0.0046699000, 0.0046631000, 0.0046606000, 0.0046549000, 0.0046416000, 0.0046112000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003510200, -0.003514200, -0.003523500, -0.003515300, -0.003496500, -0.003453200, -0.003353300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0022870000; - } - pin ("A2") { - capacitance : 0.0022940000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022200000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043042000, 0.0043062000, 0.0043109000, 0.0043250000, 0.0043574000, 0.0044322000, 0.0046045000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003983800, -0.003981800, -0.003977100, -0.003976600, -0.003975300, -0.003972300, -0.003965400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023690000; - } - pin ("A3") { - capacitance : 0.0023330000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022410000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039420000, 0.0039477000, 0.0039608000, 0.0039598000, 0.0039575000, 0.0039520000, 0.0039396000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003964400, -0.003962500, -0.003958100, -0.003957600, -0.003956500, -0.003954100, -0.003948500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024260000; - } - pin ("A4") { - capacitance : 0.0023820000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022600000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040334000, 0.0040330000, 0.0040320000, 0.0040290000, 0.0040219000, 0.0040057000, 0.0039684000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004015700, -0.004015300, -0.004014300, -0.004014600, -0.004015200, -0.004016500, -0.004019700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025040000; - } - pin ("B1") { - capacitance : 0.0023260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0054107000, 0.0053994000, 0.0053733000, 0.0053977000, 0.0054540000, 0.0055836000, 0.0058826000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001767000, -0.001768200, -0.001771100, -0.001770200, -0.001768000, -0.001763000, -0.001751500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024850000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A2&!B1) | (!A3&!B1) | (!A4&!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0060995000, 0.0050944000, 0.0028508000, -0.002247200, -0.013867700, -0.040077400, -0.099432000", \ - "0.0059815000, 0.0049906000, 0.0027370000, -0.002362600, -0.013941800, -0.040169900, -0.099470400", \ - "0.0058220000, 0.0048010000, 0.0025672000, -0.002502800, -0.014028800, -0.040258700, -0.099597600", \ - "0.0055912000, 0.0046033000, 0.0023779000, -0.002676300, -0.014198600, -0.040385400, -0.099659100", \ - "0.0054228000, 0.0044442000, 0.0022249000, -0.002853400, -0.014327400, -0.040498200, -0.099778300", \ - "0.0056049000, 0.0045696000, 0.0022675000, -0.002924700, -0.014407500, -0.040553200, -0.099779000", \ - "0.0068480000, 0.0058210000, 0.0033961000, -0.001915600, -0.013715000, -0.040184500, -0.099733600"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0097889000, 0.0108533000, 0.0132186000, 0.0183847000, 0.0299775000, 0.0562534000, 0.1146711000", \ - "0.0096215000, 0.0107231000, 0.0131071000, 0.0183243000, 0.0299773000, 0.0560355000, 0.1147731000", \ - "0.0093839000, 0.0104620000, 0.0128851000, 0.0181462000, 0.0300802000, 0.0560573000, 0.1147966000", \ - "0.0091590000, 0.0102179000, 0.0125911000, 0.0179178000, 0.0296385000, 0.0558701000, 0.1147392000", \ - "0.0089997000, 0.0100442000, 0.0123640000, 0.0175979000, 0.0294180000, 0.0560040000, 0.1145298000", \ - "0.0089782000, 0.0100015000, 0.0123138000, 0.0175533000, 0.0291667000, 0.0555194000, 0.1147334000", \ - "0.0090043000, 0.0099550000, 0.0122557000, 0.0174049000, 0.0292480000, 0.0554480000, 0.1144380000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0066582000, 0.0056663000, 0.0033903000, -0.001719600, -0.013300800, -0.039524200, -0.098891000", \ - "0.0065561000, 0.0055565000, 0.0033044000, -0.001820000, -0.013397300, -0.039605800, -0.098933500", \ - "0.0064084000, 0.0054095000, 0.0031639000, -0.001937200, -0.013512100, -0.039716400, -0.099058800", \ - "0.0062162000, 0.0052248000, 0.0029938000, -0.002066500, -0.013606600, -0.039812800, -0.099090100", \ - "0.0060087000, 0.0050356000, 0.0027697000, -0.002232700, -0.013725200, -0.039896700, -0.099155800", \ - "0.0061325000, 0.0051151000, 0.0027680000, -0.002348300, -0.013882300, -0.040015900, -0.099226400", \ - "0.0068323000, 0.0057881000, 0.0034740000, -0.001828400, -0.013562000, -0.039985800, -0.099241400"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0130060000, 0.0140372000, 0.0163445000, 0.0215075000, 0.0330634000, 0.0591273000, 0.1177617000", \ - "0.0128780000, 0.0139225000, 0.0162483000, 0.0214317000, 0.0330486000, 0.0591218000, 0.1177830000", \ - "0.0126264000, 0.0136803000, 0.0160409000, 0.0212876000, 0.0329658000, 0.0590813000, 0.1177699000", \ - "0.0123689000, 0.0133952000, 0.0157567000, 0.0210234000, 0.0327452000, 0.0589115000, 0.1176948000", \ - "0.0121787000, 0.0132019000, 0.0155028000, 0.0207258000, 0.0324223000, 0.0586423000, 0.1174961000", \ - "0.0120205000, 0.0130555000, 0.0153659000, 0.0205563000, 0.0322630000, 0.0584109000, 0.1171295000", \ - "0.0116730000, 0.0127064000, 0.0149476000, 0.0203037000, 0.0320814000, 0.0583172000, 0.1170165000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0066477000, 0.0056573000, 0.0033784000, -0.001735100, -0.013324700, -0.039534400, -0.098855300", \ - "0.0065550000, 0.0055661000, 0.0032919000, -0.001826500, -0.013413500, -0.039637800, -0.098963500", \ - "0.0064111000, 0.0054053000, 0.0031635000, -0.001939900, -0.013497000, -0.039709000, -0.099034000", \ - "0.0062046000, 0.0052148000, 0.0029694000, -0.002088600, -0.013614900, -0.039808600, -0.099147300", \ - "0.0060389000, 0.0050775000, 0.0028576000, -0.002201200, -0.013746900, -0.039914800, -0.099176100", \ - "0.0060696000, 0.0050579000, 0.0027897000, -0.002452000, -0.013868600, -0.040002500, -0.099254600", \ - "0.0065973000, 0.0055434000, 0.0032386000, -0.001956100, -0.013661900, -0.040071400, -0.099294700"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0159427000, 0.0169552000, 0.0192771000, 0.0244351000, 0.0360545000, 0.0621035000, 0.1208094000", \ - "0.0158148000, 0.0168428000, 0.0191628000, 0.0243440000, 0.0359516000, 0.0620276000, 0.1207497000", \ - "0.0155936000, 0.0166425000, 0.0189697000, 0.0242000000, 0.0358433000, 0.0619530000, 0.1206935000", \ - "0.0153660000, 0.0164094000, 0.0187365000, 0.0239787000, 0.0356862000, 0.0618592000, 0.1207233000", \ - "0.0152092000, 0.0162389000, 0.0185630000, 0.0238036000, 0.0354858000, 0.0616576000, 0.1205076000", \ - "0.0151543000, 0.0161898000, 0.0185656000, 0.0237291000, 0.0354192000, 0.0614645000, 0.1203928000", \ - "0.0150161000, 0.0159977000, 0.0182418000, 0.0236940000, 0.0353799000, 0.0617054000, 0.1203812000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0067196000, 0.0057109000, 0.0034517000, -0.001673700, -0.013242800, -0.039470200, -0.098780000", \ - "0.0066319000, 0.0056215000, 0.0033655000, -0.001762500, -0.013317900, -0.039534400, -0.098885100", \ - "0.0064604000, 0.0054886000, 0.0032238000, -0.001877700, -0.013426800, -0.039628400, -0.098983200", \ - "0.0062252000, 0.0052415000, 0.0030167000, -0.002031100, -0.013548600, -0.039750100, -0.099057600", \ - "0.0060564000, 0.0050893000, 0.0028730000, -0.002172400, -0.013727200, -0.039846900, -0.099101300", \ - "0.0061063000, 0.0050869000, 0.0028093000, -0.002408200, -0.013874600, -0.039980200, -0.099187600", \ - "0.0065374000, 0.0055045000, 0.0031874000, -0.001991500, -0.013610400, -0.040040500, -0.099218500"); - } - related_pin : "A4"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0182751000, 0.0192874000, 0.0216259000, 0.0268309000, 0.0384327000, 0.0645827000, 0.1233502000", \ - "0.0181569000, 0.0191962000, 0.0215488000, 0.0267275000, 0.0383902000, 0.0644968000, 0.1232192000", \ - "0.0180281000, 0.0190537000, 0.0213732000, 0.0265996000, 0.0382667000, 0.0645210000, 0.1232006000", \ - "0.0178823000, 0.0189058000, 0.0212355000, 0.0264782000, 0.0381546000, 0.0643540000, 0.1231505000", \ - "0.0177383000, 0.0187687000, 0.0211023000, 0.0263210000, 0.0380181000, 0.0642319000, 0.1229658000", \ - "0.0177137000, 0.0187549000, 0.0211055000, 0.0262818000, 0.0380580000, 0.0642663000, 0.1230137000", \ - "0.0176435000, 0.0186195000, 0.0208875000, 0.0263131000, 0.0380876000, 0.0642690000, 0.1231301000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0001685000, -0.000789000, -0.003001800, -0.008106800, -0.019741700, -0.046066000, -0.105508200", \ - "4.360000e-05, -0.000894400, -0.003068200, -0.008116800, -0.019702900, -0.046000500, -0.105425100", \ - "-0.000133500, -0.001031500, -0.003175400, -0.008198000, -0.019708800, -0.045953700, -0.105349300", \ - "-0.000381100, -0.001293600, -0.003413200, -0.008379700, -0.019830300, -0.045996100, -0.105343900", \ - "-0.000196100, -0.001164600, -0.003487900, -0.008615700, -0.020060800, -0.046120800, -0.105415500", \ - "0.0002234000, -0.000756100, -0.003074200, -0.008452700, -0.020061700, -0.046308300, -0.105384100", \ - "0.0018937000, 0.0007502000, -0.001765900, -0.007248400, -0.019276000, -0.045487100, -0.105106400"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011280280, 0.0025448950, 0.0057414260, 0.0129529800, 0.0292226500, 0.0659279500"); - values("0.0139250000, 0.0149751000, 0.0173405000, 0.0226485000, 0.0344397000, 0.0606564000, 0.1194996000", \ - "0.0137809000, 0.0148128000, 0.0172167000, 0.0225001000, 0.0342671000, 0.0605538000, 0.1192591000", \ - "0.0135613000, 0.0146282000, 0.0169929000, 0.0222473000, 0.0341412000, 0.0604311000, 0.1193094000", \ - "0.0133699000, 0.0145121000, 0.0167801000, 0.0220217000, 0.0338066000, 0.0601767000, 0.1191596000", \ - "0.0133452000, 0.0143517000, 0.0166599000, 0.0218551000, 0.0335893000, 0.0599158000, 0.1188496000", \ - "0.0136380000, 0.0146394000, 0.0169034000, 0.0220693000, 0.0336303000, 0.0597378000, 0.1185179000", \ - "0.0154798000, 0.0163220000, 0.0183705000, 0.0231902000, 0.0346141000, 0.0601464000, 0.1189402000"); - } - } - max_capacitance : 0.0659280000; - max_transition : 1.4804940000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0549117000, 0.0611283000, 0.0745896000, 0.1037092000, 0.1671110000, 0.3062582000, 0.6176848000", \ - "0.0583303000, 0.0644877000, 0.0782859000, 0.1075659000, 0.1704357000, 0.3098381000, 0.6217307000", \ - "0.0668982000, 0.0728736000, 0.0865879000, 0.1159094000, 0.1791167000, 0.3186731000, 0.6300858000", \ - "0.0885946000, 0.0951778000, 0.1082019000, 0.1370807000, 0.2007458000, 0.3405505000, 0.6520189000", \ - "0.1224387000, 0.1313887000, 0.1497953000, 0.1854619000, 0.2510298000, 0.3902353000, 0.7016868000", \ - "0.1580974000, 0.1713852000, 0.1982914000, 0.2508778000, 0.3457133000, 0.5043940000, 0.8161129000", \ - "0.1756700000, 0.1950606000, 0.2357616000, 0.3140271000, 0.4556041000, 0.6940489000, 1.0844970000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0950847000, 0.1050714000, 0.1270144000, 0.1756578000, 0.2833077000, 0.5276660000, 1.0723972000", \ - "0.0996092000, 0.1097354000, 0.1319580000, 0.1808193000, 0.2892780000, 0.5332060000, 1.0785431000", \ - "0.1117353000, 0.1219109000, 0.1441368000, 0.1935224000, 0.3043135000, 0.5457609000, 1.0921930000", \ - "0.1405073000, 0.1508378000, 0.1729552000, 0.2224408000, 0.3319336000, 0.5761985000, 1.1230328000", \ - "0.1977980000, 0.2093363000, 0.2335237000, 0.2834357000, 0.3924995000, 0.6381486000, 1.1850666000", \ - "0.2894799000, 0.3061516000, 0.3390299000, 0.4053506000, 0.5279498000, 0.7743912000, 1.3219186000", \ - "0.4289800000, 0.4554137000, 0.5088805000, 0.6075882000, 0.7811197000, 1.0824663000, 1.6398813000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0597870000, 0.0665832000, 0.0827981000, 0.1191467000, 0.2013245000, 0.3864311000, 0.8050489000", \ - "0.0594571000, 0.0665670000, 0.0826475000, 0.1192947000, 0.2010708000, 0.3868366000, 0.8050950000", \ - "0.0576433000, 0.0649640000, 0.0820963000, 0.1190083000, 0.2010924000, 0.3865435000, 0.8048111000", \ - "0.0633084000, 0.0694423000, 0.0844565000, 0.1187175000, 0.2007207000, 0.3864416000, 0.8042066000", \ - "0.0864356000, 0.0944976000, 0.1104226000, 0.1429519000, 0.2117680000, 0.3873843000, 0.8048436000", \ - "0.1321641000, 0.1426144000, 0.1643392000, 0.2055717000, 0.2841083000, 0.4326317000, 0.8106377000", \ - "0.2123989000, 0.2279524000, 0.2621500000, 0.3217611000, 0.4248329000, 0.6065353000, 0.9366534000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0650225000, 0.0778597000, 0.1070023000, 0.1722639000, 0.3191721000, 0.6532106000, 1.3953211000", \ - "0.0648011000, 0.0778787000, 0.1070476000, 0.1720072000, 0.3192732000, 0.6498659000, 1.3978464000", \ - "0.0650932000, 0.0779414000, 0.1070534000, 0.1724965000, 0.3209716000, 0.6525675000, 1.3970263000", \ - "0.0657266000, 0.0783154000, 0.1070906000, 0.1723184000, 0.3188553000, 0.6497018000, 1.3991419000", \ - "0.0795151000, 0.0906604000, 0.1156901000, 0.1763028000, 0.3200941000, 0.6553250000, 1.3976250000", \ - "0.1183483000, 0.1311897000, 0.1585744000, 0.2181772000, 0.3440099000, 0.6553990000, 1.4018826000", \ - "0.2026081000, 0.2193289000, 0.2543430000, 0.3219018000, 0.4579463000, 0.7360973000, 1.4190464000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0661880000, 0.0725304000, 0.0859071000, 0.1148953000, 0.1780707000, 0.3176423000, 0.6289337000", \ - "0.0698323000, 0.0761449000, 0.0896106000, 0.1186410000, 0.1819114000, 0.3213188000, 0.6328274000", \ - "0.0781979000, 0.0843980000, 0.0980991000, 0.1272537000, 0.1905396000, 0.3301218000, 0.6413005000", \ - "0.0973868000, 0.1039208000, 0.1176110000, 0.1471122000, 0.2105520000, 0.3502391000, 0.6623849000", \ - "0.1301083000, 0.1387197000, 0.1549000000, 0.1898550000, 0.2567581000, 0.3968322000, 0.7092024000", \ - "0.1668714000, 0.1790399000, 0.2047214000, 0.2529245000, 0.3420385000, 0.5010511000, 0.8176189000", \ - "0.1841726000, 0.2027701000, 0.2400810000, 0.3152944000, 0.4494088000, 0.6747096000, 1.0526566000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1203683000, 0.1303969000, 0.1526614000, 0.2020873000, 0.3126920000, 0.5610269000, 1.1202670000", \ - "0.1253203000, 0.1356281000, 0.1580995000, 0.2079888000, 0.3188955000, 0.5673047000, 1.1269630000", \ - "0.1378279000, 0.1481648000, 0.1708692000, 0.2209240000, 0.3324047000, 0.5812792000, 1.1410473000", \ - "0.1679412000, 0.1779355000, 0.2005043000, 0.2509297000, 0.3627885000, 0.6124114000, 1.1728308000", \ - "0.2317737000, 0.2426665000, 0.2651954000, 0.3151104000, 0.4267573000, 0.6768173000, 1.2378174000", \ - "0.3435208000, 0.3586471000, 0.3892008000, 0.4506182000, 0.5714393000, 0.8218215000, 1.3858987000", \ - "0.5278284000, 0.5509861000, 0.5970541000, 0.6880642000, 0.8528505000, 1.1505490000, 1.7181232000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0590540000, 0.0661301000, 0.0824082000, 0.1188907000, 0.2010647000, 0.3864173000, 0.8051277000", \ - "0.0590553000, 0.0661422000, 0.0824817000, 0.1189633000, 0.2011495000, 0.3862877000, 0.8048742000", \ - "0.0586115000, 0.0657956000, 0.0823586000, 0.1188975000, 0.2009692000, 0.3863600000, 0.8048777000", \ - "0.0622837000, 0.0689091000, 0.0842548000, 0.1193932000, 0.2009667000, 0.3863674000, 0.8044954000", \ - "0.0813591000, 0.0886697000, 0.1031423000, 0.1359749000, 0.2089573000, 0.3870013000, 0.8046385000", \ - "0.1238984000, 0.1328963000, 0.1518609000, 0.1899548000, 0.2618877000, 0.4172830000, 0.8097448000", \ - "0.2023426000, 0.2152732000, 0.2412243000, 0.2928275000, 0.3854082000, 0.5566152000, 0.8983139000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0840423000, 0.0974252000, 0.1272488000, 0.1942215000, 0.3445093000, 0.6828572000, 1.4445297000", \ - "0.0841001000, 0.0974203000, 0.1271575000, 0.1939959000, 0.3458455000, 0.6830074000, 1.4449925000", \ - "0.0840041000, 0.0974484000, 0.1271708000, 0.1940001000, 0.3450257000, 0.6827578000, 1.4445621000", \ - "0.0843751000, 0.0975208000, 0.1272066000, 0.1940674000, 0.3445627000, 0.6829410000, 1.4486291000", \ - "0.0923618000, 0.1045650000, 0.1322612000, 0.1960513000, 0.3449580000, 0.6835722000, 1.4475799000", \ - "0.1316821000, 0.1445306000, 0.1722411000, 0.2308446000, 0.3626725000, 0.6862288000, 1.4518501000", \ - "0.2180545000, 0.2340596000, 0.2688328000, 0.3359566000, 0.4709770000, 0.7571869000, 1.4596921000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0733881000, 0.0797332000, 0.0931504000, 0.1222624000, 0.1855084000, 0.3247112000, 0.6361006000", \ - "0.0772350000, 0.0835430000, 0.0970246000, 0.1261643000, 0.1893774000, 0.3288839000, 0.6399608000", \ - "0.0851703000, 0.0912895000, 0.1050150000, 0.1339447000, 0.1972372000, 0.3367184000, 0.6483317000", \ - "0.1014232000, 0.1079012000, 0.1215194000, 0.1507524000, 0.2141554000, 0.3537736000, 0.6653536000", \ - "0.1296749000, 0.1376047000, 0.1532232000, 0.1857666000, 0.2521046000, 0.3922863000, 0.7044873000", \ - "0.1641392000, 0.1745082000, 0.1974883000, 0.2402958000, 0.3237842000, 0.4782338000, 0.7937195000", \ - "0.1763742000, 0.1930432000, 0.2280772000, 0.2954142000, 0.4163632000, 0.6221060000, 0.9840891000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1393479000, 0.1494059000, 0.1720791000, 0.2223117000, 0.3342367000, 0.5849456000, 1.1481376000", \ - "0.1444674000, 0.1547146000, 0.1771945000, 0.2278406000, 0.3398886000, 0.5905317000, 1.1540379000", \ - "0.1571991000, 0.1670759000, 0.1902998000, 0.2411164000, 0.3533735000, 0.6042929000, 1.1686719000", \ - "0.1872261000, 0.1975738000, 0.2206298000, 0.2713043000, 0.3844519000, 0.6357623000, 1.1993050000", \ - "0.2527991000, 0.2628938000, 0.2857411000, 0.3363200000, 0.4490132000, 0.7008597000, 1.2654241000", \ - "0.3749440000, 0.3878420000, 0.4177084000, 0.4770005000, 0.5952235000, 0.8471956000, 1.4120837000", \ - "0.5789684000, 0.5983537000, 0.6427591000, 0.7285262000, 0.8853125000, 1.1797250000, 1.7486224000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0589515000, 0.0660782000, 0.0824121000, 0.1188576000, 0.2012262000, 0.3864916000, 0.8041814000", \ - "0.0590136000, 0.0660242000, 0.0823722000, 0.1189415000, 0.2010373000, 0.3865227000, 0.8048418000", \ - "0.0586188000, 0.0658472000, 0.0821813000, 0.1188931000, 0.2007332000, 0.3865335000, 0.8047203000", \ - "0.0612432000, 0.0680593000, 0.0837420000, 0.1193521000, 0.2009223000, 0.3868381000, 0.8050001000", \ - "0.0760667000, 0.0829332000, 0.0979497000, 0.1312891000, 0.2066883000, 0.3871321000, 0.8047069000", \ - "0.1144891000, 0.1220860000, 0.1397242000, 0.1738789000, 0.2491539000, 0.4112963000, 0.8097726000", \ - "0.1906008000, 0.2014170000, 0.2238167000, 0.2692944000, 0.3536003000, 0.5203817000, 0.8823239000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1003786000, 0.1136694000, 0.1438262000, 0.2111415000, 0.3628488000, 0.7042045000, 1.4692291000", \ - "0.1002674000, 0.1137309000, 0.1438134000, 0.2113418000, 0.3626137000, 0.7030190000, 1.4682839000", \ - "0.1003741000, 0.1136484000, 0.1437878000, 0.2114947000, 0.3626147000, 0.7029373000, 1.4757832000", \ - "0.1003344000, 0.1136496000, 0.1437944000, 0.2112863000, 0.3625499000, 0.7031689000, 1.4704521000", \ - "0.1055278000, 0.1183135000, 0.1469751000, 0.2118811000, 0.3630692000, 0.7025248000, 1.4706519000", \ - "0.1428724000, 0.1557340000, 0.1839144000, 0.2431242000, 0.3778052000, 0.7051378000, 1.4712130000", \ - "0.2300160000, 0.2456103000, 0.2787824000, 0.3463421000, 0.4813538000, 0.7716852000, 1.4804943000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0780667000, 0.0841888000, 0.0978169000, 0.1267545000, 0.1899541000, 0.3295287000, 0.6407870000", \ - "0.0820585000, 0.0881581000, 0.1018266000, 0.1307819000, 0.1939916000, 0.3334978000, 0.6447570000", \ - "0.0895535000, 0.0959315000, 0.1095173000, 0.1387356000, 0.2019565000, 0.3415210000, 0.6527706000", \ - "0.1043350000, 0.1106526000, 0.1242621000, 0.1536514000, 0.2170310000, 0.3569446000, 0.6683814000", \ - "0.1278906000, 0.1353515000, 0.1506179000, 0.1824190000, 0.2481161000, 0.3880830000, 0.7003985000", \ - "0.1592041000, 0.1678954000, 0.1876036000, 0.2272960000, 0.3044655000, 0.4563918000, 0.7708512000", \ - "0.1697516000, 0.1839793000, 0.2141679000, 0.2731766000, 0.3812078000, 0.5698604000, 0.9238159000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1465076000, 0.1562719000, 0.1779090000, 0.2263824000, 0.3326070000, 0.5690062000, 1.0993726000", \ - "0.1516609000, 0.1612977000, 0.1837142000, 0.2315392000, 0.3380632000, 0.5748153000, 1.1046378000", \ - "0.1647499000, 0.1751493000, 0.1969079000, 0.2453091000, 0.3519768000, 0.5883400000, 1.1186790000", \ - "0.1956606000, 0.2060982000, 0.2278065000, 0.2761396000, 0.3830322000, 0.6201328000, 1.1504748000", \ - "0.2625363000, 0.2726457000, 0.2946607000, 0.3428568000, 0.4498561000, 0.6868243000, 1.2174514000", \ - "0.3913351000, 0.4035785000, 0.4306704000, 0.4867923000, 0.5983677000, 0.8353719000, 1.3665128000", \ - "0.6072396000, 0.6256473000, 0.6663196000, 0.7447131000, 0.8958767000, 1.1724153000, 1.7095803000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0589177000, 0.0662417000, 0.0825178000, 0.1189528000, 0.2010232000, 0.3862410000, 0.8040770000", \ - "0.0588855000, 0.0662156000, 0.0825104000, 0.1189461000, 0.2009721000, 0.3861135000, 0.8044141000", \ - "0.0588700000, 0.0659295000, 0.0822513000, 0.1189302000, 0.2011141000, 0.3860557000, 0.8046756000", \ - "0.0603290000, 0.0672641000, 0.0831596000, 0.1191265000, 0.2006640000, 0.3860458000, 0.8051568000", \ - "0.0706916000, 0.0777173000, 0.0935111000, 0.1279546000, 0.2054432000, 0.3870703000, 0.8040535000", \ - "0.1011043000, 0.1079343000, 0.1246320000, 0.1599044000, 0.2365985000, 0.4058505000, 0.8090601000", \ - "0.1703427000, 0.1794406000, 0.1995755000, 0.2396916000, 0.3230702000, 0.4916815000, 0.8678150000"); - } - related_pin : "A4"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1081894000, 0.1210390000, 0.1493365000, 0.2128478000, 0.3552837000, 0.6746171000, 1.3943193000", \ - "0.1084085000, 0.1208945000, 0.1492439000, 0.2128098000, 0.3547655000, 0.6743933000, 1.3941860000", \ - "0.1083279000, 0.1208308000, 0.1492596000, 0.2129629000, 0.3557207000, 0.6749210000, 1.3927522000", \ - "0.1082580000, 0.1209100000, 0.1492404000, 0.2127338000, 0.3549668000, 0.6751215000, 1.3942273000", \ - "0.1122330000, 0.1245829000, 0.1513119000, 0.2130271000, 0.3550582000, 0.6747899000, 1.3940943000", \ - "0.1493723000, 0.1612928000, 0.1873839000, 0.2424553000, 0.3701489000, 0.6762019000, 1.3933843000", \ - "0.2375659000, 0.2520708000, 0.2832906000, 0.3474776000, 0.4749190000, 0.7434292000, 1.4068995000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0179243000, 0.0201601000, 0.0250365000, 0.0355972000, 0.0593138000, 0.1126013000, 0.2326429000", \ - "0.0226047000, 0.0248595000, 0.0297983000, 0.0404985000, 0.0643217000, 0.1174725000, 0.2375616000", \ - "0.0315792000, 0.0344230000, 0.0405639000, 0.0518101000, 0.0755745000, 0.1287884000, 0.2489111000", \ - "0.0423246000, 0.0470506000, 0.0567083000, 0.0737568000, 0.1021468000, 0.1552940000, 0.2744399000", \ - "0.0512514000, 0.0588715000, 0.0745809000, 0.1015992000, 0.1460747000, 0.2157834000, 0.3361211000", \ - "0.0508727000, 0.0632671000, 0.0869262000, 0.1301059000, 0.2004047000, 0.3093363000, 0.4714276000", \ - "0.0180831000, 0.0370814000, 0.0747406000, 0.1411155000, 0.2509768000, 0.4217445000, 0.6781356000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1072137000, 0.1169902000, 0.1397082000, 0.1883199000, 0.2954942000, 0.5325089000, 1.0628706000", \ - "0.1108251000, 0.1208258000, 0.1432858000, 0.1923034000, 0.2995109000, 0.5367754000, 1.0674397000", \ - "0.1210082000, 0.1315568000, 0.1536783000, 0.2021208000, 0.3096821000, 0.5476313000, 1.0785978000", \ - "0.1475996000, 0.1575663000, 0.1791391000, 0.2280936000, 0.3353246000, 0.5736123000, 1.1053501000", \ - "0.2144625000, 0.2244666000, 0.2461935000, 0.2934284000, 0.3999203000, 0.6370904000, 1.1687211000", \ - "0.3327097000, 0.3476577000, 0.3782726000, 0.4400771000, 0.5531790000, 0.7868707000, 1.3167903000", \ - "0.5188726000, 0.5405788000, 0.5854994000, 0.6777531000, 0.8468435000, 1.1352197000, 1.6632783000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.0159435000, 0.0191264000, 0.0261915000, 0.0415933000, 0.0749120000, 0.1461465000, 0.3048059000", \ - "0.0164330000, 0.0193723000, 0.0261583000, 0.0416080000, 0.0749394000, 0.1461390000, 0.3047933000", \ - "0.0235352000, 0.0253203000, 0.0302984000, 0.0432643000, 0.0749568000, 0.1461635000, 0.3048681000", \ - "0.0418940000, 0.0439973000, 0.0486815000, 0.0586502000, 0.0832924000, 0.1472612000, 0.3050758000", \ - "0.0765849000, 0.0793394000, 0.0845785000, 0.0973089000, 0.1219998000, 0.1713193000, 0.3092391000", \ - "0.1335698000, 0.1371598000, 0.1461384000, 0.1635011000, 0.1983054000, 0.2603127000, 0.3758517000", \ - "0.2351591000, 0.2401783000, 0.2524807000, 0.2784296000, 0.3301401000, 0.4241383000, 0.5753784000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011280300, 0.0025449000, 0.0057414300, 0.0129530000, 0.0292227000, 0.0659279000"); - values("0.1082258000, 0.1209009000, 0.1492278000, 0.2124325000, 0.3549051000, 0.6749585000, 1.3932095000", \ - "0.1080750000, 0.1208131000, 0.1492105000, 0.2129485000, 0.3550461000, 0.6741958000, 1.3936790000", \ - "0.1079228000, 0.1208205000, 0.1493011000, 0.2127288000, 0.3551061000, 0.6744700000, 1.3947195000", \ - "0.1059422000, 0.1187270000, 0.1477407000, 0.2124527000, 0.3548638000, 0.6749032000, 1.3940301000", \ - "0.1228237000, 0.1333479000, 0.1583566000, 0.2158182000, 0.3541501000, 0.6745177000, 1.3930535000", \ - "0.1766572000, 0.1905569000, 0.2188487000, 0.2737529000, 0.3883923000, 0.6781091000, 1.3938852000", \ - "0.2716360000, 0.2927600000, 0.3341459000, 0.4104467000, 0.5457790000, 0.7944038000, 1.4216056000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a41oi_2") { - leakage_power () { - value : 0.0027870000; - when : "!A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0005851000; - when : "!A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0027870000; - when : "!A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0005945000; - when : "!A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0027870000; - when : "!A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0005952000; - when : "!A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0027870000; - when : "!A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0006239000; - when : "!A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0027870000; - when : "!A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0005976000; - when : "!A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0027870000; - when : "!A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0006255000; - when : "!A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0027870000; - when : "!A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0006284000; - when : "!A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0027870000; - when : "!A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0013101000; - when : "!A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0027870000; - when : "A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0006073000; - when : "A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0027870000; - when : "A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0006369000; - when : "A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0027870000; - when : "A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0006408000; - when : "A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0027870000; - when : "A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0011464000; - when : "A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0027870000; - when : "A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0006549000; - when : "A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0027870000; - when : "A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0011425000; - when : "A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0027870000; - when : "A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0011452000; - when : "A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0003672000; - when : "A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0036311000; - when : "A1&A2&A3&A4&!B1"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__a41oi"; - cell_leakage_power : 0.0017917810; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0042020000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041060000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0088944000, 0.0088913000, 0.0088842000, 0.0088892000, 0.0089007000, 0.0089272000, 0.0089883000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006186500, -0.006189400, -0.006196000, -0.006177400, -0.006134600, -0.006035900, -0.005808300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0042980000; - } - pin ("A2") { - capacitance : 0.0042180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0083915000, 0.0083859000, 0.0083729000, 0.0084054000, 0.0084804000, 0.0086531000, 0.0090513000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007747800, -0.007748400, -0.007749800, -0.007749400, -0.007748300, -0.007745900, -0.007740400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0043440000; - } - pin ("A3") { - capacitance : 0.0044070000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042500000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078703000, 0.0078685000, 0.0078644000, 0.0078661000, 0.0078700000, 0.0078791000, 0.0079000000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007866400, -0.007865300, -0.007862900, -0.007862100, -0.007860000, -0.007855400, -0.007844600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045630000; - } - pin ("A4") { - capacitance : 0.0044300000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042010000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078698000, 0.0078774000, 0.0078950000, 0.0078967000, 0.0079008000, 0.0079102000, 0.0079318000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007902200, -0.007895900, -0.007881300, -0.007881200, -0.007881000, -0.007880500, -0.007879300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046590000; - } - pin ("B1") { - capacitance : 0.0044770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042672000, 0.0042562000, 0.0042306000, 0.0042687000, 0.0043565000, 0.0045588000, 0.0050252000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003443700, -0.003440000, -0.003431500, -0.003430500, -0.003428200, -0.003423000, -0.003411100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0048210000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A2&!B1) | (!A3&!B1) | (!A4&!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0101480000, 0.0089211000, 0.0059303000, -0.001642300, -0.020770100, -0.068855000, -0.189867000", \ - "0.0099167000, 0.0087192000, 0.0057303000, -0.001803700, -0.020939600, -0.069005100, -0.190036600", \ - "0.0095501000, 0.0083641000, 0.0053357000, -0.002114600, -0.021141500, -0.069210600, -0.190219800", \ - "0.0090114000, 0.0078364000, 0.0049172000, -0.002552400, -0.021492700, -0.069468200, -0.190452200", \ - "0.0085945000, 0.0074299000, 0.0045532000, -0.002944300, -0.021823700, -0.069751300, -0.190544800", \ - "0.0088385000, 0.0078847000, 0.0045016000, -0.003137400, -0.022061300, -0.069833300, -0.190827600", \ - "0.0106820000, 0.0094348000, 0.0062665000, -0.001656000, -0.021300100, -0.069792300, -0.190896400"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0143894000, 0.0157355000, 0.0189862000, 0.0268481000, 0.0461742000, 0.0939977000, 0.2135740000", \ - "0.0140594000, 0.0154261000, 0.0187261000, 0.0266549000, 0.0459924000, 0.0945623000, 0.2140622000", \ - "0.0136796000, 0.0150279000, 0.0183077000, 0.0263068000, 0.0458198000, 0.0938986000, 0.2138231000", \ - "0.0132930000, 0.0145892000, 0.0178157000, 0.0258251000, 0.0453642000, 0.0936590000, 0.2141766000", \ - "0.0130137000, 0.0142788000, 0.0174169000, 0.0252357000, 0.0448171000, 0.0935288000, 0.2134107000", \ - "0.0129329000, 0.0141725000, 0.0172781000, 0.0251523000, 0.0443081000, 0.0927336000, 0.2128287000", \ - "0.0126145000, 0.0138734000, 0.0167680000, 0.0244398000, 0.0441593000, 0.0924525000, 0.2131976000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0117065000, 0.0104942000, 0.0074915000, -0.000112700, -0.019187300, -0.067361800, -0.188343800", \ - "0.0115075000, 0.0102980000, 0.0073001000, -0.000284400, -0.019368500, -0.067487700, -0.188495700", \ - "0.0111889000, 0.0100031000, 0.0070008000, -0.000534700, -0.019626300, -0.067680700, -0.188697600", \ - "0.0107615000, 0.0095804000, 0.0066251000, -0.000868400, -0.019884100, -0.067925500, -0.188843500", \ - "0.0104049000, 0.0092625000, 0.0063515000, -0.001160000, -0.020066800, -0.068010900, -0.188869800", \ - "0.0102963000, 0.0090987000, 0.0060617000, -0.001494300, -0.020479400, -0.068342700, -0.189188100", \ - "0.0114785000, 0.0102354000, 0.0071123000, -0.000647600, -0.020018700, -0.068389400, -0.189198500"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0217512000, 0.0229644000, 0.0260332000, 0.0337492000, 0.0529057000, 0.1006432000, 0.2202819000", \ - "0.0214616000, 0.0227166000, 0.0258293000, 0.0336135000, 0.0528225000, 0.1006189000, 0.2204439000", \ - "0.0209654000, 0.0222205000, 0.0254222000, 0.0333052000, 0.0527034000, 0.1006324000, 0.2204450000", \ - "0.0203944000, 0.0216369000, 0.0247915000, 0.0327344000, 0.0521924000, 0.1003595000, 0.2203554000", \ - "0.0199493000, 0.0211736000, 0.0243259000, 0.0321072000, 0.0515360000, 0.0998325000, 0.2198153000", \ - "0.0195892000, 0.0208163000, 0.0239657000, 0.0318142000, 0.0510215000, 0.0991858000, 0.2193010000", \ - "0.0189238000, 0.0200101000, 0.0230659000, 0.0306770000, 0.0505781000, 0.0986489000, 0.2189089000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0116754000, 0.0104607000, 0.0074596000, -0.000135900, -0.019241500, -0.067358600, -0.188350800", \ - "0.0114698000, 0.0102722000, 0.0072590000, -0.000337100, -0.019415000, -0.067524200, -0.188548500", \ - "0.0111432000, 0.0099455000, 0.0069516000, -0.000596800, -0.019683600, -0.067731100, -0.188751600", \ - "0.0107050000, 0.0095281000, 0.0065484000, -0.000939300, -0.019932900, -0.067929700, -0.188898300", \ - "0.0104117000, 0.0092392000, 0.0063036000, -0.001154800, -0.020131300, -0.067992400, -0.188907300", \ - "0.0103436000, 0.0090924000, 0.0059811000, -0.001647600, -0.020437000, -0.068373900, -0.189233500", \ - "0.0111375000, 0.0099121000, 0.0068537000, -0.000877200, -0.020190200, -0.068525500, -0.189215300"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0274648000, 0.0287208000, 0.0317681000, 0.0394653000, 0.0586852000, 0.1065272000, 0.2264162000", \ - "0.0272462000, 0.0285156000, 0.0315991000, 0.0393313000, 0.0585589000, 0.1064487000, 0.2262525000", \ - "0.0269135000, 0.0281926000, 0.0312851000, 0.0390718000, 0.0583559000, 0.1063026000, 0.2262308000", \ - "0.0264733000, 0.0276815000, 0.0308536000, 0.0387185000, 0.0581013000, 0.1061613000, 0.2260029000", \ - "0.0261354000, 0.0274026000, 0.0304890000, 0.0382919000, 0.0576788000, 0.1057997000, 0.2259756000", \ - "0.0260068000, 0.0272678000, 0.0303830000, 0.0382667000, 0.0575196000, 0.1056287000, 0.2257045000", \ - "0.0257589000, 0.0269281000, 0.0299735000, 0.0376967000, 0.0575028000, 0.1056200000, 0.2258243000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0117358000, 0.0105137000, 0.0074996000, -0.000108100, -0.019196400, -0.067329200, -0.188304400", \ - "0.0114874000, 0.0102850000, 0.0072772000, -0.000316700, -0.019397200, -0.067504400, -0.188508000", \ - "0.0111303000, 0.0099469000, 0.0069464000, -0.000588500, -0.019680600, -0.067723500, -0.188729200", \ - "0.0106701000, 0.0094878000, 0.0065230000, -0.000954000, -0.019939600, -0.067943500, -0.188869500", \ - "0.0103575000, 0.0091957000, 0.0062123000, -0.001250700, -0.020164600, -0.068137300, -0.188874600", \ - "0.0103225000, 0.0090947000, 0.0061543000, -0.001664800, -0.020567700, -0.068419200, -0.189215100", \ - "0.0112339000, 0.0099403000, 0.0069809000, -0.000858000, -0.020175300, -0.068401100, -0.189304100"); - } - related_pin : "A4"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0329412000, 0.0341082000, 0.0372242000, 0.0449703000, 0.0643244000, 0.1123249000, 0.2322275000", \ - "0.0326450000, 0.0338727000, 0.0370473000, 0.0447582000, 0.0640435000, 0.1121311000, 0.2323111000", \ - "0.0323683000, 0.0336322000, 0.0366890000, 0.0444775000, 0.0638679000, 0.1119376000, 0.2320382000", \ - "0.0320786000, 0.0333056000, 0.0364093000, 0.0442269000, 0.0635991000, 0.1118043000, 0.2318183000", \ - "0.0317626000, 0.0329812000, 0.0361263000, 0.0439362000, 0.0633551000, 0.1115394000, 0.2315546000", \ - "0.0317174000, 0.0329428000, 0.0360952000, 0.0439454000, 0.0633142000, 0.1115739000, 0.2317475000", \ - "0.0315557000, 0.0327098000, 0.0357199000, 0.0437432000, 0.0633671000, 0.1117197000, 0.2316400000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("-0.000524000, -0.001578600, -0.004403900, -0.011864900, -0.031009100, -0.079301300, -0.200581800", \ - "-0.000888400, -0.001915700, -0.004651400, -0.011958100, -0.030960600, -0.079184200, -0.200413600", \ - "-0.001275400, -0.002339900, -0.005060600, -0.012223100, -0.031044200, -0.079122900, -0.200271900", \ - "-0.001600500, -0.002763300, -0.005519400, -0.012683600, -0.031274500, -0.079238100, -0.200257400", \ - "-0.001339800, -0.002506400, -0.005419100, -0.012830100, -0.031827700, -0.079408900, -0.200328900", \ - "-0.000540700, -0.001751100, -0.004831400, -0.012501200, -0.031471800, -0.079932800, -0.200649200", \ - "0.0025634000, 0.0011673000, -0.002276500, -0.010487900, -0.030227600, -0.078094900, -0.199946900"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0302170000, 0.0314953000, 0.0347917000, 0.0427029000, 0.0622507000, 0.1104035000, 0.2302769000", \ - "0.0299508000, 0.0312341000, 0.0344391000, 0.0424858000, 0.0620342000, 0.1103262000, 0.2304133000", \ - "0.0296678000, 0.0309069000, 0.0340408000, 0.0419585000, 0.0616452000, 0.1100918000, 0.2303484000", \ - "0.0294003000, 0.0306791000, 0.0338046000, 0.0415806000, 0.0611503000, 0.1096513000, 0.2299769000", \ - "0.0290479000, 0.0303307000, 0.0333790000, 0.0412662000, 0.0607235000, 0.1089912000, 0.2295195000", \ - "0.0295970000, 0.0308040000, 0.0338524000, 0.0415067000, 0.0607164000, 0.1088472000, 0.2287757000", \ - "0.0324542000, 0.0335503000, 0.0363407000, 0.0434788000, 0.0622640000, 0.1097547000, 0.2298483000"); - } - } - max_capacitance : 0.1245220000; - max_transition : 1.5020360000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0510090000, 0.0559514000, 0.0677888000, 0.0953389000, 0.1612171000, 0.3205100000, 0.7147376000", \ - "0.0543536000, 0.0593816000, 0.0711747000, 0.0988285000, 0.1646091000, 0.3235385000, 0.7183714000", \ - "0.0623021000, 0.0674055000, 0.0791813000, 0.1070803000, 0.1729747000, 0.3322423000, 0.7281568000", \ - "0.0844638000, 0.0894314000, 0.1008053000, 0.1280874000, 0.1942280000, 0.3538006000, 0.7486845000", \ - "0.1168988000, 0.1239398000, 0.1398945000, 0.1746166000, 0.2436449000, 0.4031397000, 0.7981106000", \ - "0.1488350000, 0.1585405000, 0.1825539000, 0.2340694000, 0.3311051000, 0.5179263000, 0.9111689000", \ - "0.1568194000, 0.1724663000, 0.2083901000, 0.2850006000, 0.4357243000, 0.7091996000, 1.1826671000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0797582000, 0.0866623000, 0.1030200000, 0.1423690000, 0.2385528000, 0.4782681000, 1.0725167000", \ - "0.0845235000, 0.0913743000, 0.1082514000, 0.1480068000, 0.2442489000, 0.4854970000, 1.0844625000", \ - "0.0974388000, 0.1042538000, 0.1208920000, 0.1611363000, 0.2581098000, 0.4973882000, 1.0932616000", \ - "0.1277928000, 0.1344985000, 0.1504953000, 0.1908123000, 0.2891207000, 0.5285034000, 1.1301902000", \ - "0.1858961000, 0.1941232000, 0.2133733000, 0.2554041000, 0.3531442000, 0.5965443000, 1.1916839000", \ - "0.2777873000, 0.2905176000, 0.3192754000, 0.3778590000, 0.4950047000, 0.7396977000, 1.3405217000", \ - "0.4182251000, 0.4412544000, 0.4873251000, 0.5824836000, 0.7546291000, 1.0656522000, 1.6777205000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0611677000, 0.0661176000, 0.0790542000, 0.1126064000, 0.1981041000, 0.4124916000, 0.9524052000", \ - "0.0605808000, 0.0658508000, 0.0791381000, 0.1125437000, 0.1979902000, 0.4124365000, 0.9528696000", \ - "0.0575202000, 0.0629894000, 0.0771785000, 0.1122273000, 0.1979934000, 0.4124679000, 0.9538098000", \ - "0.0620882000, 0.0669109000, 0.0798578000, 0.1119986000, 0.1972129000, 0.4127551000, 0.9525581000", \ - "0.0795932000, 0.0862579000, 0.1019802000, 0.1355849000, 0.2083735000, 0.4126789000, 0.9528861000", \ - "0.1218855000, 0.1309804000, 0.1506873000, 0.1918655000, 0.2784704000, 0.4563509000, 0.9542979000", \ - "0.1964863000, 0.2102222000, 0.2404794000, 0.3010360000, 0.4143862000, 0.6274430000, 1.0619126000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0451656000, 0.0534722000, 0.0740416000, 0.1266501000, 0.2580709000, 0.5849100000, 1.4027745000", \ - "0.0452782000, 0.0535448000, 0.0743311000, 0.1266125000, 0.2568898000, 0.5867311000, 1.4048686000", \ - "0.0453575000, 0.0536135000, 0.0743944000, 0.1265092000, 0.2567599000, 0.5836873000, 1.4005450000", \ - "0.0463023000, 0.0542961000, 0.0747783000, 0.1268491000, 0.2570787000, 0.5836197000, 1.4060856000", \ - "0.0610601000, 0.0686208000, 0.0865116000, 0.1327039000, 0.2580250000, 0.5872115000, 1.4027202000", \ - "0.0969699000, 0.1052115000, 0.1266448000, 0.1763450000, 0.2868811000, 0.5896345000, 1.4039250000", \ - "0.1756367000, 0.1861345000, 0.2146853000, 0.2753983000, 0.4002732000, 0.6750022000, 1.4171896000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0673936000, 0.0723863000, 0.0842129000, 0.1119190000, 0.1771440000, 0.3366683000, 0.7312324000", \ - "0.0711733000, 0.0761756000, 0.0879195000, 0.1154953000, 0.1809934000, 0.3406627000, 0.7347397000", \ - "0.0796289000, 0.0847442000, 0.0963545000, 0.1242552000, 0.1899433000, 0.3493208000, 0.7437496000", \ - "0.0991623000, 0.1044007000, 0.1163101000, 0.1442649000, 0.2102525000, 0.3699948000, 0.7643735000", \ - "0.1327940000, 0.1393929000, 0.1548564000, 0.1869845000, 0.2568844000, 0.4179850000, 0.8129630000", \ - "0.1719519000, 0.1819493000, 0.2038740000, 0.2501435000, 0.3441568000, 0.5250406000, 0.9241649000", \ - "0.1900090000, 0.2043827000, 0.2380731000, 0.3109916000, 0.4540553000, 0.7107123000, 1.1740554000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.1103541000, 0.1169602000, 0.1335290000, 0.1738111000, 0.2735344000, 0.5217057000, 1.1441260000", \ - "0.1152405000, 0.1219990000, 0.1385205000, 0.1796780000, 0.2796866000, 0.5279445000, 1.1490314000", \ - "0.1275356000, 0.1343422000, 0.1509605000, 0.1925665000, 0.2932176000, 0.5421831000, 1.1632844000", \ - "0.1570900000, 0.1638562000, 0.1808529000, 0.2223401000, 0.3237979000, 0.5737169000, 1.1960404000", \ - "0.2203847000, 0.2282428000, 0.2460096000, 0.2870820000, 0.3879357000, 0.6384113000, 1.2610175000", \ - "0.3296836000, 0.3398460000, 0.3643735000, 0.4176828000, 0.5315969000, 0.7835457000, 1.4079897000", \ - "0.5084033000, 0.5245132000, 0.5626476000, 0.6437168000, 0.8033688000, 1.1096158000, 1.7424515000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0595821000, 0.0648856000, 0.0782905000, 0.1124507000, 0.1978350000, 0.4128675000, 0.9527925000", \ - "0.0595138000, 0.0648638000, 0.0782243000, 0.1123675000, 0.1978763000, 0.4127455000, 0.9523099000", \ - "0.0591469000, 0.0644771000, 0.0781873000, 0.1122860000, 0.1978677000, 0.4129967000, 0.9525893000", \ - "0.0619941000, 0.0669412000, 0.0797754000, 0.1126425000, 0.1976416000, 0.4128717000, 0.9517273000", \ - "0.0789768000, 0.0842847000, 0.0980149000, 0.1286815000, 0.2049706000, 0.4128820000, 0.9525778000", \ - "0.1199756000, 0.1269610000, 0.1431295000, 0.1786350000, 0.2571634000, 0.4407212000, 0.9554098000", \ - "0.1955706000, 0.2050171000, 0.2290556000, 0.2797458000, 0.3793775000, 0.5793054000, 1.0301699000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0673268000, 0.0760148000, 0.0979558000, 0.1523896000, 0.2885161000, 0.6280658000, 1.4814858000", \ - "0.0673211000, 0.0760628000, 0.0979047000, 0.1524456000, 0.2885251000, 0.6287493000, 1.4779735000", \ - "0.0673878000, 0.0759998000, 0.0978399000, 0.1525385000, 0.2885750000, 0.6283532000, 1.4813027000", \ - "0.0674362000, 0.0762476000, 0.0980005000, 0.1523848000, 0.2896025000, 0.6286783000, 1.4798388000", \ - "0.0771613000, 0.0847460000, 0.1045264000, 0.1559440000, 0.2886864000, 0.6290815000, 1.4801470000", \ - "0.1121792000, 0.1211374000, 0.1424799000, 0.1937777000, 0.3113301000, 0.6322242000, 1.4814240000", \ - "0.1914539000, 0.2033494000, 0.2299102000, 0.2896647000, 0.4191508000, 0.7061520000, 1.4895083000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0756016000, 0.0806051000, 0.0922869000, 0.1198879000, 0.1855815000, 0.3448646000, 0.7392262000", \ - "0.0795586000, 0.0843599000, 0.0961014000, 0.1238350000, 0.1891692000, 0.3484486000, 0.7430187000", \ - "0.0867983000, 0.0918276000, 0.1034993000, 0.1314144000, 0.1970198000, 0.3562852000, 0.7509695000", \ - "0.1022772000, 0.1073943000, 0.1192978000, 0.1469742000, 0.2130346000, 0.3725505000, 0.7670543000", \ - "0.1283548000, 0.1339843000, 0.1483715000, 0.1789199000, 0.2479762000, 0.4081881000, 0.8031911000", \ - "0.1623890000, 0.1703563000, 0.1889022000, 0.2294518000, 0.3142394000, 0.4882555000, 0.8862574000", \ - "0.1755069000, 0.1875876000, 0.2167197000, 0.2783137000, 0.4010249000, 0.6265214000, 1.0744704000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.1234288000, 0.1299696000, 0.1459661000, 0.1859654000, 0.2838546000, 0.5255153000, 1.1276005000", \ - "0.1282278000, 0.1350654000, 0.1519494000, 0.1913683000, 0.2894231000, 0.5310253000, 1.1338326000", \ - "0.1417758000, 0.1484719000, 0.1647044000, 0.2052861000, 0.3034537000, 0.5455575000, 1.1486376000", \ - "0.1721300000, 0.1786890000, 0.1956459000, 0.2359388000, 0.3345107000, 0.5767912000, 1.1791782000", \ - "0.2370469000, 0.2440218000, 0.2605156000, 0.3007692000, 0.3990738000, 0.6417825000, 1.2449939000", \ - "0.3540368000, 0.3632129000, 0.3838008000, 0.4347848000, 0.5431129000, 0.7863033000, 1.3906898000", \ - "0.5503031000, 0.5641661000, 0.5958002000, 0.6678829000, 0.8181624000, 1.1099927000, 1.7228460000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0594972000, 0.0648372000, 0.0782228000, 0.1123342000, 0.1976963000, 0.4128366000, 0.9522650000", \ - "0.0594477000, 0.0649344000, 0.0785231000, 0.1124319000, 0.1976793000, 0.4128275000, 0.9524935000", \ - "0.0591781000, 0.0647627000, 0.0781144000, 0.1122365000, 0.1977739000, 0.4128630000, 0.9526712000", \ - "0.0615286000, 0.0666937000, 0.0798457000, 0.1127928000, 0.1976684000, 0.4129674000, 0.9514254000", \ - "0.0734987000, 0.0786605000, 0.0917294000, 0.1238002000, 0.2033882000, 0.4132989000, 0.9531648000", \ - "0.1072569000, 0.1132945000, 0.1271223000, 0.1600067000, 0.2398491000, 0.4334390000, 0.9552695000", \ - "0.1790817000, 0.1869918000, 0.2065415000, 0.2477937000, 0.3362419000, 0.5304796000, 1.0066136000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0822556000, 0.0908533000, 0.1121232000, 0.1651265000, 0.2978685000, 0.6277144000, 1.4557728000", \ - "0.0822960000, 0.0908435000, 0.1121556000, 0.1651402000, 0.2972596000, 0.6267157000, 1.4544616000", \ - "0.0822629000, 0.0908627000, 0.1121058000, 0.1650773000, 0.2971050000, 0.6277652000, 1.4571053000", \ - "0.0823638000, 0.0907936000, 0.1121537000, 0.1652070000, 0.2977749000, 0.6273250000, 1.4550638000", \ - "0.0888671000, 0.0967369000, 0.1168649000, 0.1670805000, 0.2973614000, 0.6270509000, 1.4534428000", \ - "0.1227612000, 0.1311316000, 0.1523080000, 0.2013203000, 0.3172649000, 0.6303434000, 1.4553446000", \ - "0.2012225000, 0.2121236000, 0.2379075000, 0.2953214000, 0.4210312000, 0.7019816000, 1.4648518000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0811474000, 0.0859561000, 0.0977561000, 0.1254876000, 0.1909853000, 0.3504858000, 0.7456506000", \ - "0.0852602000, 0.0900640000, 0.1018076000, 0.1295461000, 0.1949056000, 0.3541607000, 0.7501630000", \ - "0.0927122000, 0.0978237000, 0.1094076000, 0.1373408000, 0.2029567000, 0.3622310000, 0.7582194000", \ - "0.1070679000, 0.1120794000, 0.1239569000, 0.1518798000, 0.2178712000, 0.3774946000, 0.7726752000", \ - "0.1297061000, 0.1356715000, 0.1484372000, 0.1784430000, 0.2466178000, 0.4068091000, 0.8017286000", \ - "0.1597505000, 0.1666463000, 0.1839955000, 0.2188836000, 0.2971744000, 0.4686559000, 0.8663743000", \ - "0.1731349000, 0.1828820000, 0.2074735000, 0.2604064000, 0.3673839000, 0.5771969000, 1.0087706000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.1399643000, 0.1469404000, 0.1635840000, 0.2054337000, 0.3049321000, 0.5502632000, 1.1572361000", \ - "0.1450474000, 0.1518234000, 0.1691424000, 0.2101743000, 0.3104789000, 0.5549964000, 1.1617904000", \ - "0.1580402000, 0.1651069000, 0.1819024000, 0.2237685000, 0.3233483000, 0.5684657000, 1.1752304000", \ - "0.1868722000, 0.1945333000, 0.2114283000, 0.2532594000, 0.3535959000, 0.5983973000, 1.2055220000", \ - "0.2493161000, 0.2563235000, 0.2733919000, 0.3145305000, 0.4149033000, 0.6600918000, 1.2674229000", \ - "0.3618923000, 0.3706718000, 0.3914178000, 0.4408216000, 0.5501006000, 0.7953450000, 1.4034413000", \ - "0.5510297000, 0.5634020000, 0.5942582000, 0.6618421000, 0.8059967000, 1.1000473000, 1.7168668000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0596738000, 0.0648311000, 0.0782226000, 0.1122185000, 0.1977428000, 0.4126849000, 0.9532702000", \ - "0.0594500000, 0.0649364000, 0.0785258000, 0.1124178000, 0.1975623000, 0.4127859000, 0.9536310000", \ - "0.0593684000, 0.0646600000, 0.0782788000, 0.1122926000, 0.1977763000, 0.4128384000, 0.9532293000", \ - "0.0603644000, 0.0657822000, 0.0790202000, 0.1125182000, 0.1976328000, 0.4124634000, 0.9528462000", \ - "0.0688166000, 0.0741369000, 0.0875892000, 0.1200780000, 0.2016399000, 0.4128958000, 0.9528699000", \ - "0.0939391000, 0.0996300000, 0.1131820000, 0.1463886000, 0.2277549000, 0.4288024000, 0.9553349000", \ - "0.1589893000, 0.1657936000, 0.1820698000, 0.2193269000, 0.3022930000, 0.5034304000, 0.9977028000"); - } - related_pin : "A4"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.1012194000, 0.1096325000, 0.1314137000, 0.1850637000, 0.3182433000, 0.6517578000, 1.4844083000", \ - "0.1007984000, 0.1095440000, 0.1314031000, 0.1848481000, 0.3176297000, 0.6502049000, 1.4835939000", \ - "0.1013358000, 0.1097997000, 0.1315007000, 0.1851079000, 0.3182144000, 0.6505572000, 1.4826889000", \ - "0.1009246000, 0.1098182000, 0.1312906000, 0.1848338000, 0.3176284000, 0.6506602000, 1.4832527000", \ - "0.1061903000, 0.1141519000, 0.1346198000, 0.1864608000, 0.3178282000, 0.6497303000, 1.4835418000", \ - "0.1388466000, 0.1473579000, 0.1682871000, 0.2188068000, 0.3374197000, 0.6547015000, 1.4834424000", \ - "0.2165877000, 0.2269267000, 0.2520226000, 0.3091042000, 0.4359422000, 0.7254715000, 1.5001892000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0142755000, 0.0157430000, 0.0192786000, 0.0274721000, 0.0476565000, 0.0977992000, 0.2233662000", \ - "0.0189658000, 0.0204837000, 0.0240097000, 0.0323815000, 0.0525194000, 0.1027766000, 0.2283717000", \ - "0.0256701000, 0.0281658000, 0.0336574000, 0.0437787000, 0.0640741000, 0.1142016000, 0.2397942000", \ - "0.0329240000, 0.0370825000, 0.0454755000, 0.0615851000, 0.0893122000, 0.1409116000, 0.2657459000", \ - "0.0370583000, 0.0434443000, 0.0569531000, 0.0821557000, 0.1274778000, 0.1990432000, 0.3271603000", \ - "0.0287005000, 0.0386132000, 0.0600910000, 0.1008121000, 0.1709491000, 0.2855443000, 0.4642955000", \ - "-0.018456600, -0.001892600, 0.0309080000, 0.0950947000, 0.2074390000, 0.3858251000, 0.6675391000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.1044084000, 0.1115795000, 0.1293743000, 0.1715130000, 0.2722268000, 0.5172885000, 1.1256756000", \ - "0.1077521000, 0.1153174000, 0.1332883000, 0.1757347000, 0.2765751000, 0.5218224000, 1.1298676000", \ - "0.1189083000, 0.1256081000, 0.1431804000, 0.1858988000, 0.2865573000, 0.5327826000, 1.1409500000", \ - "0.1461190000, 0.1532188000, 0.1706199000, 0.2116066000, 0.3128676000, 0.5590222000, 1.1678288000", \ - "0.2146342000, 0.2202665000, 0.2386443000, 0.2791635000, 0.3785409000, 0.6244376000, 1.2333795000", \ - "0.3359939000, 0.3461956000, 0.3711039000, 0.4256509000, 0.5355684000, 0.7777782000, 1.3822987000", \ - "0.5278256000, 0.5433042000, 0.5794223000, 0.6607699000, 0.8264842000, 1.1348947000, 1.7390032000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0104839000, 0.0125683000, 0.0177305000, 0.0301488000, 0.0598867000, 0.1294482000, 0.2986630000", \ - "0.0116008000, 0.0133801000, 0.0180181000, 0.0301783000, 0.0599056000, 0.1294685000, 0.2986485000", \ - "0.0202830000, 0.0214158000, 0.0242950000, 0.0334780000, 0.0604680000, 0.1294581000, 0.2986883000", \ - "0.0384113000, 0.0393918000, 0.0425982000, 0.0510406000, 0.0718449000, 0.1314197000, 0.2983749000", \ - "0.0737364000, 0.0748555000, 0.0782976000, 0.0887370000, 0.1109496000, 0.1596695000, 0.3031821000", \ - "0.1320593000, 0.1337124000, 0.1383683000, 0.1520991000, 0.1845584000, 0.2463810000, 0.3674934000", \ - "0.2353232000, 0.2372134000, 0.2439554000, 0.2634874000, 0.3098142000, 0.4040557000, 0.5679797000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.1010583000, 0.1097546000, 0.1315286000, 0.1846109000, 0.3178852000, 0.6497986000, 1.4844119000", \ - "0.1011762000, 0.1098307000, 0.1315598000, 0.1848319000, 0.3186966000, 0.6497967000, 1.4853774000", \ - "0.1011525000, 0.1098379000, 0.1314247000, 0.1844921000, 0.3183918000, 0.6506573000, 1.4860847000", \ - "0.0989626000, 0.1076958000, 0.1296752000, 0.1843989000, 0.3179837000, 0.6506009000, 1.4829278000", \ - "0.1151049000, 0.1227066000, 0.1408606000, 0.1899307000, 0.3174659000, 0.6498593000, 1.4816044000", \ - "0.1654776000, 0.1758350000, 0.1996152000, 0.2499295000, 0.3556899000, 0.6553455000, 1.4832343000", \ - "0.2539643000, 0.2694377000, 0.3037927000, 0.3748667000, 0.5114722000, 0.7735684000, 1.5020355000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__a41oi_4") { - leakage_power () { - value : 0.0035623000; - when : "A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0017470000; - when : "A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0035623000; - when : "A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0029806000; - when : "A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0035623000; - when : "A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0030025000; - when : "A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0004870000; - when : "A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0048485000; - when : "A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0035618000; - when : "!A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0015894000; - when : "!A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0035616000; - when : "!A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0016137000; - when : "!A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0035623000; - when : "!A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0016153000; - when : "!A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0035623000; - when : "!A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0016893000; - when : "!A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0035623000; - when : "!A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0016184000; - when : "!A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0035623000; - when : "!A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0016927000; - when : "!A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0035623000; - when : "!A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0016996000; - when : "!A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0035623000; - when : "!A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0034253000; - when : "!A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0035615000; - when : "A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0016390000; - when : "A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0035623000; - when : "A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0017099000; - when : "A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0035623000; - when : "A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0017191000; - when : "A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0035623000; - when : "A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0030054000; - when : "A1&!A2&A3&A4&!B1"; - } - area : 27.526400000; - cell_footprint : "sky130_fd_sc_hd__a41oi"; - cell_leakage_power : 0.0027973540; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0083200000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081270000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0181588000, 0.0181538000, 0.0181422000, 0.0181360000, 0.0181217000, 0.0180888000, 0.0180128000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013116700, -0.013142000, -0.013200400, -0.013166100, -0.013087100, -0.012905000, -0.012485200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0085120000; - } - pin ("A2") { - capacitance : 0.0083460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081260000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0172103000, 0.0172160000, 0.0172292000, 0.0172914000, 0.0174348000, 0.0177654000, 0.0185273000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015611900, -0.015610800, -0.015608100, -0.015606800, -0.015603900, -0.015597000, -0.015581300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0085660000; - } - pin ("A3") { - capacitance : 0.0082840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0079280000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0154083000, 0.0154089000, 0.0154105000, 0.0154108000, 0.0154115000, 0.0154131000, 0.0154169000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015386000, -0.015374200, -0.015347000, -0.015344300, -0.015338100, -0.015323900, -0.015291000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0086400000; - } - pin ("A4") { - capacitance : 0.0085280000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0080710000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0155199000, 0.0155295000, 0.0155519000, 0.0155562000, 0.0155661000, 0.0155889000, 0.0156415000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015535100, -0.015536100, -0.015538300, -0.015537900, -0.015536800, -0.015534500, -0.015529000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0089860000; - } - pin ("B1") { - capacitance : 0.0084790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0077840000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091441000, 0.0091140000, 0.0090446000, 0.0091221000, 0.0093007000, 0.0097122000, 0.0106609000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006956200, -0.006955100, -0.006952500, -0.006954500, -0.006958900, -0.006969200, -0.006992800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091750000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!B1) | (!A2&!B1) | (!A3&!B1) | (!A4&!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000"); - values("0.0210996000, 0.0197451000, 0.0161122000, 0.0062555000, -0.020592600, -0.093409000, -0.290829500", \ - "0.0207013000, 0.0193759000, 0.0156957000, 0.0058453000, -0.020904500, -0.093700600, -0.291148100", \ - "0.0200656000, 0.0187407000, 0.0150535000, 0.0052855000, -0.021348300, -0.094102300, -0.291485300", \ - "0.0190481000, 0.0178196000, 0.0141959000, 0.0044204000, -0.022129600, -0.094633500, -0.291847000", \ - "0.0184450000, 0.0171078000, 0.0135699000, 0.0039578000, -0.022542800, -0.094982500, -0.292459900", \ - "0.0189410000, 0.0175752000, 0.0136563000, 0.0037359000, -0.022894100, -0.095607800, -0.292689600", \ - "0.0220921000, 0.0206461000, 0.0166883000, 0.0065846000, -0.021018500, -0.094304000, -0.292966600"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000"); - values("0.0269287000, 0.0285283000, 0.0325341000, 0.0431330000, 0.0702773000, 0.1438896000, 0.3394828000", \ - "0.0262417000, 0.0278448000, 0.0319115000, 0.0425987000, 0.0702381000, 0.1431038000, 0.3389101000", \ - "0.0254292000, 0.0269665000, 0.0310770000, 0.0418832000, 0.0697554000, 0.1430219000, 0.3391501000", \ - "0.0246653000, 0.0261977000, 0.0301397000, 0.0407674000, 0.0686356000, 0.1433042000, 0.3387925000", \ - "0.0241405000, 0.0254732000, 0.0293263000, 0.0395481000, 0.0674588000, 0.1411128000, 0.3390321000", \ - "0.0240046000, 0.0253986000, 0.0291954000, 0.0394726000, 0.0666225000, 0.1401490000, 0.3383349000", \ - "0.0234588000, 0.0248138000, 0.0285130000, 0.0383690000, 0.0664813000, 0.1406958000, 0.3369995000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000"); - values("0.0236449000, 0.0222833000, 0.0186220000, 0.0086891000, -0.018145400, -0.090968300, -0.288470100", \ - "0.0232881000, 0.0218938000, 0.0182214000, 0.0082949000, -0.018551100, -0.091278600, -0.288728200", \ - "0.0226125000, 0.0212635000, 0.0176403000, 0.0078113000, -0.018997100, -0.091727600, -0.289147100", \ - "0.0218389000, 0.0204926000, 0.0168688000, 0.0070706000, -0.019598800, -0.092230300, -0.289499800", \ - "0.0211118000, 0.0197931000, 0.0163200000, 0.0065680000, -0.019961500, -0.092441300, -0.289505300", \ - "0.0210083000, 0.0196403000, 0.0160063000, 0.0060868000, -0.020806000, -0.093147500, -0.290115500", \ - "0.0231352000, 0.0217560000, 0.0180415000, 0.0077534000, -0.019542700, -0.093137700, -0.290251000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000"); - values("0.0409951000, 0.0424013000, 0.0461126000, 0.0562054000, 0.0832303000, 0.1559318000, 0.3511684000", \ - "0.0404576000, 0.0418184000, 0.0456881000, 0.0559045000, 0.0831857000, 0.1558992000, 0.3511670000", \ - "0.0394725000, 0.0409864000, 0.0447955000, 0.0551511000, 0.0826898000, 0.1555924000, 0.3513504000", \ - "0.0382833000, 0.0397609000, 0.0436157000, 0.0540247000, 0.0817327000, 0.1551692000, 0.3508013000", \ - "0.0373301000, 0.0387243000, 0.0425219000, 0.0527757000, 0.0802755000, 0.1537956000, 0.3503297000", \ - "0.0367771000, 0.0380884000, 0.0419490000, 0.0521681000, 0.0793226000, 0.1525423000, 0.3489013000", \ - "0.0351514000, 0.0364607000, 0.0400957000, 0.0500105000, 0.0783653000, 0.1512880000, 0.3479411000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000"); - values("0.0235919000, 0.0221920000, 0.0185118000, 0.0085964000, -0.018262400, -0.091018400, -0.288447000", \ - "0.0231376000, 0.0217772000, 0.0181280000, 0.0082282000, -0.018642400, -0.091466700, -0.288803800", \ - "0.0224918000, 0.0211305000, 0.0175160000, 0.0076799000, -0.019163500, -0.091902600, -0.289278600", \ - "0.0216476000, 0.0203217000, 0.0166981000, 0.0069049000, -0.019733600, -0.092318200, -0.289638500", \ - "0.0210241000, 0.0197092000, 0.0161359000, 0.0064054000, -0.020212400, -0.092636400, -0.289752900", \ - "0.0207013000, 0.0193382000, 0.0156451000, 0.0058859000, -0.020840200, -0.093100400, -0.290150000", \ - "0.0224255000, 0.0209554000, 0.0172381000, 0.0070864000, -0.020119100, -0.093566700, -0.290427000"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000"); - values("0.0529691000, 0.0543472000, 0.0582282000, 0.0681957000, 0.0953214000, 0.1681049000, 0.3641367000", \ - "0.0525497000, 0.0540296000, 0.0578370000, 0.0678713000, 0.0949789000, 0.1678380000, 0.3634923000", \ - "0.0517781000, 0.0532116000, 0.0570898000, 0.0672701000, 0.0946285000, 0.1674925000, 0.3633252000", \ - "0.0508815000, 0.0523792000, 0.0562559000, 0.0665113000, 0.0940441000, 0.1670235000, 0.3629655000", \ - "0.0501598000, 0.0515863000, 0.0553671000, 0.0656118000, 0.0930257000, 0.1664024000, 0.3628354000", \ - "0.0500363000, 0.0514826000, 0.0553783000, 0.0655112000, 0.0927738000, 0.1657205000, 0.3621062000", \ - "0.0491210000, 0.0505517000, 0.0543277000, 0.0650332000, 0.0925824000, 0.1658629000, 0.3619161000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000"); - values("0.0233025000, 0.0219401000, 0.0182752000, 0.0083830000, -0.018496500, -0.091323600, -0.288696500", \ - "0.0229090000, 0.0215502000, 0.0178260000, 0.0079341000, -0.018935400, -0.091695100, -0.289126700", \ - "0.0222518000, 0.0209159000, 0.0172631000, 0.0073930000, -0.019407600, -0.092145200, -0.289536300", \ - "0.0214678000, 0.0201401000, 0.0165040000, 0.0066815000, -0.019917800, -0.092600200, -0.289906000", \ - "0.0209405000, 0.0196193000, 0.0160138000, 0.0061968000, -0.020374300, -0.092815700, -0.289995300", \ - "0.0209269000, 0.0196783000, 0.0159774000, 0.0056902000, -0.020968100, -0.093338100, -0.290250800", \ - "0.0225386000, 0.0212092000, 0.0173924000, 0.0072984000, -0.020048900, -0.093578800, -0.290603700"); - } - related_pin : "A4"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000"); - values("0.0633504000, 0.0647317000, 0.0686156000, 0.0786465000, 0.1058574000, 0.1789756000, 0.3747835000", \ - "0.0630036000, 0.0643636000, 0.0680213000, 0.0782862000, 0.1055897000, 0.1787537000, 0.3750160000", \ - "0.0624420000, 0.0637591000, 0.0676258000, 0.0776838000, 0.1049724000, 0.1781006000, 0.3744684000", \ - "0.0618389000, 0.0632219000, 0.0669727000, 0.0772304000, 0.1046420000, 0.1777779000, 0.3738908000", \ - "0.0612624000, 0.0626409000, 0.0663749000, 0.0766417000, 0.1041629000, 0.1772347000, 0.3737618000", \ - "0.0613581000, 0.0624542000, 0.0664947000, 0.0766935000, 0.1039171000, 0.1772820000, 0.3738887000", \ - "0.0603609000, 0.0617041000, 0.0656434000, 0.0765368000, 0.1039453000, 0.1774838000, 0.3735353000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000"); - values("-0.000207900, -0.001374700, -0.004710100, -0.014278800, -0.041078600, -0.114212900, -0.312177000", \ - "-0.000836600, -0.001997800, -0.005175000, -0.014509800, -0.041022600, -0.113980700, -0.311828800", \ - "-0.001575100, -0.002669600, -0.005930100, -0.015096900, -0.041182100, -0.113834800, -0.311550700", \ - "-0.002049900, -0.003410800, -0.006787700, -0.015929000, -0.041811100, -0.113980600, -0.311470500", \ - "-0.001617100, -0.002969600, -0.006447500, -0.015933800, -0.042582500, -0.114575300, -0.311640200", \ - "-3.60000e-05, -0.001483200, -0.005357500, -0.015496400, -0.042378200, -0.115201600, -0.312062700", \ - "0.0053024000, 0.0036914000, -0.000470200, -0.011280700, -0.039653800, -0.112805300, -0.311210700"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013504540, 0.0036474540, 0.0098514400, 0.0266078400, 0.0718653400, 0.1941017000"); - values("0.0573521000, 0.0588112000, 0.0626932000, 0.0731982000, 0.1010249000, 0.1745929000, 0.3709590000", \ - "0.0567285000, 0.0581183000, 0.0619852000, 0.0726275000, 0.1003738000, 0.1740850000, 0.3704827000", \ - "0.0563596000, 0.0577053000, 0.0615370000, 0.0717868000, 0.0997729000, 0.1737676000, 0.3701033000", \ - "0.0556436000, 0.0570335000, 0.0608689000, 0.0712311000, 0.0988264000, 0.1728969000, 0.3695204000", \ - "0.0553328000, 0.0567300000, 0.0605486000, 0.0707100000, 0.0976659000, 0.1711605000, 0.3683524000", \ - "0.0572905000, 0.0586395000, 0.0624893000, 0.0725964000, 0.0990462000, 0.1715790000, 0.3672085000", \ - "0.0643458000, 0.0653273000, 0.0680435000, 0.0765530000, 0.1022747000, 0.1740364000, 0.3671860000"); - } - } - max_capacitance : 0.1941020000; - max_transition : 1.4951550000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.0530923000, 0.0562778000, 0.0645032000, 0.0856371000, 0.1385133000, 0.2736954000, 0.6332653000", \ - "0.0562041000, 0.0595061000, 0.0677353000, 0.0887991000, 0.1419823000, 0.2772830000, 0.6363858000", \ - "0.0640364000, 0.0672600000, 0.0755751000, 0.0970074000, 0.1500519000, 0.2854898000, 0.6446537000", \ - "0.0866097000, 0.0902618000, 0.0975717000, 0.1174750000, 0.1706140000, 0.3064547000, 0.6654097000", \ - "0.1183276000, 0.1227582000, 0.1339203000, 0.1605233000, 0.2191927000, 0.3549805000, 0.7153390000", \ - "0.1490663000, 0.1556216000, 0.1718002000, 0.2115635000, 0.2958952000, 0.4660740000, 0.8279867000", \ - "0.1521763000, 0.1617640000, 0.1858470000, 0.2446227000, 0.3744825000, 0.6270390000, 1.0916016000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.0873878000, 0.0922667000, 0.1046624000, 0.1363570000, 0.2172988000, 0.4354779000, 1.0187614000", \ - "0.0916230000, 0.0965637000, 0.1091658000, 0.1413442000, 0.2232999000, 0.4400429000, 1.0216830000", \ - "0.1039195000, 0.1086349000, 0.1212384000, 0.1536418000, 0.2366945000, 0.4543870000, 1.0360054000", \ - "0.1341466000, 0.1387784000, 0.1507497000, 0.1827149000, 0.2661782000, 0.4866261000, 1.0684653000", \ - "0.1922970000, 0.1977637000, 0.2117182000, 0.2458405000, 0.3285338000, 0.5474739000, 1.1353456000", \ - "0.2870074000, 0.2945028000, 0.3148425000, 0.3607123000, 0.4623463000, 0.6875482000, 1.2729705000", \ - "0.4345158000, 0.4470002000, 0.4796276000, 0.5531882000, 0.7031411000, 0.9930939000, 1.5972303000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.0662862000, 0.0691635000, 0.0780522000, 0.1019986000, 0.1690955000, 0.3515754000, 0.8478181000", \ - "0.0657016000, 0.0689337000, 0.0777405000, 0.1020538000, 0.1691642000, 0.3518935000, 0.8475759000", \ - "0.0620882000, 0.0653983000, 0.0750994000, 0.1013171000, 0.1689262000, 0.3516678000, 0.8471649000", \ - "0.0657510000, 0.0693549000, 0.0777707000, 0.1013113000, 0.1676023000, 0.3516940000, 0.8470279000", \ - "0.0819693000, 0.0863021000, 0.0975268000, 0.1257407000, 0.1835462000, 0.3528115000, 0.8469866000", \ - "0.1225321000, 0.1282674000, 0.1429248000, 0.1767299000, 0.2529283000, 0.4075427000, 0.8523430000", \ - "0.1952183000, 0.2037691000, 0.2258678000, 0.2758929000, 0.3749026000, 0.5734200000, 0.9807418000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.0481460000, 0.0536505000, 0.0686774000, 0.1097140000, 0.2196486000, 0.5183554000, 1.3143420000", \ - "0.0483109000, 0.0537893000, 0.0686916000, 0.1095831000, 0.2191027000, 0.5135174000, 1.3124914000", \ - "0.0485433000, 0.0540628000, 0.0690406000, 0.1096446000, 0.2193509000, 0.5144998000, 1.3112599000", \ - "0.0492946000, 0.0545516000, 0.0695034000, 0.1095291000, 0.2193039000, 0.5179702000, 1.3112497000", \ - "0.0629613000, 0.0683007000, 0.0808426000, 0.1167316000, 0.2203808000, 0.5141697000, 1.3118781000", \ - "0.0946753000, 0.1003876000, 0.1155132000, 0.1551599000, 0.2521263000, 0.5226122000, 1.3150281000", \ - "0.1695366000, 0.1765229000, 0.1957600000, 0.2434647000, 0.3544946000, 0.6115157000, 1.3284313000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.0696841000, 0.0728650000, 0.0813675000, 0.1021293000, 0.1549233000, 0.2902436000, 0.6495340000", \ - "0.0733279000, 0.0763782000, 0.0847749000, 0.1057495000, 0.1583277000, 0.2940018000, 0.6530181000", \ - "0.0813352000, 0.0845622000, 0.0927231000, 0.1137435000, 0.1667055000, 0.3023031000, 0.6614432000", \ - "0.0998989000, 0.1032758000, 0.1117130000, 0.1326944000, 0.1855720000, 0.3218028000, 0.6817236000", \ - "0.1320422000, 0.1360918000, 0.1464158000, 0.1717273000, 0.2296243000, 0.3670214000, 0.7276906000", \ - "0.1678065000, 0.1737955000, 0.1897859000, 0.2255365000, 0.3041209000, 0.4663397000, 0.8332832000", \ - "0.1793291000, 0.1889776000, 0.2119944000, 0.2660721000, 0.3869711000, 0.6221116000, 1.0635689000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.1205834000, 0.1249720000, 0.1367141000, 0.1685795000, 0.2518458000, 0.4749006000, 1.0755405000", \ - "0.1253600000, 0.1298108000, 0.1418033000, 0.1738656000, 0.2578876000, 0.4812384000, 1.0824014000", \ - "0.1371202000, 0.1417926000, 0.1538424000, 0.1865608000, 0.2713772000, 0.4955159000, 1.0961401000", \ - "0.1665334000, 0.1709576000, 0.1829943000, 0.2159124000, 0.3011156000, 0.5258623000, 1.1284420000", \ - "0.2286458000, 0.2337935000, 0.2466795000, 0.2787726000, 0.3636345000, 0.5893708000, 1.1920104000", \ - "0.3376991000, 0.3444110000, 0.3609259000, 0.4017088000, 0.4987563000, 0.7273407000, 1.3328845000", \ - "0.5187945000, 0.5294494000, 0.5550771000, 0.6162563000, 0.7506151000, 1.0307184000, 1.6503712000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.0641800000, 0.0675001000, 0.0765781000, 0.1014406000, 0.1688425000, 0.3515545000, 0.8477761000", \ - "0.0640467000, 0.0675889000, 0.0765118000, 0.1013984000, 0.1685556000, 0.3518947000, 0.8474118000", \ - "0.0638029000, 0.0670203000, 0.0765000000, 0.1010843000, 0.1687300000, 0.3518275000, 0.8472746000", \ - "0.0664756000, 0.0695776000, 0.0782765000, 0.1024659000, 0.1683731000, 0.3515681000, 0.8476928000", \ - "0.0823435000, 0.0858112000, 0.0955271000, 0.1194126000, 0.1786460000, 0.3531744000, 0.8474237000", \ - "0.1223266000, 0.1265249000, 0.1375831000, 0.1654706000, 0.2309255000, 0.3874728000, 0.8518401000", \ - "0.1972112000, 0.2039931000, 0.2205208000, 0.2590468000, 0.3431285000, 0.5236706000, 0.9402258000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.0730009000, 0.0788288000, 0.0945165000, 0.1366828000, 0.2503192000, 0.5552522000, 1.3821142000", \ - "0.0730074000, 0.0787442000, 0.0945198000, 0.1369336000, 0.2502387000, 0.5551096000, 1.3782993000", \ - "0.0730788000, 0.0789061000, 0.0945004000, 0.1367221000, 0.2503382000, 0.5563244000, 1.3767786000", \ - "0.0734012000, 0.0789312000, 0.0946496000, 0.1369447000, 0.2502049000, 0.5553343000, 1.3798075000", \ - "0.0810012000, 0.0861873000, 0.1006157000, 0.1405698000, 0.2505348000, 0.5574022000, 1.3780396000", \ - "0.1124018000, 0.1180305000, 0.1337907000, 0.1744061000, 0.2747907000, 0.5614404000, 1.3821102000", \ - "0.1870672000, 0.1945360000, 0.2132603000, 0.2593319000, 0.3704617000, 0.6367900000, 1.3927993000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.0787714000, 0.0818068000, 0.0901644000, 0.1110343000, 0.1638003000, 0.2992975000, 0.6579408000", \ - "0.0822579000, 0.0854382000, 0.0939272000, 0.1147387000, 0.1671613000, 0.3031381000, 0.6617102000", \ - "0.0895279000, 0.0927671000, 0.1009153000, 0.1218841000, 0.1748419000, 0.3101757000, 0.6704858000", \ - "0.1040788000, 0.1073946000, 0.1157481000, 0.1367576000, 0.1896705000, 0.3254813000, 0.6846221000", \ - "0.1285641000, 0.1321132000, 0.1413215000, 0.1653279000, 0.2212440000, 0.3585181000, 0.7181364000", \ - "0.1592810000, 0.1640723000, 0.1764801000, 0.2088043000, 0.2761401000, 0.4296001000, 0.7946379000", \ - "0.1644445000, 0.1723393000, 0.1906908000, 0.2377115000, 0.3405479000, 0.5448099000, 0.9626416000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.1510060000, 0.1560213000, 0.1682257000, 0.2027719000, 0.2920396000, 0.5291405000, 1.1654336000", \ - "0.1556466000, 0.1599188000, 0.1734880000, 0.2079150000, 0.2974466000, 0.5345020000, 1.1703919000", \ - "0.1682460000, 0.1727384000, 0.1856253000, 0.2203626000, 0.3105921000, 0.5483164000, 1.1849601000", \ - "0.1977486000, 0.2019321000, 0.2158256000, 0.2498725000, 0.3403944000, 0.5792337000, 1.2155974000", \ - "0.2607644000, 0.2656265000, 0.2786369000, 0.3131403000, 0.4032839000, 0.6420205000, 1.2794273000", \ - "0.3780976000, 0.3839576000, 0.3987109000, 0.4401732000, 0.5392699000, 0.7785460000, 1.4168617000", \ - "0.5803269000, 0.5889874000, 0.6127007000, 0.6682157000, 0.7980768000, 1.0826008000, 1.7308774000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.0640408000, 0.0675909000, 0.0765258000, 0.1013591000, 0.1687534000, 0.3518702000, 0.8470908000", \ - "0.0640397000, 0.0673376000, 0.0764267000, 0.1013293000, 0.1686874000, 0.3517538000, 0.8462242000", \ - "0.0637079000, 0.0670664000, 0.0764883000, 0.1013260000, 0.1686622000, 0.3514901000, 0.8481187000", \ - "0.0659458000, 0.0691696000, 0.0778883000, 0.1019963000, 0.1684701000, 0.3517454000, 0.8470740000", \ - "0.0772052000, 0.0804838000, 0.0889059000, 0.1132738000, 0.1755237000, 0.3532671000, 0.8471572000", \ - "0.1102247000, 0.1137814000, 0.1230950000, 0.1482450000, 0.2106105000, 0.3777839000, 0.8522694000", \ - "0.1819686000, 0.1873431000, 0.2005881000, 0.2317467000, 0.3056446000, 0.4728227000, 0.9182493000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.1004379000, 0.1062720000, 0.1232936000, 0.1678419000, 0.2880585000, 0.6112821000, 1.4811716000", \ - "0.1001576000, 0.1066144000, 0.1231854000, 0.1678448000, 0.2882627000, 0.6112923000, 1.4805845000", \ - "0.1004376000, 0.1063509000, 0.1232808000, 0.1677787000, 0.2880484000, 0.6121826000, 1.4850339000", \ - "0.1000851000, 0.1065285000, 0.1231928000, 0.1679017000, 0.2883090000, 0.6109180000, 1.4811298000", \ - "0.1049050000, 0.1106119000, 0.1265543000, 0.1697291000, 0.2883137000, 0.6118522000, 1.4808013000", \ - "0.1345934000, 0.1409532000, 0.1576764000, 0.1997117000, 0.3068384000, 0.6145005000, 1.4858879000", \ - "0.2089709000, 0.2170463000, 0.2349670000, 0.2819351000, 0.3976673000, 0.6818899000, 1.4951547000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.0831762000, 0.0863924000, 0.0948232000, 0.1156474000, 0.1680505000, 0.3040017000, 0.6624434000", \ - "0.0869881000, 0.0900258000, 0.0983194000, 0.1191928000, 0.1719337000, 0.3072613000, 0.6675812000", \ - "0.0939015000, 0.0972166000, 0.1052201000, 0.1262015000, 0.1791795000, 0.3145037000, 0.6745699000", \ - "0.1065730000, 0.1098173000, 0.1181210000, 0.1392555000, 0.1920321000, 0.3281684000, 0.6872923000", \ - "0.1261504000, 0.1296034000, 0.1383035000, 0.1610499000, 0.2162801000, 0.3528886000, 0.7124101000", \ - "0.1490386000, 0.1541388000, 0.1646939000, 0.1909840000, 0.2542284000, 0.4023739000, 0.7659684000", \ - "0.1505794000, 0.1572514000, 0.1729369000, 0.2110742000, 0.2984293000, 0.4788007000, 0.8789223000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.1625279000, 0.1678106000, 0.1810343000, 0.2150458000, 0.3033923000, 0.5344193000, 1.1503136000", \ - "0.1679079000, 0.1727670000, 0.1857063000, 0.2197664000, 0.3074151000, 0.5386393000, 1.1547755000", \ - "0.1807952000, 0.1857789000, 0.1976528000, 0.2325259000, 0.3213572000, 0.5525277000, 1.1682644000", \ - "0.2100490000, 0.2149185000, 0.2276068000, 0.2623112000, 0.3506996000, 0.5825482000, 1.1987474000", \ - "0.2704230000, 0.2751319000, 0.2882329000, 0.3224802000, 0.4095689000, 0.6421215000, 1.2585915000", \ - "0.3828522000, 0.3893551000, 0.4049467000, 0.4437629000, 0.5393404000, 0.7712702000, 1.3884408000", \ - "0.5820697000, 0.5901075000, 0.6101613000, 0.6601852000, 0.7833955000, 1.0556319000, 1.6831312000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.0640324000, 0.0673475000, 0.0764207000, 0.1013609000, 0.1687221000, 0.3517585000, 0.8468868000", \ - "0.0640470000, 0.0673410000, 0.0764788000, 0.1012975000, 0.1686031000, 0.3514728000, 0.8475461000", \ - "0.0638742000, 0.0670979000, 0.0765811000, 0.1011410000, 0.1686735000, 0.3514169000, 0.8474879000", \ - "0.0648765000, 0.0683665000, 0.0771196000, 0.1017265000, 0.1686653000, 0.3516342000, 0.8474732000", \ - "0.0726359000, 0.0759796000, 0.0849165000, 0.1090596000, 0.1735248000, 0.3528744000, 0.8472210000", \ - "0.0954960000, 0.0989114000, 0.1078789000, 0.1324030000, 0.1971806000, 0.3712689000, 0.8517831000", \ - "0.1584155000, 0.1625824000, 0.1725157000, 0.2000714000, 0.2660934000, 0.4363842000, 0.8978009000"); - } - related_pin : "A4"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.1187874000, 0.1247748000, 0.1417435000, 0.1843587000, 0.3007526000, 0.6136441000, 1.4570587000", \ - "0.1192259000, 0.1252030000, 0.1411246000, 0.1848555000, 0.3010424000, 0.6137093000, 1.4549328000", \ - "0.1190289000, 0.1246713000, 0.1413272000, 0.1847522000, 0.3007431000, 0.6132809000, 1.4583859000", \ - "0.1189809000, 0.1249827000, 0.1411905000, 0.1849026000, 0.3016245000, 0.6139514000, 1.4588439000", \ - "0.1218830000, 0.1276961000, 0.1435797000, 0.1858676000, 0.3009991000, 0.6136613000, 1.4579793000", \ - "0.1508147000, 0.1555374000, 0.1721435000, 0.2134527000, 0.3182430000, 0.6176828000, 1.4556751000", \ - "0.2195134000, 0.2260808000, 0.2439667000, 0.2894032000, 0.4042216000, 0.6839001000, 1.4753619000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.0139201000, 0.0147929000, 0.0170526000, 0.0228135000, 0.0375962000, 0.0770815000, 0.1832229000", \ - "0.0184397000, 0.0194160000, 0.0216777000, 0.0274816000, 0.0424872000, 0.0819488000, 0.1881928000", \ - "0.0244993000, 0.0261202000, 0.0299340000, 0.0379616000, 0.0535289000, 0.0932631000, 0.1995685000", \ - "0.0302844000, 0.0328834000, 0.0390988000, 0.0517762000, 0.0760483000, 0.1188856000, 0.2250975000", \ - "0.0320327000, 0.0354329000, 0.0450131000, 0.0657665000, 0.1043671000, 0.1709488000, 0.2858461000", \ - "0.0161002000, 0.0224952000, 0.0371998000, 0.0700939000, 0.1317640000, 0.2369666000, 0.4099182000", \ - "-0.047025600, -0.037098500, -0.013468800, 0.0387152000, 0.1366509000, 0.3028460000, 0.5747524000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.1242895000, 0.1294140000, 0.1433708000, 0.1785351000, 0.2672481000, 0.4994049000, 1.1159752000", \ - "0.1276775000, 0.1328813000, 0.1454131000, 0.1812575000, 0.2711824000, 0.5036495000, 1.1203017000", \ - "0.1382974000, 0.1435033000, 0.1568400000, 0.1914459000, 0.2802888000, 0.5138448000, 1.1317360000", \ - "0.1649345000, 0.1695727000, 0.1832371000, 0.2172114000, 0.3065768000, 0.5396875000, 1.1581380000", \ - "0.2345044000, 0.2388629000, 0.2514190000, 0.2849294000, 0.3707515000, 0.6035237000, 1.2220156000", \ - "0.3678848000, 0.3747183000, 0.3908372000, 0.4334926000, 0.5297549000, 0.7573826000, 1.3715927000", \ - "0.5815742000, 0.5922757000, 0.6174434000, 0.6827597000, 0.8280323000, 1.1196831000, 1.7357924000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.0104760000, 0.0117560000, 0.0150543000, 0.0237915000, 0.0460590000, 0.1025857000, 0.2477178000", \ - "0.0118209000, 0.0127791000, 0.0157177000, 0.0238431000, 0.0460796000, 0.1026128000, 0.2476473000", \ - "0.0205801000, 0.0212519000, 0.0232169000, 0.0285837000, 0.0475929000, 0.1026060000, 0.2475988000", \ - "0.0380136000, 0.0384438000, 0.0403971000, 0.0463163000, 0.0617884000, 0.1075378000, 0.2476192000", \ - "0.0725255000, 0.0732079000, 0.0752531000, 0.0817151000, 0.1000530000, 0.1416801000, 0.2573909000", \ - "0.1309884000, 0.1318571000, 0.1350298000, 0.1434505000, 0.1676085000, 0.2231564000, 0.3342462000", \ - "0.2354857000, 0.2363042000, 0.2405327000, 0.2518671000, 0.2869990000, 0.3686941000, 0.5221759000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013504500, 0.0036474500, 0.0098514400, 0.0266078000, 0.0718653000, 0.1941020000"); - values("0.1192859000, 0.1251851000, 0.1411621000, 0.1844973000, 0.3008295000, 0.6131080000, 1.4544256000", \ - "0.1191252000, 0.1248050000, 0.1410409000, 0.1844719000, 0.3006306000, 0.6136238000, 1.4553424000", \ - "0.1192094000, 0.1248768000, 0.1407065000, 0.1844191000, 0.3008007000, 0.6136983000, 1.4582499000", \ - "0.1165067000, 0.1230146000, 0.1402851000, 0.1844750000, 0.3013359000, 0.6139853000, 1.4554596000", \ - "0.1275370000, 0.1327269000, 0.1471907000, 0.1874562000, 0.2999428000, 0.6134227000, 1.4569046000", \ - "0.1801594000, 0.1871363000, 0.2029633000, 0.2456498000, 0.3391263000, 0.6195948000, 1.4555108000", \ - "0.2670231000, 0.2773666000, 0.3032793000, 0.3650697000, 0.4877294000, 0.7390091000, 1.4771695000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and2_0") { - leakage_power () { - value : 0.0021372000; - when : "!A&B"; - } - leakage_power () { - value : 0.0018183000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0015938000; - when : "A&B"; - } - leakage_power () { - value : 0.0021392000; - when : "A&!B"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__and2"; - cell_leakage_power : 0.0019221380; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0016000000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015630000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0028340000, 0.0028350000, 0.0028374000, 0.0028381000, 0.0028398000, 0.0028435000, 0.0028523000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002302000, -0.002304800, -0.002311300, -0.002307200, -0.002297900, -0.002276400, -0.002226700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016370000; - } - pin ("B") { - capacitance : 0.0016360000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025515000, 0.0025532000, 0.0025572000, 0.0025558000, 0.0025526000, 0.0025452000, 0.0025281000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002545400, -0.002548200, -0.002554800, -0.002555000, -0.002555300, -0.002556000, -0.002557800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017000000; - } - pin ("X") { - direction : "output"; - function : "(A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000"); - values("0.0068630000, 0.0059641000, 0.0034585000, -0.003322300, -0.020559700, -0.063152600, -0.167691700", \ - "0.0066871000, 0.0057835000, 0.0032842000, -0.003494100, -0.020735400, -0.063314700, -0.167859300", \ - "0.0064781000, 0.0055575000, 0.0030561000, -0.003729000, -0.020955500, -0.063546000, -0.168052400", \ - "0.0063337000, 0.0053756000, 0.0028382000, -0.003937000, -0.021150600, -0.063698000, -0.168211100", \ - "0.0062827000, 0.0052787000, 0.0027192000, -0.004051100, -0.021225900, -0.063745400, -0.168253700", \ - "0.0066738000, 0.0056145000, 0.0027544000, -0.004114500, -0.021092300, -0.063584200, -0.168067100", \ - "0.0074301000, 0.0062662000, 0.0034132000, -0.003578500, -0.020809100, -0.063052700, -0.167495900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000"); - values("0.0077923000, 0.0090531000, 0.0120271000, 0.0190883000, 0.0362965000, 0.0786425000, 0.1818601000", \ - "0.0077295000, 0.0089727000, 0.0119073000, 0.0190206000, 0.0363941000, 0.0785095000, 0.1815409000", \ - "0.0075919000, 0.0088343000, 0.0118033000, 0.0188749000, 0.0362529000, 0.0783741000, 0.1817688000", \ - "0.0073747000, 0.0086018000, 0.0115622000, 0.0186649000, 0.0361236000, 0.0786334000, 0.1811487000", \ - "0.0073489000, 0.0085215000, 0.0114117000, 0.0184971000, 0.0357264000, 0.0784030000, 0.1820081000", \ - "0.0075486000, 0.0087513000, 0.0116978000, 0.0185427000, 0.0358685000, 0.0780268000, 0.1816720000", \ - "0.0083457000, 0.0095439000, 0.0123670000, 0.0194622000, 0.0365510000, 0.0790413000, 0.1818882000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000"); - values("0.0085800000, 0.0076357000, 0.0051448000, -0.001623200, -0.018871700, -0.061409600, -0.166002400", \ - "0.0084238000, 0.0074777000, 0.0050088000, -0.001781500, -0.019019500, -0.061593900, -0.166117300", \ - "0.0082587000, 0.0073054000, 0.0048247000, -0.001952100, -0.019190500, -0.061757600, -0.166270300", \ - "0.0080808000, 0.0071188000, 0.0046032000, -0.002162700, -0.019370000, -0.061917400, -0.166405100", \ - "0.0079866000, 0.0070050000, 0.0044719000, -0.002302800, -0.019470800, -0.061984600, -0.166467900", \ - "0.0085642000, 0.0073705000, 0.0044944000, -0.002158000, -0.019245300, -0.061713100, -0.166204300", \ - "0.0093088000, 0.0080996000, 0.0053040000, -0.001694800, -0.019015500, -0.061266400, -0.165699400"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000"); - values("0.0081737000, 0.0094241000, 0.0123840000, 0.0193884000, 0.0365666000, 0.0791169000, 0.1823453000", \ - "0.0081051000, 0.0093528000, 0.0123094000, 0.0193290000, 0.0365000000, 0.0784453000, 0.1824440000", \ - "0.0079694000, 0.0092148000, 0.0121650000, 0.0192203000, 0.0365796000, 0.0789408000, 0.1829798000", \ - "0.0077740000, 0.0090144000, 0.0119642000, 0.0190415000, 0.0364607000, 0.0783908000, 0.1826486000", \ - "0.0076979000, 0.0088834000, 0.0118371000, 0.0188813000, 0.0362912000, 0.0783911000, 0.1818888000", \ - "0.0077805000, 0.0089813000, 0.0119114000, 0.0188437000, 0.0362022000, 0.0784672000, 0.1818060000", \ - "0.0081169000, 0.0093889000, 0.0122890000, 0.0193746000, 0.0366712000, 0.0790474000, 0.1812274000"); - } - } - max_capacitance : 0.1089580000; - max_transition : 1.4991510000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0958489000, 0.1029531000, 0.1171505000, 0.1456513000, 0.2064491000, 0.3498526000, 0.7025407000", \ - "0.1004987000, 0.1076292000, 0.1217150000, 0.1500706000, 0.2107952000, 0.3543048000, 0.7052036000", \ - "0.1128805000, 0.1199499000, 0.1342692000, 0.1625595000, 0.2233618000, 0.3669182000, 0.7184491000", \ - "0.1439616000, 0.1510409000, 0.1651600000, 0.1936321000, 0.2543728000, 0.3980555000, 0.7501326000", \ - "0.2108664000, 0.2184642000, 0.2335919000, 0.2628943000, 0.3242742000, 0.4677775000, 0.8186170000", \ - "0.3188114000, 0.3285782000, 0.3466414000, 0.3792925000, 0.4426598000, 0.5873800000, 0.9394070000", \ - "0.4881419000, 0.5008112000, 0.5245182000, 0.5645225000, 0.6343834000, 0.7783185000, 1.1299963000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0752522000, 0.0837830000, 0.1025016000, 0.1450376000, 0.2468896000, 0.4975805000, 1.1065879000", \ - "0.0794461000, 0.0879569000, 0.1065199000, 0.1491176000, 0.2509502000, 0.5002284000, 1.1227542000", \ - "0.0896535000, 0.0981665000, 0.1168216000, 0.1596975000, 0.2620836000, 0.5117828000, 1.1219243000", \ - "0.1121272000, 0.1206645000, 0.1393833000, 0.1819769000, 0.2842487000, 0.5346603000, 1.1435894000", \ - "0.1440993000, 0.1531844000, 0.1724720000, 0.2155254000, 0.3182551000, 0.5696880000, 1.1843247000", \ - "0.1810733000, 0.1918736000, 0.2124717000, 0.2559865000, 0.3584718000, 0.6083926000, 1.2214584000", \ - "0.2062279000, 0.2208046000, 0.2463838000, 0.2933893000, 0.3944339000, 0.6447692000, 1.2560825000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0230778000, 0.0287967000, 0.0414891000, 0.0709270000, 0.1453383000, 0.3340702000, 0.8047703000", \ - "0.0231011000, 0.0288550000, 0.0416559000, 0.0709072000, 0.1450551000, 0.3353646000, 0.8000125000", \ - "0.0230670000, 0.0289730000, 0.0415030000, 0.0709142000, 0.1448154000, 0.3337124000, 0.7998350000", \ - "0.0233435000, 0.0290475000, 0.0416021000, 0.0710441000, 0.1447398000, 0.3352974000, 0.8034225000", \ - "0.0275765000, 0.0329239000, 0.0449186000, 0.0731241000, 0.1456387000, 0.3344580000, 0.8046232000", \ - "0.0382062000, 0.0439881000, 0.0557166000, 0.0831890000, 0.1521169000, 0.3349588000, 0.8034549000", \ - "0.0559984000, 0.0623168000, 0.0754523000, 0.1020050000, 0.1647797000, 0.3414649000, 0.8003451000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0274992000, 0.0366333000, 0.0595508000, 0.1173182000, 0.2624949000, 0.6204234000, 1.4924092000", \ - "0.0274641000, 0.0367120000, 0.0594145000, 0.1171731000, 0.2626016000, 0.6211412000, 1.4940631000", \ - "0.0274866000, 0.0367100000, 0.0595502000, 0.1170192000, 0.2618886000, 0.6194957000, 1.4923682000", \ - "0.0286739000, 0.0376392000, 0.0600514000, 0.1174412000, 0.2631610000, 0.6217848000, 1.4923507000", \ - "0.0319919000, 0.0406756000, 0.0626975000, 0.1189916000, 0.2626313000, 0.6204083000, 1.4973701000", \ - "0.0410414000, 0.0486958000, 0.0680830000, 0.1214559000, 0.2646635000, 0.6178478000, 1.4919401000", \ - "0.0573377000, 0.0660958000, 0.0833266000, 0.1301706000, 0.2655788000, 0.6223080000, 1.4866247000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.1126999000, 0.1199489000, 0.1343062000, 0.1630200000, 0.2240139000, 0.3677476000, 0.7195449000", \ - "0.1173471000, 0.1245710000, 0.1389256000, 0.1676634000, 0.2286660000, 0.3724204000, 0.7246753000", \ - "0.1304566000, 0.1375008000, 0.1520297000, 0.1808161000, 0.2418786000, 0.3856503000, 0.7379672000", \ - "0.1623976000, 0.1696073000, 0.1840509000, 0.2128420000, 0.2740032000, 0.4179159000, 0.7702495000", \ - "0.2362592000, 0.2436170000, 0.2583560000, 0.2873330000, 0.3487008000, 0.4927621000, 0.8438623000", \ - "0.3645999000, 0.3738300000, 0.3913209000, 0.4235730000, 0.4874733000, 0.6319180000, 0.9830288000", \ - "0.5710318000, 0.5832477000, 0.6057349000, 0.6448904000, 0.7134323000, 0.8595282000, 1.2113196000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0803792000, 0.0889442000, 0.1075194000, 0.1498586000, 0.2516419000, 0.5013673000, 1.1159204000", \ - "0.0846716000, 0.0932035000, 0.1118141000, 0.1542319000, 0.2559783000, 0.5045606000, 1.1198444000", \ - "0.0936200000, 0.1020834000, 0.1206927000, 0.1631720000, 0.2649174000, 0.5139220000, 1.1265442000", \ - "0.1125423000, 0.1211529000, 0.1398727000, 0.1824676000, 0.2842930000, 0.5384062000, 1.1448866000", \ - "0.1424705000, 0.1515920000, 0.1712244000, 0.2145392000, 0.3170356000, 0.5678666000, 1.1781190000", \ - "0.1790546000, 0.1894161000, 0.2104380000, 0.2544176000, 0.3569176000, 0.6069732000, 1.2211507000", \ - "0.2008568000, 0.2147890000, 0.2404958000, 0.2878161000, 0.3908465000, 0.6406112000, 1.2515926000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0243607000, 0.0301199000, 0.0430418000, 0.0721899000, 0.1460550000, 0.3347599000, 0.8049927000", \ - "0.0246222000, 0.0299565000, 0.0430313000, 0.0722380000, 0.1455552000, 0.3359477000, 0.8038880000", \ - "0.0246108000, 0.0302531000, 0.0430030000, 0.0721967000, 0.1458831000, 0.3357112000, 0.8038936000", \ - "0.0243757000, 0.0299630000, 0.0426697000, 0.0722586000, 0.1457936000, 0.3367742000, 0.8067698000", \ - "0.0264904000, 0.0317361000, 0.0440506000, 0.0729494000, 0.1458915000, 0.3359634000, 0.8034433000", \ - "0.0367133000, 0.0419991000, 0.0536667000, 0.0811936000, 0.1502534000, 0.3375589000, 0.8001194000", \ - "0.0535745000, 0.0604539000, 0.0728338000, 0.0990822000, 0.1621616000, 0.3410443000, 0.8009410000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0274653000, 0.0366912000, 0.0593641000, 0.1173513000, 0.2618662000, 0.6226599000, 1.4965975000", \ - "0.0274582000, 0.0366192000, 0.0595104000, 0.1174119000, 0.2620522000, 0.6200324000, 1.4964113000", \ - "0.0274832000, 0.0366858000, 0.0595240000, 0.1171425000, 0.2629552000, 0.6209246000, 1.4991507000", \ - "0.0283206000, 0.0374047000, 0.0598450000, 0.1171074000, 0.2632298000, 0.6216443000, 1.4933768000", \ - "0.0314397000, 0.0402645000, 0.0621731000, 0.1187061000, 0.2624588000, 0.6227732000, 1.4942578000", \ - "0.0387352000, 0.0467951000, 0.0673129000, 0.1211942000, 0.2634451000, 0.6169676000, 1.4929702000", \ - "0.0537890000, 0.0629611000, 0.0819241000, 0.1290368000, 0.2649888000, 0.6216637000, 1.4902407000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and2_1") { - leakage_power () { - value : 0.0031719000; - when : "!A&B"; - } - leakage_power () { - value : 0.0028440000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0014741000; - when : "A&B"; - } - leakage_power () { - value : 0.0031700000; - when : "A&!B"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__and2"; - cell_leakage_power : 0.0026650080; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014310000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025379000, 0.0025400000, 0.0025448000, 0.0025448000, 0.0025447000, 0.0025445000, 0.0025440000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001956100, -0.001957000, -0.001959300, -0.001955200, -0.001945900, -0.001924400, -0.001874800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014920000; - } - pin ("B") { - capacitance : 0.0014960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014310000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022875000, 0.0022876000, 0.0022879000, 0.0022886000, 0.0022901000, 0.0022938000, 0.0023021000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002284200, -0.002283900, -0.002283100, -0.002283200, -0.002283500, -0.002284000, -0.002285200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015600000; - } - pin ("X") { - direction : "output"; - function : "(A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0085240000, 0.0074664000, 0.0046369000, -0.003676900, -0.026596400, -0.087127000, -0.245422400", \ - "0.0083931000, 0.0073403000, 0.0045021000, -0.003805000, -0.026716700, -0.087233700, -0.245554800", \ - "0.0082197000, 0.0071245000, 0.0042612000, -0.004033200, -0.026937400, -0.087455000, -0.245748300", \ - "0.0079991000, 0.0069151000, 0.0040167000, -0.004303500, -0.027186600, -0.087673800, -0.245952000", \ - "0.0080176000, 0.0068765000, 0.0039774000, -0.004402800, -0.027255800, -0.087711400, -0.245954800", \ - "0.0088907000, 0.0075031000, 0.0041860000, -0.004514700, -0.027130700, -0.087510900, -0.245699200", \ - "0.0097210000, 0.0083623000, 0.0048614000, -0.003959300, -0.026952200, -0.087195000, -0.245292000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0094704000, 0.0108522000, 0.0143551000, 0.0232112000, 0.0462251000, 0.1062811000, 0.2614212000", \ - "0.0093983000, 0.0107825000, 0.0142948000, 0.0232034000, 0.0462224000, 0.1062042000, 0.2627313000", \ - "0.0092865000, 0.0106624000, 0.0141663000, 0.0231015000, 0.0461635000, 0.1061495000, 0.2630470000", \ - "0.0091534000, 0.0105032000, 0.0139926000, 0.0228474000, 0.0459524000, 0.1059391000, 0.2624917000", \ - "0.0090617000, 0.0104091000, 0.0138378000, 0.0227020000, 0.0458110000, 0.1059986000, 0.2611088000", \ - "0.0093870000, 0.0107110000, 0.0141771000, 0.0227496000, 0.0458959000, 0.1060684000, 0.2626684000", \ - "0.0102133000, 0.0114561000, 0.0148248000, 0.0237647000, 0.0465589000, 0.1068110000, 0.2629192000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0101951000, 0.0090964000, 0.0061835000, -0.002195800, -0.025150600, -0.085714800, -0.243986000", \ - "0.0100591000, 0.0089721000, 0.0060136000, -0.002323200, -0.025284600, -0.085834800, -0.244153700", \ - "0.0099392000, 0.0088303000, 0.0058713000, -0.002460900, -0.025428100, -0.085962400, -0.244240000", \ - "0.0097425000, 0.0086176000, 0.0056732000, -0.002675700, -0.025604700, -0.086115800, -0.244393500", \ - "0.0096279000, 0.0084970000, 0.0055326000, -0.002829200, -0.025731000, -0.086200000, -0.244446800", \ - "0.0101414000, 0.0088554000, 0.0057637000, -0.002614100, -0.025418700, -0.085861200, -0.244071200", \ - "0.0115284000, 0.0101688000, 0.0071464000, -0.002204000, -0.025332000, -0.085656700, -0.243846800"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0099540000, 0.0113288000, 0.0148601000, 0.0237230000, 0.0466245000, 0.1064193000, 0.2628997000", \ - "0.0099071000, 0.0112947000, 0.0147963000, 0.0235943000, 0.0465181000, 0.1070239000, 0.2636375000", \ - "0.0097941000, 0.0111693000, 0.0146685000, 0.0235634000, 0.0465247000, 0.1069565000, 0.2629234000", \ - "0.0096171000, 0.0109888000, 0.0144687000, 0.0233895000, 0.0463804000, 0.1063675000, 0.2643670000", \ - "0.0095336000, 0.0108720000, 0.0143180000, 0.0231603000, 0.0462240000, 0.1062808000, 0.2632289000", \ - "0.0097039000, 0.0110450000, 0.0144742000, 0.0232250000, 0.0463406000, 0.1059751000, 0.2629322000", \ - "0.0100696000, 0.0112933000, 0.0147459000, 0.0236581000, 0.0468086000, 0.1071174000, 0.2634866000"); - } - } - max_capacitance : 0.1583960000; - max_transition : 1.5104930000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.1031383000, 0.1090369000, 0.1213519000, 0.1458819000, 0.1983965000, 0.3245319000, 0.6507022000", \ - "0.1078665000, 0.1139983000, 0.1263610000, 0.1508638000, 0.2034434000, 0.3294200000, 0.6551888000", \ - "0.1206382000, 0.1264383000, 0.1387166000, 0.1632862000, 0.2158505000, 0.3420344000, 0.6674351000", \ - "0.1518939000, 0.1576136000, 0.1699008000, 0.1946328000, 0.2471551000, 0.3730970000, 0.6997410000", \ - "0.2217431000, 0.2278479000, 0.2405078000, 0.2657816000, 0.3188938000, 0.4447553000, 0.7715348000", \ - "0.3381809000, 0.3460206000, 0.3619098000, 0.3912823000, 0.4477396000, 0.5756008000, 0.8998989000", \ - "0.5209776000, 0.5311577000, 0.5518847000, 0.5890423000, 0.6537268000, 0.7846471000, 1.1087463000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0794242000, 0.0864667000, 0.1026603000, 0.1403230000, 0.2350631000, 0.4803423000, 1.1196789000", \ - "0.0835845000, 0.0906672000, 0.1068781000, 0.1445428000, 0.2394144000, 0.4849028000, 1.1245087000", \ - "0.0941941000, 0.1012077000, 0.1174051000, 0.1552419000, 0.2499132000, 0.4948990000, 1.1391017000", \ - "0.1176439000, 0.1247226000, 0.1408894000, 0.1787277000, 0.2738498000, 0.5216426000, 1.1591080000", \ - "0.1531869000, 0.1607167000, 0.1775795000, 0.2162935000, 0.3111585000, 0.5577310000, 1.1975008000", \ - "0.1957092000, 0.2050777000, 0.2236305000, 0.2628502000, 0.3577440000, 0.6035356000, 1.2459085000", \ - "0.2281206000, 0.2408570000, 0.2647271000, 0.3094159000, 0.4035527000, 0.6494337000, 1.2897596000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0234153000, 0.0276024000, 0.0374098000, 0.0604597000, 0.1196515000, 0.2827106000, 0.7157019000", \ - "0.0233323000, 0.0278020000, 0.0374386000, 0.0605734000, 0.1193361000, 0.2823191000, 0.7152689000", \ - "0.0236597000, 0.0276025000, 0.0373997000, 0.0604277000, 0.1196194000, 0.2826888000, 0.7158011000", \ - "0.0234196000, 0.0278806000, 0.0376073000, 0.0605204000, 0.1195282000, 0.2822352000, 0.7153240000", \ - "0.0272264000, 0.0310681000, 0.0402238000, 0.0623276000, 0.1203398000, 0.2819205000, 0.7129985000", \ - "0.0383181000, 0.0427394000, 0.0521954000, 0.0735420000, 0.1279992000, 0.2841739000, 0.7151715000", \ - "0.0565871000, 0.0622415000, 0.0731304000, 0.0952605000, 0.1458810000, 0.2922328000, 0.7139613000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0273098000, 0.0343713000, 0.0528321000, 0.1021536000, 0.2350815000, 0.5873246000, 1.4968455000", \ - "0.0273840000, 0.0345314000, 0.0528703000, 0.1020276000, 0.2352878000, 0.5844607000, 1.5043444000", \ - "0.0272861000, 0.0344569000, 0.0528450000, 0.1020791000, 0.2354850000, 0.5860426000, 1.5063638000", \ - "0.0281736000, 0.0351018000, 0.0533746000, 0.1020422000, 0.2353452000, 0.5880494000, 1.4962932000", \ - "0.0322034000, 0.0387938000, 0.0565736000, 0.1041765000, 0.2354808000, 0.5855712000, 1.5004152000", \ - "0.0416308000, 0.0483148000, 0.0637518000, 0.1082114000, 0.2369543000, 0.5852857000, 1.5016702000", \ - "0.0592551000, 0.0662234000, 0.0807632000, 0.1200596000, 0.2402845000, 0.5869897000, 1.4960598000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.1209156000, 0.1267669000, 0.1392474000, 0.1641813000, 0.2170752000, 0.3433146000, 0.6697209000", \ - "0.1256830000, 0.1315514000, 0.1440896000, 0.1689204000, 0.2217300000, 0.3480779000, 0.6741255000", \ - "0.1389034000, 0.1447728000, 0.1571298000, 0.1822106000, 0.2351275000, 0.3612713000, 0.6883352000", \ - "0.1707242000, 0.1765896000, 0.1891511000, 0.2141875000, 0.2671949000, 0.3935670000, 0.7206127000", \ - "0.2458760000, 0.2518757000, 0.2643657000, 0.2895802000, 0.3426674000, 0.4691481000, 0.7961913000", \ - "0.3811535000, 0.3887241000, 0.4037608000, 0.4324255000, 0.4886735000, 0.6161632000, 0.9428820000", \ - "0.5985440000, 0.6084658000, 0.6283749000, 0.6649080000, 0.7281128000, 0.8587321000, 1.1855078000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0850944000, 0.0921667000, 0.1083601000, 0.1458898000, 0.2402782000, 0.4848659000, 1.1236686000", \ - "0.0895209000, 0.0965853000, 0.1127460000, 0.1502857000, 0.2446479000, 0.4893743000, 1.1342737000", \ - "0.0986120000, 0.1056416000, 0.1217442000, 0.1594235000, 0.2536776000, 0.4999385000, 1.1385588000", \ - "0.1181221000, 0.1252344000, 0.1413930000, 0.1790957000, 0.2739872000, 0.5188981000, 1.1647858000", \ - "0.1507703000, 0.1583374000, 0.1753158000, 0.2136513000, 0.3088211000, 0.5540685000, 1.1962887000", \ - "0.1919068000, 0.2007971000, 0.2194776000, 0.2593621000, 0.3543002000, 0.6003611000, 1.2398564000", \ - "0.2215551000, 0.2331939000, 0.2570880000, 0.3014003000, 0.3978440000, 0.6441577000, 1.2831414000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0247853000, 0.0292438000, 0.0387901000, 0.0620392000, 0.1209422000, 0.2836178000, 0.7178857000", \ - "0.0248114000, 0.0293590000, 0.0386992000, 0.0620453000, 0.1208171000, 0.2830563000, 0.7159824000", \ - "0.0251101000, 0.0293904000, 0.0389104000, 0.0620770000, 0.1207057000, 0.2830010000, 0.7188303000", \ - "0.0248417000, 0.0293683000, 0.0387121000, 0.0619855000, 0.1210290000, 0.2832596000, 0.7174237000", \ - "0.0263278000, 0.0301791000, 0.0395620000, 0.0626238000, 0.1210035000, 0.2826644000, 0.7209016000", \ - "0.0372131000, 0.0416577000, 0.0506625000, 0.0722733000, 0.1267676000, 0.2838049000, 0.7191795000", \ - "0.0552276000, 0.0607121000, 0.0714424000, 0.0921616000, 0.1430103000, 0.2911559000, 0.7147608000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0273552000, 0.0344671000, 0.0528882000, 0.1019307000, 0.2352126000, 0.5862400000, 1.5035762000", \ - "0.0273356000, 0.0344320000, 0.0528311000, 0.1021694000, 0.2347287000, 0.5867600000, 1.5065106000", \ - "0.0274144000, 0.0344779000, 0.0527378000, 0.1020965000, 0.2352505000, 0.5875913000, 1.5000867000", \ - "0.0280319000, 0.0350671000, 0.0532333000, 0.1021433000, 0.2352442000, 0.5851101000, 1.5104931000", \ - "0.0312514000, 0.0380700000, 0.0560639000, 0.1036146000, 0.2350764000, 0.5868111000, 1.5016937000", \ - "0.0386276000, 0.0457767000, 0.0620114000, 0.1072882000, 0.2371053000, 0.5845895000, 1.5012384000", \ - "0.0545211000, 0.0615401000, 0.0773975000, 0.1178962000, 0.2399373000, 0.5878344000, 1.4954710000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and2_2") { - leakage_power () { - value : 0.0039778000; - when : "!A&B"; - } - leakage_power () { - value : 0.0036338000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0018727000; - when : "A&B"; - } - leakage_power () { - value : 0.0039927000; - when : "A&!B"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__and2"; - cell_leakage_power : 0.0033692280; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014500000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014180000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025787000, 0.0025778000, 0.0025757000, 0.0025755000, 0.0025752000, 0.0025746000, 0.0025730000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001982600, -0.001985500, -0.001992300, -0.001988000, -0.001978000, -0.001955200, -0.001902400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014830000; - } - pin ("B") { - capacitance : 0.0014720000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014030000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022826000, 0.0022812000, 0.0022779000, 0.0022784000, 0.0022795000, 0.0022822000, 0.0022883000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002279600, -0.002276700, -0.002270000, -0.002270200, -0.002270400, -0.002271000, -0.002272400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015410000; - } - pin ("X") { - direction : "output"; - function : "(A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014545450, 0.0042314040, 0.0123095400, 0.0358095600, 0.1041733000, 0.3030494000"); - values("0.0117543000, 0.0103079000, 0.0064083000, -0.005064600, -0.041817800, -0.152068000, -0.474139400", \ - "0.0116692000, 0.0102267000, 0.0063563000, -0.005214300, -0.041882300, -0.152187300, -0.474213500", \ - "0.0115564000, 0.0100950000, 0.0061755000, -0.005380300, -0.042084300, -0.152341000, -0.474376700", \ - "0.0113755000, 0.0098629000, 0.0059359000, -0.005661300, -0.042342500, -0.152546900, -0.474589400", \ - "0.0111773000, 0.0096786000, 0.0059532000, -0.005749100, -0.042600500, -0.152773100, -0.474742800", \ - "0.0117980000, 0.0103075000, 0.0054038000, -0.005842200, -0.042640800, -0.152844200, -0.474706200", \ - "0.0153806000, 0.0135958000, 0.0087413000, -0.004558300, -0.042453700, -0.152586100, -0.474325400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014545450, 0.0042314040, 0.0123095400, 0.0358095600, 0.1041733000, 0.3030494000"); - values("0.0146731000, 0.0162788000, 0.0209847000, 0.0344096000, 0.0723212000, 0.1818844000, 0.5006528000", \ - "0.0146472000, 0.0162724000, 0.0210165000, 0.0342933000, 0.0723475000, 0.1817968000, 0.5006438000", \ - "0.0145676000, 0.0162075000, 0.0209238000, 0.0342467000, 0.0722084000, 0.1817449000, 0.5031501000", \ - "0.0145392000, 0.0161674000, 0.0208704000, 0.0342218000, 0.0720574000, 0.1817553000, 0.5011114000", \ - "0.0144982000, 0.0161072000, 0.0207718000, 0.0339634000, 0.0715973000, 0.1815939000, 0.4984059000", \ - "0.0150638000, 0.0166019000, 0.0210662000, 0.0340177000, 0.0716022000, 0.1804869000, 0.5007694000", \ - "0.0163117000, 0.0177632000, 0.0221268000, 0.0352554000, 0.0727947000, 0.1819054000, 0.4987252000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014545450, 0.0042314040, 0.0123095400, 0.0358095600, 0.1041733000, 0.3030494000"); - values("0.0134696000, 0.0120180000, 0.0079642000, -0.003653300, -0.040532700, -0.150791100, -0.472886600", \ - "0.0132903000, 0.0117997000, 0.0078386000, -0.003739800, -0.040629400, -0.150896800, -0.472993900", \ - "0.0131979000, 0.0116908000, 0.0077379000, -0.003941800, -0.040758500, -0.150997600, -0.473079600", \ - "0.0130356000, 0.0115439000, 0.0075622000, -0.004091500, -0.040877300, -0.151177100, -0.473183100", \ - "0.0130784000, 0.0115552000, 0.0075391000, -0.004243200, -0.041127200, -0.151343600, -0.473301800", \ - "0.0130149000, 0.0114388000, 0.0072822000, -0.004059600, -0.040986900, -0.151287400, -0.473258600", \ - "0.0170820000, 0.0152849000, 0.0104698000, -0.002581800, -0.040647300, -0.150932700, -0.472868300"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014545450, 0.0042314040, 0.0123095400, 0.0358095600, 0.1041733000, 0.3030494000"); - values("0.0151865000, 0.0168168000, 0.0215584000, 0.0347919000, 0.0727728000, 0.1822645000, 0.5024664000", \ - "0.0151702000, 0.0168151000, 0.0214851000, 0.0348589000, 0.0726719000, 0.1822710000, 0.5010122000", \ - "0.0150463000, 0.0166822000, 0.0213946000, 0.0347023000, 0.0726703000, 0.1822337000, 0.5033337000", \ - "0.0149685000, 0.0166021000, 0.0213348000, 0.0346575000, 0.0724922000, 0.1821337000, 0.5014783000", \ - "0.0149247000, 0.0165151000, 0.0212182000, 0.0343565000, 0.0723027000, 0.1820207000, 0.5014984000", \ - "0.0155276000, 0.0170649000, 0.0216251000, 0.0345882000, 0.0720163000, 0.1814026000, 0.5026486000", \ - "0.0162574000, 0.0177564000, 0.0221687000, 0.0352553000, 0.0729662000, 0.1827096000, 0.5008285000"); - } - } - max_capacitance : 0.3030490000; - max_transition : 1.5057800000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000"); - values("0.1364071000, 0.1418157000, 0.1541442000, 0.1792684000, 0.2293132000, 0.3450230000, 0.6619946000", \ - "0.1416111000, 0.1470187000, 0.1593495000, 0.1845761000, 0.2345699000, 0.3504547000, 0.6673611000", \ - "0.1542877000, 0.1596582000, 0.1719276000, 0.1971277000, 0.2470832000, 0.3628070000, 0.6799235000", \ - "0.1851654000, 0.1905413000, 0.2028390000, 0.2278691000, 0.2780798000, 0.3938639000, 0.7109625000", \ - "0.2595029000, 0.2648475000, 0.2769676000, 0.3019138000, 0.3522006000, 0.4680429000, 0.7847200000", \ - "0.4005576000, 0.4070511000, 0.4219907000, 0.4509234000, 0.5053586000, 0.6234845000, 0.9403769000", \ - "0.6243880000, 0.6330449000, 0.6524813000, 0.6902249000, 0.7569049000, 0.8837219000, 1.2016257000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000"); - values("0.0979053000, 0.1038914000, 0.1182502000, 0.1513566000, 0.2339801000, 0.4672650000, 1.1413402000", \ - "0.1022707000, 0.1082777000, 0.1226557000, 0.1555695000, 0.2383861000, 0.4718838000, 1.1487145000", \ - "0.1128362000, 0.1189557000, 0.1332549000, 0.1662914000, 0.2490047000, 0.4817577000, 1.1572244000", \ - "0.1377473000, 0.1438027000, 0.1580598000, 0.1909931000, 0.2735761000, 0.5066922000, 1.1843485000", \ - "0.1840116000, 0.1903861000, 0.2055685000, 0.2393427000, 0.3223771000, 0.5565326000, 1.2317655000", \ - "0.2442716000, 0.2524390000, 0.2698434000, 0.3065319000, 0.3910942000, 0.6235067000, 1.2984965000", \ - "0.3030587000, 0.3138884000, 0.3379232000, 0.3826174000, 0.4700909000, 0.7018189000, 1.3771542000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000"); - values("0.0277559000, 0.0310736000, 0.0391022000, 0.0573323000, 0.1044718000, 0.2406137000, 0.6627560000", \ - "0.0276743000, 0.0310357000, 0.0389816000, 0.0573615000, 0.1045062000, 0.2410272000, 0.6599468000", \ - "0.0278697000, 0.0313137000, 0.0389624000, 0.0576597000, 0.1046146000, 0.2407712000, 0.6597430000", \ - "0.0278804000, 0.0312867000, 0.0393970000, 0.0573128000, 0.1045276000, 0.2409501000, 0.6595259000", \ - "0.0282353000, 0.0314154000, 0.0402463000, 0.0580400000, 0.1049777000, 0.2414620000, 0.6647693000", \ - "0.0404417000, 0.0441529000, 0.0522760000, 0.0700773000, 0.1130105000, 0.2439002000, 0.6609731000", \ - "0.0616221000, 0.0656455000, 0.0758334000, 0.0967075000, 0.1385238000, 0.2602116000, 0.6622231000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000"); - values("0.0262547000, 0.0313493000, 0.0446448000, 0.0812598000, 0.1918287000, 0.5261477000, 1.5011272000", \ - "0.0262657000, 0.0312228000, 0.0445179000, 0.0810991000, 0.1919225000, 0.5251414000, 1.4996066000", \ - "0.0263817000, 0.0313686000, 0.0445823000, 0.0812658000, 0.1919214000, 0.5249874000, 1.5030975000", \ - "0.0262734000, 0.0312734000, 0.0444390000, 0.0812956000, 0.1917528000, 0.5269601000, 1.5053194000", \ - "0.0306689000, 0.0357580000, 0.0481469000, 0.0835879000, 0.1926219000, 0.5253208000, 1.4981015000", \ - "0.0415067000, 0.0463481000, 0.0585462000, 0.0907611000, 0.1961538000, 0.5255698000, 1.5002397000", \ - "0.0589621000, 0.0654241000, 0.0797694000, 0.1111835000, 0.2045499000, 0.5278779000, 1.4969760000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000"); - values("0.1558299000, 0.1614587000, 0.1741890000, 0.1996477000, 0.2506957000, 0.3670645000, 0.6843777000", \ - "0.1607572000, 0.1663703000, 0.1790987000, 0.2045177000, 0.2556772000, 0.3720091000, 0.6893619000", \ - "0.1740037000, 0.1795904000, 0.1923255000, 0.2180000000, 0.2688531000, 0.3852432000, 0.7025615000", \ - "0.2058436000, 0.2114687000, 0.2242208000, 0.2498287000, 0.3008377000, 0.4173971000, 0.7345343000", \ - "0.2822132000, 0.2877884000, 0.3004429000, 0.3260738000, 0.3772591000, 0.4937820000, 0.8112417000", \ - "0.4390603000, 0.4456899000, 0.4604577000, 0.4890418000, 0.5427345000, 0.6601903000, 0.9775145000", \ - "0.6954046000, 0.7039635000, 0.7236417000, 0.7609182000, 0.8259712000, 0.9519316000, 1.2699251000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000"); - values("0.1034967000, 0.1095161000, 0.1238951000, 0.1568059000, 0.2394919000, 0.4719508000, 1.1479453000", \ - "0.1080419000, 0.1140426000, 0.1282747000, 0.1613830000, 0.2439290000, 0.4775650000, 1.1508836000", \ - "0.1170988000, 0.1231137000, 0.1374552000, 0.1704393000, 0.2531770000, 0.4856827000, 1.1602203000", \ - "0.1376836000, 0.1436330000, 0.1579747000, 0.1908991000, 0.2734294000, 0.5073726000, 1.1842225000", \ - "0.1763889000, 0.1828160000, 0.1978548000, 0.2318321000, 0.3149285000, 0.5477792000, 1.2263611000", \ - "0.2313141000, 0.2387638000, 0.2559056000, 0.2921681000, 0.3765182000, 0.6096989000, 1.2891400000", \ - "0.2845534000, 0.2945381000, 0.3166900000, 0.3593771000, 0.4476765000, 0.6810682000, 1.3550648000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000"); - values("0.0299345000, 0.0330403000, 0.0415794000, 0.0602454000, 0.1064846000, 0.2422293000, 0.6638477000", \ - "0.0296371000, 0.0330950000, 0.0414024000, 0.0605492000, 0.1063452000, 0.2419041000, 0.6641499000", \ - "0.0299386000, 0.0330109000, 0.0415399000, 0.0595342000, 0.1065693000, 0.2421634000, 0.6644975000", \ - "0.0296639000, 0.0330590000, 0.0410142000, 0.0599194000, 0.1065710000, 0.2421447000, 0.6639496000", \ - "0.0300756000, 0.0332108000, 0.0414059000, 0.0596753000, 0.1064786000, 0.2416065000, 0.6633563000", \ - "0.0401013000, 0.0432791000, 0.0514824000, 0.0692921000, 0.1118586000, 0.2435755000, 0.6636997000", \ - "0.0614068000, 0.0653008000, 0.0753478000, 0.0955680000, 0.1374687000, 0.2587044000, 0.6627545000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000"); - values("0.0262797000, 0.0311947000, 0.0444734000, 0.0812766000, 0.1915664000, 0.5251167000, 1.5010247000", \ - "0.0263118000, 0.0312779000, 0.0446028000, 0.0812698000, 0.1918466000, 0.5249897000, 1.5006529000", \ - "0.0262559000, 0.0312214000, 0.0445993000, 0.0812137000, 0.1916287000, 0.5252688000, 1.5057801000", \ - "0.0264730000, 0.0312215000, 0.0445229000, 0.0812302000, 0.1917469000, 0.5251012000, 1.5041181000", \ - "0.0293697000, 0.0343510000, 0.0473197000, 0.0832465000, 0.1922883000, 0.5271021000, 1.5053249000", \ - "0.0371512000, 0.0420075000, 0.0551279000, 0.0892049000, 0.1957395000, 0.5247283000, 1.5004159000", \ - "0.0519232000, 0.0581657000, 0.0724698000, 0.1044502000, 0.2020811000, 0.5270284000, 1.4948588000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and2_4") { - leakage_power () { - value : 0.0045182000; - when : "!A&B"; - } - leakage_power () { - value : 0.0042181000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0049141000; - when : "A&B"; - } - leakage_power () { - value : 0.0045368000; - when : "A&!B"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__and2"; - cell_leakage_power : 0.0045468170; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023220000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022440000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046441000, 0.0046450000, 0.0046471000, 0.0046473000, 0.0046477000, 0.0046486000, 0.0046508000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003813900, -0.003815400, -0.003818900, -0.003812800, -0.003798900, -0.003766900, -0.003693100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023990000; - } - pin ("B") { - capacitance : 0.0024240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022890000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043132000, 0.0043138000, 0.0043151000, 0.0043147000, 0.0043138000, 0.0043118000, 0.0043072000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004314400, -0.004314000, -0.004313200, -0.004313100, -0.004312900, -0.004312500, -0.004311400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025590000; - } - pin ("X") { - direction : "output"; - function : "(A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0219934000, 0.0203575000, 0.0153407000, -0.000571600, -0.056486100, -0.243191300, -0.843738500", \ - "0.0218637000, 0.0202414000, 0.0152177000, -0.000721400, -0.056630900, -0.243270800, -0.843826200", \ - "0.0216027000, 0.0199616000, 0.0148455000, -0.000961400, -0.056924000, -0.243539000, -0.844065200", \ - "0.0213800000, 0.0197347000, 0.0145553000, -0.001499200, -0.057400200, -0.243932800, -0.844342500", \ - "0.0217083000, 0.0199763000, 0.0145601000, -0.001760400, -0.057926300, -0.244305500, -0.844611500", \ - "0.0235541000, 0.0215797000, 0.0157522000, -0.002316000, -0.058359200, -0.243979100, -0.844365700", \ - "0.0291198000, 0.0269686000, 0.0199042000, 0.0011556000, -0.057032300, -0.243583300, -0.843218800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0268359000, 0.0287043000, 0.0347671000, 0.0535348000, 0.1121659000, 0.2986388000, 0.8936575000", \ - "0.0267891000, 0.0286378000, 0.0345587000, 0.0535035000, 0.1123113000, 0.2984654000, 0.8886216000", \ - "0.0265147000, 0.0283975000, 0.0344192000, 0.0534200000, 0.1121571000, 0.2983078000, 0.8937819000", \ - "0.0264661000, 0.0283159000, 0.0343476000, 0.0532022000, 0.1118517000, 0.2980327000, 0.8925930000", \ - "0.0265940000, 0.0284016000, 0.0342972000, 0.0525095000, 0.1109989000, 0.2977092000, 0.8935497000", \ - "0.0281363000, 0.0298973000, 0.0355536000, 0.0536864000, 0.1110265000, 0.2967123000, 0.8928317000", \ - "0.0300397000, 0.0317357000, 0.0372010000, 0.0551988000, 0.1127392000, 0.2987017000, 0.8901778000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0242342000, 0.0226232000, 0.0174575000, 0.0013143000, -0.054620700, -0.241329300, -0.841892600", \ - "0.0241061000, 0.0224827000, 0.0172657000, 0.0013069000, -0.054743600, -0.241436100, -0.842009200", \ - "0.0239825000, 0.0223074000, 0.0171334000, 0.0009634000, -0.055016900, -0.241636800, -0.842178200", \ - "0.0237839000, 0.0221014000, 0.0168350000, 0.0006720000, -0.055315700, -0.241879000, -0.842381100", \ - "0.0239839000, 0.0222619000, 0.0168394000, 0.0003758000, -0.055343300, -0.241928800, -0.842348400", \ - "0.0241729000, 0.0222595000, 0.0164405000, -0.000333700, -0.055474400, -0.241754300, -0.841946200", \ - "0.0310029000, 0.0288509000, 0.0225415000, 0.0047170000, -0.054509000, -0.241373200, -0.841389900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0274649000, 0.0293370000, 0.0352974000, 0.0541880000, 0.1129284000, 0.2988462000, 0.8976207000", \ - "0.0274234000, 0.0292947000, 0.0353569000, 0.0541434000, 0.1128546000, 0.2989904000, 0.8934235000", \ - "0.0271964000, 0.0290773000, 0.0350998000, 0.0540511000, 0.1127472000, 0.2986478000, 0.8940299000", \ - "0.0270387000, 0.0288931000, 0.0349200000, 0.0538420000, 0.1124182000, 0.2984832000, 0.8906550000", \ - "0.0269662000, 0.0288491000, 0.0347595000, 0.0531925000, 0.1118951000, 0.2983111000, 0.8934664000", \ - "0.0278650000, 0.0296570000, 0.0353535000, 0.0535465000, 0.1117268000, 0.2970995000, 0.8926131000", \ - "0.0291608000, 0.0308249000, 0.0364298000, 0.0544184000, 0.1126395000, 0.2987646000, 0.8925423000"); - } - } - max_capacitance : 0.5392550000; - max_transition : 1.5073040000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.1075722000, 0.1108711000, 0.1194063000, 0.1385359000, 0.1792587000, 0.2814725000, 0.5909777000", \ - "0.1128001000, 0.1160923000, 0.1245839000, 0.1437462000, 0.1845300000, 0.2868012000, 0.5967698000", \ - "0.1254879000, 0.1292502000, 0.1377147000, 0.1568275000, 0.1976561000, 0.2999596000, 0.6103894000", \ - "0.1569157000, 0.1602705000, 0.1687679000, 0.1878012000, 0.2287325000, 0.3310373000, 0.6413503000", \ - "0.2290461000, 0.2324625000, 0.2411661000, 0.2602894000, 0.3015657000, 0.4041014000, 0.7136133000", \ - "0.3514737000, 0.3558933000, 0.3672617000, 0.3916203000, 0.4386747000, 0.5434140000, 0.8522572000", \ - "0.5428690000, 0.5485799000, 0.5634483000, 0.5957725000, 0.6554340000, 0.7709175000, 1.0814671000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.1001052000, 0.1044490000, 0.1158731000, 0.1439631000, 0.2175814000, 0.4404515000, 1.1489737000", \ - "0.1042852000, 0.1085675000, 0.1199086000, 0.1480933000, 0.2216752000, 0.4448077000, 1.1530928000", \ - "0.1145495000, 0.1188651000, 0.1303157000, 0.1584149000, 0.2319022000, 0.4550954000, 1.1663756000", \ - "0.1389479000, 0.1432470000, 0.1546415000, 0.1827197000, 0.2562166000, 0.4799561000, 1.1956172000", \ - "0.1854124000, 0.1900212000, 0.2022073000, 0.2309741000, 0.3050086000, 0.5274398000, 1.2392685000", \ - "0.2445943000, 0.2506001000, 0.2655731000, 0.2977021000, 0.3730493000, 0.5964311000, 1.3060746000", \ - "0.3022301000, 0.3098472000, 0.3292155000, 0.3698066000, 0.4514374000, 0.6732024000, 1.3816840000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0217115000, 0.0234817000, 0.0291566000, 0.0426379000, 0.0811186000, 0.2037033000, 0.6203453000", \ - "0.0214940000, 0.0235378000, 0.0291617000, 0.0428483000, 0.0810571000, 0.2035903000, 0.6206806000", \ - "0.0215759000, 0.0235712000, 0.0288988000, 0.0429835000, 0.0811935000, 0.2035723000, 0.6187569000", \ - "0.0215966000, 0.0236828000, 0.0291780000, 0.0429033000, 0.0810994000, 0.2037923000, 0.6189805000", \ - "0.0241568000, 0.0261043000, 0.0312016000, 0.0443087000, 0.0822326000, 0.2042058000, 0.6222320000", \ - "0.0363429000, 0.0388287000, 0.0446289000, 0.0585859000, 0.0932091000, 0.2086272000, 0.6198016000", \ - "0.0564236000, 0.0588638000, 0.0670648000, 0.0834888000, 0.1181764000, 0.2244491000, 0.6188730000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0249653000, 0.0284283000, 0.0381845000, 0.0673825000, 0.1621492000, 0.4790814000, 1.5012275000", \ - "0.0249947000, 0.0283563000, 0.0383610000, 0.0673585000, 0.1621393000, 0.4793477000, 1.5013665000", \ - "0.0249919000, 0.0284311000, 0.0383725000, 0.0672879000, 0.1619728000, 0.4793616000, 1.5033943000", \ - "0.0249676000, 0.0284299000, 0.0382230000, 0.0673482000, 0.1619671000, 0.4784575000, 1.5049285000", \ - "0.0293278000, 0.0325243000, 0.0420544000, 0.0700699000, 0.1630664000, 0.4788117000, 1.5054440000", \ - "0.0399405000, 0.0435059000, 0.0532515000, 0.0787437000, 0.1672937000, 0.4788523000, 1.5021409000", \ - "0.0576111000, 0.0612524000, 0.0734685000, 0.0982774000, 0.1780262000, 0.4818362000, 1.4969254000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.1255966000, 0.1291250000, 0.1381782000, 0.1582270000, 0.2002370000, 0.3035514000, 0.6133271000", \ - "0.1310570000, 0.1345455000, 0.1434573000, 0.1634322000, 0.2055105000, 0.3088259000, 0.6187498000", \ - "0.1438751000, 0.1472799000, 0.1570833000, 0.1771827000, 0.2192748000, 0.3225942000, 0.6325042000", \ - "0.1762233000, 0.1797537000, 0.1888648000, 0.2088671000, 0.2507718000, 0.3541753000, 0.6643017000", \ - "0.2523053000, 0.2557794000, 0.2647994000, 0.2847366000, 0.3259102000, 0.4294096000, 0.7400690000", \ - "0.3940385000, 0.3985494000, 0.4100280000, 0.4343532000, 0.4810699000, 0.5871086000, 0.8975454000", \ - "0.6222710000, 0.6279829000, 0.6433246000, 0.6759321000, 0.7356510000, 0.8508389000, 1.1626645000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.1052030000, 0.1095009000, 0.1209242000, 0.1491337000, 0.2226441000, 0.4451655000, 1.1547054000", \ - "0.1094998000, 0.1138446000, 0.1252630000, 0.1533589000, 0.2269185000, 0.4495909000, 1.1581007000", \ - "0.1185689000, 0.1228791000, 0.1343074000, 0.1624743000, 0.2358514000, 0.4586717000, 1.1697386000", \ - "0.1389187000, 0.1432541000, 0.1546988000, 0.1827786000, 0.2561527000, 0.4791273000, 1.1967589000", \ - "0.1778365000, 0.1824616000, 0.1945080000, 0.2235293000, 0.2976709000, 0.5202719000, 1.2281585000", \ - "0.2311435000, 0.2367548000, 0.2508218000, 0.2824046000, 0.3587645000, 0.5815975000, 1.2940511000", \ - "0.2793611000, 0.2865273000, 0.3049423000, 0.3435750000, 0.4241753000, 0.6473023000, 1.3555471000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0241419000, 0.0259677000, 0.0318131000, 0.0454388000, 0.0839345000, 0.2055038000, 0.6209739000", \ - "0.0241401000, 0.0262818000, 0.0314745000, 0.0455130000, 0.0838626000, 0.2055502000, 0.6215251000", \ - "0.0239680000, 0.0260806000, 0.0315359000, 0.0455867000, 0.0839582000, 0.2055777000, 0.6211461000", \ - "0.0241108000, 0.0262833000, 0.0314738000, 0.0458092000, 0.0839025000, 0.2055762000, 0.6216975000", \ - "0.0246488000, 0.0267049000, 0.0324516000, 0.0460825000, 0.0844081000, 0.2057645000, 0.6185589000", \ - "0.0371110000, 0.0395494000, 0.0451100000, 0.0582432000, 0.0934432000, 0.2088847000, 0.6175619000", \ - "0.0573322000, 0.0602757000, 0.0679052000, 0.0842567000, 0.1184644000, 0.2241975000, 0.6199924000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0249482000, 0.0283355000, 0.0382583000, 0.0674461000, 0.1619367000, 0.4789714000, 1.5073039000", \ - "0.0249776000, 0.0284419000, 0.0381678000, 0.0673835000, 0.1621627000, 0.4791031000, 1.5024892000", \ - "0.0249770000, 0.0284064000, 0.0383676000, 0.0672702000, 0.1618237000, 0.4792354000, 1.5032409000", \ - "0.0249320000, 0.0283174000, 0.0383013000, 0.0672791000, 0.1617747000, 0.4792219000, 1.5017381000", \ - "0.0279188000, 0.0314126000, 0.0412130000, 0.0695779000, 0.1629917000, 0.4805606000, 1.5029451000", \ - "0.0358768000, 0.0395254000, 0.0489308000, 0.0766307000, 0.1666984000, 0.4782073000, 1.5043545000", \ - "0.0512571000, 0.0550663000, 0.0661057000, 0.0927317000, 0.1760204000, 0.4814027000, 1.4971808000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and2b_1") { - leakage_power () { - value : 0.0011725000; - when : "!A_N&B"; - } - leakage_power () { - value : 0.0064238000; - when : "!A_N&!B"; - } - leakage_power () { - value : 0.0069574000; - when : "A_N&B"; - } - leakage_power () { - value : 0.0066454000; - when : "A_N&!B"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__and2b"; - cell_leakage_power : 0.0052998180; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0015580000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014960000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0064953000, 0.0063858000, 0.0061335000, 0.0061711000, 0.0062576000, 0.0064572000, 0.0069170000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022895000, 0.0022114000, 0.0020313000, 0.0020622000, 0.0021334000, 0.0022975000, 0.0026757000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016200000; - } - pin ("B") { - capacitance : 0.0016410000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027312000, 0.0027277000, 0.0027197000, 0.0027198000, 0.0027198000, 0.0027200000, 0.0027203000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002728500, -0.002722700, -0.002709400, -0.002709600, -0.002710100, -0.002711200, -0.002713800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017100000; - } - pin ("X") { - direction : "output"; - function : "(!A_N&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0074935000, 0.0063767000, 0.0034907000, -0.005056500, -0.029153200, -0.093596400, -0.263855300", \ - "0.0074615000, 0.0063473000, 0.0034617000, -0.005088800, -0.029187500, -0.093631300, -0.264031400", \ - "0.0074080000, 0.0063151000, 0.0034000000, -0.005160700, -0.029252100, -0.093707900, -0.264134800", \ - "0.0070621000, 0.0059710000, 0.0030545000, -0.005501000, -0.029594100, -0.094028400, -0.264467200", \ - "0.0067670000, 0.0056730000, 0.0027625000, -0.005808100, -0.029885500, -0.094320200, -0.264753400", \ - "0.0077758000, 0.0066284000, 0.0032824000, -0.005677700, -0.029961900, -0.094385000, -0.264800500", \ - "0.0080097000, 0.0067373000, 0.0033607000, -0.005765000, -0.029866000, -0.094216000, -0.264709000"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0107229000, 0.0121567000, 0.0157871000, 0.0250888000, 0.0492976000, 0.1130790000, 0.2833514000", \ - "0.0106409000, 0.0120710000, 0.0156960000, 0.0250075000, 0.0492152000, 0.1136795000, 0.2834403000", \ - "0.0107082000, 0.0121188000, 0.0157694000, 0.0250929000, 0.0493041000, 0.1138411000, 0.2817097000", \ - "0.0105537000, 0.0119603000, 0.0156124000, 0.0249333000, 0.0491499000, 0.1136807000, 0.2814867000", \ - "0.0103154000, 0.0117464000, 0.0154094000, 0.0246977000, 0.0488982000, 0.1134589000, 0.2815859000", \ - "0.0102670000, 0.0116193000, 0.0151769000, 0.0246308000, 0.0487984000, 0.1125745000, 0.2815379000", \ - "0.0104464000, 0.0118109000, 0.0153750000, 0.0246923000, 0.0486490000, 0.1129486000, 0.2819707000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0079535000, 0.0068127000, 0.0037296000, -0.005028500, -0.029246400, -0.093751500, -0.264195900", \ - "0.0078302000, 0.0066852000, 0.0036332000, -0.005133400, -0.029355600, -0.093849300, -0.264271400", \ - "0.0076646000, 0.0065152000, 0.0034400000, -0.005323900, -0.029505300, -0.094004600, -0.264458400", \ - "0.0075132000, 0.0063450000, 0.0032648000, -0.005498800, -0.029690700, -0.094144300, -0.264577000", \ - "0.0075533000, 0.0063529000, 0.0032511000, -0.005557400, -0.029729100, -0.094161200, -0.264560000", \ - "0.0079112000, 0.0065782000, 0.0030516000, -0.005624900, -0.029706400, -0.094081200, -0.264467000", \ - "0.0090901000, 0.0077078000, 0.0040932000, -0.005091400, -0.029483800, -0.093712200, -0.264085800"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0110563000, 0.0125044000, 0.0161606000, 0.0253488000, 0.0494525000, 0.1132007000, 0.2816314000", \ - "0.0109835000, 0.0124374000, 0.0160377000, 0.0253371000, 0.0494307000, 0.1138509000, 0.2834915000", \ - "0.0108426000, 0.0122828000, 0.0159265000, 0.0251935000, 0.0493201000, 0.1131013000, 0.2831438000", \ - "0.0106853000, 0.0120959000, 0.0157381000, 0.0250403000, 0.0492392000, 0.1130062000, 0.2820709000", \ - "0.0106267000, 0.0119908000, 0.0155867000, 0.0248663000, 0.0490749000, 0.1136625000, 0.2830712000", \ - "0.0109476000, 0.0122623000, 0.0157790000, 0.0248220000, 0.0491127000, 0.1131103000, 0.2814059000", \ - "0.0113153000, 0.0126001000, 0.0161412000, 0.0253813000, 0.0496870000, 0.1136278000, 0.2821418000"); - } - } - max_capacitance : 0.1693830000; - max_transition : 1.5054720000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.1392650000, 0.1458357000, 0.1593139000, 0.1860438000, 0.2419807000, 0.3768078000, 0.7286305000", \ - "0.1439327000, 0.1504916000, 0.1639517000, 0.1906770000, 0.2466204000, 0.3814537000, 0.7333245000", \ - "0.1545366000, 0.1611028000, 0.1746691000, 0.2012972000, 0.2572374000, 0.3919094000, 0.7436498000", \ - "0.1746736000, 0.1812186000, 0.1947530000, 0.2214205000, 0.2773547000, 0.4121894000, 0.7641930000", \ - "0.2036706000, 0.2102273000, 0.2238358000, 0.2504734000, 0.3064524000, 0.4414209000, 0.7934335000", \ - "0.2392959000, 0.2455047000, 0.2592673000, 0.2861039000, 0.3422300000, 0.4769604000, 0.8288117000", \ - "0.2733526000, 0.2799868000, 0.2935377000, 0.3203983000, 0.3766646000, 0.5115428000, 0.8643506000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.1492003000, 0.1564235000, 0.1725819000, 0.2099364000, 0.3030909000, 0.5486901000, 1.1914337000", \ - "0.1536454000, 0.1609205000, 0.1770845000, 0.2142684000, 0.3072854000, 0.5510123000, 1.1956970000", \ - "0.1664387000, 0.1736225000, 0.1899007000, 0.2272088000, 0.3200731000, 0.5638116000, 1.2061662000", \ - "0.1981163000, 0.2052915000, 0.2215710000, 0.2588745000, 0.3518001000, 0.5950800000, 1.2392886000", \ - "0.2639613000, 0.2712435000, 0.2875205000, 0.3248054000, 0.4179941000, 0.6613859000, 1.3083492000", \ - "0.3708452000, 0.3782895000, 0.3947194000, 0.4321646000, 0.5255128000, 0.7693361000, 1.4148568000", \ - "0.5401635000, 0.5480243000, 0.5649956000, 0.6030036000, 0.6965747000, 0.9404294000, 1.5831167000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0229365000, 0.0271649000, 0.0375263000, 0.0616576000, 0.1235068000, 0.2968437000, 0.7630824000", \ - "0.0229216000, 0.0274942000, 0.0375277000, 0.0616572000, 0.1235014000, 0.2969376000, 0.7718066000", \ - "0.0229698000, 0.0270342000, 0.0374335000, 0.0618211000, 0.1237793000, 0.2987758000, 0.7640988000", \ - "0.0227934000, 0.0271371000, 0.0375491000, 0.0616255000, 0.1237057000, 0.2969390000, 0.7695316000", \ - "0.0228061000, 0.0273095000, 0.0374318000, 0.0617115000, 0.1238234000, 0.2988951000, 0.7665937000", \ - "0.0230600000, 0.0279017000, 0.0380791000, 0.0619374000, 0.1239544000, 0.2943655000, 0.7700216000", \ - "0.0238065000, 0.0281861000, 0.0389182000, 0.0624980000, 0.1242564000, 0.2972474000, 0.7656155000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0241662000, 0.0310191000, 0.0485768000, 0.0960339000, 0.2266130000, 0.5766232000, 1.5031136000", \ - "0.0240961000, 0.0309602000, 0.0487198000, 0.0961521000, 0.2262074000, 0.5774111000, 1.5046057000", \ - "0.0241192000, 0.0310659000, 0.0486482000, 0.0960338000, 0.2265092000, 0.5784240000, 1.4968609000", \ - "0.0241428000, 0.0310903000, 0.0486816000, 0.0960221000, 0.2264206000, 0.5782594000, 1.4972334000", \ - "0.0245398000, 0.0313107000, 0.0488383000, 0.0962749000, 0.2264389000, 0.5780660000, 1.5047629000", \ - "0.0254376000, 0.0322740000, 0.0496234000, 0.0969410000, 0.2259456000, 0.5752397000, 1.5001612000", \ - "0.0281758000, 0.0347108000, 0.0514864000, 0.0978821000, 0.2269993000, 0.5736586000, 1.4927060000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.1199863000, 0.1265781000, 0.1400131000, 0.1666531000, 0.2224236000, 0.3570231000, 0.7099723000", \ - "0.1250548000, 0.1316339000, 0.1452184000, 0.1716032000, 0.2274099000, 0.3621982000, 0.7145364000", \ - "0.1379036000, 0.1444814000, 0.1580019000, 0.1845344000, 0.2403661000, 0.3751293000, 0.7278572000", \ - "0.1694781000, 0.1760865000, 0.1896301000, 0.2161382000, 0.2720285000, 0.4068058000, 0.7591652000", \ - "0.2458634000, 0.2524315000, 0.2658675000, 0.2925810000, 0.3485419000, 0.4834041000, 0.8373479000", \ - "0.3838306000, 0.3921876000, 0.4088603000, 0.4390783000, 0.4983279000, 0.6343951000, 0.9872323000", \ - "0.6065288000, 0.6179148000, 0.6395095000, 0.6781659000, 0.7451771000, 0.8840089000, 1.2363512000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0838781000, 0.0911042000, 0.1072763000, 0.1442256000, 0.2366408000, 0.4805009000, 1.1243945000", \ - "0.0882505000, 0.0954667000, 0.1115282000, 0.1487114000, 0.2411155000, 0.4848837000, 1.1286794000", \ - "0.0967619000, 0.1039781000, 0.1201182000, 0.1572448000, 0.2501456000, 0.4934158000, 1.1372914000", \ - "0.1150863000, 0.1223202000, 0.1385309000, 0.1757465000, 0.2687521000, 0.5120033000, 1.1563656000", \ - "0.1460576000, 0.1538838000, 0.1709790000, 0.2088468000, 0.3023193000, 0.5465656000, 1.1936251000", \ - "0.1858267000, 0.1949655000, 0.2141958000, 0.2538680000, 0.3476875000, 0.5917902000, 1.2352768000", \ - "0.2123323000, 0.2249135000, 0.2497402000, 0.2948591000, 0.3904713000, 0.6353933000, 1.2774820000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0234037000, 0.0278373000, 0.0380796000, 0.0620759000, 0.1241979000, 0.2967690000, 0.7654454000", \ - "0.0236030000, 0.0279404000, 0.0381719000, 0.0621983000, 0.1240991000, 0.2979788000, 0.7661394000", \ - "0.0234166000, 0.0277736000, 0.0379419000, 0.0621270000, 0.1239001000, 0.2991705000, 0.7670851000", \ - "0.0237789000, 0.0280063000, 0.0381488000, 0.0621028000, 0.1242366000, 0.2974057000, 0.7646313000", \ - "0.0246207000, 0.0288136000, 0.0387680000, 0.0626422000, 0.1240993000, 0.2971583000, 0.7674734000", \ - "0.0349228000, 0.0397025000, 0.0493984000, 0.0722056000, 0.1296210000, 0.2985638000, 0.7692271000", \ - "0.0518292000, 0.0580550000, 0.0698601000, 0.0927064000, 0.1459282000, 0.3053101000, 0.7645866000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0237516000, 0.0306288000, 0.0484043000, 0.0960915000, 0.2264680000, 0.5761326000, 1.4949072000", \ - "0.0237342000, 0.0306288000, 0.0484193000, 0.0960477000, 0.2265569000, 0.5785052000, 1.5040148000", \ - "0.0237889000, 0.0306684000, 0.0483784000, 0.0960552000, 0.2265904000, 0.5765163000, 1.5020080000", \ - "0.0243544000, 0.0312152000, 0.0486778000, 0.0960202000, 0.2264808000, 0.5765746000, 1.5013124000", \ - "0.0273969000, 0.0342241000, 0.0515200000, 0.0979892000, 0.2265500000, 0.5788929000, 1.5054720000", \ - "0.0349308000, 0.0413823000, 0.0576584000, 0.1019669000, 0.2287239000, 0.5751755000, 1.5006382000", \ - "0.0493190000, 0.0568586000, 0.0746315000, 0.1130123000, 0.2315859000, 0.5774929000, 1.4931757000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and2b_2") { - leakage_power () { - value : 0.0015416000; - when : "!A_N&B"; - } - leakage_power () { - value : 0.0059357000; - when : "!A_N&!B"; - } - leakage_power () { - value : 0.0064236000; - when : "A_N&B"; - } - leakage_power () { - value : 0.0060981000; - when : "A_N&!B"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__and2b"; - cell_leakage_power : 0.0049997770; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0015530000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0064813000, 0.0063732000, 0.0061239000, 0.0061612000, 0.0062472000, 0.0064452000, 0.0069018000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022962000, 0.0022188000, 0.0020405000, 0.0020716000, 0.0021433000, 0.0023085000, 0.0026894000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016140000; - } - pin ("B") { - capacitance : 0.0016150000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015410000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027262000, 0.0027243000, 0.0027198000, 0.0027199000, 0.0027202000, 0.0027208000, 0.0027221000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002710100, -0.002710800, -0.002712300, -0.002712100, -0.002711700, -0.002710700, -0.002708400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016890000; - } - pin ("X") { - direction : "output"; - function : "(!A_N&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0108792000, 0.0094126000, 0.0054275000, -0.006232900, -0.043590600, -0.156254400, -0.486800900", \ - "0.0108308000, 0.0093985000, 0.0053140000, -0.006342200, -0.043610300, -0.156300000, -0.486769300", \ - "0.0107778000, 0.0092629000, 0.0053128000, -0.006348100, -0.043652300, -0.156319700, -0.486842300", \ - "0.0105191000, 0.0090044000, 0.0049527000, -0.006711000, -0.043993300, -0.156676700, -0.487184000", \ - "0.0101963000, 0.0087101000, 0.0046716000, -0.007022000, -0.044321100, -0.157009700, -0.487485000", \ - "0.0124212000, 0.0108659000, 0.0064972000, -0.006419200, -0.044510700, -0.157127400, -0.487644600", \ - "0.0127006000, 0.0111210000, 0.0067206000, -0.006268700, -0.044214200, -0.157013100, -0.487567300"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0155175000, 0.0171418000, 0.0219818000, 0.0355831000, 0.0741804000, 0.1862382000, 0.5137075000", \ - "0.0154663000, 0.0171357000, 0.0218730000, 0.0354698000, 0.0740790000, 0.1860376000, 0.5139083000", \ - "0.0155659000, 0.0172119000, 0.0219543000, 0.0355559000, 0.0742195000, 0.1862010000, 0.5159220000", \ - "0.0153662000, 0.0170012000, 0.0218045000, 0.0354153000, 0.0740529000, 0.1862345000, 0.5163918000", \ - "0.0152050000, 0.0168890000, 0.0216786000, 0.0352736000, 0.0738608000, 0.1859678000, 0.5108036000", \ - "0.0150623000, 0.0166123000, 0.0214041000, 0.0351211000, 0.0735112000, 0.1855893000, 0.5135332000", \ - "0.0157211000, 0.0173135000, 0.0219765000, 0.0352086000, 0.0734418000, 0.1862541000, 0.5121162000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0113806000, 0.0098560000, 0.0057794000, -0.006146600, -0.043783300, -0.156513100, -0.487087800", \ - "0.0113027000, 0.0098028000, 0.0056985000, -0.006236500, -0.043839100, -0.156605300, -0.487170600", \ - "0.0111453000, 0.0096247000, 0.0055308000, -0.006377700, -0.043952400, -0.156756400, -0.487313900", \ - "0.0110554000, 0.0095119000, 0.0053740000, -0.006559200, -0.044155800, -0.156956300, -0.487471600", \ - "0.0110127000, 0.0094304000, 0.0052755000, -0.006737000, -0.044439100, -0.157052100, -0.487506200", \ - "0.0114175000, 0.0098331000, 0.0054978000, -0.006637800, -0.044396600, -0.156963400, -0.487440200", \ - "0.0148845000, 0.0130182000, 0.0080482000, -0.005472600, -0.044016500, -0.156927100, -0.487249700"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0159053000, 0.0175599000, 0.0223863000, 0.0360007000, 0.0743126000, 0.1862654000, 0.5135810000", \ - "0.0158601000, 0.0175341000, 0.0222775000, 0.0358765000, 0.0744294000, 0.1863308000, 0.5136738000", \ - "0.0157938000, 0.0174182000, 0.0222373000, 0.0358409000, 0.0743407000, 0.1861464000, 0.5137789000", \ - "0.0156762000, 0.0173154000, 0.0221337000, 0.0357178000, 0.0742875000, 0.1864110000, 0.5140683000", \ - "0.0155829000, 0.0171979000, 0.0219188000, 0.0354100000, 0.0739139000, 0.1859981000, 0.5139336000", \ - "0.0163628000, 0.0179149000, 0.0225114000, 0.0356838000, 0.0739361000, 0.1855171000, 0.5159234000", \ - "0.0168520000, 0.0182865000, 0.0226891000, 0.0361789000, 0.0747322000, 0.1867609000, 0.5132093000"); - } - } - max_capacitance : 0.3103740000; - max_transition : 1.5057120000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.1765197000, 0.1826900000, 0.1964538000, 0.2238739000, 0.2770446000, 0.3992628000, 0.7336132000", \ - "0.1812401000, 0.1874475000, 0.2012143000, 0.2284999000, 0.2817818000, 0.4040056000, 0.7389081000", \ - "0.1920104000, 0.1981920000, 0.2119543000, 0.2392622000, 0.2924937000, 0.4146393000, 0.7489811000", \ - "0.2122167000, 0.2183911000, 0.2321217000, 0.2592470000, 0.3127891000, 0.4348031000, 0.7688479000", \ - "0.2405787000, 0.2467121000, 0.2604159000, 0.2878207000, 0.3412467000, 0.4634101000, 0.7977892000", \ - "0.2760639000, 0.2822936000, 0.2960673000, 0.3233853000, 0.3770129000, 0.4993315000, 0.8339669000", \ - "0.3090702000, 0.3152641000, 0.3290872000, 0.3555608000, 0.4093780000, 0.5316387000, 0.8663003000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.1700012000, 0.1764596000, 0.1914246000, 0.2248580000, 0.3074179000, 0.5403244000, 1.2168544000", \ - "0.1745668000, 0.1810082000, 0.1958455000, 0.2293528000, 0.3120524000, 0.5445739000, 1.2214870000", \ - "0.1874478000, 0.1939096000, 0.2087614000, 0.2422800000, 0.3250639000, 0.5585487000, 1.2357744000", \ - "0.2193295000, 0.2257749000, 0.2407577000, 0.2741757000, 0.3569918000, 0.5895782000, 1.2677824000", \ - "0.2855137000, 0.2920449000, 0.3069631000, 0.3404786000, 0.4231627000, 0.6565340000, 1.3352207000", \ - "0.3939680000, 0.4005561000, 0.4157922000, 0.4494802000, 0.5322542000, 0.7650081000, 1.4412966000", \ - "0.5672018000, 0.5740460000, 0.5896172000, 0.6236842000, 0.7065830000, 0.9393776000, 1.6174574000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0275449000, 0.0311855000, 0.0400301000, 0.0598609000, 0.1088450000, 0.2512204000, 0.6985473000", \ - "0.0274724000, 0.0315213000, 0.0401271000, 0.0596366000, 0.1088919000, 0.2517871000, 0.6968691000", \ - "0.0273229000, 0.0311943000, 0.0399298000, 0.0597897000, 0.1088531000, 0.2518135000, 0.6950513000", \ - "0.0273571000, 0.0312749000, 0.0399482000, 0.0600923000, 0.1086337000, 0.2517471000, 0.6987399000", \ - "0.0275425000, 0.0315245000, 0.0401670000, 0.0601009000, 0.1088526000, 0.2522600000, 0.6990807000", \ - "0.0276124000, 0.0315115000, 0.0401721000, 0.0604002000, 0.1089065000, 0.2502583000, 0.6992885000", \ - "0.0280589000, 0.0319782000, 0.0405452000, 0.0605014000, 0.1091105000, 0.2519601000, 0.6930780000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0241164000, 0.0294162000, 0.0426465000, 0.0789264000, 0.1885448000, 0.5233995000, 1.5029606000", \ - "0.0242268000, 0.0293478000, 0.0426587000, 0.0788475000, 0.1886505000, 0.5215075000, 1.5023333000", \ - "0.0242517000, 0.0294196000, 0.0426909000, 0.0789661000, 0.1884785000, 0.5210411000, 1.5051221000", \ - "0.0241711000, 0.0295066000, 0.0425804000, 0.0787838000, 0.1886021000, 0.5218548000, 1.5027881000", \ - "0.0243175000, 0.0294999000, 0.0426559000, 0.0789893000, 0.1887480000, 0.5225230000, 1.4983328000", \ - "0.0254398000, 0.0304469000, 0.0435469000, 0.0796179000, 0.1887182000, 0.5211069000, 1.5009949000", \ - "0.0272476000, 0.0325890000, 0.0451597000, 0.0809771000, 0.1893824000, 0.5202188000, 1.4981324000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.1564695000, 0.1627235000, 0.1766041000, 0.2038083000, 0.2571247000, 0.3791034000, 0.7136676000", \ - "0.1616480000, 0.1679492000, 0.1817965000, 0.2090265000, 0.2622648000, 0.3843298000, 0.7189223000", \ - "0.1748777000, 0.1811492000, 0.1948327000, 0.2220547000, 0.2753445000, 0.3969962000, 0.7320680000", \ - "0.2066890000, 0.2129821000, 0.2267970000, 0.2539117000, 0.3072141000, 0.4293031000, 0.7636931000", \ - "0.2835548000, 0.2898588000, 0.3035320000, 0.3307198000, 0.3840480000, 0.5055241000, 0.8406457000", \ - "0.4431867000, 0.4505371000, 0.4664087000, 0.4964100000, 0.5521855000, 0.6748083000, 1.0097071000", \ - "0.7058621000, 0.7154256000, 0.7360412000, 0.7755994000, 0.8426595000, 0.9744512000, 1.3107380000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.1041473000, 0.1106062000, 0.1255237000, 0.1589402000, 0.2413441000, 0.4742567000, 1.1530479000", \ - "0.1085822000, 0.1150315000, 0.1298314000, 0.1632795000, 0.2458584000, 0.4784904000, 1.1575024000", \ - "0.1172795000, 0.1236506000, 0.1385256000, 0.1719698000, 0.2543676000, 0.4865210000, 1.1651460000", \ - "0.1365768000, 0.1430329000, 0.1579463000, 0.1912442000, 0.2738140000, 0.5063004000, 1.1864466000", \ - "0.1735315000, 0.1804587000, 0.1962489000, 0.2305827000, 0.3137835000, 0.5464035000, 1.2258224000", \ - "0.2269366000, 0.2352428000, 0.2532024000, 0.2900981000, 0.3746165000, 0.6075824000, 1.2877264000", \ - "0.2782292000, 0.2890176000, 0.3121403000, 0.3562502000, 0.4450668000, 0.6776250000, 1.3545746000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0282495000, 0.0322083000, 0.0407479000, 0.0602929000, 0.1088637000, 0.2512010000, 0.6936987000", \ - "0.0284679000, 0.0321021000, 0.0406383000, 0.0602939000, 0.1088866000, 0.2512373000, 0.6936955000", \ - "0.0282731000, 0.0321590000, 0.0408664000, 0.0605419000, 0.1090090000, 0.2513964000, 0.6925337000", \ - "0.0284941000, 0.0321223000, 0.0407259000, 0.0603876000, 0.1088850000, 0.2514856000, 0.6988451000", \ - "0.0284399000, 0.0321190000, 0.0411502000, 0.0603762000, 0.1088499000, 0.2518471000, 0.6957749000", \ - "0.0374613000, 0.0414614000, 0.0502299000, 0.0685562000, 0.1142293000, 0.2532353000, 0.6936869000", \ - "0.0576871000, 0.0628522000, 0.0737636000, 0.0940272000, 0.1379205000, 0.2664464000, 0.6958203000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0240450000, 0.0292072000, 0.0424155000, 0.0787000000, 0.1886049000, 0.5227538000, 1.5022815000", \ - "0.0240257000, 0.0291248000, 0.0423920000, 0.0788752000, 0.1887371000, 0.5227566000, 1.5028242000", \ - "0.0240263000, 0.0292938000, 0.0423970000, 0.0788919000, 0.1883724000, 0.5214431000, 1.5033279000", \ - "0.0241072000, 0.0291038000, 0.0424409000, 0.0788079000, 0.1887295000, 0.5219845000, 1.5052749000", \ - "0.0269120000, 0.0321105000, 0.0454773000, 0.0808025000, 0.1892352000, 0.5217343000, 1.5011768000", \ - "0.0338178000, 0.0393770000, 0.0528795000, 0.0866986000, 0.1925336000, 0.5219295000, 1.5057117000", \ - "0.0481104000, 0.0550341000, 0.0694728000, 0.1029067000, 0.1994503000, 0.5243244000, 1.4979014000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and2b_4") { - leakage_power () { - value : 0.0054714000; - when : "!A_N&B"; - } - leakage_power () { - value : 0.0041313000; - when : "!A_N&!B"; - } - leakage_power () { - value : 0.0044127000; - when : "A_N&B"; - } - leakage_power () { - value : 0.0041132000; - when : "A_N&!B"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__and2b"; - cell_leakage_power : 0.0045321500; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0014540000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013970000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0069181000, 0.0068267000, 0.0066159000, 0.0066588000, 0.0067576000, 0.0069855000, 0.0075106000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0050925000, 0.0050377000, 0.0049115000, 0.0049469000, 0.0050286000, 0.0052168000, 0.0056507000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015110000; - } - pin ("B") { - capacitance : 0.0024580000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043432000, 0.0043435000, 0.0043443000, 0.0043440000, 0.0043435000, 0.0043421000, 0.0043391000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004348900, -0.004349100, -0.004349500, -0.004350000, -0.004351000, -0.004353200, -0.004358500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026040000; - } - pin ("X") { - direction : "output"; - function : "(!A_N&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015642280, 0.0048936190, 0.0153094700, 0.0478950100, 0.1498374000, 0.4687599000"); - values("0.0214844000, 0.0199401000, 0.0150008000, 0.0004092000, -0.049443900, -0.213402300, -0.729670700", \ - "0.0214899000, 0.0199418000, 0.0150411000, 0.0004189000, -0.049444500, -0.213348400, -0.729760800", \ - "0.0213677000, 0.0198618000, 0.0149783000, 0.0003388000, -0.049494400, -0.213402300, -0.729663500", \ - "0.0210931000, 0.0194732000, 0.0146011000, -6.33000e-05, -0.049892300, -0.213790400, -0.730176500", \ - "0.0207515000, 0.0191785000, 0.0143269000, -0.000402700, -0.050269900, -0.214214400, -0.730480600", \ - "0.0241251000, 0.0225347000, 0.0174741000, 0.0014506000, -0.050355800, -0.214494100, -0.730766700", \ - "0.0246035000, 0.0229025000, 0.0178074000, 0.0016917000, -0.050025900, -0.214207900, -0.730746700"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015642280, 0.0048936190, 0.0153094700, 0.0478950100, 0.1498374000, 0.4687599000"); - values("0.0288406000, 0.0306420000, 0.0361764000, 0.0535890000, 0.1065358000, 0.2702767000, 0.7815690000", \ - "0.0287390000, 0.0305591000, 0.0361332000, 0.0535413000, 0.1065131000, 0.2701249000, 0.7815219000", \ - "0.0287716000, 0.0305924000, 0.0361678000, 0.0535745000, 0.1065484000, 0.2701647000, 0.7815829000", \ - "0.0285645000, 0.0303986000, 0.0360654000, 0.0533311000, 0.1063256000, 0.2699368000, 0.7811642000", \ - "0.0284143000, 0.0301710000, 0.0357929000, 0.0532866000, 0.1060729000, 0.2698771000, 0.7817430000", \ - "0.0280377000, 0.0298441000, 0.0354905000, 0.0528951000, 0.1056788000, 0.2694481000, 0.7817898000", \ - "0.0291359000, 0.0308635000, 0.0363579000, 0.0535204000, 0.1054638000, 0.2694952000, 0.7800839000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015642280, 0.0048936190, 0.0153094700, 0.0478950100, 0.1498374000, 0.4687599000"); - values("0.0223316000, 0.0206939000, 0.0156312000, 0.0007665000, -0.049634400, -0.213915800, -0.730453800", \ - "0.0222662000, 0.0205346000, 0.0155544000, 0.0005715000, -0.049784400, -0.214048700, -0.730555700", \ - "0.0219147000, 0.0203632000, 0.0152287000, 0.0003549000, -0.050001100, -0.214225700, -0.730731400", \ - "0.0218287000, 0.0201232000, 0.0150372000, 0.0001153000, -0.050192900, -0.214391400, -0.730901400", \ - "0.0219508000, 0.0202555000, 0.0150905000, -0.000148600, -0.050505100, -0.214634000, -0.730999700", \ - "0.0217128000, 0.0199013000, 0.0144801000, -0.000698400, -0.050215000, -0.214327000, -0.730720300", \ - "0.0287738000, 0.0267308000, 0.0207150000, 0.0033202000, -0.049179300, -0.213765400, -0.729719400"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015642280, 0.0048936190, 0.0153094700, 0.0478950100, 0.1498374000, 0.4687599000"); - values("0.0291431000, 0.0309616000, 0.0366713000, 0.0539651000, 0.1069154000, 0.2704652000, 0.7818152000", \ - "0.0290457000, 0.0308822000, 0.0365904000, 0.0538623000, 0.1068531000, 0.2704659000, 0.7818133000", \ - "0.0288127000, 0.0306313000, 0.0363510000, 0.0538437000, 0.1067072000, 0.2702150000, 0.7817056000", \ - "0.0286126000, 0.0304313000, 0.0361218000, 0.0536087000, 0.1064102000, 0.2700218000, 0.7784069000", \ - "0.0285004000, 0.0302659000, 0.0358464000, 0.0531117000, 0.1058226000, 0.2698109000, 0.7817476000", \ - "0.0293982000, 0.0311254000, 0.0365500000, 0.0536773000, 0.1057829000, 0.2689911000, 0.7807458000", \ - "0.0307100000, 0.0323451000, 0.0375953000, 0.0542615000, 0.1066638000, 0.2706210000, 0.7789450000"); - } - } - max_capacitance : 0.4687600000; - max_transition : 1.5039480000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015642300, 0.0048936200, 0.0153095000, 0.0478950000, 0.1498370000, 0.4687600000"); - values("0.1525040000, 0.1559737000, 0.1647313000, 0.1839920000, 0.2238943000, 0.3180468000, 0.5880077000", \ - "0.1574602000, 0.1608749000, 0.1696388000, 0.1888790000, 0.2287818000, 0.3229747000, 0.5929557000", \ - "0.1685003000, 0.1719269000, 0.1806698000, 0.1998986000, 0.2398791000, 0.3341059000, 0.6040799000", \ - "0.1906725000, 0.1940988000, 0.2028259000, 0.2220486000, 0.2620339000, 0.3562932000, 0.6262795000", \ - "0.2230246000, 0.2264390000, 0.2351853000, 0.2542172000, 0.2943167000, 0.3885509000, 0.6585468000", \ - "0.2621152000, 0.2655511000, 0.2742631000, 0.2935395000, 0.3335723000, 0.4278102000, 0.6977170000", \ - "0.2969825000, 0.3004292000, 0.3091984000, 0.3286188000, 0.3686643000, 0.4631193000, 0.7328454000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015642300, 0.0048936200, 0.0153095000, 0.0478950000, 0.1498370000, 0.4687600000"); - values("0.2071954000, 0.2117725000, 0.2237393000, 0.2527170000, 0.3277657000, 0.5502360000, 1.2431863000", \ - "0.2121058000, 0.2167007000, 0.2286616000, 0.2578730000, 0.3329941000, 0.5553165000, 1.2486631000", \ - "0.2248281000, 0.2294259000, 0.2413418000, 0.2705588000, 0.3457127000, 0.5680223000, 1.2616226000", \ - "0.2564942000, 0.2610872000, 0.2730822000, 0.3022027000, 0.3773448000, 0.5997841000, 1.2920109000", \ - "0.3281263000, 0.3326966000, 0.3446962000, 0.3738955000, 0.4489795000, 0.6715041000, 1.3650522000", \ - "0.4584035000, 0.4630132000, 0.4752512000, 0.5046477000, 0.5798538000, 0.8021922000, 1.4978307000", \ - "0.6680334000, 0.6729010000, 0.6854993000, 0.7152617000, 0.7908580000, 1.0128874000, 1.7057079000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015642300, 0.0048936200, 0.0153095000, 0.0478950000, 0.1498370000, 0.4687600000"); - values("0.0227500000, 0.0248082000, 0.0302902000, 0.0437735000, 0.0799080000, 0.1879645000, 0.5446730000", \ - "0.0226964000, 0.0248792000, 0.0301707000, 0.0440669000, 0.0799268000, 0.1877311000, 0.5448509000", \ - "0.0227802000, 0.0249406000, 0.0304015000, 0.0441955000, 0.0799434000, 0.1878192000, 0.5474244000", \ - "0.0228119000, 0.0249689000, 0.0305511000, 0.0441906000, 0.0798973000, 0.1877699000, 0.5448685000", \ - "0.0228221000, 0.0249039000, 0.0304525000, 0.0439730000, 0.0800149000, 0.1877735000, 0.5438209000", \ - "0.0229147000, 0.0250126000, 0.0307691000, 0.0439690000, 0.0800412000, 0.1882724000, 0.5429543000", \ - "0.0237342000, 0.0255906000, 0.0310960000, 0.0449497000, 0.0805503000, 0.1882627000, 0.5459009000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015642300, 0.0048936200, 0.0153095000, 0.0478950000, 0.1498370000, 0.4687600000"); - values("0.0269578000, 0.0307501000, 0.0413454000, 0.0720925000, 0.1704095000, 0.4900977000, 1.4963489000", \ - "0.0270504000, 0.0306544000, 0.0413375000, 0.0720693000, 0.1701250000, 0.4903706000, 1.5000575000", \ - "0.0270451000, 0.0306383000, 0.0413474000, 0.0720985000, 0.1701321000, 0.4903658000, 1.5000636000", \ - "0.0270770000, 0.0307595000, 0.0411919000, 0.0721366000, 0.1701710000, 0.4905040000, 1.4984753000", \ - "0.0269287000, 0.0306832000, 0.0413161000, 0.0721215000, 0.1699670000, 0.4900300000, 1.4977520000", \ - "0.0280898000, 0.0316972000, 0.0421293000, 0.0727140000, 0.1707749000, 0.4904914000, 1.5002463000", \ - "0.0299873000, 0.0338858000, 0.0440934000, 0.0742429000, 0.1716449000, 0.4896025000, 1.4963113000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015642300, 0.0048936200, 0.0153095000, 0.0478950000, 0.1498370000, 0.4687600000"); - values("0.1316848000, 0.1353687000, 0.1446318000, 0.1646666000, 0.2053472000, 0.3002324000, 0.5702737000", \ - "0.1368973000, 0.1405009000, 0.1497083000, 0.1697061000, 0.2106561000, 0.3054147000, 0.5751543000", \ - "0.1498903000, 0.1535133000, 0.1626718000, 0.1825710000, 0.2232676000, 0.3188307000, 0.5887690000", \ - "0.1818070000, 0.1855153000, 0.1947889000, 0.2147852000, 0.2554761000, 0.3503776000, 0.6204108000", \ - "0.2575673000, 0.2611591000, 0.2702720000, 0.2898364000, 0.3307886000, 0.4257503000, 0.6958826000", \ - "0.4005018000, 0.4050661000, 0.4164728000, 0.4403961000, 0.4853533000, 0.5828694000, 0.8527630000", \ - "0.6309823000, 0.6368745000, 0.6516854000, 0.6834482000, 0.7415251000, 0.8488748000, 1.1218860000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015642300, 0.0048936200, 0.0153095000, 0.0478950000, 0.1498370000, 0.4687600000"); - values("0.1126149000, 0.1171492000, 0.1290753000, 0.1580426000, 0.2332015000, 0.4560989000, 1.1510907000", \ - "0.1168597000, 0.1214189000, 0.1333474000, 0.1623051000, 0.2374840000, 0.4602490000, 1.1536586000", \ - "0.1251996000, 0.1297538000, 0.1416854000, 0.1708282000, 0.2457424000, 0.4675100000, 1.1614438000", \ - "0.1441378000, 0.1486807000, 0.1606255000, 0.1896591000, 0.2646975000, 0.4879173000, 1.1777442000", \ - "0.1813179000, 0.1860696000, 0.1986890000, 0.2284873000, 0.3039277000, 0.5265917000, 1.2197450000", \ - "0.2338768000, 0.2395614000, 0.2538344000, 0.2860876000, 0.3633202000, 0.5859109000, 1.2808907000", \ - "0.2821475000, 0.2895083000, 0.3078029000, 0.3462197000, 0.4272819000, 0.6498858000, 1.3406442000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015642300, 0.0048936200, 0.0153095000, 0.0478950000, 0.1498370000, 0.4687600000"); - values("0.0254696000, 0.0275229000, 0.0330268000, 0.0468471000, 0.0821608000, 0.1889444000, 0.5464345000", \ - "0.0255051000, 0.0275906000, 0.0330790000, 0.0469069000, 0.0821081000, 0.1890600000, 0.5444505000", \ - "0.0255198000, 0.0277278000, 0.0329718000, 0.0464588000, 0.0821965000, 0.1890135000, 0.5472589000", \ - "0.0253296000, 0.0276053000, 0.0329490000, 0.0464879000, 0.0821208000, 0.1887900000, 0.5463523000", \ - "0.0256870000, 0.0278278000, 0.0332897000, 0.0469608000, 0.0823739000, 0.1893795000, 0.5469289000", \ - "0.0375423000, 0.0400833000, 0.0457497000, 0.0588215000, 0.0916776000, 0.1932875000, 0.5463462000", \ - "0.0576362000, 0.0606146000, 0.0683231000, 0.0845489000, 0.1166568000, 0.2100481000, 0.5485254000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015642300, 0.0048936200, 0.0153095000, 0.0478950000, 0.1498370000, 0.4687600000"); - values("0.0265600000, 0.0303376000, 0.0408401000, 0.0717029000, 0.1699974000, 0.4909175000, 1.5039482000", \ - "0.0266234000, 0.0303500000, 0.0408469000, 0.0718285000, 0.1702302000, 0.4907297000, 1.5013677000", \ - "0.0265218000, 0.0302323000, 0.0409758000, 0.0718230000, 0.1697708000, 0.4907164000, 1.5024723000", \ - "0.0265729000, 0.0300668000, 0.0406489000, 0.0717591000, 0.1701623000, 0.4908553000, 1.4974944000", \ - "0.0290170000, 0.0330289000, 0.0436105000, 0.0735908000, 0.1707801000, 0.4905532000, 1.5038558000", \ - "0.0362491000, 0.0401865000, 0.0508487000, 0.0799830000, 0.1744595000, 0.4893246000, 1.5023079000", \ - "0.0506595000, 0.0552971000, 0.0668132000, 0.0950531000, 0.1826581000, 0.4931102000, 1.4975469000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and3_1") { - leakage_power () { - value : 0.0059791000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0059611000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0063057000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0059811000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0063200000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0059870000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0018855000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0063318000; - when : "A&B&!C"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__and3"; - cell_leakage_power : 0.0055939080; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014760000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014530000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025175000, 0.0025209000, 0.0025288000, 0.0025289000, 0.0025293000, 0.0025302000, 0.0025323000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001984000, -0.001985900, -0.001990200, -0.001986300, -0.001977400, -0.001956700, -0.001909200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014990000; - } - pin ("B") { - capacitance : 0.0015200000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027027000, 0.0026959000, 0.0026801000, 0.0026894000, 0.0027108000, 0.0027601000, 0.0028737000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002389100, -0.002394000, -0.002405100, -0.002404100, -0.002401800, -0.002396300, -0.002383800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015510000; - } - pin ("C") { - capacitance : 0.0015560000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0023167000, 0.0023138000, 0.0023070000, 0.0023073000, 0.0023078000, 0.0023091000, 0.0023120000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002309800, -0.002308300, -0.002304900, -0.002304800, -0.002304700, -0.002304400, -0.002303600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016240000; - } - pin ("X") { - direction : "output"; - function : "(A&B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0079591000, 0.0069016000, 0.0041333000, -0.004004800, -0.026733100, -0.086929200, -0.244208800", \ - "0.0078368000, 0.0068112000, 0.0040469000, -0.004115700, -0.026841800, -0.087010700, -0.244306300", \ - "0.0076379000, 0.0065846000, 0.0038218000, -0.004329800, -0.027052600, -0.087217600, -0.244511200", \ - "0.0074157000, 0.0063574000, 0.0035409000, -0.004617000, -0.027338700, -0.087477700, -0.244735100", \ - "0.0073011000, 0.0062042000, 0.0033256000, -0.004857300, -0.027477600, -0.087571500, -0.244813700", \ - "0.0082094000, 0.0069211000, 0.0035671000, -0.004851000, -0.027456900, -0.087556700, -0.244749200", \ - "0.0093231000, 0.0079316000, 0.0044698000, -0.004348100, -0.027283100, -0.087189900, -0.244238300"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0099484000, 0.0113282000, 0.0148021000, 0.0237481000, 0.0465995000, 0.1061573000, 0.2630164000", \ - "0.0098782000, 0.0112581000, 0.0147689000, 0.0236898000, 0.0465504000, 0.1061796000, 0.2628614000", \ - "0.0097657000, 0.0111319000, 0.0146572000, 0.0235813000, 0.0464714000, 0.1061150000, 0.2632041000", \ - "0.0096793000, 0.0110306000, 0.0145517000, 0.0234296000, 0.0463570000, 0.1066080000, 0.2619525000", \ - "0.0096458000, 0.0109906000, 0.0144563000, 0.0232069000, 0.0460608000, 0.1064469000, 0.2631040000", \ - "0.0100722000, 0.0113709000, 0.0147444000, 0.0232932000, 0.0462732000, 0.1053867000, 0.2618003000", \ - "0.0108857000, 0.0121249000, 0.0154770000, 0.0242861000, 0.0468162000, 0.1067347000, 0.2608365000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0094584000, 0.0083958000, 0.0056013000, -0.002473500, -0.025136400, -0.085258300, -0.242463200", \ - "0.0093781000, 0.0082680000, 0.0055086000, -0.002551500, -0.025231700, -0.085338500, -0.242569200", \ - "0.0092404000, 0.0081684000, 0.0053775000, -0.002719900, -0.025365000, -0.085457500, -0.242682900", \ - "0.0090614000, 0.0079702000, 0.0051735000, -0.002930400, -0.025575300, -0.085658700, -0.242886100", \ - "0.0091200000, 0.0079814000, 0.0051021000, -0.003087600, -0.025745800, -0.085779000, -0.242962700", \ - "0.0094160000, 0.0081090000, 0.0046986000, -0.003320600, -0.025908300, -0.085893400, -0.243017500", \ - "0.0108710000, 0.0094817000, 0.0059996000, -0.002836800, -0.025788000, -0.085652800, -0.242712300"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0099147000, 0.0112923000, 0.0147693000, 0.0237033000, 0.0465084000, 0.1059662000, 0.2627823000", \ - "0.0098827000, 0.0112446000, 0.0147924000, 0.0236625000, 0.0464521000, 0.1060418000, 0.2628214000", \ - "0.0098106000, 0.0111996000, 0.0147280000, 0.0236241000, 0.0464664000, 0.1060728000, 0.2617348000", \ - "0.0097168000, 0.0110962000, 0.0146156000, 0.0235191000, 0.0463516000, 0.1059634000, 0.2618352000", \ - "0.0096763000, 0.0110398000, 0.0144460000, 0.0232915000, 0.0462509000, 0.1064321000, 0.2607953000", \ - "0.0098856000, 0.0111867000, 0.0147461000, 0.0233593000, 0.0462490000, 0.1055074000, 0.2627723000", \ - "0.0103783000, 0.0116476000, 0.0149782000, 0.0238460000, 0.0467468000, 0.1066603000, 0.2606039000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0108581000, 0.0097785000, 0.0069203000, -0.001377500, -0.024246600, -0.084511000, -0.241815200", \ - "0.0107658000, 0.0096616000, 0.0068003000, -0.001476800, -0.024369600, -0.084591000, -0.241876200", \ - "0.0106820000, 0.0095281000, 0.0066593000, -0.001617300, -0.024447600, -0.084698300, -0.241944100", \ - "0.0104385000, 0.0093431000, 0.0064543000, -0.001788600, -0.024620100, -0.084839500, -0.242082500", \ - "0.0103492000, 0.0092190000, 0.0063389000, -0.001912700, -0.024766300, -0.084932700, -0.242169800", \ - "0.0107044000, 0.0093699000, 0.0063229000, -0.001775600, -0.024579300, -0.084749500, -0.242021500", \ - "0.0125955000, 0.0112092000, 0.0077233000, -0.001199300, -0.024327400, -0.084409500, -0.241647700"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0103750000, 0.0117527000, 0.0152379000, 0.0241500000, 0.0468720000, 0.1062111000, 0.2629190000", \ - "0.0103467000, 0.0117156000, 0.0152513000, 0.0240599000, 0.0467889000, 0.1062247000, 0.2619417000", \ - "0.0102366000, 0.0116056000, 0.0150901000, 0.0239556000, 0.0467445000, 0.1061871000, 0.2616938000", \ - "0.0100592000, 0.0114309000, 0.0149266000, 0.0238081000, 0.0465812000, 0.1061006000, 0.2618848000", \ - "0.0099068000, 0.0112721000, 0.0147774000, 0.0236419000, 0.0464997000, 0.1062013000, 0.2632089000", \ - "0.0100767000, 0.0113866000, 0.0147742000, 0.0234515000, 0.0464552000, 0.1057970000, 0.2625461000", \ - "0.0103883000, 0.0116684000, 0.0150436000, 0.0240744000, 0.0469329000, 0.1067017000, 0.2618429000"); - } - } - max_capacitance : 0.1574810000; - max_transition : 1.5053390000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1083644000, 0.1148132000, 0.1280945000, 0.1541908000, 0.2077484000, 0.3339220000, 0.6588955000", \ - "0.1134520000, 0.1199842000, 0.1333333000, 0.1591938000, 0.2128517000, 0.3387175000, 0.6644090000", \ - "0.1258838000, 0.1323797000, 0.1457519000, 0.1716193000, 0.2252295000, 0.3513714000, 0.6766833000", \ - "0.1567403000, 0.1631986000, 0.1764898000, 0.2025454000, 0.2562221000, 0.3822332000, 0.7065754000", \ - "0.2269361000, 0.2337714000, 0.2474835000, 0.2740940000, 0.3274571000, 0.4535345000, 0.7772504000", \ - "0.3466176000, 0.3552846000, 0.3723922000, 0.4030041000, 0.4612133000, 0.5892301000, 0.9146230000", \ - "0.5330224000, 0.5441280000, 0.5666359000, 0.6062530000, 0.6735289000, 0.8064658000, 1.1278064000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1005342000, 0.1092199000, 0.1278068000, 0.1683631000, 0.2642585000, 0.5090176000, 1.1495983000", \ - "0.1043445000, 0.1130145000, 0.1316905000, 0.1722275000, 0.2679977000, 0.5135202000, 1.1553096000", \ - "0.1140175000, 0.1226777000, 0.1414103000, 0.1819093000, 0.2778698000, 0.5235624000, 1.1631248000", \ - "0.1371514000, 0.1457491000, 0.1644002000, 0.2048432000, 0.3007429000, 0.5465196000, 1.1905975000", \ - "0.1779930000, 0.1870012000, 0.2064705000, 0.2475711000, 0.3437210000, 0.5906438000, 1.2302944000", \ - "0.2291398000, 0.2398036000, 0.2612877000, 0.3040434000, 0.4008314000, 0.6480485000, 1.2876443000", \ - "0.2748843000, 0.2891072000, 0.3173745000, 0.3657223000, 0.4628249000, 0.7102788000, 1.3492407000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0225883000, 0.0270847000, 0.0374123000, 0.0607917000, 0.1192907000, 0.2786106000, 0.7103301000", \ - "0.0224923000, 0.0270035000, 0.0371381000, 0.0608677000, 0.1191496000, 0.2795097000, 0.7058879000", \ - "0.0228574000, 0.0274384000, 0.0372516000, 0.0606853000, 0.1191281000, 0.2788043000, 0.7130345000", \ - "0.0227264000, 0.0270741000, 0.0371965000, 0.0608399000, 0.1191177000, 0.2799263000, 0.7095730000", \ - "0.0255522000, 0.0297329000, 0.0395250000, 0.0620951000, 0.1204486000, 0.2813839000, 0.7168445000", \ - "0.0360710000, 0.0415212000, 0.0512884000, 0.0740600000, 0.1283752000, 0.2822260000, 0.7128049000", \ - "0.0533091000, 0.0594661000, 0.0721495000, 0.0953411000, 0.1468775000, 0.2909538000, 0.7085594000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0298816000, 0.0375939000, 0.0564026000, 0.1042366000, 0.2338930000, 0.5830416000, 1.5015632000", \ - "0.0298966000, 0.0375792000, 0.0563789000, 0.1040544000, 0.2345145000, 0.5838894000, 1.4969995000", \ - "0.0300407000, 0.0376644000, 0.0563730000, 0.1042608000, 0.2344894000, 0.5837034000, 1.5010662000", \ - "0.0300898000, 0.0377852000, 0.0564884000, 0.1042708000, 0.2344968000, 0.5857460000, 1.5053251000", \ - "0.0334687000, 0.0410532000, 0.0594284000, 0.1067380000, 0.2352649000, 0.5844480000, 1.5036578000", \ - "0.0426199000, 0.0498862000, 0.0671307000, 0.1113983000, 0.2382994000, 0.5844812000, 1.4998291000", \ - "0.0589443000, 0.0676463000, 0.0861363000, 0.1245421000, 0.2417835000, 0.5873227000, 1.4970163000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1270775000, 0.1338058000, 0.1477053000, 0.1744611000, 0.2288944000, 0.3552230000, 0.6802516000", \ - "0.1320901000, 0.1389335000, 0.1527736000, 0.1794640000, 0.2339755000, 0.3603412000, 0.6853510000", \ - "0.1449623000, 0.1517265000, 0.1655502000, 0.1922083000, 0.2467649000, 0.3733010000, 0.6984414000", \ - "0.1764082000, 0.1831344000, 0.1968537000, 0.2236559000, 0.2782032000, 0.4047569000, 0.7296285000", \ - "0.2515829000, 0.2583438000, 0.2722590000, 0.2990722000, 0.3537299000, 0.4804148000, 0.8049701000", \ - "0.3912279000, 0.3996813000, 0.4165057000, 0.4470040000, 0.5049187000, 0.6325626000, 0.9566712000", \ - "0.6148241000, 0.6259261000, 0.6479861000, 0.6867250000, 0.7528517000, 0.8852169000, 1.2104735000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1074713000, 0.1161445000, 0.1347404000, 0.1752171000, 0.2708483000, 0.5153075000, 1.1560978000", \ - "0.1117715000, 0.1203267000, 0.1390910000, 0.1794665000, 0.2751337000, 0.5201720000, 1.1592958000", \ - "0.1207271000, 0.1293582000, 0.1480492000, 0.1885163000, 0.2838871000, 0.5298097000, 1.1695407000", \ - "0.1408847000, 0.1495598000, 0.1682326000, 0.2086642000, 0.3043304000, 0.5494624000, 1.1877256000", \ - "0.1779791000, 0.1871981000, 0.2066551000, 0.2480852000, 0.3440500000, 0.5902206000, 1.2389274000", \ - "0.2275874000, 0.2382184000, 0.2600906000, 0.3032412000, 0.4005345000, 0.6466199000, 1.2880937000", \ - "0.2692713000, 0.2833388000, 0.3105594000, 0.3596478000, 0.4593640000, 0.7062497000, 1.3448589000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0244598000, 0.0291280000, 0.0394184000, 0.0626104000, 0.1208858000, 0.2805345000, 0.7145224000", \ - "0.0246179000, 0.0289019000, 0.0394258000, 0.0626817000, 0.1208519000, 0.2805612000, 0.7157776000", \ - "0.0245448000, 0.0289151000, 0.0392291000, 0.0627991000, 0.1207507000, 0.2804360000, 0.7144439000", \ - "0.0244996000, 0.0289044000, 0.0392214000, 0.0627709000, 0.1207766000, 0.2804371000, 0.7159232000", \ - "0.0256119000, 0.0298496000, 0.0400208000, 0.0631585000, 0.1207914000, 0.2798825000, 0.7110564000", \ - "0.0353272000, 0.0402651000, 0.0502193000, 0.0726139000, 0.1265192000, 0.2826727000, 0.7093746000", \ - "0.0521480000, 0.0585210000, 0.0708599000, 0.0932432000, 0.1450120000, 0.2898358000, 0.7098185000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0298742000, 0.0375765000, 0.0564143000, 0.1042244000, 0.2338886000, 0.5832124000, 1.5019617000", \ - "0.0299534000, 0.0376812000, 0.0563318000, 0.1043235000, 0.2344770000, 0.5840186000, 1.5021535000", \ - "0.0300071000, 0.0377620000, 0.0564175000, 0.1040863000, 0.2344293000, 0.5845848000, 1.5020670000", \ - "0.0300593000, 0.0377260000, 0.0563604000, 0.1041284000, 0.2346034000, 0.5847700000, 1.5033202000", \ - "0.0329425000, 0.0408015000, 0.0593877000, 0.1062959000, 0.2350080000, 0.5846152000, 1.4984132000", \ - "0.0407442000, 0.0480853000, 0.0659895000, 0.1105592000, 0.2375985000, 0.5840515000, 1.5017619000", \ - "0.0555829000, 0.0643179000, 0.0828101000, 0.1237605000, 0.2419391000, 0.5861184000, 1.4958595000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1420706000, 0.1489870000, 0.1627567000, 0.1896398000, 0.2442742000, 0.3709743000, 0.6959196000", \ - "0.1469684000, 0.1538224000, 0.1677921000, 0.1944859000, 0.2492155000, 0.3758074000, 0.7013592000", \ - "0.1599558000, 0.1668164000, 0.1807780000, 0.2075157000, 0.2622455000, 0.3890796000, 0.7136429000", \ - "0.1915097000, 0.1983875000, 0.2123335000, 0.2392393000, 0.2941269000, 0.4208366000, 0.7453322000", \ - "0.2669717000, 0.2737909000, 0.2877943000, 0.3147329000, 0.3696976000, 0.4965270000, 0.8211804000", \ - "0.4161713000, 0.4245002000, 0.4409330000, 0.4708274000, 0.5282617000, 0.6562484000, 0.9814639000", \ - "0.6559058000, 0.6669608000, 0.6883550000, 0.7267679000, 0.7917500000, 0.9235570000, 1.2486647000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1141056000, 0.1227794000, 0.1414072000, 0.1818464000, 0.2771886000, 0.5211537000, 1.1615957000", \ - "0.1183111000, 0.1268831000, 0.1456152000, 0.1859943000, 0.2811724000, 0.5276213000, 1.1665053000", \ - "0.1262212000, 0.1347964000, 0.1534357000, 0.1938794000, 0.2889260000, 0.5343123000, 1.1725921000", \ - "0.1424150000, 0.1510634000, 0.1696372000, 0.2099439000, 0.3053995000, 0.5501259000, 1.1937563000", \ - "0.1717762000, 0.1809830000, 0.2005176000, 0.2419307000, 0.3380594000, 0.5837316000, 1.2247401000", \ - "0.2146484000, 0.2250164000, 0.2459455000, 0.2896639000, 0.3872392000, 0.6329077000, 1.2796686000", \ - "0.2498559000, 0.2633566000, 0.2896605000, 0.3382184000, 0.4382535000, 0.6845978000, 1.3237218000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0258123000, 0.0306047000, 0.0411487000, 0.0647544000, 0.1225536000, 0.2815175000, 0.7157800000", \ - "0.0259468000, 0.0305717000, 0.0407237000, 0.0646678000, 0.1225208000, 0.2813747000, 0.7134217000", \ - "0.0261344000, 0.0305525000, 0.0408801000, 0.0646183000, 0.1222899000, 0.2810386000, 0.7141479000", \ - "0.0258151000, 0.0304102000, 0.0409329000, 0.0646570000, 0.1221398000, 0.2813389000, 0.7167629000", \ - "0.0263988000, 0.0309690000, 0.0411910000, 0.0644727000, 0.1223632000, 0.2812076000, 0.7148259000", \ - "0.0352361000, 0.0400821000, 0.0500595000, 0.0720340000, 0.1270989000, 0.2834043000, 0.7134149000", \ - "0.0519025000, 0.0577870000, 0.0697241000, 0.0917938000, 0.1437275000, 0.2903285000, 0.7117657000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0298819000, 0.0375525000, 0.0564219000, 0.1041640000, 0.2340061000, 0.5833353000, 1.5018957000", \ - "0.0299849000, 0.0376691000, 0.0563494000, 0.1043317000, 0.2346213000, 0.5849528000, 1.5025610000", \ - "0.0299770000, 0.0376734000, 0.0564387000, 0.1043685000, 0.2343580000, 0.5838433000, 1.5010327000", \ - "0.0300264000, 0.0377919000, 0.0564081000, 0.1043590000, 0.2345433000, 0.5847648000, 1.4987723000", \ - "0.0327792000, 0.0405719000, 0.0590167000, 0.1061121000, 0.2351178000, 0.5836726000, 1.5053386000", \ - "0.0384447000, 0.0461594000, 0.0652089000, 0.1105152000, 0.2374954000, 0.5828670000, 1.5021128000", \ - "0.0521083000, 0.0611445000, 0.0794257000, 0.1226451000, 0.2419497000, 0.5860785000, 1.4927156000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and3_2") { - leakage_power () { - value : 0.0054309000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0054126000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0057657000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0054343000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0057854000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0054402000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0023742000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0058099000; - when : "A&B&!C"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__and3"; - cell_leakage_power : 0.0051816490; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014040000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0024964000, 0.0024986000, 0.0025035000, 0.0025033000, 0.0025028000, 0.0025015000, 0.0024987000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001971900, -0.001972600, -0.001974200, -0.001970200, -0.001961100, -0.001940000, -0.001891300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014470000; - } - pin ("B") { - capacitance : 0.0015050000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014750000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027080000, 0.0027010000, 0.0026848000, 0.0026943000, 0.0027164000, 0.0027672000, 0.0028843000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002436200, -0.002431700, -0.002421200, -0.002420500, -0.002419100, -0.002415700, -0.002408000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015350000; - } - pin ("C") { - capacitance : 0.0015240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014550000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0023208000, 0.0023147000, 0.0023008000, 0.0023010000, 0.0023017000, 0.0023031000, 0.0023063000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002312900, -0.002307600, -0.002295500, -0.002296100, -0.002297500, -0.002300700, -0.002308000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015930000; - } - pin ("X") { - direction : "output"; - function : "(A&B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0113670000, 0.0098307000, 0.0058694000, -0.005690100, -0.043022600, -0.155431200, -0.484902400", \ - "0.0112677000, 0.0097384000, 0.0057982000, -0.005876500, -0.043136200, -0.155442000, -0.484956200", \ - "0.0111121000, 0.0096183000, 0.0055932000, -0.005987900, -0.043316900, -0.155571900, -0.485053300", \ - "0.0108376000, 0.0093357000, 0.0053760000, -0.006285400, -0.043530700, -0.155873200, -0.485298500", \ - "0.0106487000, 0.0091323000, 0.0053901000, -0.006658800, -0.043836700, -0.156171400, -0.485535800", \ - "0.0109253000, 0.0092464000, 0.0049139000, -0.006885900, -0.043820500, -0.156151200, -0.485483500", \ - "0.0151234000, 0.0132615000, 0.0083233000, -0.005222300, -0.043769800, -0.156037100, -0.485192000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0149010000, 0.0164931000, 0.0212902000, 0.0348269000, 0.0733988000, 0.1851806000, 0.5112451000", \ - "0.0148611000, 0.0164987000, 0.0212078000, 0.0349093000, 0.0733477000, 0.1851470000, 0.5112490000", \ - "0.0147910000, 0.0164146000, 0.0211326000, 0.0347311000, 0.0733895000, 0.1850727000, 0.5110059000", \ - "0.0146524000, 0.0162983000, 0.0210157000, 0.0346303000, 0.0731856000, 0.1849178000, 0.5132251000", \ - "0.0147157000, 0.0163146000, 0.0209726000, 0.0345520000, 0.0727777000, 0.1848094000, 0.5114236000", \ - "0.0155191000, 0.0170101000, 0.0214104000, 0.0344507000, 0.0727533000, 0.1839457000, 0.5113532000", \ - "0.0167644000, 0.0181654000, 0.0227371000, 0.0357455000, 0.0739887000, 0.1849603000, 0.5110434000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0127515000, 0.0112228000, 0.0072992000, -0.004406300, -0.041462600, -0.153809300, -0.483184500", \ - "0.0126853000, 0.0111651000, 0.0071912000, -0.004388100, -0.041621400, -0.153833800, -0.483248100", \ - "0.0126080000, 0.0110888000, 0.0070823000, -0.004516500, -0.041627100, -0.153926400, -0.483325600", \ - "0.0124628000, 0.0109708000, 0.0069597000, -0.004754600, -0.041958600, -0.154118200, -0.483501600", \ - "0.0123670000, 0.0108655000, 0.0067974000, -0.004962900, -0.042191400, -0.154363500, -0.483617400", \ - "0.0124908000, 0.0113761000, 0.0070702000, -0.005169700, -0.042208800, -0.154586300, -0.483794200", \ - "0.0166289000, 0.0147915000, 0.0098640000, -0.003711300, -0.042243500, -0.154498200, -0.483606000"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0149152000, 0.0164969000, 0.0212875000, 0.0348915000, 0.0734643000, 0.1850817000, 0.5133565000", \ - "0.0148643000, 0.0164716000, 0.0212049000, 0.0349071000, 0.0733007000, 0.1849877000, 0.5112015000", \ - "0.0148710000, 0.0164785000, 0.0211199000, 0.0347065000, 0.0732390000, 0.1848215000, 0.5107494000", \ - "0.0146895000, 0.0163314000, 0.0210520000, 0.0347337000, 0.0731842000, 0.1848600000, 0.5137041000", \ - "0.0147605000, 0.0163470000, 0.0209590000, 0.0345248000, 0.0729973000, 0.1848509000, 0.5113559000", \ - "0.0155668000, 0.0170876000, 0.0215453000, 0.0345905000, 0.0728299000, 0.1844694000, 0.5110752000", \ - "0.0163752000, 0.0178176000, 0.0221598000, 0.0353158000, 0.0731055000, 0.1849965000, 0.5113902000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0143450000, 0.0128364000, 0.0087855000, -0.002885100, -0.040253400, -0.152663200, -0.482120500", \ - "0.0142581000, 0.0127197000, 0.0086622000, -0.002944100, -0.040333800, -0.152749000, -0.482186400", \ - "0.0143128000, 0.0127392000, 0.0086889000, -0.003087000, -0.040467600, -0.152841800, -0.482263300", \ - "0.0140142000, 0.0124840000, 0.0084606000, -0.003279500, -0.040639800, -0.153003100, -0.482403200", \ - "0.0138679000, 0.0123326000, 0.0082510000, -0.003528500, -0.040881700, -0.153182900, -0.482520000", \ - "0.0142955000, 0.0126739000, 0.0084825000, -0.003547300, -0.040833200, -0.153146900, -0.482470200", \ - "0.0185543000, 0.0167058000, 0.0117548000, -0.002003400, -0.040681000, -0.152959300, -0.482216700"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0153735000, 0.0169878000, 0.0217298000, 0.0353307000, 0.0737002000, 0.1852839000, 0.5135755000", \ - "0.0153073000, 0.0169314000, 0.0216335000, 0.0353280000, 0.0737064000, 0.1852669000, 0.5111155000", \ - "0.0151969000, 0.0167788000, 0.0215844000, 0.0351810000, 0.0736678000, 0.1852486000, 0.5108290000", \ - "0.0150558000, 0.0166369000, 0.0214329000, 0.0350999000, 0.0735843000, 0.1850486000, 0.5117422000", \ - "0.0150349000, 0.0165643000, 0.0212575000, 0.0348483000, 0.0733646000, 0.1849289000, 0.5117822000", \ - "0.0155762000, 0.0171211000, 0.0218441000, 0.0348037000, 0.0731239000, 0.1847182000, 0.5108398000", \ - "0.0162270000, 0.0176723000, 0.0220938000, 0.0354218000, 0.0736504000, 0.1855838000, 0.5093804000"); - } - } - max_capacitance : 0.3094580000; - max_transition : 1.5082440000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1399989000, 0.1459394000, 0.1592845000, 0.1858997000, 0.2386768000, 0.3599186000, 0.6917405000", \ - "0.1453554000, 0.1512893000, 0.1646392000, 0.1914123000, 0.2441291000, 0.3653078000, 0.6973701000", \ - "0.1579656000, 0.1638605000, 0.1771013000, 0.2033583000, 0.2564839000, 0.3776252000, 0.7096329000", \ - "0.1883983000, 0.1943758000, 0.2076887000, 0.2346216000, 0.2872279000, 0.4085289000, 0.7404167000", \ - "0.2623253000, 0.2682373000, 0.2814251000, 0.3073434000, 0.3608008000, 0.4821112000, 0.8138012000", \ - "0.4040357000, 0.4112656000, 0.4272800000, 0.4581023000, 0.5149960000, 0.6382777000, 0.9701165000", \ - "0.6274317000, 0.6366185000, 0.6575767000, 0.6974303000, 0.7674713000, 0.9003036000, 1.2335672000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1259505000, 0.1335603000, 0.1509985000, 0.1884266000, 0.2748027000, 0.5087379000, 1.1858320000", \ - "0.1299265000, 0.1375503000, 0.1549376000, 0.1924924000, 0.2788331000, 0.5127960000, 1.1916973000", \ - "0.1395581000, 0.1469926000, 0.1645719000, 0.2019839000, 0.2885404000, 0.5213279000, 1.2030731000", \ - "0.1630475000, 0.1706844000, 0.1881331000, 0.2255741000, 0.3120597000, 0.5448912000, 1.2253862000", \ - "0.2125856000, 0.2203885000, 0.2382337000, 0.2762435000, 0.3633522000, 0.5963031000, 1.2753890000", \ - "0.2831603000, 0.2927376000, 0.3128627000, 0.3537016000, 0.4426685000, 0.6768831000, 1.3525360000", \ - "0.3581449000, 0.3701555000, 0.3972373000, 0.4464253000, 0.5399474000, 0.7736822000, 1.4507147000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0265291000, 0.0303568000, 0.0390277000, 0.0584549000, 0.1077463000, 0.2497730000, 0.6873090000", \ - "0.0267609000, 0.0305846000, 0.0390500000, 0.0583902000, 0.1076434000, 0.2494280000, 0.6915342000", \ - "0.0267963000, 0.0302480000, 0.0390179000, 0.0585444000, 0.1076940000, 0.2494595000, 0.6917220000", \ - "0.0268205000, 0.0304530000, 0.0390779000, 0.0586449000, 0.1077141000, 0.2495788000, 0.6881166000", \ - "0.0269233000, 0.0306373000, 0.0400845000, 0.0586365000, 0.1078360000, 0.2499117000, 0.6922837000", \ - "0.0382610000, 0.0427030000, 0.0514647000, 0.0701119000, 0.1157278000, 0.2522739000, 0.6921931000", \ - "0.0584036000, 0.0634952000, 0.0743485000, 0.0968798000, 0.1419324000, 0.2688899000, 0.6905597000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0302485000, 0.0360995000, 0.0504289000, 0.0876557000, 0.1949329000, 0.5230008000, 1.5016748000", \ - "0.0303490000, 0.0362922000, 0.0505596000, 0.0875233000, 0.1950276000, 0.5234385000, 1.5010857000", \ - "0.0303508000, 0.0362483000, 0.0506905000, 0.0874479000, 0.1947617000, 0.5240900000, 1.5006775000", \ - "0.0303174000, 0.0360984000, 0.0505898000, 0.0874586000, 0.1947524000, 0.5241778000, 1.5022725000", \ - "0.0329376000, 0.0387542000, 0.0526967000, 0.0890080000, 0.1954920000, 0.5241091000, 1.5040105000", \ - "0.0428065000, 0.0486370000, 0.0624773000, 0.0967304000, 0.1998904000, 0.5253650000, 1.5006328000", \ - "0.0595177000, 0.0670999000, 0.0836359000, 0.1159541000, 0.2097742000, 0.5281184000, 1.4958409000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1592076000, 0.1654224000, 0.1794002000, 0.2069911000, 0.2608231000, 0.3831253000, 0.7157246000", \ - "0.1645404000, 0.1707685000, 0.1846597000, 0.2120397000, 0.2661845000, 0.3883727000, 0.7204790000", \ - "0.1778060000, 0.1840253000, 0.1979477000, 0.2255782000, 0.2794452000, 0.4017840000, 0.7338439000", \ - "0.2085926000, 0.2148996000, 0.2288161000, 0.2563072000, 0.3104443000, 0.4325920000, 0.7649953000", \ - "0.2845917000, 0.2907938000, 0.3047509000, 0.3322946000, 0.3853597000, 0.5088264000, 0.8415000000", \ - "0.4412825000, 0.4486305000, 0.4645497000, 0.4951509000, 0.5516135000, 0.6757113000, 1.0073010000", \ - "0.6963421000, 0.7056414000, 0.7267683000, 0.7665744000, 0.8358058000, 0.9679820000, 1.3018259000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1327410000, 0.1403460000, 0.1577845000, 0.1952443000, 0.2816418000, 0.5144200000, 1.1905130000", \ - "0.1370200000, 0.1446368000, 0.1620169000, 0.1995600000, 0.2857903000, 0.5196153000, 1.1970782000", \ - "0.1461622000, 0.1538707000, 0.1711594000, 0.2085883000, 0.2950824000, 0.5281915000, 1.2079568000", \ - "0.1667469000, 0.1743742000, 0.1919050000, 0.2292943000, 0.3155991000, 0.5493018000, 1.2251010000", \ - "0.2095504000, 0.2174973000, 0.2352329000, 0.2733168000, 0.3599495000, 0.5938715000, 1.2686067000", \ - "0.2744779000, 0.2835527000, 0.3036578000, 0.3443137000, 0.4329067000, 0.6668788000, 1.3452337000", \ - "0.3444786000, 0.3559809000, 0.3814395000, 0.4296417000, 0.5223195000, 0.7570643000, 1.4323703000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0288481000, 0.0328117000, 0.0415070000, 0.0613028000, 0.1102810000, 0.2511482000, 0.6897024000", \ - "0.0287479000, 0.0325644000, 0.0412925000, 0.0616595000, 0.1101600000, 0.2512311000, 0.6890011000", \ - "0.0288419000, 0.0327267000, 0.0417215000, 0.0615183000, 0.1101227000, 0.2511563000, 0.6886839000", \ - "0.0288600000, 0.0329163000, 0.0413343000, 0.0612681000, 0.1099595000, 0.2512586000, 0.6881423000", \ - "0.0287419000, 0.0329331000, 0.0417290000, 0.0609987000, 0.1101064000, 0.2515901000, 0.6917714000", \ - "0.0382113000, 0.0423978000, 0.0509191000, 0.0694767000, 0.1153525000, 0.2523403000, 0.6930814000", \ - "0.0584190000, 0.0628527000, 0.0740413000, 0.0954377000, 0.1405604000, 0.2670156000, 0.6917525000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0304759000, 0.0363498000, 0.0506607000, 0.0873404000, 0.1947822000, 0.5235597000, 1.5013137000", \ - "0.0303451000, 0.0360825000, 0.0506666000, 0.0874935000, 0.1950464000, 0.5236422000, 1.5022282000", \ - "0.0303908000, 0.0362024000, 0.0507441000, 0.0874247000, 0.1947691000, 0.5235630000, 1.5014469000", \ - "0.0303355000, 0.0361919000, 0.0506240000, 0.0875218000, 0.1944728000, 0.5233554000, 1.5082439000", \ - "0.0324454000, 0.0379470000, 0.0525540000, 0.0889674000, 0.1954876000, 0.5233984000, 1.5025282000", \ - "0.0394648000, 0.0456010000, 0.0599725000, 0.0953093000, 0.1989691000, 0.5243340000, 1.5029468000", \ - "0.0540160000, 0.0614480000, 0.0773506000, 0.1111278000, 0.2096718000, 0.5276759000, 1.4981882000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1734749000, 0.1797965000, 0.1938684000, 0.2217501000, 0.2758014000, 0.3982955000, 0.7307365000", \ - "0.1785066000, 0.1848182000, 0.1989135000, 0.2267589000, 0.2808895000, 0.4034570000, 0.7359974000", \ - "0.1916303000, 0.1979075000, 0.2118272000, 0.2395256000, 0.2934998000, 0.4163239000, 0.7487313000", \ - "0.2228920000, 0.2292403000, 0.2432781000, 0.2708724000, 0.3261743000, 0.4487862000, 0.7813126000", \ - "0.2993266000, 0.3056702000, 0.3197485000, 0.3475683000, 0.4020419000, 0.5248382000, 0.8578423000", \ - "0.4629779000, 0.4700530000, 0.4858323000, 0.5157501000, 0.5720760000, 0.6955262000, 1.0282810000", \ - "0.7352111000, 0.7446247000, 0.7652103000, 0.8039769000, 0.8721756000, 1.0037676000, 1.3373124000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1395737000, 0.1472937000, 0.1646421000, 0.2021017000, 0.2884234000, 0.5210623000, 1.1958335000", \ - "0.1438072000, 0.1514123000, 0.1687612000, 0.2063167000, 0.2925640000, 0.5260339000, 1.2047127000", \ - "0.1518021000, 0.1594153000, 0.1768501000, 0.2142987000, 0.3006171000, 0.5331061000, 1.2096648000", \ - "0.1682642000, 0.1758511000, 0.1933469000, 0.2308384000, 0.3171651000, 0.5498697000, 1.2280751000", \ - "0.2014057000, 0.2091892000, 0.2272189000, 0.2651958000, 0.3520331000, 0.5850848000, 1.2635218000", \ - "0.2534195000, 0.2622397000, 0.2821322000, 0.3226227000, 0.4117917000, 0.6456664000, 1.3281259000", \ - "0.3117052000, 0.3226391000, 0.3467518000, 0.3935917000, 0.4870839000, 0.7224860000, 1.3976240000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0296558000, 0.0337071000, 0.0423612000, 0.0620490000, 0.1110219000, 0.2520029000, 0.6890891000", \ - "0.0298198000, 0.0338252000, 0.0423241000, 0.0620973000, 0.1111115000, 0.2517282000, 0.6882104000", \ - "0.0297700000, 0.0336009000, 0.0429845000, 0.0622661000, 0.1112584000, 0.2519225000, 0.6932047000", \ - "0.0297634000, 0.0336445000, 0.0423948000, 0.0627304000, 0.1109826000, 0.2518975000, 0.6885969000", \ - "0.0298782000, 0.0337170000, 0.0425690000, 0.0622840000, 0.1108621000, 0.2515875000, 0.6913329000", \ - "0.0375049000, 0.0414895000, 0.0500022000, 0.0685456000, 0.1147934000, 0.2532191000, 0.6888501000", \ - "0.0572465000, 0.0627932000, 0.0739827000, 0.0934332000, 0.1385368000, 0.2661566000, 0.6922610000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0304110000, 0.0362282000, 0.0507438000, 0.0874605000, 0.1947312000, 0.5229014000, 1.5049068000", \ - "0.0303588000, 0.0364023000, 0.0505396000, 0.0876350000, 0.1950221000, 0.5238688000, 1.5006412000", \ - "0.0303373000, 0.0363727000, 0.0504416000, 0.0875629000, 0.1948546000, 0.5238265000, 1.4981454000", \ - "0.0303339000, 0.0363699000, 0.0505414000, 0.0874791000, 0.1947236000, 0.5235546000, 1.5047594000", \ - "0.0321930000, 0.0380836000, 0.0522649000, 0.0888150000, 0.1951621000, 0.5230542000, 1.5058301000", \ - "0.0371003000, 0.0433125000, 0.0586372000, 0.0948315000, 0.1991194000, 0.5238780000, 1.5021852000", \ - "0.0499702000, 0.0572094000, 0.0727763000, 0.1081606000, 0.2077082000, 0.5270422000, 1.4977233000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and3_4") { - leakage_power () { - value : 0.0042559000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0039331000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0042789000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0039392000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0049811000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0042932000; - when : "A&B&!C"; - } - leakage_power () { - value : 0.0039306000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0039122000; - when : "!A&!B&!C"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__and3"; - cell_leakage_power : 0.0041905290; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024720000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0024040000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0051349000, 0.0051372000, 0.0051424000, 0.0051409000, 0.0051374000, 0.0051294000, 0.0051108000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004027000, -0.004030400, -0.004038100, -0.004030700, -0.004013800, -0.003974700, -0.003884700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025400000; - } - pin ("B") { - capacitance : 0.0023830000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022890000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044755000, 0.0044717000, 0.0044629000, 0.0044767000, 0.0045086000, 0.0045820000, 0.0047513000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004064600, -0.004062700, -0.004058500, -0.004058100, -0.004057300, -0.004055300, -0.004050800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024760000; - } - pin ("C") { - capacitance : 0.0024070000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043091000, 0.0043098000, 0.0043114000, 0.0043091000, 0.0043037000, 0.0042914000, 0.0042629000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004312700, -0.004311300, -0.004308100, -0.004307700, -0.004306700, -0.004304300, -0.004298800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025400000; - } - pin ("X") { - direction : "output"; - function : "(A&B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0225850000, 0.0209644000, 0.0157295000, 0.0002470000, -0.054956800, -0.239495700, -0.832371700", \ - "0.0224587000, 0.0208180000, 0.0156780000, 0.0001067000, -0.055049400, -0.239614100, -0.832349300", \ - "0.0221840000, 0.0205991000, 0.0154280000, -0.000269300, -0.055285100, -0.239799900, -0.832689200", \ - "0.0219560000, 0.0202503000, 0.0150878000, -0.000717900, -0.055750300, -0.240207900, -0.832950400", \ - "0.0221768000, 0.0203665000, 0.0147660000, -0.000815000, -0.056429200, -0.240735600, -0.833324300", \ - "0.0235311000, 0.0216224000, 0.0157538000, -0.002138300, -0.056142400, -0.240433700, -0.833014400", \ - "0.0292414000, 0.0269504000, 0.0205621000, 0.0019517000, -0.055691000, -0.240160400, -0.832156100"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0281984000, 0.0300139000, 0.0359616000, 0.0548615000, 0.1128240000, 0.2968729000, 0.8879999000", \ - "0.0281036000, 0.0299738000, 0.0358394000, 0.0547609000, 0.1130736000, 0.2969332000, 0.8887007000", \ - "0.0278949000, 0.0296990000, 0.0356326000, 0.0546573000, 0.1129668000, 0.2968335000, 0.8844410000", \ - "0.0276645000, 0.0294341000, 0.0353838000, 0.0542092000, 0.1125419000, 0.2964591000, 0.8878142000", \ - "0.0277961000, 0.0296036000, 0.0353953000, 0.0537361000, 0.1119904000, 0.2961638000, 0.8847197000", \ - "0.0294258000, 0.0311432000, 0.0367784000, 0.0546556000, 0.1118405000, 0.2957279000, 0.8843381000", \ - "0.0319205000, 0.0335141000, 0.0389073000, 0.0565368000, 0.1135980000, 0.2974668000, 0.8804212000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0251215000, 0.0234258000, 0.0181728000, 0.0025213000, -0.052433000, -0.236570400, -0.829309200", \ - "0.0250331000, 0.0232756000, 0.0181793000, 0.0023555000, -0.052393700, -0.236691800, -0.829380900", \ - "0.0248987000, 0.0231762000, 0.0180037000, 0.0023481000, -0.052586000, -0.236858800, -0.829613200", \ - "0.0246641000, 0.0229106000, 0.0176660000, 0.0019555000, -0.053027500, -0.237219300, -0.829864700", \ - "0.0246439000, 0.0227879000, 0.0176201000, 0.0014800000, -0.053335200, -0.237560500, -0.830072800", \ - "0.0248143000, 0.0227682000, 0.0173852000, 0.0011839000, -0.053961200, -0.237874900, -0.830159700", \ - "0.0316497000, 0.0295213000, 0.0234275000, 0.0047631000, -0.053076000, -0.237586700, -0.829660600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0286070000, 0.0304200000, 0.0363546000, 0.0552118000, 0.1134147000, 0.2970044000, 0.8840938000", \ - "0.0286020000, 0.0304069000, 0.0363382000, 0.0550809000, 0.1131036000, 0.2968835000, 0.8842847000", \ - "0.0284564000, 0.0302925000, 0.0362143000, 0.0549756000, 0.1133032000, 0.2970049000, 0.8842048000", \ - "0.0281202000, 0.0298940000, 0.0358436000, 0.0546731000, 0.1130499000, 0.2968477000, 0.8841786000", \ - "0.0281771000, 0.0299658000, 0.0356696000, 0.0544305000, 0.1125473000, 0.2965996000, 0.8838977000", \ - "0.0294072000, 0.0311341000, 0.0367020000, 0.0543173000, 0.1124445000, 0.2961559000, 0.8831550000", \ - "0.0308210000, 0.0324539000, 0.0379013000, 0.0557420000, 0.1143143000, 0.2971202000, 0.8832769000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0273607000, 0.0256983000, 0.0203092000, 0.0044320000, -0.050584100, -0.234928700, -0.827755300", \ - "0.0274142000, 0.0257122000, 0.0202359000, 0.0043609000, -0.050695600, -0.235065700, -0.827905800", \ - "0.0271344000, 0.0253465000, 0.0201096000, 0.0041092000, -0.050967200, -0.235246100, -0.827996100", \ - "0.0269880000, 0.0252881000, 0.0199173000, 0.0038731000, -0.051236600, -0.235426900, -0.828118600", \ - "0.0270037000, 0.0251614000, 0.0197754000, 0.0041117000, -0.051504200, -0.235786600, -0.828392200", \ - "0.0270112000, 0.0255823000, 0.0200467000, 0.0037390000, -0.051313900, -0.235686600, -0.828265600", \ - "0.0354998000, 0.0326390000, 0.0263836000, 0.0076294000, -0.049680800, -0.234665900, -0.827185200"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0288811000, 0.0306947000, 0.0366415000, 0.0555533000, 0.1134194000, 0.2970561000, 0.8875795000", \ - "0.0287654000, 0.0306023000, 0.0364582000, 0.0554255000, 0.1136495000, 0.2972510000, 0.8833801000", \ - "0.0286067000, 0.0304335000, 0.0363776000, 0.0552031000, 0.1135074000, 0.2971033000, 0.8840194000", \ - "0.0283508000, 0.0301255000, 0.0360764000, 0.0548893000, 0.1132393000, 0.2968654000, 0.8794756000", \ - "0.0282780000, 0.0301043000, 0.0359767000, 0.0546081000, 0.1127473000, 0.2967030000, 0.8837102000", \ - "0.0293827000, 0.0313559000, 0.0370289000, 0.0548746000, 0.1127775000, 0.2961417000, 0.8875729000", \ - "0.0304733000, 0.0321818000, 0.0376970000, 0.0557506000, 0.1142832000, 0.2975456000, 0.8817977000"); - } - } - max_capacitance : 0.5328470000; - max_transition : 1.5077670000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.1176624000, 0.1211953000, 0.1302119000, 0.1504058000, 0.1927356000, 0.2959856000, 0.6031842000", \ - "0.1230620000, 0.1265467000, 0.1356027000, 0.1557674000, 0.1983010000, 0.3014897000, 0.6088806000", \ - "0.1362588000, 0.1397258000, 0.1488244000, 0.1690206000, 0.2113689000, 0.3146443000, 0.6218698000", \ - "0.1671590000, 0.1706760000, 0.1795174000, 0.2000300000, 0.2423069000, 0.3456893000, 0.6525671000", \ - "0.2403789000, 0.2438929000, 0.2524743000, 0.2724942000, 0.3157611000, 0.4187666000, 0.7262591000", \ - "0.3693820000, 0.3739397000, 0.3858142000, 0.4109687000, 0.4588423000, 0.5655961000, 0.8725635000", \ - "0.5720747000, 0.5781848000, 0.5927933000, 0.6261505000, 0.6882516000, 0.8061523000, 1.1158997000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.1340763000, 0.1393270000, 0.1531677000, 0.1856525000, 0.2643026000, 0.4891171000, 1.1990191000", \ - "0.1378671000, 0.1431018000, 0.1568382000, 0.1894099000, 0.2682454000, 0.4924377000, 1.2045442000", \ - "0.1472179000, 0.1524737000, 0.1662910000, 0.1987366000, 0.2775485000, 0.5018227000, 1.2143205000", \ - "0.1696199000, 0.1748860000, 0.1887813000, 0.2212735000, 0.2999053000, 0.5247459000, 1.2356726000", \ - "0.2196725000, 0.2250672000, 0.2390340000, 0.2718083000, 0.3508999000, 0.5753048000, 1.2867362000", \ - "0.2899079000, 0.2963531000, 0.3125250000, 0.3483308000, 0.4292096000, 0.6539372000, 1.3658370000", \ - "0.3627692000, 0.3709281000, 0.3919285000, 0.4358308000, 0.5227573000, 0.7481811000, 1.4570243000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0229469000, 0.0250952000, 0.0307727000, 0.0452383000, 0.0837912000, 0.2046444000, 0.6158934000", \ - "0.0231319000, 0.0250296000, 0.0309189000, 0.0452554000, 0.0837586000, 0.2044359000, 0.6119869000", \ - "0.0230371000, 0.0250940000, 0.0310449000, 0.0453760000, 0.0837914000, 0.2046090000, 0.6157672000", \ - "0.0230382000, 0.0252683000, 0.0306832000, 0.0454021000, 0.0837584000, 0.2045494000, 0.6145139000", \ - "0.0246318000, 0.0268010000, 0.0324608000, 0.0464677000, 0.0843488000, 0.2047378000, 0.6111346000", \ - "0.0367968000, 0.0393522000, 0.0457113000, 0.0598095000, 0.0958726000, 0.2091593000, 0.6117996000", \ - "0.0571156000, 0.0599535000, 0.0687328000, 0.0855754000, 0.1213103000, 0.2269871000, 0.6145401000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0318369000, 0.0357385000, 0.0468336000, 0.0769581000, 0.1698014000, 0.4824757000, 1.5060750000", \ - "0.0320573000, 0.0357851000, 0.0468233000, 0.0770289000, 0.1695583000, 0.4822201000, 1.5077671000", \ - "0.0316870000, 0.0356099000, 0.0467802000, 0.0770180000, 0.1695917000, 0.4820878000, 1.5038971000", \ - "0.0317929000, 0.0357566000, 0.0468538000, 0.0769830000, 0.1698987000, 0.4810902000, 1.5056097000", \ - "0.0338949000, 0.0376806000, 0.0483406000, 0.0787425000, 0.1705395000, 0.4826682000, 1.5061586000", \ - "0.0440737000, 0.0479575000, 0.0584061000, 0.0867194000, 0.1756460000, 0.4836797000, 1.5053370000", \ - "0.0615800000, 0.0669115000, 0.0792771000, 0.1064354000, 0.1881244000, 0.4862012000, 1.4997754000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.1359565000, 0.1396689000, 0.1492386000, 0.1700226000, 0.2143244000, 0.3183809000, 0.6259408000", \ - "0.1413544000, 0.1450709000, 0.1546215000, 0.1757347000, 0.2196924000, 0.3236059000, 0.6312798000", \ - "0.1548157000, 0.1585121000, 0.1677815000, 0.1887002000, 0.2322355000, 0.3366315000, 0.6443373000", \ - "0.1866876000, 0.1901470000, 0.2000052000, 0.2209877000, 0.2646539000, 0.3688401000, 0.6762538000", \ - "0.2626976000, 0.2657046000, 0.2759215000, 0.2968464000, 0.3396162000, 0.4444486000, 0.7518973000", \ - "0.4102824000, 0.4153270000, 0.4266339000, 0.4516502000, 0.5003866000, 0.6072751000, 0.9145803000", \ - "0.6500261000, 0.6558750000, 0.6715567000, 0.7050849000, 0.7665708000, 0.8840779000, 1.1934486000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.1413094000, 0.1465486000, 0.1603386000, 0.1928597000, 0.2714039000, 0.4959720000, 1.2081963000", \ - "0.1454501000, 0.1507121000, 0.1645203000, 0.1969231000, 0.2757359000, 0.4996989000, 1.2120310000", \ - "0.1541238000, 0.1594017000, 0.1731951000, 0.2056292000, 0.2844261000, 0.5083565000, 1.2168315000", \ - "0.1740558000, 0.1793654000, 0.1931983000, 0.2256948000, 0.3044672000, 0.5284735000, 1.2370853000", \ - "0.2163721000, 0.2218114000, 0.2357964000, 0.2687423000, 0.3476789000, 0.5724896000, 1.2861576000", \ - "0.2801762000, 0.2863977000, 0.3023365000, 0.3379085000, 0.4194913000, 0.6449998000, 1.3573733000", \ - "0.3446815000, 0.3524749000, 0.3724984000, 0.4151260000, 0.5023190000, 0.7288417000, 1.4369101000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0254384000, 0.0277563000, 0.0335643000, 0.0482841000, 0.0859561000, 0.2059903000, 0.6126439000", \ - "0.0253164000, 0.0275687000, 0.0336115000, 0.0477444000, 0.0860646000, 0.2061037000, 0.6166605000", \ - "0.0253245000, 0.0275907000, 0.0335511000, 0.0478728000, 0.0863612000, 0.2062060000, 0.6133797000", \ - "0.0253257000, 0.0274571000, 0.0334929000, 0.0477311000, 0.0860630000, 0.2062706000, 0.6157208000", \ - "0.0259922000, 0.0278997000, 0.0339873000, 0.0481977000, 0.0867450000, 0.2061078000, 0.6156615000", \ - "0.0371672000, 0.0393218000, 0.0464858000, 0.0592353000, 0.0944703000, 0.2090278000, 0.6163081000", \ - "0.0575287000, 0.0605187000, 0.0683342000, 0.0858129000, 0.1208752000, 0.2256639000, 0.6157173000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0318695000, 0.0358283000, 0.0468862000, 0.0768902000, 0.1698781000, 0.4818674000, 1.5013298000", \ - "0.0318180000, 0.0357527000, 0.0467176000, 0.0771061000, 0.1699917000, 0.4820259000, 1.5023308000", \ - "0.0317292000, 0.0357395000, 0.0467174000, 0.0770136000, 0.1697009000, 0.4822380000, 1.5036692000", \ - "0.0317952000, 0.0357728000, 0.0469011000, 0.0769553000, 0.1695789000, 0.4822560000, 1.5028707000", \ - "0.0336174000, 0.0374186000, 0.0484465000, 0.0782071000, 0.1704859000, 0.4822355000, 1.5047241000", \ - "0.0411861000, 0.0450079000, 0.0558206000, 0.0858962000, 0.1749957000, 0.4824572000, 1.5006988000", \ - "0.0563722000, 0.0616121000, 0.0735963000, 0.1017686000, 0.1855476000, 0.4862266000, 1.4980526000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.1463793000, 0.1501818000, 0.1599430000, 0.1814873000, 0.2258389000, 0.3307140000, 0.6382643000", \ - "0.1516765000, 0.1554792000, 0.1652955000, 0.1867589000, 0.2312042000, 0.3360996000, 0.6434325000", \ - "0.1647378000, 0.1685901000, 0.1782668000, 0.2005377000, 0.2448620000, 0.3499950000, 0.6577169000", \ - "0.1970174000, 0.2017078000, 0.2114547000, 0.2328421000, 0.2762625000, 0.3813738000, 0.6892688000", \ - "0.2742508000, 0.2771595000, 0.2868535000, 0.3080985000, 0.3534604000, 0.4587778000, 0.7664901000", \ - "0.4292484000, 0.4335562000, 0.4452826000, 0.4701610000, 0.5181920000, 0.6248703000, 0.9330694000", \ - "0.6834484000, 0.6889978000, 0.7045986000, 0.7376001000, 0.7985659000, 0.9154420000, 1.2250092000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.1461514000, 0.1514042000, 0.1652431000, 0.1977486000, 0.2763633000, 0.5006842000, 1.2115609000", \ - "0.1501494000, 0.1554074000, 0.1691529000, 0.2017424000, 0.2804681000, 0.5043301000, 1.2153831000", \ - "0.1580085000, 0.1632249000, 0.1770173000, 0.2095514000, 0.2882395000, 0.5122807000, 1.2231666000", \ - "0.1740834000, 0.1793860000, 0.1932420000, 0.2257365000, 0.3044238000, 0.5284793000, 1.2364118000", \ - "0.2076969000, 0.2131234000, 0.2273186000, 0.2601973000, 0.3392449000, 0.5640593000, 1.2765784000", \ - "0.2596807000, 0.2658394000, 0.2814085000, 0.3169973000, 0.3986289000, 0.6238294000, 1.3330604000", \ - "0.3130191000, 0.3206668000, 0.3398999000, 0.3811383000, 0.4683718000, 0.6952622000, 1.4036469000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0266935000, 0.0290497000, 0.0349898000, 0.0491600000, 0.0875808000, 0.2071880000, 0.6157102000", \ - "0.0265098000, 0.0287434000, 0.0345559000, 0.0494573000, 0.0875377000, 0.2071500000, 0.6144041000", \ - "0.0266206000, 0.0287208000, 0.0346443000, 0.0493539000, 0.0876090000, 0.2071038000, 0.6128622000", \ - "0.0265612000, 0.0290123000, 0.0349111000, 0.0494729000, 0.0875919000, 0.2073620000, 0.6131180000", \ - "0.0267277000, 0.0288112000, 0.0347677000, 0.0495456000, 0.0876008000, 0.2070598000, 0.6156083000", \ - "0.0369957000, 0.0398173000, 0.0455767000, 0.0591666000, 0.0943562000, 0.2095610000, 0.6143105000", \ - "0.0571428000, 0.0602045000, 0.0685627000, 0.0849131000, 0.1198252000, 0.2255216000, 0.6161894000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0318313000, 0.0357206000, 0.0468039000, 0.0769674000, 0.1700028000, 0.4821328000, 1.5038950000", \ - "0.0319539000, 0.0357699000, 0.0469898000, 0.0769861000, 0.1697882000, 0.4821613000, 1.4991854000", \ - "0.0320042000, 0.0356360000, 0.0468606000, 0.0770101000, 0.1698551000, 0.4817081000, 1.5049189000", \ - "0.0317713000, 0.0357611000, 0.0468840000, 0.0769974000, 0.1697960000, 0.4820889000, 1.5021002000", \ - "0.0334629000, 0.0373941000, 0.0480144000, 0.0781122000, 0.1704180000, 0.4824395000, 1.5041959000", \ - "0.0387963000, 0.0429279000, 0.0545034000, 0.0844813000, 0.1743957000, 0.4829192000, 1.5069104000", \ - "0.0524485000, 0.0575287000, 0.0691846000, 0.0990066000, 0.1844223000, 0.4860258000, 1.4950077000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and3b_1") { - leakage_power () { - value : 0.0066987000; - when : "!A_N&!B&C"; - } - leakage_power () { - value : 0.0063668000; - when : "!A_N&!B&!C"; - } - leakage_power () { - value : 0.0023160000; - when : "!A_N&B&C"; - } - leakage_power () { - value : 0.0067094000; - when : "!A_N&B&!C"; - } - leakage_power () { - value : 0.0085140000; - when : "A_N&!B&C"; - } - leakage_power () { - value : 0.0084961000; - when : "A_N&!B&!C"; - } - leakage_power () { - value : 0.0088380000; - when : "A_N&B&C"; - } - leakage_power () { - value : 0.0085160000; - when : "A_N&B&!C"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__and3b"; - cell_leakage_power : 0.0070568720; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0015310000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014850000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0062489000, 0.0061565000, 0.0059437000, 0.0060033000, 0.0061408000, 0.0064575000, 0.0071878000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0019112000, 0.0018313000, 0.0016473000, 0.0017058000, 0.0018406000, 0.0021512000, 0.0028673000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015780000; - } - pin ("B") { - capacitance : 0.0015200000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014900000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0029147000, 0.0029130000, 0.0029091000, 0.0029111000, 0.0029158000, 0.0029265000, 0.0029514000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002678400, -0.002672100, -0.002657500, -0.002652900, -0.002642300, -0.002617900, -0.002561700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015500000; - } - pin ("C") { - capacitance : 0.0015480000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0023377000, 0.0023392000, 0.0023426000, 0.0023427000, 0.0023430000, 0.0023435000, 0.0023451000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002332500, -0.002333000, -0.002333900, -0.002334000, -0.002334300, -0.002334800, -0.002336200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016170000; - } - pin ("X") { - direction : "output"; - function : "(!A_N&B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0079048000, 0.0068964000, 0.0041293000, -0.004035600, -0.026751800, -0.086941100, -0.244216600", \ - "0.0079007000, 0.0068633000, 0.0040983000, -0.004067000, -0.026808400, -0.086963500, -0.244253500", \ - "0.0078495000, 0.0068304000, 0.0040624000, -0.004075400, -0.026840200, -0.086998700, -0.244275800", \ - "0.0075403000, 0.0064913000, 0.0037002000, -0.004427400, -0.027147300, -0.087317600, -0.244590800", \ - "0.0072093000, 0.0061500000, 0.0033798000, -0.004743900, -0.027466100, -0.087635200, -0.244903500", \ - "0.0084028000, 0.0071717000, 0.0039532000, -0.004579300, -0.027544300, -0.087677600, -0.244949800", \ - "0.0084524000, 0.0071400000, 0.0040553000, -0.004575700, -0.027427600, -0.087600200, -0.244968500"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0116701000, 0.0130812000, 0.0166448000, 0.0255698000, 0.0484696000, 0.1081078000, 0.2625884000", \ - "0.0115941000, 0.0129875000, 0.0165750000, 0.0254965000, 0.0484123000, 0.1086725000, 0.2622055000", \ - "0.0116527000, 0.0130446000, 0.0165678000, 0.0255285000, 0.0484546000, 0.1087055000, 0.2621832000", \ - "0.0115082000, 0.0128978000, 0.0164449000, 0.0253609000, 0.0482841000, 0.1085483000, 0.2637534000", \ - "0.0112812000, 0.0126855000, 0.0162616000, 0.0251608000, 0.0480978000, 0.1083780000, 0.2645409000", \ - "0.0111488000, 0.0124672000, 0.0159151000, 0.0250300000, 0.0478184000, 0.1081273000, 0.2617305000", \ - "0.0115083000, 0.0128340000, 0.0163023000, 0.0251092000, 0.0479137000, 0.1078933000, 0.2623444000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0079836000, 0.0068887000, 0.0040760000, -0.004128600, -0.026820500, -0.086955100, -0.244310600", \ - "0.0079048000, 0.0067876000, 0.0039510000, -0.004218200, -0.026902800, -0.087051900, -0.244286300", \ - "0.0077831000, 0.0066805000, 0.0038438000, -0.004340000, -0.027046100, -0.087164400, -0.244383400", \ - "0.0076069000, 0.0065194000, 0.0036445000, -0.004549600, -0.027229700, -0.087339000, -0.244570400", \ - "0.0075596000, 0.0064362000, 0.0036368000, -0.004655700, -0.027361400, -0.087434900, -0.244617700", \ - "0.0080817000, 0.0067560000, 0.0033422000, -0.004837900, -0.027464900, -0.087485100, -0.244620100", \ - "0.0094027000, 0.0080130000, 0.0045460000, -0.004321800, -0.027292100, -0.087144600, -0.244210100"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0117052000, 0.0130844000, 0.0166550000, 0.0255428000, 0.0484249000, 0.1079694000, 0.2638624000", \ - "0.0116696000, 0.0130700000, 0.0166037000, 0.0255077000, 0.0483714000, 0.1079938000, 0.2634589000", \ - "0.0115559000, 0.0129378000, 0.0165010000, 0.0253856000, 0.0482561000, 0.1083515000, 0.2650858000", \ - "0.0114518000, 0.0128443000, 0.0164114000, 0.0253125000, 0.0481869000, 0.1082787000, 0.2635676000", \ - "0.0114670000, 0.0128637000, 0.0162856000, 0.0251771000, 0.0480957000, 0.1083802000, 0.2644184000", \ - "0.0118575000, 0.0131496000, 0.0165820000, 0.0251813000, 0.0481162000, 0.1074470000, 0.2645064000", \ - "0.0122016000, 0.0134601000, 0.0168079000, 0.0256588000, 0.0485889000, 0.1084330000, 0.2624291000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0094675000, 0.0083288000, 0.0053763000, -0.003019200, -0.025982400, -0.086247300, -0.243535400", \ - "0.0093371000, 0.0081949000, 0.0052319000, -0.003156300, -0.026092800, -0.086342400, -0.243625800", \ - "0.0092079000, 0.0080716000, 0.0050914000, -0.003285300, -0.026179500, -0.086455400, -0.243772400", \ - "0.0090024000, 0.0078713000, 0.0049354000, -0.003470700, -0.026336700, -0.086588100, -0.243900500", \ - "0.0090358000, 0.0078514000, 0.0048717000, -0.003527800, -0.026442300, -0.086651600, -0.243927100", \ - "0.0091920000, 0.0078664000, 0.0046871000, -0.003505700, -0.026372400, -0.086572700, -0.243845400", \ - "0.0113667000, 0.0096061000, 0.0060969000, -0.002837500, -0.026002800, -0.086090800, -0.243359200"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0122333000, 0.0136287000, 0.0171998000, 0.0260460000, 0.0487947000, 0.1081867000, 0.2651499000", \ - "0.0121637000, 0.0135611000, 0.0171150000, 0.0259559000, 0.0487437000, 0.1081533000, 0.2649151000", \ - "0.0120540000, 0.0134515000, 0.0170043000, 0.0258235000, 0.0486449000, 0.1086056000, 0.2635002000", \ - "0.0118872000, 0.0132835000, 0.0168244000, 0.0257366000, 0.0485282000, 0.1080782000, 0.2638563000", \ - "0.0117037000, 0.0130756000, 0.0166325000, 0.0255244000, 0.0483857000, 0.1079873000, 0.2636101000", \ - "0.0119714000, 0.0133515000, 0.0167618000, 0.0254347000, 0.0483706000, 0.1077146000, 0.2631660000", \ - "0.0123165000, 0.0136136000, 0.0171418000, 0.0260480000, 0.0488869000, 0.1086334000, 0.2637912000"); - } - } - max_capacitance : 0.1574810000; - max_transition : 1.5051830000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1364309000, 0.1430427000, 0.1565571000, 0.1825796000, 0.2362757000, 0.3619381000, 0.6861240000", \ - "0.1413928000, 0.1479341000, 0.1614436000, 0.1874733000, 0.2411637000, 0.3668254000, 0.6910433000", \ - "0.1521143000, 0.1587125000, 0.1721456000, 0.1983483000, 0.2519378000, 0.3775946000, 0.7018640000", \ - "0.1718547000, 0.1783457000, 0.1917561000, 0.2180272000, 0.2716669000, 0.3973547000, 0.7215161000", \ - "0.1993723000, 0.2058849000, 0.2193509000, 0.2454953000, 0.2992367000, 0.4252667000, 0.7491948000", \ - "0.2346703000, 0.2411387000, 0.2545564000, 0.2808462000, 0.3346786000, 0.4605022000, 0.7834649000", \ - "0.2708093000, 0.2773528000, 0.2908497000, 0.3172595000, 0.3712645000, 0.4972364000, 0.8201757000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1628892000, 0.1716745000, 0.1904753000, 0.2312422000, 0.3271335000, 0.5723732000, 1.2126234000", \ - "0.1676258000, 0.1763362000, 0.1952221000, 0.2359169000, 0.3319478000, 0.5769012000, 1.2206509000", \ - "0.1800974000, 0.1887347000, 0.2075162000, 0.2482837000, 0.3443108000, 0.5890251000, 1.2305588000", \ - "0.2100713000, 0.2187244000, 0.2375121000, 0.2782553000, 0.3743003000, 0.6195156000, 1.2612575000", \ - "0.2678257000, 0.2766397000, 0.2954416000, 0.3361777000, 0.4322843000, 0.6775126000, 1.3251400000", \ - "0.3578312000, 0.3666816000, 0.3856955000, 0.4266400000, 0.5227810000, 0.7687072000, 1.4094499000", \ - "0.4981591000, 0.5070578000, 0.5263080000, 0.5674328000, 0.6641319000, 0.9102548000, 1.5476974000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0231240000, 0.0273554000, 0.0374140000, 0.0607343000, 0.1192413000, 0.2790699000, 0.7131827000", \ - "0.0228377000, 0.0273407000, 0.0374161000, 0.0607307000, 0.1193474000, 0.2790475000, 0.7125172000", \ - "0.0230935000, 0.0273341000, 0.0375383000, 0.0606155000, 0.1193953000, 0.2790410000, 0.7123164000", \ - "0.0227505000, 0.0272088000, 0.0375342000, 0.0608293000, 0.1191619000, 0.2789408000, 0.7139195000", \ - "0.0232262000, 0.0274167000, 0.0374675000, 0.0608854000, 0.1191179000, 0.2807507000, 0.7088760000", \ - "0.0230870000, 0.0275177000, 0.0377780000, 0.0612392000, 0.1192732000, 0.2771369000, 0.7149834000", \ - "0.0239976000, 0.0282250000, 0.0387123000, 0.0616184000, 0.1195782000, 0.2805600000, 0.7100129000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0303440000, 0.0381489000, 0.0568567000, 0.1047750000, 0.2346117000, 0.5845245000, 1.4968561000", \ - "0.0304546000, 0.0380758000, 0.0567505000, 0.1047323000, 0.2341237000, 0.5861439000, 1.4981407000", \ - "0.0303388000, 0.0381583000, 0.0567199000, 0.1046672000, 0.2342700000, 0.5859678000, 1.4976814000", \ - "0.0303569000, 0.0381605000, 0.0568554000, 0.1047769000, 0.2343822000, 0.5859128000, 1.5038910000", \ - "0.0306332000, 0.0383105000, 0.0570691000, 0.1049335000, 0.2341888000, 0.5860448000, 1.5046235000", \ - "0.0311316000, 0.0388197000, 0.0575063000, 0.1052018000, 0.2340450000, 0.5842613000, 1.4962822000", \ - "0.0326267000, 0.0400957000, 0.0586079000, 0.1061715000, 0.2348756000, 0.5829816000, 1.4947487000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1253434000, 0.1321035000, 0.1460631000, 0.1727192000, 0.2272459000, 0.3534695000, 0.6768605000", \ - "0.1304592000, 0.1372112000, 0.1509850000, 0.1779100000, 0.2322870000, 0.3585831000, 0.6829197000", \ - "0.1435006000, 0.1502375000, 0.1641089000, 0.1909012000, 0.2453433000, 0.3714472000, 0.6955818000", \ - "0.1750078000, 0.1817984000, 0.1956096000, 0.2224081000, 0.2768300000, 0.4030033000, 0.7271532000", \ - "0.2492558000, 0.2560203000, 0.2697086000, 0.2964132000, 0.3509970000, 0.4774172000, 0.8008360000", \ - "0.3870213000, 0.3956175000, 0.4123620000, 0.4429017000, 0.5006530000, 0.6281247000, 0.9526321000", \ - "0.6062962000, 0.6175308000, 0.6395141000, 0.6784165000, 0.7442517000, 0.8766445000, 1.2012181000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1106570000, 0.1192897000, 0.1381551000, 0.1788013000, 0.2744204000, 0.5199261000, 1.1575255000", \ - "0.1148966000, 0.1236219000, 0.1423584000, 0.1830307000, 0.2786817000, 0.5240689000, 1.1625019000", \ - "0.1234016000, 0.1320143000, 0.1508451000, 0.1915382000, 0.2872411000, 0.5328384000, 1.1717092000", \ - "0.1425313000, 0.1512421000, 0.1700041000, 0.2106010000, 0.3064977000, 0.5525597000, 1.1939766000", \ - "0.1787431000, 0.1879907000, 0.2075607000, 0.2489276000, 0.3452587000, 0.5904874000, 1.2404817000", \ - "0.2286310000, 0.2391394000, 0.2606975000, 0.3039321000, 0.4013703000, 0.6471421000, 1.2865405000", \ - "0.2711122000, 0.2849921000, 0.3120738000, 0.3611839000, 0.4609340000, 0.7077838000, 1.3460872000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0248391000, 0.0289685000, 0.0393545000, 0.0625528000, 0.1203550000, 0.2803196000, 0.7085478000", \ - "0.0246394000, 0.0293816000, 0.0395455000, 0.0627488000, 0.1204548000, 0.2797985000, 0.7087769000", \ - "0.0247658000, 0.0291815000, 0.0397195000, 0.0625323000, 0.1204662000, 0.2798572000, 0.7147766000", \ - "0.0245269000, 0.0290544000, 0.0393070000, 0.0625740000, 0.1204824000, 0.2798418000, 0.7150364000", \ - "0.0256185000, 0.0301566000, 0.0400009000, 0.0636992000, 0.1209752000, 0.2814142000, 0.7138415000", \ - "0.0357349000, 0.0405384000, 0.0505222000, 0.0726437000, 0.1265511000, 0.2815969000, 0.7109376000", \ - "0.0525019000, 0.0585800000, 0.0711296000, 0.0930941000, 0.1441545000, 0.2895151000, 0.7080289000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0302242000, 0.0381040000, 0.0567313000, 0.1048407000, 0.2347602000, 0.5833349000, 1.5021990000", \ - "0.0302758000, 0.0380396000, 0.0567836000, 0.1048215000, 0.2347622000, 0.5829930000, 1.4997467000", \ - "0.0302812000, 0.0381563000, 0.0567715000, 0.1048410000, 0.2347267000, 0.5845683000, 1.5010587000", \ - "0.0303985000, 0.0379833000, 0.0566971000, 0.1046809000, 0.2347056000, 0.5839410000, 1.5035542000", \ - "0.0333693000, 0.0409401000, 0.0595743000, 0.1065765000, 0.2347791000, 0.5861057000, 1.5051834000", \ - "0.0408857000, 0.0481879000, 0.0659424000, 0.1108512000, 0.2373792000, 0.5831161000, 1.5004247000", \ - "0.0555630000, 0.0641031000, 0.0828055000, 0.1237657000, 0.2419814000, 0.5858660000, 1.4951932000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1411211000, 0.1479848000, 0.1619404000, 0.1887478000, 0.2430980000, 0.3693171000, 0.6935545000", \ - "0.1459316000, 0.1527893000, 0.1667209000, 0.1937185000, 0.2479260000, 0.3742048000, 0.6988007000", \ - "0.1589376000, 0.1658176000, 0.1797165000, 0.2064774000, 0.2609941000, 0.3873334000, 0.7113272000", \ - "0.1900901000, 0.1969508000, 0.2109015000, 0.2378369000, 0.2923662000, 0.4187541000, 0.7427269000", \ - "0.2656935000, 0.2724499000, 0.2863550000, 0.3131679000, 0.3678635000, 0.4943245000, 0.8184557000", \ - "0.4122432000, 0.4206064000, 0.4371353000, 0.4669812000, 0.5243121000, 0.6518374000, 0.9762037000", \ - "0.6489051000, 0.6596023000, 0.6811224000, 0.7188115000, 0.7838463000, 0.9153325000, 1.2396267000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1186061000, 0.1273061000, 0.1461061000, 0.1866979000, 0.2821344000, 0.5279817000, 1.1671225000", \ - "0.1226391000, 0.1313289000, 0.1501480000, 0.1907134000, 0.2860870000, 0.5307727000, 1.1684740000", \ - "0.1303008000, 0.1390129000, 0.1578251000, 0.1983499000, 0.2936488000, 0.5387225000, 1.1772496000", \ - "0.1457480000, 0.1544055000, 0.1731084000, 0.2137172000, 0.3090868000, 0.5542902000, 1.1912834000", \ - "0.1739927000, 0.1832094000, 0.2027690000, 0.2443840000, 0.3406168000, 0.5859593000, 1.2240119000", \ - "0.2161071000, 0.2262565000, 0.2475617000, 0.2913163000, 0.3890026000, 0.6345952000, 1.2806444000", \ - "0.2522202000, 0.2655359000, 0.2918872000, 0.3405294000, 0.4405879000, 0.6861873000, 1.3251471000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0259202000, 0.0304848000, 0.0408401000, 0.0639694000, 0.1220460000, 0.2805476000, 0.7137916000", \ - "0.0260706000, 0.0306556000, 0.0405982000, 0.0641697000, 0.1220706000, 0.2806200000, 0.7099062000", \ - "0.0259195000, 0.0309933000, 0.0411136000, 0.0645104000, 0.1219452000, 0.2806392000, 0.7136707000", \ - "0.0260873000, 0.0307073000, 0.0412615000, 0.0642762000, 0.1219070000, 0.2806422000, 0.7137803000", \ - "0.0267417000, 0.0310050000, 0.0412056000, 0.0643544000, 0.1220790000, 0.2816769000, 0.7097584000", \ - "0.0355566000, 0.0403443000, 0.0501777000, 0.0720775000, 0.1267925000, 0.2826958000, 0.7127217000", \ - "0.0523489000, 0.0587698000, 0.0699446000, 0.0917114000, 0.1434033000, 0.2890650000, 0.7097377000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0302767000, 0.0380612000, 0.0566645000, 0.1048311000, 0.2346381000, 0.5843949000, 1.5031406000", \ - "0.0303923000, 0.0380345000, 0.0566747000, 0.1048733000, 0.2347210000, 0.5842981000, 1.4994915000", \ - "0.0303890000, 0.0380248000, 0.0566779000, 0.1048661000, 0.2345642000, 0.5845672000, 1.4997491000", \ - "0.0302888000, 0.0381176000, 0.0567960000, 0.1047027000, 0.2342314000, 0.5845433000, 1.5026181000", \ - "0.0329133000, 0.0407300000, 0.0592393000, 0.1066072000, 0.2352435000, 0.5840346000, 1.5016499000", \ - "0.0386877000, 0.0470999000, 0.0648278000, 0.1106241000, 0.2380887000, 0.5825579000, 1.5013121000", \ - "0.0519538000, 0.0608389000, 0.0803517000, 0.1222078000, 0.2424240000, 0.5856258000, 1.4952395000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and3b_2") { - leakage_power () { - value : 0.0061073000; - when : "!A_N&!B&C"; - } - leakage_power () { - value : 0.0057627000; - when : "!A_N&!B&!C"; - } - leakage_power () { - value : 0.0025947000; - when : "!A_N&B&C"; - } - leakage_power () { - value : 0.0061314000; - when : "!A_N&B&!C"; - } - leakage_power () { - value : 0.0078875000; - when : "A_N&!B&C"; - } - leakage_power () { - value : 0.0078692000; - when : "A_N&!B&!C"; - } - leakage_power () { - value : 0.0082219000; - when : "A_N&B&C"; - } - leakage_power () { - value : 0.0078908000; - when : "A_N&B&!C"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__and3b"; - cell_leakage_power : 0.0065581650; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0014120000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013710000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0057799000, 0.0056919000, 0.0054890000, 0.0055536000, 0.0057026000, 0.0060461000, 0.0068376000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0020778000, 0.0020090000, 0.0018504000, 0.0019179000, 0.0020735000, 0.0024322000, 0.0032589000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014540000; - } - pin ("B") { - capacitance : 0.0015010000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014700000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0028938000, 0.0028918000, 0.0028873000, 0.0028901000, 0.0028965000, 0.0029114000, 0.0029457000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002657400, -0.002649200, -0.002630500, -0.002626300, -0.002616800, -0.002594900, -0.002544600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015320000; - } - pin ("C") { - capacitance : 0.0015160000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022938000, 0.0022914000, 0.0022861000, 0.0022867000, 0.0022881000, 0.0022915000, 0.0022991000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002290100, -0.002287100, -0.002280300, -0.002280500, -0.002280900, -0.002281900, -0.002284300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015840000; - } - pin ("X") { - direction : "output"; - function : "(!A_N&B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0112701000, 0.0097731000, 0.0058765000, -0.005759500, -0.042990800, -0.155337700, -0.484839900", \ - "0.0113053000, 0.0098118000, 0.0058703000, -0.005757900, -0.043004900, -0.155414500, -0.484884300", \ - "0.0112215000, 0.0097495000, 0.0057629000, -0.005833100, -0.043115300, -0.155368600, -0.484842500", \ - "0.0109524000, 0.0094808000, 0.0055244000, -0.006127300, -0.043325100, -0.155731000, -0.485183100", \ - "0.0106139000, 0.0091402000, 0.0051804000, -0.006451000, -0.043695000, -0.156080700, -0.485498800", \ - "0.0128631000, 0.0113495000, 0.0070022000, -0.005844000, -0.043951700, -0.156273500, -0.485708500", \ - "0.0130665000, 0.0115992000, 0.0070856000, -0.005822300, -0.043704600, -0.156209800, -0.485708600"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0165414000, 0.0181299000, 0.0229514000, 0.0367023000, 0.0752885000, 0.1868232000, 0.5154782000", \ - "0.0164607000, 0.0180758000, 0.0228781000, 0.0366273000, 0.0752211000, 0.1868512000, 0.5129833000", \ - "0.0165374000, 0.0181347000, 0.0229221000, 0.0366839000, 0.0751846000, 0.1868583000, 0.5134717000", \ - "0.0163875000, 0.0180002000, 0.0227806000, 0.0364545000, 0.0750554000, 0.1867963000, 0.5127843000", \ - "0.0161711000, 0.0177800000, 0.0225706000, 0.0362982000, 0.0748372000, 0.1865288000, 0.5130162000", \ - "0.0159538000, 0.0175388000, 0.0223784000, 0.0360758000, 0.0744805000, 0.1862851000, 0.5132473000", \ - "0.0169215000, 0.0185114000, 0.0229715000, 0.0363035000, 0.0746389000, 0.1870846000, 0.5117741000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0113792000, 0.0098714000, 0.0058212000, -0.005956700, -0.043198800, -0.155539400, -0.484897300", \ - "0.0113095000, 0.0097787000, 0.0057263000, -0.006036000, -0.043277800, -0.155615200, -0.484966400", \ - "0.0112125000, 0.0096902000, 0.0056583000, -0.006105500, -0.043377100, -0.155690900, -0.485079900", \ - "0.0110708000, 0.0095491000, 0.0054644000, -0.006302400, -0.043558100, -0.155863000, -0.485242700", \ - "0.0110101000, 0.0094750000, 0.0054390000, -0.006537500, -0.043864500, -0.156122500, -0.485430300", \ - "0.0116153000, 0.0099316000, 0.0052498000, -0.006447500, -0.043899300, -0.156155900, -0.485395900", \ - "0.0150875000, 0.0132508000, 0.0082379000, -0.005204000, -0.043795500, -0.156018100, -0.485166600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0164971000, 0.0180918000, 0.0229184000, 0.0366029000, 0.0750247000, 0.1867997000, 0.5133944000", \ - "0.0164622000, 0.0180717000, 0.0228772000, 0.0365711000, 0.0750175000, 0.1866769000, 0.5131706000", \ - "0.0164211000, 0.0180380000, 0.0228168000, 0.0363410000, 0.0748914000, 0.1866555000, 0.5147538000", \ - "0.0162316000, 0.0178982000, 0.0226551000, 0.0363455000, 0.0748813000, 0.1865929000, 0.5130266000", \ - "0.0162939000, 0.0178698000, 0.0225626000, 0.0361749000, 0.0746024000, 0.1864474000, 0.5131865000", \ - "0.0171715000, 0.0186824000, 0.0231424000, 0.0360017000, 0.0744589000, 0.1859213000, 0.5127907000", \ - "0.0180237000, 0.0194643000, 0.0238153000, 0.0369713000, 0.0756159000, 0.1869357000, 0.5126198000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0129459000, 0.0113829000, 0.0073053000, -0.004608100, -0.042003900, -0.154448200, -0.483879300", \ - "0.0129138000, 0.0114211000, 0.0071908000, -0.004678400, -0.042161600, -0.154526900, -0.483977500", \ - "0.0127571000, 0.0111925000, 0.0071608000, -0.004737300, -0.042190200, -0.154629000, -0.484062900", \ - "0.0126232000, 0.0110819000, 0.0069915000, -0.004946300, -0.042364900, -0.154808300, -0.484198900", \ - "0.0124413000, 0.0109132000, 0.0068185000, -0.005168300, -0.042616200, -0.154959100, -0.484313600", \ - "0.0128888000, 0.0112686000, 0.0071837000, -0.004961300, -0.042536800, -0.154913500, -0.484280000", \ - "0.0167773000, 0.0149361000, 0.0098670000, -0.003666200, -0.042416400, -0.154730900, -0.483996500"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("0.0169667000, 0.0186659000, 0.0233675000, 0.0370699000, 0.0754777000, 0.1870274000, 0.5158084000", \ - "0.0169556000, 0.0185717000, 0.0233544000, 0.0371036000, 0.0753610000, 0.1869049000, 0.5132870000", \ - "0.0168979000, 0.0185131000, 0.0232959000, 0.0369080000, 0.0753634000, 0.1868905000, 0.5132532000", \ - "0.0166911000, 0.0182806000, 0.0231114000, 0.0368442000, 0.0752924000, 0.1868334000, 0.5128613000", \ - "0.0166566000, 0.0182222000, 0.0229437000, 0.0366143000, 0.0750974000, 0.1867412000, 0.5127613000", \ - "0.0174897000, 0.0190090000, 0.0235061000, 0.0364046000, 0.0750266000, 0.1865368000, 0.5132042000", \ - "0.0179826000, 0.0194470000, 0.0238676000, 0.0371050000, 0.0757763000, 0.1873277000, 0.5113313000"); - } - } - max_capacitance : 0.3094580000; - max_transition : 1.5048830000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1682981000, 0.1742941000, 0.1877613000, 0.2147268000, 0.2677237000, 0.3894584000, 0.7227766000", \ - "0.1730536000, 0.1790349000, 0.1924802000, 0.2194355000, 0.2724321000, 0.3942118000, 0.7268723000", \ - "0.1839900000, 0.1899908000, 0.2034404000, 0.2304011000, 0.2835190000, 0.4051459000, 0.7383006000", \ - "0.2034424000, 0.2094555000, 0.2228640000, 0.2497664000, 0.3030784000, 0.4246393000, 0.7579167000", \ - "0.2300583000, 0.2360386000, 0.2493366000, 0.2762299000, 0.3296418000, 0.4512725000, 0.7843755000", \ - "0.2635050000, 0.2695096000, 0.2829674000, 0.3098808000, 0.3631951000, 0.4851042000, 0.8176496000", \ - "0.2963481000, 0.3023626000, 0.3158595000, 0.3428657000, 0.3963579000, 0.5182438000, 0.8516045000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1869359000, 0.1945706000, 0.2121667000, 0.2497989000, 0.3364400000, 0.5695280000, 1.2446533000", \ - "0.1917084000, 0.1993672000, 0.2169651000, 0.2545572000, 0.3412214000, 0.5740511000, 1.2565845000", \ - "0.2044040000, 0.2120208000, 0.2295453000, 0.2672605000, 0.3538076000, 0.5874582000, 1.2632967000", \ - "0.2345119000, 0.2421376000, 0.2596817000, 0.2972869000, 0.3839516000, 0.6165791000, 1.2939348000", \ - "0.2921611000, 0.2997928000, 0.3172846000, 0.3549717000, 0.4415733000, 0.6751142000, 1.3531331000", \ - "0.3815740000, 0.3893098000, 0.4068174000, 0.4444609000, 0.5312151000, 0.7645438000, 1.4418261000", \ - "0.5225398000, 0.5303784000, 0.5479971000, 0.5859639000, 0.6730393000, 0.9067970000, 1.5820117000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0266919000, 0.0304851000, 0.0396631000, 0.0587872000, 0.1081217000, 0.2501604000, 0.6936862000", \ - "0.0267062000, 0.0305166000, 0.0392475000, 0.0593103000, 0.1083131000, 0.2506942000, 0.6906598000", \ - "0.0267841000, 0.0306549000, 0.0394078000, 0.0587340000, 0.1082292000, 0.2504011000, 0.6939874000", \ - "0.0267316000, 0.0305295000, 0.0391695000, 0.0592571000, 0.1080492000, 0.2506255000, 0.6894301000", \ - "0.0268516000, 0.0306352000, 0.0396697000, 0.0588165000, 0.1083555000, 0.2504097000, 0.6902271000", \ - "0.0270520000, 0.0308282000, 0.0395043000, 0.0595194000, 0.1083940000, 0.2493858000, 0.6956594000", \ - "0.0274228000, 0.0312999000, 0.0398691000, 0.0593526000, 0.1086170000, 0.2506039000, 0.6902721000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0306810000, 0.0363836000, 0.0509420000, 0.0879604000, 0.1946843000, 0.5223191000, 1.5040865000", \ - "0.0304499000, 0.0363901000, 0.0509903000, 0.0879522000, 0.1947979000, 0.5230769000, 1.5015951000", \ - "0.0304470000, 0.0365773000, 0.0507365000, 0.0878091000, 0.1950231000, 0.5238676000, 1.5019536000", \ - "0.0307769000, 0.0363611000, 0.0509644000, 0.0878317000, 0.1948917000, 0.5234704000, 1.4996773000", \ - "0.0307541000, 0.0366326000, 0.0509859000, 0.0877385000, 0.1947620000, 0.5239195000, 1.5016069000", \ - "0.0310915000, 0.0369359000, 0.0513813000, 0.0882618000, 0.1950166000, 0.5226371000, 1.5031700000", \ - "0.0319493000, 0.0378030000, 0.0523432000, 0.0889217000, 0.1955432000, 0.5229567000, 1.4945640000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1591908000, 0.1654951000, 0.1795851000, 0.2073026000, 0.2615496000, 0.3843963000, 0.7179055000", \ - "0.1645438000, 0.1708333000, 0.1848569000, 0.2125307000, 0.2669566000, 0.3896932000, 0.7231495000", \ - "0.1778555000, 0.1841352000, 0.1974459000, 0.2259520000, 0.2803290000, 0.4030170000, 0.7363309000", \ - "0.2090212000, 0.2153258000, 0.2297216000, 0.2570083000, 0.3113693000, 0.4341322000, 0.7677630000", \ - "0.2846970000, 0.2909486000, 0.3049406000, 0.3326354000, 0.3869941000, 0.5098196000, 0.8432282000", \ - "0.4416306000, 0.4489293000, 0.4646737000, 0.4955110000, 0.5523579000, 0.6762909000, 1.0099976000", \ - "0.6965725000, 0.7059121000, 0.7270182000, 0.7670587000, 0.8364965000, 0.9692166000, 1.3041385000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1358929000, 0.1435636000, 0.1610549000, 0.1986936000, 0.2851418000, 0.5186079000, 1.1978272000", \ - "0.1402058000, 0.1478505000, 0.1653388000, 0.2029773000, 0.2894424000, 0.5229093000, 1.2022083000", \ - "0.1488407000, 0.1565781000, 0.1739988000, 0.2114625000, 0.2981246000, 0.5311512000, 1.2091262000", \ - "0.1685061000, 0.1761842000, 0.1937688000, 0.2313249000, 0.3177517000, 0.5513218000, 1.2253992000", \ - "0.2101572000, 0.2181569000, 0.2361123000, 0.2741924000, 0.3609905000, 0.5947359000, 1.2690128000", \ - "0.2747094000, 0.2838088000, 0.3039908000, 0.3439348000, 0.4334264000, 0.6672042000, 1.3457896000", \ - "0.3445867000, 0.3560808000, 0.3815297000, 0.4296137000, 0.5233905000, 0.7580572000, 1.4323377000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0293012000, 0.0329047000, 0.0420826000, 0.0612644000, 0.1104363000, 0.2522254000, 0.6946015000", \ - "0.0289785000, 0.0328962000, 0.0419789000, 0.0614429000, 0.1103527000, 0.2520039000, 0.6903597000", \ - "0.0290218000, 0.0328779000, 0.0421654000, 0.0613785000, 0.1104912000, 0.2524385000, 0.6948856000", \ - "0.0290559000, 0.0331139000, 0.0422084000, 0.0613903000, 0.1104351000, 0.2519945000, 0.6894275000", \ - "0.0289834000, 0.0329672000, 0.0417266000, 0.0612706000, 0.1103985000, 0.2526074000, 0.6953959000", \ - "0.0382521000, 0.0421120000, 0.0511785000, 0.0699024000, 0.1156229000, 0.2534936000, 0.6930373000", \ - "0.0585180000, 0.0629814000, 0.0743231000, 0.0952225000, 0.1409193000, 0.2675669000, 0.6934396000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0306560000, 0.0363470000, 0.0508890000, 0.0879888000, 0.1950883000, 0.5229205000, 1.5031709000", \ - "0.0305309000, 0.0363044000, 0.0509423000, 0.0879997000, 0.1950758000, 0.5230424000, 1.5018105000", \ - "0.0306352000, 0.0364829000, 0.0510057000, 0.0877087000, 0.1947074000, 0.5235911000, 1.5006053000", \ - "0.0305545000, 0.0364104000, 0.0508613000, 0.0877753000, 0.1947475000, 0.5224991000, 1.5000396000", \ - "0.0324315000, 0.0384717000, 0.0524299000, 0.0889831000, 0.1955658000, 0.5239451000, 1.5012923000", \ - "0.0393205000, 0.0454483000, 0.0595912000, 0.0963518000, 0.1994067000, 0.5241381000, 1.5015024000", \ - "0.0538440000, 0.0612569000, 0.0770808000, 0.1109934000, 0.2079642000, 0.5264162000, 1.4930278000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1734304000, 0.1797851000, 0.1939625000, 0.2219107000, 0.2761421000, 0.3991878000, 0.7325276000", \ - "0.1784979000, 0.1848521000, 0.1990100000, 0.2270255000, 0.2814395000, 0.4042534000, 0.7376321000", \ - "0.1915705000, 0.1979027000, 0.2117119000, 0.2396489000, 0.2939633000, 0.4168955000, 0.7504602000", \ - "0.2228915000, 0.2292784000, 0.2440571000, 0.2719606000, 0.3264758000, 0.4495208000, 0.7832427000", \ - "0.2993227000, 0.3056998000, 0.3199911000, 0.3478533000, 0.4024548000, 0.5254636000, 0.8594182000", \ - "0.4628645000, 0.4700088000, 0.4860478000, 0.5161225000, 0.5723643000, 0.6960061000, 1.0293477000", \ - "0.7350130000, 0.7444083000, 0.7651384000, 0.8041582000, 0.8723702000, 1.0042950000, 1.3396266000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.1434287000, 0.1510880000, 0.1685646000, 0.2062128000, 0.2926211000, 0.5258000000, 1.2037533000", \ - "0.1476384000, 0.1552894000, 0.1727553000, 0.2104144000, 0.2967486000, 0.5297898000, 1.2052054000", \ - "0.1554882000, 0.1632388000, 0.1806789000, 0.2182119000, 0.3047225000, 0.5377377000, 1.2143042000", \ - "0.1710967000, 0.1787413000, 0.1963389000, 0.2339565000, 0.3204194000, 0.5527853000, 1.2351348000", \ - "0.2030433000, 0.2109196000, 0.2289771000, 0.2670959000, 0.3540297000, 0.5866495000, 1.2696670000", \ - "0.2543133000, 0.2630951000, 0.2829051000, 0.3232887000, 0.4127328000, 0.6467612000, 1.3211018000", \ - "0.3121192000, 0.3229891000, 0.3470359000, 0.3933936000, 0.4873438000, 0.7226589000, 1.3970787000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0300070000, 0.0341722000, 0.0425046000, 0.0626008000, 0.1113205000, 0.2527143000, 0.6957019000", \ - "0.0299857000, 0.0342779000, 0.0432024000, 0.0620894000, 0.1112633000, 0.2526352000, 0.6912125000", \ - "0.0303141000, 0.0341716000, 0.0427517000, 0.0621284000, 0.1113355000, 0.2524451000, 0.6953962000", \ - "0.0304644000, 0.0343353000, 0.0428980000, 0.0628093000, 0.1112513000, 0.2521715000, 0.6937508000", \ - "0.0299037000, 0.0338515000, 0.0428549000, 0.0622391000, 0.1109038000, 0.2523062000, 0.6941166000", \ - "0.0376737000, 0.0415543000, 0.0502217000, 0.0689255000, 0.1151867000, 0.2539008000, 0.6956330000", \ - "0.0572677000, 0.0624960000, 0.0728751000, 0.0933991000, 0.1387150000, 0.2667237000, 0.6948337000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0307466000, 0.0363392000, 0.0510072000, 0.0880145000, 0.1950791000, 0.5228699000, 1.5048830000", \ - "0.0304941000, 0.0365417000, 0.0509535000, 0.0879346000, 0.1950306000, 0.5239282000, 1.5012930000", \ - "0.0306234000, 0.0364587000, 0.0509072000, 0.0880128000, 0.1947511000, 0.5241177000, 1.5019789000", \ - "0.0306684000, 0.0363464000, 0.0508672000, 0.0878660000, 0.1948412000, 0.5234553000, 1.5023885000", \ - "0.0321707000, 0.0383172000, 0.0524148000, 0.0891109000, 0.1953468000, 0.5234199000, 1.5024292000", \ - "0.0370353000, 0.0431698000, 0.0582470000, 0.0947996000, 0.1995102000, 0.5241901000, 1.4999270000", \ - "0.0499675000, 0.0570042000, 0.0725720000, 0.1091202000, 0.2070704000, 0.5267303000, 1.4955259000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and3b_4") { - leakage_power () { - value : 0.0039207000; - when : "!A_N&!B&C"; - } - leakage_power () { - value : 0.0035795000; - when : "!A_N&!B&!C"; - } - leakage_power () { - value : 0.0053832000; - when : "!A_N&B&C"; - } - leakage_power () { - value : 0.0039380000; - when : "!A_N&B&!C"; - } - leakage_power () { - value : 0.0037504000; - when : "A_N&!B&C"; - } - leakage_power () { - value : 0.0037320000; - when : "A_N&!B&!C"; - } - leakage_power () { - value : 0.0040781000; - when : "A_N&B&C"; - } - leakage_power () { - value : 0.0037533000; - when : "A_N&B&!C"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__and3b"; - cell_leakage_power : 0.0040169030; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0015770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015130000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079176000, 0.0078176000, 0.0075870000, 0.0076307000, 0.0077314000, 0.0079636000, 0.0084987000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0052167000, 0.0051572000, 0.0050199000, 0.0050512000, 0.0051233000, 0.0052896000, 0.0056729000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016410000; - } - pin ("B") { - capacitance : 0.0023640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022630000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046442000, 0.0046439000, 0.0046432000, 0.0046461000, 0.0046528000, 0.0046683000, 0.0047039000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004336800, -0.004335000, -0.004331000, -0.004326500, -0.004316100, -0.004292200, -0.004237100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024650000; - } - pin ("C") { - capacitance : 0.0023900000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022500000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042951000, 0.0042940000, 0.0042916000, 0.0042896000, 0.0042851000, 0.0042747000, 0.0042508000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004285300, -0.004286100, -0.004288000, -0.004287800, -0.004287300, -0.004286200, -0.004283600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025300000; - } - pin ("X") { - direction : "output"; - function : "(!A_N&B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015596110, 0.0048647760, 0.0151743200, 0.0473320900, 0.1476394000, 0.4605201000"); - values("0.0223514000, 0.0207171000, 0.0158446000, 0.0013463000, -0.047582800, -0.208777600, -0.715307400", \ - "0.0223093000, 0.0207188000, 0.0158344000, 0.0013239000, -0.047577000, -0.208775700, -0.715308600", \ - "0.0223233000, 0.0206565000, 0.0158385000, 0.0013270000, -0.047632000, -0.208751900, -0.715325800", \ - "0.0219393000, 0.0203751000, 0.0154692000, 0.0009634000, -0.048013900, -0.209114800, -0.715781100", \ - "0.0215629000, 0.0200078000, 0.0150626000, 0.0005028000, -0.048399500, -0.209602300, -0.716059700", \ - "0.0252608000, 0.0235945000, 0.0184824000, 0.0025844000, -0.048668300, -0.209899100, -0.716377900", \ - "0.0257952000, 0.0240423000, 0.0188821000, 0.0030218000, -0.048020900, -0.209684400, -0.716351700"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015596110, 0.0048647760, 0.0151743200, 0.0473320900, 0.1476394000, 0.4605201000"); - values("0.0305587000, 0.0323155000, 0.0377873000, 0.0551506000, 0.1072990000, 0.2681378000, 0.7708354000", \ - "0.0305497000, 0.0323015000, 0.0376984000, 0.0551659000, 0.1073153000, 0.2684254000, 0.7702713000", \ - "0.0305337000, 0.0323184000, 0.0378965000, 0.0550445000, 0.1074315000, 0.2683779000, 0.7696154000", \ - "0.0303257000, 0.0320952000, 0.0376719000, 0.0548427000, 0.1071851000, 0.2681092000, 0.7692421000", \ - "0.0299584000, 0.0316906000, 0.0372228000, 0.0545033000, 0.1068092000, 0.2679090000, 0.7698627000", \ - "0.0300543000, 0.0317621000, 0.0371684000, 0.0545574000, 0.1066968000, 0.2675748000, 0.7668668000", \ - "0.0310822000, 0.0327933000, 0.0381791000, 0.0547779000, 0.1064349000, 0.2674164000, 0.7682393000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015596110, 0.0048647760, 0.0151743200, 0.0473320900, 0.1476394000, 0.4605201000"); - values("0.0227710000, 0.0210829000, 0.0160987000, 0.0015965000, -0.047409100, -0.208419400, -0.714914900", \ - "0.0226614000, 0.0209764000, 0.0160071000, 0.0015315000, -0.047431400, -0.208485400, -0.714936300", \ - "0.0223783000, 0.0208205000, 0.0158050000, 0.0013569000, -0.047582300, -0.208673700, -0.715171800", \ - "0.0222169000, 0.0206711000, 0.0156747000, 0.0009672000, -0.047973800, -0.208908000, -0.715354900", \ - "0.0223827000, 0.0207806000, 0.0154093000, 0.0007464000, -0.048402400, -0.209322900, -0.715680400", \ - "0.0226399000, 0.0208655000, 0.0152751000, 0.0004911000, -0.048568300, -0.209227900, -0.715171600", \ - "0.0293799000, 0.0273374000, 0.0212337000, 0.0041864000, -0.047727500, -0.209136600, -0.714880000"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015596110, 0.0048647760, 0.0151743200, 0.0473320900, 0.1476394000, 0.4605201000"); - values("0.0309283000, 0.0327374000, 0.0381691000, 0.0555397000, 0.1076947000, 0.2685702000, 0.7705446000", \ - "0.0308547000, 0.0326387000, 0.0382268000, 0.0555457000, 0.1074846000, 0.2681563000, 0.7700074000", \ - "0.0307067000, 0.0324485000, 0.0380494000, 0.0553338000, 0.1073450000, 0.2685187000, 0.7697825000", \ - "0.0304119000, 0.0322049000, 0.0377889000, 0.0551340000, 0.1073973000, 0.2682899000, 0.7697869000", \ - "0.0304240000, 0.0321311000, 0.0375728000, 0.0548093000, 0.1068858000, 0.2678633000, 0.7674508000", \ - "0.0317899000, 0.0334549000, 0.0385678000, 0.0550925000, 0.1069524000, 0.2675210000, 0.7701870000", \ - "0.0329781000, 0.0345695000, 0.0397102000, 0.0561428000, 0.1080398000, 0.2681794000, 0.7675769000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015596110, 0.0048647760, 0.0151743200, 0.0473320900, 0.1476394000, 0.4605201000"); - values("0.0249741000, 0.0232663000, 0.0180743000, 0.0033246000, -0.046104600, -0.207459800, -0.714071800", \ - "0.0248142000, 0.0231260000, 0.0180108000, 0.0032049000, -0.046196100, -0.207582000, -0.714182300", \ - "0.0246923000, 0.0229881000, 0.0177927000, 0.0030276000, -0.046361300, -0.207719400, -0.714328300", \ - "0.0244082000, 0.0226917000, 0.0175957000, 0.0027309000, -0.046564700, -0.207912900, -0.714509600", \ - "0.0244506000, 0.0227350000, 0.0175414000, 0.0029860000, -0.046540100, -0.207907200, -0.714423000", \ - "0.0245547000, 0.0227574000, 0.0173519000, 0.0027568000, -0.046698600, -0.207455800, -0.714011500", \ - "0.0317729000, 0.0297476000, 0.0250808000, 0.0067399000, -0.045533000, -0.207316100, -0.713611200"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015596110, 0.0048647760, 0.0151743200, 0.0473320900, 0.1476394000, 0.4605201000"); - values("0.0313264000, 0.0330834000, 0.0386739000, 0.0557806000, 0.1079486000, 0.2684031000, 0.7702439000", \ - "0.0311895000, 0.0329251000, 0.0385466000, 0.0556430000, 0.1078023000, 0.2684615000, 0.7699958000", \ - "0.0311212000, 0.0328603000, 0.0383617000, 0.0557210000, 0.1077909000, 0.2685589000, 0.7670616000", \ - "0.0307747000, 0.0325114000, 0.0380992000, 0.0555094000, 0.1076233000, 0.2684069000, 0.7669895000", \ - "0.0305992000, 0.0323862000, 0.0378821000, 0.0551077000, 0.1071985000, 0.2681731000, 0.7670809000", \ - "0.0318213000, 0.0334888000, 0.0387549000, 0.0549509000, 0.1071490000, 0.2678660000, 0.7695688000", \ - "0.0335536000, 0.0351747000, 0.0403783000, 0.0568024000, 0.1087094000, 0.2690884000, 0.7677044000"); - } - } - max_capacitance : 0.4605200000; - max_transition : 1.5062610000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015596100, 0.0048647800, 0.0151743000, 0.0473321000, 0.1476390000, 0.4605200000"); - values("0.1658345000, 0.1694336000, 0.1787394000, 0.1990043000, 0.2405217000, 0.3359291000, 0.6035871000", \ - "0.1707209000, 0.1743577000, 0.1836058000, 0.2038608000, 0.2453925000, 0.3408067000, 0.6084470000", \ - "0.1818042000, 0.1854295000, 0.1946664000, 0.2149318000, 0.2564701000, 0.3519462000, 0.6195922000", \ - "0.2043527000, 0.2080248000, 0.2172343000, 0.2375792000, 0.2790151000, 0.3745289000, 0.6422237000", \ - "0.2370744000, 0.2407413000, 0.2498512000, 0.2702170000, 0.3117875000, 0.4072291000, 0.6750158000", \ - "0.2763746000, 0.2799510000, 0.2891752000, 0.3094766000, 0.3510776000, 0.4465978000, 0.7146837000", \ - "0.3104761000, 0.3146234000, 0.3239105000, 0.3441353000, 0.3859975000, 0.4816103000, 0.7491373000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015596100, 0.0048647800, 0.0151743000, 0.0473321000, 0.1476390000, 0.4605200000"); - values("0.2483533000, 0.2538199000, 0.2679431000, 0.3012115000, 0.3812453000, 0.6056254000, 1.3009334000", \ - "0.2530307000, 0.2585680000, 0.2729580000, 0.3063063000, 0.3864336000, 0.6107362000, 1.3003832000", \ - "0.2654715000, 0.2710675000, 0.2852679000, 0.3185077000, 0.3986030000, 0.6231339000, 1.3155783000", \ - "0.2972281000, 0.3027835000, 0.3169964000, 0.3502363000, 0.4302214000, 0.6548342000, 1.3463994000", \ - "0.3698022000, 0.3753255000, 0.3895212000, 0.4228862000, 0.5030477000, 0.7272059000, 1.4187659000", \ - "0.5038107000, 0.5095743000, 0.5237656000, 0.5571999000, 0.6371315000, 0.8619455000, 1.5571527000", \ - "0.7225435000, 0.7282284000, 0.7428421000, 0.7764834000, 0.8570710000, 1.0817216000, 1.7745297000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015596100, 0.0048647800, 0.0151743000, 0.0473321000, 0.1476390000, 0.4605200000"); - values("0.0243038000, 0.0265939000, 0.0321472000, 0.0463124000, 0.0824061000, 0.1889534000, 0.5387794000", \ - "0.0242471000, 0.0264883000, 0.0321429000, 0.0468459000, 0.0824307000, 0.1889388000, 0.5387805000", \ - "0.0242455000, 0.0267903000, 0.0327535000, 0.0465892000, 0.0824229000, 0.1885715000, 0.5388459000", \ - "0.0242330000, 0.0267702000, 0.0323040000, 0.0465013000, 0.0825610000, 0.1887256000, 0.5399632000", \ - "0.0243838000, 0.0265547000, 0.0324363000, 0.0463029000, 0.0825830000, 0.1885940000, 0.5380242000", \ - "0.0244835000, 0.0267267000, 0.0328116000, 0.0464049000, 0.0826569000, 0.1885290000, 0.5398688000", \ - "0.0252093000, 0.0272522000, 0.0330576000, 0.0474668000, 0.0829562000, 0.1888490000, 0.5381732000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015596100, 0.0048647800, 0.0151743000, 0.0473321000, 0.1476390000, 0.4605200000"); - values("0.0335047000, 0.0377963000, 0.0496990000, 0.0814838000, 0.1782472000, 0.4937827000, 1.5062610000", \ - "0.0334867000, 0.0377428000, 0.0493828000, 0.0814288000, 0.1785060000, 0.4945296000, 1.4999878000", \ - "0.0333433000, 0.0378130000, 0.0495551000, 0.0813628000, 0.1781301000, 0.4942656000, 1.5031462000", \ - "0.0334691000, 0.0377154000, 0.0494311000, 0.0815770000, 0.1782811000, 0.4940544000, 1.5019416000", \ - "0.0336178000, 0.0379015000, 0.0497018000, 0.0815249000, 0.1784208000, 0.4949373000, 1.5037730000", \ - "0.0339261000, 0.0382591000, 0.0501233000, 0.0817797000, 0.1783161000, 0.4946213000, 1.5041068000", \ - "0.0353381000, 0.0394507000, 0.0513073000, 0.0831765000, 0.1790956000, 0.4961787000, 1.5003663000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015596100, 0.0048647800, 0.0151743000, 0.0473321000, 0.1476390000, 0.4605200000"); - values("0.1431374000, 0.1469967000, 0.1566889000, 0.1778176000, 0.2205564000, 0.3167069000, 0.5850114000", \ - "0.1486611000, 0.1525585000, 0.1623221000, 0.1835004000, 0.2260444000, 0.3224362000, 0.5904242000", \ - "0.1614734000, 0.1653212000, 0.1750721000, 0.1960638000, 0.2386971000, 0.3350156000, 0.6031863000", \ - "0.1934082000, 0.1973084000, 0.2068358000, 0.2280784000, 0.2707610000, 0.3669099000, 0.6352389000", \ - "0.2689862000, 0.2728402000, 0.2817934000, 0.3034693000, 0.3461116000, 0.4425735000, 0.7107478000", \ - "0.4180409000, 0.4227458000, 0.4350371000, 0.4591922000, 0.5060907000, 0.6044626000, 0.8705339000", \ - "0.6604541000, 0.6665682000, 0.6819884000, 0.7145676000, 0.7743197000, 0.8838971000, 1.1557874000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015596100, 0.0048647800, 0.0151743000, 0.0473321000, 0.1476390000, 0.4605200000"); - values("0.1487384000, 0.1542468000, 0.1684161000, 0.2017495000, 0.2817828000, 0.5051977000, 1.1983532000", \ - "0.1529351000, 0.1585343000, 0.1726867000, 0.2059786000, 0.2858867000, 0.5098049000, 1.2014590000", \ - "0.1612229000, 0.1667367000, 0.1809292000, 0.2141898000, 0.2939440000, 0.5184365000, 1.2118984000", \ - "0.1800226000, 0.1856421000, 0.1998502000, 0.2331477000, 0.3131067000, 0.5372743000, 1.2290349000", \ - "0.2206964000, 0.2263520000, 0.2408087000, 0.2743815000, 0.3545805000, 0.5793795000, 1.2754470000", \ - "0.2838386000, 0.2903956000, 0.3063504000, 0.3423896000, 0.4248569000, 0.6496793000, 1.3445821000", \ - "0.3489636000, 0.3569215000, 0.3769638000, 0.4193793000, 0.5068862000, 0.7328308000, 1.4231906000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015596100, 0.0048647800, 0.0151743000, 0.0473321000, 0.1476390000, 0.4605200000"); - values("0.0268340000, 0.0291732000, 0.0350805000, 0.0493126000, 0.0844545000, 0.1900674000, 0.5403532000", \ - "0.0270080000, 0.0290450000, 0.0349770000, 0.0490244000, 0.0845919000, 0.1899109000, 0.5401845000", \ - "0.0270608000, 0.0293741000, 0.0348282000, 0.0493505000, 0.0845991000, 0.1903081000, 0.5404150000", \ - "0.0268054000, 0.0293482000, 0.0352887000, 0.0491465000, 0.0841060000, 0.1898825000, 0.5403161000", \ - "0.0271864000, 0.0291501000, 0.0349801000, 0.0489577000, 0.0845599000, 0.1901969000, 0.5400563000", \ - "0.0378492000, 0.0404618000, 0.0471047000, 0.0599947000, 0.0922326000, 0.1932528000, 0.5402732000", \ - "0.0579343000, 0.0610567000, 0.0687738000, 0.0858248000, 0.1185401000, 0.2116261000, 0.5420129000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015596100, 0.0048647800, 0.0151743000, 0.0473321000, 0.1476390000, 0.4605200000"); - values("0.0332541000, 0.0375472000, 0.0491762000, 0.0812410000, 0.1784309000, 0.4940043000, 1.5034103000", \ - "0.0331469000, 0.0375600000, 0.0493733000, 0.0813487000, 0.1780468000, 0.4940590000, 1.4982217000", \ - "0.0333305000, 0.0376387000, 0.0493647000, 0.0811995000, 0.1783476000, 0.4946384000, 1.5045550000", \ - "0.0331339000, 0.0373480000, 0.0493358000, 0.0812025000, 0.1779507000, 0.4948505000, 1.5053677000", \ - "0.0346116000, 0.0387788000, 0.0505051000, 0.0821550000, 0.1785512000, 0.4947778000, 1.5038689000", \ - "0.0412924000, 0.0458149000, 0.0574656000, 0.0884965000, 0.1830236000, 0.4955324000, 1.5052884000", \ - "0.0564220000, 0.0618807000, 0.0742924000, 0.1039844000, 0.1926223000, 0.4984053000, 1.4985103000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015596100, 0.0048647800, 0.0151743000, 0.0473321000, 0.1476390000, 0.4605200000"); - values("0.1541259000, 0.1581048000, 0.1680454000, 0.1893817000, 0.2326256000, 0.3294033000, 0.5979630000", \ - "0.1592916000, 0.1633346000, 0.1732601000, 0.1946914000, 0.2378475000, 0.3347196000, 0.6032954000", \ - "0.1723337000, 0.1762696000, 0.1869552000, 0.2082769000, 0.2515363000, 0.3483475000, 0.6169517000", \ - "0.2043840000, 0.2084040000, 0.2184049000, 0.2403817000, 0.2833323000, 0.3802187000, 0.6487888000", \ - "0.2809949000, 0.2849415000, 0.2948974000, 0.3154937000, 0.3586240000, 0.4556439000, 0.7237134000", \ - "0.4372470000, 0.4419522000, 0.4536868000, 0.4778923000, 0.5244801000, 0.6217516000, 0.8900526000", \ - "0.6940447000, 0.7001897000, 0.7162710000, 0.7480143000, 0.8070514000, 0.9165527000, 1.1877505000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015596100, 0.0048647800, 0.0151743000, 0.0473321000, 0.1476390000, 0.4605200000"); - values("0.1553608000, 0.1609084000, 0.1750701000, 0.2082713000, 0.2882770000, 0.5120337000, 1.2045231000", \ - "0.1593475000, 0.1648605000, 0.1790961000, 0.2122582000, 0.2922585000, 0.5162669000, 1.2056450000", \ - "0.1670194000, 0.1725246000, 0.1866870000, 0.2200300000, 0.3000288000, 0.5234645000, 1.2133586000", \ - "0.1819304000, 0.1874514000, 0.2017362000, 0.2350301000, 0.3150469000, 0.5384267000, 1.2288829000", \ - "0.2135801000, 0.2192572000, 0.2338182000, 0.2674184000, 0.3476986000, 0.5715142000, 1.2615533000", \ - "0.2641577000, 0.2703734000, 0.2863338000, 0.3221203000, 0.4048085000, 0.6296230000, 1.3204310000", \ - "0.3174393000, 0.3250990000, 0.3444294000, 0.3857208000, 0.4732111000, 0.6992401000, 1.3897821000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015596100, 0.0048647800, 0.0151743000, 0.0473321000, 0.1476390000, 0.4605200000"); - values("0.0282305000, 0.0305652000, 0.0363489000, 0.0510150000, 0.0860878000, 0.1909712000, 0.5407793000", \ - "0.0282655000, 0.0305642000, 0.0364086000, 0.0511230000, 0.0861068000, 0.1912622000, 0.5407899000", \ - "0.0284910000, 0.0309028000, 0.0364074000, 0.0510426000, 0.0861183000, 0.1910046000, 0.5407474000", \ - "0.0281938000, 0.0305044000, 0.0363651000, 0.0506674000, 0.0861339000, 0.1910671000, 0.5407613000", \ - "0.0282866000, 0.0305193000, 0.0364490000, 0.0507111000, 0.0862581000, 0.1909182000, 0.5381683000", \ - "0.0381976000, 0.0408877000, 0.0464297000, 0.0598239000, 0.0918666000, 0.1943261000, 0.5409584000", \ - "0.0585175000, 0.0617949000, 0.0691604000, 0.0853771000, 0.1178983000, 0.2109724000, 0.5422597000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015596100, 0.0048647800, 0.0151743000, 0.0473321000, 0.1476390000, 0.4605200000"); - values("0.0332883000, 0.0375716000, 0.0493272000, 0.0814981000, 0.1780927000, 0.4942314000, 1.5019388000", \ - "0.0334061000, 0.0376925000, 0.0493521000, 0.0813195000, 0.1781954000, 0.4946710000, 1.5030206000", \ - "0.0333705000, 0.0375198000, 0.0491262000, 0.0813299000, 0.1783351000, 0.4931838000, 1.4994070000", \ - "0.0331975000, 0.0374428000, 0.0492230000, 0.0812545000, 0.1784421000, 0.4941853000, 1.4979743000", \ - "0.0345903000, 0.0387525000, 0.0503200000, 0.0822880000, 0.1786432000, 0.4932084000, 1.5001340000", \ - "0.0394524000, 0.0441186000, 0.0557485000, 0.0881163000, 0.1823349000, 0.4953749000, 1.5021503000", \ - "0.0527957000, 0.0573827000, 0.0702949000, 0.1012600000, 0.1916096000, 0.4979865000, 1.4959464000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and4_1") { - leakage_power () { - value : 0.0033794000; - when : "!A&!B&!C&D"; - } - leakage_power () { - value : 0.0033726000; - when : "!A&!B&!C&!D"; - } - leakage_power () { - value : 0.0033985000; - when : "!A&!B&C&D"; - } - leakage_power () { - value : 0.0033794000; - when : "!A&!B&C&!D"; - } - leakage_power () { - value : 0.0034023000; - when : "!A&B&!C&D"; - } - leakage_power () { - value : 0.0033811000; - when : "!A&B&!C&!D"; - } - leakage_power () { - value : 0.0037379000; - when : "!A&B&C&D"; - } - leakage_power () { - value : 0.0034026000; - when : "!A&B&C&!D"; - } - leakage_power () { - value : 0.0034086000; - when : "A&!B&!C&D"; - } - leakage_power () { - value : 0.0033868000; - when : "A&!B&!C&!D"; - } - leakage_power () { - value : 0.0037718000; - when : "A&!B&C&D"; - } - leakage_power () { - value : 0.0034089000; - when : "A&!B&C&!D"; - } - leakage_power () { - value : 0.0037989000; - when : "A&B&!C&D"; - } - leakage_power () { - value : 0.0034148000; - when : "A&B&!C&!D"; - } - leakage_power () { - value : 0.0019642000; - when : "A&B&C&D"; - } - leakage_power () { - value : 0.0037953000; - when : "A&B&C&!D"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__and4"; - cell_leakage_power : 0.0034001940; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0015340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015180000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0028536000, 0.0028540000, 0.0028550000, 0.0028538000, 0.0028511000, 0.0028448000, 0.0028302000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002227600, -0.002229600, -0.002234300, -0.002230200, -0.002220600, -0.002198700, -0.002148100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015510000; - } - pin ("B") { - capacitance : 0.0015500000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015220000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0024024000, 0.0024004000, 0.0023959000, 0.0024046000, 0.0024246000, 0.0024708000, 0.0025773000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002193500, -0.002186300, -0.002169600, -0.002169600, -0.002169600, -0.002169700, -0.002169800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015770000; - } - pin ("C") { - capacitance : 0.0015410000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015090000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0019154000, 0.0019157000, 0.0019166000, 0.0019164000, 0.0019159000, 0.0019147000, 0.0019121000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001919100, -0.001918400, -0.001916700, -0.001916400, -0.001915500, -0.001913500, -0.001909000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015720000; - } - pin ("D") { - capacitance : 0.0015660000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015080000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0021820000, 0.0021786000, 0.0021707000, 0.0021708000, 0.0021711000, 0.0021718000, 0.0021735000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002162700, -0.002163700, -0.002166100, -0.002166300, -0.002166700, -0.002167700, -0.002170000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016230000; - } - pin ("X") { - direction : "output"; - function : "(A&B&C&D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0077931000, 0.0067601000, 0.0039696000, -0.004233200, -0.027361000, -0.088864000, -0.250149700", \ - "0.0077289000, 0.0067047000, 0.0039403000, -0.004305400, -0.027462500, -0.088893800, -0.250244100", \ - "0.0075167000, 0.0065274000, 0.0037375000, -0.004486200, -0.027592700, -0.089089200, -0.250392100", \ - "0.0073004000, 0.0062658000, 0.0034772000, -0.004778100, -0.027863700, -0.089329100, -0.250648700", \ - "0.0071691000, 0.0061463000, 0.0032682000, -0.004996800, -0.028083100, -0.089482400, -0.250736700", \ - "0.0081069000, 0.0067355000, 0.0033439000, -0.005351700, -0.028216100, -0.089556300, -0.250741000", \ - "0.0092780000, 0.0078849000, 0.0044001000, -0.004549000, -0.027900600, -0.089096800, -0.250205500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0102741000, 0.0116720000, 0.0153171000, 0.0244465000, 0.0477430000, 0.1086676000, 0.2685155000", \ - "0.0102327000, 0.0116246000, 0.0152536000, 0.0243285000, 0.0477942000, 0.1088480000, 0.2684708000", \ - "0.0101022000, 0.0115101000, 0.0151414000, 0.0242685000, 0.0476466000, 0.1085435000, 0.2684881000", \ - "0.0099705000, 0.0113690000, 0.0150031000, 0.0241335000, 0.0474485000, 0.1084268000, 0.2683678000", \ - "0.0099121000, 0.0112915000, 0.0147960000, 0.0238646000, 0.0472803000, 0.1083203000, 0.2686257000", \ - "0.0104828000, 0.0117639000, 0.0151786000, 0.0239162000, 0.0473474000, 0.1080347000, 0.2684710000", \ - "0.0111498000, 0.0125672000, 0.0159515000, 0.0248887000, 0.0477511000, 0.1088753000, 0.2673818000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0094108000, 0.0083780000, 0.0056144000, -0.002539100, -0.025565700, -0.086971400, -0.248237300", \ - "0.0093477000, 0.0083187000, 0.0055515000, -0.002605600, -0.025625300, -0.087043000, -0.248310700", \ - "0.0092545000, 0.0082100000, 0.0054228000, -0.002730300, -0.025757800, -0.087159400, -0.248449800", \ - "0.0089906000, 0.0079396000, 0.0051753000, -0.003019700, -0.026016600, -0.087413700, -0.248667500", \ - "0.0090007000, 0.0079131000, 0.0050767000, -0.003169100, -0.026215100, -0.087573600, -0.248807700", \ - "0.0091685000, 0.0078425000, 0.0045124000, -0.003519800, -0.026362000, -0.087684400, -0.248894800", \ - "0.0108899000, 0.0095025000, 0.0060051000, -0.002981800, -0.026356700, -0.087560700, -0.248649500"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0104807000, 0.0118784000, 0.0155041000, 0.0246404000, 0.0479453000, 0.1088038000, 0.2698716000", \ - "0.0104496000, 0.0118358000, 0.0154814000, 0.0245924000, 0.0479004000, 0.1087546000, 0.2684291000", \ - "0.0103550000, 0.0117509000, 0.0153931000, 0.0244449000, 0.0478522000, 0.1087934000, 0.2685872000", \ - "0.0102127000, 0.0116057000, 0.0152523000, 0.0243511000, 0.0476780000, 0.1092481000, 0.2697894000", \ - "0.0102604000, 0.0116270000, 0.0151432000, 0.0242252000, 0.0475929000, 0.1085779000, 0.2684614000", \ - "0.0105452000, 0.0118558000, 0.0152992000, 0.0241608000, 0.0474126000, 0.1082526000, 0.2683713000", \ - "0.0109953000, 0.0122356000, 0.0156286000, 0.0246263000, 0.0479771000, 0.1090520000, 0.2672906000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0111669000, 0.0101424000, 0.0074125000, -0.000679900, -0.023605000, -0.084960800, -0.246154200", \ - "0.0111505000, 0.0100979000, 0.0072915000, -0.000786500, -0.023703100, -0.085038100, -0.246233400", \ - "0.0110044000, 0.0099633000, 0.0072211000, -0.000894700, -0.023809800, -0.085146100, -0.246338000", \ - "0.0108422000, 0.0097857000, 0.0070248000, -0.001107500, -0.024033900, -0.085325700, -0.246513700", \ - "0.0107689000, 0.0096819000, 0.0069023000, -0.001247100, -0.024234700, -0.085510700, -0.246653000", \ - "0.0107315000, 0.0095077000, 0.0067549000, -0.001334200, -0.024265700, -0.085514100, -0.246619400", \ - "0.0131609000, 0.0117735000, 0.0082845000, -0.000683000, -0.024080600, -0.085246700, -0.246303400"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0102626000, 0.0116650000, 0.0152891000, 0.0243450000, 0.0476530000, 0.1085208000, 0.2681352000", \ - "0.0102043000, 0.0115897000, 0.0152119000, 0.0243497000, 0.0476288000, 0.1084191000, 0.2694193000", \ - "0.0101256000, 0.0115403000, 0.0151705000, 0.0242870000, 0.0475730000, 0.1083647000, 0.2693878000", \ - "0.0100282000, 0.0114323000, 0.0150588000, 0.0241614000, 0.0474533000, 0.1084081000, 0.2678750000", \ - "0.0099745000, 0.0113375000, 0.0149281000, 0.0240271000, 0.0473930000, 0.1083539000, 0.2685471000", \ - "0.0102838000, 0.0116231000, 0.0150741000, 0.0238783000, 0.0472017000, 0.1081476000, 0.2693164000", \ - "0.0106106000, 0.0118938000, 0.0152962000, 0.0244172000, 0.0477543000, 0.1088093000, 0.2670653000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0123486000, 0.0112876000, 0.0085168000, 0.0003668000, -0.022661700, -0.084030800, -0.245241900", \ - "0.0122696000, 0.0112093000, 0.0084963000, 0.0002797000, -0.022735200, -0.084106700, -0.245310900", \ - "0.0121483000, 0.0110880000, 0.0083563000, 0.0001695000, -0.022816700, -0.084200800, -0.245423500", \ - "0.0120284000, 0.0109974000, 0.0081826000, 2.990000e-05, -0.022959100, -0.084319400, -0.245506700", \ - "0.0120219000, 0.0109446000, 0.0081249000, -5.03000e-05, -0.023111200, -0.084426900, -0.245601300", \ - "0.0120762000, 0.0109531000, 0.0081853000, 2.490000e-05, -0.022967300, -0.084301600, -0.245450800", \ - "0.0147561000, 0.0133574000, 0.0098256000, 0.0008096000, -0.022794100, -0.084047800, -0.245189100"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0104433000, 0.0118392000, 0.0154797000, 0.0245382000, 0.0478820000, 0.1086887000, 0.2683096000", \ - "0.0103979000, 0.0117961000, 0.0153781000, 0.0244760000, 0.0478045000, 0.1085026000, 0.2694742000", \ - "0.0103225000, 0.0117037000, 0.0153287000, 0.0244677000, 0.0477228000, 0.1084538000, 0.2693532000", \ - "0.0101880000, 0.0115808000, 0.0152210000, 0.0243347000, 0.0476191000, 0.1089731000, 0.2695201000", \ - "0.0100835000, 0.0114717000, 0.0150622000, 0.0241414000, 0.0474883000, 0.1084315000, 0.2671038000", \ - "0.0104402000, 0.0117324000, 0.0152229000, 0.0240454000, 0.0473890000, 0.1081919000, 0.2691792000", \ - "0.0107538000, 0.0120456000, 0.0156188000, 0.0244862000, 0.0476913000, 0.1087444000, 0.2671058000"); - } - } - max_capacitance : 0.1611430000; - max_transition : 1.5027250000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1122445000, 0.1187579000, 0.1321894000, 0.1583718000, 0.2114639000, 0.3348840000, 0.6522878000", \ - "0.1174052000, 0.1239165000, 0.1373688000, 0.1635160000, 0.2169045000, 0.3400554000, 0.6571486000", \ - "0.1302705000, 0.1367903000, 0.1500662000, 0.1762541000, 0.2294850000, 0.3528058000, 0.6699389000", \ - "0.1611011000, 0.1677079000, 0.1811595000, 0.2072562000, 0.2604533000, 0.3838600000, 0.7011785000", \ - "0.2320432000, 0.2393098000, 0.2529982000, 0.2794724000, 0.3329383000, 0.4564749000, 0.7740647000", \ - "0.3545692000, 0.3633736000, 0.3807194000, 0.4118880000, 0.4698522000, 0.5952818000, 0.9081939000", \ - "0.5457734000, 0.5571226000, 0.5800760000, 0.6203836000, 0.6881268000, 0.8195566000, 1.1337354000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1229093000, 0.1328418000, 0.1539556000, 0.1979537000, 0.2961481000, 0.5430635000, 1.1855353000", \ - "0.1264385000, 0.1364274000, 0.1575197000, 0.2014821000, 0.2996844000, 0.5455315000, 1.1904919000", \ - "0.1351892000, 0.1451990000, 0.1662893000, 0.2103262000, 0.3086558000, 0.5551936000, 1.1969816000", \ - "0.1575042000, 0.1673554000, 0.1885501000, 0.2324012000, 0.3305553000, 0.5773372000, 1.2187362000", \ - "0.2020452000, 0.2121717000, 0.2333118000, 0.2779617000, 0.3764470000, 0.6225588000, 1.2666247000", \ - "0.2613347000, 0.2727816000, 0.2954147000, 0.3414937000, 0.4415567000, 0.6904594000, 1.3344522000", \ - "0.3194185000, 0.3348757000, 0.3636750000, 0.4156391000, 0.5160587000, 0.7647588000, 1.4074987000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0230153000, 0.0273933000, 0.0375764000, 0.0602611000, 0.1159653000, 0.2704480000, 0.6896262000", \ - "0.0227439000, 0.0271729000, 0.0373580000, 0.0602173000, 0.1162845000, 0.2714932000, 0.6891205000", \ - "0.0226762000, 0.0271153000, 0.0376167000, 0.0603734000, 0.1163159000, 0.2703781000, 0.6891037000", \ - "0.0229755000, 0.0273636000, 0.0374942000, 0.0600221000, 0.1162153000, 0.2719713000, 0.6872388000", \ - "0.0252823000, 0.0294092000, 0.0388298000, 0.0613460000, 0.1167268000, 0.2713884000, 0.6846519000", \ - "0.0358809000, 0.0409745000, 0.0510165000, 0.0723435000, 0.1251293000, 0.2737038000, 0.6851679000", \ - "0.0530833000, 0.0592555000, 0.0721349000, 0.0948041000, 0.1454356000, 0.2829941000, 0.6889062000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0347676000, 0.0430121000, 0.0620852000, 0.1093335000, 0.2355327000, 0.5812804000, 1.4955743000", \ - "0.0345489000, 0.0429431000, 0.0621503000, 0.1093891000, 0.2355162000, 0.5800395000, 1.4956031000", \ - "0.0345727000, 0.0429264000, 0.0619894000, 0.1090856000, 0.2349771000, 0.5815222000, 1.4935819000", \ - "0.0346310000, 0.0429771000, 0.0621176000, 0.1092447000, 0.2350102000, 0.5817296000, 1.4955230000", \ - "0.0367352000, 0.0448231000, 0.0644363000, 0.1111074000, 0.2360553000, 0.5803500000, 1.4973409000", \ - "0.0449389000, 0.0526651000, 0.0716052000, 0.1159187000, 0.2396107000, 0.5809550000, 1.5000329000", \ - "0.0617510000, 0.0706003000, 0.0885120000, 0.1290345000, 0.2448195000, 0.5851440000, 1.4931134000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1352758000, 0.1422235000, 0.1563801000, 0.1832850000, 0.2377837000, 0.3616641000, 0.6788962000", \ - "0.1407778000, 0.1477291000, 0.1618900000, 0.1886473000, 0.2430680000, 0.3671047000, 0.6848789000", \ - "0.1537306000, 0.1606538000, 0.1747854000, 0.2018012000, 0.2562568000, 0.3802131000, 0.6976306000", \ - "0.1851020000, 0.1920074000, 0.2062195000, 0.2333471000, 0.2877798000, 0.4118076000, 0.7295208000", \ - "0.2596960000, 0.2666040000, 0.2807012000, 0.3079503000, 0.3623221000, 0.4865142000, 0.8040173000", \ - "0.4044050000, 0.4129758000, 0.4299407000, 0.4607799000, 0.5172133000, 0.6427341000, 0.9604906000", \ - "0.6365563000, 0.6479912000, 0.6705569000, 0.7101458000, 0.7770046000, 0.9079237000, 1.2261714000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1341481000, 0.1440997000, 0.1653178000, 0.2091996000, 0.3073470000, 0.5531747000, 1.1945258000", \ - "0.1381766000, 0.1480933000, 0.1692742000, 0.2131842000, 0.3113446000, 0.5572007000, 1.1983246000", \ - "0.1467571000, 0.1567420000, 0.1778616000, 0.2217092000, 0.3197755000, 0.5660269000, 1.2091832000", \ - "0.1663281000, 0.1763365000, 0.1974126000, 0.2413222000, 0.3395347000, 0.5862785000, 1.2355442000", \ - "0.2060114000, 0.2163281000, 0.2381108000, 0.2827228000, 0.3810978000, 0.6273945000, 1.2686987000", \ - "0.2629478000, 0.2746064000, 0.2981965000, 0.3446134000, 0.4449840000, 0.6926102000, 1.3338288000", \ - "0.3158214000, 0.3308510000, 0.3600252000, 0.4123687000, 0.5155933000, 0.7632041000, 1.4065464000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0249698000, 0.0295148000, 0.0400504000, 0.0627383000, 0.1186073000, 0.2719021000, 0.6840716000", \ - "0.0249542000, 0.0294879000, 0.0395060000, 0.0629903000, 0.1187001000, 0.2714478000, 0.6930481000", \ - "0.0250199000, 0.0295874000, 0.0395200000, 0.0628790000, 0.1186096000, 0.2719298000, 0.6850081000", \ - "0.0250067000, 0.0295705000, 0.0399986000, 0.0624537000, 0.1185998000, 0.2718271000, 0.6934393000", \ - "0.0258313000, 0.0303203000, 0.0403093000, 0.0630364000, 0.1188625000, 0.2726318000, 0.6877415000", \ - "0.0359729000, 0.0402476000, 0.0502941000, 0.0723590000, 0.1245074000, 0.2745060000, 0.6909893000", \ - "0.0522190000, 0.0587695000, 0.0712212000, 0.0932515000, 0.1434140000, 0.2826161000, 0.6899795000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0348013000, 0.0431594000, 0.0623067000, 0.1092253000, 0.2354716000, 0.5811052000, 1.5000960000", \ - "0.0348766000, 0.0430721000, 0.0623071000, 0.1092183000, 0.2354712000, 0.5811161000, 1.4998804000", \ - "0.0346343000, 0.0429636000, 0.0620991000, 0.1092872000, 0.2351554000, 0.5800611000, 1.4952044000", \ - "0.0345605000, 0.0429384000, 0.0620192000, 0.1091369000, 0.2354279000, 0.5818186000, 1.5027247000", \ - "0.0372749000, 0.0453095000, 0.0643514000, 0.1110819000, 0.2357035000, 0.5812383000, 1.5001294000", \ - "0.0436047000, 0.0519616000, 0.0706187000, 0.1155730000, 0.2393161000, 0.5814936000, 1.4943023000", \ - "0.0590995000, 0.0683562000, 0.0875524000, 0.1291202000, 0.2451142000, 0.5849723000, 1.4918401000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1493024000, 0.1564982000, 0.1710311000, 0.1985001000, 0.2537124000, 0.3785527000, 0.6960182000", \ - "0.1545121000, 0.1616249000, 0.1763227000, 0.2038134000, 0.2590236000, 0.3838393000, 0.7011811000", \ - "0.1680556000, 0.1752504000, 0.1898240000, 0.2176572000, 0.2725727000, 0.3973664000, 0.7156534000", \ - "0.1998228000, 0.2069610000, 0.2215100000, 0.2492145000, 0.3044339000, 0.4289902000, 0.7470152000", \ - "0.2759012000, 0.2830068000, 0.2975085000, 0.3252822000, 0.3804865000, 0.5053958000, 0.8236524000", \ - "0.4311347000, 0.4397007000, 0.4563857000, 0.4868516000, 0.5440541000, 0.6696462000, 0.9877048000", \ - "0.6843677000, 0.6956705000, 0.7177495000, 0.7566409000, 0.8223595000, 0.9533226000, 1.2710042000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1405070000, 0.1504995000, 0.1715673000, 0.2154608000, 0.3134283000, 0.5594763000, 1.2005655000", \ - "0.1446089000, 0.1545100000, 0.1757166000, 0.2195974000, 0.3176464000, 0.5632252000, 1.2045799000", \ - "0.1529105000, 0.1629008000, 0.1840313000, 0.2279482000, 0.3260559000, 0.5719771000, 1.2129557000", \ - "0.1698479000, 0.1798546000, 0.2009299000, 0.2447403000, 0.3429488000, 0.5887754000, 1.2301276000", \ - "0.2029320000, 0.2133584000, 0.2350956000, 0.2796150000, 0.3779390000, 0.6241163000, 1.2699236000", \ - "0.2533790000, 0.2648075000, 0.2880749000, 0.3350556000, 0.4354046000, 0.6825093000, 1.3299890000", \ - "0.2998933000, 0.3145833000, 0.3431927000, 0.3956840000, 0.4992909000, 0.7471315000, 1.3888813000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0263252000, 0.0309732000, 0.0415054000, 0.0646640000, 0.1201093000, 0.2728104000, 0.6907584000", \ - "0.0267558000, 0.0311010000, 0.0415754000, 0.0644371000, 0.1199453000, 0.2727055000, 0.6914090000", \ - "0.0265560000, 0.0313521000, 0.0413145000, 0.0638816000, 0.1198338000, 0.2734761000, 0.6932363000", \ - "0.0264527000, 0.0309926000, 0.0416440000, 0.0641850000, 0.1201098000, 0.2729902000, 0.6857790000", \ - "0.0265757000, 0.0312681000, 0.0413900000, 0.0642503000, 0.1201323000, 0.2732298000, 0.6932377000", \ - "0.0348081000, 0.0396282000, 0.0495085000, 0.0707473000, 0.1242511000, 0.2736893000, 0.6922128000", \ - "0.0513325000, 0.0576037000, 0.0695613000, 0.0912320000, 0.1414475000, 0.2825027000, 0.6918355000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0346001000, 0.0429280000, 0.0621690000, 0.1090936000, 0.2352391000, 0.5818518000, 1.4975753000", \ - "0.0347219000, 0.0431434000, 0.0623150000, 0.1092302000, 0.2354882000, 0.5810741000, 1.5004112000", \ - "0.0349183000, 0.0428807000, 0.0621962000, 0.1091630000, 0.2353343000, 0.5813747000, 1.5014089000", \ - "0.0346467000, 0.0428869000, 0.0621332000, 0.1091148000, 0.2351249000, 0.5816356000, 1.4920992000", \ - "0.0369422000, 0.0449261000, 0.0638420000, 0.1106445000, 0.2355211000, 0.5814162000, 1.5010712000", \ - "0.0422568000, 0.0512740000, 0.0705815000, 0.1156264000, 0.2389766000, 0.5815646000, 1.5002627000", \ - "0.0566621000, 0.0661062000, 0.0853208000, 0.1293553000, 0.2453943000, 0.5838158000, 1.4929037000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1590220000, 0.1662932000, 0.1810145000, 0.2088693000, 0.2641725000, 0.3893211000, 0.7075475000", \ - "0.1641868000, 0.1714548000, 0.1859562000, 0.2141195000, 0.2694028000, 0.3945016000, 0.7128139000", \ - "0.1768762000, 0.1841348000, 0.1996916000, 0.2277226000, 0.2829918000, 0.4080932000, 0.7268424000", \ - "0.2098036000, 0.2169632000, 0.2316327000, 0.2596654000, 0.3151561000, 0.4402852000, 0.7591319000", \ - "0.2864395000, 0.2935898000, 0.3082524000, 0.3362566000, 0.3917386000, 0.5170082000, 0.8355220000", \ - "0.4476517000, 0.4560964000, 0.4724918000, 0.5027083000, 0.5595430000, 0.6853127000, 1.0035373000", \ - "0.7138391000, 0.7248878000, 0.7468793000, 0.7844748000, 0.8495115000, 0.9796921000, 1.2981620000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1465466000, 0.1565317000, 0.1776552000, 0.2215045000, 0.3193205000, 0.5648633000, 1.2074978000", \ - "0.1507670000, 0.1607347000, 0.1817659000, 0.2256817000, 0.3235963000, 0.5686792000, 1.2119520000", \ - "0.1586966000, 0.1685789000, 0.1897998000, 0.2336814000, 0.3316581000, 0.5769231000, 1.2181856000", \ - "0.1737676000, 0.1837912000, 0.2048572000, 0.2486763000, 0.3467696000, 0.5929540000, 1.2398964000", \ - "0.2011553000, 0.2114798000, 0.2330897000, 0.2774379000, 0.3756618000, 0.6214335000, 1.2636564000", \ - "0.2424201000, 0.2536444000, 0.2767951000, 0.3234651000, 0.4236556000, 0.6704138000, 1.3188990000", \ - "0.2827737000, 0.2966853000, 0.3242588000, 0.3760208000, 0.4796689000, 0.7271770000, 1.3689962000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0274755000, 0.0321472000, 0.0429408000, 0.0654848000, 0.1212444000, 0.2737288000, 0.6901529000", \ - "0.0274665000, 0.0321264000, 0.0426408000, 0.0654901000, 0.1211230000, 0.2737708000, 0.6965167000", \ - "0.0274476000, 0.0320810000, 0.0428291000, 0.0657402000, 0.1216016000, 0.2737870000, 0.6910748000", \ - "0.0274560000, 0.0326405000, 0.0425274000, 0.0657971000, 0.1214629000, 0.2738721000, 0.6927491000", \ - "0.0277832000, 0.0323062000, 0.0429448000, 0.0653616000, 0.1213427000, 0.2738193000, 0.6944987000", \ - "0.0345371000, 0.0392699000, 0.0494064000, 0.0706627000, 0.1239397000, 0.2742984000, 0.6888127000", \ - "0.0510530000, 0.0572341000, 0.0684165000, 0.0902673000, 0.1401277000, 0.2822385000, 0.6907221000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0346152000, 0.0429627000, 0.0620999000, 0.1092458000, 0.2353127000, 0.5796004000, 1.4958100000", \ - "0.0347670000, 0.0430365000, 0.0622710000, 0.1092245000, 0.2355468000, 0.5806889000, 1.4970030000", \ - "0.0347556000, 0.0431686000, 0.0623166000, 0.1092264000, 0.2355161000, 0.5809868000, 1.5005552000", \ - "0.0345568000, 0.0430102000, 0.0620664000, 0.1091443000, 0.2351501000, 0.5817535000, 1.5026038000", \ - "0.0362504000, 0.0444551000, 0.0634717000, 0.1104267000, 0.2357382000, 0.5805414000, 1.4999176000", \ - "0.0406243000, 0.0494148000, 0.0687683000, 0.1149311000, 0.2385613000, 0.5814871000, 1.4986673000", \ - "0.0521487000, 0.0619329000, 0.0824527000, 0.1261088000, 0.2447737000, 0.5828880000, 1.4888427000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and4_2") { - leakage_power () { - value : 0.0034361000; - when : "!A&!B&!C&D"; - } - leakage_power () { - value : 0.0034293000; - when : "!A&!B&!C&!D"; - } - leakage_power () { - value : 0.0034555000; - when : "!A&!B&C&D"; - } - leakage_power () { - value : 0.0034364000; - when : "!A&!B&C&!D"; - } - leakage_power () { - value : 0.0034597000; - when : "!A&B&!C&D"; - } - leakage_power () { - value : 0.0034381000; - when : "!A&B&!C&!D"; - } - leakage_power () { - value : 0.0037988000; - when : "!A&B&C&D"; - } - leakage_power () { - value : 0.0034609000; - when : "!A&B&C&!D"; - } - leakage_power () { - value : 0.0034660000; - when : "A&!B&!C&D"; - } - leakage_power () { - value : 0.0034439000; - when : "A&!B&!C&!D"; - } - leakage_power () { - value : 0.0038356000; - when : "A&!B&C&D"; - } - leakage_power () { - value : 0.0034673000; - when : "A&!B&C&!D"; - } - leakage_power () { - value : 0.0038674000; - when : "A&B&!C&D"; - } - leakage_power () { - value : 0.0034733000; - when : "A&B&!C&!D"; - } - leakage_power () { - value : 0.0023631000; - when : "A&B&C&D"; - } - leakage_power () { - value : 0.0038733000; - when : "A&B&C&!D"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__and4"; - cell_leakage_power : 0.0034815480; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014950000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014800000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0028438000, 0.0028443000, 0.0028454000, 0.0028458000, 0.0028465000, 0.0028483000, 0.0028523000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002204700, -0.002207900, -0.002215200, -0.002210900, -0.002201000, -0.002178200, -0.002125700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015100000; - } - pin ("B") { - capacitance : 0.0015240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014970000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0023960000, 0.0023930000, 0.0023861000, 0.0023947000, 0.0024145000, 0.0024602000, 0.0025655000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002164600, -0.002162600, -0.002157800, -0.002158000, -0.002158400, -0.002159200, -0.002161200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015510000; - } - pin ("C") { - capacitance : 0.0015200000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014890000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0019128000, 0.0019131000, 0.0019140000, 0.0019137000, 0.0019130000, 0.0019115000, 0.0019081000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001919200, -0.001915200, -0.001906000, -0.001905900, -0.001905500, -0.001904700, -0.001902700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015510000; - } - pin ("D") { - capacitance : 0.0015370000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0021528000, 0.0021531000, 0.0021538000, 0.0021538000, 0.0021540000, 0.0021543000, 0.0021550000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002148500, -0.002149600, -0.002152000, -0.002152200, -0.002152700, -0.002154000, -0.002156800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015930000; - } - pin ("X") { - direction : "output"; - function : "(A&B&C&D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0110362000, 0.0094787000, 0.0057099000, -0.005682000, -0.041889600, -0.151072000, -0.469922600", \ - "0.0109912000, 0.0095155000, 0.0057127000, -0.005602600, -0.041917300, -0.151120500, -0.469968100", \ - "0.0108474000, 0.0093802000, 0.0055626000, -0.005843900, -0.042053100, -0.151255000, -0.470107800", \ - "0.0106175000, 0.0091906000, 0.0053401000, -0.006057400, -0.042333400, -0.151496400, -0.470338100", \ - "0.0103794000, 0.0089079000, 0.0050518000, -0.006450600, -0.042667700, -0.151786100, -0.470614100", \ - "0.0106031000, 0.0089480000, 0.0046913000, -0.006712900, -0.043027800, -0.152044900, -0.470569500", \ - "0.0148535000, 0.0130494000, 0.0082078000, -0.005012100, -0.042426600, -0.151620400, -0.469953500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0150321000, 0.0166030000, 0.0212820000, 0.0347874000, 0.0725678000, 0.1812905000, 0.4973927000", \ - "0.0150492000, 0.0166072000, 0.0212959000, 0.0348429000, 0.0727180000, 0.1812514000, 0.4976965000", \ - "0.0148783000, 0.0164388000, 0.0212035000, 0.0347149000, 0.0725260000, 0.1812928000, 0.4944704000", \ - "0.0147291000, 0.0162649000, 0.0210126000, 0.0345673000, 0.0723863000, 0.1810250000, 0.4970241000", \ - "0.0148215000, 0.0163912000, 0.0209719000, 0.0343137000, 0.0721488000, 0.1808141000, 0.4970785000", \ - "0.0153544000, 0.0168151000, 0.0210696000, 0.0344349000, 0.0720473000, 0.1799597000, 0.4988728000", \ - "0.0170375000, 0.0184632000, 0.0229878000, 0.0357307000, 0.0729858000, 0.1808353000, 0.4981379000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0127271000, 0.0112044000, 0.0073036000, -0.004026600, -0.040160200, -0.149294200, -0.468085700", \ - "0.0125565000, 0.0110670000, 0.0073539000, -0.004179500, -0.040241100, -0.149330600, -0.468115300", \ - "0.0125595000, 0.0110441000, 0.0071574000, -0.004114900, -0.040397900, -0.149419400, -0.468214200", \ - "0.0123172000, 0.0107977000, 0.0068690000, -0.004479400, -0.040577400, -0.149658600, -0.468434600", \ - "0.0121158000, 0.0106238000, 0.0067612000, -0.004739600, -0.040968100, -0.149941300, -0.468670100", \ - "0.0121819000, 0.0106297000, 0.0064965000, -0.005050000, -0.041298100, -0.150237400, -0.468835600", \ - "0.0164830000, 0.0146890000, 0.0103625000, -0.002893300, -0.040626300, -0.149745400, -0.468241200"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0151901000, 0.0167452000, 0.0214126000, 0.0349980000, 0.0727863000, 0.1815463000, 0.4996279000", \ - "0.0151801000, 0.0168214000, 0.0214715000, 0.0350080000, 0.0725906000, 0.1813004000, 0.4971458000", \ - "0.0151116000, 0.0167594000, 0.0214209000, 0.0349454000, 0.0728306000, 0.1813144000, 0.4976570000", \ - "0.0150118000, 0.0165220000, 0.0212509000, 0.0347017000, 0.0726097000, 0.1812749000, 0.4965114000", \ - "0.0149888000, 0.0165355000, 0.0211268000, 0.0346455000, 0.0724873000, 0.1810680000, 0.4969946000", \ - "0.0155035000, 0.0169709000, 0.0212758000, 0.0343874000, 0.0717363000, 0.1806358000, 0.4975139000", \ - "0.0167544000, 0.0181910000, 0.0224394000, 0.0354850000, 0.0731945000, 0.1818987000, 0.4981157000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0143985000, 0.0130375000, 0.0091195000, -0.002326900, -0.038326400, -0.147315800, -0.466027100", \ - "0.0143574000, 0.0128499000, 0.0089889000, -0.002267800, -0.038425500, -0.147358200, -0.466074300", \ - "0.0142612000, 0.0127639000, 0.0088969000, -0.002374400, -0.038488900, -0.147482100, -0.466186000", \ - "0.0141413000, 0.0126575000, 0.0087467000, -0.002618200, -0.038724500, -0.147664300, -0.466322500", \ - "0.0139708000, 0.0124645000, 0.0085698000, -0.002895900, -0.038975600, -0.147882400, -0.466503000", \ - "0.0143946000, 0.0127227000, 0.0086634000, -0.002934500, -0.039156700, -0.148017100, -0.466533700", \ - "0.0186738000, 0.0168582000, 0.0119870000, -0.001247700, -0.039009000, -0.147954600, -0.466368000"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0150361000, 0.0165970000, 0.0213546000, 0.0347061000, 0.0724523000, 0.1811043000, 0.4971996000", \ - "0.0149458000, 0.0165046000, 0.0211513000, 0.0346658000, 0.0725396000, 0.1812386000, 0.4992165000", \ - "0.0149165000, 0.0164777000, 0.0211944000, 0.0346704000, 0.0724323000, 0.1810357000, 0.4970404000", \ - "0.0147727000, 0.0163288000, 0.0211069000, 0.0344995000, 0.0724083000, 0.1809439000, 0.4969102000", \ - "0.0147763000, 0.0163313000, 0.0209313000, 0.0344798000, 0.0722859000, 0.1808019000, 0.4968095000", \ - "0.0154889000, 0.0169914000, 0.0213428000, 0.0342710000, 0.0719086000, 0.1808168000, 0.4969022000", \ - "0.0165391000, 0.0179895000, 0.0223831000, 0.0352043000, 0.0724845000, 0.1811387000, 0.4980124000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0156492000, 0.0141255000, 0.0102430000, -0.001188000, -0.037387700, -0.146474300, -0.465176100", \ - "0.0155785000, 0.0140675000, 0.0101719000, -0.001177400, -0.037441300, -0.146527800, -0.465248000", \ - "0.0155478000, 0.0140292000, 0.0100945000, -0.001345800, -0.037551500, -0.146631500, -0.465345600", \ - "0.0154430000, 0.0139388000, 0.0100065000, -0.001437600, -0.037684700, -0.146743400, -0.465442500", \ - "0.0152466000, 0.0137293000, 0.0098315000, -0.001641200, -0.037851600, -0.146890900, -0.465558100", \ - "0.0159598000, 0.0143656000, 0.0103272000, -0.001143300, -0.037551900, -0.146677100, -0.465364800", \ - "0.0204206000, 0.0186350000, 0.0137981000, 9.150000e-05, -0.037809400, -0.146758700, -0.465335700"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0152054000, 0.0168191000, 0.0214699000, 0.0350000000, 0.0728619000, 0.1811963000, 0.4974811000", \ - "0.0151969000, 0.0168031000, 0.0214989000, 0.0349971000, 0.0728492000, 0.1811921000, 0.4996951000", \ - "0.0151352000, 0.0166230000, 0.0212793000, 0.0348786000, 0.0726617000, 0.1812899000, 0.4991227000", \ - "0.0150057000, 0.0165836000, 0.0212566000, 0.0346457000, 0.0725619000, 0.1812544000, 0.4992347000", \ - "0.0149206000, 0.0164587000, 0.0211240000, 0.0344983000, 0.0723089000, 0.1809207000, 0.4968989000", \ - "0.0155825000, 0.0170134000, 0.0214937000, 0.0344759000, 0.0721927000, 0.1808010000, 0.4974373000", \ - "0.0161823000, 0.0176470000, 0.0220426000, 0.0351787000, 0.0728743000, 0.1812546000, 0.4953162000"); - } - } - max_capacitance : 0.3003030000; - max_transition : 1.5088210000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1439006000, 0.1498602000, 0.1631129000, 0.1893829000, 0.2404104000, 0.3545403000, 0.6604106000", \ - "0.1492820000, 0.1552104000, 0.1683628000, 0.1947434000, 0.2458399000, 0.3598492000, 0.6660071000", \ - "0.1625071000, 0.1684572000, 0.1815795000, 0.2076911000, 0.2590065000, 0.3729889000, 0.6791892000", \ - "0.1930727000, 0.1990569000, 0.2122190000, 0.2383452000, 0.2898161000, 0.4038004000, 0.7098170000", \ - "0.2669442000, 0.2728811000, 0.2859721000, 0.3120346000, 0.3634746000, 0.4776673000, 0.7836952000", \ - "0.4109409000, 0.4181891000, 0.4340591000, 0.4644262000, 0.5196815000, 0.6358923000, 0.9404197000", \ - "0.6392744000, 0.6486617000, 0.6693517000, 0.7090644000, 0.7774078000, 0.9043031000, 1.2101872000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1561482000, 0.1651011000, 0.1852611000, 0.2277625000, 0.3208165000, 0.5592614000, 1.2388742000", \ - "0.1599025000, 0.1688951000, 0.1892483000, 0.2316453000, 0.3247320000, 0.5628961000, 1.2459872000", \ - "0.1687122000, 0.1776380000, 0.1979405000, 0.2403890000, 0.3334426000, 0.5718336000, 1.2552758000", \ - "0.1910249000, 0.1999276000, 0.2204135000, 0.2627903000, 0.3559326000, 0.5939595000, 1.2756424000", \ - "0.2421129000, 0.2510226000, 0.2714529000, 0.3138282000, 0.4069419000, 0.6451224000, 1.3253989000", \ - "0.3213409000, 0.3315905000, 0.3534253000, 0.3982857000, 0.4938661000, 0.7341472000, 1.4202810000", \ - "0.4104822000, 0.4233424000, 0.4516707000, 0.5044405000, 0.6035923000, 0.8438069000, 1.5244942000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0263981000, 0.0302305000, 0.0385749000, 0.0573991000, 0.1032598000, 0.2311659000, 0.6304057000", \ - "0.0266860000, 0.0303039000, 0.0393077000, 0.0573474000, 0.1029063000, 0.2320449000, 0.6303764000", \ - "0.0264799000, 0.0303039000, 0.0392731000, 0.0580147000, 0.1027924000, 0.2318402000, 0.6298208000", \ - "0.0265101000, 0.0303104000, 0.0387402000, 0.0579819000, 0.1028447000, 0.2321086000, 0.6276972000", \ - "0.0268052000, 0.0303036000, 0.0392107000, 0.0582394000, 0.1027863000, 0.2317642000, 0.6321822000", \ - "0.0376236000, 0.0414724000, 0.0505867000, 0.0685665000, 0.1102590000, 0.2345935000, 0.6332410000", \ - "0.0571327000, 0.0622560000, 0.0733900000, 0.0950067000, 0.1371931000, 0.2528797000, 0.6317059000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0362225000, 0.0429598000, 0.0594175000, 0.0976664000, 0.2040689000, 0.5274232000, 1.5009899000", \ - "0.0365097000, 0.0428887000, 0.0589996000, 0.0976697000, 0.2037458000, 0.5287286000, 1.5054005000", \ - "0.0361992000, 0.0428236000, 0.0591364000, 0.0976563000, 0.2040688000, 0.5280417000, 1.4985820000", \ - "0.0362173000, 0.0428178000, 0.0590481000, 0.0976078000, 0.2039577000, 0.5288775000, 1.5034298000", \ - "0.0370996000, 0.0437412000, 0.0596934000, 0.0987082000, 0.2037963000, 0.5286497000, 1.5032291000", \ - "0.0457438000, 0.0522038000, 0.0677855000, 0.1049331000, 0.2091291000, 0.5307380000, 1.5043435000", \ - "0.0627884000, 0.0707378000, 0.0883733000, 0.1230427000, 0.2186402000, 0.5350209000, 1.4976378000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1696447000, 0.1760320000, 0.1901181000, 0.2175587000, 0.2704082000, 0.3861980000, 0.6927764000", \ - "0.1751309000, 0.1814905000, 0.1954735000, 0.2231991000, 0.2758755000, 0.3915683000, 0.6981745000", \ - "0.1886635000, 0.1949983000, 0.2090434000, 0.2366048000, 0.2892646000, 0.4050052000, 0.7116427000", \ - "0.2197822000, 0.2261768000, 0.2404011000, 0.2680092000, 0.3207739000, 0.4366294000, 0.7430783000", \ - "0.2955622000, 0.3018660000, 0.3158776000, 0.3433327000, 0.3965025000, 0.5123795000, 0.8187345000", \ - "0.4568396000, 0.4643051000, 0.4799469000, 0.5100706000, 0.5654033000, 0.6825607000, 0.9892752000", \ - "0.7239129000, 0.7334410000, 0.7541367000, 0.7929248000, 0.8616914000, 0.9884887000, 1.2975510000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1672908000, 0.1762155000, 0.1964777000, 0.2390384000, 0.3320599000, 0.5702577000, 1.2539146000", \ - "0.1713460000, 0.1804128000, 0.2007431000, 0.2431033000, 0.3361908000, 0.5740765000, 1.2562023000", \ - "0.1800765000, 0.1891515000, 0.2095169000, 0.2518646000, 0.3449682000, 0.5830697000, 1.2661077000", \ - "0.2000438000, 0.2088313000, 0.2293536000, 0.2716733000, 0.3647361000, 0.6030292000, 1.2893692000", \ - "0.2440360000, 0.2530667000, 0.2735815000, 0.3163118000, 0.4093832000, 0.6478560000, 1.3273100000", \ - "0.3165556000, 0.3266526000, 0.3490504000, 0.3931004000, 0.4892165000, 0.7289672000, 1.4121735000", \ - "0.3997439000, 0.4119913000, 0.4395710000, 0.4915705000, 0.5913746000, 0.8320482000, 1.5132361000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0294647000, 0.0332775000, 0.0421536000, 0.0610945000, 0.1069645000, 0.2347176000, 0.6340173000", \ - "0.0294643000, 0.0333601000, 0.0425699000, 0.0610501000, 0.1070634000, 0.2345870000, 0.6305958000", \ - "0.0293741000, 0.0332262000, 0.0419416000, 0.0611059000, 0.1068495000, 0.2341223000, 0.6335918000", \ - "0.0294389000, 0.0333093000, 0.0424638000, 0.0612801000, 0.1065162000, 0.2345385000, 0.6300439000", \ - "0.0293716000, 0.0332344000, 0.0419394000, 0.0608736000, 0.1064226000, 0.2344989000, 0.6306639000", \ - "0.0380494000, 0.0419897000, 0.0505133000, 0.0687001000, 0.1113247000, 0.2354973000, 0.6349700000", \ - "0.0577684000, 0.0628408000, 0.0736779000, 0.0952811000, 0.1364857000, 0.2513015000, 0.6331184000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0360881000, 0.0427502000, 0.0593443000, 0.0978051000, 0.2039959000, 0.5285833000, 1.5024072000", \ - "0.0362573000, 0.0431424000, 0.0590754000, 0.0977606000, 0.2043346000, 0.5291632000, 1.5025891000", \ - "0.0362391000, 0.0431223000, 0.0590035000, 0.0977447000, 0.2038504000, 0.5285609000, 1.5069753000", \ - "0.0362391000, 0.0428716000, 0.0590764000, 0.0976288000, 0.2043335000, 0.5283270000, 1.5046955000", \ - "0.0374138000, 0.0439482000, 0.0600187000, 0.0984746000, 0.2044216000, 0.5281333000, 1.4987245000", \ - "0.0434058000, 0.0501515000, 0.0663735000, 0.1057186000, 0.2094114000, 0.5289586000, 1.5023885000", \ - "0.0583279000, 0.0663668000, 0.0837925000, 0.1201906000, 0.2180252000, 0.5343343000, 1.4991741000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1847760000, 0.1912889000, 0.2056436000, 0.2339832000, 0.2875182000, 0.4040908000, 0.7112480000", \ - "0.1901220000, 0.1967025000, 0.2111693000, 0.2390660000, 0.2929835000, 0.4096185000, 0.7167446000", \ - "0.2036420000, 0.2101890000, 0.2246923000, 0.2528144000, 0.3064360000, 0.4232440000, 0.7303754000", \ - "0.2350713000, 0.2422406000, 0.2566743000, 0.2848801000, 0.3387499000, 0.4555108000, 0.7623507000", \ - "0.3116540000, 0.3183479000, 0.3327960000, 0.3609437000, 0.4148568000, 0.5319147000, 0.8384652000", \ - "0.4798283000, 0.4877058000, 0.5035366000, 0.5333418000, 0.5883864000, 0.7058856000, 1.0118976000", \ - "0.7664216000, 0.7760407000, 0.7965741000, 0.8358976000, 0.9026852000, 1.0288227000, 1.3376902000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1735709000, 0.1825499000, 0.2028722000, 0.2452322000, 0.3382641000, 0.5763987000, 1.2559562000", \ - "0.1777894000, 0.1867329000, 0.2069630000, 0.2495572000, 0.3425456000, 0.5806230000, 1.2642174000", \ - "0.1863096000, 0.1952542000, 0.2154932000, 0.2579907000, 0.3509872000, 0.5892012000, 1.2686261000", \ - "0.2032576000, 0.2122221000, 0.2325852000, 0.2749496000, 0.3681051000, 0.6056856000, 1.2884641000", \ - "0.2394094000, 0.2483025000, 0.2687782000, 0.3115627000, 0.4046581000, 0.6428224000, 1.3243259000", \ - "0.3000459000, 0.3100749000, 0.3321563000, 0.3772599000, 0.4727552000, 0.7122296000, 1.3914950000", \ - "0.3725376000, 0.3847629000, 0.4110746000, 0.4625926000, 0.5627672000, 0.8035057000, 1.4836637000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0310024000, 0.0349356000, 0.0438356000, 0.0624976000, 0.1084049000, 0.2354036000, 0.6302515000", \ - "0.0310682000, 0.0350778000, 0.0439093000, 0.0629557000, 0.1086316000, 0.2349323000, 0.6305006000", \ - "0.0310626000, 0.0350415000, 0.0441733000, 0.0630220000, 0.1082781000, 0.2360417000, 0.6343185000", \ - "0.0310222000, 0.0348471000, 0.0436562000, 0.0625964000, 0.1083697000, 0.2356298000, 0.6319686000", \ - "0.0309396000, 0.0349964000, 0.0443938000, 0.0625348000, 0.1084203000, 0.2352903000, 0.6310594000", \ - "0.0374007000, 0.0420546000, 0.0499097000, 0.0674765000, 0.1110049000, 0.2359264000, 0.6315815000", \ - "0.0573523000, 0.0623953000, 0.0728480000, 0.0929186000, 0.1340299000, 0.2514366000, 0.6344754000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0360394000, 0.0428029000, 0.0590191000, 0.0977033000, 0.2040942000, 0.5279885000, 1.5016485000", \ - "0.0361167000, 0.0427057000, 0.0592918000, 0.0978694000, 0.2040152000, 0.5285660000, 1.5028918000", \ - "0.0361181000, 0.0428712000, 0.0593540000, 0.0976635000, 0.2041560000, 0.5274349000, 1.5012905000", \ - "0.0361319000, 0.0427762000, 0.0589420000, 0.0977740000, 0.2039425000, 0.5292038000, 1.5014519000", \ - "0.0373778000, 0.0441899000, 0.0600259000, 0.0982520000, 0.2040446000, 0.5283348000, 1.5031417000", \ - "0.0420800000, 0.0492781000, 0.0652152000, 0.1044397000, 0.2082206000, 0.5303904000, 1.4991139000", \ - "0.0552859000, 0.0628693000, 0.0802212000, 0.1174609000, 0.2180184000, 0.5331601000, 1.4976794000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1946516000, 0.2012745000, 0.2159046000, 0.2443457000, 0.2981863000, 0.4151804000, 0.7223835000", \ - "0.1998945000, 0.2065239000, 0.2211294000, 0.2495122000, 0.3033585000, 0.4204506000, 0.7280389000", \ - "0.2127878000, 0.2194027000, 0.2340379000, 0.2624249000, 0.3161763000, 0.4334914000, 0.7403144000", \ - "0.2451845000, 0.2518135000, 0.2663369000, 0.2956833000, 0.3495680000, 0.4666986000, 0.7736217000", \ - "0.3223256000, 0.3289218000, 0.3435185000, 0.3721033000, 0.4263588000, 0.5433472000, 0.8506700000", \ - "0.4950903000, 0.5022422000, 0.5177365000, 0.5461351000, 0.6009002000, 0.7181321000, 1.0255844000", \ - "0.7931334000, 0.8025201000, 0.8230167000, 0.8612602000, 0.9272516000, 1.0530274000, 1.3614511000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1795201000, 0.1885042000, 0.2088883000, 0.2512457000, 0.3443069000, 0.5820969000, 1.2650933000", \ - "0.1837813000, 0.1927333000, 0.2130833000, 0.2554914000, 0.3485429000, 0.5864570000, 1.2680548000", \ - "0.1919312000, 0.2008156000, 0.2210528000, 0.2636268000, 0.3566155000, 0.5945772000, 1.2782229000", \ - "0.2070882000, 0.2160621000, 0.2364458000, 0.2787255000, 0.3717259000, 0.6099565000, 1.2940125000", \ - "0.2363556000, 0.2453466000, 0.2656838000, 0.3083950000, 0.4015525000, 0.6394253000, 1.3218105000", \ - "0.2839018000, 0.2934357000, 0.3155420000, 0.3604421000, 0.4560217000, 0.6954322000, 1.3746867000", \ - "0.3427513000, 0.3541413000, 0.3795061000, 0.4291574000, 0.5296489000, 0.7706664000, 1.4510715000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0320692000, 0.0362488000, 0.0446177000, 0.0642411000, 0.1095516000, 0.2362584000, 0.6321831000", \ - "0.0319932000, 0.0360659000, 0.0451929000, 0.0641310000, 0.1094127000, 0.2367748000, 0.6319672000", \ - "0.0319265000, 0.0360223000, 0.0453674000, 0.0635119000, 0.1092990000, 0.2362996000, 0.6316588000", \ - "0.0320481000, 0.0360019000, 0.0446420000, 0.0638862000, 0.1092757000, 0.2363049000, 0.6318269000", \ - "0.0319925000, 0.0358290000, 0.0446792000, 0.0641371000, 0.1090993000, 0.2366124000, 0.6323211000", \ - "0.0373720000, 0.0409389000, 0.0497497000, 0.0677646000, 0.1112008000, 0.2368372000, 0.6328285000", \ - "0.0567533000, 0.0611903000, 0.0716472000, 0.0915187000, 0.1328058000, 0.2493639000, 0.6340760000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0363037000, 0.0430898000, 0.0590050000, 0.0976802000, 0.2040173000, 0.5287212000, 1.5048167000", \ - "0.0365166000, 0.0430303000, 0.0589054000, 0.0975200000, 0.2039578000, 0.5280481000, 1.5088212000", \ - "0.0360182000, 0.0426994000, 0.0593427000, 0.0978278000, 0.2040086000, 0.5284278000, 1.5032456000", \ - "0.0362151000, 0.0428867000, 0.0591886000, 0.0977537000, 0.2038378000, 0.5292686000, 1.5050878000", \ - "0.0371774000, 0.0436197000, 0.0595133000, 0.0982838000, 0.2044145000, 0.5288629000, 1.5017571000", \ - "0.0411242000, 0.0481088000, 0.0648436000, 0.1032961000, 0.2076834000, 0.5285557000, 1.5014794000", \ - "0.0508141000, 0.0586356000, 0.0757667000, 0.1156499000, 0.2166704000, 0.5329967000, 1.4955370000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and4_4") { - leakage_power () { - value : 0.0040885000; - when : "!A&!B&!C&D"; - } - leakage_power () { - value : 0.0040826000; - when : "!A&!B&!C&!D"; - } - leakage_power () { - value : 0.0041054000; - when : "!A&!B&C&D"; - } - leakage_power () { - value : 0.0040890000; - when : "!A&!B&C&!D"; - } - leakage_power () { - value : 0.0041100000; - when : "!A&B&!C&D"; - } - leakage_power () { - value : 0.0040910000; - when : "!A&B&!C&!D"; - } - leakage_power () { - value : 0.0044086000; - when : "!A&B&C&D"; - } - leakage_power () { - value : 0.0041123000; - when : "!A&B&C&!D"; - } - leakage_power () { - value : 0.0041161000; - when : "A&!B&!C&D"; - } - leakage_power () { - value : 0.0040967000; - when : "A&!B&!C&!D"; - } - leakage_power () { - value : 0.0044373000; - when : "A&!B&C&D"; - } - leakage_power () { - value : 0.0041185000; - when : "A&!B&C&!D"; - } - leakage_power () { - value : 0.0044719000; - when : "A&B&!C&D"; - } - leakage_power () { - value : 0.0041245000; - when : "A&B&!C&!D"; - } - leakage_power () { - value : 0.0059705000; - when : "A&B&C&D"; - } - leakage_power () { - value : 0.0044864000; - when : "A&B&C&!D"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__and4"; - cell_leakage_power : 0.0043068320; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0049085000, 0.0049057000, 0.0048994000, 0.0049006000, 0.0049034000, 0.0049100000, 0.0049251000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004021500, -0.004023400, -0.004027800, -0.004021400, -0.004006700, -0.003972700, -0.003894200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023970000; - } - pin ("B") { - capacitance : 0.0024100000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023320000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045905000, 0.0045862000, 0.0045764000, 0.0045897000, 0.0046203000, 0.0046909000, 0.0048537000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004173700, -0.004173900, -0.004174400, -0.004173800, -0.004172300, -0.004168800, -0.004160800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024870000; - } - pin ("C") { - capacitance : 0.0023630000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039295000, 0.0039276000, 0.0039232000, 0.0039233000, 0.0039235000, 0.0039240000, 0.0039250000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003933000, -0.003930600, -0.003925100, -0.003924700, -0.003923800, -0.003921700, -0.003917000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024540000; - } - pin ("D") { - capacitance : 0.0023420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022160000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041907000, 0.0041865000, 0.0041768000, 0.0041775000, 0.0041791000, 0.0041829000, 0.0041916000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004179100, -0.004178000, -0.004175300, -0.004175300, -0.004175300, -0.004175200, -0.004174900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024690000; - } - pin ("X") { - direction : "output"; - function : "(A&B&C&D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000"); - values("0.0223359000, 0.0206942000, 0.0156045000, 4.220000e-05, -0.055116100, -0.239300300, -0.831073400", \ - "0.0223127000, 0.0206209000, 0.0155582000, 1.590000e-05, -0.055126100, -0.239430000, -0.831212900", \ - "0.0220741000, 0.0204953000, 0.0153623000, -0.000200200, -0.055301500, -0.239506100, -0.831285300", \ - "0.0218765000, 0.0202375000, 0.0151509000, -0.000573000, -0.055799900, -0.239957500, -0.831625400", \ - "0.0219509000, 0.0201994000, 0.0149125000, -0.001217400, -0.056130300, -0.240440400, -0.831948100", \ - "0.0235522000, 0.0216341000, 0.0158156000, -0.001528300, -0.056570800, -0.240284400, -0.831719400", \ - "0.0286287000, 0.0265396000, 0.0202548000, 0.0016847000, -0.055205300, -0.240054900, -0.830997900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000"); - values("0.0284573000, 0.0302812000, 0.0361407000, 0.0551465000, 0.1131036000, 0.2967632000, 0.8872369000", \ - "0.0283793000, 0.0302197000, 0.0361439000, 0.0548561000, 0.1132597000, 0.2969647000, 0.8872511000", \ - "0.0281455000, 0.0299734000, 0.0358226000, 0.0547779000, 0.1131833000, 0.2968166000, 0.8833840000", \ - "0.0278921000, 0.0296821000, 0.0354694000, 0.0543895000, 0.1130761000, 0.2963908000, 0.8833783000", \ - "0.0279423000, 0.0297159000, 0.0353788000, 0.0541404000, 0.1125677000, 0.2960288000, 0.8826487000", \ - "0.0291382000, 0.0307874000, 0.0363953000, 0.0549625000, 0.1120965000, 0.2959301000, 0.8783530000", \ - "0.0327781000, 0.0343445000, 0.0396643000, 0.0571872000, 0.1141342000, 0.2967248000, 0.8824727000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000"); - values("0.0247975000, 0.0230256000, 0.0177456000, 0.0022381000, -0.052691800, -0.236785000, -0.828399900", \ - "0.0245758000, 0.0228916000, 0.0176975000, 0.0020692000, -0.052857600, -0.236828700, -0.828438100", \ - "0.0244854000, 0.0227397000, 0.0175535000, 0.0019372000, -0.053008900, -0.236900500, -0.828564000", \ - "0.0241787000, 0.0226117000, 0.0173402000, 0.0015960000, -0.053480900, -0.237280800, -0.828831100", \ - "0.0242279000, 0.0225580000, 0.0172231000, 0.0011121000, -0.053687600, -0.237787600, -0.829263900", \ - "0.0240097000, 0.0222137000, 0.0165476000, 0.0011577000, -0.054455100, -0.237849900, -0.829189600", \ - "0.0310476000, 0.0289285000, 0.0226919000, 0.0040641000, -0.053836000, -0.237849800, -0.828842400"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000"); - values("0.0287368000, 0.0305589000, 0.0363073000, 0.0554486000, 0.1134856000, 0.2970398000, 0.8875170000", \ - "0.0287187000, 0.0305341000, 0.0363965000, 0.0554072000, 0.1133914000, 0.2966236000, 0.8869823000", \ - "0.0285719000, 0.0304274000, 0.0363002000, 0.0550271000, 0.1136625000, 0.2967996000, 0.8826101000", \ - "0.0283737000, 0.0300647000, 0.0358767000, 0.0549079000, 0.1131893000, 0.2967619000, 0.8830748000", \ - "0.0283272000, 0.0300946000, 0.0358856000, 0.0547419000, 0.1128290000, 0.2964197000, 0.8781726000", \ - "0.0288607000, 0.0305274000, 0.0359438000, 0.0544730000, 0.1126375000, 0.2959417000, 0.8821440000", \ - "0.0317283000, 0.0333317000, 0.0386184000, 0.0567437000, 0.1146472000, 0.2973207000, 0.8800286000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000"); - values("0.0275123000, 0.0258557000, 0.0205512000, 0.0048590000, -0.049819500, -0.233648700, -0.825195000", \ - "0.0272980000, 0.0256433000, 0.0203339000, 0.0048576000, -0.049970800, -0.233745800, -0.825316600", \ - "0.0272095000, 0.0254446000, 0.0202116000, 0.0047170000, -0.050084300, -0.233892400, -0.825445800", \ - "0.0271271000, 0.0252294000, 0.0199679000, 0.0042639000, -0.050564000, -0.234187200, -0.825666000", \ - "0.0272945000, 0.0255937000, 0.0201600000, 0.0041259000, -0.051013200, -0.234538600, -0.825980400", \ - "0.0276065000, 0.0258002000, 0.0201196000, 0.0039484000, -0.050730600, -0.234467600, -0.825598100", \ - "0.0346538000, 0.0325391000, 0.0263123000, 0.0076375000, -0.050474600, -0.234347900, -0.825299400"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000"); - values("0.0285316000, 0.0302937000, 0.0360872000, 0.0549935000, 0.1135903000, 0.2965637000, 0.8820369000", \ - "0.0284849000, 0.0303065000, 0.0361660000, 0.0551784000, 0.1131561000, 0.2964668000, 0.8866325000", \ - "0.0283377000, 0.0301509000, 0.0358885000, 0.0549302000, 0.1134166000, 0.2964336000, 0.8819552000", \ - "0.0281039000, 0.0299271000, 0.0356834000, 0.0546334000, 0.1130690000, 0.2962549000, 0.8819636000", \ - "0.0280097000, 0.0297767000, 0.0356227000, 0.0544653000, 0.1127741000, 0.2962287000, 0.8824468000", \ - "0.0287986000, 0.0304903000, 0.0363632000, 0.0546483000, 0.1125316000, 0.2958767000, 0.8777816000", \ - "0.0309454000, 0.0325917000, 0.0380269000, 0.0566377000, 0.1138781000, 0.2975539000, 0.8786315000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000"); - values("0.0295029000, 0.0277243000, 0.0223330000, 0.0065108000, -0.048418300, -0.232191300, -0.823779000", \ - "0.0293547000, 0.0277766000, 0.0223429000, 0.0064388000, -0.048441800, -0.232363700, -0.823941600", \ - "0.0291749000, 0.0274524000, 0.0220927000, 0.0063285000, -0.048581300, -0.232493700, -0.824035100", \ - "0.0289766000, 0.0272429000, 0.0218735000, 0.0060872000, -0.048835300, -0.232760800, -0.824198400", \ - "0.0291639000, 0.0273747000, 0.0220145000, 0.0059390000, -0.048941200, -0.232811500, -0.824181900", \ - "0.0293125000, 0.0274215000, 0.0217878000, 0.0064300000, -0.048985300, -0.233072900, -0.824342800", \ - "0.0376705000, 0.0355602000, 0.0294144000, 0.0105823000, -0.048334400, -0.232522200, -0.823691700"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000"); - values("0.0288300000, 0.0306251000, 0.0365994000, 0.0553208000, 0.1137850000, 0.2968700000, 0.8782827000", \ - "0.0287686000, 0.0305736000, 0.0363999000, 0.0553072000, 0.1137779000, 0.2966361000, 0.8817872000", \ - "0.0285933000, 0.0304391000, 0.0361491000, 0.0551900000, 0.1136417000, 0.2965250000, 0.8817338000", \ - "0.0283295000, 0.0301529000, 0.0359643000, 0.0548340000, 0.1132877000, 0.2963190000, 0.8858348000", \ - "0.0282773000, 0.0299772000, 0.0358158000, 0.0545154000, 0.1129950000, 0.2963205000, 0.8824244000", \ - "0.0292814000, 0.0309908000, 0.0366533000, 0.0544459000, 0.1128973000, 0.2959466000, 0.8779109000", \ - "0.0312342000, 0.0328978000, 0.0383677000, 0.0565755000, 0.1138979000, 0.2971594000, 0.8800971000"); - } - } - max_capacitance : 0.5319310000; - max_transition : 1.5064790000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.1154774000, 0.1190116000, 0.1280661000, 0.1482224000, 0.1906042000, 0.2941229000, 0.6026539000", \ - "0.1209914000, 0.1244771000, 0.1335243000, 0.1536304000, 0.1962033000, 0.2996587000, 0.6083357000", \ - "0.1344616000, 0.1379507000, 0.1470132000, 0.1671641000, 0.2094988000, 0.3130463000, 0.6213901000", \ - "0.1651074000, 0.1686253000, 0.1776673000, 0.1976197000, 0.2408588000, 0.3444690000, 0.6528954000", \ - "0.2379787000, 0.2415085000, 0.2505905000, 0.2707340000, 0.3128440000, 0.4172132000, 0.7253331000", \ - "0.3641999000, 0.3688039000, 0.3805657000, 0.4056281000, 0.4546638000, 0.5612088000, 0.8693620000", \ - "0.5606758000, 0.5666413000, 0.5815357000, 0.6151660000, 0.6764486000, 0.7954639000, 1.1058705000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.1618261000, 0.1679806000, 0.1839951000, 0.2204500000, 0.3046404000, 0.5313414000, 1.2394471000", \ - "0.1655860000, 0.1716438000, 0.1874874000, 0.2239701000, 0.3084047000, 0.5355444000, 1.2425126000", \ - "0.1742364000, 0.1803098000, 0.1961552000, 0.2326986000, 0.3171080000, 0.5442905000, 1.2515931000", \ - "0.1953239000, 0.2014007000, 0.2172416000, 0.2538579000, 0.3383099000, 0.5654400000, 1.2733906000", \ - "0.2456526000, 0.2517511000, 0.2673804000, 0.3043313000, 0.3885100000, 0.6159130000, 1.3227206000", \ - "0.3247661000, 0.3316766000, 0.3493024000, 0.3879140000, 0.4743006000, 0.7021113000, 1.4099921000", \ - "0.4132198000, 0.4217016000, 0.4435591000, 0.4901514000, 0.5817319000, 0.8106626000, 1.5193804000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0223430000, 0.0246128000, 0.0302678000, 0.0447774000, 0.0839331000, 0.2067106000, 0.6206612000", \ - "0.0224414000, 0.0247306000, 0.0304966000, 0.0449268000, 0.0838303000, 0.2063415000, 0.6234084000", \ - "0.0225930000, 0.0248214000, 0.0302851000, 0.0448801000, 0.0839642000, 0.2067321000, 0.6235474000", \ - "0.0223715000, 0.0245504000, 0.0306676000, 0.0450458000, 0.0837382000, 0.2064086000, 0.6205630000", \ - "0.0242041000, 0.0262011000, 0.0317512000, 0.0458043000, 0.0847194000, 0.2067239000, 0.6210005000", \ - "0.0362849000, 0.0388967000, 0.0450792000, 0.0596848000, 0.0956427000, 0.2113232000, 0.6210835000", \ - "0.0560531000, 0.0590802000, 0.0682859000, 0.0852594000, 0.1221502000, 0.2295372000, 0.6230528000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0372432000, 0.0419585000, 0.0541341000, 0.0858497000, 0.1783996000, 0.4843728000, 1.5059395000", \ - "0.0378340000, 0.0421830000, 0.0540432000, 0.0859591000, 0.1780799000, 0.4852774000, 1.5064787000", \ - "0.0375571000, 0.0421381000, 0.0539184000, 0.0860002000, 0.1780113000, 0.4852298000, 1.5018781000", \ - "0.0373231000, 0.0416442000, 0.0539614000, 0.0857794000, 0.1780061000, 0.4852910000, 1.5028785000", \ - "0.0382461000, 0.0427789000, 0.0551857000, 0.0870226000, 0.1786032000, 0.4845545000, 1.5027720000", \ - "0.0470706000, 0.0512691000, 0.0630987000, 0.0935239000, 0.1837430000, 0.4871425000, 1.4978636000", \ - "0.0647691000, 0.0700653000, 0.0844120000, 0.1126638000, 0.1963986000, 0.4913058000, 1.4985062000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.1351915000, 0.1389019000, 0.1485162000, 0.1697996000, 0.2135846000, 0.3186717000, 0.6274285000", \ - "0.1410176000, 0.1447536000, 0.1543887000, 0.1753174000, 0.2195334000, 0.3243526000, 0.6325538000", \ - "0.1543166000, 0.1580446000, 0.1676197000, 0.1888534000, 0.2329599000, 0.3377335000, 0.6465828000", \ - "0.1859124000, 0.1896633000, 0.1992416000, 0.2202925000, 0.2651539000, 0.3691993000, 0.6775268000", \ - "0.2616216000, 0.2653380000, 0.2748951000, 0.2959567000, 0.3389735000, 0.4453091000, 0.7542109000", \ - "0.4075644000, 0.4122339000, 0.4240982000, 0.4491530000, 0.4981723000, 0.6051046000, 0.9139862000", \ - "0.6422922000, 0.6484000000, 0.6643088000, 0.6979367000, 0.7596609000, 0.8782939000, 1.1893055000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.1721604000, 0.1782389000, 0.1940718000, 0.2307657000, 0.3150447000, 0.5420279000, 1.2507295000", \ - "0.1760492000, 0.1821361000, 0.1981470000, 0.2346042000, 0.3187217000, 0.5458507000, 1.2531877000", \ - "0.1843256000, 0.1905312000, 0.2064318000, 0.2429241000, 0.3272191000, 0.5541517000, 1.2656965000", \ - "0.2037948000, 0.2097282000, 0.2255944000, 0.2622454000, 0.3464831000, 0.5737610000, 1.2839647000", \ - "0.2472558000, 0.2533271000, 0.2693571000, 0.3060782000, 0.3904609000, 0.6176755000, 1.3242690000", \ - "0.3184426000, 0.3252217000, 0.3427058000, 0.3820121000, 0.4688517000, 0.6974830000, 1.4083676000", \ - "0.3982398000, 0.4064995000, 0.4279381000, 0.4739034000, 0.5658620000, 0.7961810000, 1.5036268000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0251216000, 0.0271957000, 0.0331307000, 0.0475818000, 0.0870770000, 0.2085749000, 0.6220923000", \ - "0.0249486000, 0.0271277000, 0.0330501000, 0.0480071000, 0.0867113000, 0.2089156000, 0.6224971000", \ - "0.0249382000, 0.0272322000, 0.0333251000, 0.0475917000, 0.0869425000, 0.2087537000, 0.6214944000", \ - "0.0251704000, 0.0274912000, 0.0330891000, 0.0477161000, 0.0867175000, 0.2088642000, 0.6216837000", \ - "0.0256924000, 0.0280091000, 0.0338933000, 0.0481644000, 0.0875700000, 0.2085049000, 0.6214717000", \ - "0.0366500000, 0.0393035000, 0.0452899000, 0.0600462000, 0.0952301000, 0.2117258000, 0.6221924000", \ - "0.0569307000, 0.0601477000, 0.0679122000, 0.0857381000, 0.1221010000, 0.2292605000, 0.6229365000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0375159000, 0.0419584000, 0.0543740000, 0.0856344000, 0.1781312000, 0.4853711000, 1.5060595000", \ - "0.0372849000, 0.0419663000, 0.0541703000, 0.0858733000, 0.1781817000, 0.4851320000, 1.5036611000", \ - "0.0372287000, 0.0419800000, 0.0541149000, 0.0860041000, 0.1782209000, 0.4852842000, 1.5003345000", \ - "0.0372291000, 0.0420371000, 0.0541510000, 0.0859437000, 0.1781561000, 0.4848589000, 1.5018692000", \ - "0.0385373000, 0.0426319000, 0.0549865000, 0.0866198000, 0.1786371000, 0.4854170000, 1.5012249000", \ - "0.0447787000, 0.0493413000, 0.0616251000, 0.0928859000, 0.1833954000, 0.4866011000, 1.5001472000", \ - "0.0609331000, 0.0652768000, 0.0790307000, 0.1097115000, 0.1943653000, 0.4910508000, 1.4984546000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.1467733000, 0.1506427000, 0.1604906000, 0.1822487000, 0.2269433000, 0.3324958000, 0.6418012000", \ - "0.1522968000, 0.1561561000, 0.1660702000, 0.1877981000, 0.2323981000, 0.3380900000, 0.6471736000", \ - "0.1659313000, 0.1697819000, 0.1796604000, 0.2014667000, 0.2463371000, 0.3517104000, 0.6610225000", \ - "0.1974322000, 0.2021729000, 0.2120709000, 0.2337972000, 0.2788411000, 0.3842824000, 0.6933276000", \ - "0.2745976000, 0.2784324000, 0.2882573000, 0.3098543000, 0.3547526000, 0.4606526000, 0.7696250000", \ - "0.4297298000, 0.4344347000, 0.4462285000, 0.4702170000, 0.5192097000, 0.6261978000, 0.9355638000", \ - "0.6830261000, 0.6891629000, 0.7048563000, 0.7381142000, 0.7995490000, 0.9176295000, 1.2279751000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.1783762000, 0.1844398000, 0.2002593000, 0.2369980000, 0.3212374000, 0.5479327000, 1.2592144000", \ - "0.1824067000, 0.1884947000, 0.2045085000, 0.2409701000, 0.3250851000, 0.5515412000, 1.2591125000", \ - "0.1902349000, 0.1963027000, 0.2121906000, 0.2487674000, 0.3331153000, 0.5598687000, 1.2711220000", \ - "0.2066470000, 0.2127142000, 0.2284306000, 0.2652193000, 0.3494184000, 0.5759780000, 1.2874829000", \ - "0.2421498000, 0.2483131000, 0.2642153000, 0.3010931000, 0.3854069000, 0.6126727000, 1.3226501000", \ - "0.3014214000, 0.3081482000, 0.3256763000, 0.3648332000, 0.4516741000, 0.6800546000, 1.3868733000", \ - "0.3685673000, 0.3766190000, 0.3975054000, 0.4429028000, 0.5352314000, 0.7660645000, 1.4731832000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0265051000, 0.0286030000, 0.0345934000, 0.0490009000, 0.0882838000, 0.2095363000, 0.6204924000", \ - "0.0264966000, 0.0285103000, 0.0346652000, 0.0493510000, 0.0884379000, 0.2096043000, 0.6215546000", \ - "0.0263002000, 0.0286381000, 0.0347175000, 0.0491521000, 0.0882315000, 0.2097685000, 0.6219056000", \ - "0.0263728000, 0.0286422000, 0.0348037000, 0.0492261000, 0.0880355000, 0.2095390000, 0.6215962000", \ - "0.0262971000, 0.0286593000, 0.0347612000, 0.0496866000, 0.0882156000, 0.2097182000, 0.6225412000", \ - "0.0362948000, 0.0392622000, 0.0449315000, 0.0589184000, 0.0950662000, 0.2119952000, 0.6223052000", \ - "0.0567096000, 0.0593829000, 0.0678020000, 0.0847376000, 0.1198340000, 0.2275281000, 0.6230826000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0372637000, 0.0417578000, 0.0543704000, 0.0859265000, 0.1782664000, 0.4852940000, 1.4995483000", \ - "0.0372771000, 0.0419621000, 0.0541402000, 0.0858434000, 0.1783607000, 0.4842125000, 1.5060915000", \ - "0.0376651000, 0.0422270000, 0.0540110000, 0.0861249000, 0.1780867000, 0.4852967000, 1.5002769000", \ - "0.0372280000, 0.0418129000, 0.0543025000, 0.0859234000, 0.1780014000, 0.4851013000, 1.5014644000", \ - "0.0384114000, 0.0428638000, 0.0546844000, 0.0868713000, 0.1784206000, 0.4844460000, 1.5015821000", \ - "0.0433935000, 0.0479994000, 0.0610742000, 0.0922001000, 0.1827716000, 0.4866322000, 1.4998501000", \ - "0.0576642000, 0.0622372000, 0.0755365000, 0.1071419000, 0.1942394000, 0.4906868000, 1.4962470000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.1573006000, 0.1612686000, 0.1714377000, 0.1935999000, 0.2389674000, 0.3454555000, 0.6547476000", \ - "0.1627098000, 0.1666576000, 0.1768172000, 0.1989982000, 0.2444384000, 0.3510948000, 0.6605469000", \ - "0.1758312000, 0.1797927000, 0.1899100000, 0.2118921000, 0.2575107000, 0.3641410000, 0.6735976000", \ - "0.2090542000, 0.2130220000, 0.2231443000, 0.2451683000, 0.2907863000, 0.3972706000, 0.7070287000", \ - "0.2856593000, 0.2895906000, 0.2996870000, 0.3218956000, 0.3668066000, 0.4734129000, 0.7832026000", \ - "0.4467613000, 0.4514132000, 0.4631630000, 0.4878943000, 0.5355014000, 0.6438808000, 0.9530251000", \ - "0.7133423000, 0.7194712000, 0.7350763000, 0.7681912000, 0.8291262000, 0.9467468000, 1.2571830000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.1842908000, 0.1903569000, 0.2062439000, 0.2427593000, 0.3271351000, 0.5537282000, 1.2602774000", \ - "0.1883339000, 0.1944191000, 0.2102990000, 0.2468808000, 0.3312116000, 0.5577258000, 1.2687689000", \ - "0.1960028000, 0.2021220000, 0.2178991000, 0.2545672000, 0.3389055000, 0.5654638000, 1.2765531000", \ - "0.2104510000, 0.2165937000, 0.2323287000, 0.2689965000, 0.3532473000, 0.5796046000, 1.2889553000", \ - "0.2390992000, 0.2451672000, 0.2611567000, 0.2979038000, 0.3822558000, 0.6092126000, 1.3184660000", \ - "0.2856425000, 0.2923259000, 0.3095852000, 0.3483366000, 0.4351952000, 0.6631895000, 1.3702808000", \ - "0.3390478000, 0.3467710000, 0.3666790000, 0.4106173000, 0.5028266000, 0.7336816000, 1.4408439000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0278960000, 0.0299277000, 0.0359874000, 0.0510382000, 0.0899998000, 0.2109438000, 0.6244767000", \ - "0.0278874000, 0.0303126000, 0.0361616000, 0.0512903000, 0.0902048000, 0.2109901000, 0.6222870000", \ - "0.0276530000, 0.0299756000, 0.0360118000, 0.0509640000, 0.0900843000, 0.2107631000, 0.6225013000", \ - "0.0276258000, 0.0299550000, 0.0359931000, 0.0514513000, 0.0899380000, 0.2105676000, 0.6231552000", \ - "0.0276784000, 0.0301239000, 0.0365527000, 0.0511559000, 0.0899401000, 0.2113352000, 0.6226322000", \ - "0.0367716000, 0.0392981000, 0.0450006000, 0.0596711000, 0.0945710000, 0.2123526000, 0.6235052000", \ - "0.0565349000, 0.0598234000, 0.0673152000, 0.0840537000, 0.1197179000, 0.2275397000, 0.6246642000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0373436000, 0.0418522000, 0.0542189000, 0.0860799000, 0.1780215000, 0.4852720000, 1.4993400000", \ - "0.0378065000, 0.0422919000, 0.0544099000, 0.0861325000, 0.1781413000, 0.4853052000, 1.4993682000", \ - "0.0377594000, 0.0416635000, 0.0539754000, 0.0861313000, 0.1780879000, 0.4853051000, 1.4999236000", \ - "0.0374317000, 0.0417922000, 0.0543889000, 0.0860905000, 0.1781392000, 0.4850739000, 1.5030414000", \ - "0.0381582000, 0.0426319000, 0.0547076000, 0.0866277000, 0.1785996000, 0.4850013000, 1.5023307000", \ - "0.0421045000, 0.0469784000, 0.0600019000, 0.0919297000, 0.1827630000, 0.4865288000, 1.4990891000", \ - "0.0530331000, 0.0583227000, 0.0721163000, 0.1046794000, 0.1927545000, 0.4898557000, 1.4964625000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and4b_1") { - leakage_power () { - value : 0.0059278000; - when : "!A_N&!B&!C&D"; - } - leakage_power () { - value : 0.0059068000; - when : "!A_N&!B&!C&!D"; - } - leakage_power () { - value : 0.0062725000; - when : "!A_N&!B&C&D"; - } - leakage_power () { - value : 0.0059270000; - when : "!A_N&!B&C&!D"; - } - leakage_power () { - value : 0.0062987000; - when : "!A_N&B&!C&D"; - } - leakage_power () { - value : 0.0059328000; - when : "!A_N&B&!C&!D"; - } - leakage_power () { - value : 0.0017214000; - when : "!A_N&B&C&D"; - } - leakage_power () { - value : 0.0062838000; - when : "!A_N&B&C&!D"; - } - leakage_power () { - value : 0.0063887000; - when : "A_N&!B&!C&D"; - } - leakage_power () { - value : 0.0063821000; - when : "A_N&!B&!C&!D"; - } - leakage_power () { - value : 0.0064070000; - when : "A_N&!B&C&D"; - } - leakage_power () { - value : 0.0063885000; - when : "A_N&!B&C&!D"; - } - leakage_power () { - value : 0.0064106000; - when : "A_N&B&!C&D"; - } - leakage_power () { - value : 0.0063900000; - when : "A_N&B&!C&!D"; - } - leakage_power () { - value : 0.0067416000; - when : "A_N&B&C&D"; - } - leakage_power () { - value : 0.0064098000; - when : "A_N&B&C&!D"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__and4b"; - cell_leakage_power : 0.0059868220; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0015860000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015200000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0067855000, 0.0066678000, 0.0063963000, 0.0064313000, 0.0065118000, 0.0066975000, 0.0071255000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0020445000, 0.0019594000, 0.0017631000, 0.0017934000, 0.0018632000, 0.0020242000, 0.0023952000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016510000; - } - pin ("B") { - capacitance : 0.0015740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015440000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026934000, 0.0026918000, 0.0026880000, 0.0026906000, 0.0026967000, 0.0027107000, 0.0027430000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002382900, -0.002383600, -0.002385300, -0.002378300, -0.002362300, -0.002325300, -0.002240000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016030000; - } - pin ("C") { - capacitance : 0.0015470000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015170000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0019856000, 0.0019836000, 0.0019788000, 0.0019895000, 0.0020142000, 0.0020712000, 0.0022025000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001906300, -0.001906000, -0.001905500, -0.001905600, -0.001905600, -0.001905700, -0.001905900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015770000; - } - pin ("D") { - capacitance : 0.0015940000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015320000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0023389000, 0.0023402000, 0.0023432000, 0.0023435000, 0.0023443000, 0.0023460000, 0.0023501000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002332400, -0.002334300, -0.002338900, -0.002338100, -0.002336500, -0.002332600, -0.002323800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016560000; - } - pin ("X") { - direction : "output"; - function : "(!A_N&B&C&D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0077176000, 0.0066742000, 0.0039223000, -0.004097700, -0.026563900, -0.086035700, -0.241307800", \ - "0.0076969000, 0.0066548000, 0.0039157000, -0.004116000, -0.026553700, -0.086051800, -0.241311900", \ - "0.0076905000, 0.0066531000, 0.0038997000, -0.004116700, -0.026593800, -0.086066800, -0.241348200", \ - "0.0074140000, 0.0063606000, 0.0036127000, -0.004437800, -0.026871300, -0.086363600, -0.241617800", \ - "0.0070958000, 0.0060293000, 0.0032694000, -0.004730300, -0.027186800, -0.086677700, -0.241927400", \ - "0.0084133000, 0.0072093000, 0.0040074000, -0.004519000, -0.027242300, -0.086709300, -0.241951500", \ - "0.0084447000, 0.0072393000, 0.0039682000, -0.004642500, -0.027094700, -0.086714200, -0.242007300"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0116931000, 0.0130826000, 0.0166714000, 0.0256233000, 0.0483946000, 0.1078225000, 0.2611682000", \ - "0.0116007000, 0.0130090000, 0.0166110000, 0.0255373000, 0.0482870000, 0.1072703000, 0.2597069000", \ - "0.0116909000, 0.0130802000, 0.0166778000, 0.0256334000, 0.0484004000, 0.1078423000, 0.2612602000", \ - "0.0115503000, 0.0129429000, 0.0165324000, 0.0254869000, 0.0482564000, 0.1077006000, 0.2611087000", \ - "0.0113791000, 0.0127874000, 0.0164034000, 0.0253204000, 0.0480951000, 0.1075731000, 0.2606744000", \ - "0.0111720000, 0.0126037000, 0.0162841000, 0.0252278000, 0.0479456000, 0.1068716000, 0.2609774000", \ - "0.0118416000, 0.0130831000, 0.0165242000, 0.0253333000, 0.0480047000, 0.1071585000, 0.2615287000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0080101000, 0.0069121000, 0.0041068000, -0.003999700, -0.026438100, -0.085906900, -0.241118200", \ - "0.0079503000, 0.0068624000, 0.0040363000, -0.004070400, -0.026497300, -0.085974300, -0.241182700", \ - "0.0078342000, 0.0067469000, 0.0039382000, -0.004167500, -0.026635300, -0.086100700, -0.241306400", \ - "0.0076418000, 0.0065582000, 0.0036934000, -0.004443400, -0.026867800, -0.086327100, -0.241509200", \ - "0.0074748000, 0.0063600000, 0.0034766000, -0.004625800, -0.027078200, -0.086481400, -0.241658700", \ - "0.0077598000, 0.0064720000, 0.0032611000, -0.004876400, -0.027191300, -0.086571000, -0.241708300", \ - "0.0092704000, 0.0078733000, 0.0044105000, -0.004399000, -0.027154600, -0.086155100, -0.241437800"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0121400000, 0.0135244000, 0.0171442000, 0.0260557000, 0.0486957000, 0.1081015000, 0.2611714000", \ - "0.0121182000, 0.0135091000, 0.0171277000, 0.0259581000, 0.0486741000, 0.1074613000, 0.2623430000", \ - "0.0120377000, 0.0134072000, 0.0170339000, 0.0258853000, 0.0485723000, 0.1074584000, 0.2610299000", \ - "0.0118983000, 0.0132841000, 0.0168992000, 0.0258346000, 0.0484924000, 0.1079392000, 0.2610123000", \ - "0.0117756000, 0.0131494000, 0.0167195000, 0.0256381000, 0.0483642000, 0.1072442000, 0.2623142000", \ - "0.0124014000, 0.0136717000, 0.0170423000, 0.0256213000, 0.0482342000, 0.1070579000, 0.2610455000", \ - "0.0126413000, 0.0138968000, 0.0172609000, 0.0259937000, 0.0486645000, 0.1077392000, 0.2599938000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0098052000, 0.0086982000, 0.0058576000, -0.002211300, -0.024576000, -0.083970800, -0.239144700", \ - "0.0097118000, 0.0086218000, 0.0058057000, -0.002252600, -0.024665900, -0.084053800, -0.239221100", \ - "0.0096008000, 0.0085198000, 0.0057046000, -0.002356700, -0.024771200, -0.084147100, -0.239320400", \ - "0.0094314000, 0.0083275000, 0.0054963000, -0.002589500, -0.024951100, -0.084342400, -0.239492000", \ - "0.0093271000, 0.0082240000, 0.0053425000, -0.002769700, -0.025189600, -0.084532600, -0.239654200", \ - "0.0092513000, 0.0080190000, 0.0051756000, -0.002891400, -0.025291400, -0.084602100, -0.239675900", \ - "0.0111141000, 0.0097352000, 0.0062849000, -0.002518200, -0.025302400, -0.084508700, -0.239513100"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0119961000, 0.0133881000, 0.0170066000, 0.0258275000, 0.0484962000, 0.1071513000, 0.2619654000", \ - "0.0119792000, 0.0133738000, 0.0169567000, 0.0258581000, 0.0484681000, 0.1078085000, 0.2606442000", \ - "0.0118960000, 0.0133013000, 0.0168846000, 0.0258102000, 0.0484111000, 0.1077447000, 0.2608184000", \ - "0.0117623000, 0.0131763000, 0.0167724000, 0.0256907000, 0.0483463000, 0.1071801000, 0.2609230000", \ - "0.0117637000, 0.0131270000, 0.0166699000, 0.0255656000, 0.0482783000, 0.1076742000, 0.2608206000", \ - "0.0122743000, 0.0135537000, 0.0169677000, 0.0254694000, 0.0481357000, 0.1069421000, 0.2606673000", \ - "0.0125160000, 0.0137803000, 0.0171234000, 0.0260924000, 0.0487042000, 0.1076750000, 0.2596974000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0109338000, 0.0098183000, 0.0069254000, -0.001326700, -0.023950500, -0.083512300, -0.238728200", \ - "0.0108660000, 0.0097653000, 0.0068557000, -0.001381200, -0.024037500, -0.083589500, -0.238831700", \ - "0.0106829000, 0.0096026000, 0.0066824000, -0.001529400, -0.024156100, -0.083708400, -0.238908200", \ - "0.0105419000, 0.0094430000, 0.0065386000, -0.001696200, -0.024300700, -0.083827300, -0.239043200", \ - "0.0105137000, 0.0094010000, 0.0064507000, -0.001755000, -0.024396700, -0.083894100, -0.239108800", \ - "0.0104733000, 0.0093085000, 0.0064828000, -0.001710800, -0.024263400, -0.083784400, -0.238998000", \ - "0.0126797000, 0.0112867000, 0.0078093000, -0.001066300, -0.024032700, -0.083447100, -0.238672100"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0124277000, 0.0138152000, 0.0174276000, 0.0263338000, 0.0488995000, 0.1080770000, 0.2610488000", \ - "0.0123726000, 0.0137929000, 0.0173432000, 0.0262995000, 0.0488624000, 0.1080148000, 0.2610415000", \ - "0.0123016000, 0.0136854000, 0.0173037000, 0.0262201000, 0.0487819000, 0.1079573000, 0.2608305000", \ - "0.0121495000, 0.0135368000, 0.0171433000, 0.0260390000, 0.0486668000, 0.1077963000, 0.2606927000", \ - "0.0120263000, 0.0134114000, 0.0169413000, 0.0258352000, 0.0485456000, 0.1072572000, 0.2618787000", \ - "0.0124560000, 0.0137563000, 0.0171754000, 0.0257219000, 0.0484382000, 0.1070499000, 0.2608874000", \ - "0.0126280000, 0.0139123000, 0.0172662000, 0.0260284000, 0.0487323000, 0.1078503000, 0.2601296000"); - } - } - max_capacitance : 0.1556500000; - max_transition : 1.4988650000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1506207000, 0.1576010000, 0.1716470000, 0.1990473000, 0.2539979000, 0.3794822000, 0.6994728000", \ - "0.1554675000, 0.1624584000, 0.1766768000, 0.2038851000, 0.2587418000, 0.3843327000, 0.7035277000", \ - "0.1663873000, 0.1734225000, 0.1876435000, 0.2150076000, 0.2699124000, 0.3952663000, 0.7152764000", \ - "0.1871328000, 0.1940566000, 0.2082105000, 0.2356893000, 0.2905221000, 0.4159794000, 0.7364307000", \ - "0.2159816000, 0.2229509000, 0.2371213000, 0.2644068000, 0.3194703000, 0.4450200000, 0.7655171000", \ - "0.2510777000, 0.2580161000, 0.2722636000, 0.2997015000, 0.3547498000, 0.4803148000, 0.8002976000", \ - "0.2841504000, 0.2911306000, 0.3053498000, 0.3328580000, 0.3879542000, 0.5134893000, 0.8334746000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1931937000, 0.2031924000, 0.2243902000, 0.2685366000, 0.3668202000, 0.6121395000, 1.2487539000", \ - "0.1977331000, 0.2077844000, 0.2289544000, 0.2729623000, 0.3713072000, 0.6159888000, 1.2520964000", \ - "0.2100854000, 0.2200840000, 0.2414021000, 0.2855499000, 0.3838244000, 0.6291433000, 1.2665057000", \ - "0.2419218000, 0.2518857000, 0.2730845000, 0.3172314000, 0.4155086000, 0.6608292000, 1.2981397000", \ - "0.3071172000, 0.3171461000, 0.3382816000, 0.3823207000, 0.4807088000, 0.7261578000, 1.3617069000", \ - "0.4140249000, 0.4240343000, 0.4453938000, 0.4895953000, 0.5876724000, 0.8325357000, 1.4720977000", \ - "0.5844192000, 0.5944724000, 0.6159508000, 0.6604904000, 0.7591752000, 1.0047608000, 1.6408375000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0245215000, 0.0291671000, 0.0400020000, 0.0634632000, 0.1207806000, 0.2767430000, 0.6971916000", \ - "0.0245206000, 0.0290870000, 0.0399030000, 0.0635047000, 0.1206272000, 0.2767589000, 0.6944442000", \ - "0.0246275000, 0.0293055000, 0.0396327000, 0.0635285000, 0.1206083000, 0.2765091000, 0.6979812000", \ - "0.0246984000, 0.0293336000, 0.0395693000, 0.0630084000, 0.1206516000, 0.2774497000, 0.6997244000", \ - "0.0250339000, 0.0294106000, 0.0396216000, 0.0634000000, 0.1207527000, 0.2782138000, 0.6986591000", \ - "0.0247892000, 0.0294460000, 0.0400982000, 0.0636512000, 0.1207316000, 0.2745338000, 0.7034824000", \ - "0.0253000000, 0.0300555000, 0.0402318000, 0.0639709000, 0.1211012000, 0.2767869000, 0.6980478000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0353646000, 0.0439177000, 0.0632996000, 0.1111524000, 0.2379537000, 0.5822808000, 1.4942105000", \ - "0.0354825000, 0.0437460000, 0.0632869000, 0.1110569000, 0.2376493000, 0.5828638000, 1.4891297000", \ - "0.0353704000, 0.0438644000, 0.0633115000, 0.1111575000, 0.2379665000, 0.5824152000, 1.4969126000", \ - "0.0354296000, 0.0439183000, 0.0633165000, 0.1111591000, 0.2379667000, 0.5824426000, 1.4968047000", \ - "0.0351456000, 0.0437761000, 0.0632750000, 0.1111547000, 0.2378871000, 0.5824908000, 1.4881933000", \ - "0.0358177000, 0.0443108000, 0.0637687000, 0.1114502000, 0.2377701000, 0.5818530000, 1.4988653000", \ - "0.0366812000, 0.0451410000, 0.0645588000, 0.1122716000, 0.2386121000, 0.5814211000, 1.4879737000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1344868000, 0.1415291000, 0.1557212000, 0.1833386000, 0.2382918000, 0.3639850000, 0.6847328000", \ - "0.1400667000, 0.1471077000, 0.1610742000, 0.1886917000, 0.2436471000, 0.3693481000, 0.6900744000", \ - "0.1532312000, 0.1602700000, 0.1746637000, 0.2021239000, 0.2571056000, 0.3826743000, 0.7032445000", \ - "0.1848490000, 0.1919079000, 0.2061642000, 0.2338880000, 0.2889296000, 0.4144749000, 0.7349099000", \ - "0.2601795000, 0.2672313000, 0.2815298000, 0.3092600000, 0.3643184000, 0.4901581000, 0.8093546000", \ - "0.4072945000, 0.4159039000, 0.4331704000, 0.4640054000, 0.5212725000, 0.6481979000, 0.9698554000", \ - "0.6444568000, 0.6561025000, 0.6785863000, 0.7180088000, 0.7855522000, 0.9155828000, 1.2386354000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1362414000, 0.1462373000, 0.1674470000, 0.2114506000, 0.3095044000, 0.5542749000, 1.1882280000", \ - "0.1403042000, 0.1502624000, 0.1714140000, 0.2152870000, 0.3131868000, 0.5575656000, 1.1933502000", \ - "0.1485450000, 0.1584355000, 0.1796586000, 0.2235884000, 0.3216415000, 0.5666452000, 1.2028092000", \ - "0.1675532000, 0.1775397000, 0.1986440000, 0.2426433000, 0.3406967000, 0.5858394000, 1.2198040000", \ - "0.2063176000, 0.2167066000, 0.2385422000, 0.2833361000, 0.3819312000, 0.6273614000, 1.2656982000", \ - "0.2634668000, 0.2751366000, 0.2985761000, 0.3451300000, 0.4453587000, 0.6914441000, 1.3258774000", \ - "0.3163598000, 0.3313362000, 0.3606370000, 0.4129195000, 0.5162248000, 0.7626142000, 1.3986032000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0254461000, 0.0300210000, 0.0409260000, 0.0638705000, 0.1207325000, 0.2767942000, 0.7026031000", \ - "0.0257168000, 0.0305204000, 0.0409624000, 0.0639292000, 0.1208438000, 0.2766646000, 0.7026512000", \ - "0.0256861000, 0.0304446000, 0.0406013000, 0.0638498000, 0.1210932000, 0.2766362000, 0.6992383000", \ - "0.0260013000, 0.0306501000, 0.0403817000, 0.0636580000, 0.1209936000, 0.2769871000, 0.7019765000", \ - "0.0258816000, 0.0304021000, 0.0406533000, 0.0643974000, 0.1210035000, 0.2771996000, 0.6949503000", \ - "0.0357945000, 0.0408229000, 0.0508290000, 0.0730248000, 0.1266798000, 0.2782559000, 0.7012821000", \ - "0.0532249000, 0.0592768000, 0.0716191000, 0.0946915000, 0.1458029000, 0.2882146000, 0.6983679000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0354797000, 0.0438511000, 0.0633765000, 0.1109310000, 0.2375890000, 0.5831048000, 1.4912226000", \ - "0.0353413000, 0.0437724000, 0.0631765000, 0.1110552000, 0.2379554000, 0.5823715000, 1.4942824000", \ - "0.0353346000, 0.0438753000, 0.0630978000, 0.1109806000, 0.2375512000, 0.5819984000, 1.4913323000", \ - "0.0352483000, 0.0437212000, 0.0630796000, 0.1110851000, 0.2378372000, 0.5833725000, 1.4907032000", \ - "0.0377217000, 0.0462570000, 0.0654677000, 0.1126904000, 0.2383402000, 0.5827164000, 1.4955639000", \ - "0.0442805000, 0.0527945000, 0.0717826000, 0.1176211000, 0.2418581000, 0.5837710000, 1.4914054000", \ - "0.0593936000, 0.0689086000, 0.0886412000, 0.1308890000, 0.2475891000, 0.5862277000, 1.4864832000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1464747000, 0.1536477000, 0.1683887000, 0.1962478000, 0.2518409000, 0.3778689000, 0.6980996000", \ - "0.1518771000, 0.1590874000, 0.1737379000, 0.2016333000, 0.2570062000, 0.3831144000, 0.7037743000", \ - "0.1655049000, 0.1727059000, 0.1873971000, 0.2152378000, 0.2706642000, 0.3967403000, 0.7175257000", \ - "0.1975638000, 0.2047420000, 0.2193606000, 0.2473199000, 0.3027538000, 0.4285906000, 0.7493338000", \ - "0.2740565000, 0.2811588000, 0.2956891000, 0.3236058000, 0.3791744000, 0.5054104000, 0.8258991000", \ - "0.4291563000, 0.4376426000, 0.4544939000, 0.4851000000, 0.5427980000, 0.6696756000, 0.9896678000", \ - "0.6824337000, 0.6936359000, 0.7157943000, 0.7547610000, 0.8207067000, 0.9526978000, 1.2730195000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1428179000, 0.1527829000, 0.1739434000, 0.2177861000, 0.3155050000, 0.5595912000, 1.1949379000", \ - "0.1469365000, 0.1569732000, 0.1780958000, 0.2221154000, 0.3199375000, 0.5644990000, 1.1998819000", \ - "0.1551972000, 0.1651871000, 0.1863442000, 0.2303736000, 0.3282701000, 0.5726382000, 1.2063891000", \ - "0.1714927000, 0.1815413000, 0.2026517000, 0.2465337000, 0.3445537000, 0.5887044000, 1.2258291000", \ - "0.2038458000, 0.2141688000, 0.2358403000, 0.2804465000, 0.3787871000, 0.6238052000, 1.2577611000", \ - "0.2536394000, 0.2652114000, 0.2885724000, 0.3353802000, 0.4355615000, 0.6812883000, 1.3175421000", \ - "0.3005959000, 0.3151822000, 0.3438642000, 0.3964603000, 0.4998935000, 0.7461857000, 1.3806298000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0270742000, 0.0313078000, 0.0418987000, 0.0649291000, 0.1218628000, 0.2778561000, 0.7007875000", \ - "0.0266470000, 0.0316316000, 0.0417425000, 0.0647924000, 0.1215509000, 0.2782448000, 0.7024255000", \ - "0.0268811000, 0.0316514000, 0.0417411000, 0.0646702000, 0.1218958000, 0.2783832000, 0.7030180000", \ - "0.0266455000, 0.0312087000, 0.0419128000, 0.0647973000, 0.1219165000, 0.2777946000, 0.7039502000", \ - "0.0269040000, 0.0314907000, 0.0416166000, 0.0648960000, 0.1220798000, 0.2783132000, 0.6993321000", \ - "0.0349366000, 0.0399612000, 0.0499394000, 0.0722895000, 0.1261826000, 0.2794116000, 0.6978790000", \ - "0.0514631000, 0.0578517000, 0.0699682000, 0.0922444000, 0.1429039000, 0.2870618000, 0.7020945000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0353540000, 0.0437938000, 0.0631916000, 0.1110529000, 0.2379042000, 0.5821789000, 1.4939802000", \ - "0.0351967000, 0.0435646000, 0.0633911000, 0.1111439000, 0.2375690000, 0.5824779000, 1.4890985000", \ - "0.0352381000, 0.0437002000, 0.0632143000, 0.1111282000, 0.2379077000, 0.5835012000, 1.4919017000", \ - "0.0350050000, 0.0436880000, 0.0630970000, 0.1110403000, 0.2375601000, 0.5829485000, 1.4954403000", \ - "0.0374360000, 0.0453892000, 0.0649288000, 0.1122311000, 0.2383696000, 0.5835150000, 1.4926517000", \ - "0.0429138000, 0.0515261000, 0.0711730000, 0.1174088000, 0.2413892000, 0.5825835000, 1.4867289000", \ - "0.0569336000, 0.0665309000, 0.0861632000, 0.1306251000, 0.2475921000, 0.5853897000, 1.4876487000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1619657000, 0.1693325000, 0.1841121000, 0.2123488000, 0.2678896000, 0.3941870000, 0.7143898000", \ - "0.1669579000, 0.1743433000, 0.1892421000, 0.2173937000, 0.2729626000, 0.3993000000, 0.7200622000", \ - "0.1793684000, 0.1866560000, 0.2015011000, 0.2297693000, 0.2855015000, 0.4119508000, 0.7330965000", \ - "0.2117841000, 0.2191963000, 0.2339947000, 0.2622156000, 0.3182757000, 0.4446939000, 0.7653708000", \ - "0.2881075000, 0.2953958000, 0.3101577000, 0.3384378000, 0.3944824000, 0.5209704000, 0.8419035000", \ - "0.4481900000, 0.4566540000, 0.4733927000, 0.5037994000, 0.5613187000, 0.6883514000, 1.0088845000", \ - "0.7122064000, 0.7233920000, 0.7451267000, 0.7834672000, 0.8488076000, 0.9801013000, 1.3011671000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1508552000, 0.1608782000, 0.1820940000, 0.2260938000, 0.3238402000, 0.5676963000, 1.2008168000", \ - "0.1550264000, 0.1651698000, 0.1861303000, 0.2302032000, 0.3279645000, 0.5719467000, 1.2046684000", \ - "0.1628123000, 0.1728115000, 0.1940356000, 0.2380064000, 0.3357657000, 0.5797928000, 1.2127664000", \ - "0.1776088000, 0.1875297000, 0.2087229000, 0.2525590000, 0.3503941000, 0.5946620000, 1.2273701000", \ - "0.2041530000, 0.2145452000, 0.2360403000, 0.2805021000, 0.3788015000, 0.6235655000, 1.2652634000", \ - "0.2448253000, 0.2559833000, 0.2792440000, 0.3257475000, 0.4259353000, 0.6714336000, 1.3049506000", \ - "0.2861571000, 0.2999294000, 0.3275261000, 0.3783550000, 0.4826172000, 0.7291760000, 1.3632773000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0287284000, 0.0335274000, 0.0435808000, 0.0669721000, 0.1240456000, 0.2796210000, 0.6987289000", \ - "0.0283092000, 0.0331408000, 0.0439767000, 0.0670568000, 0.1244037000, 0.2793556000, 0.7021649000", \ - "0.0283219000, 0.0332840000, 0.0441592000, 0.0668589000, 0.1244213000, 0.2793965000, 0.7018180000", \ - "0.0286983000, 0.0337120000, 0.0437620000, 0.0676203000, 0.1241904000, 0.2796200000, 0.7025683000", \ - "0.0283434000, 0.0334995000, 0.0442559000, 0.0670117000, 0.1240782000, 0.2789540000, 0.7047642000", \ - "0.0354043000, 0.0404684000, 0.0505705000, 0.0724479000, 0.1272310000, 0.2797270000, 0.6992525000", \ - "0.0518164000, 0.0577917000, 0.0697170000, 0.0919717000, 0.1435134000, 0.2870597000, 0.6995743000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0353741000, 0.0438401000, 0.0633734000, 0.1109176000, 0.2375743000, 0.5829270000, 1.4921985000", \ - "0.0354281000, 0.0436845000, 0.0633348000, 0.1108168000, 0.2375215000, 0.5822745000, 1.4895982000", \ - "0.0353775000, 0.0438548000, 0.0633846000, 0.1108430000, 0.2375179000, 0.5825153000, 1.4908117000", \ - "0.0353200000, 0.0438707000, 0.0632091000, 0.1108862000, 0.2379606000, 0.5821871000, 1.4896626000", \ - "0.0366367000, 0.0449891000, 0.0646957000, 0.1121589000, 0.2381979000, 0.5828434000, 1.4961858000", \ - "0.0408228000, 0.0501306000, 0.0695450000, 0.1168287000, 0.2410794000, 0.5832648000, 1.4906318000", \ - "0.0524142000, 0.0623732000, 0.0821483000, 0.1285165000, 0.2470997000, 0.5846276000, 1.4849749000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and4b_2") { - leakage_power () { - value : 0.0052725000; - when : "!A_N&!B&!C&D"; - } - leakage_power () { - value : 0.0052512000; - when : "!A_N&!B&!C&!D"; - } - leakage_power () { - value : 0.0056250000; - when : "!A_N&!B&C&D"; - } - leakage_power () { - value : 0.0052734000; - when : "!A_N&!B&C&!D"; - } - leakage_power () { - value : 0.0056597000; - when : "!A_N&B&!C&D"; - } - leakage_power () { - value : 0.0052794000; - when : "!A_N&B&!C&!D"; - } - leakage_power () { - value : 0.0020549000; - when : "!A_N&B&C&D"; - } - leakage_power () { - value : 0.0056624000; - when : "!A_N&B&C&!D"; - } - leakage_power () { - value : 0.0057188000; - when : "A_N&!B&!C&D"; - } - leakage_power () { - value : 0.0057122000; - when : "A_N&!B&!C&!D"; - } - leakage_power () { - value : 0.0057373000; - when : "A_N&!B&C&D"; - } - leakage_power () { - value : 0.0057190000; - when : "A_N&!B&C&!D"; - } - leakage_power () { - value : 0.0057417000; - when : "A_N&B&!C&D"; - } - leakage_power () { - value : 0.0057208000; - when : "A_N&B&!C&!D"; - } - leakage_power () { - value : 0.0060776000; - when : "A_N&B&C&D"; - } - leakage_power () { - value : 0.0057426000; - when : "A_N&B&C&!D"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__and4b"; - cell_leakage_power : 0.0053905410; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0015290000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0063676000, 0.0062571000, 0.0060022000, 0.0060395000, 0.0061253000, 0.0063231000, 0.0067791000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0021365000, 0.0020570000, 0.0018738000, 0.0019064000, 0.0019816000, 0.0021549000, 0.0025544000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015880000; - } - pin ("B") { - capacitance : 0.0015670000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015420000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027000000, 0.0026942000, 0.0026806000, 0.0026834000, 0.0026898000, 0.0027045000, 0.0027385000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002366000, -0.002370300, -0.002380100, -0.002373300, -0.002357700, -0.002321600, -0.002238400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015920000; - } - pin ("C") { - capacitance : 0.0015620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015300000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0019958000, 0.0019934000, 0.0019881000, 0.0019983000, 0.0020220000, 0.0020764000, 0.0022019000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001917800, -0.001917600, -0.001917000, -0.001916900, -0.001916600, -0.001915900, -0.001914400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015940000; - } - pin ("D") { - capacitance : 0.0015620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014990000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022942000, 0.0022930000, 0.0022904000, 0.0022903000, 0.0022902000, 0.0022899000, 0.0022893000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002290900, -0.002289900, -0.002287300, -0.002287200, -0.002286900, -0.002286300, -0.002284800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016250000; - } - pin ("X") { - direction : "output"; - function : "(!A_N&B&C&D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0113340000, 0.0098432000, 0.0059461000, -0.005408300, -0.041381200, -0.149643200, -0.465319600", \ - "0.0112524000, 0.0098548000, 0.0059708000, -0.005368100, -0.041384600, -0.149667900, -0.465396200", \ - "0.0112556000, 0.0097650000, 0.0059093000, -0.005396400, -0.041381400, -0.149672400, -0.465365800", \ - "0.0110666000, 0.0095757000, 0.0056701000, -0.005639800, -0.041629800, -0.149926300, -0.465615800", \ - "0.0107654000, 0.0092645000, 0.0053775000, -0.005966900, -0.041942000, -0.150245300, -0.465876300", \ - "0.0130819000, 0.0115546000, 0.0072121000, -0.005383500, -0.042183700, -0.150391500, -0.466073500", \ - "0.0135328000, 0.0119481000, 0.0075527000, -0.004866400, -0.041918100, -0.150292500, -0.466017100"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0167157000, 0.0182698000, 0.0229985000, 0.0365116000, 0.0740536000, 0.1817243000, 0.4965443000", \ - "0.0166718000, 0.0182123000, 0.0229516000, 0.0364641000, 0.0739603000, 0.1817162000, 0.4942168000", \ - "0.0167434000, 0.0183401000, 0.0229590000, 0.0364513000, 0.0740623000, 0.1817580000, 0.4964218000", \ - "0.0166215000, 0.0182187000, 0.0228363000, 0.0363313000, 0.0739405000, 0.1816461000, 0.4962615000", \ - "0.0164635000, 0.0180308000, 0.0227809000, 0.0362822000, 0.0737815000, 0.1815084000, 0.4939706000", \ - "0.0164106000, 0.0179520000, 0.0226622000, 0.0361053000, 0.0737675000, 0.1814519000, 0.4941898000", \ - "0.0175390000, 0.0189928000, 0.0234472000, 0.0365186000, 0.0736401000, 0.1817454000, 0.4956846000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0115855000, 0.0100868000, 0.0061185000, -0.005365800, -0.041456700, -0.149684300, -0.465289200", \ - "0.0115623000, 0.0100532000, 0.0060901000, -0.005397800, -0.041473100, -0.149679300, -0.465349700", \ - "0.0114401000, 0.0099301000, 0.0060148000, -0.005488800, -0.041532800, -0.149822000, -0.465450800", \ - "0.0112820000, 0.0097847000, 0.0058428000, -0.005630300, -0.041717100, -0.149944800, -0.465593700", \ - "0.0111165000, 0.0096003000, 0.0056259000, -0.005971700, -0.042147400, -0.150312500, -0.465840400", \ - "0.0113203000, 0.0097201000, 0.0055663000, -0.006170600, -0.042346900, -0.150376000, -0.465864300", \ - "0.0150071000, 0.0131979000, 0.0083472000, -0.004848900, -0.042301800, -0.150461400, -0.465806600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0170688000, 0.0186337000, 0.0233272000, 0.0368576000, 0.0743410000, 0.1819551000, 0.4965675000", \ - "0.0170404000, 0.0186281000, 0.0233074000, 0.0368407000, 0.0743421000, 0.1819963000, 0.4964890000", \ - "0.0170433000, 0.0187005000, 0.0233863000, 0.0367434000, 0.0742028000, 0.1818478000, 0.4970424000", \ - "0.0168758000, 0.0184554000, 0.0231210000, 0.0365877000, 0.0741921000, 0.1818624000, 0.4942586000", \ - "0.0168967000, 0.0184752000, 0.0231330000, 0.0365434000, 0.0740910000, 0.1818020000, 0.4947563000", \ - "0.0173136000, 0.0187736000, 0.0230677000, 0.0364586000, 0.0737660000, 0.1816584000, 0.4943153000", \ - "0.0186879000, 0.0201047000, 0.0244145000, 0.0373536000, 0.0744955000, 0.1820769000, 0.4931123000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0133765000, 0.0118916000, 0.0079414000, -0.003540400, -0.039592000, -0.147772900, -0.463345400", \ - "0.0133613000, 0.0118692000, 0.0078786000, -0.003662100, -0.039682900, -0.147841500, -0.463407500", \ - "0.0131855000, 0.0116936000, 0.0077333000, -0.003765700, -0.039819000, -0.147884400, -0.463465400", \ - "0.0131628000, 0.0116362000, 0.0076443000, -0.003890700, -0.039873400, -0.148042200, -0.463615900", \ - "0.0128975000, 0.0113781000, 0.0073772000, -0.004148900, -0.040236400, -0.148325700, -0.463810500", \ - "0.0132917000, 0.0116750000, 0.0080421000, -0.004279000, -0.040128500, -0.148342800, -0.463786900", \ - "0.0168788000, 0.0150612000, 0.0102256000, -0.003041400, -0.040507900, -0.148574700, -0.463867900"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0170091000, 0.0186173000, 0.0232386000, 0.0367680000, 0.0740333000, 0.1816540000, 0.4944804000", \ - "0.0169704000, 0.0184924000, 0.0231510000, 0.0366279000, 0.0741889000, 0.1817433000, 0.4939210000", \ - "0.0169221000, 0.0185212000, 0.0232601000, 0.0366348000, 0.0740761000, 0.1816426000, 0.4967446000", \ - "0.0167860000, 0.0183498000, 0.0230868000, 0.0365821000, 0.0739784000, 0.1816385000, 0.4945169000", \ - "0.0167328000, 0.0183490000, 0.0229883000, 0.0364062000, 0.0738640000, 0.1815212000, 0.4941195000", \ - "0.0175295000, 0.0189834000, 0.0232926000, 0.0362802000, 0.0736917000, 0.1813139000, 0.4938601000", \ - "0.0185320000, 0.0199644000, 0.0242791000, 0.0370895000, 0.0744508000, 0.1821174000, 0.4922133000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0145708000, 0.0130001000, 0.0090123000, -0.002637500, -0.038934500, -0.147306900, -0.463001500", \ - "0.0144624000, 0.0129794000, 0.0089313000, -0.002730200, -0.038981500, -0.147415800, -0.463080800", \ - "0.0143977000, 0.0128620000, 0.0088118000, -0.002848100, -0.039088700, -0.147517100, -0.463185100", \ - "0.0142739000, 0.0127321000, 0.0087608000, -0.002899800, -0.039197800, -0.147585800, -0.463238000", \ - "0.0141122000, 0.0126065000, 0.0085398000, -0.003149600, -0.039397000, -0.147759900, -0.463411100", \ - "0.0146397000, 0.0130331000, 0.0088462000, -0.002987100, -0.039375400, -0.147785100, -0.463400100", \ - "0.0182522000, 0.0165612000, 0.0116075000, -0.001658500, -0.039306300, -0.147491000, -0.463075000"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0173639000, 0.0189258000, 0.0236027000, 0.0371386000, 0.0745954000, 0.1820488000, 0.4963531000", \ - "0.0173455000, 0.0189377000, 0.0236098000, 0.0370915000, 0.0745595000, 0.1820326000, 0.4962090000", \ - "0.0172660000, 0.0188545000, 0.0235046000, 0.0370232000, 0.0745196000, 0.1819913000, 0.4968827000", \ - "0.0171935000, 0.0187774000, 0.0234070000, 0.0367840000, 0.0743769000, 0.1818201000, 0.4940063000", \ - "0.0170680000, 0.0186147000, 0.0232273000, 0.0366704000, 0.0741956000, 0.1816654000, 0.4966651000", \ - "0.0177392000, 0.0192565000, 0.0236227000, 0.0365307000, 0.0739723000, 0.1816477000, 0.4939325000", \ - "0.0184956000, 0.0199443000, 0.0246233000, 0.0376247000, 0.0748681000, 0.1821242000, 0.4919244000"); - } - } - max_capacitance : 0.2975560000; - max_transition : 1.5041630000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.1854323000, 0.1916643000, 0.2055912000, 0.2334226000, 0.2872628000, 0.4072249000, 0.7263872000", \ - "0.1902967000, 0.1964805000, 0.2104137000, 0.2383833000, 0.2922940000, 0.4120202000, 0.7315418000", \ - "0.2015746000, 0.2077710000, 0.2217160000, 0.2492759000, 0.3033958000, 0.4230667000, 0.7429569000", \ - "0.2219690000, 0.2281508000, 0.2421183000, 0.2698985000, 0.3238245000, 0.4435596000, 0.7634149000", \ - "0.2501778000, 0.2563984000, 0.2703920000, 0.2982409000, 0.3522472000, 0.4719156000, 0.7915857000", \ - "0.2844410000, 0.2906646000, 0.3046305000, 0.3324132000, 0.3867643000, 0.5065851000, 0.8265593000", \ - "0.3146760000, 0.3209448000, 0.3348603000, 0.3628345000, 0.4171005000, 0.5372576000, 0.8561687000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.2252908000, 0.2338512000, 0.2540956000, 0.2961210000, 0.3885084000, 0.6247314000, 1.3002843000", \ - "0.2298833000, 0.2385934000, 0.2586580000, 0.3007996000, 0.3931636000, 0.6291302000, 1.3077806000", \ - "0.2424691000, 0.2512344000, 0.2712849000, 0.3132637000, 0.4056846000, 0.6419154000, 1.3184195000", \ - "0.2743155000, 0.2830781000, 0.3031258000, 0.3451036000, 0.4375219000, 0.6737513000, 1.3505880000", \ - "0.3386526000, 0.3474297000, 0.3675145000, 0.4095883000, 0.5019691000, 0.7380977000, 1.4156667000", \ - "0.4457033000, 0.4543576000, 0.4744121000, 0.5166949000, 0.6091424000, 0.8454450000, 1.5173504000", \ - "0.6162983000, 0.6249223000, 0.6453434000, 0.6875033000, 0.7801802000, 1.0166352000, 1.6907371000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0295475000, 0.0332805000, 0.0421448000, 0.0617004000, 0.1100525000, 0.2455434000, 0.6667281000", \ - "0.0293288000, 0.0331683000, 0.0421222000, 0.0618339000, 0.1101088000, 0.2463434000, 0.6663264000", \ - "0.0292935000, 0.0331233000, 0.0421120000, 0.0626603000, 0.1103962000, 0.2465401000, 0.6665878000", \ - "0.0295705000, 0.0335304000, 0.0421286000, 0.0624281000, 0.1103018000, 0.2465528000, 0.6669983000", \ - "0.0297372000, 0.0334977000, 0.0428436000, 0.0620175000, 0.1103188000, 0.2464559000, 0.6682377000", \ - "0.0295421000, 0.0335364000, 0.0422834000, 0.0625134000, 0.1102812000, 0.2452300000, 0.6682171000", \ - "0.0297483000, 0.0337317000, 0.0425862000, 0.0626846000, 0.1103373000, 0.2456451000, 0.6676036000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0372768000, 0.0438046000, 0.0600171000, 0.0986385000, 0.2051710000, 0.5297508000, 1.5000846000", \ - "0.0373795000, 0.0439780000, 0.0598709000, 0.0986244000, 0.2055082000, 0.5287201000, 1.4984761000", \ - "0.0372444000, 0.0438487000, 0.0600537000, 0.0986096000, 0.2050748000, 0.5297604000, 1.4986776000", \ - "0.0372566000, 0.0438579000, 0.0600572000, 0.0986345000, 0.2050221000, 0.5297692000, 1.4981525000", \ - "0.0371590000, 0.0438023000, 0.0598278000, 0.0985903000, 0.2053823000, 0.5294271000, 1.4973084000", \ - "0.0375805000, 0.0444584000, 0.0603981000, 0.0986571000, 0.2056552000, 0.5287979000, 1.4981143000", \ - "0.0381444000, 0.0451772000, 0.0609926000, 0.0994203000, 0.2058902000, 0.5286729000, 1.4976135000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.1693743000, 0.1757046000, 0.1898627000, 0.2177300000, 0.2723088000, 0.3922400000, 0.7119628000", \ - "0.1749811000, 0.1813112000, 0.1954915000, 0.2235783000, 0.2779512000, 0.3978618000, 0.7177253000", \ - "0.1886964000, 0.1950276000, 0.2090499000, 0.2371325000, 0.2914902000, 0.4115620000, 0.7308621000", \ - "0.2198706000, 0.2261666000, 0.2403271000, 0.2683807000, 0.3225910000, 0.4428944000, 0.7623592000", \ - "0.2966989000, 0.3030334000, 0.3171495000, 0.3451191000, 0.3996155000, 0.5197919000, 0.8394336000", \ - "0.4599038000, 0.4670913000, 0.4829004000, 0.5133979000, 0.5699877000, 0.6894478000, 1.0089541000", \ - "0.7328418000, 0.7422394000, 0.7629500000, 0.8029101000, 0.8717806000, 1.0022386000, 1.3241571000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.1696809000, 0.1784533000, 0.1984253000, 0.2405804000, 0.3328248000, 0.5688684000, 1.2443987000", \ - "0.1738136000, 0.1825652000, 0.2025722000, 0.2447156000, 0.3369656000, 0.5730698000, 1.2491631000", \ - "0.1823051000, 0.1910781000, 0.2111340000, 0.2531123000, 0.3455236000, 0.5816478000, 1.2546555000", \ - "0.2015960000, 0.2103852000, 0.2304915000, 0.2724714000, 0.3648263000, 0.6006914000, 1.2801135000", \ - "0.2450752000, 0.2539062000, 0.2741727000, 0.3164283000, 0.4089041000, 0.6449759000, 1.3172458000", \ - "0.3171626000, 0.3269917000, 0.3489286000, 0.3935539000, 0.4885306000, 0.7257832000, 1.4015310000", \ - "0.4011669000, 0.4132261000, 0.4402266000, 0.4913154000, 0.5904707000, 0.8289321000, 1.5028981000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0305389000, 0.0344112000, 0.0431393000, 0.0635415000, 0.1107859000, 0.2467622000, 0.6686950000", \ - "0.0304524000, 0.0343049000, 0.0432870000, 0.0633581000, 0.1109591000, 0.2466962000, 0.6688425000", \ - "0.0304825000, 0.0344197000, 0.0434941000, 0.0635321000, 0.1109110000, 0.2471469000, 0.6650916000", \ - "0.0304092000, 0.0348473000, 0.0433736000, 0.0636679000, 0.1107961000, 0.2463882000, 0.6637940000", \ - "0.0304986000, 0.0343986000, 0.0432241000, 0.0635238000, 0.1107752000, 0.2469811000, 0.6639732000", \ - "0.0386707000, 0.0427507000, 0.0516107000, 0.0702536000, 0.1151936000, 0.2481850000, 0.6688780000", \ - "0.0589264000, 0.0640636000, 0.0750187000, 0.0961797000, 0.1401615000, 0.2628209000, 0.6690163000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0370939000, 0.0437886000, 0.0602576000, 0.0985572000, 0.2053372000, 0.5297920000, 1.5003335000", \ - "0.0371512000, 0.0437301000, 0.0602746000, 0.0986068000, 0.2053921000, 0.5298144000, 1.4994763000", \ - "0.0376259000, 0.0440152000, 0.0597639000, 0.0987770000, 0.2054539000, 0.5284748000, 1.5032752000", \ - "0.0371256000, 0.0437778000, 0.0600266000, 0.0985777000, 0.2053375000, 0.5285694000, 1.4994963000", \ - "0.0384455000, 0.0450836000, 0.0606285000, 0.0993452000, 0.2053427000, 0.5293687000, 1.4991475000", \ - "0.0447620000, 0.0515552000, 0.0676765000, 0.1058027000, 0.2102127000, 0.5310212000, 1.4991614000", \ - "0.0601660000, 0.0678883000, 0.0846363000, 0.1223318000, 0.2191529000, 0.5339348000, 1.4956130000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.1826513000, 0.1891035000, 0.2035396000, 0.2321806000, 0.2870345000, 0.4071599000, 0.7270882000", \ - "0.1880046000, 0.1944608000, 0.2088009000, 0.2371530000, 0.2921560000, 0.4126554000, 0.7329005000", \ - "0.2015835000, 0.2080942000, 0.2225041000, 0.2510261000, 0.3058183000, 0.4262653000, 0.7466212000", \ - "0.2333232000, 0.2398038000, 0.2542725000, 0.2833450000, 0.3373383000, 0.4579282000, 0.7780446000", \ - "0.3104364000, 0.3169131000, 0.3313189000, 0.3599178000, 0.4147248000, 0.5353608000, 0.8553588000", \ - "0.4792181000, 0.4863728000, 0.5020489000, 0.5325191000, 0.5883549000, 0.7096027000, 1.0300711000", \ - "0.7676511000, 0.7769703000, 0.7975593000, 0.8365630000, 0.9046207000, 1.0342652000, 1.3560427000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.1763888000, 0.1852822000, 0.2052502000, 0.2472774000, 0.3395922000, 0.5750693000, 1.2486936000", \ - "0.1806931000, 0.1894090000, 0.2093385000, 0.2515703000, 0.3437995000, 0.5791361000, 1.2563220000", \ - "0.1891211000, 0.1978852000, 0.2179653000, 0.2599408000, 0.3523046000, 0.5882481000, 1.2614666000", \ - "0.2053706000, 0.2141608000, 0.2342450000, 0.2763012000, 0.3686152000, 0.6039708000, 1.2779151000", \ - "0.2406144000, 0.2494945000, 0.2696920000, 0.3119314000, 0.4044348000, 0.6400469000, 1.3165308000", \ - "0.3006244000, 0.3103293000, 0.3322406000, 0.3766865000, 0.4715551000, 0.7085835000, 1.3857259000", \ - "0.3729904000, 0.3846903000, 0.4108758000, 0.4613206000, 0.5609823000, 0.7994982000, 1.4730762000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0317729000, 0.0355528000, 0.0444359000, 0.0642405000, 0.1117541000, 0.2471389000, 0.6689821000", \ - "0.0316785000, 0.0356106000, 0.0453170000, 0.0648974000, 0.1119164000, 0.2479018000, 0.6684657000", \ - "0.0320461000, 0.0356689000, 0.0444329000, 0.0646208000, 0.1120502000, 0.2471844000, 0.6685787000", \ - "0.0316833000, 0.0356864000, 0.0447690000, 0.0640028000, 0.1120302000, 0.2473612000, 0.6693344000", \ - "0.0316145000, 0.0357996000, 0.0444518000, 0.0639674000, 0.1117358000, 0.2477267000, 0.6649815000", \ - "0.0386794000, 0.0420660000, 0.0513890000, 0.0689939000, 0.1149857000, 0.2482657000, 0.6687308000", \ - "0.0584875000, 0.0627784000, 0.0735269000, 0.0943608000, 0.1389034000, 0.2622665000, 0.6699959000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0373216000, 0.0439155000, 0.0599615000, 0.0986083000, 0.2056478000, 0.5295220000, 1.4991867000", \ - "0.0371932000, 0.0436763000, 0.0601669000, 0.0987577000, 0.2051638000, 0.5289083000, 1.4967646000", \ - "0.0373106000, 0.0437542000, 0.0598017000, 0.0987439000, 0.2055118000, 0.5281925000, 1.5032086000", \ - "0.0371879000, 0.0437427000, 0.0599554000, 0.0986072000, 0.2056596000, 0.5289930000, 1.5002138000", \ - "0.0383987000, 0.0447600000, 0.0605246000, 0.0992606000, 0.2054176000, 0.5295789000, 1.4984822000", \ - "0.0433584000, 0.0499835000, 0.0659068000, 0.1049355000, 0.2094107000, 0.5307033000, 1.4971450000", \ - "0.0562494000, 0.0636734000, 0.0813292000, 0.1186099000, 0.2189514000, 0.5343453000, 1.4962515000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.2007484000, 0.2073963000, 0.2222589000, 0.2513625000, 0.3069477000, 0.4285231000, 0.7489542000", \ - "0.2058514000, 0.2125913000, 0.2273808000, 0.2564884000, 0.3121244000, 0.4336219000, 0.7544776000", \ - "0.2186255000, 0.2253066000, 0.2400758000, 0.2691836000, 0.3248519000, 0.4463642000, 0.7672448000", \ - "0.2506362000, 0.2573147000, 0.2720195000, 0.3010497000, 0.3568999000, 0.4784511000, 0.7993523000", \ - "0.3275890000, 0.3342867000, 0.3490277000, 0.3781239000, 0.4339328000, 0.5556045000, 0.8759863000", \ - "0.5004105000, 0.5075618000, 0.5233279000, 0.5531682000, 0.6095078000, 0.7315735000, 1.0524147000", \ - "0.7995058000, 0.8094653000, 0.8292286000, 0.8683747000, 0.9365032000, 1.0656708000, 1.3875062000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.1839427000, 0.1926996000, 0.2126963000, 0.2548483000, 0.3470520000, 0.5827191000, 1.2580570000", \ - "0.1882465000, 0.1969962000, 0.2169876000, 0.2590772000, 0.3513020000, 0.5867647000, 1.2628248000", \ - "0.1962038000, 0.2050490000, 0.2249729000, 0.2670887000, 0.3593514000, 0.5951206000, 1.2664316000", \ - "0.2111112000, 0.2199172000, 0.2397661000, 0.2817859000, 0.3741077000, 0.6097290000, 1.2861011000", \ - "0.2393480000, 0.2481789000, 0.2682830000, 0.3106106000, 0.4030254000, 0.6390042000, 1.3117970000", \ - "0.2858349000, 0.2953821000, 0.3169285000, 0.3615675000, 0.4562579000, 0.6929257000, 1.3699949000", \ - "0.3447856000, 0.3558134000, 0.3810263000, 0.4302276000, 0.5296761000, 0.7684513000, 1.4416086000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0337966000, 0.0381957000, 0.0467267000, 0.0671872000, 0.1149155000, 0.2492591000, 0.6697956000", \ - "0.0337676000, 0.0378568000, 0.0467210000, 0.0672282000, 0.1146456000, 0.2491777000, 0.6679050000", \ - "0.0338126000, 0.0378523000, 0.0467187000, 0.0671914000, 0.1146901000, 0.2492332000, 0.6679192000", \ - "0.0338774000, 0.0380995000, 0.0479026000, 0.0675452000, 0.1145505000, 0.2496844000, 0.6700064000", \ - "0.0338839000, 0.0379143000, 0.0467461000, 0.0669481000, 0.1143434000, 0.2496032000, 0.6658243000", \ - "0.0386994000, 0.0426345000, 0.0511888000, 0.0699372000, 0.1162679000, 0.2495787000, 0.6691943000", \ - "0.0586719000, 0.0632318000, 0.0742781000, 0.0942698000, 0.1388747000, 0.2621102000, 0.6699224000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0371880000, 0.0437341000, 0.0602705000, 0.0985791000, 0.2053482000, 0.5298142000, 1.4999101000", \ - "0.0372103000, 0.0440963000, 0.0601257000, 0.0986509000, 0.2051873000, 0.5291707000, 1.4986314000", \ - "0.0371199000, 0.0440726000, 0.0602480000, 0.0986615000, 0.2054480000, 0.5297999000, 1.5041627000", \ - "0.0373031000, 0.0439792000, 0.0600684000, 0.0986485000, 0.2052316000, 0.5296700000, 1.4974311000", \ - "0.0382897000, 0.0447001000, 0.0605597000, 0.0993726000, 0.2055282000, 0.5290334000, 1.5033129000", \ - "0.0419179000, 0.0489719000, 0.0656130000, 0.1040659000, 0.2089059000, 0.5305738000, 1.4974448000", \ - "0.0517494000, 0.0595721000, 0.0769197000, 0.1156798000, 0.2176584000, 0.5330623000, 1.4956291000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and4b_4") { - leakage_power () { - value : 0.0035608000; - when : "!A_N&!B&!C&D"; - } - leakage_power () { - value : 0.0035400000; - when : "!A_N&!B&!C&!D"; - } - leakage_power () { - value : 0.0039067000; - when : "!A_N&!B&C&D"; - } - leakage_power () { - value : 0.0035623000; - when : "!A_N&!B&C&!D"; - } - leakage_power () { - value : 0.0039328000; - when : "!A_N&B&!C&D"; - } - leakage_power () { - value : 0.0035682000; - when : "!A_N&B&!C&!D"; - } - leakage_power () { - value : 0.0062576000; - when : "!A_N&B&C&D"; - } - leakage_power () { - value : 0.0039416000; - when : "!A_N&B&C&!D"; - } - leakage_power () { - value : 0.0038140000; - when : "A_N&!B&!C&D"; - } - leakage_power () { - value : 0.0038076000; - when : "A_N&!B&!C&!D"; - } - leakage_power () { - value : 0.0038322000; - when : "A_N&!B&C&D"; - } - leakage_power () { - value : 0.0038144000; - when : "A_N&!B&C&!D"; - } - leakage_power () { - value : 0.0038361000; - when : "A_N&B&!C&D"; - } - leakage_power () { - value : 0.0038162000; - when : "A_N&B&!C&!D"; - } - leakage_power () { - value : 0.0041360000; - when : "A_N&B&C&D"; - } - leakage_power () { - value : 0.0038376000; - when : "A_N&B&C&!D"; - } - area : 13.763200000; - cell_footprint : "sky130_fd_sc_hd__and4b"; - cell_leakage_power : 0.0039477660; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0015400000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014800000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0076431000, 0.0075521000, 0.0073424000, 0.0073840000, 0.0074801000, 0.0077015000, 0.0082119000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0055745000, 0.0055170000, 0.0053845000, 0.0054162000, 0.0054895000, 0.0056584000, 0.0060478000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016000000; - } - pin ("B") { - capacitance : 0.0022910000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022070000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045252000, 0.0045238000, 0.0045207000, 0.0045254000, 0.0045363000, 0.0045615000, 0.0046195000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004124800, -0.004125300, -0.004126700, -0.004115100, -0.004088400, -0.004026900, -0.003885000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023760000; - } - pin ("C") { - capacitance : 0.0023140000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022140000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040518000, 0.0040494000, 0.0040440000, 0.0040588000, 0.0040930000, 0.0041717000, 0.0043533000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003960700, -0.003960400, -0.003959600, -0.003958900, -0.003957300, -0.003953700, -0.003945200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024140000; - } - pin ("D") { - capacitance : 0.0023350000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022020000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041830000, 0.0041802000, 0.0041738000, 0.0041721000, 0.0041683000, 0.0041594000, 0.0041389000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004183500, -0.004180900, -0.004174800, -0.004174700, -0.004174500, -0.004174100, -0.004173200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024690000; - } - pin ("X") { - direction : "output"; - function : "(!A_N&B&C&D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015611580, 0.0048744290, 0.0152195000, 0.0475201000, 0.1483728000, 0.4632667000"); - values("0.0223359000, 0.0207418000, 0.0158172000, 0.0015119000, -0.047630400, -0.209620500, -0.719415700", \ - "0.0223333000, 0.0207839000, 0.0158226000, 0.0015172000, -0.047649200, -0.209606300, -0.719383400", \ - "0.0222878000, 0.0207538000, 0.0158772000, 0.0014559000, -0.047618800, -0.209571400, -0.719327200", \ - "0.0220570000, 0.0204046000, 0.0155585000, 0.0011406000, -0.047904900, -0.209842400, -0.719617300", \ - "0.0216661000, 0.0201458000, 0.0152243000, 0.0007462000, -0.048303300, -0.210320500, -0.720055100", \ - "0.0254464000, 0.0238305000, 0.0187257000, 0.0027760000, -0.048583500, -0.210617000, -0.720348900", \ - "0.0260178000, 0.0242974000, 0.0191326000, 0.0032420000, -0.047966700, -0.210474000, -0.720368800"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015611580, 0.0048744290, 0.0152195000, 0.0475201000, 0.1483728000, 0.4632667000"); - values("0.0302561000, 0.0319710000, 0.0374194000, 0.0548139000, 0.1077208000, 0.2694500000, 0.7739470000", \ - "0.0302592000, 0.0319326000, 0.0373755000, 0.0549316000, 0.1074917000, 0.2692340000, 0.7740175000", \ - "0.0302846000, 0.0319826000, 0.0374697000, 0.0548351000, 0.1076834000, 0.2694457000, 0.7745858000", \ - "0.0300305000, 0.0317307000, 0.0371813000, 0.0547233000, 0.1073006000, 0.2690301000, 0.7738081000", \ - "0.0297475000, 0.0314409000, 0.0369074000, 0.0543453000, 0.1071518000, 0.2689120000, 0.7741094000", \ - "0.0294920000, 0.0312107000, 0.0365968000, 0.0540882000, 0.1066341000, 0.2684664000, 0.7739427000", \ - "0.0313053000, 0.0330039000, 0.0383965000, 0.0549329000, 0.1068928000, 0.2685232000, 0.7726460000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015611580, 0.0048744290, 0.0152195000, 0.0475201000, 0.1483728000, 0.4632667000"); - values("0.0228341000, 0.0211371000, 0.0162042000, 0.0016792000, -0.047373200, -0.209334700, -0.718956600", \ - "0.0228605000, 0.0211837000, 0.0161888000, 0.0016782000, -0.047412700, -0.209332400, -0.719050900", \ - "0.0227131000, 0.0210939000, 0.0160115000, 0.0014762000, -0.047609800, -0.209478900, -0.719199500", \ - "0.0224460000, 0.0207424000, 0.0157367000, 0.0012051000, -0.047931200, -0.209704500, -0.719407400", \ - "0.0225001000, 0.0208071000, 0.0156994000, 0.0009105000, -0.048423400, -0.210217300, -0.719793900", \ - "0.0224858000, 0.0206985000, 0.0153159000, 0.0012175000, -0.048368800, -0.210144700, -0.719608600", \ - "0.0294334000, 0.0274008000, 0.0214545000, 0.0041813000, -0.047983000, -0.210169200, -0.719248900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015611580, 0.0048744290, 0.0152195000, 0.0475201000, 0.1483728000, 0.4632667000"); - values("0.0307641000, 0.0324742000, 0.0380072000, 0.0553269000, 0.1079004000, 0.2695186000, 0.7739668000", \ - "0.0307518000, 0.0324803000, 0.0379974000, 0.0552287000, 0.1077895000, 0.2694910000, 0.7738703000", \ - "0.0306333000, 0.0323551000, 0.0378791000, 0.0551122000, 0.1077108000, 0.2693288000, 0.7743770000", \ - "0.0303100000, 0.0320569000, 0.0374933000, 0.0549395000, 0.1075859000, 0.2693470000, 0.7745035000", \ - "0.0301647000, 0.0318703000, 0.0372660000, 0.0547568000, 0.1073578000, 0.2689592000, 0.7736338000", \ - "0.0303016000, 0.0323885000, 0.0377135000, 0.0550660000, 0.1067219000, 0.2686673000, 0.7734386000", \ - "0.0335410000, 0.0351157000, 0.0402001000, 0.0566599000, 0.1085491000, 0.2695395000, 0.7721936000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015611580, 0.0048744290, 0.0152195000, 0.0475201000, 0.1483728000, 0.4632667000"); - values("0.0255338000, 0.0238126000, 0.0188401000, 0.0043988000, -0.044643000, -0.206437000, -0.715965100", \ - "0.0254604000, 0.0238454000, 0.0186445000, 0.0042429000, -0.044822100, -0.206518800, -0.716122400", \ - "0.0252806000, 0.0235803000, 0.0186267000, 0.0040751000, -0.044939400, -0.206661900, -0.716237000", \ - "0.0251149000, 0.0233774000, 0.0183456000, 0.0037849000, -0.045101600, -0.206913900, -0.716501500", \ - "0.0254017000, 0.0237114000, 0.0180726000, 0.0034043000, -0.045519100, -0.207200900, -0.716770900", \ - "0.0256829000, 0.0238478000, 0.0180988000, 0.0039868000, -0.045876100, -0.207305000, -0.716524300", \ - "0.0323519000, 0.0302980000, 0.0240330000, 0.0067959000, -0.045252300, -0.207386200, -0.716442800"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015611580, 0.0048744290, 0.0152195000, 0.0475201000, 0.1483728000, 0.4632667000"); - values("0.0308536000, 0.0325523000, 0.0381128000, 0.0554672000, 0.1081826000, 0.2694522000, 0.7734836000", \ - "0.0308055000, 0.0324805000, 0.0381579000, 0.0554098000, 0.1079961000, 0.2694204000, 0.7734830000", \ - "0.0306964000, 0.0323754000, 0.0377931000, 0.0553137000, 0.1078761000, 0.2693436000, 0.7734626000", \ - "0.0304424000, 0.0321100000, 0.0376101000, 0.0549990000, 0.1078191000, 0.2691151000, 0.7733955000", \ - "0.0302994000, 0.0319559000, 0.0375509000, 0.0548856000, 0.1073940000, 0.2690168000, 0.7703157000", \ - "0.0309223000, 0.0325654000, 0.0376783000, 0.0549287000, 0.1072062000, 0.2686668000, 0.7735851000", \ - "0.0330271000, 0.0346143000, 0.0397519000, 0.0562510000, 0.1083729000, 0.2693079000, 0.7719048000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015611580, 0.0048744290, 0.0152195000, 0.0475201000, 0.1483728000, 0.4632667000"); - values("0.0273260000, 0.0255816000, 0.0205174000, 0.0057129000, -0.043704300, -0.205792400, -0.715496400", \ - "0.0271805000, 0.0255162000, 0.0204121000, 0.0056520000, -0.043808700, -0.205890400, -0.715618400", \ - "0.0271776000, 0.0254632000, 0.0202670000, 0.0055101000, -0.043937600, -0.206007500, -0.715741300", \ - "0.0268638000, 0.0251908000, 0.0200820000, 0.0052748000, -0.044120000, -0.206221400, -0.715832800", \ - "0.0269105000, 0.0251610000, 0.0200967000, 0.0052303000, -0.044211700, -0.206371900, -0.716025800", \ - "0.0272273000, 0.0254376000, 0.0206316000, 0.0054717000, -0.044080300, -0.206170100, -0.715890700", \ - "0.0355552000, 0.0335058000, 0.0267659000, 0.0094473000, -0.043135500, -0.205173600, -0.714928300"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015611580, 0.0048744290, 0.0152195000, 0.0475201000, 0.1483728000, 0.4632667000"); - values("0.0310742000, 0.0327557000, 0.0383334000, 0.0556858000, 0.1084085000, 0.2695266000, 0.7732776000", \ - "0.0309705000, 0.0326538000, 0.0381670000, 0.0555019000, 0.1083672000, 0.2694391000, 0.7732308000", \ - "0.0309720000, 0.0326899000, 0.0382358000, 0.0554923000, 0.1080444000, 0.2693969000, 0.7733044000", \ - "0.0306114000, 0.0323173000, 0.0377904000, 0.0551965000, 0.1078832000, 0.2691622000, 0.7731440000", \ - "0.0304038000, 0.0321199000, 0.0376012000, 0.0548226000, 0.1077173000, 0.2689556000, 0.7738895000", \ - "0.0311095000, 0.0327474000, 0.0380459000, 0.0550909000, 0.1074798000, 0.2690655000, 0.7732735000", \ - "0.0333576000, 0.0343875000, 0.0395869000, 0.0564392000, 0.1083980000, 0.2692772000, 0.7720187000"); - } - } - max_capacitance : 0.4632670000; - max_transition : 1.5040990000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.1655257000, 0.1692213000, 0.1785461000, 0.1990895000, 0.2411548000, 0.3376517000, 0.6082960000", \ - "0.1702919000, 0.1740108000, 0.1833774000, 0.2039145000, 0.2460132000, 0.3425129000, 0.6131275000", \ - "0.1816404000, 0.1853266000, 0.1946941000, 0.2151943000, 0.2572699000, 0.3539274000, 0.6241477000", \ - "0.2046757000, 0.2083579000, 0.2176654000, 0.2381867000, 0.2802772000, 0.3769525000, 0.6471833000", \ - "0.2383196000, 0.2419707000, 0.2512747000, 0.2718201000, 0.3141428000, 0.4105596000, 0.6810267000", \ - "0.2793566000, 0.2830589000, 0.2924007000, 0.3129524000, 0.3551647000, 0.4517505000, 0.7224202000", \ - "0.3179544000, 0.3216339000, 0.3310500000, 0.3515443000, 0.3939879000, 0.4907794000, 0.7608854000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.2740234000, 0.2805126000, 0.2968185000, 0.3342082000, 0.4201837000, 0.6480741000, 1.3456551000", \ - "0.2790441000, 0.2854679000, 0.3018232000, 0.3392962000, 0.4252440000, 0.6528900000, 1.3524006000", \ - "0.2918267000, 0.2982152000, 0.3145438000, 0.3521873000, 0.4379980000, 0.6658695000, 1.3603587000", \ - "0.3232196000, 0.3297024000, 0.3460431000, 0.3835831000, 0.4694500000, 0.6972302000, 1.3969325000", \ - "0.3954079000, 0.4017965000, 0.4181594000, 0.4556025000, 0.5415799000, 0.7694755000, 1.4639316000", \ - "0.5274939000, 0.5339005000, 0.5501310000, 0.5877021000, 0.6736387000, 0.9016191000, 1.5967325000", \ - "0.7420825000, 0.7483945000, 0.7648243000, 0.8033475000, 0.8896812000, 1.1179423000, 1.8134921000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.0237045000, 0.0260217000, 0.0320655000, 0.0463065000, 0.0833730000, 0.1914019000, 0.5482287000", \ - "0.0237588000, 0.0260477000, 0.0320072000, 0.0462729000, 0.0833344000, 0.1912338000, 0.5481445000", \ - "0.0237980000, 0.0260487000, 0.0322380000, 0.0466676000, 0.0833507000, 0.1909245000, 0.5472376000", \ - "0.0238513000, 0.0261468000, 0.0319191000, 0.0466082000, 0.0833352000, 0.1913098000, 0.5470382000", \ - "0.0237711000, 0.0263384000, 0.0320107000, 0.0464780000, 0.0833767000, 0.1912107000, 0.5484428000", \ - "0.0239893000, 0.0262452000, 0.0324803000, 0.0463885000, 0.0835093000, 0.1911402000, 0.5454827000", \ - "0.0246496000, 0.0268020000, 0.0327528000, 0.0475417000, 0.0838649000, 0.1916941000, 0.5452599000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.0386428000, 0.0434578000, 0.0567438000, 0.0899304000, 0.1858757000, 0.4967630000, 1.5019705000", \ - "0.0385017000, 0.0435126000, 0.0567405000, 0.0898932000, 0.1859397000, 0.4961365000, 1.5009317000", \ - "0.0386214000, 0.0433645000, 0.0566469000, 0.0900285000, 0.1860280000, 0.4967540000, 1.4995477000", \ - "0.0386796000, 0.0434599000, 0.0567329000, 0.0898802000, 0.1859411000, 0.4963497000, 1.5005835000", \ - "0.0385213000, 0.0433648000, 0.0567329000, 0.0897925000, 0.1859693000, 0.4967741000, 1.4983375000", \ - "0.0388607000, 0.0435608000, 0.0563115000, 0.0900060000, 0.1865552000, 0.4965235000, 1.5002241000", \ - "0.0396426000, 0.0448633000, 0.0577898000, 0.0911595000, 0.1870536000, 0.4959785000, 1.5003168000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.1472672000, 0.1512692000, 0.1613276000, 0.1830937000, 0.2267653000, 0.3248082000, 0.5953669000", \ - "0.1531407000, 0.1571373000, 0.1671871000, 0.1889972000, 0.2326082000, 0.3306189000, 0.6011269000", \ - "0.1661110000, 0.1700953000, 0.1801277000, 0.2019193000, 0.2455816000, 0.3434304000, 0.6144849000", \ - "0.1977303000, 0.2017359000, 0.2117763000, 0.2333876000, 0.2769776000, 0.3748005000, 0.6458700000", \ - "0.2732063000, 0.2771630000, 0.2871133000, 0.3086867000, 0.3524343000, 0.4504833000, 0.7211977000", \ - "0.4237431000, 0.4285326000, 0.4405050000, 0.4653899000, 0.5127336000, 0.6128787000, 0.8840199000", \ - "0.6681350000, 0.6743613000, 0.6900672000, 0.7232380000, 0.7839625000, 0.8955627000, 1.1704896000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.1819428000, 0.1883192000, 0.2046909000, 0.2420687000, 0.3279298000, 0.5550486000, 1.2522551000", \ - "0.1859068000, 0.1922749000, 0.2087009000, 0.2459800000, 0.3318258000, 0.5592357000, 1.2540202000", \ - "0.1938903000, 0.2002903000, 0.2167246000, 0.2539510000, 0.3397388000, 0.5674989000, 1.2600611000", \ - "0.2120310000, 0.2185278000, 0.2347190000, 0.2722011000, 0.3579503000, 0.5857991000, 1.2800915000", \ - "0.2534928000, 0.2599619000, 0.2764544000, 0.3139984000, 0.3997976000, 0.6272142000, 1.3239120000", \ - "0.3236735000, 0.3309639000, 0.3487424000, 0.3884780000, 0.4764787000, 0.7056548000, 1.4043848000", \ - "0.4029403000, 0.4115656000, 0.4329924000, 0.4790052000, 0.5722604000, 0.8031644000, 1.4972911000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.0271177000, 0.0295302000, 0.0356861000, 0.0498012000, 0.0863322000, 0.1933414000, 0.5487786000", \ - "0.0271922000, 0.0294124000, 0.0353892000, 0.0497820000, 0.0863083000, 0.1932525000, 0.5462675000", \ - "0.0271870000, 0.0296482000, 0.0354762000, 0.0500840000, 0.0860219000, 0.1934397000, 0.5483983000", \ - "0.0270402000, 0.0294838000, 0.0356956000, 0.0501019000, 0.0862231000, 0.1933866000, 0.5484392000", \ - "0.0272150000, 0.0295082000, 0.0355272000, 0.0498138000, 0.0864243000, 0.1933729000, 0.5471115000", \ - "0.0374535000, 0.0402571000, 0.0462971000, 0.0609390000, 0.0937437000, 0.1968770000, 0.5475157000", \ - "0.0571913000, 0.0607558000, 0.0693128000, 0.0862904000, 0.1197408000, 0.2152541000, 0.5509047000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.0386345000, 0.0434197000, 0.0559928000, 0.0899404000, 0.1859629000, 0.4960769000, 1.5033803000", \ - "0.0386023000, 0.0433987000, 0.0564616000, 0.0898769000, 0.1859367000, 0.4965866000, 1.5040992000", \ - "0.0384470000, 0.0435300000, 0.0564734000, 0.0898864000, 0.1857955000, 0.4970924000, 1.5035195000", \ - "0.0385773000, 0.0436097000, 0.0565845000, 0.0897201000, 0.1856594000, 0.4967510000, 1.4985992000", \ - "0.0394922000, 0.0442233000, 0.0567957000, 0.0903224000, 0.1859855000, 0.4963115000, 1.5040026000", \ - "0.0452052000, 0.0501401000, 0.0628793000, 0.0962088000, 0.1903148000, 0.4981197000, 1.5005857000", \ - "0.0599204000, 0.0658552000, 0.0795460000, 0.1127584000, 0.2004076000, 0.5014824000, 1.4993194000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.1598824000, 0.1640037000, 0.1742956000, 0.1965965000, 0.2408179000, 0.3391369000, 0.6100311000", \ - "0.1652782000, 0.1693873000, 0.1795562000, 0.2016972000, 0.2460795000, 0.3444171000, 0.6157189000", \ - "0.1784449000, 0.1825537000, 0.1928404000, 0.2150376000, 0.2592530000, 0.3575917000, 0.6288763000", \ - "0.2100049000, 0.2141756000, 0.2243303000, 0.2475250000, 0.2905572000, 0.3900167000, 0.6612210000", \ - "0.2865601000, 0.2906584000, 0.3007312000, 0.3228101000, 0.3673197000, 0.4657921000, 0.7370807000", \ - "0.4449104000, 0.4500335000, 0.4616732000, 0.4862810000, 0.5333838000, 0.6330188000, 0.9040793000", \ - "0.7065307000, 0.7127928000, 0.7284214000, 0.7616177000, 0.8214848000, 0.9325010000, 1.2066066000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.1900821000, 0.1964770000, 0.2128847000, 0.2503023000, 0.3361350000, 0.5633206000, 1.2623177000", \ - "0.1941451000, 0.2005303000, 0.2169835000, 0.2543563000, 0.3402030000, 0.5673293000, 1.2663619000", \ - "0.2020007000, 0.2084176000, 0.2247075000, 0.2621905000, 0.3480357000, 0.5751053000, 1.2738400000", \ - "0.2173948000, 0.2237803000, 0.2400982000, 0.2776702000, 0.3634677000, 0.5908597000, 1.2897313000", \ - "0.2510811000, 0.2575430000, 0.2740531000, 0.3115310000, 0.3973223000, 0.6252194000, 1.3180355000", \ - "0.3090554000, 0.3161484000, 0.3338525000, 0.3735899000, 0.4618586000, 0.6906157000, 1.3844053000", \ - "0.3760791000, 0.3843881000, 0.4054190000, 0.4507342000, 0.5441659000, 0.7756609000, 1.4696833000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.0282336000, 0.0306372000, 0.0367890000, 0.0509132000, 0.0873310000, 0.1940380000, 0.5484538000", \ - "0.0285178000, 0.0305851000, 0.0368617000, 0.0516378000, 0.0873706000, 0.1941615000, 0.5487811000", \ - "0.0282517000, 0.0307195000, 0.0370759000, 0.0509590000, 0.0874481000, 0.1936730000, 0.5488823000", \ - "0.0282195000, 0.0306541000, 0.0368597000, 0.0510269000, 0.0873093000, 0.1940264000, 0.5489100000", \ - "0.0284760000, 0.0309997000, 0.0366807000, 0.0514909000, 0.0875233000, 0.1939509000, 0.5489582000", \ - "0.0369932000, 0.0398184000, 0.0457990000, 0.0602922000, 0.0924475000, 0.1962834000, 0.5488841000", \ - "0.0570792000, 0.0598163000, 0.0680432000, 0.0849452000, 0.1184751000, 0.2134480000, 0.5502668000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.0387843000, 0.0434754000, 0.0567412000, 0.0900083000, 0.1859526000, 0.4962725000, 1.5004628000", \ - "0.0384133000, 0.0431689000, 0.0567045000, 0.0899721000, 0.1859589000, 0.4960242000, 1.5007253000", \ - "0.0387691000, 0.0433585000, 0.0567519000, 0.0898955000, 0.1859621000, 0.4956808000, 1.5012755000", \ - "0.0384190000, 0.0432172000, 0.0566748000, 0.0900528000, 0.1860352000, 0.4964850000, 1.5007632000", \ - "0.0391183000, 0.0440939000, 0.0570013000, 0.0904757000, 0.1860064000, 0.4969191000, 1.4994485000", \ - "0.0438541000, 0.0489927000, 0.0621286000, 0.0957944000, 0.1901564000, 0.4974492000, 1.5032176000", \ - "0.0569473000, 0.0625203000, 0.0764323000, 0.1091322000, 0.2003624000, 0.5022640000, 1.4977263000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.1703924000, 0.1746238000, 0.1852005000, 0.2078559000, 0.2526948000, 0.3518864000, 0.6235985000", \ - "0.1756482000, 0.1798880000, 0.1905012000, 0.2131448000, 0.2579433000, 0.3571783000, 0.6289220000", \ - "0.1887056000, 0.1929312000, 0.2035388000, 0.2261597000, 0.2710401000, 0.3702304000, 0.6419421000", \ - "0.2214490000, 0.2256888000, 0.2360500000, 0.2586264000, 0.3036370000, 0.4031923000, 0.6749369000", \ - "0.2974455000, 0.3016451000, 0.3113461000, 0.3338336000, 0.3787900000, 0.4791391000, 0.7509763000", \ - "0.4607797000, 0.4656041000, 0.4778132000, 0.5012605000, 0.5486200000, 0.6496590000, 0.9209631000", \ - "0.7337808000, 0.7400771000, 0.7555881000, 0.7884797000, 0.8481505000, 0.9584638000, 1.2330719000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.1953068000, 0.2017054000, 0.2181136000, 0.2555299000, 0.3413622000, 0.5684230000, 1.2671498000", \ - "0.1993552000, 0.2057018000, 0.2220533000, 0.2596512000, 0.3454369000, 0.5726191000, 1.2713636000", \ - "0.2070770000, 0.2134600000, 0.2298464000, 0.2672144000, 0.3530631000, 0.5798297000, 1.2767732000", \ - "0.2209338000, 0.2273293000, 0.2436994000, 0.2811823000, 0.3669674000, 0.5941277000, 1.2923499000", \ - "0.2482619000, 0.2546455000, 0.2711980000, 0.3086491000, 0.3945338000, 0.6217959000, 1.3157568000", \ - "0.2937604000, 0.3006667000, 0.3182642000, 0.3579911000, 0.4459804000, 0.6749634000, 1.3723715000", \ - "0.3471915000, 0.3548088000, 0.3750801000, 0.4198400000, 0.5127124000, 0.7444381000, 1.4374689000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.0298297000, 0.0323410000, 0.0384417000, 0.0527523000, 0.0894812000, 0.1956249000, 0.5490221000", \ - "0.0299085000, 0.0325093000, 0.0383869000, 0.0534119000, 0.0892770000, 0.1953641000, 0.5495853000", \ - "0.0300993000, 0.0326243000, 0.0384206000, 0.0534473000, 0.0893548000, 0.1952563000, 0.5494445000", \ - "0.0300989000, 0.0325956000, 0.0387953000, 0.0530265000, 0.0896082000, 0.1957630000, 0.5484533000", \ - "0.0297929000, 0.0321841000, 0.0384756000, 0.0533909000, 0.0893273000, 0.1957141000, 0.5492208000", \ - "0.0379693000, 0.0400796000, 0.0468058000, 0.0599186000, 0.0938796000, 0.1974113000, 0.5494449000", \ - "0.0574852000, 0.0608594000, 0.0686708000, 0.0851482000, 0.1183731000, 0.2149844000, 0.5523757000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015611600, 0.0048744300, 0.0152195000, 0.0475201000, 0.1483730000, 0.4632670000"); - values("0.0387599000, 0.0434187000, 0.0567355000, 0.0900080000, 0.1859407000, 0.4964478000, 1.5003112000", \ - "0.0385088000, 0.0433433000, 0.0566164000, 0.0900841000, 0.1859427000, 0.4967030000, 1.4998056000", \ - "0.0387798000, 0.0437308000, 0.0563377000, 0.0898759000, 0.1859681000, 0.4961588000, 1.5031162000", \ - "0.0386463000, 0.0434434000, 0.0561892000, 0.0899137000, 0.1859397000, 0.4963190000, 1.5011257000", \ - "0.0391837000, 0.0439425000, 0.0568775000, 0.0904660000, 0.1861136000, 0.4962752000, 1.4983080000", \ - "0.0429453000, 0.0479285000, 0.0618560000, 0.0951164000, 0.1896254000, 0.4983200000, 1.5018994000", \ - "0.0532411000, 0.0587129000, 0.0730615000, 0.1059858000, 0.1991499000, 0.5016913000, 1.4993149000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and4bb_1") { - leakage_power () { - value : 0.0067942000; - when : "!A_N&!B_N&!C&D"; - } - leakage_power () { - value : 0.0064161000; - when : "!A_N&!B_N&!C&!D"; - } - leakage_power () { - value : 0.0026822000; - when : "!A_N&!B_N&C&D"; - } - leakage_power () { - value : 0.0067813000; - when : "!A_N&!B_N&C&!D"; - } - leakage_power () { - value : 0.0068678000; - when : "!A_N&B_N&!C&D"; - } - leakage_power () { - value : 0.0068462000; - when : "!A_N&B_N&!C&!D"; - } - leakage_power () { - value : 0.0072293000; - when : "!A_N&B_N&C&D"; - } - leakage_power () { - value : 0.0068674000; - when : "!A_N&B_N&C&!D"; - } - leakage_power () { - value : 0.0067287000; - when : "A_N&!B_N&!C&D"; - } - leakage_power () { - value : 0.0067074000; - when : "A_N&!B_N&!C&!D"; - } - leakage_power () { - value : 0.0070757000; - when : "A_N&!B_N&C&D"; - } - leakage_power () { - value : 0.0067283000; - when : "A_N&!B_N&C&!D"; - } - leakage_power () { - value : 0.0071632000; - when : "A_N&B_N&!C&D"; - } - leakage_power () { - value : 0.0071563000; - when : "A_N&B_N&!C&!D"; - } - leakage_power () { - value : 0.0071825000; - when : "A_N&B_N&C&D"; - } - leakage_power () { - value : 0.0071630000; - when : "A_N&B_N&C&!D"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__and4bb"; - cell_leakage_power : 0.0066493550; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0015080000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014540000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0073661000, 0.0072670000, 0.0070385000, 0.0070879000, 0.0072018000, 0.0074643000, 0.0080692000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0033283000, 0.0032652000, 0.0031196000, 0.0031576000, 0.0032451000, 0.0034467000, 0.0039114000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015630000; - } - pin ("B_N") { - capacitance : 0.0015210000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0065603000, 0.0064774000, 0.0062862000, 0.0063366000, 0.0064529000, 0.0067209000, 0.0073385000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030534000, 0.0029986000, 0.0028722000, 0.0029175000, 0.0030220000, 0.0032629000, 0.0038180000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015690000; - } - pin ("C") { - capacitance : 0.0014820000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0024340000, 0.0024316000, 0.0024260000, 0.0024279000, 0.0024323000, 0.0024424000, 0.0024657000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002217600, -0.002217800, -0.002218200, -0.002215500, -0.002209400, -0.002195300, -0.002162900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015150000; - } - pin ("D") { - capacitance : 0.0015130000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014540000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022134000, 0.0022088000, 0.0021982000, 0.0021984000, 0.0021990000, 0.0022002000, 0.0022031000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002197600, -0.002198000, -0.002199000, -0.002199000, -0.002199100, -0.002199400, -0.002200000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015720000; - } - pin ("X") { - direction : "output"; - function : "(!A_N&!B_N&C&D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0072342000, 0.0061576000, 0.0033297000, -0.004805900, -0.027431200, -0.087238200, -0.243508400", \ - "0.0072074000, 0.0061280000, 0.0033307000, -0.004818300, -0.027437900, -0.087276900, -0.243540700", \ - "0.0071995000, 0.0061368000, 0.0032948000, -0.004854700, -0.027458700, -0.087276800, -0.243560100", \ - "0.0069216000, 0.0058400000, 0.0030578000, -0.005127500, -0.027721500, -0.087569300, -0.243834300", \ - "0.0066491000, 0.0055671000, 0.0027242000, -0.005427900, -0.028018800, -0.087848600, -0.244112700", \ - "0.0075926000, 0.0063838000, 0.0032193000, -0.005301300, -0.028153300, -0.087944900, -0.244205100", \ - "0.0077734000, 0.0065226000, 0.0034080000, -0.005192100, -0.027953300, -0.087804100, -0.244122700"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0112564000, 0.0126730000, 0.0163228000, 0.0253182000, 0.0482217000, 0.1074487000, 0.2635833000", \ - "0.0111841000, 0.0126016000, 0.0162515000, 0.0252469000, 0.0481510000, 0.1073704000, 0.2635329000", \ - "0.0112459000, 0.0126325000, 0.0162890000, 0.0253397000, 0.0482332000, 0.1080187000, 0.2624999000", \ - "0.0110856000, 0.0124772000, 0.0161418000, 0.0251528000, 0.0480284000, 0.1073595000, 0.2633363000", \ - "0.0108459000, 0.0122567000, 0.0159438000, 0.0249840000, 0.0477979000, 0.1071991000, 0.2619858000", \ - "0.0109634000, 0.0124262000, 0.0160783000, 0.0250441000, 0.0479793000, 0.1073016000, 0.2617155000", \ - "0.0113957000, 0.0126556000, 0.0161179000, 0.0250308000, 0.0477920000, 0.1071353000, 0.2628586000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0083883000, 0.0072795000, 0.0044763000, -0.003612900, -0.026115000, -0.085920300, -0.242128700", \ - "0.0083786000, 0.0072733000, 0.0044661000, -0.003597100, -0.026153600, -0.085912500, -0.242156000", \ - "0.0083741000, 0.0072794000, 0.0044608000, -0.003624900, -0.026150300, -0.085953000, -0.242180600", \ - "0.0080829000, 0.0069779000, 0.0041668000, -0.003932900, -0.026460100, -0.086239900, -0.242504600", \ - "0.0077548000, 0.0066591000, 0.0038448000, -0.004244500, -0.026784000, -0.086556700, -0.242790900", \ - "0.0088937000, 0.0076366000, 0.0044129000, -0.004135400, -0.026925300, -0.086691000, -0.242902500", \ - "0.0089899000, 0.0076921000, 0.0043980000, -0.004127400, -0.026899300, -0.086629300, -0.242911700"); - } - related_pin : "B_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0138311000, 0.0152251000, 0.0188852000, 0.0279190000, 0.0507227000, 0.1103706000, 0.2647399000", \ - "0.0137708000, 0.0151875000, 0.0188090000, 0.0278079000, 0.0506371000, 0.1097928000, 0.2659493000", \ - "0.0138374000, 0.0152411000, 0.0188652000, 0.0278583000, 0.0507073000, 0.1098429000, 0.2658873000", \ - "0.0136677000, 0.0150723000, 0.0187100000, 0.0277192000, 0.0505403000, 0.1096492000, 0.2658045000", \ - "0.0134102000, 0.0148167000, 0.0184919000, 0.0275080000, 0.0503021000, 0.1100524000, 0.2643206000", \ - "0.0132300000, 0.0146761000, 0.0183390000, 0.0272615000, 0.0501939000, 0.1093124000, 0.2640902000", \ - "0.0139587000, 0.0152631000, 0.0186748000, 0.0275296000, 0.0503042000, 0.1095676000, 0.2652161000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0084713000, 0.0073501000, 0.0044590000, -0.003692900, -0.026229000, -0.085959900, -0.242141000", \ - "0.0083983000, 0.0072692000, 0.0043870000, -0.003762800, -0.026294400, -0.086030300, -0.242211500", \ - "0.0082831000, 0.0071409000, 0.0042485000, -0.003907300, -0.026391700, -0.086128200, -0.242325900", \ - "0.0081365000, 0.0069910000, 0.0040894000, -0.004079700, -0.026594300, -0.086303300, -0.242479700", \ - "0.0080670000, 0.0069061000, 0.0039741000, -0.004233100, -0.026776300, -0.086459400, -0.242606300", \ - "0.0080454000, 0.0068224000, 0.0038908000, -0.004282800, -0.026820800, -0.086483100, -0.242574000", \ - "0.0098260000, 0.0084352000, 0.0049720000, -0.003857000, -0.026721900, -0.086246300, -0.242278500"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0134948000, 0.0148991000, 0.0185565000, 0.0275160000, 0.0502992000, 0.1094327000, 0.2643298000", \ - "0.0134586000, 0.0148670000, 0.0185307000, 0.0275130000, 0.0502402000, 0.1093493000, 0.2641410000", \ - "0.0133676000, 0.0147766000, 0.0184291000, 0.0274506000, 0.0501786000, 0.1093421000, 0.2641925000", \ - "0.0132604000, 0.0146703000, 0.0183210000, 0.0273612000, 0.0500786000, 0.1092608000, 0.2640541000", \ - "0.0132023000, 0.0146145000, 0.0182120000, 0.0271842000, 0.0499861000, 0.1092415000, 0.2640404000", \ - "0.0137998000, 0.0150814000, 0.0184867000, 0.0270519000, 0.0498820000, 0.1090313000, 0.2648531000", \ - "0.0140799000, 0.0153571000, 0.0187165000, 0.0276779000, 0.0504190000, 0.1098275000, 0.2631594000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0098635000, 0.0086992000, 0.0056981000, -0.002641500, -0.025380600, -0.085296900, -0.241565400", \ - "0.0097648000, 0.0086010000, 0.0056308000, -0.002704500, -0.025485500, -0.085370300, -0.241669900", \ - "0.0096818000, 0.0085116000, 0.0055486000, -0.002790800, -0.025560400, -0.085441900, -0.241688800", \ - "0.0094884000, 0.0083182000, 0.0053631000, -0.002954300, -0.025672600, -0.085565900, -0.241807000", \ - "0.0095100000, 0.0083203000, 0.0053074000, -0.003003500, -0.025765600, -0.085624200, -0.241870700", \ - "0.0095432000, 0.0083321000, 0.0053917000, -0.002910300, -0.025621200, -0.085489600, -0.241730500", \ - "0.0114335000, 0.0102121000, 0.0067243000, -0.002346600, -0.025405300, -0.085158500, -0.241407000"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0138633000, 0.0152698000, 0.0189505000, 0.0278476000, 0.0506106000, 0.1095198000, 0.2653937000", \ - "0.0138115000, 0.0152243000, 0.0189067000, 0.0278103000, 0.0505782000, 0.1094473000, 0.2651974000", \ - "0.0137483000, 0.0151657000, 0.0187759000, 0.0277920000, 0.0504754000, 0.1095422000, 0.2642437000", \ - "0.0135811000, 0.0149917000, 0.0186639000, 0.0276279000, 0.0504002000, 0.1098803000, 0.2638815000", \ - "0.0134587000, 0.0148822000, 0.0184870000, 0.0275286000, 0.0502521000, 0.1093477000, 0.2641619000", \ - "0.0140021000, 0.0153340000, 0.0187622000, 0.0273669000, 0.0501413000, 0.1097686000, 0.2639588000", \ - "0.0142700000, 0.0155801000, 0.0189211000, 0.0275703000, 0.0503719000, 0.1097844000, 0.2645994000"); - } - } - max_capacitance : 0.1565650000; - max_transition : 1.5054310000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1430712000, 0.1497756000, 0.1635866000, 0.1903187000, 0.2445338000, 0.3700349000, 0.6923059000", \ - "0.1478600000, 0.1545561000, 0.1684160000, 0.1951056000, 0.2493354000, 0.3748119000, 0.6968829000", \ - "0.1587241000, 0.1654460000, 0.1792261000, 0.2058525000, 0.2600655000, 0.3857009000, 0.7074397000", \ - "0.1788656000, 0.1855409000, 0.1992871000, 0.2259267000, 0.2802991000, 0.4057963000, 0.7278213000", \ - "0.2062053000, 0.2129245000, 0.2266190000, 0.2533513000, 0.3076239000, 0.4333220000, 0.7541573000", \ - "0.2375006000, 0.2441927000, 0.2579993000, 0.2847181000, 0.3389868000, 0.4645910000, 0.7854765000", \ - "0.2591933000, 0.2658830000, 0.2796781000, 0.3065632000, 0.3610408000, 0.4865899000, 0.8078414000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1983017000, 0.2083259000, 0.2294781000, 0.2737358000, 0.3728035000, 0.6192897000, 1.2584226000", \ - "0.2028507000, 0.2128835000, 0.2340417000, 0.2782991000, 0.3773674000, 0.6238663000, 1.2625422000", \ - "0.2156284000, 0.2255223000, 0.2467433000, 0.2911482000, 0.3900975000, 0.6367488000, 1.2779326000", \ - "0.2474214000, 0.2574163000, 0.2786482000, 0.3229259000, 0.4219396000, 0.6679298000, 1.3112849000", \ - "0.3152559000, 0.3252614000, 0.3465877000, 0.3909454000, 0.4897735000, 0.7363060000, 1.3783413000", \ - "0.4284944000, 0.4385501000, 0.4598037000, 0.5041768000, 0.6030919000, 0.8493155000, 1.4973168000", \ - "0.6125891000, 0.6224839000, 0.6439782000, 0.6884550000, 0.7877315000, 1.0345869000, 1.6741130000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0241539000, 0.0287361000, 0.0387027000, 0.0622905000, 0.1202645000, 0.2785203000, 0.7067512000", \ - "0.0238314000, 0.0283962000, 0.0387743000, 0.0622976000, 0.1202685000, 0.2783423000, 0.7083301000", \ - "0.0240980000, 0.0282952000, 0.0387855000, 0.0625071000, 0.1201533000, 0.2789731000, 0.7070790000", \ - "0.0239231000, 0.0284604000, 0.0390155000, 0.0624430000, 0.1205571000, 0.2782368000, 0.7091355000", \ - "0.0239544000, 0.0284919000, 0.0386836000, 0.0623179000, 0.1203375000, 0.2793127000, 0.7045446000", \ - "0.0239981000, 0.0285750000, 0.0390574000, 0.0623819000, 0.1203661000, 0.2771666000, 0.7111565000", \ - "0.0249079000, 0.0291673000, 0.0398331000, 0.0629509000, 0.1206743000, 0.2785679000, 0.7064024000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0357695000, 0.0442580000, 0.0639402000, 0.1121208000, 0.2390311000, 0.5857468000, 1.5041918000", \ - "0.0357506000, 0.0442575000, 0.0639369000, 0.1121170000, 0.2389983000, 0.5857058000, 1.5048938000", \ - "0.0358402000, 0.0443624000, 0.0638331000, 0.1121281000, 0.2394992000, 0.5858410000, 1.4997774000", \ - "0.0356655000, 0.0442958000, 0.0639010000, 0.1120072000, 0.2393694000, 0.5858967000, 1.5033027000", \ - "0.0359917000, 0.0441525000, 0.0639236000, 0.1120299000, 0.2389474000, 0.5864983000, 1.4992476000", \ - "0.0360571000, 0.0444460000, 0.0641145000, 0.1121529000, 0.2397705000, 0.5858917000, 1.5039958000", \ - "0.0369772000, 0.0456894000, 0.0651281000, 0.1132069000, 0.2402096000, 0.5856081000, 1.4991447000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1701660000, 0.1772166000, 0.1916589000, 0.2192185000, 0.2744428000, 0.4006638000, 0.7229325000", \ - "0.1750331000, 0.1819608000, 0.1963638000, 0.2240768000, 0.2793247000, 0.4054721000, 0.7274870000", \ - "0.1858492000, 0.1929344000, 0.2073379000, 0.2348158000, 0.2901820000, 0.4161421000, 0.7380548000", \ - "0.2059753000, 0.2130093000, 0.2273907000, 0.2550974000, 0.3102845000, 0.4362176000, 0.7577111000", \ - "0.2338579000, 0.2408913000, 0.2551675000, 0.2828424000, 0.3381565000, 0.4643393000, 0.7855233000", \ - "0.2677782000, 0.2747853000, 0.2892143000, 0.3169471000, 0.3722976000, 0.4985229000, 0.8200020000", \ - "0.2947701000, 0.3018811000, 0.3161961000, 0.3440209000, 0.3995071000, 0.5257890000, 0.8478844000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.2148088000, 0.2247902000, 0.2462200000, 0.2904831000, 0.3893031000, 0.6355125000, 1.2754714000", \ - "0.2196058000, 0.2296846000, 0.2508619000, 0.2951647000, 0.3939482000, 0.6399398000, 1.2804294000", \ - "0.2326048000, 0.2426426000, 0.2638341000, 0.3080993000, 0.4069310000, 0.6530337000, 1.2919711000", \ - "0.2640546000, 0.2740512000, 0.2952494000, 0.3395131000, 0.4383729000, 0.6845476000, 1.3227197000", \ - "0.3309215000, 0.3409850000, 0.3623341000, 0.4067089000, 0.5054733000, 0.7518748000, 1.3897236000", \ - "0.4430071000, 0.4531917000, 0.4745254000, 0.5190662000, 0.6180997000, 0.8646952000, 1.5033180000", \ - "0.6248598000, 0.6351774000, 0.6570577000, 0.7018805000, 0.8012206000, 1.0477843000, 1.6876668000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0260380000, 0.0306180000, 0.0411549000, 0.0648115000, 0.1221911000, 0.2790907000, 0.7075858000", \ - "0.0262444000, 0.0309019000, 0.0414395000, 0.0648270000, 0.1221826000, 0.2793452000, 0.7049183000", \ - "0.0263271000, 0.0309247000, 0.0409993000, 0.0646160000, 0.1224227000, 0.2797606000, 0.7106640000", \ - "0.0261935000, 0.0307836000, 0.0408795000, 0.0644697000, 0.1224228000, 0.2797167000, 0.7112163000", \ - "0.0261716000, 0.0308338000, 0.0411028000, 0.0645271000, 0.1222902000, 0.2804287000, 0.7051260000", \ - "0.0264989000, 0.0309271000, 0.0414209000, 0.0648518000, 0.1222122000, 0.2779140000, 0.7059075000", \ - "0.0268962000, 0.0313827000, 0.0416941000, 0.0650048000, 0.1225357000, 0.2791493000, 0.7046216000"); - } - related_pin : "B_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0362010000, 0.0446732000, 0.0641930000, 0.1118888000, 0.2391686000, 0.5848607000, 1.5005168000", \ - "0.0361317000, 0.0444237000, 0.0641858000, 0.1121356000, 0.2394500000, 0.5858784000, 1.5010870000", \ - "0.0360285000, 0.0443881000, 0.0642011000, 0.1121626000, 0.2392562000, 0.5857091000, 1.5040582000", \ - "0.0360938000, 0.0445336000, 0.0641243000, 0.1120938000, 0.2390538000, 0.5852498000, 1.5054313000", \ - "0.0363142000, 0.0444801000, 0.0641686000, 0.1122361000, 0.2396267000, 0.5855777000, 1.5010064000", \ - "0.0365326000, 0.0450343000, 0.0645713000, 0.1121923000, 0.2397331000, 0.5855580000, 1.4959523000", \ - "0.0379338000, 0.0464248000, 0.0658560000, 0.1136785000, 0.2404390000, 0.5860851000, 1.4986015000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1489472000, 0.1562325000, 0.1710257000, 0.1991665000, 0.2550790000, 0.3819032000, 0.7040852000", \ - "0.1543258000, 0.1616153000, 0.1764035000, 0.2044925000, 0.2605294000, 0.3873021000, 0.7097148000", \ - "0.1676893000, 0.1749368000, 0.1897087000, 0.2178799000, 0.2739584000, 0.4007324000, 0.7225212000", \ - "0.1995204000, 0.2067606000, 0.2215031000, 0.2497592000, 0.3056862000, 0.4323271000, 0.7547322000", \ - "0.2756746000, 0.2828221000, 0.2974714000, 0.3255450000, 0.3816606000, 0.5086152000, 0.8306225000", \ - "0.4306530000, 0.4391993000, 0.4559629000, 0.4867398000, 0.5447273000, 0.6721976000, 0.9946853000", \ - "0.6834165000, 0.6946047000, 0.7166778000, 0.7554780000, 0.8216729000, 0.9540032000, 1.2764183000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1437130000, 0.1537512000, 0.1749381000, 0.2191939000, 0.3174630000, 0.5630553000, 1.2002798000", \ - "0.1479296000, 0.1579331000, 0.1791679000, 0.2234248000, 0.3219831000, 0.5677053000, 1.2054011000", \ - "0.1558787000, 0.1658879000, 0.1871237000, 0.2314473000, 0.3300209000, 0.5756025000, 1.2131225000", \ - "0.1717348000, 0.1817145000, 0.2029054000, 0.2471812000, 0.3456465000, 0.5917025000, 1.2294289000", \ - "0.2035702000, 0.2139699000, 0.2356699000, 0.2804220000, 0.3794058000, 0.6250331000, 1.2657824000", \ - "0.2527510000, 0.2642117000, 0.2878285000, 0.3346814000, 0.4356207000, 0.6825760000, 1.3272177000", \ - "0.2984838000, 0.3130230000, 0.3416019000, 0.3941684000, 0.4982182000, 0.7459469000, 1.3843607000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0278699000, 0.0324661000, 0.0427314000, 0.0661930000, 0.1234415000, 0.2814211000, 0.7078031000", \ - "0.0276529000, 0.0326499000, 0.0427912000, 0.0660563000, 0.1236032000, 0.2814737000, 0.7075605000", \ - "0.0275841000, 0.0321539000, 0.0429482000, 0.0660818000, 0.1236362000, 0.2808973000, 0.7071046000", \ - "0.0275938000, 0.0322135000, 0.0423771000, 0.0660207000, 0.1236859000, 0.2807217000, 0.7103744000", \ - "0.0277198000, 0.0323644000, 0.0426945000, 0.0660112000, 0.1238704000, 0.2812873000, 0.7067933000", \ - "0.0360027000, 0.0408787000, 0.0509122000, 0.0733701000, 0.1277722000, 0.2818936000, 0.7078562000", \ - "0.0533003000, 0.0592718000, 0.0713040000, 0.0935427000, 0.1453671000, 0.2900496000, 0.7061374000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0358800000, 0.0442912000, 0.0637897000, 0.1121749000, 0.2395986000, 0.5849958000, 1.5024287000", \ - "0.0358624000, 0.0442160000, 0.0638133000, 0.1121458000, 0.2394029000, 0.5865875000, 1.5016508000", \ - "0.0357794000, 0.0443155000, 0.0638743000, 0.1121380000, 0.2395817000, 0.5862059000, 1.5022366000", \ - "0.0359539000, 0.0444532000, 0.0639607000, 0.1119884000, 0.2389676000, 0.5864911000, 1.5025895000", \ - "0.0375776000, 0.0460863000, 0.0656000000, 0.1132539000, 0.2399553000, 0.5857665000, 1.5032433000", \ - "0.0432958000, 0.0520690000, 0.0716901000, 0.1185991000, 0.2426673000, 0.5855118000, 1.5022090000", \ - "0.0578543000, 0.0674114000, 0.0870414000, 0.1318161000, 0.2493715000, 0.5888301000, 1.4956086000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1617611000, 0.1691392000, 0.1839911000, 0.2123414000, 0.2683127000, 0.3953252000, 0.7179159000", \ - "0.1668225000, 0.1741878000, 0.1891219000, 0.2174344000, 0.2733570000, 0.4003991000, 0.7230164000", \ - "0.1797174000, 0.1870875000, 0.2020488000, 0.2303441000, 0.2862519000, 0.4132214000, 0.7353762000", \ - "0.2121389000, 0.2195028000, 0.2342360000, 0.2626092000, 0.3188646000, 0.4459079000, 0.7686825000", \ - "0.2884296000, 0.2957274000, 0.3105766000, 0.3389432000, 0.3952515000, 0.5223577000, 0.8446290000", \ - "0.4491409000, 0.4575366000, 0.4741921000, 0.5045769000, 0.5622041000, 0.6897514000, 1.0117287000", \ - "0.7141328000, 0.7248462000, 0.7465768000, 0.7847296000, 0.8500970000, 0.9819872000, 1.3042468000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1515942000, 0.1615701000, 0.1828235000, 0.2269654000, 0.3251883000, 0.5701822000, 1.2104456000", \ - "0.1557474000, 0.1658048000, 0.1870243000, 0.2311620000, 0.3294156000, 0.5748196000, 1.2125726000", \ - "0.1635773000, 0.1736352000, 0.1948010000, 0.2391328000, 0.3375751000, 0.5826055000, 1.2198365000", \ - "0.1779203000, 0.1880388000, 0.2092194000, 0.2533918000, 0.3518817000, 0.5976392000, 1.2343124000", \ - "0.2039550000, 0.2144015000, 0.2360094000, 0.2807448000, 0.3795611000, 0.6245726000, 1.2665492000", \ - "0.2440764000, 0.2552230000, 0.2785462000, 0.3252867000, 0.4260269000, 0.6723794000, 1.3138474000", \ - "0.2843860000, 0.2981443000, 0.3258644000, 0.3770402000, 0.4814895000, 0.7294462000, 1.3674128000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0291730000, 0.0339380000, 0.0448548000, 0.0681503000, 0.1258523000, 0.2821006000, 0.7072997000", \ - "0.0291279000, 0.0338172000, 0.0446656000, 0.0682593000, 0.1258249000, 0.2822095000, 0.7082965000", \ - "0.0290657000, 0.0338486000, 0.0448072000, 0.0678608000, 0.1256886000, 0.2821839000, 0.7082006000", \ - "0.0290527000, 0.0337760000, 0.0444667000, 0.0684162000, 0.1257006000, 0.2821186000, 0.7094306000", \ - "0.0291360000, 0.0338984000, 0.0447612000, 0.0678108000, 0.1254146000, 0.2817929000, 0.7120090000", \ - "0.0361702000, 0.0411682000, 0.0510850000, 0.0731107000, 0.1283742000, 0.2823624000, 0.7098142000", \ - "0.0532180000, 0.0592177000, 0.0708088000, 0.0931043000, 0.1444948000, 0.2906700000, 0.7070001000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0359255000, 0.0443657000, 0.0639435000, 0.1120216000, 0.2395812000, 0.5853192000, 1.4996808000", \ - "0.0359758000, 0.0444175000, 0.0638980000, 0.1121755000, 0.2396454000, 0.5840228000, 1.5014398000", \ - "0.0357742000, 0.0442926000, 0.0638842000, 0.1121709000, 0.2395359000, 0.5859040000, 1.5023207000", \ - "0.0358797000, 0.0444289000, 0.0639032000, 0.1118156000, 0.2395417000, 0.5850831000, 1.4983899000", \ - "0.0372943000, 0.0457541000, 0.0654178000, 0.1130702000, 0.2399793000, 0.5854552000, 1.5022754000", \ - "0.0414292000, 0.0507226000, 0.0702538000, 0.1177589000, 0.2424828000, 0.5862311000, 1.4995594000", \ - "0.0532621000, 0.0630952000, 0.0830109000, 0.1299815000, 0.2489081000, 0.5883971000, 1.4959395000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and4bb_2") { - leakage_power () { - value : 0.0039208000; - when : "!A_N&!B_N&!C&D"; - } - leakage_power () { - value : 0.0035549000; - when : "!A_N&!B_N&!C&!D"; - } - leakage_power () { - value : 0.0024095000; - when : "!A_N&!B_N&C&D"; - } - leakage_power () { - value : 0.0039125000; - when : "!A_N&!B_N&C&!D"; - } - leakage_power () { - value : 0.0038587000; - when : "!A_N&B_N&!C&D"; - } - leakage_power () { - value : 0.0038379000; - when : "!A_N&B_N&!C&!D"; - } - leakage_power () { - value : 0.0042041000; - when : "!A_N&B_N&C&D"; - } - leakage_power () { - value : 0.0038586000; - when : "!A_N&B_N&C&!D"; - } - leakage_power () { - value : 0.0038795000; - when : "A_N&!B_N&!C&D"; - } - leakage_power () { - value : 0.0038590000; - when : "A_N&!B_N&!C&!D"; - } - leakage_power () { - value : 0.0042110000; - when : "A_N&!B_N&C&D"; - } - leakage_power () { - value : 0.0038793000; - when : "A_N&!B_N&C&!D"; - } - leakage_power () { - value : 0.0041671000; - when : "A_N&B_N&!C&D"; - } - leakage_power () { - value : 0.0041606000; - when : "A_N&B_N&!C&!D"; - } - leakage_power () { - value : 0.0041854000; - when : "A_N&B_N&C&D"; - } - leakage_power () { - value : 0.0041670000; - when : "A_N&B_N&C&!D"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__and4bb"; - cell_leakage_power : 0.0038791200; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0015040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0070961000, 0.0069941000, 0.0067591000, 0.0068064000, 0.0069155000, 0.0071670000, 0.0077467000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0031427000, 0.0030744000, 0.0029172000, 0.0029553000, 0.0030432000, 0.0032457000, 0.0037127000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015590000; - } - pin ("B_N") { - capacitance : 0.0014970000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014400000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0061757000, 0.0060815000, 0.0058645000, 0.0059150000, 0.0060313000, 0.0062996000, 0.0069179000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026656000, 0.0026004000, 0.0024501000, 0.0024933000, 0.0025929000, 0.0028226000, 0.0033520000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015540000; - } - pin ("C") { - capacitance : 0.0014950000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014620000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0023955000, 0.0023959000, 0.0023967000, 0.0023980000, 0.0024010000, 0.0024079000, 0.0024238000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002209200, -0.002206900, -0.002201600, -0.002199800, -0.002195500, -0.002185800, -0.002163200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015280000; - } - pin ("D") { - capacitance : 0.0015200000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0019615000, 0.0019614000, 0.0019612000, 0.0019614000, 0.0019616000, 0.0019622000, 0.0019636000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001968400, -0.001965100, -0.001957300, -0.001956900, -0.001955900, -0.001953800, -0.001948800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015660000; - } - pin ("X") { - direction : "output"; - function : "(!A_N&!B_N&C&D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014268990, 0.0040720810, 0.0116209000, 0.0331636900, 0.0946424700, 0.2700905000"); - values("0.0107085000, 0.0093291000, 0.0055300000, -0.005280800, -0.038984200, -0.138228600, -0.422445300", \ - "0.0106870000, 0.0092517000, 0.0055009000, -0.005296200, -0.038990200, -0.138239500, -0.422431000", \ - "0.0107862000, 0.0092169000, 0.0054742000, -0.005308400, -0.038990200, -0.138236500, -0.422427800", \ - "0.0104136000, 0.0089672000, 0.0051888000, -0.005625100, -0.039335600, -0.138487800, -0.422674600", \ - "0.0101629000, 0.0086693000, 0.0048788000, -0.005952300, -0.039588600, -0.138835000, -0.422972200", \ - "0.0122901000, 0.0108115000, 0.0066162000, -0.005323900, -0.039817700, -0.139051100, -0.423214200", \ - "0.0126379000, 0.0111390000, 0.0069131000, -0.005013300, -0.039465500, -0.138888700, -0.423210500"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014268990, 0.0040720810, 0.0116209000, 0.0331636900, 0.0946424700, 0.2700905000"); - values("0.0161701000, 0.0176688000, 0.0222714000, 0.0351688000, 0.0702865000, 0.1690011000, 0.4503910000", \ - "0.0160740000, 0.0176595000, 0.0221547000, 0.0349823000, 0.0701401000, 0.1688657000, 0.4499513000", \ - "0.0161593000, 0.0176884000, 0.0222768000, 0.0351652000, 0.0702119000, 0.1690508000, 0.4489263000", \ - "0.0160276000, 0.0175699000, 0.0220509000, 0.0348781000, 0.0700761000, 0.1688872000, 0.4499506000", \ - "0.0157971000, 0.0173760000, 0.0218792000, 0.0347041000, 0.0698661000, 0.1686845000, 0.4498375000", \ - "0.0156407000, 0.0171958000, 0.0217403000, 0.0344359000, 0.0696012000, 0.1684362000, 0.4499343000", \ - "0.0166557000, 0.0181961000, 0.0225833000, 0.0348590000, 0.0694387000, 0.1683613000, 0.4489024000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014268990, 0.0040720810, 0.0116209000, 0.0331636900, 0.0946424700, 0.2700905000"); - values("0.0110031000, 0.0095230000, 0.0057412000, -0.005061700, -0.038584900, -0.137530500, -0.421607200", \ - "0.0109649000, 0.0095317000, 0.0057427000, -0.005065600, -0.038555000, -0.137536400, -0.421657600", \ - "0.0109658000, 0.0095074000, 0.0057409000, -0.005016200, -0.038522300, -0.137523600, -0.421602100", \ - "0.0107272000, 0.0092943000, 0.0055448000, -0.005256900, -0.038761100, -0.137757200, -0.421858400", \ - "0.0104873000, 0.0090164000, 0.0052872000, -0.005511500, -0.038995600, -0.138038300, -0.422064300", \ - "0.0125439000, 0.0110686000, 0.0069086000, -0.004970400, -0.039237000, -0.138172800, -0.422213000", \ - "0.0130290000, 0.0115365000, 0.0073933000, -0.004440200, -0.038818800, -0.137997800, -0.422115100"); - } - related_pin : "B_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014268990, 0.0040720810, 0.0116209000, 0.0331636900, 0.0946424700, 0.2700905000"); - values("0.0178402000, 0.0193879000, 0.0238656000, 0.0367669000, 0.0718474000, 0.1706462000, 0.4523211000", \ - "0.0177850000, 0.0193272000, 0.0238014000, 0.0366978000, 0.0717983000, 0.1705996000, 0.4517273000", \ - "0.0178308000, 0.0193736000, 0.0238463000, 0.0367431000, 0.0718491000, 0.1706491000, 0.4517839000", \ - "0.0176411000, 0.0191384000, 0.0237420000, 0.0366203000, 0.0716183000, 0.1704743000, 0.4522902000", \ - "0.0174467000, 0.0189486000, 0.0235490000, 0.0364271000, 0.0714818000, 0.1702751000, 0.4520134000", \ - "0.0173366000, 0.0189114000, 0.0233887000, 0.0362087000, 0.0713406000, 0.1701263000, 0.4517655000", \ - "0.0184232000, 0.0199576000, 0.0242556000, 0.0366596000, 0.0713423000, 0.1703512000, 0.4511864000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014268990, 0.0040720810, 0.0116209000, 0.0331636900, 0.0946424700, 0.2700905000"); - values("0.0114127000, 0.0099679000, 0.0061329000, -0.004732700, -0.038281300, -0.137156100, -0.421179500", \ - "0.0113435000, 0.0098702000, 0.0060410000, -0.004803700, -0.038315600, -0.137227900, -0.421244000", \ - "0.0112577000, 0.0097864000, 0.0059802000, -0.004898100, -0.038383200, -0.137326500, -0.421326800", \ - "0.0112008000, 0.0097416000, 0.0059228000, -0.005047600, -0.038574900, -0.137455200, -0.421458300", \ - "0.0110111000, 0.0095266000, 0.0056928000, -0.005200900, -0.038751800, -0.137672300, -0.421611700", \ - "0.0112757000, 0.0097387000, 0.0062511000, -0.005012500, -0.038783600, -0.137720800, -0.421611900", \ - "0.0145370000, 0.0128204000, 0.0082397000, -0.004141900, -0.038952100, -0.137423700, -0.421302400"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014268990, 0.0040720810, 0.0116209000, 0.0331636900, 0.0946424700, 0.2700905000"); - values("0.0177162000, 0.0193023000, 0.0238572000, 0.0367449000, 0.0716607000, 0.1705144000, 0.4521823000", \ - "0.0176807000, 0.0192493000, 0.0238243000, 0.0365402000, 0.0717786000, 0.1705323000, 0.4516192000", \ - "0.0176516000, 0.0192392000, 0.0238016000, 0.0365886000, 0.0715899000, 0.1705624000, 0.4520103000", \ - "0.0175324000, 0.0191040000, 0.0236430000, 0.0365430000, 0.0715984000, 0.1703706000, 0.4521876000", \ - "0.0175204000, 0.0190464000, 0.0235173000, 0.0363899000, 0.0715050000, 0.1702503000, 0.4516905000", \ - "0.0182185000, 0.0196653000, 0.0238314000, 0.0363093000, 0.0712510000, 0.1700108000, 0.4515213000", \ - "0.0191217000, 0.0205491000, 0.0247426000, 0.0369848000, 0.0716456000, 0.1703961000, 0.4502569000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014268990, 0.0040720810, 0.0116209000, 0.0331636900, 0.0946424700, 0.2700905000"); - values("0.0128823000, 0.0114570000, 0.0075410000, -0.003245100, -0.036684700, -0.135464400, -0.419404800", \ - "0.0127687000, 0.0113496000, 0.0075292000, -0.003253900, -0.036699900, -0.135556800, -0.419483800", \ - "0.0127572000, 0.0113132000, 0.0074830000, -0.003361200, -0.036790100, -0.135592700, -0.419516000", \ - "0.0126526000, 0.0111765000, 0.0073458000, -0.003463200, -0.036876800, -0.135716100, -0.419647300", \ - "0.0125341000, 0.0110586000, 0.0072446000, -0.003604000, -0.037042800, -0.135855000, -0.419723400", \ - "0.0127803000, 0.0112998000, 0.0073253000, -0.003412700, -0.037054400, -0.135920100, -0.419740600", \ - "0.0164841000, 0.0147577000, 0.0101900000, -0.002357300, -0.037084700, -0.135775500, -0.419491600"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014268990, 0.0040720810, 0.0116209000, 0.0331636900, 0.0946424700, 0.2700905000"); - values("0.0176547000, 0.0192922000, 0.0238279000, 0.0367229000, 0.0715849000, 0.1705867000, 0.4519486000", \ - "0.0176808000, 0.0192697000, 0.0237166000, 0.0366344000, 0.0716964000, 0.1705946000, 0.4521895000", \ - "0.0176135000, 0.0191465000, 0.0237046000, 0.0366005000, 0.0716666000, 0.1705514000, 0.4519895000", \ - "0.0175322000, 0.0190499000, 0.0236059000, 0.0365359000, 0.0716187000, 0.1704770000, 0.4504203000", \ - "0.0174924000, 0.0190063000, 0.0235059000, 0.0363943000, 0.0714443000, 0.1703566000, 0.4503499000", \ - "0.0181736000, 0.0196621000, 0.0238789000, 0.0362623000, 0.0712674000, 0.1701361000, 0.4517060000", \ - "0.0189390000, 0.0203769000, 0.0246315000, 0.0372145000, 0.0718140000, 0.1703012000, 0.4499385000"); - } - } - max_capacitance : 0.2700900000; - max_transition : 1.5033030000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.1862667000, 0.1926589000, 0.2066461000, 0.2338705000, 0.2858106000, 0.3989092000, 0.6938405000", \ - "0.1911694000, 0.1975346000, 0.2115102000, 0.2388217000, 0.2906627000, 0.4037532000, 0.6988742000", \ - "0.2021261000, 0.2084765000, 0.2224576000, 0.2493614000, 0.3014233000, 0.4145366000, 0.7093190000", \ - "0.2220207000, 0.2283871000, 0.2423383000, 0.2695018000, 0.3216158000, 0.4347309000, 0.7294392000", \ - "0.2495272000, 0.2558291000, 0.2697667000, 0.2969406000, 0.3488977000, 0.4620451000, 0.7568431000", \ - "0.2812844000, 0.2876397000, 0.3015539000, 0.3287267000, 0.3808268000, 0.4940389000, 0.7894819000", \ - "0.3049214000, 0.3112047000, 0.3251235000, 0.3523835000, 0.4045656000, 0.5180705000, 0.8130019000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.2366742000, 0.2456543000, 0.2663620000, 0.3088855000, 0.4016880000, 0.6360954000, 1.2924170000", \ - "0.2411537000, 0.2504657000, 0.2707066000, 0.3132919000, 0.4059809000, 0.6404240000, 1.3033548000", \ - "0.2541019000, 0.2632825000, 0.2838635000, 0.3263818000, 0.4191779000, 0.6534235000, 1.3096378000", \ - "0.2858316000, 0.2950707000, 0.3154463000, 0.3579197000, 0.4507336000, 0.6852971000, 1.3465676000", \ - "0.3519882000, 0.3612927000, 0.3816184000, 0.4241174000, 0.5168612000, 0.7514402000, 1.4117448000", \ - "0.4665896000, 0.4759116000, 0.4963411000, 0.5387659000, 0.6314900000, 0.8655072000, 1.5266398000", \ - "0.6518687000, 0.6610983000, 0.6817517000, 0.7244901000, 0.8175811000, 1.0518554000, 1.7096948000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.0284149000, 0.0321259000, 0.0411616000, 0.0609977000, 0.1070321000, 0.2349179000, 0.6233860000", \ - "0.0282356000, 0.0323063000, 0.0414183000, 0.0608791000, 0.1070685000, 0.2351835000, 0.6224702000", \ - "0.0284142000, 0.0321689000, 0.0410418000, 0.0610023000, 0.1074510000, 0.2351311000, 0.6228450000", \ - "0.0282575000, 0.0322601000, 0.0413558000, 0.0604808000, 0.1070503000, 0.2345007000, 0.6228534000", \ - "0.0285400000, 0.0323829000, 0.0417348000, 0.0605253000, 0.1074183000, 0.2351462000, 0.6201696000", \ - "0.0285685000, 0.0326240000, 0.0415164000, 0.0606084000, 0.1073082000, 0.2344996000, 0.6230876000", \ - "0.0288496000, 0.0327699000, 0.0419439000, 0.0610228000, 0.1075286000, 0.2355594000, 0.6230195000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.0364820000, 0.0433367000, 0.0599941000, 0.1001056000, 0.2098483000, 0.5379461000, 1.5008983000", \ - "0.0363125000, 0.0435266000, 0.0602753000, 0.0999708000, 0.2099149000, 0.5378495000, 1.4996214000", \ - "0.0364341000, 0.0433405000, 0.0600401000, 0.1001780000, 0.2103502000, 0.5379912000, 1.4955785000", \ - "0.0364794000, 0.0434451000, 0.0601571000, 0.1000665000, 0.2101409000, 0.5378416000, 1.5009038000", \ - "0.0363229000, 0.0434529000, 0.0602091000, 0.1000032000, 0.2101837000, 0.5378041000, 1.5011941000", \ - "0.0365446000, 0.0436926000, 0.0603188000, 0.0999126000, 0.2101650000, 0.5366645000, 1.5030960000", \ - "0.0375346000, 0.0445363000, 0.0608965000, 0.1011828000, 0.2110044000, 0.5380967000, 1.4965799000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.1991974000, 0.2058266000, 0.2201847000, 0.2479513000, 0.3005535000, 0.4140220000, 0.7094944000", \ - "0.2040738000, 0.2106995000, 0.2250734000, 0.2528192000, 0.3051961000, 0.4191170000, 0.7139437000", \ - "0.2150663000, 0.2216637000, 0.2361364000, 0.2639723000, 0.3164791000, 0.4301508000, 0.7253740000", \ - "0.2347107000, 0.2414313000, 0.2557702000, 0.2835589000, 0.3359882000, 0.4498358000, 0.7447127000", \ - "0.2612827000, 0.2679001000, 0.2822223000, 0.3099883000, 0.3626115000, 0.4762823000, 0.7712445000", \ - "0.2896476000, 0.2962339000, 0.3106542000, 0.3384610000, 0.3912704000, 0.5048051000, 0.8003931000", \ - "0.3088063000, 0.3154410000, 0.3298738000, 0.3576677000, 0.4103523000, 0.5243423000, 0.8196571000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.2426924000, 0.2519165000, 0.2723949000, 0.3148817000, 0.4075845000, 0.6421374000, 1.3000681000", \ - "0.2475183000, 0.2567449000, 0.2771959000, 0.3196794000, 0.4123707000, 0.6470220000, 1.3104055000", \ - "0.2602820000, 0.2695101000, 0.2899523000, 0.3324387000, 0.4251304000, 0.6598093000, 1.3226841000", \ - "0.2918751000, 0.3008580000, 0.3216030000, 0.3641288000, 0.4569090000, 0.6907758000, 1.3513167000", \ - "0.3581242000, 0.3672601000, 0.3878402000, 0.4303639000, 0.5230404000, 0.7575590000, 1.4151634000", \ - "0.4679579000, 0.4773125000, 0.4977164000, 0.5402834000, 0.6331487000, 0.8673158000, 1.5285319000", \ - "0.6474057000, 0.6567619000, 0.6774027000, 0.7201814000, 0.8130829000, 1.0475841000, 1.7033971000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.0304892000, 0.0340877000, 0.0430007000, 0.0625335000, 0.1082037000, 0.2353380000, 0.6231133000", \ - "0.0301551000, 0.0341322000, 0.0429885000, 0.0621470000, 0.1084237000, 0.2347374000, 0.6232863000", \ - "0.0307147000, 0.0347080000, 0.0429280000, 0.0623950000, 0.1080112000, 0.2352654000, 0.6233959000", \ - "0.0305020000, 0.0341271000, 0.0429356000, 0.0620349000, 0.1081122000, 0.2347395000, 0.6233359000", \ - "0.0306031000, 0.0342311000, 0.0435123000, 0.0623485000, 0.1080193000, 0.2354038000, 0.6228031000", \ - "0.0303966000, 0.0346186000, 0.0434806000, 0.0627526000, 0.1081215000, 0.2342453000, 0.6229033000", \ - "0.0306946000, 0.0347770000, 0.0432449000, 0.0623584000, 0.1082954000, 0.2355411000, 0.6236850000"); - } - related_pin : "B_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.0365345000, 0.0435029000, 0.0602171000, 0.1001851000, 0.2098329000, 0.5378887000, 1.4982315000", \ - "0.0366238000, 0.0434932000, 0.0602163000, 0.1001508000, 0.2098936000, 0.5380283000, 1.4991067000", \ - "0.0366241000, 0.0434889000, 0.0602163000, 0.1000846000, 0.2099015000, 0.5380646000, 1.4996746000", \ - "0.0366040000, 0.0434056000, 0.0601720000, 0.1002137000, 0.2101090000, 0.5365300000, 1.5018530000", \ - "0.0365462000, 0.0437411000, 0.0601728000, 0.1002165000, 0.2097870000, 0.5377574000, 1.4958240000", \ - "0.0366302000, 0.0437340000, 0.0604585000, 0.0999471000, 0.2099764000, 0.5368526000, 1.5033035000", \ - "0.0373554000, 0.0442615000, 0.0610824000, 0.1008043000, 0.2102180000, 0.5383576000, 1.4991703000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.1825911000, 0.1893441000, 0.2039134000, 0.2320258000, 0.2851163000, 0.3991446000, 0.6950376000", \ - "0.1879897000, 0.1947140000, 0.2092820000, 0.2371548000, 0.2904378000, 0.4044809000, 0.7004028000", \ - "0.2016716000, 0.2083924000, 0.2230070000, 0.2505967000, 0.3038760000, 0.4179308000, 0.7138450000", \ - "0.2336359000, 0.2404134000, 0.2550002000, 0.2829709000, 0.3360534000, 0.4501444000, 0.7459101000", \ - "0.3099555000, 0.3166351000, 0.3312566000, 0.3594121000, 0.4125625000, 0.5265411000, 0.8222235000", \ - "0.4783916000, 0.4858278000, 0.5016089000, 0.5314633000, 0.5857883000, 0.7007513000, 0.9965780000", \ - "0.7640730000, 0.7738548000, 0.7945919000, 0.8333240000, 0.8986872000, 1.0199146000, 1.3169940000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.1776697000, 0.1869927000, 0.2074024000, 0.2499010000, 0.3426280000, 0.5766791000, 1.2353112000", \ - "0.1820376000, 0.1912126000, 0.2116942000, 0.2540908000, 0.3468227000, 0.5813910000, 1.2434346000", \ - "0.1901500000, 0.1994606000, 0.2199248000, 0.2623533000, 0.3552067000, 0.5893863000, 1.2499498000", \ - "0.2059557000, 0.2152008000, 0.2358647000, 0.2783419000, 0.3710400000, 0.6053857000, 1.2639073000", \ - "0.2409917000, 0.2502473000, 0.2708309000, 0.3136002000, 0.4063893000, 0.6411130000, 1.2999899000", \ - "0.3007150000, 0.3108354000, 0.3331330000, 0.3780465000, 0.4731487000, 0.7085575000, 1.3655352000", \ - "0.3732795000, 0.3856278000, 0.4122272000, 0.4627919000, 0.5619455000, 0.7984395000, 1.4560940000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.0314091000, 0.0351999000, 0.0438383000, 0.0632052000, 0.1088883000, 0.2359979000, 0.6237461000", \ - "0.0311984000, 0.0353204000, 0.0444989000, 0.0637658000, 0.1090368000, 0.2362563000, 0.6232623000", \ - "0.0314880000, 0.0354623000, 0.0443327000, 0.0637486000, 0.1090280000, 0.2362704000, 0.6230754000", \ - "0.0310928000, 0.0352109000, 0.0446075000, 0.0635590000, 0.1091118000, 0.2358801000, 0.6226276000", \ - "0.0312290000, 0.0353493000, 0.0442916000, 0.0631713000, 0.1088997000, 0.2362107000, 0.6234832000", \ - "0.0380407000, 0.0415276000, 0.0509058000, 0.0682041000, 0.1124802000, 0.2365088000, 0.6232647000", \ - "0.0569421000, 0.0625902000, 0.0731514000, 0.0927800000, 0.1348590000, 0.2520692000, 0.6258180000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.0364145000, 0.0434635000, 0.0601563000, 0.1000285000, 0.2099049000, 0.5364161000, 1.4966217000", \ - "0.0364253000, 0.0436425000, 0.0602308000, 0.1001328000, 0.2100576000, 0.5379883000, 1.5001796000", \ - "0.0363716000, 0.0434561000, 0.0599014000, 0.1002598000, 0.2102912000, 0.5372726000, 1.5028310000", \ - "0.0368302000, 0.0434480000, 0.0600687000, 0.1000476000, 0.2097825000, 0.5374858000, 1.4978924000", \ - "0.0373696000, 0.0442948000, 0.0608239000, 0.1006675000, 0.2102676000, 0.5367123000, 1.5014616000", \ - "0.0419784000, 0.0491973000, 0.0663092000, 0.1060941000, 0.2145093000, 0.5391247000, 1.4988731000", \ - "0.0548478000, 0.0627824000, 0.0807038000, 0.1197827000, 0.2229403000, 0.5421677000, 1.4950448000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.1917877000, 0.1986200000, 0.2133231000, 0.2417270000, 0.2950864000, 0.4095560000, 0.7058911000", \ - "0.1970600000, 0.2038600000, 0.2186246000, 0.2470423000, 0.3003482000, 0.4148493000, 0.7109116000", \ - "0.2100836000, 0.2168759000, 0.2316478000, 0.2602426000, 0.3139219000, 0.4283507000, 0.7247191000", \ - "0.2416302000, 0.2484396000, 0.2631848000, 0.2930161000, 0.3465468000, 0.4610856000, 0.7572317000", \ - "0.3196117000, 0.3264393000, 0.3412021000, 0.3697428000, 0.4231301000, 0.5378178000, 0.8342010000", \ - "0.4907522000, 0.4983054000, 0.5139180000, 0.5431226000, 0.5971959000, 0.7123844000, 1.0085455000", \ - "0.7837958000, 0.7935748000, 0.8141668000, 0.8523463000, 0.9181593000, 1.0406986000, 1.3378887000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.1814270000, 0.1907217000, 0.2111504000, 0.2536740000, 0.3464609000, 0.5807352000, 1.2407275000", \ - "0.1858805000, 0.1951201000, 0.2155327000, 0.2581068000, 0.3509551000, 0.5852592000, 1.2437086000", \ - "0.1940653000, 0.2032415000, 0.2237382000, 0.2662999000, 0.3591457000, 0.5934943000, 1.2503357000", \ - "0.2088351000, 0.2180208000, 0.2385971000, 0.2811226000, 0.3739082000, 0.6081244000, 1.2645243000", \ - "0.2375283000, 0.2467851000, 0.2672159000, 0.3099996000, 0.4028434000, 0.6371352000, 1.2934636000", \ - "0.2852964000, 0.2953913000, 0.3173458000, 0.3620715000, 0.4569582000, 0.6915403000, 1.3505619000", \ - "0.3459282000, 0.3575156000, 0.3828744000, 0.4323812000, 0.5318628000, 0.7682611000, 1.4248361000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.0318759000, 0.0359702000, 0.0445277000, 0.0637858000, 0.1097994000, 0.2365068000, 0.6241735000", \ - "0.0320076000, 0.0358500000, 0.0448903000, 0.0637917000, 0.1096250000, 0.2373057000, 0.6243391000", \ - "0.0319524000, 0.0359120000, 0.0451676000, 0.0646368000, 0.1097362000, 0.2368826000, 0.6237872000", \ - "0.0319842000, 0.0361286000, 0.0445937000, 0.0646380000, 0.1097445000, 0.2370075000, 0.6244928000", \ - "0.0319912000, 0.0361233000, 0.0451563000, 0.0638770000, 0.1096323000, 0.2368122000, 0.6245486000", \ - "0.0373368000, 0.0417390000, 0.0501237000, 0.0678476000, 0.1117793000, 0.2375271000, 0.6240113000", \ - "0.0562590000, 0.0609312000, 0.0719480000, 0.0911806000, 0.1339855000, 0.2505386000, 0.6253200000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014269000, 0.0040720800, 0.0116209000, 0.0331637000, 0.0946425000, 0.2700900000"); - values("0.0364251000, 0.0434491000, 0.0600645000, 0.1001464000, 0.2103203000, 0.5375269000, 1.5024145000", \ - "0.0365457000, 0.0432211000, 0.0597094000, 0.1001546000, 0.2103818000, 0.5379322000, 1.4986061000", \ - "0.0364238000, 0.0436463000, 0.0600078000, 0.1000978000, 0.2103929000, 0.5380629000, 1.4990459000", \ - "0.0365412000, 0.0433677000, 0.0600219000, 0.1001875000, 0.2098400000, 0.5376262000, 1.4953201000", \ - "0.0371389000, 0.0442173000, 0.0604623000, 0.1008216000, 0.2105205000, 0.5378428000, 1.4967196000", \ - "0.0412989000, 0.0482869000, 0.0653664000, 0.1050909000, 0.2132248000, 0.5377051000, 1.4972383000", \ - "0.0504708000, 0.0586298000, 0.0763564000, 0.1162166000, 0.2214762000, 0.5407692000, 1.4948780000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__and4bb_4") { - leakage_power () { - value : 0.0044753000; - when : "A_N&B_N&C&D"; - } - leakage_power () { - value : 0.0044582000; - when : "A_N&B_N&C&!D"; - } - leakage_power () { - value : 0.0041850000; - when : "!A_N&!B_N&!C&D"; - } - leakage_power () { - value : 0.0038272000; - when : "!A_N&!B_N&!C&!D"; - } - leakage_power () { - value : 0.0055008000; - when : "!A_N&!B_N&C&D"; - } - leakage_power () { - value : 0.0041955000; - when : "!A_N&!B_N&C&!D"; - } - leakage_power () { - value : 0.0040912000; - when : "!A_N&B_N&!C&D"; - } - leakage_power () { - value : 0.0040710000; - when : "!A_N&B_N&!C&!D"; - } - leakage_power () { - value : 0.0044245000; - when : "!A_N&B_N&C&D"; - } - leakage_power () { - value : 0.0040931000; - when : "!A_N&B_N&C&!D"; - } - leakage_power () { - value : 0.0042079000; - when : "A_N&!B_N&!C&D"; - } - leakage_power () { - value : 0.0041884000; - when : "A_N&!B_N&!C&!D"; - } - leakage_power () { - value : 0.0045071000; - when : "A_N&!B_N&C&D"; - } - leakage_power () { - value : 0.0042097000; - when : "A_N&!B_N&C&!D"; - } - leakage_power () { - value : 0.0044578000; - when : "A_N&B_N&!C&D"; - } - leakage_power () { - value : 0.0044517000; - when : "A_N&B_N&!C&!D"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__and4bb"; - cell_leakage_power : 0.0043340310; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0014860000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014280000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0083431000, 0.0082432000, 0.0080130000, 0.0080580000, 0.0081615000, 0.0084003000, 0.0089506000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0049290000, 0.0048663000, 0.0047218000, 0.0047493000, 0.0048127000, 0.0049588000, 0.0052957000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015450000; - } - pin ("B_N") { - capacitance : 0.0015430000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014800000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0073121000, 0.0072185000, 0.0070028000, 0.0070507000, 0.0071612000, 0.0074160000, 0.0080033000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0055482000, 0.0054908000, 0.0053584000, 0.0053946000, 0.0054778000, 0.0056698000, 0.0061123000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016050000; - } - pin ("C") { - capacitance : 0.0023600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045814000, 0.0045827000, 0.0045856000, 0.0045879000, 0.0045932000, 0.0046053000, 0.0046333000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004299700, -0.004298900, -0.004297000, -0.004293500, -0.004285300, -0.004266500, -0.004223100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024650000; - } - pin ("D") { - capacitance : 0.0024020000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022640000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043889000, 0.0043872000, 0.0043834000, 0.0043841000, 0.0043856000, 0.0043892000, 0.0043974000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004375900, -0.004375700, -0.004375100, -0.004375100, -0.004375200, -0.004375200, -0.004375300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025390000; - } - pin ("X") { - direction : "output"; - function : "(!A_N&!B_N&C&D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015601280, 0.0048679980, 0.0151894000, 0.0473948100, 0.1478839000, 0.4614356000"); - values("0.0216314000, 0.0200731000, 0.0151817000, 0.0008545000, -0.048351600, -0.210034200, -0.717666200", \ - "0.0216567000, 0.0200669000, 0.0152286000, 0.0008792000, -0.048426400, -0.209998400, -0.717710200", \ - "0.0215806000, 0.0201128000, 0.0152188000, 0.0008569000, -0.048488100, -0.209958600, -0.717757800", \ - "0.0213211000, 0.0197794000, 0.0149375000, 0.0005240000, -0.048705500, -0.210254100, -0.718035900", \ - "0.0210157000, 0.0194010000, 0.0145341000, 0.0001536000, -0.049073900, -0.210688900, -0.718355200", \ - "0.0244725000, 0.0228430000, 0.0178375000, 0.0019691000, -0.049263500, -0.210770700, -0.718443200", \ - "0.0248874000, 0.0232354000, 0.0181118000, 0.0022303000, -0.048888300, -0.210724300, -0.718475900"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015601280, 0.0048679980, 0.0151894000, 0.0473948100, 0.1478839000, 0.4614356000"); - values("0.0283745000, 0.0300614000, 0.0355346000, 0.0531436000, 0.1055725000, 0.2667799000, 0.7696016000", \ - "0.0283693000, 0.0300449000, 0.0355302000, 0.0531005000, 0.1056405000, 0.2669269000, 0.7698181000", \ - "0.0284043000, 0.0301658000, 0.0355812000, 0.0531314000, 0.1056825000, 0.2669574000, 0.7700509000", \ - "0.0282249000, 0.0299025000, 0.0353572000, 0.0528893000, 0.1055430000, 0.2667256000, 0.7699692000", \ - "0.0279578000, 0.0296376000, 0.0350959000, 0.0526381000, 0.1052741000, 0.2662584000, 0.7696083000", \ - "0.0277639000, 0.0294965000, 0.0349927000, 0.0522590000, 0.1049660000, 0.2660684000, 0.7689276000", \ - "0.0294713000, 0.0312304000, 0.0364842000, 0.0534917000, 0.1048124000, 0.2659359000, 0.7680741000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015601280, 0.0048679980, 0.0151894000, 0.0473948100, 0.1478839000, 0.4614356000"); - values("0.0227262000, 0.0211187000, 0.0161678000, 0.0016230000, -0.047371500, -0.208578500, -0.716073400", \ - "0.0227955000, 0.0211707000, 0.0161700000, 0.0016548000, -0.047316800, -0.208539100, -0.716058200", \ - "0.0228391000, 0.0211360000, 0.0161259000, 0.0016090000, -0.047321800, -0.208549300, -0.716066200", \ - "0.0224601000, 0.0209112000, 0.0158564000, 0.0013813000, -0.047559400, -0.208812300, -0.716313100", \ - "0.0221837000, 0.0205296000, 0.0155259000, 0.0009487000, -0.047899700, -0.209185400, -0.716718500", \ - "0.0257020000, 0.0240301000, 0.0188322000, 0.0027139000, -0.048256800, -0.209476200, -0.716959100", \ - "0.0266061000, 0.0248706000, 0.0197603000, 0.0036570000, -0.047409900, -0.209357700, -0.717045100"); - } - related_pin : "B_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015601280, 0.0048679980, 0.0151894000, 0.0473948100, 0.1478839000, 0.4614356000"); - values("0.0323523000, 0.0340357000, 0.0396696000, 0.0570493000, 0.1095079000, 0.2704133000, 0.7727715000", \ - "0.0323956000, 0.0340051000, 0.0394586000, 0.0569119000, 0.1095906000, 0.2705603000, 0.7738145000", \ - "0.0323771000, 0.0340757000, 0.0394885000, 0.0570894000, 0.1095530000, 0.2704725000, 0.7729198000", \ - "0.0321819000, 0.0338431000, 0.0393376000, 0.0568711000, 0.1093411000, 0.2702570000, 0.7727954000", \ - "0.0318558000, 0.0335795000, 0.0390095000, 0.0565524000, 0.1090235000, 0.2699553000, 0.7693652000", \ - "0.0315946000, 0.0332830000, 0.0387368000, 0.0560783000, 0.1085035000, 0.2696572000, 0.7690293000", \ - "0.0332792000, 0.0350091000, 0.0402043000, 0.0570493000, 0.1088257000, 0.2694565000, 0.7715606000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015601280, 0.0048679980, 0.0151894000, 0.0473948100, 0.1478839000, 0.4614356000"); - values("0.0234956000, 0.0217644000, 0.0166856000, 0.0021840000, -0.046818900, -0.208046100, -0.715535300", \ - "0.0234067000, 0.0217485000, 0.0166286000, 0.0020336000, -0.046881700, -0.208153000, -0.715664300", \ - "0.0231188000, 0.0215208000, 0.0164481000, 0.0019471000, -0.047029200, -0.208294000, -0.715773100", \ - "0.0230184000, 0.0213079000, 0.0162841000, 0.0017282000, -0.047215800, -0.208467400, -0.715944900", \ - "0.0233133000, 0.0216260000, 0.0164069000, 0.0015637000, -0.047602900, -0.208795800, -0.716243500", \ - "0.0234344000, 0.0217378000, 0.0164530000, 0.0014039000, -0.047909500, -0.208568200, -0.715882300", \ - "0.0300933000, 0.0280401000, 0.0221323000, 0.0049224000, -0.047198800, -0.208860600, -0.715705000"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015601280, 0.0048679980, 0.0151894000, 0.0473948100, 0.1478839000, 0.4614356000"); - values("0.0325545000, 0.0342248000, 0.0397440000, 0.0570442000, 0.1098269000, 0.2704111000, 0.7723660000", \ - "0.0325092000, 0.0341980000, 0.0396498000, 0.0571955000, 0.1096074000, 0.2704139000, 0.7722816000", \ - "0.0324559000, 0.0341338000, 0.0397206000, 0.0571936000, 0.1093260000, 0.2703138000, 0.7732145000", \ - "0.0322059000, 0.0339463000, 0.0394108000, 0.0568729000, 0.1094409000, 0.2702657000, 0.7731623000", \ - "0.0320654000, 0.0338017000, 0.0392972000, 0.0566069000, 0.1091192000, 0.2701446000, 0.7730799000", \ - "0.0325861000, 0.0341890000, 0.0392975000, 0.0563684000, 0.1089541000, 0.2695662000, 0.7729026000", \ - "0.0355698000, 0.0371624000, 0.0423192000, 0.0586749000, 0.1099828000, 0.2708889000, 0.7711607000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015601280, 0.0048679980, 0.0151894000, 0.0473948100, 0.1478839000, 0.4614356000"); - values("0.0255155000, 0.0238026000, 0.0187292000, 0.0039137000, -0.045559000, -0.207158800, -0.714744900", \ - "0.0253877000, 0.0236536000, 0.0185393000, 0.0037738000, -0.045661500, -0.207262600, -0.714877900", \ - "0.0253406000, 0.0236433000, 0.0184485000, 0.0036357000, -0.045831100, -0.207386700, -0.714998200", \ - "0.0249918000, 0.0233308000, 0.0181894000, 0.0033878000, -0.045994300, -0.207621700, -0.715191300", \ - "0.0250091000, 0.0234515000, 0.0182731000, 0.0033532000, -0.046126600, -0.207663500, -0.715222200", \ - "0.0261488000, 0.0239497000, 0.0186695000, 0.0034840000, -0.045592700, -0.207247900, -0.714833300", \ - "0.0322584000, 0.0302184000, 0.0242933000, 0.0070478000, -0.045320800, -0.207310000, -0.714639400"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015601280, 0.0048679980, 0.0151894000, 0.0473948100, 0.1478839000, 0.4614356000"); - values("0.0330282000, 0.0347027000, 0.0403058000, 0.0576828000, 0.1100887000, 0.2707566000, 0.7723028000", \ - "0.0329279000, 0.0346374000, 0.0402220000, 0.0577159000, 0.1099274000, 0.2707901000, 0.7730277000", \ - "0.0328943000, 0.0346458000, 0.0401488000, 0.0574378000, 0.1098447000, 0.2705202000, 0.7726878000", \ - "0.0326011000, 0.0342998000, 0.0398793000, 0.0572125000, 0.1096429000, 0.2704424000, 0.7729272000", \ - "0.0323964000, 0.0341297000, 0.0396216000, 0.0570308000, 0.1092787000, 0.2702270000, 0.7694626000", \ - "0.0330400000, 0.0347187000, 0.0398740000, 0.0568710000, 0.1092991000, 0.2700229000, 0.7726822000", \ - "0.0349240000, 0.0365263000, 0.0417559000, 0.0583343000, 0.1098848000, 0.2706974000, 0.7709903000"); - } - } - max_capacitance : 0.4614360000; - max_transition : 1.5021000000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.1573647000, 0.1609516000, 0.1700417000, 0.1899120000, 0.2308713000, 0.3260213000, 0.5959435000", \ - "0.1621888000, 0.1657815000, 0.1748657000, 0.1947748000, 0.2357463000, 0.3308724000, 0.6012473000", \ - "0.1735812000, 0.1772231000, 0.1862720000, 0.2062134000, 0.2472176000, 0.3424907000, 0.6122340000", \ - "0.1972499000, 0.2008295000, 0.2099271000, 0.2298493000, 0.2708291000, 0.3661530000, 0.6358452000", \ - "0.2317380000, 0.2353287000, 0.2443675000, 0.2643157000, 0.3054009000, 0.4006697000, 0.6708404000", \ - "0.2752306000, 0.2788328000, 0.2879702000, 0.3079271000, 0.3489881000, 0.4443744000, 0.7143677000", \ - "0.3185968000, 0.3222071000, 0.3313966000, 0.3512929000, 0.3926226000, 0.4879520000, 0.7577873000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.2527794000, 0.2590967000, 0.2753494000, 0.3125502000, 0.3979594000, 0.6252864000, 1.3179275000", \ - "0.2578280000, 0.2640837000, 0.2803663000, 0.3175337000, 0.4029010000, 0.6305272000, 1.3239397000", \ - "0.2705686000, 0.2769245000, 0.2931246000, 0.3302788000, 0.4156664000, 0.6431900000, 1.3367906000", \ - "0.3025889000, 0.3089105000, 0.3251145000, 0.3622635000, 0.4477602000, 0.6751206000, 1.3686180000", \ - "0.3736449000, 0.3799510000, 0.3961517000, 0.4333023000, 0.5188076000, 0.7461144000, 1.4396836000", \ - "0.4982149000, 0.5046044000, 0.5208730000, 0.5577809000, 0.6434338000, 0.8707353000, 1.5641687000", \ - "0.6987806000, 0.7052655000, 0.7214566000, 0.7586792000, 0.8441682000, 1.0719908000, 1.7663476000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.0228841000, 0.0250990000, 0.0307970000, 0.0449549000, 0.0813193000, 0.1900604000, 0.5461969000", \ - "0.0229289000, 0.0251762000, 0.0310164000, 0.0447913000, 0.0813798000, 0.1898828000, 0.5484523000", \ - "0.0229698000, 0.0253378000, 0.0311150000, 0.0447634000, 0.0813456000, 0.1892540000, 0.5457810000", \ - "0.0228627000, 0.0250699000, 0.0307911000, 0.0452524000, 0.0810695000, 0.1894744000, 0.5456501000", \ - "0.0230446000, 0.0252100000, 0.0311468000, 0.0451574000, 0.0814839000, 0.1899841000, 0.5483374000", \ - "0.0232158000, 0.0254693000, 0.0314239000, 0.0453699000, 0.0813315000, 0.1892553000, 0.5483339000", \ - "0.0237900000, 0.0257843000, 0.0316413000, 0.0459575000, 0.0818258000, 0.1901312000, 0.5457549000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.0385261000, 0.0428149000, 0.0556458000, 0.0891305000, 0.1850935000, 0.4959401000, 1.5018898000", \ - "0.0385329000, 0.0429636000, 0.0555773000, 0.0893176000, 0.1852944000, 0.4962028000, 1.4955704000", \ - "0.0382808000, 0.0428926000, 0.0556162000, 0.0893495000, 0.1853014000, 0.4960119000, 1.4962903000", \ - "0.0379461000, 0.0430001000, 0.0555678000, 0.0893651000, 0.1851135000, 0.4957527000, 1.4963836000", \ - "0.0380350000, 0.0429810000, 0.0555598000, 0.0893283000, 0.1850342000, 0.4956228000, 1.4957974000", \ - "0.0381053000, 0.0432748000, 0.0558459000, 0.0890969000, 0.1854204000, 0.4959261000, 1.5021004000", \ - "0.0387947000, 0.0437908000, 0.0567866000, 0.0900321000, 0.1856994000, 0.4964167000, 1.4974560000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.1902416000, 0.1942658000, 0.2044910000, 0.2264204000, 0.2706852000, 0.3693259000, 0.6409564000", \ - "0.1950891000, 0.1991124000, 0.2092618000, 0.2313054000, 0.2752972000, 0.3742852000, 0.6455905000", \ - "0.2064550000, 0.2104967000, 0.2206888000, 0.2426743000, 0.2868010000, 0.3854818000, 0.6573463000", \ - "0.2295737000, 0.2336145000, 0.2437082000, 0.2657413000, 0.3097587000, 0.4087386000, 0.6801067000", \ - "0.2644263000, 0.2684814000, 0.2786268000, 0.3006271000, 0.3449658000, 0.4436678000, 0.7152481000", \ - "0.3071369000, 0.3111751000, 0.3213463000, 0.3433700000, 0.3874997000, 0.4863515000, 0.7579519000", \ - "0.3468430000, 0.3509090000, 0.3610140000, 0.3829705000, 0.4272286000, 0.5262587000, 0.7980118000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.2831284000, 0.2895229000, 0.3058829000, 0.3430043000, 0.4284429000, 0.6555056000, 1.3526784000", \ - "0.2882196000, 0.2944481000, 0.3106778000, 0.3480329000, 0.4334373000, 0.6606263000, 1.3560215000", \ - "0.3007080000, 0.3070758000, 0.3233190000, 0.3605585000, 0.4459820000, 0.6727549000, 1.3667549000", \ - "0.3322717000, 0.3385683000, 0.3548422000, 0.3920428000, 0.4774746000, 0.7044634000, 1.3976398000", \ - "0.4046245000, 0.4110236000, 0.4272367000, 0.4644361000, 0.5498517000, 0.7770583000, 1.4686800000", \ - "0.5365640000, 0.5428743000, 0.5591194000, 0.5962979000, 0.6820663000, 0.9093383000, 1.6006307000", \ - "0.7511622000, 0.7576208000, 0.7739788000, 0.8116625000, 0.8974151000, 1.1250453000, 1.8188092000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.0274193000, 0.0299132000, 0.0358057000, 0.0501371000, 0.0871598000, 0.1950310000, 0.5508927000", \ - "0.0271244000, 0.0295025000, 0.0356855000, 0.0506294000, 0.0874699000, 0.1947838000, 0.5487532000", \ - "0.0271247000, 0.0295741000, 0.0357161000, 0.0505077000, 0.0868552000, 0.1950921000, 0.5507783000", \ - "0.0272766000, 0.0298196000, 0.0357765000, 0.0506030000, 0.0875050000, 0.1947538000, 0.5484682000", \ - "0.0272745000, 0.0295248000, 0.0356873000, 0.0505939000, 0.0873030000, 0.1950797000, 0.5503938000", \ - "0.0274355000, 0.0297430000, 0.0361804000, 0.0509712000, 0.0870816000, 0.1950222000, 0.5480667000", \ - "0.0274855000, 0.0299189000, 0.0363732000, 0.0512780000, 0.0877479000, 0.1952287000, 0.5507044000"); - } - related_pin : "B_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.0383036000, 0.0432059000, 0.0561093000, 0.0894522000, 0.1853782000, 0.4955326000, 1.4986018000", \ - "0.0383154000, 0.0430069000, 0.0563568000, 0.0895937000, 0.1852546000, 0.4959880000, 1.5011178000", \ - "0.0388167000, 0.0432113000, 0.0559534000, 0.0893005000, 0.1852941000, 0.4954920000, 1.5018063000", \ - "0.0384054000, 0.0430991000, 0.0559359000, 0.0893697000, 0.1852686000, 0.4958917000, 1.5020928000", \ - "0.0383500000, 0.0431443000, 0.0558999000, 0.0894009000, 0.1852430000, 0.4962020000, 1.4969461000", \ - "0.0385349000, 0.0432088000, 0.0563154000, 0.0898347000, 0.1856846000, 0.4962917000, 1.4966052000", \ - "0.0391797000, 0.0442415000, 0.0570765000, 0.0905811000, 0.1860427000, 0.4954906000, 1.4976829000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.1598173000, 0.1638519000, 0.1743019000, 0.1962798000, 0.2407123000, 0.3392568000, 0.6111329000", \ - "0.1652696000, 0.1693836000, 0.1795940000, 0.2017921000, 0.2460680000, 0.3447140000, 0.6165648000", \ - "0.1786036000, 0.1827034000, 0.1931899000, 0.2151967000, 0.2595266000, 0.3581260000, 0.6299790000", \ - "0.2102472000, 0.2143439000, 0.2246304000, 0.2465616000, 0.2907179000, 0.3894595000, 0.6612257000", \ - "0.2865555000, 0.2906560000, 0.3008815000, 0.3229104000, 0.3673447000, 0.4660102000, 0.7374907000", \ - "0.4445193000, 0.4493148000, 0.4614451000, 0.4863797000, 0.5337875000, 0.6324741000, 0.9041330000", \ - "0.7069135000, 0.7129505000, 0.7289426000, 0.7621238000, 0.8218978000, 0.9328686000, 1.2082414000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.1887677000, 0.1950973000, 0.2113530000, 0.2484586000, 0.3340250000, 0.5607224000, 1.2575807000", \ - "0.1928044000, 0.1991498000, 0.2153816000, 0.2526475000, 0.3380600000, 0.5647040000, 1.2609641000", \ - "0.2003611000, 0.2066366000, 0.2229717000, 0.2600735000, 0.3452555000, 0.5724318000, 1.2649932000", \ - "0.2149659000, 0.2213362000, 0.2375453000, 0.2747044000, 0.3600520000, 0.5872389000, 1.2831401000", \ - "0.2484626000, 0.2548141000, 0.2711601000, 0.3083679000, 0.3938191000, 0.6211956000, 1.3162265000", \ - "0.3061507000, 0.3130952000, 0.3308375000, 0.3700997000, 0.4583497000, 0.6867004000, 1.3827077000", \ - "0.3727611000, 0.3810062000, 0.4019429000, 0.4469528000, 0.5397266000, 0.7706166000, 1.4624113000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.0282668000, 0.0311029000, 0.0371216000, 0.0516748000, 0.0871658000, 0.1947900000, 0.5500424000", \ - "0.0285659000, 0.0310490000, 0.0370838000, 0.0510109000, 0.0875982000, 0.1950513000, 0.5505449000", \ - "0.0287031000, 0.0312649000, 0.0367697000, 0.0518010000, 0.0875152000, 0.1950106000, 0.5505739000", \ - "0.0282909000, 0.0307017000, 0.0368258000, 0.0510956000, 0.0876761000, 0.1949243000, 0.5506350000", \ - "0.0285330000, 0.0310691000, 0.0369758000, 0.0516461000, 0.0877727000, 0.1946649000, 0.5492950000", \ - "0.0375176000, 0.0402398000, 0.0465784000, 0.0598146000, 0.0928117000, 0.1970833000, 0.5512052000", \ - "0.0571486000, 0.0606160000, 0.0682700000, 0.0850498000, 0.1189475000, 0.2144595000, 0.5528048000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.0380964000, 0.0428955000, 0.0561805000, 0.0894818000, 0.1854534000, 0.4954516000, 1.4986251000", \ - "0.0384278000, 0.0431573000, 0.0562713000, 0.0894688000, 0.1854229000, 0.4952411000, 1.4995670000", \ - "0.0382777000, 0.0428536000, 0.0560058000, 0.0894434000, 0.1851887000, 0.4961691000, 1.4971454000", \ - "0.0380120000, 0.0432103000, 0.0561318000, 0.0894357000, 0.1853646000, 0.4962098000, 1.5020960000", \ - "0.0390875000, 0.0436740000, 0.0566371000, 0.0898737000, 0.1854331000, 0.4960641000, 1.5009617000", \ - "0.0436847000, 0.0487500000, 0.0615478000, 0.0959124000, 0.1896933000, 0.4976875000, 1.5015713000", \ - "0.0566193000, 0.0618545000, 0.0758456000, 0.1087840000, 0.2000980000, 0.5015577000, 1.4961996000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.1683951000, 0.1726098000, 0.1830781000, 0.2055305000, 0.2501211000, 0.3491307000, 0.6210488000", \ - "0.1736389000, 0.1778304000, 0.1883309000, 0.2108138000, 0.2553301000, 0.3543986000, 0.6265743000", \ - "0.1866492000, 0.1908418000, 0.2013090000, 0.2237913000, 0.2683676000, 0.3674482000, 0.6395775000", \ - "0.2193963000, 0.2234641000, 0.2339336000, 0.2562770000, 0.3009491000, 0.4002638000, 0.6722199000", \ - "0.2954966000, 0.2988508000, 0.3093364000, 0.3317075000, 0.3763724000, 0.4756442000, 0.7477292000", \ - "0.4587966000, 0.4626010000, 0.4744777000, 0.4993453000, 0.5458428000, 0.6455589000, 0.9173171000", \ - "0.7298397000, 0.7363023000, 0.7520936000, 0.7849549000, 0.8443329000, 0.9546879000, 1.2302002000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.1953275000, 0.2017336000, 0.2179646000, 0.2550882000, 0.3405206000, 0.5667204000, 1.2619501000", \ - "0.1993508000, 0.2057088000, 0.2219721000, 0.2591539000, 0.3443727000, 0.5714159000, 1.2637142000", \ - "0.2068414000, 0.2132153000, 0.2295119000, 0.2664806000, 0.3518985000, 0.5786731000, 1.2693565000", \ - "0.2200260000, 0.2263735000, 0.2426848000, 0.2797658000, 0.3651220000, 0.5921270000, 1.2871453000", \ - "0.2463788000, 0.2527667000, 0.2691530000, 0.3063540000, 0.3916073000, 0.6189575000, 1.3096710000", \ - "0.2907794000, 0.2976751000, 0.3152830000, 0.3546412000, 0.4424688000, 0.6707028000, 1.3618275000", \ - "0.3433510000, 0.3511770000, 0.3714923000, 0.4156097000, 0.5082783000, 0.7394841000, 1.4317426000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.0294619000, 0.0319237000, 0.0379932000, 0.0529994000, 0.0889417000, 0.1954931000, 0.5500668000", \ - "0.0294984000, 0.0320133000, 0.0384155000, 0.0529450000, 0.0887512000, 0.1958557000, 0.5509372000", \ - "0.0297860000, 0.0322728000, 0.0379766000, 0.0529715000, 0.0891193000, 0.1954438000, 0.5509813000", \ - "0.0297407000, 0.0318504000, 0.0386461000, 0.0525376000, 0.0890384000, 0.1958564000, 0.5511553000", \ - "0.0297402000, 0.0317773000, 0.0381027000, 0.0530488000, 0.0888589000, 0.1960245000, 0.5498464000", \ - "0.0375904000, 0.0403250000, 0.0467201000, 0.0599591000, 0.0941927000, 0.1975218000, 0.5488145000", \ - "0.0574096000, 0.0610890000, 0.0683878000, 0.0847378000, 0.1185292000, 0.2141488000, 0.5532981000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015601300, 0.0048680000, 0.0151894000, 0.0473948000, 0.1478840000, 0.4614360000"); - values("0.0381973000, 0.0429556000, 0.0561017000, 0.0892551000, 0.1853523000, 0.4953188000, 1.5003969000", \ - "0.0381526000, 0.0430599000, 0.0561384000, 0.0894586000, 0.1854165000, 0.4961984000, 1.4959740000", \ - "0.0382099000, 0.0431736000, 0.0560315000, 0.0893783000, 0.1852860000, 0.4962690000, 1.5005754000", \ - "0.0380948000, 0.0429070000, 0.0560820000, 0.0895317000, 0.1853159000, 0.4961254000, 1.5015634000", \ - "0.0390031000, 0.0439246000, 0.0564985000, 0.0898164000, 0.1855614000, 0.4953618000, 1.4961868000", \ - "0.0432423000, 0.0483798000, 0.0614864000, 0.0947681000, 0.1891534000, 0.4961911000, 1.5007009000", \ - "0.0529029000, 0.0581981000, 0.0723372000, 0.1067401000, 0.1991793000, 0.5006260000, 1.4948426000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__buf_1") { - leakage_power () { - value : 0.0011810000; - when : "A"; - } - leakage_power () { - value : 0.0011810000; - when : "!A"; - } - area : 3.7536000000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0011810180; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0021030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020150000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0021910000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("0.0108054000, 0.0097834000, 0.0069418000, -0.000808000, -0.020725600, -0.071111700, -0.198366400", \ - "0.0105490000, 0.0095435000, 0.0066983000, -0.001032800, -0.020903300, -0.071322800, -0.198572800", \ - "0.0103270000, 0.0093022000, 0.0064758000, -0.001239900, -0.021126200, -0.071476300, -0.198740200", \ - "0.0102095000, 0.0091712000, 0.0063456000, -0.001333800, -0.021148000, -0.071505900, -0.198745900", \ - "0.0100561000, 0.0088657000, 0.0061667000, -0.001306700, -0.021101500, -0.071399700, -0.198641200", \ - "0.0109558000, 0.0097739000, 0.0068849000, -0.001026800, -0.020488100, -0.070778700, -0.197991500", \ - "0.0130202000, 0.0117825000, 0.0085657000, 0.0007253000, -0.018986900, -0.069230600, -0.196265500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("0.0055419000, 0.0068503000, 0.0100473000, 0.0178595000, 0.0375954000, 0.0871212000, 0.2131056000", \ - "0.0053503000, 0.0066616000, 0.0098534000, 0.0176911000, 0.0374077000, 0.0870674000, 0.2132897000", \ - "0.0050781000, 0.0063676000, 0.0095487000, 0.0174572000, 0.0372335000, 0.0874168000, 0.2141486000", \ - "0.0048520000, 0.0061368000, 0.0093023000, 0.0171699000, 0.0372440000, 0.0873148000, 0.2129033000", \ - "0.0049546000, 0.0062219000, 0.0091832000, 0.0171287000, 0.0369737000, 0.0872763000, 0.2114916000", \ - "0.0056157000, 0.0068724000, 0.0099311000, 0.0177538000, 0.0375448000, 0.0874654000, 0.2136360000", \ - "0.0076352000, 0.0087407000, 0.0118673000, 0.0196942000, 0.0394077000, 0.0893026000, 0.2147773000"); - } - } - max_capacitance : 0.1300150000; - max_transition : 1.5061030000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0593383000, 0.0643396000, 0.0749824000, 0.0973634000, 0.1492992000, 0.2787939000, 0.6061452000", \ - "0.0642426000, 0.0692853000, 0.0799011000, 0.1024859000, 0.1544812000, 0.2841778000, 0.6104910000", \ - "0.0775104000, 0.0825737000, 0.0932367000, 0.1157306000, 0.1676768000, 0.2973737000, 0.6245092000", \ - "0.1077616000, 0.1129200000, 0.1237785000, 0.1465237000, 0.1985575000, 0.3280511000, 0.6562919000", \ - "0.1578797000, 0.1640413000, 0.1763553000, 0.2008799000, 0.2542707000, 0.3828144000, 0.7146603000", \ - "0.2342690000, 0.2423609000, 0.2577376000, 0.2850985000, 0.3398958000, 0.4700387000, 0.7969230000", \ - "0.3559006000, 0.3662604000, 0.3859527000, 0.4198348000, 0.4788887000, 0.6104675000, 0.9385767000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0490569000, 0.0558265000, 0.0717435000, 0.1104504000, 0.2075838000, 0.4514763000, 1.0684844000", \ - "0.0536074000, 0.0603471000, 0.0762774000, 0.1149897000, 0.2116108000, 0.4563259000, 1.0785807000", \ - "0.0642037000, 0.0708758000, 0.0866203000, 0.1255204000, 0.2235220000, 0.4693128000, 1.0892596000", \ - "0.0819207000, 0.0888360000, 0.1049964000, 0.1440040000, 0.2421219000, 0.4876812000, 1.1038942000", \ - "0.1041913000, 0.1115879000, 0.1278155000, 0.1672534000, 0.2646072000, 0.5103125000, 1.1274786000", \ - "0.1259206000, 0.1349041000, 0.1524062000, 0.1916016000, 0.2898473000, 0.5344468000, 1.1529084000", \ - "0.1292959000, 0.1413072000, 0.1638067000, 0.2061120000, 0.3024876000, 0.5484357000, 1.1667728000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0148871000, 0.0193113000, 0.0295451000, 0.0550503000, 0.1221799000, 0.2947354000, 0.7299648000", \ - "0.0149669000, 0.0193011000, 0.0296604000, 0.0550240000, 0.1216383000, 0.2941434000, 0.7294372000", \ - "0.0148895000, 0.0193335000, 0.0296440000, 0.0552030000, 0.1215139000, 0.2953373000, 0.7259581000", \ - "0.0160523000, 0.0203110000, 0.0303481000, 0.0553778000, 0.1213409000, 0.2931113000, 0.7299997000", \ - "0.0210846000, 0.0252021000, 0.0349793000, 0.0592462000, 0.1230172000, 0.2943069000, 0.7270705000", \ - "0.0302076000, 0.0347994000, 0.0445488000, 0.0668841000, 0.1277289000, 0.2943685000, 0.7330043000", \ - "0.0437670000, 0.0497366000, 0.0604570000, 0.0823759000, 0.1373515000, 0.2985862000, 0.7278568000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0199796000, 0.0284097000, 0.0500678000, 0.1054104000, 0.2462960000, 0.6007088000, 1.4976728000", \ - "0.0200424000, 0.0284104000, 0.0500598000, 0.1055191000, 0.2465992000, 0.6007418000, 1.4982898000", \ - "0.0201431000, 0.0285117000, 0.0500371000, 0.1053906000, 0.2469039000, 0.6047496000, 1.5061026000", \ - "0.0215548000, 0.0297102000, 0.0507718000, 0.1054864000, 0.2473741000, 0.6047852000, 1.4980543000", \ - "0.0249477000, 0.0322865000, 0.0523515000, 0.1064977000, 0.2460664000, 0.6010622000, 1.4926799000", \ - "0.0326877000, 0.0396409000, 0.0572465000, 0.1079538000, 0.2475174000, 0.5992588000, 1.5009650000", \ - "0.0467759000, 0.0543678000, 0.0709174000, 0.1149097000, 0.2482231000, 0.6031189000, 1.4938381000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__buf_12") { - leakage_power () { - value : 0.0081264000; - when : "!A"; - } - leakage_power () { - value : 0.0106673000; - when : "A"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0093968470; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0091870000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0087510000; - max_transition : 5.0000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0096230000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000"); - index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000"); - values("0.0845295000, 0.0820553000, 0.0701132000, 0.0134388000, -0.274977100, -1.642889500, -7.997506400", \ - "0.0835640000, 0.0811442000, 0.0692298000, 0.0126691000, -0.275680200, -1.643526800, -7.998352900", \ - "0.0827306000, 0.0802787000, 0.0681703000, 0.0113923000, -0.276833300, -1.644430300, -7.999068500", \ - "0.0829302000, 0.0801578000, 0.0674770000, 0.0093441000, -0.278229500, -1.645021500, -7.999331300", \ - "0.0882843000, 0.0850476000, 0.0711066000, 0.0084375000, -0.278058300, -1.643332200, -7.997528100", \ - "0.1053451000, 0.1017648000, 0.0863334000, 0.0220579000, -0.272025800, -1.636704600, -7.990387100", \ - "0.1425625000, 0.1384592000, 0.1211598000, 0.0513344000, -0.239387800, -1.605944500, -7.957940800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000"); - index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000"); - values("0.0620334000, 0.0654014000, 0.0806191000, 0.1479065000, 0.4431781000, 1.8013914000, 8.0753762000", \ - "0.0614370000, 0.0647659000, 0.0799535000, 0.1471886000, 0.4426693000, 1.8008019000, 8.0748341000", \ - "0.0605373000, 0.0637722000, 0.0787201000, 0.1451670000, 0.4413537000, 1.8015678000, 8.0855365000", \ - "0.0600135000, 0.0631292000, 0.0775817000, 0.1420690000, 0.4385360000, 1.7996347000, 8.1049749000", \ - "0.0635240000, 0.0665334000, 0.0803772000, 0.1440405000, 0.4380485000, 1.7947636000, 8.0981878000", \ - "0.0727430000, 0.0754100000, 0.0887289000, 0.1520489000, 0.4473673000, 1.7952139000, 8.0980638000", \ - "0.1104480000, 0.1124938000, 0.1239041000, 0.1845408000, 0.4746102000, 1.8290743000, 8.1093992000"); - } - } - max_capacitance : 5.0000000000; - max_transition : 5.3987540000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000"); - index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000"); - values("0.0949892000, 0.0969633000, 0.1046134000, 0.1279145000, 0.1966168000, 0.4678506000, 1.7175281000", \ - "0.1025689000, 0.1045411000, 0.1122052000, 0.1354764000, 0.2042515000, 0.4756746000, 1.7231814000", \ - "0.1255348000, 0.1275642000, 0.1351161000, 0.1582046000, 0.2269068000, 0.4986806000, 1.7456755000", \ - "0.1927474000, 0.1947159000, 0.2023256000, 0.2255138000, 0.2945059000, 0.5656756000, 1.8136015000", \ - "0.3307603000, 0.3334811000, 0.3438259000, 0.3733021000, 0.4485304000, 0.7199566000, 1.9725541000", \ - "0.5829514000, 0.5866292000, 0.6008373000, 0.6424990000, 0.7366560000, 1.0135535000, 2.2596505000", \ - "1.0913156000, 1.0959489000, 1.1145755000, 1.1709795000, 1.3002396000, 1.6008217000, 2.8480049000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000"); - index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000"); - values("0.0650638000, 0.0674117000, 0.0768585000, 0.1114816000, 0.2514564000, 0.8908578000, 3.8409733000", \ - "0.0713476000, 0.0736797000, 0.0832443000, 0.1178472000, 0.2578924000, 0.8969483000, 3.8472141000", \ - "0.0898608000, 0.0921825000, 0.1015178000, 0.1358464000, 0.2761783000, 0.9189151000, 3.8970014000", \ - "0.1242959000, 0.1267950000, 0.1366756000, 0.1717450000, 0.3125261000, 0.9535477000, 3.9106594000", \ - "0.1646814000, 0.1679549000, 0.1801210000, 0.2176404000, 0.3586583000, 0.9978574000, 3.9592343000", \ - "0.1720261000, 0.1766313000, 0.1941311000, 0.2431584000, 0.3871028000, 1.0229786000, 3.9844092000", \ - "0.0189083000, 0.0248574000, 0.0487934000, 0.1204249000, 0.2900516000, 0.9268094000, 3.8755106000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000"); - index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000"); - values("0.0188065000, 0.0200502000, 0.0251049000, 0.0431682000, 0.1186776000, 0.4858429000, 2.2073519000", \ - "0.0187993000, 0.0200397000, 0.0250788000, 0.0432387000, 0.1187297000, 0.4849536000, 2.2126707000", \ - "0.0188645000, 0.0201678000, 0.0250437000, 0.0432999000, 0.1187651000, 0.4858813000, 2.2136801000", \ - "0.0201325000, 0.0213063000, 0.0260948000, 0.0441702000, 0.1188103000, 0.4859662000, 2.2089581000", \ - "0.0332266000, 0.0344399000, 0.0401701000, 0.0573838000, 0.1266752000, 0.4862756000, 2.2204474000", \ - "0.0562236000, 0.0576208000, 0.0649062000, 0.0869760000, 0.1514301000, 0.4916945000, 2.2118438000", \ - "0.0951367000, 0.0971284000, 0.1053148000, 0.1360943000, 0.2064867000, 0.5099419000, 2.2162143000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000"); - index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000"); - values("0.0189041000, 0.0209278000, 0.0299881000, 0.0706864000, 0.2656687000, 1.1809174000, 5.3821241000", \ - "0.0189170000, 0.0209368000, 0.0299694000, 0.0706965000, 0.2656746000, 1.1809158000, 5.3803393000", \ - "0.0190231000, 0.0210741000, 0.0301710000, 0.0707973000, 0.2662922000, 1.1822107000, 5.3935314000", \ - "0.0222837000, 0.0242461000, 0.0329869000, 0.0725724000, 0.2658119000, 1.1829101000, 5.3965397000", \ - "0.0320236000, 0.0342046000, 0.0422819000, 0.0783372000, 0.2669940000, 1.1765062000, 5.3974536000", \ - "0.0512623000, 0.0543692000, 0.0641832000, 0.0986279000, 0.2710747000, 1.1776644000, 5.3987543000", \ - "0.0857587000, 0.0893657000, 0.1039385000, 0.1483427000, 0.2969043000, 1.1828638000, 5.3802660000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__buf_16") { - leakage_power () { - value : 0.0139726000; - when : "A"; - } - leakage_power () { - value : 0.0113831000; - when : "!A"; - } - area : 27.526400000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0126778200; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0136390000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0129700000; - max_transition : 5.0000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0143080000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000"); - index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000"); - values("0.1246978000, 0.1220913000, 0.1104641000, 0.0538971000, -0.234671400, -1.602576300, -7.957318900", \ - "0.1234288000, 0.1208317000, 0.1090858000, 0.0527313000, -0.235890900, -1.603727600, -7.958118600", \ - "0.1221183000, 0.1195552000, 0.1076350000, 0.0510193000, -0.237189400, -1.604830300, -7.959301700", \ - "0.1212383000, 0.1185006000, 0.1058806000, 0.0488954000, -0.238548800, -1.605060900, -7.959295600", \ - "0.1239764000, 0.1209791000, 0.1070879000, 0.0475257000, -0.238189700, -1.603509800, -7.957640700", \ - "0.1459304000, 0.1424503000, 0.1272265000, 0.0623106000, -0.230399300, -1.592447100, -7.946090100", \ - "0.1995127000, 0.1953813000, 0.1777306000, 0.1065620000, -0.191103100, -1.558831100, -7.903618900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000"); - index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000"); - values("0.0855181000, 0.0889249000, 0.1043875000, 0.1718084000, 0.4658471000, 1.8193522000, 8.1144291000", \ - "0.0845629000, 0.0879728000, 0.1034413000, 0.1709328000, 0.4649818000, 1.8206075000, 8.1002763000", \ - "0.0832347000, 0.0865134000, 0.1015727000, 0.1685777000, 0.4632598000, 1.8152654000, 8.0982328000", \ - "0.0817534000, 0.0849143000, 0.0993675000, 0.1653603000, 0.4608835000, 1.8179774000, 8.1146199000", \ - "0.0859527000, 0.0889201000, 0.1029112000, 0.1669121000, 0.4611070000, 1.8167909000, 8.0971373000", \ - "0.0989076000, 0.1016914000, 0.1149179000, 0.1785657000, 0.4730205000, 1.8277568000, 8.1082682000", \ - "0.1509171000, 0.1532284000, 0.1643944000, 0.2246167000, 0.5135391000, 1.8656588000, 8.1511384000"); - } - } - max_capacitance : 5.0000000000; - max_transition : 5.0073100000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000"); - index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000"); - values("0.0988300000, 0.1005500000, 0.1074841000, 0.1299030000, 0.1986581000, 0.4693248000, 1.7130586000", \ - "0.1062454000, 0.1079605000, 0.1148681000, 0.1373817000, 0.2061646000, 0.4769360000, 1.7191242000", \ - "0.1293577000, 0.1310702000, 0.1379407000, 0.1604263000, 0.2291486000, 0.5008881000, 1.7450741000", \ - "0.1961166000, 0.1978042000, 0.2046897000, 0.2266695000, 0.2958042000, 0.5663478000, 1.8105072000", \ - "0.3343349000, 0.3366060000, 0.3456134000, 0.3732534000, 0.4479846000, 0.7205929000, 1.9640718000", \ - "0.5895854000, 0.5927187000, 0.6045447000, 0.6425749000, 0.7348270000, 1.0127071000, 2.2523436000", \ - "1.1099183000, 1.1135470000, 1.1288707000, 1.1788674000, 1.3022902000, 1.6021292000, 2.8411489000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000"); - index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000"); - values("0.0699465000, 0.0720265000, 0.0806863000, 0.1123300000, 0.2371367000, 0.8045733000, 3.4296477000", \ - "0.0761547000, 0.0782439000, 0.0868256000, 0.1185513000, 0.2433967000, 0.8112872000, 3.4485761000", \ - "0.0941300000, 0.0961656000, 0.1046878000, 0.1361711000, 0.2615364000, 0.8345354000, 3.4633343000", \ - "0.1264587000, 0.1286038000, 0.1373975000, 0.1696380000, 0.2955609000, 0.8647546000, 3.4934911000", \ - "0.1638667000, 0.1664669000, 0.1767662000, 0.2109544000, 0.3371712000, 0.9042485000, 3.5293068000", \ - "0.1643597000, 0.1679857000, 0.1822371000, 0.2262780000, 0.3553059000, 0.9229272000, 3.5579750000", \ - "-0.006149700, -0.001543900, 0.0176675000, 0.0804246000, 0.2339132000, 0.7999581000, 3.4244187000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000"); - index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000"); - values("0.0215181000, 0.0225003000, 0.0273300000, 0.0470790000, 0.1348187000, 0.5550561000, 2.5366818000", \ - "0.0214673000, 0.0225938000, 0.0273514000, 0.0471413000, 0.1350093000, 0.5551501000, 2.5337594000", \ - "0.0214186000, 0.0225075000, 0.0274148000, 0.0472801000, 0.1346374000, 0.5555522000, 2.5332130000", \ - "0.0227291000, 0.0238694000, 0.0285113000, 0.0481077000, 0.1351350000, 0.5548976000, 2.5356408000", \ - "0.0361482000, 0.0374594000, 0.0420970000, 0.0605439000, 0.1418320000, 0.5563526000, 2.5355942000", \ - "0.0600952000, 0.0615765000, 0.0678731000, 0.0893322000, 0.1646243000, 0.5619279000, 2.5323117000", \ - "0.1011759000, 0.1028323000, 0.1101437000, 0.1361253000, 0.2153841000, 0.5790518000, 2.5394404000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000"); - index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000"); - values("0.0230588000, 0.0248546000, 0.0332228000, 0.0712671000, 0.2512469000, 1.0954657000, 5.0065481000", \ - "0.0230843000, 0.0249191000, 0.0332189000, 0.0712122000, 0.2514922000, 1.0968062000, 4.9953122000", \ - "0.0231802000, 0.0249681000, 0.0333393000, 0.0712893000, 0.2516783000, 1.0954793000, 4.9848872000", \ - "0.0260728000, 0.0278695000, 0.0359157000, 0.0735272000, 0.2517448000, 1.0954602000, 5.0073101000", \ - "0.0356272000, 0.0372748000, 0.0444349000, 0.0786669000, 0.2530062000, 1.0931542000, 4.9964970000", \ - "0.0557360000, 0.0578185000, 0.0667516000, 0.0981551000, 0.2580237000, 1.0953476000, 4.9966862000", \ - "0.0922075000, 0.0948970000, 0.1066020000, 0.1470978000, 0.2859251000, 1.0980127000, 5.0024260000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__buf_2") { - leakage_power () { - value : 0.0022662000; - when : "A"; - } - leakage_power () { - value : 0.0056021000; - when : "!A"; - } - area : 5.0048000000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0039341160; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0017270000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016470000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018070000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000"); - values("0.0140552000, 0.0126442000, 0.0087911000, -0.003411300, -0.042009300, -0.156917700, -0.493912600", \ - "0.0139263000, 0.0125071000, 0.0086699000, -0.003513500, -0.042160300, -0.157048900, -0.493994300", \ - "0.0137287000, 0.0123189000, 0.0084174000, -0.003752100, -0.042330400, -0.157214000, -0.494144400", \ - "0.0135482000, 0.0121169000, 0.0081683000, -0.004023800, -0.042588200, -0.157377600, -0.494321700", \ - "0.0135475000, 0.0119986000, 0.0079343000, -0.004322900, -0.042768800, -0.157394400, -0.494357600", \ - "0.0152041000, 0.0135653000, 0.0089886000, -0.004284200, -0.042783600, -0.157390300, -0.494036900", \ - "0.0175151000, 0.0157242000, 0.0108343000, -0.002649900, -0.041709900, -0.156306300, -0.492936900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000"); - values("0.0116392000, 0.0133460000, 0.0181670000, 0.0317444000, 0.0708086000, 0.1847454000, 0.5207413000", \ - "0.0115450000, 0.0132567000, 0.0180731000, 0.0317090000, 0.0707365000, 0.1848036000, 0.5181148000", \ - "0.0113765000, 0.0130574000, 0.0178759000, 0.0315052000, 0.0706164000, 0.1845360000, 0.5185803000", \ - "0.0112172000, 0.0128696000, 0.0176085000, 0.0310245000, 0.0702931000, 0.1844760000, 0.5164646000", \ - "0.0111513000, 0.0127277000, 0.0175003000, 0.0308402000, 0.0697736000, 0.1841383000, 0.5173316000", \ - "0.0119311000, 0.0135030000, 0.0180230000, 0.0312097000, 0.0699882000, 0.1832520000, 0.5201987000", \ - "0.0132045000, 0.0146753000, 0.0190707000, 0.0324496000, 0.0710814000, 0.1853545000, 0.5186740000"); - } - } - max_capacitance : 0.3158670000; - max_transition : 1.5103890000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000"); - values("0.0893825000, 0.0940128000, 0.1043020000, 0.1253087000, 0.1706670000, 0.2867790000, 0.6215225000", \ - "0.0947109000, 0.0992768000, 0.1095723000, 0.1305028000, 0.1759432000, 0.2920352000, 0.6262424000", \ - "0.1073098000, 0.1122147000, 0.1224900000, 0.1435736000, 0.1888902000, 0.3050100000, 0.6394017000", \ - "0.1390839000, 0.1436487000, 0.1538906000, 0.1749740000, 0.2204538000, 0.3366603000, 0.6718233000", \ - "0.2069192000, 0.2119847000, 0.2231280000, 0.2451737000, 0.2912927000, 0.4071439000, 0.7431974000", \ - "0.3151437000, 0.3217471000, 0.3360783000, 0.3632810000, 0.4144178000, 0.5330332000, 0.8664172000", \ - "0.4806499000, 0.4891128000, 0.5079365000, 0.5444191000, 0.6065489000, 0.7308373000, 1.0635163000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000"); - values("0.0668910000, 0.0718924000, 0.0840012000, 0.1134072000, 0.1935354000, 0.4261705000, 1.1063915000", \ - "0.0716767000, 0.0766803000, 0.0887568000, 0.1182065000, 0.1984368000, 0.4311861000, 1.1152972000", \ - "0.0829645000, 0.0879570000, 0.0999870000, 0.1294499000, 0.2100037000, 0.4433682000, 1.1281723000", \ - "0.1086829000, 0.1137214000, 0.1257475000, 0.1551004000, 0.2355409000, 0.4675719000, 1.1647491000", \ - "0.1485626000, 0.1544699000, 0.1680141000, 0.1985122000, 0.2787439000, 0.5129941000, 1.1919699000", \ - "0.1975048000, 0.2056084000, 0.2226795000, 0.2560802000, 0.3372415000, 0.5701722000, 1.2502678000", \ - "0.2460262000, 0.2566468000, 0.2796226000, 0.3221350000, 0.4059333000, 0.6372368000, 1.3161603000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000"); - values("0.0178310000, 0.0207207000, 0.0278897000, 0.0455429000, 0.0939806000, 0.2427863000, 0.6972716000", \ - "0.0175943000, 0.0207175000, 0.0279071000, 0.0458368000, 0.0940654000, 0.2429276000, 0.6955793000", \ - "0.0178137000, 0.0205648000, 0.0279116000, 0.0457148000, 0.0938515000, 0.2421597000, 0.6948925000", \ - "0.0177391000, 0.0207459000, 0.0279198000, 0.0456478000, 0.0938481000, 0.2423421000, 0.6983182000", \ - "0.0218519000, 0.0246050000, 0.0314674000, 0.0480329000, 0.0950875000, 0.2430947000, 0.6911738000", \ - "0.0325958000, 0.0363413000, 0.0443101000, 0.0605935000, 0.1048121000, 0.2452953000, 0.6901063000", \ - "0.0498742000, 0.0548127000, 0.0644672000, 0.0838614000, 0.1254033000, 0.2553391000, 0.6907858000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000"); - values("0.0173427000, 0.0217460000, 0.0342817000, 0.0713277000, 0.1844091000, 0.5209731000, 1.5096145000", \ - "0.0172691000, 0.0217777000, 0.0342816000, 0.0713081000, 0.1846570000, 0.5218083000, 1.5055296000", \ - "0.0173301000, 0.0217210000, 0.0341901000, 0.0713260000, 0.1844059000, 0.5209821000, 1.5073524000", \ - "0.0181680000, 0.0224998000, 0.0347377000, 0.0715538000, 0.1849883000, 0.5212006000, 1.5081902000", \ - "0.0238874000, 0.0279114000, 0.0392879000, 0.0740700000, 0.1850683000, 0.5226318000, 1.5055001000", \ - "0.0337211000, 0.0383696000, 0.0494030000, 0.0810920000, 0.1869322000, 0.5191824000, 1.5103887000", \ - "0.0482771000, 0.0551313000, 0.0683274000, 0.0976699000, 0.1936813000, 0.5238303000, 1.4991499000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__buf_4") { - leakage_power () { - value : 0.0041507000; - when : "A"; - } - leakage_power () { - value : 0.0054587000; - when : "!A"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0048047400; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024000000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022760000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025240000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016118760, 0.0051962870, 0.0167515400, 0.0540028000, 0.1740916000, 0.5612281000"); - values("0.0250387000, 0.0233860000, 0.0180599000, 0.0018219000, -0.056422600, -0.250180600, -0.877232400", \ - "0.0248583000, 0.0231565000, 0.0179592000, 0.0015346000, -0.056581000, -0.250323600, -0.877487500", \ - "0.0245577000, 0.0228640000, 0.0176583000, 0.0013406000, -0.056846800, -0.250677000, -0.877688000", \ - "0.0244145000, 0.0227070000, 0.0173772000, 0.0008926000, -0.057188000, -0.250845800, -0.877855800", \ - "0.0246491000, 0.0229037000, 0.0176337000, 0.0007448000, -0.057488900, -0.251136400, -0.877964600", \ - "0.0275949000, 0.0256361000, 0.0191608000, 0.0006189000, -0.057703700, -0.250607900, -0.877240100", \ - "0.0316715000, 0.0295242000, 0.0237961000, 0.0044928000, -0.055795900, -0.249579000, -0.876104200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016118760, 0.0051962870, 0.0167515400, 0.0540028000, 0.1740916000, 0.5612281000"); - values("0.0222015000, 0.0241544000, 0.0303931000, 0.0496628000, 0.1100583000, 0.3028661000, 0.9189129000", \ - "0.0220592000, 0.0239981000, 0.0302094000, 0.0494518000, 0.1100018000, 0.3028923000, 0.9244028000", \ - "0.0218224000, 0.0237731000, 0.0299566000, 0.0493260000, 0.1096749000, 0.3025119000, 0.9233273000", \ - "0.0217116000, 0.0234869000, 0.0297142000, 0.0487619000, 0.1092315000, 0.3008271000, 0.9243422000", \ - "0.0215443000, 0.0233946000, 0.0294358000, 0.0484112000, 0.1084514000, 0.3008764000, 0.9181804000", \ - "0.0230364000, 0.0248108000, 0.0305407000, 0.0489520000, 0.1083488000, 0.3004039000, 0.9226661000", \ - "0.0249862000, 0.0266607000, 0.0324804000, 0.0509590000, 0.1104343000, 0.3028834000, 0.9232268000"); - } - } - max_capacitance : 0.5612280000; - max_transition : 1.5123900000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000"); - values("0.1033063000, 0.1066731000, 0.1151227000, 0.1343826000, 0.1753918000, 0.2799389000, 0.6006557000", \ - "0.1084570000, 0.1117917000, 0.1203476000, 0.1395818000, 0.1805146000, 0.2850405000, 0.6055256000", \ - "0.1211782000, 0.1244793000, 0.1334734000, 0.1526797000, 0.1937010000, 0.2982015000, 0.6186466000", \ - "0.1526276000, 0.1559945000, 0.1646440000, 0.1836851000, 0.2248794000, 0.3292693000, 0.6504507000", \ - "0.2244431000, 0.2279846000, 0.2368191000, 0.2561077000, 0.2976850000, 0.4024615000, 0.7229223000", \ - "0.3438407000, 0.3483518000, 0.3599956000, 0.3847228000, 0.4322217000, 0.5388950000, 0.8605761000", \ - "0.5304358000, 0.5363137000, 0.5516937000, 0.5844639000, 0.6445210000, 0.7617060000, 1.0810598000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000"); - values("0.0716590000, 0.0752438000, 0.0849344000, 0.1099593000, 0.1808649000, 0.4047891000, 1.1215164000", \ - "0.0763630000, 0.0799324000, 0.0896053000, 0.1146095000, 0.1853259000, 0.4086105000, 1.1279410000", \ - "0.0875039000, 0.0910776000, 0.1007494000, 0.1258084000, 0.1968163000, 0.4196898000, 1.1396052000", \ - "0.1130382000, 0.1164395000, 0.1261740000, 0.1512323000, 0.2221358000, 0.4449059000, 1.1665179000", \ - "0.1533121000, 0.1576147000, 0.1683870000, 0.1946475000, 0.2658182000, 0.4885611000, 1.2060772000", \ - "0.2017864000, 0.2074067000, 0.2210664000, 0.2507004000, 0.3234487000, 0.5466355000, 1.2618463000", \ - "0.2433957000, 0.2508014000, 0.2694802000, 0.3080674000, 0.3864523000, 0.6084016000, 1.3232415000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000"); - values("0.0199814000, 0.0220302000, 0.0275210000, 0.0415439000, 0.0806252000, 0.2066597000, 0.6386869000", \ - "0.0199761000, 0.0221205000, 0.0274545000, 0.0413562000, 0.0807757000, 0.2065725000, 0.6364259000", \ - "0.0201105000, 0.0220996000, 0.0275774000, 0.0418800000, 0.0804895000, 0.2068652000, 0.6375794000", \ - "0.0200461000, 0.0220183000, 0.0276151000, 0.0417760000, 0.0806683000, 0.2071212000, 0.6333999000", \ - "0.0228421000, 0.0247955000, 0.0302302000, 0.0433868000, 0.0818280000, 0.2071985000, 0.6406692000", \ - "0.0343573000, 0.0370809000, 0.0431339000, 0.0569227000, 0.0926200000, 0.2119176000, 0.6396464000", \ - "0.0530288000, 0.0561621000, 0.0646432000, 0.0811796000, 0.1164011000, 0.2261130000, 0.6370017000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016118800, 0.0051962900, 0.0167515000, 0.0540028000, 0.1740920000, 0.5612280000"); - values("0.0180426000, 0.0210630000, 0.0302983000, 0.0591957000, 0.1559694000, 0.4765683000, 1.5057069000", \ - "0.0181188000, 0.0211893000, 0.0303639000, 0.0592243000, 0.1561384000, 0.4762130000, 1.5111720000", \ - "0.0181283000, 0.0211047000, 0.0303460000, 0.0592145000, 0.1562200000, 0.4762723000, 1.5057129000", \ - "0.0187293000, 0.0218962000, 0.0308559000, 0.0594536000, 0.1560576000, 0.4761896000, 1.5123900000", \ - "0.0245098000, 0.0271357000, 0.0356889000, 0.0626464000, 0.1566824000, 0.4751168000, 1.5006379000", \ - "0.0343299000, 0.0375858000, 0.0459286000, 0.0712919000, 0.1600246000, 0.4747910000, 1.5061080000", \ - "0.0491897000, 0.0536030000, 0.0650059000, 0.0893642000, 0.1692217000, 0.4772340000, 1.4982489000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__buf_6") { - leakage_power () { - value : 0.0059433000; - when : "A"; - } - leakage_power () { - value : 0.0061571000; - when : "!A"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0060501820; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0046200000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043800000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0048590000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017047830, 0.0058125680, 0.0198183300, 0.0675718800, 0.2303907000, 0.7855322000"); - values("0.0410958000, 0.0394076000, 0.0335474000, 0.0137790000, -0.060156400, -0.322879300, -1.222024400", \ - "0.0407792000, 0.0390401000, 0.0332687000, 0.0135127000, -0.060484900, -0.323186100, -1.222363500", \ - "0.0402705000, 0.0386535000, 0.0327937000, 0.0129622000, -0.060995900, -0.323566900, -1.222733500", \ - "0.0400754000, 0.0385200000, 0.0324699000, 0.0124273000, -0.061502900, -0.323884600, -1.222882600", \ - "0.0399797000, 0.0380668000, 0.0318388000, 0.0115198000, -0.062351000, -0.324389800, -1.223039000", \ - "0.0439877000, 0.0419187000, 0.0351732000, 0.0141735000, -0.061384900, -0.322873800, -1.221288300", \ - "0.0509448000, 0.0485590000, 0.0411514000, 0.0181800000, -0.058425300, -0.320768500, -1.218104300"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017047830, 0.0058125680, 0.0198183300, 0.0675718800, 0.2303907000, 0.7855322000"); - values("0.0309284000, 0.0331016000, 0.0404483000, 0.0644458000, 0.1421501000, 0.4040732000, 1.2876311000", \ - "0.0307060000, 0.0328894000, 0.0402369000, 0.0641599000, 0.1420706000, 0.4038353000, 1.2873082000", \ - "0.0303114000, 0.0324686000, 0.0397652000, 0.0635606000, 0.1414501000, 0.4013511000, 1.2862776000", \ - "0.0298117000, 0.0318938000, 0.0390987000, 0.0624620000, 0.1405548000, 0.4012079000, 1.2861280000", \ - "0.0302840000, 0.0322450000, 0.0389291000, 0.0621197000, 0.1394295000, 0.3998940000, 1.2859619000", \ - "0.0321492000, 0.0340933000, 0.0409488000, 0.0637567000, 0.1394336000, 0.4010440000, 1.2929148000", \ - "0.0355326000, 0.0373323000, 0.0438561000, 0.0663298000, 0.1429672000, 0.4034557000, 1.2896164000"); - } - } - max_capacitance : 0.7855320000; - max_transition : 1.5036720000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017047800, 0.0058125700, 0.0198183000, 0.0675719000, 0.2303910000, 0.7855320000"); - values("0.0866196000, 0.0889450000, 0.0954202000, 0.1110403000, 0.1470002000, 0.2440858000, 0.5612475000", \ - "0.0920289000, 0.0943130000, 0.1007752000, 0.1165350000, 0.1524297000, 0.2495327000, 0.5667647000", \ - "0.1052675000, 0.1075627000, 0.1139968000, 0.1295865000, 0.1655161000, 0.2625742000, 0.5797202000", \ - "0.1371043000, 0.1394536000, 0.1459464000, 0.1615588000, 0.1975687000, 0.2948051000, 0.6131079000", \ - "0.2058970000, 0.2084441000, 0.2155304000, 0.2321438000, 0.2691912000, 0.3665685000, 0.6822245000", \ - "0.3174095000, 0.3207223000, 0.3297536000, 0.3511192000, 0.3937737000, 0.4946175000, 0.8121505000", \ - "0.4964400000, 0.5007537000, 0.5124683000, 0.5405323000, 0.5949274000, 0.7043303000, 1.0186356000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017047800, 0.0058125700, 0.0198183000, 0.0675719000, 0.2303910000, 0.7855320000"); - values("0.0607531000, 0.0633961000, 0.0712457000, 0.0931469000, 0.1584737000, 0.3750356000, 1.1094687000", \ - "0.0653147000, 0.0679577000, 0.0757964000, 0.0976782000, 0.1629046000, 0.3794187000, 1.1161272000", \ - "0.0764469000, 0.0790886000, 0.0868781000, 0.1086514000, 0.1741652000, 0.3911227000, 1.1252476000", \ - "0.0992592000, 0.1019290000, 0.1098215000, 0.1318524000, 0.1970181000, 0.4140646000, 1.1494384000", \ - "0.1310743000, 0.1341438000, 0.1428467000, 0.1657268000, 0.2315333000, 0.4479207000, 1.1835268000", \ - "0.1647555000, 0.1687765000, 0.1798396000, 0.2055263000, 0.2723498000, 0.4886884000, 1.2229964000", \ - "0.1813885000, 0.1867587000, 0.2016069000, 0.2345243000, 0.3061421000, 0.5213365000, 1.2557148000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017047800, 0.0058125700, 0.0198183000, 0.0675719000, 0.2303910000, 0.7855320000"); - values("0.0168567000, 0.0183581000, 0.0225054000, 0.0345517000, 0.0693052000, 0.1892649000, 0.6185099000", \ - "0.0168007000, 0.0182716000, 0.0226377000, 0.0344061000, 0.0693940000, 0.1891767000, 0.6183432000", \ - "0.0168569000, 0.0182181000, 0.0226735000, 0.0342659000, 0.0694258000, 0.1894607000, 0.6194768000", \ - "0.0169351000, 0.0184136000, 0.0226505000, 0.0344229000, 0.0693196000, 0.1894837000, 0.6139492000", \ - "0.0211247000, 0.0226393000, 0.0264643000, 0.0374248000, 0.0709852000, 0.1898038000, 0.6161411000", \ - "0.0315710000, 0.0334424000, 0.0382497000, 0.0500632000, 0.0814117000, 0.1945696000, 0.6160023000", \ - "0.0484980000, 0.0503664000, 0.0570122000, 0.0715602000, 0.1031100000, 0.2064109000, 0.6181992000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017047800, 0.0058125700, 0.0198183000, 0.0675719000, 0.2303910000, 0.7855320000"); - values("0.0167216000, 0.0190790000, 0.0266142000, 0.0520083000, 0.1410429000, 0.4512884000, 1.4982426000", \ - "0.0167189000, 0.0190796000, 0.0266139000, 0.0520775000, 0.1411037000, 0.4510127000, 1.5033604000", \ - "0.0166962000, 0.0190120000, 0.0266381000, 0.0520079000, 0.1408869000, 0.4492311000, 1.5001498000", \ - "0.0179411000, 0.0201847000, 0.0276287000, 0.0524867000, 0.1411491000, 0.4513689000, 1.4988819000", \ - "0.0223945000, 0.0247663000, 0.0316450000, 0.0551652000, 0.1419787000, 0.4501069000, 1.4984118000", \ - "0.0315071000, 0.0337916000, 0.0410130000, 0.0626233000, 0.1445939000, 0.4492556000, 1.5036725000", \ - "0.0461165000, 0.0489115000, 0.0575499000, 0.0793419000, 0.1525300000, 0.4508870000, 1.4987658000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__buf_8") { - leakage_power () { - value : 0.0076474000; - when : "A"; - } - leakage_power () { - value : 0.0071930000; - when : "!A"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0074201990; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0070070000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0066760000; - max_transition : 5.0000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0073370000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000"); - index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000"); - values("0.0594648000, 0.0569737000, 0.0451290000, -0.012488100, -0.304828900, -1.673602300, -8.028393200", \ - "0.0589364000, 0.0562515000, 0.0444163000, -0.013240900, -0.305520300, -1.674224500, -8.028995100", \ - "0.0581356000, 0.0555284000, 0.0434447000, -0.014452600, -0.306389600, -1.675074000, -8.029740200", \ - "0.0584278000, 0.0556579000, 0.0430897000, -0.015692200, -0.307194800, -1.675310300, -8.030067200", \ - "0.0627816000, 0.0596428000, 0.0459259000, -0.016393900, -0.306569300, -1.674148000, -8.028590900", \ - "0.0743088000, 0.0706798000, 0.0558629000, -0.008329800, -0.301726400, -1.667720100, -8.022229700", \ - "0.1021201000, 0.0982151000, 0.0810371000, 0.0136848000, -0.278867000, -1.645589300, -7.999159600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0281726900, 0.0793700500, 0.2236068000, 0.6299605000, 1.7747680000, 5.0000000000"); - index_2("0.0005000000, 0.0023207940, 0.0107721700, 0.0500000000, 0.2320794000, 1.0772170000, 5.0000000000"); - values("0.0427107000, 0.0460135000, 0.0610513000, 0.1266503000, 0.4200511000, 1.7760417000, 8.0648437000", \ - "0.0421951000, 0.0455223000, 0.0605754000, 0.1261224000, 0.4191578000, 1.7668657000, 8.0626328000", \ - "0.0414089000, 0.0446402000, 0.0591473000, 0.1245859000, 0.4166932000, 1.7659490000, 8.0627551000", \ - "0.0408571000, 0.0440200000, 0.0584826000, 0.1222705000, 0.4152294000, 1.7661451000, 8.0523737000", \ - "0.0430943000, 0.0460533000, 0.0599271000, 0.1230448000, 0.4155668000, 1.7649929000, 8.0577062000", \ - "0.0501280000, 0.0528782000, 0.0663153000, 0.1296669000, 0.4206021000, 1.7696591000, 8.0617707000", \ - "0.0791212000, 0.0814390000, 0.0932837000, 0.1536334000, 0.4446522000, 1.7951581000, 8.0824963000"); - } - } - max_capacitance : 5.0000000000; - max_transition : 7.6522390000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000"); - index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000"); - values("0.0898758000, 0.0926007000, 0.1023709000, 0.1310611000, 0.2217777000, 0.6103413000, 2.4076046000", \ - "0.0972142000, 0.0999246000, 0.1096444000, 0.1382519000, 0.2290637000, 0.6170997000, 2.4183229000", \ - "0.1199870000, 0.1226775000, 0.1323263000, 0.1607603000, 0.2517571000, 0.6393114000, 2.4340354000", \ - "0.1861122000, 0.1888561000, 0.1986590000, 0.2274883000, 0.3185937000, 0.7064965000, 2.5103484000", \ - "0.3178306000, 0.3216539000, 0.3352763000, 0.3713545000, 0.4680375000, 0.8571459000, 2.6518570000", \ - "0.5573670000, 0.5625915000, 0.5813042000, 0.6318730000, 0.7458290000, 1.1346160000, 2.9290783000", \ - "1.0403164000, 1.0467943000, 1.0714550000, 1.1408591000, 1.2921527000, 1.6904512000, 3.4862067000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000"); - index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000"); - values("0.0612234000, 0.0643212000, 0.0764559000, 0.1219139000, 0.3173798000, 1.2234274000, 5.3912519000", \ - "0.0676434000, 0.0707376000, 0.0829084000, 0.1283858000, 0.3240240000, 1.2232362000, 5.4256497000", \ - "0.0859690000, 0.0890238000, 0.1009935000, 0.1463633000, 0.3417019000, 1.2410657000, 5.4493232000", \ - "0.1199811000, 0.1233260000, 0.1360820000, 0.1824243000, 0.3783367000, 1.2818745000, 5.4515108000", \ - "0.1592457000, 0.1637711000, 0.1797083000, 0.2278973000, 0.4239317000, 1.3241658000, 5.4973266000", \ - "0.1652575000, 0.1717478000, 0.1941631000, 0.2547364000, 0.4509668000, 1.3530214000, 5.5215943000", \ - "0.0161652000, 0.0246055000, 0.0567909000, 0.1439944000, 0.3571018000, 1.2588504000, 5.4248739000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000"); - index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000"); - values("0.0176236000, 0.0191398000, 0.0256832000, 0.0505653000, 0.1598651000, 0.6960770000, 3.1832885000", \ - "0.0174651000, 0.0192454000, 0.0258406000, 0.0505902000, 0.1599342000, 0.6935716000, 3.1895941000", \ - "0.0176052000, 0.0191666000, 0.0257988000, 0.0505520000, 0.1597837000, 0.6934592000, 3.1853375000", \ - "0.0194796000, 0.0210842000, 0.0273309000, 0.0515301000, 0.1601077000, 0.6928711000, 3.1936236000", \ - "0.0322104000, 0.0339113000, 0.0413675000, 0.0636939000, 0.1657876000, 0.6944166000, 3.1828833000", \ - "0.0541379000, 0.0565577000, 0.0668154000, 0.0937494000, 0.1853528000, 0.6973335000, 3.1897851000", \ - "0.0914298000, 0.0943024000, 0.1068330000, 0.1424247000, 0.2337197000, 0.7069229000, 3.2030785000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0281727000, 0.0793701000, 0.2236070000, 0.6299610000, 1.7747700000, 5.0000000000"); - index_2("0.0005000000, 0.0023207900, 0.0107722000, 0.0500000000, 0.2320790000, 1.0772200000, 5.0000000000"); - values("0.0172973000, 0.0200772000, 0.0325186000, 0.0909194000, 0.3695902000, 1.6673350000, 7.6423667000", \ - "0.0172754000, 0.0200440000, 0.0325830000, 0.0909815000, 0.3701521000, 1.6571479000, 7.6522388000", \ - "0.0174418000, 0.0201777000, 0.0327355000, 0.0910592000, 0.3697503000, 1.6607066000, 7.6499953000", \ - "0.0212271000, 0.0239302000, 0.0357275000, 0.0922210000, 0.3689166000, 1.6622439000, 7.6486346000", \ - "0.0315809000, 0.0342105000, 0.0448285000, 0.0964010000, 0.3705766000, 1.6651750000, 7.6519324000", \ - "0.0500362000, 0.0540572000, 0.0683488000, 0.1137048000, 0.3724115000, 1.6607993000, 7.6407058000", \ - "0.0834987000, 0.0889313000, 0.1085243000, 0.1634299000, 0.3866372000, 1.6719754000, 7.6396258000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__bufbuf_16") { - leakage_power () { - value : 0.0239328000; - when : "A"; - } - leakage_power () { - value : 0.0149801000; - when : "!A"; - } - area : 32.531200000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0194564300; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023270000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022280000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024260000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0018976120, 0.0072018610, 0.0273326700, 0.1037336000, 0.3936923000, 1.4941500000"); - values("0.1474101000, 0.1454249000, 0.1376606000, 0.1084471000, -0.007331500, -0.473891700, -2.255758200", \ - "0.1469807000, 0.1449954000, 0.1375156000, 0.1082541000, -0.007473100, -0.473927400, -2.255953300", \ - "0.1469086000, 0.1448649000, 0.1372384000, 0.1078675000, -0.007891400, -0.474385200, -2.256254100", \ - "0.1466315000, 0.1446513000, 0.1369158000, 0.1075812000, -0.008099700, -0.474678600, -2.256488300", \ - "0.1457827000, 0.1437857000, 0.1362698000, 0.1069393000, -0.008778100, -0.475323300, -2.257129900", \ - "0.1459637000, 0.1439409000, 0.1362808000, 0.1071274000, -0.008640000, -0.475098000, -2.256979600", \ - "0.1544240000, 0.1522195000, 0.1443727000, 0.1140021000, -0.005838900, -0.473347500, -2.255301500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0018976120, 0.0072018610, 0.0273326700, 0.1037336000, 0.3936923000, 1.4941500000"); - values("0.1495313000, 0.1520217000, 0.1614888000, 0.1960418000, 0.3210555000, 0.7859663000, 2.5473034000", \ - "0.1493993000, 0.1518865000, 0.1612410000, 0.1958408000, 0.3209616000, 0.7862374000, 2.5507304000", \ - "0.1490077000, 0.1514989000, 0.1608586000, 0.1954886000, 0.3206630000, 0.7859705000, 2.5482003000", \ - "0.1484344000, 0.1509238000, 0.1602831000, 0.1949207000, 0.3201069000, 0.7854349000, 2.5476102000", \ - "0.1479547000, 0.1504256000, 0.1596407000, 0.1942405000, 0.3191846000, 0.7840812000, 2.5479596000", \ - "0.1496598000, 0.1520351000, 0.1609988000, 0.1946844000, 0.3201318000, 0.7841330000, 2.5473828000", \ - "0.1518713000, 0.1542938000, 0.1633180000, 0.1975048000, 0.3231260000, 0.7873442000, 2.5422669000"); - } - } - max_capacitance : 1.4941500000; - max_transition : 1.5046560000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018976100, 0.0072018600, 0.0273327000, 0.1037340000, 0.3936920000, 1.4941500000"); - values("0.2313378000, 0.2327983000, 0.2376243000, 0.2518812000, 0.2882345000, 0.3889778000, 0.7385356000", \ - "0.2364090000, 0.2378139000, 0.2426585000, 0.2570172000, 0.2932805000, 0.3941125000, 0.7438285000", \ - "0.2492266000, 0.2506430000, 0.2555318000, 0.2696920000, 0.3061902000, 0.4071641000, 0.7566485000", \ - "0.2792145000, 0.2806784000, 0.2855113000, 0.2997604000, 0.3361211000, 0.4368836000, 0.7865295000", \ - "0.3445286000, 0.3459369000, 0.3507604000, 0.3650124000, 0.4012688000, 0.5021324000, 0.8518321000", \ - "0.4488483000, 0.4502567000, 0.4550974000, 0.4692646000, 0.5057129000, 0.6063743000, 0.9559399000", \ - "0.6028557000, 0.6041662000, 0.6090033000, 0.6231710000, 0.6595744000, 0.7605003000, 1.1102111000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018976100, 0.0072018600, 0.0273327000, 0.1037340000, 0.3936920000, 1.4941500000"); - values("0.2093312000, 0.2110150000, 0.2168923000, 0.2351989000, 0.2912958000, 0.4876797000, 1.2264619000", \ - "0.2140891000, 0.2157667000, 0.2216443000, 0.2399657000, 0.2961085000, 0.4923698000, 1.2336416000", \ - "0.2253998000, 0.2270803000, 0.2329606000, 0.2512694000, 0.3073953000, 0.5037526000, 1.2449553000", \ - "0.2509577000, 0.2526387000, 0.2585185000, 0.2768283000, 0.3329456000, 0.5293386000, 1.2704503000", \ - "0.2940475000, 0.2957211000, 0.3015500000, 0.3198324000, 0.3758668000, 0.5728715000, 1.3126759000", \ - "0.3534062000, 0.3550784000, 0.3609299000, 0.3792586000, 0.4354436000, 0.6313116000, 1.3723520000", \ - "0.4274704000, 0.4291436000, 0.4349738000, 0.4532870000, 0.5094507000, 0.7058774000, 1.4439825000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018976100, 0.0072018600, 0.0273327000, 0.1037340000, 0.3936920000, 1.4941500000"); - values("0.0236894000, 0.0247142000, 0.0278469000, 0.0384577000, 0.0753844000, 0.2125321000, 0.7508694000", \ - "0.0237568000, 0.0246937000, 0.0278676000, 0.0385902000, 0.0754373000, 0.2124043000, 0.7500288000", \ - "0.0237927000, 0.0246833000, 0.0278534000, 0.0385808000, 0.0753530000, 0.2125150000, 0.7505235000", \ - "0.0237309000, 0.0247020000, 0.0278556000, 0.0387332000, 0.0753937000, 0.2125325000, 0.7512004000", \ - "0.0236238000, 0.0245528000, 0.0278648000, 0.0385974000, 0.0754468000, 0.2123832000, 0.7501548000", \ - "0.0237962000, 0.0247230000, 0.0279207000, 0.0385236000, 0.0753646000, 0.2122799000, 0.7495283000", \ - "0.0239330000, 0.0247262000, 0.0280481000, 0.0386180000, 0.0755388000, 0.2124390000, 0.7495301000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018976100, 0.0072018600, 0.0273327000, 0.1037340000, 0.3936920000, 1.4941500000"); - values("0.0251537000, 0.0265845000, 0.0319589000, 0.0515040000, 0.1247369000, 0.4109753000, 1.5035586000", \ - "0.0251441000, 0.0265886000, 0.0319735000, 0.0514637000, 0.1250828000, 0.4109833000, 1.5039944000", \ - "0.0251519000, 0.0265894000, 0.0319699000, 0.0514914000, 0.1249494000, 0.4110821000, 1.5017790000", \ - "0.0251522000, 0.0265890000, 0.0319710000, 0.0514941000, 0.1249038000, 0.4111081000, 1.5012412000", \ - "0.0250835000, 0.0265571000, 0.0319082000, 0.0514833000, 0.1250697000, 0.4110852000, 1.5025603000", \ - "0.0251675000, 0.0266334000, 0.0320330000, 0.0515147000, 0.1251340000, 0.4097112000, 1.5046560000", \ - "0.0252310000, 0.0266633000, 0.0320507000, 0.0515292000, 0.1250823000, 0.4110347000, 1.4997297000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__bufbuf_8") { - leakage_power () { - value : 0.0099004000; - when : "A"; - } - leakage_power () { - value : 0.0129496000; - when : "!A"; - } - area : 18.768000000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0114249900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0017490000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016680000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018300000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017569250, 0.0061735690, 0.0216929900, 0.0762259100, 0.2678464000, 0.9411718000"); - values("0.0727515000, 0.0708474000, 0.0646167000, 0.0424549000, -0.041114500, -0.350095700, -1.440605800", \ - "0.0725248000, 0.0706861000, 0.0644508000, 0.0422830000, -0.041216800, -0.350232800, -1.440711400", \ - "0.0723437000, 0.0705028000, 0.0642118000, 0.0421412000, -0.041423100, -0.350407800, -1.440923900", \ - "0.0722325000, 0.0704376000, 0.0641021000, 0.0419456000, -0.041548500, -0.350545000, -1.441192000", \ - "0.0720627000, 0.0702781000, 0.0638251000, 0.0417239000, -0.041778000, -0.350752900, -1.441252300", \ - "0.0718775000, 0.0701083000, 0.0637610000, 0.0416369000, -0.041772700, -0.350620900, -1.441130200", \ - "0.0775048000, 0.0756534000, 0.0691491000, 0.0455848000, -0.040494200, -0.349729400, -1.440143800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017569250, 0.0061735690, 0.0216929900, 0.0762259100, 0.2678464000, 0.9411718000"); - values("0.0738684000, 0.0761396000, 0.0839665000, 0.1101961000, 0.1992261000, 0.5063747000, 1.5865196000", \ - "0.0737092000, 0.0759427000, 0.0835598000, 0.1099101000, 0.1989303000, 0.5064782000, 1.5867404000", \ - "0.0735136000, 0.0757257000, 0.0835772000, 0.1097362000, 0.1987894000, 0.5056960000, 1.5860782000", \ - "0.0732252000, 0.0754318000, 0.0832730000, 0.1093970000, 0.1984439000, 0.5055548000, 1.5854233000", \ - "0.0728537000, 0.0751149000, 0.0829407000, 0.1093574000, 0.1982462000, 0.5054227000, 1.5786973000", \ - "0.0741746000, 0.0763539000, 0.0839697000, 0.1098292000, 0.1985952000, 0.5051759000, 1.5856453000", \ - "0.0751846000, 0.0774340000, 0.0848974000, 0.1108789000, 0.2005960000, 0.5075553000, 1.5821130000"); - } - } - max_capacitance : 0.9411720000; - max_transition : 1.5078310000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017569200, 0.0061735700, 0.0216930000, 0.0762259000, 0.2678460000, 0.9411720000"); - values("0.2141982000, 0.2162146000, 0.2221122000, 0.2373713000, 0.2734660000, 0.3713289000, 0.6980782000", \ - "0.2192626000, 0.2212116000, 0.2271486000, 0.2423382000, 0.2784238000, 0.3763581000, 0.7023069000", \ - "0.2321627000, 0.2341179000, 0.2400252000, 0.2552556000, 0.2912857000, 0.3891792000, 0.7149307000", \ - "0.2633625000, 0.2653575000, 0.2712550000, 0.2864770000, 0.3226062000, 0.4204098000, 0.7461811000", \ - "0.3254086000, 0.3273578000, 0.3332901000, 0.3484629000, 0.3845385000, 0.4824547000, 0.8084429000", \ - "0.4231850000, 0.4251879000, 0.4310712000, 0.4462963000, 0.4823389000, 0.5802440000, 0.9070406000", \ - "0.5745908000, 0.5765496000, 0.5824510000, 0.5976581000, 0.6337210000, 0.7316516000, 1.0565442000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017569200, 0.0061735700, 0.0216930000, 0.0762259000, 0.2678460000, 0.9411720000"); - values("0.2016824000, 0.2039658000, 0.2110887000, 0.2316601000, 0.2943241000, 0.5058314000, 1.2468719000", \ - "0.2063083000, 0.2085904000, 0.2156493000, 0.2362832000, 0.2988717000, 0.5098661000, 1.2526090000", \ - "0.2175453000, 0.2198435000, 0.2269441000, 0.2474806000, 0.3100996000, 0.5215748000, 1.2621486000", \ - "0.2401972000, 0.2424847000, 0.2495837000, 0.2701046000, 0.3327125000, 0.5440791000, 1.2855010000", \ - "0.2735165000, 0.2758117000, 0.2829253000, 0.3035711000, 0.3661646000, 0.5774453000, 1.3184689000", \ - "0.3155085000, 0.3177876000, 0.3249139000, 0.3455727000, 0.4082210000, 0.6192839000, 1.3635250000", \ - "0.3594220000, 0.3617098000, 0.3688172000, 0.3893588000, 0.4519666000, 0.6632265000, 1.4015875000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017569200, 0.0061735700, 0.0216930000, 0.0762259000, 0.2678460000, 0.9411720000"); - values("0.0195565000, 0.0206287000, 0.0245086000, 0.0360785000, 0.0714827000, 0.1960545000, 0.6536692000", \ - "0.0193121000, 0.0205648000, 0.0246504000, 0.0359459000, 0.0713573000, 0.1959872000, 0.6537353000", \ - "0.0195422000, 0.0207861000, 0.0245483000, 0.0359071000, 0.0714040000, 0.1960593000, 0.6525023000", \ - "0.0195541000, 0.0206393000, 0.0244901000, 0.0361055000, 0.0713206000, 0.1960286000, 0.6536122000", \ - "0.0193131000, 0.0205629000, 0.0245137000, 0.0359544000, 0.0713677000, 0.1960536000, 0.6536466000", \ - "0.0193625000, 0.0206145000, 0.0246819000, 0.0359592000, 0.0713932000, 0.1960067000, 0.6536972000", \ - "0.0196647000, 0.0209251000, 0.0246998000, 0.0360385000, 0.0715058000, 0.1961007000, 0.6537154000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017569200, 0.0061735700, 0.0216930000, 0.0762259000, 0.2678460000, 0.9411720000"); - values("0.0203702000, 0.0223586000, 0.0290474000, 0.0522239000, 0.1364620000, 0.4385152000, 1.5059857000", \ - "0.0204234000, 0.0223338000, 0.0290977000, 0.0522987000, 0.1364443000, 0.4381689000, 1.5078307000", \ - "0.0203694000, 0.0222895000, 0.0290732000, 0.0522715000, 0.1363736000, 0.4383329000, 1.5046806000", \ - "0.0203198000, 0.0222860000, 0.0290867000, 0.0522842000, 0.1363076000, 0.4387007000, 1.5064121000", \ - "0.0204211000, 0.0223546000, 0.0290685000, 0.0522940000, 0.1364477000, 0.4388442000, 1.5042654000", \ - "0.0204434000, 0.0224666000, 0.0291530000, 0.0523469000, 0.1363593000, 0.4372029000, 1.5061806000", \ - "0.0204632000, 0.0223799000, 0.0291665000, 0.0523059000, 0.1363496000, 0.4388541000, 1.5019410000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__bufinv_16") { - leakage_power () { - value : 0.0147876000; - when : "A"; - } - leakage_power () { - value : 0.0134796000; - when : "!A"; - } - area : 30.028800000; - cell_footprint : "sky130_fd_sc_hd__inv"; - cell_leakage_power : 0.0141336100; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0067840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0064130000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0071560000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0019008920, 0.0072267810, 0.0274746600, 0.1044527000, 0.3971067000, 1.5097140000"); - values("0.1169168000, 0.1150397000, 0.1075233000, 0.0783250000, -0.038067500, -0.508996700, -2.310632600", \ - "0.1167468000, 0.1147055000, 0.1071288000, 0.0778406000, -0.038444300, -0.509512300, -2.311027200", \ - "0.1159736000, 0.1139395000, 0.1063267000, 0.0770466000, -0.039228300, -0.510251600, -2.311786400", \ - "0.1146726000, 0.1126598000, 0.1049301000, 0.0756342000, -0.040627200, -0.511432400, -2.313020700", \ - "0.1134151000, 0.1116410000, 0.1039796000, 0.0746515000, -0.041799000, -0.512471000, -2.313950700", \ - "0.1212090000, 0.1191051000, 0.1112122000, 0.0805782000, -0.040462900, -0.511780500, -2.313185900", \ - "0.1259766000, 0.1238221000, 0.1157544000, 0.0848309000, -0.036160600, -0.506842000, -2.308789500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0019008920, 0.0072267810, 0.0274746600, 0.1044527000, 0.3971067000, 1.5097140000"); - values("0.1514875000, 0.1539432000, 0.1635882000, 0.1985556000, 0.3247483000, 0.7945191000, 2.5750685000", \ - "0.1508743000, 0.1533433000, 0.1627982000, 0.1978043000, 0.3240816000, 0.7936628000, 2.5756527000", \ - "0.1501264000, 0.1526149000, 0.1619618000, 0.1969649000, 0.3232998000, 0.7933581000, 2.5739219000", \ - "0.1493960000, 0.1518880000, 0.1612431000, 0.1962150000, 0.3225448000, 0.7925891000, 2.5726560000", \ - "0.1483345000, 0.1507986000, 0.1601883000, 0.1950350000, 0.3213873000, 0.7915378000, 2.5729938000", \ - "0.1484691000, 0.1510342000, 0.1605748000, 0.1953469000, 0.3225422000, 0.7920656000, 2.5709438000", \ - "0.1554502000, 0.1578221000, 0.1667929000, 0.1998792000, 0.3266747000, 0.7980409000, 2.5752429000"); - } - } - max_capacitance : 1.5097140000; - max_transition : 1.5031750000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019008900, 0.0072267800, 0.0274747000, 0.1044530000, 0.3971070000, 1.5097100000"); - values("0.1434932000, 0.1448749000, 0.1496160000, 0.1636005000, 0.1992372000, 0.2988925000, 0.6467082000", \ - "0.1479537000, 0.1493451000, 0.1541451000, 0.1680488000, 0.2038874000, 0.3034095000, 0.6508137000", \ - "0.1586367000, 0.1600275000, 0.1648199000, 0.1787148000, 0.2145586000, 0.3140552000, 0.6614503000", \ - "0.1795081000, 0.1808928000, 0.1856634000, 0.1995520000, 0.2353640000, 0.3349298000, 0.6828118000", \ - "0.2072771000, 0.2087144000, 0.2134474000, 0.2273530000, 0.2631621000, 0.3630007000, 0.7104522000", \ - "0.2368432000, 0.2382202000, 0.2429590000, 0.2568425000, 0.2926999000, 0.3925581000, 0.7403166000", \ - "0.2503754000, 0.2517775000, 0.2565860000, 0.2706368000, 0.3065716000, 0.4068145000, 0.7541687000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019008900, 0.0072267800, 0.0274747000, 0.1044530000, 0.3971070000, 1.5097100000"); - values("0.1546277000, 0.1562714000, 0.1620191000, 0.1800902000, 0.2359886000, 0.4315537000, 1.1693518000", \ - "0.1597651000, 0.1614102000, 0.1671131000, 0.1851766000, 0.2409178000, 0.4370116000, 1.1761861000", \ - "0.1726963000, 0.1743352000, 0.1800738000, 0.1981724000, 0.2539806000, 0.4497548000, 1.1888178000", \ - "0.2043324000, 0.2059702000, 0.2117122000, 0.2297938000, 0.2855933000, 0.4813741000, 1.2211164000", \ - "0.2739785000, 0.2756233000, 0.2813538000, 0.2994074000, 0.3553101000, 0.5511070000, 1.2952056000", \ - "0.3912753000, 0.3929458000, 0.3987149000, 0.4169057000, 0.4729044000, 0.6690968000, 1.4150940000", \ - "0.5835194000, 0.5852515000, 0.5912424000, 0.6097382000, 0.6662145000, 0.8628099000, 1.6002981000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019008900, 0.0072267800, 0.0274747000, 0.1044530000, 0.3971070000, 1.5097100000"); - values("0.0228727000, 0.0237796000, 0.0270337000, 0.0375715000, 0.0739485000, 0.2098561000, 0.7469478000", \ - "0.0230434000, 0.0239232000, 0.0270223000, 0.0375794000, 0.0739709000, 0.2103211000, 0.7474734000", \ - "0.0230265000, 0.0239096000, 0.0270182000, 0.0375859000, 0.0739769000, 0.2103198000, 0.7473470000", \ - "0.0230209000, 0.0239125000, 0.0270230000, 0.0375658000, 0.0740469000, 0.2099313000, 0.7469361000", \ - "0.0232563000, 0.0241464000, 0.0271964000, 0.0376177000, 0.0739249000, 0.2100619000, 0.7474903000", \ - "0.0233042000, 0.0242256000, 0.0274327000, 0.0379143000, 0.0742598000, 0.2099563000, 0.7463060000", \ - "0.0240932000, 0.0249507000, 0.0280847000, 0.0386427000, 0.0747058000, 0.2107375000, 0.7481522000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019008900, 0.0072267800, 0.0274747000, 0.1044530000, 0.3971070000, 1.5097100000"); - values("0.0243931000, 0.0258417000, 0.0309859000, 0.0504961000, 0.1238284000, 0.4086661000, 1.5028350000", \ - "0.0244003000, 0.0257831000, 0.0310437000, 0.0503360000, 0.1238434000, 0.4100495000, 1.5002816000", \ - "0.0243190000, 0.0257681000, 0.0310201000, 0.0504680000, 0.1239478000, 0.4097899000, 1.5024031000", \ - "0.0243481000, 0.0257872000, 0.0310553000, 0.0504675000, 0.1239573000, 0.4097472000, 1.4986676000", \ - "0.0245024000, 0.0259005000, 0.0311948000, 0.0504994000, 0.1238808000, 0.4085469000, 1.5012902000", \ - "0.0253430000, 0.0267441000, 0.0319465000, 0.0513921000, 0.1242503000, 0.4083729000, 1.5031753000", \ - "0.0277708000, 0.0291111000, 0.0341969000, 0.0531345000, 0.1254565000, 0.4096794000, 1.4978019000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__bufinv_8") { - leakage_power () { - value : 0.0176473000; - when : "A"; - } - leakage_power () { - value : 0.0078400000; - when : "!A"; - } - area : 17.516800000; - cell_footprint : "sky130_fd_sc_hd__inv"; - cell_leakage_power : 0.0127436300; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023280000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022300000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024260000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017572090, 0.0061755700, 0.0217035400, 0.0762753300, 0.2680635000, 0.9420873000"); - values("0.0605045000, 0.0585868000, 0.0524069000, 0.0303620000, -0.053099900, -0.362327400, -1.454011000", \ - "0.0602131000, 0.0584498000, 0.0522095000, 0.0302378000, -0.053301600, -0.362502800, -1.454094200", \ - "0.0597741000, 0.0580176000, 0.0519105000, 0.0298112000, -0.053711700, -0.362861100, -1.454482800", \ - "0.0593956000, 0.0575615000, 0.0513115000, 0.0292142000, -0.054294100, -0.363496800, -1.455091500", \ - "0.0588665000, 0.0570661000, 0.0506467000, 0.0286324000, -0.054988300, -0.363869600, -1.455345500", \ - "0.0639777000, 0.0620812000, 0.0554933000, 0.0318284000, -0.054536700, -0.363661100, -1.455015700", \ - "0.0656695000, 0.0637616000, 0.0569970000, 0.0336224000, -0.052613600, -0.361521000, -1.453075200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017572090, 0.0061755700, 0.0217035400, 0.0762753300, 0.2680635000, 0.9420873000"); - values("0.0756781000, 0.0777910000, 0.0855769000, 0.1119959000, 0.2012092000, 0.5090853000, 1.5894876000", \ - "0.0755230000, 0.0777998000, 0.0855367000, 0.1119222000, 0.2012161000, 0.5092959000, 1.5911492000", \ - "0.0751178000, 0.0774658000, 0.0852705000, 0.1115186000, 0.2006384000, 0.5085648000, 1.5891162000", \ - "0.0747841000, 0.0770083000, 0.0848035000, 0.1114047000, 0.2004461000, 0.5083822000, 1.5818052000", \ - "0.0742928000, 0.0765259000, 0.0843149000, 0.1106651000, 0.1996640000, 0.5080593000, 1.5815580000", \ - "0.0751483000, 0.0772702000, 0.0847237000, 0.1101909000, 0.1999272000, 0.5071854000, 1.5890629000", \ - "0.0776645000, 0.0797616000, 0.0869863000, 0.1129644000, 0.2016860000, 0.5108139000, 1.5874697000"); - } - } - max_capacitance : 0.9420870000; - max_transition : 1.5104440000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017572100, 0.0061755700, 0.0217035000, 0.0762753000, 0.2680630000, 0.9420870000"); - values("0.1448033000, 0.1468072000, 0.1526641000, 0.1678096000, 0.2037530000, 0.3011045000, 0.6259931000", \ - "0.1495941000, 0.1515928000, 0.1574465000, 0.1726041000, 0.2084577000, 0.3059508000, 0.6309611000", \ - "0.1608937000, 0.1628302000, 0.1687457000, 0.1838826000, 0.2197359000, 0.3172307000, 0.6419469000", \ - "0.1864299000, 0.1883993000, 0.1942391000, 0.2093553000, 0.2452855000, 0.3425783000, 0.6676649000", \ - "0.2293508000, 0.2312655000, 0.2371169000, 0.2521361000, 0.2881131000, 0.3852400000, 0.7110862000", \ - "0.2882087000, 0.2901564000, 0.2960449000, 0.3112067000, 0.3472681000, 0.4450601000, 0.7692239000", \ - "0.3611814000, 0.3632098000, 0.3692766000, 0.3850280000, 0.4215387000, 0.5193048000, 0.8438634000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017572100, 0.0061755700, 0.0217035000, 0.0762753000, 0.2680630000, 0.9420870000"); - values("0.1495999000, 0.1518023000, 0.1588123000, 0.1792849000, 0.2416144000, 0.4528161000, 1.1914473000", \ - "0.1547314000, 0.1569710000, 0.1639839000, 0.1844059000, 0.2469104000, 0.4584221000, 1.1976800000", \ - "0.1674652000, 0.1697079000, 0.1767766000, 0.1972023000, 0.2596517000, 0.4705228000, 1.2111618000", \ - "0.1974532000, 0.1996927000, 0.2067057000, 0.2272194000, 0.2897496000, 0.5003760000, 1.2384641000", \ - "0.2628192000, 0.2650665000, 0.2721109000, 0.2925393000, 0.3548252000, 0.5662707000, 1.3035355000", \ - "0.3670699000, 0.3693765000, 0.3765857000, 0.3971931000, 0.4597873000, 0.6709516000, 1.4106634000", \ - "0.5213635000, 0.5239269000, 0.5316720000, 0.5529589000, 0.6158216000, 0.8266634000, 1.5645021000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017572100, 0.0061755700, 0.0217035000, 0.0762753000, 0.2680630000, 0.9420870000"); - values("0.0196088000, 0.0207949000, 0.0245825000, 0.0360959000, 0.0711881000, 0.1956703000, 0.6518628000", \ - "0.0196171000, 0.0209033000, 0.0246026000, 0.0359333000, 0.0713016000, 0.1955193000, 0.6521276000", \ - "0.0195243000, 0.0207767000, 0.0247036000, 0.0360260000, 0.0713101000, 0.1953895000, 0.6529624000", \ - "0.0196945000, 0.0209961000, 0.0245794000, 0.0360974000, 0.0713305000, 0.1952559000, 0.6520269000", \ - "0.0196457000, 0.0208794000, 0.0246513000, 0.0359075000, 0.0715654000, 0.1956923000, 0.6528882000", \ - "0.0202016000, 0.0213901000, 0.0253940000, 0.0366533000, 0.0716841000, 0.1956330000, 0.6528468000", \ - "0.0218565000, 0.0230849000, 0.0271029000, 0.0382471000, 0.0728538000, 0.1960461000, 0.6523136000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017572100, 0.0061755700, 0.0217035000, 0.0762753000, 0.2680630000, 0.9420870000"); - values("0.0201127000, 0.0221324000, 0.0288566000, 0.0520388000, 0.1362632000, 0.4390025000, 1.5075663000", \ - "0.0200929000, 0.0220666000, 0.0288457000, 0.0521273000, 0.1362603000, 0.4391270000, 1.5035692000", \ - "0.0201572000, 0.0220703000, 0.0287556000, 0.0520263000, 0.1363034000, 0.4379790000, 1.5029713000", \ - "0.0201677000, 0.0221524000, 0.0288510000, 0.0520751000, 0.1359941000, 0.4386833000, 1.5042130000", \ - "0.0204221000, 0.0223771000, 0.0290488000, 0.0521905000, 0.1362757000, 0.4395613000, 1.5016447000", \ - "0.0218646000, 0.0237126000, 0.0303048000, 0.0530673000, 0.1366368000, 0.4379845000, 1.5104439000", \ - "0.0255676000, 0.0273337000, 0.0337399000, 0.0553200000, 0.1376852000, 0.4382422000, 1.5006942000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkbuf_1") { - leakage_power () { - value : 0.0011810000; - when : "A"; - } - leakage_power () { - value : 0.0011810000; - when : "!A"; - } - area : 3.7536000000; - cell_footprint : "sky130_fd_sc_hd__clkbuf"; - cell_leakage_power : 0.0011810180; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0020980000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020100000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0021860000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("0.0107832000, 0.0097595000, 0.0069169000, -0.000834000, -0.020750900, -0.071137900, -0.198390100", \ - "0.0105264000, 0.0095202000, 0.0066742000, -0.001057500, -0.020928000, -0.071347800, -0.198597500", \ - "0.0103060000, 0.0092806000, 0.0064532000, -0.001263100, -0.021149700, -0.071480700, -0.198762800", \ - "0.0101860000, 0.0091515000, 0.0063249000, -0.001355700, -0.021170300, -0.071528400, -0.198768500", \ - "0.0100386000, 0.0088481000, 0.0061443000, -0.001328000, -0.021123600, -0.071421900, -0.198647000", \ - "0.0109359000, 0.0097542000, 0.0068615000, -0.001047200, -0.020509400, -0.070800500, -0.198015200", \ - "0.0130013000, 0.0117639000, 0.0085483000, 0.0007093000, -0.019010000, -0.069249600, -0.196285900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("0.0055347000, 0.0068431000, 0.0100390000, 0.0178493000, 0.0375527000, 0.0871147000, 0.2126276000", \ - "0.0053434000, 0.0066540000, 0.0098449000, 0.0176825000, 0.0373994000, 0.0870575000, 0.2132792000", \ - "0.0050721000, 0.0063608000, 0.0095416000, 0.0174493000, 0.0372151000, 0.0875248000, 0.2141309000", \ - "0.0048470000, 0.0061317000, 0.0092979000, 0.0171627000, 0.0372362000, 0.0869569000, 0.2124959000", \ - "0.0049506000, 0.0062250000, 0.0092704000, 0.0171337000, 0.0368971000, 0.0872933000, 0.2136015000", \ - "0.0056127000, 0.0068693000, 0.0099280000, 0.0177502000, 0.0375374000, 0.0874596000, 0.2136300000", \ - "0.0076314000, 0.0087447000, 0.0118640000, 0.0196892000, 0.0394029000, 0.0892978000, 0.2147705000"); - } - } - max_capacitance : 0.1300150000; - max_transition : 1.5064550000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0592259000, 0.0642513000, 0.0748942000, 0.0972813000, 0.1492422000, 0.2788013000, 0.6062921000", \ - "0.0641555000, 0.0691985000, 0.0798142000, 0.1024084000, 0.1544307000, 0.2842055000, 0.6107023000", \ - "0.0774288000, 0.0824930000, 0.0931572000, 0.1156574000, 0.1676275000, 0.2972603000, 0.6246369000", \ - "0.1076586000, 0.1128278000, 0.1236900000, 0.1464433000, 0.1985064000, 0.3280456000, 0.6565997000", \ - "0.1577475000, 0.1639106000, 0.1762243000, 0.2007543000, 0.2541695000, 0.3827842000, 0.7110144000", \ - "0.2340805000, 0.2421729000, 0.2575544000, 0.2849163000, 0.3397340000, 0.4699444000, 0.7970801000", \ - "0.3556399000, 0.3660085000, 0.3857001000, 0.4195785000, 0.4791168000, 0.6102937000, 0.9385269000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0489976000, 0.0557706000, 0.0716849000, 0.1103869000, 0.2074964000, 0.4513024000, 1.0688679000", \ - "0.0535470000, 0.0602856000, 0.0762179000, 0.1149403000, 0.2115812000, 0.4563649000, 1.0788538000", \ - "0.0641421000, 0.0708147000, 0.0865609000, 0.1254701000, 0.2225740000, 0.4687161000, 1.0898558000", \ - "0.0818408000, 0.0887571000, 0.1049198000, 0.1439399000, 0.2420734000, 0.4882801000, 1.1062276000", \ - "0.1040857000, 0.1114389000, 0.1279342000, 0.1669223000, 0.2645230000, 0.5100902000, 1.1276735000", \ - "0.1257715000, 0.1347576000, 0.1522623000, 0.1914677000, 0.2897410000, 0.5344319000, 1.1532661000", \ - "0.1290849000, 0.1411185000, 0.1636020000, 0.2059111000, 0.3023249000, 0.5483574000, 1.1669063000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0148634000, 0.0193017000, 0.0295383000, 0.0550680000, 0.1222172000, 0.2948546000, 0.7301220000", \ - "0.0149530000, 0.0192939000, 0.0296532000, 0.0550493000, 0.1216939000, 0.2943367000, 0.7298736000", \ - "0.0148764000, 0.0193230000, 0.0296409000, 0.0552154000, 0.1215747000, 0.2964073000, 0.7262715000", \ - "0.0160559000, 0.0203036000, 0.0303508000, 0.0553759000, 0.1214418000, 0.2930896000, 0.7303547000", \ - "0.0210718000, 0.0251900000, 0.0349878000, 0.0592648000, 0.1229421000, 0.2944478000, 0.7275211000", \ - "0.0301798000, 0.0347785000, 0.0445136000, 0.0668789000, 0.1277532000, 0.2945307000, 0.7338570000", \ - "0.0437432000, 0.0497079000, 0.0604185000, 0.0823395000, 0.1375341000, 0.2987351000, 0.7282525000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0199727000, 0.0284057000, 0.0500754000, 0.1054724000, 0.2464573000, 0.6007445000, 1.4984419000", \ - "0.0200327000, 0.0284043000, 0.0500627000, 0.1055465000, 0.2466837000, 0.6009164000, 1.4987117000", \ - "0.0201306000, 0.0285084000, 0.0500400000, 0.1054141000, 0.2466287000, 0.6049375000, 1.5064545000", \ - "0.0215448000, 0.0297059000, 0.0507580000, 0.1055325000, 0.2474657000, 0.6046492000, 1.4979125000", \ - "0.0249366000, 0.0323243000, 0.0523305000, 0.1063798000, 0.2454741000, 0.6018497000, 1.4974466000", \ - "0.0326711000, 0.0396237000, 0.0572395000, 0.1079738000, 0.2475863000, 0.5993888000, 1.5013142000", \ - "0.0467517000, 0.0543266000, 0.0708954000, 0.1149295000, 0.2482871000, 0.6033045000, 1.4943530000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkbuf_16") { - leakage_power () { - value : 0.0141674000; - when : "A"; - } - leakage_power () { - value : 0.0104788000; - when : "!A"; - } - area : 25.024000000; - cell_footprint : "sky130_fd_sc_hd__clkbuf"; - cell_leakage_power : 0.0123231100; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0073970000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0069000000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0078940000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0019054750, 0.0072616730, 0.0276738800, 0.1054638000, 0.4019172000, 1.5316870000"); - values("0.0892438000, 0.0873585000, 0.0798327000, 0.0512375000, -0.066287300, -0.542857300, -2.372215900", \ - "0.0888013000, 0.0869134000, 0.0792662000, 0.0510788000, -0.066713200, -0.543154800, -2.371812400", \ - "0.0882813000, 0.0862969000, 0.0786808000, 0.0502908000, -0.067252800, -0.543608500, -2.372428600", \ - "0.0876977000, 0.0856661000, 0.0779800000, 0.0491635000, -0.068692000, -0.544470800, -2.373101300", \ - "0.0883907000, 0.0864069000, 0.0769338000, 0.0472944000, -0.070559300, -0.545718100, -2.373778000", \ - "0.0881862000, 0.0858777000, 0.0771893000, 0.0464367000, -0.072447100, -0.546660800, -2.373648500", \ - "0.0992035000, 0.0965979000, 0.0871300000, 0.0533862000, -0.070421400, -0.545247000, -2.371148500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0019054750, 0.0072616730, 0.0276738800, 0.1054638000, 0.4019172000, 1.5316870000"); - values("0.0779259000, 0.0805015000, 0.0898766000, 0.1252153000, 0.2539896000, 0.7305273000, 2.5324463000", \ - "0.0775966000, 0.0800923000, 0.0893862000, 0.1249002000, 0.2537403000, 0.7303010000, 2.5325837000", \ - "0.0770308000, 0.0795403000, 0.0889991000, 0.1243204000, 0.2530068000, 0.7303003000, 2.5322665000", \ - "0.0765756000, 0.0791276000, 0.0883956000, 0.1233356000, 0.2517683000, 0.7289429000, 2.5372738000", \ - "0.0761284000, 0.0785082000, 0.0875751000, 0.1214972000, 0.2492309000, 0.7271398000, 2.5327842000", \ - "0.0798476000, 0.0821153000, 0.0908180000, 0.1240775000, 0.2478604000, 0.7244379000, 2.5405380000", \ - "0.0852927000, 0.0874109000, 0.0957195000, 0.1280790000, 0.2548248000, 0.7261965000, 2.5361984000"); - } - } - max_capacitance : 1.5316870000; - max_transition : 1.5081860000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019054800, 0.0072616700, 0.0276739000, 0.1054640000, 0.4019170000, 1.5316900000"); - values("0.1140055000, 0.1157308000, 0.1214219000, 0.1379821000, 0.1813718000, 0.3083371000, 0.7699346000", \ - "0.1194265000, 0.1211497000, 0.1268422000, 0.1433524000, 0.1867260000, 0.3138878000, 0.7753811000", \ - "0.1326455000, 0.1343418000, 0.1405106000, 0.1571173000, 0.2003236000, 0.3274866000, 0.7888625000", \ - "0.1652728000, 0.1669264000, 0.1726332000, 0.1892064000, 0.2323584000, 0.3596272000, 0.8214312000", \ - "0.2389966000, 0.2406908000, 0.2462980000, 0.2628903000, 0.3060643000, 0.4334864000, 0.8954381000", \ - "0.3677665000, 0.3699379000, 0.3772389000, 0.3969053000, 0.4454995000, 0.5756912000, 1.0363066000", \ - "0.5712533000, 0.5740693000, 0.5836772000, 0.6102327000, 0.6703756000, 0.8080292000, 1.2692706000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019054800, 0.0072616700, 0.0276739000, 0.1054640000, 0.4019170000, 1.5316900000"); - values("0.0925527000, 0.0944568000, 0.1008882000, 0.1205128000, 0.1787117000, 0.3805414000, 1.1408930000", \ - "0.0969900000, 0.0988577000, 0.1052745000, 0.1249262000, 0.1831285000, 0.3849491000, 1.1449817000", \ - "0.1078884000, 0.1097683000, 0.1162092000, 0.1358306000, 0.1940645000, 0.3958863000, 1.1567394000", \ - "0.1335737000, 0.1354388000, 0.1418590000, 0.1612603000, 0.2193619000, 0.4215236000, 1.1922539000", \ - "0.1803963000, 0.1824398000, 0.1893747000, 0.2097006000, 0.2686406000, 0.4711603000, 1.2346238000", \ - "0.2409254000, 0.2435099000, 0.2521326000, 0.2760641000, 0.3374131000, 0.5394857000, 1.3006430000", \ - "0.3012765000, 0.3046818000, 0.3160694000, 0.3469812000, 0.4178014000, 0.6200891000, 1.3799851000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019054800, 0.0072616700, 0.0276739000, 0.1054640000, 0.4019170000, 1.5316900000"); - values("0.0231864000, 0.0243136000, 0.0283301000, 0.0408749000, 0.0843111000, 0.2514862000, 0.9142117000", \ - "0.0231992000, 0.0243124000, 0.0282936000, 0.0409580000, 0.0842969000, 0.2514526000, 0.9140927000", \ - "0.0233643000, 0.0244565000, 0.0282345000, 0.0409459000, 0.0843016000, 0.2514697000, 0.9139139000", \ - "0.0233778000, 0.0243652000, 0.0283493000, 0.0411758000, 0.0844682000, 0.2514826000, 0.9119126000", \ - "0.0253054000, 0.0262699000, 0.0300055000, 0.0424624000, 0.0852643000, 0.2510528000, 0.9124512000", \ - "0.0369103000, 0.0381666000, 0.0422953000, 0.0544229000, 0.0938249000, 0.2546181000, 0.9130494000", \ - "0.0569045000, 0.0578926000, 0.0633547000, 0.0782564000, 0.1161798000, 0.2652438000, 0.9134366000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019054800, 0.0072616700, 0.0276739000, 0.1054640000, 0.4019170000, 1.5316900000"); - values("0.0244145000, 0.0258982000, 0.0311957000, 0.0504665000, 0.1229834000, 0.4085845000, 1.5005076000", \ - "0.0243806000, 0.0258864000, 0.0311864000, 0.0504848000, 0.1228388000, 0.4089202000, 1.5015728000", \ - "0.0243044000, 0.0257783000, 0.0311651000, 0.0504919000, 0.1231290000, 0.4096725000, 1.5059948000", \ - "0.0244488000, 0.0259356000, 0.0312255000, 0.0505529000, 0.1231489000, 0.4084040000, 1.5059430000", \ - "0.0295480000, 0.0309296000, 0.0357280000, 0.0542600000, 0.1245374000, 0.4090207000, 1.5081856000", \ - "0.0406586000, 0.0420786000, 0.0471337000, 0.0639122000, 0.1298328000, 0.4093889000, 1.5076468000", \ - "0.0589831000, 0.0609899000, 0.0673859000, 0.0861324000, 0.1447679000, 0.4127985000, 1.5034097000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkbuf_2") { - leakage_power () { - value : 0.0036593000; - when : "A"; - } - leakage_power () { - value : 0.0046480000; - when : "!A"; - } - area : 5.0048000000; - cell_footprint : "sky130_fd_sc_hd__clkbuf"; - cell_leakage_power : 0.0041536310; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0021800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020650000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0022950000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014364120, 0.0041265620, 0.0118548900, 0.0340570200, 0.0978398700, 0.2810768000"); - values("0.0149023000, 0.0136837000, 0.0100205000, -0.001924400, -0.037666300, -0.140992000, -0.437784000", \ - "0.0147288000, 0.0135331000, 0.0098541000, -0.002062400, -0.037799700, -0.141118000, -0.437990800", \ - "0.0145186000, 0.0132782000, 0.0095604000, -0.002340200, -0.038048300, -0.141308800, -0.438178700", \ - "0.0142655000, 0.0129780000, 0.0092258000, -0.002644400, -0.038221300, -0.141412300, -0.438213600", \ - "0.0138554000, 0.0126025000, 0.0089452000, -0.002891700, -0.038379900, -0.141458500, -0.438233300", \ - "0.0148530000, 0.0133812000, 0.0091544000, -0.003093700, -0.038283000, -0.141266700, -0.437921400", \ - "0.0168930000, 0.0152959000, 0.0109516000, -0.001340600, -0.036970400, -0.139653900, -0.436266400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014364120, 0.0041265620, 0.0118548900, 0.0340570200, 0.0978398700, 0.2810768000"); - values("0.0108107000, 0.0124642000, 0.0171040000, 0.0297824000, 0.0654056000, 0.1677499000, 0.4614270000", \ - "0.0106370000, 0.0122917000, 0.0169062000, 0.0296177000, 0.0652445000, 0.1675618000, 0.4613095000", \ - "0.0103226000, 0.0119776000, 0.0165905000, 0.0292766000, 0.0649740000, 0.1672452000, 0.4611907000", \ - "0.0100450000, 0.0116800000, 0.0161852000, 0.0288415000, 0.0646418000, 0.1669209000, 0.4587361000", \ - "0.0100195000, 0.0115644000, 0.0160256000, 0.0285990000, 0.0641786000, 0.1668194000, 0.4588579000", \ - "0.0107564000, 0.0122801000, 0.0166001000, 0.0291916000, 0.0646445000, 0.1667150000, 0.4607544000", \ - "0.0121278000, 0.0136362000, 0.0178608000, 0.0303830000, 0.0658677000, 0.1683054000, 0.4594940000"); - } - } - max_capacitance : 0.2810770000; - max_transition : 1.5064040000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0715455000, 0.0763967000, 0.0874878000, 0.1121315000, 0.1732773000, 0.3441029000, 0.8356337000", \ - "0.0769644000, 0.0818215000, 0.0928298000, 0.1175851000, 0.1787316000, 0.3495579000, 0.8401411000", \ - "0.0900539000, 0.0947513000, 0.1057613000, 0.1304979000, 0.1916595000, 0.3624542000, 0.8544541000", \ - "0.1213416000, 0.1261116000, 0.1371333000, 0.1616166000, 0.2229276000, 0.3937075000, 0.8862640000", \ - "0.1797649000, 0.1853307000, 0.1976411000, 0.2240136000, 0.2863648000, 0.4569685000, 0.9462775000", \ - "0.2679674000, 0.2752934000, 0.2908089000, 0.3209856000, 0.3859637000, 0.5572721000, 1.0450026000", \ - "0.3993197000, 0.4090175000, 0.4298385000, 0.4685859000, 0.5399322000, 0.7118506000, 1.2009326000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0662163000, 0.0714230000, 0.0838709000, 0.1136824000, 0.1939208000, 0.4238545000, 1.0849718000", \ - "0.0705854000, 0.0757885000, 0.0882884000, 0.1181916000, 0.1984797000, 0.4281069000, 1.0875054000", \ - "0.0814050000, 0.0866105000, 0.0989495000, 0.1288524000, 0.2089679000, 0.4387360000, 1.0983581000", \ - "0.1065003000, 0.1117772000, 0.1242473000, 0.1541242000, 0.2348755000, 0.4647866000, 1.1332087000", \ - "0.1448172000, 0.1511556000, 0.1649346000, 0.1959158000, 0.2768002000, 0.5066385000, 1.1617454000", \ - "0.1922081000, 0.2003711000, 0.2174669000, 0.2518387000, 0.3333480000, 0.5618555000, 1.2207700000", \ - "0.2397060000, 0.2509717000, 0.2742588000, 0.3171372000, 0.4009552000, 0.6290706000, 1.2859528000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0154265000, 0.0190168000, 0.0286364000, 0.0546980000, 0.1313735000, 0.3596761000, 1.0183778000", \ - "0.0154765000, 0.0191428000, 0.0287511000, 0.0546706000, 0.1314359000, 0.3600606000, 1.0189631000", \ - "0.0154658000, 0.0191086000, 0.0288248000, 0.0547414000, 0.1313404000, 0.3618959000, 1.0129988000", \ - "0.0156464000, 0.0193014000, 0.0289334000, 0.0548446000, 0.1311622000, 0.3609529000, 1.0154152000", \ - "0.0206227000, 0.0243860000, 0.0334569000, 0.0578154000, 0.1322547000, 0.3614455000, 1.0198979000", \ - "0.0303647000, 0.0346578000, 0.0437343000, 0.0669294000, 0.1372084000, 0.3596617000, 1.0170109000", \ - "0.0451522000, 0.0509120000, 0.0625359000, 0.0858699000, 0.1489119000, 0.3639232000, 1.0143166000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0173436000, 0.0221799000, 0.0355709000, 0.0745499000, 0.1913238000, 0.5302312000, 1.5064037000", \ - "0.0173705000, 0.0221636000, 0.0355633000, 0.0747020000, 0.1909094000, 0.5301696000, 1.5011482000", \ - "0.0173927000, 0.0221323000, 0.0354693000, 0.0747678000, 0.1913624000, 0.5288327000, 1.5042423000", \ - "0.0185151000, 0.0231120000, 0.0362193000, 0.0747716000, 0.1912108000, 0.5297286000, 1.5044358000", \ - "0.0242697000, 0.0286167000, 0.0405678000, 0.0774116000, 0.1918092000, 0.5284798000, 1.4985770000", \ - "0.0339827000, 0.0389704000, 0.0506462000, 0.0835470000, 0.1933769000, 0.5284553000, 1.5006499000", \ - "0.0488169000, 0.0555929000, 0.0687040000, 0.0999539000, 0.1993825000, 0.5317950000, 1.4994096000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkbuf_4") { - leakage_power () { - value : 0.0043252000; - when : "A"; - } - leakage_power () { - value : 0.0048763000; - when : "!A"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__clkbuf"; - cell_leakage_power : 0.0046007730; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0021060000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0019840000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0022280000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015901190, 0.0050569580, 0.0160823300, 0.0511456500, 0.1626553000, 0.5172828000"); - values("0.0222729000, 0.0207027000, 0.0159148000, 4.5783562e-05, -0.055463400, -0.235633300, -0.809879800", \ - "0.0221503000, 0.0205703000, 0.0158006000, -0.000101700, -0.055590600, -0.235745200, -0.809989300", \ - "0.0219991000, 0.0203954000, 0.0156315000, -0.000325900, -0.055724000, -0.235874900, -0.810327700", \ - "0.0217480000, 0.0201789000, 0.0152887000, -0.000753700, -0.056139100, -0.236142000, -0.810399900", \ - "0.0218947000, 0.0202093000, 0.0151196000, -0.001179200, -0.056585800, -0.236274300, -0.810588800", \ - "0.0235918000, 0.0218792000, 0.0162464000, -0.001286300, -0.056613500, -0.236272700, -0.810433400", \ - "0.0259392000, 0.0240931000, 0.0179044000, -0.000138800, -0.056477100, -0.235697400, -0.809528200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015901190, 0.0050569580, 0.0160823300, 0.0511456500, 0.1626553000, 0.5172828000"); - values("0.0208021000, 0.0226807000, 0.0286406000, 0.0471890000, 0.1039644000, 0.2830627000, 0.8527353000", \ - "0.0206310000, 0.0225192000, 0.0285389000, 0.0469270000, 0.1038271000, 0.2828527000, 0.8522082000", \ - "0.0204488000, 0.0223309000, 0.0282993000, 0.0467993000, 0.1036362000, 0.2826957000, 0.8520225000", \ - "0.0202970000, 0.0221787000, 0.0281061000, 0.0464691000, 0.1031954000, 0.2812671000, 0.8474285000", \ - "0.0200954000, 0.0219300000, 0.0278700000, 0.0459216000, 0.1023930000, 0.2808915000, 0.8479728000", \ - "0.0213478000, 0.0230903000, 0.0286401000, 0.0463812000, 0.1025987000, 0.2808099000, 0.8471670000", \ - "0.0228845000, 0.0246703000, 0.0301191000, 0.0476421000, 0.1037346000, 0.2826979000, 0.8488298000"); - } - } - max_capacitance : 0.5172830000; - max_transition : 1.5083400000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015901200, 0.0050569600, 0.0160823000, 0.0511456000, 0.1626550000, 0.5172830000"); - values("0.1025052000, 0.1064255000, 0.1165853000, 0.1396352000, 0.1939519000, 0.3460363000, 0.8245146000", \ - "0.1079128000, 0.1118363000, 0.1219609000, 0.1451357000, 0.1993825000, 0.3514017000, 0.8305131000", \ - "0.1213600000, 0.1252668000, 0.1353542000, 0.1584980000, 0.2126335000, 0.3649607000, 0.8450909000", \ - "0.1524429000, 0.1564359000, 0.1669153000, 0.1899847000, 0.2439154000, 0.3964348000, 0.8768524000", \ - "0.2235564000, 0.2277078000, 0.2380935000, 0.2614546000, 0.3163021000, 0.4686934000, 0.9512199000", \ - "0.3397118000, 0.3451386000, 0.3585268000, 0.3867278000, 0.4457333000, 0.5988942000, 1.0791332000", \ - "0.5161570000, 0.5234488000, 0.5411083000, 0.5783223000, 0.6488245000, 0.8067453000, 1.2847917000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015901200, 0.0050569600, 0.0160823000, 0.0511456000, 0.1626550000, 0.5172830000"); - values("0.0913500000, 0.0957113000, 0.1069565000, 0.1344774000, 0.2074873000, 0.4310310000, 1.1436324000", \ - "0.0959316000, 0.1003133000, 0.1116235000, 0.1390777000, 0.2121059000, 0.4363517000, 1.1470124000", \ - "0.1069348000, 0.1112831000, 0.1225512000, 0.1500151000, 0.2230944000, 0.4474278000, 1.1578212000", \ - "0.1333786000, 0.1376603000, 0.1488676000, 0.1762407000, 0.2492691000, 0.4726047000, 1.1806395000", \ - "0.1839123000, 0.1888019000, 0.2011908000, 0.2296071000, 0.3031710000, 0.5262145000, 1.2419760000", \ - "0.2507145000, 0.2573184000, 0.2729238000, 0.3060847000, 0.3820850000, 0.6050476000, 1.3132591000", \ - "0.3282425000, 0.3368944000, 0.3579237000, 0.4009142000, 0.4839829000, 0.7089512000, 1.4145048000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015901200, 0.0050569600, 0.0160823000, 0.0511456000, 0.1626550000, 0.5172830000"); - values("0.0205112000, 0.0232649000, 0.0303525000, 0.0500122000, 0.1090162000, 0.3073318000, 0.9552273000", \ - "0.0205676000, 0.0232185000, 0.0304822000, 0.0500785000, 0.1092417000, 0.3069343000, 0.9551601000", \ - "0.0207257000, 0.0233507000, 0.0304938000, 0.0498846000, 0.1090597000, 0.3067001000, 0.9473157000", \ - "0.0207050000, 0.0231869000, 0.0304960000, 0.0499061000, 0.1088736000, 0.3067467000, 0.9479135000", \ - "0.0236324000, 0.0260143000, 0.0329316000, 0.0516677000, 0.1097796000, 0.3074008000, 0.9517337000", \ - "0.0348812000, 0.0380331000, 0.0457274000, 0.0640193000, 0.1182500000, 0.3086164000, 0.9481194000", \ - "0.0529562000, 0.0570791000, 0.0668688000, 0.0878507000, 0.1380510000, 0.3160412000, 0.9490548000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015901200, 0.0050569600, 0.0160823000, 0.0511456000, 0.1626550000, 0.5172830000"); - values("0.0220686000, 0.0253667000, 0.0352570000, 0.0646148000, 0.1617831000, 0.4819556000, 1.5083399000", \ - "0.0221242000, 0.0254894000, 0.0351856000, 0.0646678000, 0.1616000000, 0.4830253000, 1.5071288000", \ - "0.0222756000, 0.0255125000, 0.0352953000, 0.0645480000, 0.1618156000, 0.4831407000, 1.5073632000", \ - "0.0222332000, 0.0256223000, 0.0353131000, 0.0647675000, 0.1619364000, 0.4819058000, 1.5048874000", \ - "0.0279743000, 0.0308479000, 0.0399481000, 0.0675373000, 0.1623019000, 0.4821623000, 1.5060381000", \ - "0.0394751000, 0.0429464000, 0.0525688000, 0.0783135000, 0.1669829000, 0.4811563000, 1.5046431000", \ - "0.0568396000, 0.0624212000, 0.0739159000, 0.0996620000, 0.1791079000, 0.4843484000, 1.5014531000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkbuf_8") { - leakage_power () { - value : 0.0075141000; - when : "A"; - } - leakage_power () { - value : 0.0068657000; - when : "!A"; - } - area : 13.763200000; - cell_footprint : "sky130_fd_sc_hd__clkbuf"; - cell_leakage_power : 0.0071899020; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0039170000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0036770000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0041570000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017468110, 0.0061026960, 0.0213205100, 0.0744857900, 0.2602252000, 0.9091283000"); - values("0.0439196000, 0.0422305000, 0.0361121000, 0.0147630000, -0.067420200, -0.366737900, -1.417325800", \ - "0.0437916000, 0.0420063000, 0.0359728000, 0.0146529000, -0.067614500, -0.366945700, -1.417537200", \ - "0.0434898000, 0.0417389000, 0.0355348000, 0.0142134000, -0.067963000, -0.367231800, -1.417949600", \ - "0.0430287000, 0.0412896000, 0.0350847000, 0.0135535000, -0.068787600, -0.367721100, -1.418271200", \ - "0.0435281000, 0.0417360000, 0.0353924000, 0.0131402000, -0.069600100, -0.368332900, -1.418571500", \ - "0.0461712000, 0.0440706000, 0.0372477000, 0.0127932000, -0.070083600, -0.368438800, -1.418446300", \ - "0.0501803000, 0.0479384000, 0.0404519000, 0.0172455000, -0.069555400, -0.367216900, -1.416593400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017468110, 0.0061026960, 0.0213205100, 0.0744857900, 0.2602252000, 0.9091283000"); - values("0.0392681000, 0.0414887000, 0.0490122000, 0.0751107000, 0.1622916000, 0.4611430000, 1.4956856000", \ - "0.0391502000, 0.0412274000, 0.0488541000, 0.0748691000, 0.1620777000, 0.4610076000, 1.5030615000", \ - "0.0386655000, 0.0408745000, 0.0484531000, 0.0745524000, 0.1617005000, 0.4604706000, 1.4945235000", \ - "0.0383921000, 0.0405307000, 0.0481800000, 0.0738617000, 0.1608397000, 0.4605267000, 1.4949479000", \ - "0.0383882000, 0.0404859000, 0.0478278000, 0.0731045000, 0.1590260000, 0.4592713000, 1.5032196000", \ - "0.0402810000, 0.0422948000, 0.0493531000, 0.0745419000, 0.1587542000, 0.4568355000, 1.5008394000", \ - "0.0429582000, 0.0447908000, 0.0516027000, 0.0762731000, 0.1618782000, 0.4582257000, 1.4947426000"); - } - } - max_capacitance : 0.9091280000; - max_transition : 1.5142470000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017468100, 0.0061027000, 0.0213205000, 0.0744858000, 0.2602250000, 0.9091280000"); - values("0.1032238000, 0.1057764000, 0.1132007000, 0.1321387000, 0.1787291000, 0.3127927000, 0.7708767000", \ - "0.1088701000, 0.1113654000, 0.1187928000, 0.1378564000, 0.1842500000, 0.3184958000, 0.7762466000", \ - "0.1220698000, 0.1245346000, 0.1318632000, 0.1513332000, 0.1977364000, 0.3319057000, 0.7894952000", \ - "0.1545639000, 0.1570349000, 0.1644291000, 0.1833382000, 0.2299216000, 0.3643497000, 0.8206332000", \ - "0.2265578000, 0.2291526000, 0.2367556000, 0.2557977000, 0.3025825000, 0.4372515000, 0.8939933000", \ - "0.3479511000, 0.3513252000, 0.3610938000, 0.3845738000, 0.4358061000, 0.5727983000, 1.0319524000", \ - "0.5364469000, 0.5409415000, 0.5538488000, 0.5849771000, 0.6484324000, 0.7909523000, 1.2474557000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017468100, 0.0061027000, 0.0213205000, 0.0744858000, 0.2602250000, 0.9091280000"); - values("0.0873982000, 0.0902000000, 0.0985632000, 0.1215397000, 0.1866671000, 0.4012755000, 1.1458812000", \ - "0.0918925000, 0.0946695000, 0.1030297000, 0.1260336000, 0.1911202000, 0.4055206000, 1.1556069000", \ - "0.1029230000, 0.1057123000, 0.1141331000, 0.1370277000, 0.2021565000, 0.4173891000, 1.1612002000", \ - "0.1287652000, 0.1315656000, 0.1398996000, 0.1627709000, 0.2277114000, 0.4426435000, 1.1870251000", \ - "0.1763462000, 0.1795294000, 0.1888237000, 0.2129772000, 0.2784062000, 0.4938256000, 1.2436772000", \ - "0.2382740000, 0.2424159000, 0.2541788000, 0.2822469000, 0.3498567000, 0.5644328000, 1.3103293000", \ - "0.3032267000, 0.3086452000, 0.3243595000, 0.3609786000, 0.4374858000, 0.6521989000, 1.3945283000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017468100, 0.0061027000, 0.0213205000, 0.0744858000, 0.2602250000, 0.9091280000"); - values("0.0209900000, 0.0224645000, 0.0276527000, 0.0426982000, 0.0899723000, 0.2611759000, 0.8849450000", \ - "0.0211284000, 0.0224236000, 0.0277463000, 0.0425912000, 0.0899897000, 0.2612005000, 0.8840557000", \ - "0.0208912000, 0.0225161000, 0.0275141000, 0.0425875000, 0.0900036000, 0.2616951000, 0.8799486000", \ - "0.0208517000, 0.0224385000, 0.0277352000, 0.0426761000, 0.0899355000, 0.2607210000, 0.8861957000", \ - "0.0236109000, 0.0252378000, 0.0301154000, 0.0443205000, 0.0907661000, 0.2615641000, 0.8771955000", \ - "0.0350799000, 0.0369991000, 0.0426903000, 0.0565522000, 0.0999796000, 0.2640375000, 0.8838059000", \ - "0.0542660000, 0.0567169000, 0.0638486000, 0.0811250000, 0.1218388000, 0.2728307000, 0.8790218000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017468100, 0.0061027000, 0.0213205000, 0.0744858000, 0.2602250000, 0.9091280000"); - values("0.0220288000, 0.0241858000, 0.0314808000, 0.0549380000, 0.1387776000, 0.4436266000, 1.5080432000", \ - "0.0220880000, 0.0243628000, 0.0314611000, 0.0549991000, 0.1387719000, 0.4437010000, 1.5142466000", \ - "0.0220922000, 0.0242852000, 0.0314265000, 0.0549429000, 0.1387031000, 0.4426261000, 1.5061696000", \ - "0.0221786000, 0.0244903000, 0.0315513000, 0.0551121000, 0.1388361000, 0.4435214000, 1.5097914000", \ - "0.0277979000, 0.0298462000, 0.0366090000, 0.0584021000, 0.1396855000, 0.4424494000, 1.5122539000", \ - "0.0389640000, 0.0413380000, 0.0481627000, 0.0689124000, 0.1446469000, 0.4418877000, 1.5076616000", \ - "0.0571696000, 0.0602601000, 0.0688954000, 0.0903741000, 0.1585852000, 0.4443420000, 1.4995185000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkdlybuf4s15_1") { - leakage_power () { - value : 0.0045328000; - when : "A"; - } - leakage_power () { - value : 0.0045782000; - when : "!A"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0045555110; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0021990000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020880000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023090000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0266052000, 0.0255791000, 0.0225076000, 0.0137312000, -0.009650700, -0.071029400, -0.231347100", \ - "0.0263922000, 0.0253967000, 0.0223151000, 0.0135452000, -0.009851100, -0.071220800, -0.231593600", \ - "0.0261448000, 0.0251215000, 0.0220475000, 0.0132799000, -0.010148600, -0.071465100, -0.231828900", \ - "0.0258984000, 0.0248785000, 0.0218074000, 0.0130453000, -0.010372200, -0.071707700, -0.232083900", \ - "0.0257428000, 0.0247205000, 0.0216570000, 0.0128843000, -0.010524400, -0.071847100, -0.232186700", \ - "0.0261537000, 0.0249720000, 0.0217045000, 0.0130026000, -0.010189100, -0.071495200, -0.231879400", \ - "0.0275993000, 0.0263957000, 0.0231509000, 0.0143489000, -0.009063300, -0.070011800, -0.230365400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0209230000, 0.0223597000, 0.0258877000, 0.0348017000, 0.0578928000, 0.1185056000, 0.2771312000", \ - "0.0206895000, 0.0221246000, 0.0256531000, 0.0345710000, 0.0576331000, 0.1183947000, 0.2770276000", \ - "0.0203439000, 0.0217886000, 0.0253146000, 0.0341997000, 0.0573421000, 0.1180661000, 0.2766365000", \ - "0.0199990000, 0.0214415000, 0.0249803000, 0.0338554000, 0.0569866000, 0.1181170000, 0.2767269000", \ - "0.0198532000, 0.0213037000, 0.0248373000, 0.0337540000, 0.0568435000, 0.1180564000, 0.2762289000", \ - "0.0204379000, 0.0218157000, 0.0253261000, 0.0340302000, 0.0572843000, 0.1174099000, 0.2762932000", \ - "0.0217468000, 0.0231554000, 0.0266850000, 0.0355955000, 0.0589440000, 0.1195957000, 0.2779719000"); - } - } - max_capacitance : 0.1602270000; - max_transition : 1.5058640000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.1475420000, 0.1542741000, 0.1689973000, 0.2015366000, 0.2806218000, 0.4862768000, 1.0242375000", \ - "0.1529136000, 0.1596284000, 0.1743621000, 0.2068932000, 0.2860227000, 0.4923638000, 1.0313412000", \ - "0.1659695000, 0.1726952000, 0.1874449000, 0.2200087000, 0.2991772000, 0.5043826000, 1.0416217000", \ - "0.1959358000, 0.2026615000, 0.2174259000, 0.2499772000, 0.3292014000, 0.5351501000, 1.0732523000", \ - "0.2463342000, 0.2530160000, 0.2677435000, 0.3003146000, 0.3796126000, 0.5856536000, 1.1240638000", \ - "0.3233313000, 0.3300352000, 0.3447778000, 0.3773480000, 0.4565386000, 0.6620041000, 1.2012824000", \ - "0.4390513000, 0.4457585000, 0.4605403000, 0.4932287000, 0.5725742000, 0.7785059000, 1.3148344000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.1448714000, 0.1510559000, 0.1658456000, 0.2024025000, 0.2965347000, 0.5430122000, 1.1865111000", \ - "0.1491139000, 0.1552913000, 0.1700829000, 0.2066430000, 0.3007977000, 0.5473773000, 1.1928419000", \ - "0.1598778000, 0.1661095000, 0.1808983000, 0.2174674000, 0.3115832000, 0.5580769000, 1.2024753000", \ - "0.1828590000, 0.1890776000, 0.2038737000, 0.2404669000, 0.3342646000, 0.5820292000, 1.2291514000", \ - "0.2169887000, 0.2232150000, 0.2379693000, 0.2746100000, 0.3685335000, 0.6151032000, 1.2575854000", \ - "0.2608235000, 0.2670600000, 0.2818551000, 0.3184289000, 0.4125740000, 0.6582940000, 1.3025371000", \ - "0.3076364000, 0.3138705000, 0.3286663000, 0.3651872000, 0.4593247000, 0.7050942000, 1.3478632000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0194258000, 0.0252148000, 0.0398003000, 0.0773994000, 0.1807179000, 0.4530253000, 1.1646872000", \ - "0.0192991000, 0.0253852000, 0.0399117000, 0.0776386000, 0.1804528000, 0.4547039000, 1.1680612000", \ - "0.0192566000, 0.0252398000, 0.0398372000, 0.0777068000, 0.1798586000, 0.4516493000, 1.1737552000", \ - "0.0193321000, 0.0253176000, 0.0398151000, 0.0776720000, 0.1813287000, 0.4537434000, 1.1715532000", \ - "0.0194898000, 0.0253272000, 0.0400773000, 0.0777108000, 0.1815865000, 0.4537524000, 1.1658253000", \ - "0.0193607000, 0.0254452000, 0.0398831000, 0.0777043000, 0.1790299000, 0.4522671000, 1.1842177000", \ - "0.0194474000, 0.0254632000, 0.0399700000, 0.0777498000, 0.1807957000, 0.4523660000, 1.1652902000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0187569000, 0.0258350000, 0.0447545000, 0.0953708000, 0.2292510000, 0.5807397000, 1.5054509000", \ - "0.0187585000, 0.0258251000, 0.0447825000, 0.0953528000, 0.2292141000, 0.5814632000, 1.5000210000", \ - "0.0187231000, 0.0258366000, 0.0447353000, 0.0953146000, 0.2293844000, 0.5809068000, 1.5034074000", \ - "0.0187498000, 0.0258047000, 0.0448182000, 0.0954902000, 0.2296519000, 0.5831222000, 1.5058644000", \ - "0.0187625000, 0.0258469000, 0.0447320000, 0.0954503000, 0.2294952000, 0.5831394000, 1.5026975000", \ - "0.0188228000, 0.0258845000, 0.0447517000, 0.0955057000, 0.2290487000, 0.5799099000, 1.5054953000", \ - "0.0189545000, 0.0259776000, 0.0449270000, 0.0955513000, 0.2295846000, 0.5831611000, 1.4976353000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkdlybuf4s15_2") { - leakage_power () { - value : 0.0058182000; - when : "A"; - } - leakage_power () { - value : 0.0037174000; - when : "!A"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0047678160; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0022040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020890000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023190000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014356320, 0.0041220770, 0.0118355700, 0.0339830300, 0.0975742200, 0.2801613000"); - values("0.0290343000, 0.0277752000, 0.0241442000, 0.0124586000, -0.023135200, -0.126127600, -0.421965400", \ - "0.0288496000, 0.0275554000, 0.0239620000, 0.0122835000, -0.023312000, -0.126352900, -0.422188000", \ - "0.0286208000, 0.0273461000, 0.0237276000, 0.0120214000, -0.023564100, -0.126576200, -0.422389300", \ - "0.0284051000, 0.0271236000, 0.0235007000, 0.0117985000, -0.023784400, -0.126794900, -0.422595200", \ - "0.0282747000, 0.0269966000, 0.0233851000, 0.0117173000, -0.023895100, -0.126880500, -0.422655100", \ - "0.0293833000, 0.0280047000, 0.0239084000, 0.0117073000, -0.023534400, -0.126527700, -0.422333300", \ - "0.0309304000, 0.0294515000, 0.0254146000, 0.0132764000, -0.022335300, -0.124988900, -0.420776800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014356320, 0.0041220770, 0.0118355700, 0.0339830300, 0.0975742200, 0.2801613000"); - values("0.0254717000, 0.0271863000, 0.0317967000, 0.0444497000, 0.0800107000, 0.1820936000, 0.4746672000", \ - "0.0252201000, 0.0269287000, 0.0315766000, 0.0442095000, 0.0798037000, 0.1817773000, 0.4743675000", \ - "0.0248632000, 0.0265702000, 0.0312145000, 0.0438609000, 0.0794376000, 0.1812942000, 0.4743319000", \ - "0.0245258000, 0.0262323000, 0.0308748000, 0.0435291000, 0.0791018000, 0.1811247000, 0.4739376000", \ - "0.0243871000, 0.0260561000, 0.0307200000, 0.0433609000, 0.0789419000, 0.1810072000, 0.4756773000", \ - "0.0250662000, 0.0266946000, 0.0312657000, 0.0437636000, 0.0794345000, 0.1807226000, 0.4713480000", \ - "0.0264439000, 0.0280476000, 0.0326015000, 0.0453356000, 0.0812176000, 0.1834721000, 0.4758381000"); - } - } - max_capacitance : 0.2801610000; - max_transition : 1.5070320000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014356300, 0.0041220800, 0.0118356000, 0.0339830000, 0.0975742000, 0.2801610000"); - values("0.1642094000, 0.1696894000, 0.1821439000, 0.2089448000, 0.2710766000, 0.4389723000, 0.9184269000", \ - "0.1694885000, 0.1749626000, 0.1874316000, 0.2142057000, 0.2763274000, 0.4442615000, 0.9267268000", \ - "0.1823997000, 0.1878837000, 0.2003837000, 0.2271176000, 0.2892915000, 0.4569888000, 0.9365597000", \ - "0.2120333000, 0.2175160000, 0.2300038000, 0.2567228000, 0.3188636000, 0.4866437000, 0.9666155000", \ - "0.2616856000, 0.2671518000, 0.2795946000, 0.3063502000, 0.3686066000, 0.5365278000, 1.0179817000", \ - "0.3370523000, 0.3425241000, 0.3549210000, 0.3817270000, 0.4439585000, 0.6116562000, 1.0954780000", \ - "0.4493714000, 0.4548520000, 0.4673137000, 0.4941297000, 0.5563930000, 0.7243825000, 1.2039661000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014356300, 0.0041220800, 0.0118356000, 0.0339830000, 0.0975742000, 0.2801610000"); - values("0.1571399000, 0.1621186000, 0.1741598000, 0.2043890000, 0.2867641000, 0.5237674000, 1.1983349000", \ - "0.1613246000, 0.1663272000, 0.1784078000, 0.2086215000, 0.2911582000, 0.5273419000, 1.2045575000", \ - "0.1720246000, 0.1770254000, 0.1891067000, 0.2193270000, 0.3018769000, 0.5383117000, 1.2149426000", \ - "0.1950847000, 0.2000810000, 0.2121630000, 0.2423826000, 0.3249050000, 0.5612274000, 1.2382977000", \ - "0.2291415000, 0.2340940000, 0.2461538000, 0.2763939000, 0.3590666000, 0.5943425000, 1.2769436000", \ - "0.2734263000, 0.2783939000, 0.2904567000, 0.3206772000, 0.4033389000, 0.6385246000, 1.3154386000", \ - "0.3223817000, 0.3273860000, 0.3394470000, 0.3696734000, 0.4521021000, 0.6882060000, 1.3625058000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014356300, 0.0041220800, 0.0118356000, 0.0339830000, 0.0975742000, 0.2801610000"); - values("0.0184063000, 0.0226118000, 0.0325644000, 0.0583356000, 0.1318743000, 0.3542606000, 1.0034532000", \ - "0.0183612000, 0.0224610000, 0.0326684000, 0.0583428000, 0.1314964000, 0.3537693000, 0.9971901000", \ - "0.0184250000, 0.0225465000, 0.0324889000, 0.0583878000, 0.1315636000, 0.3551414000, 1.0051634000", \ - "0.0183911000, 0.0226027000, 0.0324179000, 0.0583980000, 0.1319306000, 0.3551067000, 1.0025240000", \ - "0.0183660000, 0.0223485000, 0.0325527000, 0.0585118000, 0.1315821000, 0.3532157000, 0.9977639000", \ - "0.0183796000, 0.0225400000, 0.0326131000, 0.0584385000, 0.1316764000, 0.3526944000, 0.9902571000", \ - "0.0185165000, 0.0227364000, 0.0325225000, 0.0584717000, 0.1319469000, 0.3512565000, 0.9899489000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014356300, 0.0041220800, 0.0118356000, 0.0339830000, 0.0975742000, 0.2801610000"); - values("0.0161815000, 0.0209072000, 0.0343143000, 0.0738009000, 0.1907700000, 0.5316231000, 1.5022874000", \ - "0.0162595000, 0.0209581000, 0.0342568000, 0.0739565000, 0.1907952000, 0.5294999000, 1.5031547000", \ - "0.0162657000, 0.0209436000, 0.0343027000, 0.0738931000, 0.1907606000, 0.5283185000, 1.5033081000", \ - "0.0162662000, 0.0209507000, 0.0343107000, 0.0738603000, 0.1907819000, 0.5303180000, 1.5024252000", \ - "0.0162250000, 0.0209078000, 0.0342806000, 0.0739775000, 0.1905092000, 0.5296794000, 1.5070324000", \ - "0.0162652000, 0.0210224000, 0.0342964000, 0.0738649000, 0.1904057000, 0.5279427000, 1.4965156000", \ - "0.0163513000, 0.0210912000, 0.0344305000, 0.0740032000, 0.1905709000, 0.5296388000, 1.4954880000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkdlybuf4s18_1") { - leakage_power () { - value : 0.0043114000; - when : "A"; - } - leakage_power () { - value : 0.0042517000; - when : "!A"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0042815450; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0022010000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020920000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023110000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0272446000, 0.0262207000, 0.0231923000, 0.0144416000, -0.008952500, -0.070287900, -0.230678300", \ - "0.0270501000, 0.0260299000, 0.0229855000, 0.0142490000, -0.009167400, -0.070513600, -0.230906700", \ - "0.0267812000, 0.0257585000, 0.0227279000, 0.0139843000, -0.009421500, -0.070754700, -0.231128400", \ - "0.0265320000, 0.0255085000, 0.0224755000, 0.0136958000, -0.009717600, -0.071046000, -0.231416000", \ - "0.0263619000, 0.0253522000, 0.0223126000, 0.0135240000, -0.009875400, -0.071209200, -0.231563700", \ - "0.0268106000, 0.0256112000, 0.0223518000, 0.0139895000, -0.009303300, -0.070638200, -0.230964500", \ - "0.0282394000, 0.0270297000, 0.0237833000, 0.0150044000, -0.008431000, -0.069287800, -0.229658000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0216766000, 0.0231276000, 0.0266856000, 0.0355889000, 0.0586721000, 0.1193819000, 0.2791193000", \ - "0.0214183000, 0.0228783000, 0.0264314000, 0.0353282000, 0.0584545000, 0.1190857000, 0.2781134000", \ - "0.0211024000, 0.0225637000, 0.0261177000, 0.0350108000, 0.0581377000, 0.1187770000, 0.2777777000", \ - "0.0207337000, 0.0222094000, 0.0257653000, 0.0346563000, 0.0577267000, 0.1182074000, 0.2776320000", \ - "0.0205673000, 0.0220316000, 0.0255764000, 0.0345001000, 0.0577998000, 0.1182312000, 0.2766559000", \ - "0.0211642000, 0.0225527000, 0.0260631000, 0.0347698000, 0.0579774000, 0.1182422000, 0.2785436000", \ - "0.0224268000, 0.0238299000, 0.0273588000, 0.0362999000, 0.0597708000, 0.1204189000, 0.2785392000"); - } - } - max_capacitance : 0.1602270000; - max_transition : 1.5056410000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.1601309000, 0.1670110000, 0.1820362000, 0.2149422000, 0.2942774000, 0.4995324000, 1.0401193000", \ - "0.1655510000, 0.1723567000, 0.1874454000, 0.2203586000, 0.2996971000, 0.5053480000, 1.0422966000", \ - "0.1785633000, 0.1854431000, 0.2004919000, 0.2334070000, 0.3127460000, 0.5180313000, 1.0553149000", \ - "0.2087265000, 0.2156040000, 0.2306470000, 0.2634807000, 0.3428702000, 0.5483557000, 1.0890383000", \ - "0.2604392000, 0.2673121000, 0.2822966000, 0.3152022000, 0.3945763000, 0.6004146000, 1.1370832000", \ - "0.3395066000, 0.3463765000, 0.3614199000, 0.3945100000, 0.4739237000, 0.6791467000, 1.2163414000", \ - "0.4587110000, 0.4655683000, 0.4806025000, 0.5135620000, 0.5930457000, 0.7985758000, 1.3355441000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.1586736000, 0.1649786000, 0.1799063000, 0.2165194000, 0.3103829000, 0.5556987000, 1.1996036000", \ - "0.1628206000, 0.1691583000, 0.1840652000, 0.2206639000, 0.3146175000, 0.5604225000, 1.2066814000", \ - "0.1736424000, 0.1799824000, 0.1948904000, 0.2314980000, 0.3254227000, 0.5713359000, 1.2175213000", \ - "0.1970717000, 0.2033669000, 0.2182956000, 0.2549900000, 0.3489741000, 0.5966482000, 1.2426074000", \ - "0.2324570000, 0.2387521000, 0.2536805000, 0.2903611000, 0.3844558000, 0.6306103000, 1.2731631000", \ - "0.2782563000, 0.2846123000, 0.2995530000, 0.3362103000, 0.4302849000, 0.6754737000, 1.3201495000", \ - "0.3293646000, 0.3357032000, 0.3506769000, 0.3874627000, 0.4815727000, 0.7277968000, 1.3692130000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0201129000, 0.0259433000, 0.0406893000, 0.0781913000, 0.1803825000, 0.4583635000, 1.1705076000", \ - "0.0199524000, 0.0259926000, 0.0405284000, 0.0784045000, 0.1815932000, 0.4556939000, 1.1674293000", \ - "0.0200612000, 0.0258241000, 0.0404742000, 0.0781479000, 0.1805806000, 0.4580576000, 1.1716600000", \ - "0.0200614000, 0.0258362000, 0.0405024000, 0.0781733000, 0.1815148000, 0.4582763000, 1.1696587000", \ - "0.0200251000, 0.0260135000, 0.0406532000, 0.0781923000, 0.1814614000, 0.4531070000, 1.1693088000", \ - "0.0201091000, 0.0259026000, 0.0405551000, 0.0781297000, 0.1793036000, 0.4552041000, 1.1704430000", \ - "0.0200612000, 0.0262660000, 0.0407635000, 0.0783850000, 0.1817114000, 0.4542726000, 1.1710500000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0192022000, 0.0262805000, 0.0450800000, 0.0956750000, 0.2294641000, 0.5805328000, 1.5015692000", \ - "0.0192689000, 0.0263121000, 0.0451689000, 0.0956149000, 0.2294747000, 0.5828621000, 1.5027655000", \ - "0.0192712000, 0.0263122000, 0.0451684000, 0.0956372000, 0.2294869000, 0.5827684000, 1.5026399000", \ - "0.0192950000, 0.0262378000, 0.0451147000, 0.0955522000, 0.2289782000, 0.5824441000, 1.5050420000", \ - "0.0192283000, 0.0262786000, 0.0451216000, 0.0954549000, 0.2295377000, 0.5818896000, 1.4956502000", \ - "0.0193305000, 0.0263327000, 0.0450946000, 0.0955561000, 0.2288220000, 0.5802709000, 1.5056414000", \ - "0.0194301000, 0.0264041000, 0.0452457000, 0.0956502000, 0.2292362000, 0.5817281000, 1.4973256000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkdlybuf4s18_2") { - leakage_power () { - value : 0.0056127000; - when : "A"; - } - leakage_power () { - value : 0.0042917000; - when : "!A"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0049522000; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0022060000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020970000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023160000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0299015000, 0.0286243000, 0.0249267000, 0.0129070000, -0.024243300, -0.133094100, -0.448981800", \ - "0.0296601000, 0.0283755000, 0.0247071000, 0.0127081000, -0.024459600, -0.133318800, -0.449107200", \ - "0.0294065000, 0.0281164000, 0.0244678000, 0.0124424000, -0.024712400, -0.133577700, -0.449338800", \ - "0.0291469000, 0.0278770000, 0.0241646000, 0.0121607000, -0.024997000, -0.133862500, -0.449618800", \ - "0.0289807000, 0.0276965000, 0.0240055000, 0.0119916000, -0.025162300, -0.134000700, -0.449819400", \ - "0.0300245000, 0.0286297000, 0.0244814000, 0.0119546000, -0.024874400, -0.133688900, -0.449517600", \ - "0.0315764000, 0.0301419000, 0.0259855000, 0.0136347000, -0.023683700, -0.132168100, -0.447984300"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0259920000, 0.0277205000, 0.0325060000, 0.0456211000, 0.0827483000, 0.1903330000, 0.5005356000", \ - "0.0257600000, 0.0274951000, 0.0322401000, 0.0453951000, 0.0825479000, 0.1901800000, 0.5032978000", \ - "0.0254276000, 0.0271614000, 0.0318859000, 0.0449789000, 0.0822371000, 0.1898742000, 0.5004633000", \ - "0.0250594000, 0.0267907000, 0.0315628000, 0.0446524000, 0.0818903000, 0.1895503000, 0.5021157000", \ - "0.0248656000, 0.0266034000, 0.0313902000, 0.0445033000, 0.0816806000, 0.1895494000, 0.5027799000", \ - "0.0256096000, 0.0272765000, 0.0319655000, 0.0448702000, 0.0822305000, 0.1893407000, 0.5024173000", \ - "0.0269716000, 0.0285748000, 0.0332576000, 0.0464633000, 0.0839843000, 0.1917898000, 0.5039006000"); - } - } - max_capacitance : 0.2975560000; - max_transition : 1.5151690000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.1727073000, 0.1782241000, 0.1909765000, 0.2187490000, 0.2839887000, 0.4625739000, 0.9789232000", \ - "0.1780168000, 0.1834989000, 0.1962452000, 0.2240261000, 0.2893556000, 0.4681527000, 0.9849378000", \ - "0.1909209000, 0.1964700000, 0.2092225000, 0.2369807000, 0.3021932000, 0.4810196000, 0.9971602000", \ - "0.2207655000, 0.2262672000, 0.2389405000, 0.2667098000, 0.3319411000, 0.5108129000, 1.0270421000", \ - "0.2708275000, 0.2763641000, 0.2891457000, 0.3168763000, 0.3822005000, 0.5607784000, 1.0779414000", \ - "0.3467611000, 0.3522867000, 0.3650466000, 0.3926786000, 0.4580688000, 0.6364800000, 1.1542023000", \ - "0.4606014000, 0.4661036000, 0.4788278000, 0.5066211000, 0.5719822000, 0.7503727000, 1.2658896000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.1619170000, 0.1668150000, 0.1787529000, 0.2085414000, 0.2902463000, 0.5247637000, 1.2066319000", \ - "0.1661579000, 0.1710489000, 0.1829822000, 0.2127525000, 0.2942531000, 0.5300329000, 1.2134387000", \ - "0.1769759000, 0.1818763000, 0.1937796000, 0.2234999000, 0.3049226000, 0.5408505000, 1.2233601000", \ - "0.2004336000, 0.2053546000, 0.2172959000, 0.2469591000, 0.3286511000, 0.5641278000, 1.2457488000", \ - "0.2357841000, 0.2406810000, 0.2526219000, 0.2823870000, 0.3639525000, 0.5984536000, 1.2869472000", \ - "0.2822092000, 0.2871247000, 0.2990624000, 0.3287819000, 0.4104689000, 0.6449356000, 1.3268051000", \ - "0.3353205000, 0.3402435000, 0.3521998000, 0.3820146000, 0.4637562000, 0.6990492000, 1.3778052000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0191424000, 0.0233200000, 0.0335267000, 0.0601397000, 0.1373015000, 0.3756722000, 1.0745347000", \ - "0.0191214000, 0.0234488000, 0.0335290000, 0.0600800000, 0.1375418000, 0.3737828000, 1.0704024000", \ - "0.0192457000, 0.0232000000, 0.0335562000, 0.0600216000, 0.1376117000, 0.3736605000, 1.0676069000", \ - "0.0190969000, 0.0232939000, 0.0335367000, 0.0600577000, 0.1374776000, 0.3730330000, 1.0683545000", \ - "0.0190842000, 0.0233245000, 0.0332874000, 0.0602506000, 0.1375851000, 0.3754851000, 1.0664193000", \ - "0.0191752000, 0.0233382000, 0.0334287000, 0.0603075000, 0.1371682000, 0.3736098000, 1.0627257000", \ - "0.0192370000, 0.0232660000, 0.0336408000, 0.0602494000, 0.1374797000, 0.3710811000, 1.0607779000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0165624000, 0.0210814000, 0.0339756000, 0.0726012000, 0.1878837000, 0.5257051000, 1.5055314000", \ - "0.0165208000, 0.0210588000, 0.0340170000, 0.0724509000, 0.1881689000, 0.5264050000, 1.5126742000", \ - "0.0164511000, 0.0210471000, 0.0340402000, 0.0726356000, 0.1881550000, 0.5260041000, 1.5075764000", \ - "0.0165270000, 0.0210876000, 0.0340510000, 0.0724462000, 0.1879487000, 0.5263582000, 1.5098770000", \ - "0.0165154000, 0.0210675000, 0.0340736000, 0.0726330000, 0.1881602000, 0.5268799000, 1.5151690000", \ - "0.0165715000, 0.0211193000, 0.0340867000, 0.0725822000, 0.1877956000, 0.5253253000, 1.5106827000", \ - "0.0167522000, 0.0212453000, 0.0340871000, 0.0726697000, 0.1879135000, 0.5256184000, 1.4996508000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkdlybuf4s25_1") { - leakage_power () { - value : 0.0048215000; - when : "A"; - } - leakage_power () { - value : 0.0027172000; - when : "!A"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0037693760; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0022080000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020960000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023210000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012965110, 0.0033618830, 0.0087174390, 0.0226045200, 0.0586140200, 0.1519875000"); - values("0.0294447000, 0.0284653000, 0.0256198000, 0.0172616000, -0.005172900, -0.063579600, -0.214901100", \ - "0.0292603000, 0.0282833000, 0.0254285000, 0.0170713000, -0.005378500, -0.063772700, -0.215072500", \ - "0.0290075000, 0.0280060000, 0.0251558000, 0.0168070000, -0.005637600, -0.064037100, -0.215375400", \ - "0.0287227000, 0.0277239000, 0.0248733000, 0.0165246000, -0.005926500, -0.064330600, -0.215625600", \ - "0.0285525000, 0.0275795000, 0.0246793000, 0.0163407000, -0.006105400, -0.064500000, -0.215833800", \ - "0.0291449000, 0.0279378000, 0.0246925000, 0.0166882000, -0.005754800, -0.064144500, -0.215451700", \ - "0.0305054000, 0.0292743000, 0.0261220000, 0.0176012000, -0.004847600, -0.062922600, -0.214219900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012965110, 0.0033618830, 0.0087174390, 0.0226045200, 0.0586140200, 0.1519875000"); - values("0.0237801000, 0.0252565000, 0.0287833000, 0.0374465000, 0.0596076000, 0.1171852000, 0.2672780000", \ - "0.0235561000, 0.0250265000, 0.0285512000, 0.0372415000, 0.0595998000, 0.1175315000, 0.2667272000", \ - "0.0231775000, 0.0246572000, 0.0282047000, 0.0368850000, 0.0589747000, 0.1166560000, 0.2667178000", \ - "0.0227973000, 0.0242693000, 0.0277892000, 0.0364817000, 0.0588362000, 0.1167759000, 0.2661722000", \ - "0.0225736000, 0.0240637000, 0.0276198000, 0.0362775000, 0.0584402000, 0.1160657000, 0.2661756000", \ - "0.0232068000, 0.0245452000, 0.0278928000, 0.0364790000, 0.0585594000, 0.1160931000, 0.2660990000", \ - "0.0244972000, 0.0258762000, 0.0292537000, 0.0379707000, 0.0601390000, 0.1178825000, 0.2663021000"); - } - } - max_capacitance : 0.1519870000; - max_transition : 1.5088010000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012965100, 0.0033618800, 0.0087174400, 0.0226045000, 0.0586140000, 0.1519870000"); - values("0.2082778000, 0.2152867000, 0.2306441000, 0.2635193000, 0.3386665000, 0.5286411000, 1.0212748000", \ - "0.2135772000, 0.2205776000, 0.2359329000, 0.2688147000, 0.3439430000, 0.5338784000, 1.0247986000", \ - "0.2265824000, 0.2334619000, 0.2488182000, 0.2816846000, 0.3568322000, 0.5468611000, 1.0393335000", \ - "0.2569186000, 0.2638345000, 0.2792016000, 0.3120268000, 0.3871634000, 0.5769390000, 1.0692698000", \ - "0.3113429000, 0.3183462000, 0.3335837000, 0.3664597000, 0.4415747000, 0.6315122000, 1.1249014000", \ - "0.3945561000, 0.4015240000, 0.4168422000, 0.4497408000, 0.5249531000, 0.7147425000, 1.2071092000", \ - "0.5201776000, 0.5271235000, 0.5424748000, 0.5753274000, 0.6505626000, 0.8403707000, 1.3314112000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012965100, 0.0033618800, 0.0087174400, 0.0226045000, 0.0586140000, 0.1519870000"); - values("0.2129309000, 0.2195064000, 0.2350954000, 0.2728174000, 0.3680223000, 0.6142645000, 1.2557803000", \ - "0.2171841000, 0.2237844000, 0.2393148000, 0.2770489000, 0.3722035000, 0.6186719000, 1.2571638000", \ - "0.2277189000, 0.2343590000, 0.2498695000, 0.2874578000, 0.3829007000, 0.6290688000, 1.2726254000", \ - "0.2517516000, 0.2583414000, 0.2738460000, 0.3115714000, 0.4067048000, 0.6533079000, 1.2949940000", \ - "0.2901077000, 0.2967414000, 0.3122800000, 0.3500157000, 0.4452530000, 0.6915544000, 1.3349264000", \ - "0.3414299000, 0.3479844000, 0.3635598000, 0.4012892000, 0.4964454000, 0.7438180000, 1.3830814000", \ - "0.4037811000, 0.4103696000, 0.4259907000, 0.4637630000, 0.5590108000, 0.8049453000, 1.4432101000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012965100, 0.0033618800, 0.0087174400, 0.0226045000, 0.0586140000, 0.1519870000"); - values("0.0252720000, 0.0309681000, 0.0451204000, 0.0800968000, 0.1735552000, 0.4264966000, 1.0918062000", \ - "0.0252504000, 0.0308360000, 0.0451472000, 0.0800958000, 0.1727007000, 0.4263627000, 1.0774941000", \ - "0.0250326000, 0.0309010000, 0.0450787000, 0.0800970000, 0.1733802000, 0.4263922000, 1.0770443000", \ - "0.0250936000, 0.0309525000, 0.0453330000, 0.0800269000, 0.1732998000, 0.4229702000, 1.0864448000", \ - "0.0250271000, 0.0310915000, 0.0451695000, 0.0802430000, 0.1731858000, 0.4263900000, 1.0826293000", \ - "0.0252722000, 0.0308265000, 0.0452229000, 0.0801452000, 0.1724058000, 0.4249536000, 1.0733850000", \ - "0.0252257000, 0.0310887000, 0.0454604000, 0.0801892000, 0.1733360000, 0.4232177000, 1.0762610000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012965100, 0.0033618800, 0.0087174400, 0.0226045000, 0.0586140000, 0.1519870000"); - values("0.0242592000, 0.0315155000, 0.0507595000, 0.1017972000, 0.2363794000, 0.5891164000, 1.5006447000", \ - "0.0242383000, 0.0315573000, 0.0507816000, 0.1018469000, 0.2364249000, 0.5890283000, 1.4994983000", \ - "0.0243167000, 0.0316166000, 0.0506793000, 0.1017796000, 0.2360383000, 0.5871254000, 1.5044088000", \ - "0.0242471000, 0.0315323000, 0.0507912000, 0.1017696000, 0.2364147000, 0.5893364000, 1.5088013000", \ - "0.0243483000, 0.0315297000, 0.0507503000, 0.1015993000, 0.2363386000, 0.5877164000, 1.5062632000", \ - "0.0243165000, 0.0315250000, 0.0508146000, 0.1018857000, 0.2354560000, 0.5882609000, 1.5016127000", \ - "0.0243646000, 0.0316093000, 0.0507361000, 0.1019537000, 0.2363437000, 0.5867514000, 1.4963196000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkdlybuf4s25_2") { - leakage_power () { - value : 0.0055573000; - when : "A"; - } - leakage_power () { - value : 0.0043928000; - when : "!A"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0049750230; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0022090000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020910000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023270000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162100, 0.0354480400, 0.1028603000, 0.2984718000"); - values("0.0317599000, 0.0304441000, 0.0267952000, 0.0150108000, -0.021995500, -0.131117300, -0.448014600", \ - "0.0315785000, 0.0302522000, 0.0265952000, 0.0148156000, -0.022177500, -0.131308900, -0.448183600", \ - "0.0313321000, 0.0300058000, 0.0263490000, 0.0145722000, -0.022426800, -0.131537600, -0.448439100", \ - "0.0310685000, 0.0297869000, 0.0260954000, 0.0142889000, -0.022659700, -0.131811100, -0.448757500", \ - "0.0308656000, 0.0295549000, 0.0258755000, 0.0141247000, -0.022898500, -0.131995800, -0.448874400", \ - "0.0312514000, 0.0297809000, 0.0257913000, 0.0142840000, -0.022735400, -0.131814100, -0.448715500", \ - "0.0336536000, 0.0322035000, 0.0279042000, 0.0154088000, -0.021717700, -0.130511200, -0.447390200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162100, 0.0354480400, 0.1028603000, 0.2984718000"); - values("0.0281218000, 0.0298910000, 0.0347636000, 0.0480748000, 0.0853590000, 0.1933231000, 0.5094841000", \ - "0.0278924000, 0.0296553000, 0.0345334000, 0.0478561000, 0.0851280000, 0.1929841000, 0.5069158000", \ - "0.0275714000, 0.0293173000, 0.0342208000, 0.0474564000, 0.0848213000, 0.1925054000, 0.5065460000", \ - "0.0271444000, 0.0289157000, 0.0338000000, 0.0470046000, 0.0843398000, 0.1922992000, 0.5033316000", \ - "0.0268840000, 0.0286377000, 0.0334683000, 0.0467253000, 0.0840784000, 0.1927716000, 0.5057107000", \ - "0.0277897000, 0.0294378000, 0.0340218000, 0.0469699000, 0.0842959000, 0.1921814000, 0.5062916000", \ - "0.0290598000, 0.0306620000, 0.0353267000, 0.0486441000, 0.0861095000, 0.1943192000, 0.5057790000"); - } - } - max_capacitance : 0.2984720000; - max_transition : 1.5053620000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.2320593000, 0.2383253000, 0.2526334000, 0.2830350000, 0.3517334000, 0.5317689000, 1.0493246000", \ - "0.2372284000, 0.2434730000, 0.2577819000, 0.2881924000, 0.3568618000, 0.5369358000, 1.0540786000", \ - "0.2500241000, 0.2562689000, 0.2705771000, 0.3009871000, 0.3696684000, 0.5497339000, 1.0672416000", \ - "0.2801764000, 0.2864337000, 0.3007224000, 0.3311670000, 0.3997842000, 0.5798260000, 1.0980243000", \ - "0.3343337000, 0.3405662000, 0.3547331000, 0.3852631000, 0.4538654000, 0.6340705000, 1.1513958000", \ - "0.4165880000, 0.4227996000, 0.4370845000, 0.4675142000, 0.5360875000, 0.7163538000, 1.2339938000", \ - "0.5405628000, 0.5468255000, 0.5610837000, 0.5914735000, 0.6601863000, 0.8402026000, 1.3573483000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.2221131000, 0.2273998000, 0.2400569000, 0.2705993000, 0.3521583000, 0.5864022000, 1.2655308000", \ - "0.2262701000, 0.2315608000, 0.2441429000, 0.2746914000, 0.3560859000, 0.5894558000, 1.2687759000", \ - "0.2368684000, 0.2421244000, 0.2548019000, 0.2852918000, 0.3670468000, 0.6005322000, 1.2797735000", \ - "0.2607615000, 0.2660432000, 0.2786604000, 0.3090888000, 0.3905835000, 0.6241483000, 1.3037266000", \ - "0.2986053000, 0.3038854000, 0.3164471000, 0.3469339000, 0.4285429000, 0.6620636000, 1.3392635000", \ - "0.3496491000, 0.3549350000, 0.3675044000, 0.3980363000, 0.4795027000, 0.7137165000, 1.3898053000", \ - "0.4120860000, 0.4173387000, 0.4300120000, 0.4605727000, 0.5423256000, 0.7764178000, 1.4518068000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.0242260000, 0.0284228000, 0.0394207000, 0.0667045000, 0.1429071000, 0.3782578000, 1.0741625000", \ - "0.0242298000, 0.0285776000, 0.0392148000, 0.0666628000, 0.1429783000, 0.3770990000, 1.0798112000", \ - "0.0242294000, 0.0285767000, 0.0392320000, 0.0666846000, 0.1429488000, 0.3774675000, 1.0792035000", \ - "0.0244708000, 0.0288439000, 0.0392187000, 0.0669041000, 0.1427183000, 0.3778130000, 1.0674157000", \ - "0.0245026000, 0.0288519000, 0.0394102000, 0.0668041000, 0.1430945000, 0.3762459000, 1.0786936000", \ - "0.0243862000, 0.0285559000, 0.0396617000, 0.0668433000, 0.1428747000, 0.3785981000, 1.0686014000", \ - "0.0245195000, 0.0289031000, 0.0393937000, 0.0669342000, 0.1430542000, 0.3766722000, 1.0679359000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014508600, 0.0042099900, 0.0122162000, 0.0354480000, 0.1028600000, 0.2984720000"); - values("0.0194055000, 0.0239085000, 0.0367551000, 0.0744424000, 0.1884572000, 0.5240126000, 1.5049735000", \ - "0.0193849000, 0.0239102000, 0.0368279000, 0.0744064000, 0.1882490000, 0.5245436000, 1.5053624000", \ - "0.0192988000, 0.0240084000, 0.0368316000, 0.0745036000, 0.1884740000, 0.5233376000, 1.5045146000", \ - "0.0192767000, 0.0238896000, 0.0367765000, 0.0745278000, 0.1881726000, 0.5246377000, 1.4996213000", \ - "0.0192646000, 0.0238405000, 0.0368498000, 0.0745383000, 0.1884086000, 0.5235498000, 1.5034985000", \ - "0.0192983000, 0.0238955000, 0.0368661000, 0.0745125000, 0.1878626000, 0.5245513000, 1.5019068000", \ - "0.0193725000, 0.0240205000, 0.0368429000, 0.0744872000, 0.1884070000, 0.5239245000, 1.4957389000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkdlybuf4s50_1") { - leakage_power () { - value : 0.0044360000; - when : "A"; - } - leakage_power () { - value : 0.0033888000; - when : "!A"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0039124260; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0021710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020550000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0022860000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0356694000, 0.0347865000, 0.0321712000, 0.0242457000, 0.0015850000, -0.058454100, -0.214946200", \ - "0.0355259000, 0.0346390000, 0.0320273000, 0.0241081000, 0.0014384000, -0.058631700, -0.215092400", \ - "0.0353066000, 0.0344061000, 0.0318337000, 0.0238498000, 0.0011742000, -0.058862000, -0.215338600", \ - "0.0350185000, 0.0341747000, 0.0315360000, 0.0235914000, 0.0009471000, -0.059154700, -0.215592400", \ - "0.0347329000, 0.0338543000, 0.0312172000, 0.0232650000, 0.0005983000, -0.059462200, -0.215920500", \ - "0.0347417000, 0.0338365000, 0.0312608000, 0.0233159000, 0.0006236000, -0.059419100, -0.215908400", \ - "0.0377215000, 0.0364629000, 0.0332317000, 0.0245921000, 0.0019215000, -0.058052200, -0.214495300"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0291934000, 0.0309049000, 0.0351352000, 0.0448053000, 0.0677513000, 0.1266838000, 0.2824883000", \ - "0.0290784000, 0.0308061000, 0.0350471000, 0.0446335000, 0.0676203000, 0.1266248000, 0.2810716000", \ - "0.0287823000, 0.0305020000, 0.0347651000, 0.0443399000, 0.0673336000, 0.1263268000, 0.2820978000", \ - "0.0283122000, 0.0300352000, 0.0343012000, 0.0438814000, 0.0668363000, 0.1258999000, 0.2816289000", \ - "0.0277581000, 0.0294924000, 0.0337668000, 0.0433700000, 0.0663719000, 0.1252529000, 0.2811110000", \ - "0.0278175000, 0.0295314000, 0.0338087000, 0.0434701000, 0.0664175000, 0.1259060000, 0.2798105000", \ - "0.0310595000, 0.0323685000, 0.0358129000, 0.0447211000, 0.0672502000, 0.1261994000, 0.2821123000"); - } - } - max_capacitance : 0.1565650000; - max_transition : 1.4953150000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.3773694000, 0.3866315000, 0.4059351000, 0.4460350000, 0.5308621000, 0.7307884000, 1.2462394000", \ - "0.3827907000, 0.3920035000, 0.4113206000, 0.4514379000, 0.5363058000, 0.7363902000, 1.2523417000", \ - "0.3957985000, 0.4050356000, 0.4246235000, 0.4643653000, 0.5490737000, 0.7493524000, 1.2642087000", \ - "0.4264131000, 0.4354225000, 0.4550432000, 0.4950519000, 0.5798348000, 0.7797263000, 1.2956545000", \ - "0.4899625000, 0.4991952000, 0.5187372000, 0.5585674000, 0.6433798000, 0.8431870000, 1.3596501000", \ - "0.5922047000, 0.6013856000, 0.6209706000, 0.6609322000, 0.7456171000, 0.9457167000, 1.4619773000", \ - "0.7490191000, 0.7582726000, 0.7778595000, 0.8177564000, 0.9025245000, 1.1023615000, 1.6169032000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.4338853000, 0.4419386000, 0.4598301000, 0.4994158000, 0.5958226000, 0.8412734000, 1.4799054000", \ - "0.4382267000, 0.4463970000, 0.4642392000, 0.5037932000, 0.6002258000, 0.8456745000, 1.4838808000", \ - "0.4483291000, 0.4564497000, 0.4743768000, 0.5139720000, 0.6104150000, 0.8558570000, 1.4945366000", \ - "0.4716155000, 0.4797333000, 0.4976726000, 0.5372944000, 0.6337137000, 0.8791722000, 1.5180681000", \ - "0.5163753000, 0.5245345000, 0.5424525000, 0.5822786000, 0.6784374000, 0.9238412000, 1.5635842000", \ - "0.5815561000, 0.5897093000, 0.6075742000, 0.6472572000, 0.7434043000, 0.9887656000, 1.6259988000", \ - "0.6654859000, 0.6735275000, 0.6914279000, 0.7310315000, 0.8274398000, 1.0729262000, 1.7124713000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0316835000, 0.0388641000, 0.0549857000, 0.0928791000, 0.1875223000, 0.4420750000, 1.1274486000", \ - "0.0316710000, 0.0389587000, 0.0552536000, 0.0928749000, 0.1869992000, 0.4419652000, 1.1307008000", \ - "0.0320343000, 0.0387162000, 0.0552029000, 0.0931895000, 0.1868753000, 0.4420961000, 1.1277218000", \ - "0.0316108000, 0.0392974000, 0.0548875000, 0.0928749000, 0.1875972000, 0.4425213000, 1.1285571000", \ - "0.0319514000, 0.0388730000, 0.0546436000, 0.0931587000, 0.1873998000, 0.4437640000, 1.1342893000", \ - "0.0320745000, 0.0388654000, 0.0551964000, 0.0929497000, 0.1874806000, 0.4441730000, 1.1316580000", \ - "0.0322273000, 0.0391140000, 0.0546263000, 0.0930356000, 0.1875851000, 0.4402832000, 1.1261767000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0276344000, 0.0351738000, 0.0537110000, 0.1024539000, 0.2339757000, 0.5807068000, 1.4935095000", \ - "0.0276508000, 0.0351528000, 0.0536777000, 0.1026704000, 0.2336994000, 0.5802373000, 1.4923178000", \ - "0.0276189000, 0.0351725000, 0.0537572000, 0.1025695000, 0.2340395000, 0.5807012000, 1.4946661000", \ - "0.0275801000, 0.0351757000, 0.0537524000, 0.1025093000, 0.2340426000, 0.5808007000, 1.4953152000", \ - "0.0276200000, 0.0351283000, 0.0537263000, 0.1024870000, 0.2343969000, 0.5810189000, 1.4948855000", \ - "0.0276904000, 0.0350172000, 0.0536907000, 0.1024864000, 0.2343737000, 0.5812389000, 1.4898336000", \ - "0.0277196000, 0.0351690000, 0.0537398000, 0.1025453000, 0.2341415000, 0.5807450000, 1.4922109000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkdlybuf4s50_2") { - leakage_power () { - value : 0.0049600000; - when : "A"; - } - leakage_power () { - value : 0.0037643000; - when : "!A"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0043621410; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0021630000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020480000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0022780000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014463750, 0.0041840030, 0.0121032800, 0.0350117600, 0.1012803000, 0.2929786000"); - values("0.0383701000, 0.0369668000, 0.0333379000, 0.0221214000, -0.013492500, -0.120477300, -0.431155300", \ - "0.0382382000, 0.0368254000, 0.0331611000, 0.0220014000, -0.013631800, -0.120616400, -0.431295200", \ - "0.0380825000, 0.0366625000, 0.0330077000, 0.0218009000, -0.013858400, -0.120894700, -0.431581500", \ - "0.0377203000, 0.0363170000, 0.0326893000, 0.0214688000, -0.014145900, -0.121132200, -0.431806200", \ - "0.0373916000, 0.0359934000, 0.0323341000, 0.0211362000, -0.014487000, -0.121473700, -0.432128200", \ - "0.0376830000, 0.0362956000, 0.0326903000, 0.0215237000, -0.014131300, -0.121148100, -0.431675500", \ - "0.0410555000, 0.0395205000, 0.0353160000, 0.0227424000, -0.013615300, -0.120470000, -0.431137400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014463750, 0.0041840030, 0.0121032800, 0.0350117600, 0.1012803000, 0.2929786000"); - values("0.0343124000, 0.0360471000, 0.0411531000, 0.0551321000, 0.0923919000, 0.1982947000, 0.5052354000", \ - "0.0341649000, 0.0359164000, 0.0410359000, 0.0549152000, 0.0922051000, 0.1981304000, 0.5050724000", \ - "0.0338678000, 0.0356237000, 0.0407398000, 0.0546185000, 0.0918980000, 0.1978081000, 0.5046376000", \ - "0.0333774000, 0.0351298000, 0.0402474000, 0.0541272000, 0.0914148000, 0.1973356000, 0.5042507000", \ - "0.0329133000, 0.0346620000, 0.0398161000, 0.0536982000, 0.0909423000, 0.1968682000, 0.5058711000", \ - "0.0328070000, 0.0345700000, 0.0396984000, 0.0536547000, 0.0909280000, 0.1968555000, 0.5037660000", \ - "0.0360148000, 0.0376103000, 0.0422299000, 0.0552015000, 0.0916385000, 0.1975417000, 0.5046604000"); - } - } - max_capacitance : 0.2929790000; - max_transition : 1.4962560000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.4359881000, 0.4447042000, 0.4638161000, 0.5023029000, 0.5810699000, 0.7654710000, 1.2724614000", \ - "0.4414019000, 0.4501133000, 0.4692197000, 0.5077238000, 0.5864321000, 0.7709011000, 1.2778396000", \ - "0.4543728000, 0.4630956000, 0.4821782000, 0.5205568000, 0.5994980000, 0.7840965000, 1.2901378000", \ - "0.4850239000, 0.4937432000, 0.5128555000, 0.5513426000, 0.6300983000, 0.8144980000, 1.3214930000", \ - "0.5481747000, 0.5569677000, 0.5760386000, 0.6144626000, 0.6931171000, 0.8776495000, 1.3844806000", \ - "0.6499350000, 0.6582659000, 0.6773764000, 0.7156109000, 0.7947975000, 0.9794399000, 1.4850584000", \ - "0.8045387000, 0.8132747000, 0.8321545000, 0.8705885000, 0.9493204000, 1.1341575000, 1.6407440000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.4516314000, 0.4587200000, 0.4748357000, 0.5100185000, 0.5951438000, 0.8296256000, 1.5039497000", \ - "0.4560478000, 0.4631880000, 0.4792637000, 0.5144637000, 0.5996160000, 0.8341140000, 1.5112789000", \ - "0.4662508000, 0.4733881000, 0.4894690000, 0.5246694000, 0.6098118000, 0.8443092000, 1.5215081000", \ - "0.4896086000, 0.4967469000, 0.5128262000, 0.5480259000, 0.6331731000, 0.8676689000, 1.5447855000", \ - "0.5342555000, 0.5413868000, 0.5575027000, 0.5926842000, 0.6779026000, 0.9126911000, 1.5883977000", \ - "0.5991040000, 0.6062543000, 0.6223271000, 0.6575255000, 0.7427706000, 0.9773010000, 1.6537411000", \ - "0.6815608000, 0.6886974000, 0.7048143000, 0.7400265000, 0.8252534000, 1.0601161000, 1.7340727000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.0354654000, 0.0410010000, 0.0542620000, 0.0827060000, 0.1594262000, 0.3782999000, 1.0458977000", \ - "0.0354552000, 0.0410202000, 0.0535460000, 0.0829017000, 0.1594933000, 0.3785375000, 1.0464409000", \ - "0.0356161000, 0.0412680000, 0.0542871000, 0.0827740000, 0.1596412000, 0.3784040000, 1.0553443000", \ - "0.0354643000, 0.0410053000, 0.0542621000, 0.0827078000, 0.1594320000, 0.3783340000, 1.0452813000", \ - "0.0357671000, 0.0411731000, 0.0536928000, 0.0838031000, 0.1595595000, 0.3782802000, 1.0454534000", \ - "0.0354258000, 0.0411118000, 0.0542381000, 0.0830443000, 0.1593943000, 0.3787758000, 1.0502140000", \ - "0.0354288000, 0.0410975000, 0.0538718000, 0.0831152000, 0.1591991000, 0.3767684000, 1.0475598000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.0252296000, 0.0307799000, 0.0444623000, 0.0816741000, 0.1924377000, 0.5232896000, 1.4950523000", \ - "0.0251479000, 0.0307195000, 0.0444338000, 0.0817618000, 0.1926058000, 0.5243724000, 1.4953667000", \ - "0.0251469000, 0.0307173000, 0.0444385000, 0.0817660000, 0.1926023000, 0.5243620000, 1.4955474000", \ - "0.0251478000, 0.0307205000, 0.0444366000, 0.0817646000, 0.1925927000, 0.5243800000, 1.4953231000", \ - "0.0251663000, 0.0306469000, 0.0444545000, 0.0817529000, 0.1925081000, 0.5236723000, 1.4962557000", \ - "0.0251230000, 0.0305296000, 0.0443785000, 0.0817538000, 0.1927955000, 0.5241079000, 1.4956910000", \ - "0.0251971000, 0.0307249000, 0.0444955000, 0.0818072000, 0.1926417000, 0.5242277000, 1.4928627000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkinv_1") { - leakage_power () { - value : 0.0028987000; - when : "A"; - } - leakage_power () { - value : 0.0002364000; - when : "!A"; - } - area : 3.7536000000; - cell_footprint : "sky130_fd_sc_hd__clkinv"; - cell_leakage_power : 0.0015675820; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0030770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0029030000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0032510000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013461740, 0.0036243690, 0.0097580630, 0.0262721100, 0.0707336500, 0.1904396000"); - values("-0.004254200, -0.005376300, -0.008760700, -0.018465200, -0.045110300, -0.117093800, -0.311005900", \ - "-0.004705100, -0.005827400, -0.009113800, -0.018663100, -0.045227500, -0.117138100, -0.311018900", \ - "-0.005060200, -0.006253000, -0.009572800, -0.019034500, -0.045399300, -0.117211200, -0.311044000", \ - "-0.005183600, -0.006460500, -0.009945000, -0.019435100, -0.045699800, -0.117372900, -0.311121700", \ - "-0.004849100, -0.006215900, -0.009995900, -0.019798100, -0.046107100, -0.117642800, -0.311263100", \ - "-0.003924000, -0.005436700, -0.009358600, -0.019326400, -0.046188200, -0.117956500, -0.311455800", \ - "-0.001238300, -0.003405500, -0.007539200, -0.018105800, -0.045332000, -0.117606000, -0.311517800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013461740, 0.0036243690, 0.0097580630, 0.0262721100, 0.0707336500, 0.1904396000"); - values("0.0107401000, 0.0122870000, 0.0161564000, 0.0261613000, 0.0527221000, 0.1236883000, 0.3173350000", \ - "0.0104921000, 0.0120470000, 0.0159431000, 0.0260541000, 0.0525332000, 0.1240475000, 0.3173706000", \ - "0.0103535000, 0.0118689000, 0.0156721000, 0.0257812000, 0.0524659000, 0.1243616000, 0.3164176000", \ - "0.0103376000, 0.0118422000, 0.0155225000, 0.0255704000, 0.0520343000, 0.1238096000, 0.3160199000", \ - "0.0105936000, 0.0118966000, 0.0155513000, 0.0255054000, 0.0520953000, 0.1233491000, 0.3157957000", \ - "0.0113758000, 0.0122160000, 0.0161796000, 0.0253998000, 0.0522247000, 0.1235751000, 0.3164786000", \ - "0.0135256000, 0.0147491000, 0.0181029000, 0.0270342000, 0.0530916000, 0.1234263000, 0.3158540000"); - } - } - max_capacitance : 0.1904400000; - max_transition : 1.4907830000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0241554000, 0.0298103000, 0.0438860000, 0.0794526000, 0.1734056000, 0.4266369000, 1.1077180000", \ - "0.0278767000, 0.0334803000, 0.0476880000, 0.0837578000, 0.1786628000, 0.4303806000, 1.1094801000", \ - "0.0376465000, 0.0440447000, 0.0579757000, 0.0945424000, 0.1892714000, 0.4455122000, 1.1259143000", \ - "0.0520658000, 0.0618247000, 0.0815335000, 0.1204915000, 0.2164326000, 0.4697517000, 1.1443705000", \ - "0.0707744000, 0.0857707000, 0.1169704000, 0.1755665000, 0.2780551000, 0.5319993000, 1.2095068000", \ - "0.0933027000, 0.1161096000, 0.1639385000, 0.2536688000, 0.4079394000, 0.6766814000, 1.3579167000", \ - "0.1181818000, 0.1519682000, 0.2230063000, 0.3589587000, 0.5963642000, 0.9895124000, 1.6937405000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0173211000, 0.0209827000, 0.0301774000, 0.0539531000, 0.1162420000, 0.2841294000, 0.7369781000", \ - "0.0228190000, 0.0264154000, 0.0355887000, 0.0594053000, 0.1222859000, 0.2918918000, 0.7428970000", \ - "0.0332379000, 0.0386218000, 0.0487820000, 0.0721595000, 0.1355147000, 0.3027018000, 0.7596375000", \ - "0.0479160000, 0.0566713000, 0.0738055000, 0.1036936000, 0.1658719000, 0.3347418000, 0.7890523000", \ - "0.0676104000, 0.0818619000, 0.1103192000, 0.1594681000, 0.2385659000, 0.4060275000, 0.8604862000", \ - "0.0937306000, 0.1154594000, 0.1610148000, 0.2424121000, 0.3715618000, 0.5718877000, 1.0199016000", \ - "0.1267940000, 0.1598127000, 0.2296432000, 0.3575344000, 0.5722002000, 0.8957089000, 1.4063141000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0138175000, 0.0201100000, 0.0374332000, 0.0837844000, 0.2084849000, 0.5427905000, 1.4534830000", \ - "0.0136655000, 0.0202732000, 0.0371725000, 0.0835321000, 0.2081415000, 0.5465129000, 1.4575395000", \ - "0.0184022000, 0.0229179000, 0.0381299000, 0.0839272000, 0.2097561000, 0.5441556000, 1.4626605000", \ - "0.0303540000, 0.0370188000, 0.0513983000, 0.0878259000, 0.2080048000, 0.5460878000, 1.4521702000", \ - "0.0507284000, 0.0611052000, 0.0822638000, 0.1226141000, 0.2191967000, 0.5479680000, 1.4558104000", \ - "0.0849603000, 0.1011378000, 0.1353136000, 0.1928431000, 0.2982353000, 0.5649992000, 1.4526159000", \ - "0.1471776000, 0.1724496000, 0.2231269000, 0.3128515000, 0.4668217000, 0.7286866000, 1.4907834000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0104362000, 0.0151209000, 0.0276810000, 0.0615003000, 0.1521654000, 0.3960007000, 1.0534302000", \ - "0.0110689000, 0.0151874000, 0.0276031000, 0.0614634000, 0.1525232000, 0.3979924000, 1.0522682000", \ - "0.0180743000, 0.0213102000, 0.0301583000, 0.0612463000, 0.1522122000, 0.3960008000, 1.0552412000", \ - "0.0303416000, 0.0354302000, 0.0461564000, 0.0699014000, 0.1520825000, 0.3964254000, 1.0524130000", \ - "0.0523110000, 0.0604462000, 0.0771281000, 0.1081665000, 0.1710228000, 0.3951927000, 1.0519798000", \ - "0.0894715000, 0.1038959000, 0.1303044000, 0.1782385000, 0.2559946000, 0.4329671000, 1.0500332000", \ - "0.1542095000, 0.1752656000, 0.2207036000, 0.3000446000, 0.4258260000, 0.6251449000, 1.1136533000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkinv_16") { - leakage_power () { - value : 0.0128005000; - when : "A"; - } - leakage_power () { - value : 0.0100213000; - when : "!A"; - } - area : 30.028800000; - cell_footprint : "sky130_fd_sc_hd__clkinv"; - cell_leakage_power : 0.0114109000; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0377460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0350970000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0403950000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0020461390, 0.0083733670, 0.0342661400, 0.1402265000, 0.5738459000, 2.3483370000"); - values("-0.044155400, -0.045845900, -0.053596500, -0.090386500, -0.258416900, -0.959729100, -3.833611400", \ - "-0.049387200, -0.051270700, -0.059167600, -0.094738100, -0.260068400, -0.960085200, -3.834029000", \ - "-0.053872800, -0.055868200, -0.064586600, -0.100848000, -0.263506900, -0.961135600, -3.834264100", \ - "-0.055216600, -0.057625300, -0.067178100, -0.105875500, -0.268930400, -0.963224600, -3.834778800", \ - "-0.052282800, -0.055049700, -0.065539300, -0.107426200, -0.274518000, -0.967311700, -3.836196600", \ - "-0.043162100, -0.045918800, -0.056825200, -0.099801700, -0.272653100, -0.972026000, -3.838103500", \ - "-0.018928100, -0.022456400, -0.034800600, -0.080452100, -0.260137800, -0.969545000, -3.839632700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0020461390, 0.0083733670, 0.0342661400, 0.1402265000, 0.5738459000, 2.3483370000"); - values("0.1187620000, 0.1220310000, 0.1348486000, 0.1813914000, 0.3545976000, 1.0522997000, 3.9001995000", \ - "0.1167562000, 0.1197837000, 0.1318742000, 0.1782319000, 0.3534478000, 1.0515270000, 3.8818205000", \ - "0.1157233000, 0.1184506000, 0.1296284000, 0.1745509000, 0.3503438000, 1.0504535000, 3.8828570000", \ - "0.1167191000, 0.1193848000, 0.1297059000, 0.1724648000, 0.3458485000, 1.0479412000, 3.8834386000", \ - "0.1191543000, 0.1215482000, 0.1314572000, 0.1726371000, 0.3443291000, 1.0424021000, 3.8808743000", \ - "0.1291652000, 0.1356503000, 0.1419080000, 0.1805943000, 0.3491355000, 1.0400104000, 3.8739341000", \ - "0.1570078000, 0.1587921000, 0.1662107000, 0.2000774000, 0.3583198000, 1.0480747000, 3.8797397000"); - } - } - max_capacitance : 2.3483370000; - max_transition : 1.4995270000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0020461400, 0.0083733700, 0.0342661000, 0.1402270000, 0.5738460000, 2.3483400000"); - values("0.0247696000, 0.0257162000, 0.0294088000, 0.0425687000, 0.0910152000, 0.2849100000, 1.0770099000", \ - "0.0277483000, 0.0286874000, 0.0323207000, 0.0457487000, 0.0947924000, 0.2887799000, 1.0800612000", \ - "0.0352556000, 0.0364640000, 0.0409054000, 0.0549318000, 0.1047756000, 0.2992526000, 1.0919022000", \ - "0.0439113000, 0.0458239000, 0.0526580000, 0.0740601000, 0.1286966000, 0.3240472000, 1.1164534000", \ - "0.0504977000, 0.0534765000, 0.0641644000, 0.0972933000, 0.1795768000, 0.3826388000, 1.1778333000", \ - "0.0468002000, 0.0512118000, 0.0676040000, 0.1185987000, 0.2457924000, 0.5181126000, 1.3106261000", \ - "0.0134034000, 0.0199368000, 0.0445759000, 0.1210000000, 0.3162700000, 0.7370576000, 1.6269109000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0020461400, 0.0083733700, 0.0342661000, 0.1402270000, 0.5738460000, 2.3483400000"); - values("0.0213260000, 0.0222852000, 0.0259557000, 0.0388156000, 0.0859854000, 0.2745695000, 1.0488995000", \ - "0.0264047000, 0.0273046000, 0.0308290000, 0.0435966000, 0.0912839000, 0.2803190000, 1.0500029000", \ - "0.0378483000, 0.0390718000, 0.0434691000, 0.0565345000, 0.1040490000, 0.2935565000, 1.0634398000", \ - "0.0551743000, 0.0571168000, 0.0641101000, 0.0845440000, 0.1353767000, 0.3255565000, 1.0989692000", \ - "0.0822009000, 0.0852525000, 0.0962975000, 0.1292325000, 0.2062104000, 0.3970348000, 1.1655469000", \ - "0.1271747000, 0.1318205000, 0.1488000000, 0.2001968000, 0.3236804000, 0.5700317000, 1.3365988000", \ - "0.2083795000, 0.2150153000, 0.2393439000, 0.3171056000, 0.5100367000, 0.9053095000, 1.7333922000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0020461400, 0.0083733700, 0.0342661000, 0.1402270000, 0.5738460000, 2.3483400000"); - values("0.0105051000, 0.0114223000, 0.0152351000, 0.0310737000, 0.0963359000, 0.3640606000, 1.4558795000", \ - "0.0106291000, 0.0115419000, 0.0153145000, 0.0311628000, 0.0965330000, 0.3633117000, 1.4565579000", \ - "0.0152381000, 0.0161460000, 0.0190719000, 0.0323033000, 0.0963843000, 0.3632760000, 1.4570883000", \ - "0.0249078000, 0.0262631000, 0.0308719000, 0.0460988000, 0.0996026000, 0.3631855000, 1.4573421000", \ - "0.0425321000, 0.0443263000, 0.0519903000, 0.0742112000, 0.1316696000, 0.3657277000, 1.4602991000", \ - "0.0722861000, 0.0754531000, 0.0871602000, 0.1229746000, 0.2065147000, 0.4175319000, 1.4566858000", \ - "0.1278219000, 0.1331598000, 0.1500382000, 0.2035308000, 0.3322073000, 0.6115940000, 1.4995273000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0020461400, 0.0083733700, 0.0342661000, 0.1402270000, 0.5738460000, 2.3483400000"); - values("0.0116231000, 0.0124973000, 0.0163877000, 0.0326151000, 0.0994180000, 0.3731503000, 1.4885928000", \ - "0.0118522000, 0.0128002000, 0.0165745000, 0.0326613000, 0.0992288000, 0.3727092000, 1.4848481000", \ - "0.0178209000, 0.0183984000, 0.0208602000, 0.0338631000, 0.0994157000, 0.3725542000, 1.4839050000", \ - "0.0283418000, 0.0295514000, 0.0338203000, 0.0478223000, 0.1013612000, 0.3726913000, 1.4836297000", \ - "0.0476189000, 0.0494700000, 0.0563082000, 0.0765839000, 0.1296655000, 0.3716293000, 1.4821506000", \ - "0.0805879000, 0.0832546000, 0.0943245000, 0.1274468000, 0.2034673000, 0.4068251000, 1.4829811000", \ - "0.1399888000, 0.1442299000, 0.1599215000, 0.2114923000, 0.3351883000, 0.5854802000, 1.4978252000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkinv_2") { - leakage_power () { - value : 0.0071803000; - when : "A"; - } - leakage_power () { - value : 0.0006400000; - when : "!A"; - } - area : 5.0048000000; - cell_footprint : "sky130_fd_sc_hd__clkinv"; - cell_leakage_power : 0.0039101590; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0051740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0048320000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0055160000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015205570, 0.0046241860, 0.0140626800, 0.0427662000, 0.1300569000, 0.3955177000"); - values("-0.005626100, -0.006944100, -0.011401800, -0.026210600, -0.072515000, -0.213823100, -0.643890500", \ - "-0.006363800, -0.007709500, -0.012101800, -0.026629100, -0.072657100, -0.213898700, -0.643888700", \ - "-0.006976000, -0.008423300, -0.012898700, -0.027275300, -0.072960100, -0.214020200, -0.643929300", \ - "-0.007215400, -0.008740800, -0.013424800, -0.028031400, -0.073507700, -0.214229200, -0.643999300", \ - "-0.006604500, -0.008266700, -0.013237100, -0.028565600, -0.074147900, -0.214633600, -0.644184000", \ - "-0.004715200, -0.006769800, -0.012185000, -0.027535900, -0.074189200, -0.215113000, -0.644452600", \ - "-0.000478100, -0.003247500, -0.009043900, -0.025470900, -0.072778900, -0.214272700, -0.644308000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015205570, 0.0046241860, 0.0140626800, 0.0427662000, 0.1300569000, 0.3955177000"); - values("0.0165086000, 0.0184551000, 0.0238667000, 0.0393375000, 0.0856142000, 0.2242671000, 0.6486000000", \ - "0.0161433000, 0.0180006000, 0.0234062000, 0.0390431000, 0.0854763000, 0.2258633000, 0.6541154000", \ - "0.0159517000, 0.0177270000, 0.0229668000, 0.0386573000, 0.0850335000, 0.2255695000, 0.6508207000", \ - "0.0159688000, 0.0178384000, 0.0229482000, 0.0381563000, 0.0845243000, 0.2248691000, 0.6515076000", \ - "0.0164029000, 0.0179983000, 0.0228823000, 0.0381464000, 0.0843411000, 0.2234949000, 0.6526424000", \ - "0.0171291000, 0.0185442000, 0.0231736000, 0.0378119000, 0.0847043000, 0.2233757000, 0.6472325000", \ - "0.0214730000, 0.0227459000, 0.0277334000, 0.0411663000, 0.0857538000, 0.2244057000, 0.6453278000"); - } - } - max_capacitance : 0.3955180000; - max_transition : 1.4982580000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015205600, 0.0046241900, 0.0140627000, 0.0427662000, 0.1300570000, 0.3955180000"); - values("0.0195011000, 0.0228918000, 0.0323994000, 0.0594117000, 0.1384928000, 0.3778522000, 1.1063067000", \ - "0.0234002000, 0.0267152000, 0.0362482000, 0.0635184000, 0.1429297000, 0.3824774000, 1.1106389000", \ - "0.0318669000, 0.0362711000, 0.0468159000, 0.0738283000, 0.1545038000, 0.3938716000, 1.1214603000", \ - "0.0427874000, 0.0496509000, 0.0660869000, 0.1001432000, 0.1805924000, 0.4217377000, 1.1510333000", \ - "0.0552463000, 0.0659529000, 0.0913576000, 0.1444127000, 0.2421235000, 0.4815611000, 1.2097062000", \ - "0.0654874000, 0.0817862000, 0.1209161000, 0.2028470000, 0.3534379000, 0.6247180000, 1.3519335000", \ - "0.0649103000, 0.0888851000, 0.1474965000, 0.2719669000, 0.5055065000, 0.9156598000, 1.6818035000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015205600, 0.0046241900, 0.0140627000, 0.0427662000, 0.1300570000, 0.3955180000"); - values("0.0167881000, 0.0196658000, 0.0277110000, 0.0507441000, 0.1190711000, 0.3250584000, 0.9619882000", \ - "0.0224008000, 0.0251409000, 0.0331390000, 0.0560451000, 0.1251964000, 0.3322355000, 0.9632675000", \ - "0.0328769000, 0.0370057000, 0.0465172000, 0.0692521000, 0.1379542000, 0.3458972000, 0.9719845000", \ - "0.0479342000, 0.0546703000, 0.0702439000, 0.1009530000, 0.1692303000, 0.3762842000, 1.0063872000", \ - "0.0692714000, 0.0804498000, 0.1060864000, 0.1561458000, 0.2416857000, 0.4469564000, 1.0744087000", \ - "0.1014953000, 0.1181755000, 0.1586056000, 0.2396745000, 0.3820892000, 0.6173118000, 1.2385757000", \ - "0.1521750000, 0.1768313000, 0.2375165000, 0.3637167000, 0.5925330000, 0.9696280000, 1.6240017000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015205600, 0.0046241900, 0.0140627000, 0.0427662000, 0.1300570000, 0.3955180000"); - values("0.0101255000, 0.0140091000, 0.0252286000, 0.0610076000, 0.1656995000, 0.4873187000, 1.4651298000", \ - "0.0103103000, 0.0138867000, 0.0253035000, 0.0602029000, 0.1657055000, 0.4854896000, 1.4575431000", \ - "0.0158248000, 0.0188962000, 0.0275220000, 0.0605450000, 0.1657416000, 0.4863829000, 1.4595007000", \ - "0.0265925000, 0.0310691000, 0.0421387000, 0.0678509000, 0.1654636000, 0.4940754000, 1.4644174000", \ - "0.0444669000, 0.0518563000, 0.0690845000, 0.1038877000, 0.1831005000, 0.4924098000, 1.4627154000", \ - "0.0756352000, 0.0871499000, 0.1159161000, 0.1677079000, 0.2676135000, 0.5170611000, 1.4732912000", \ - "0.1333658000, 0.1495429000, 0.1912969000, 0.2745460000, 0.4246446000, 0.6961144000, 1.4982583000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015205600, 0.0046241900, 0.0140627000, 0.0427662000, 0.1300570000, 0.3955180000"); - values("0.0099703000, 0.0134787000, 0.0241354000, 0.0564986000, 0.1550056000, 0.4512630000, 1.3552078000", \ - "0.0106629000, 0.0135978000, 0.0241178000, 0.0564957000, 0.1545403000, 0.4550134000, 1.3644410000", \ - "0.0176937000, 0.0201338000, 0.0272090000, 0.0563944000, 0.1545893000, 0.4531705000, 1.3554583000", \ - "0.0290602000, 0.0331956000, 0.0429441000, 0.0654432000, 0.1543214000, 0.4517346000, 1.3604216000", \ - "0.0494769000, 0.0562193000, 0.0712902000, 0.1021800000, 0.1725449000, 0.4518554000, 1.3593348000", \ - "0.0836734000, 0.0948874000, 0.1200107000, 0.1682429000, 0.2567938000, 0.4777464000, 1.3582662000", \ - "0.1447353000, 0.1608643000, 0.2006286000, 0.2820738000, 0.4191001000, 0.6551605000, 1.3854429000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkinv_4") { - leakage_power () { - value : 0.0063418000; - when : "A"; - } - leakage_power () { - value : 0.0018948000; - when : "!A"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__clkinv"; - cell_leakage_power : 0.0041183060; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0102180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0095360000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0109000000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017037880, 0.0058057850, 0.0197836500, 0.0674142800, 0.2297192000, 0.7827856000"); - values("-0.011682600, -0.013143400, -0.018734700, -0.040267900, -0.116907800, -0.379706600, -1.275487900", \ - "-0.013090200, -0.014640000, -0.020172700, -0.041203800, -0.117281400, -0.379795700, -1.275569300", \ - "-0.014258300, -0.015962000, -0.021734300, -0.042576700, -0.117939100, -0.380031000, -1.275689500", \ - "-0.014696800, -0.016521500, -0.022662600, -0.044090800, -0.119109100, -0.380341300, -1.275785300", \ - "-0.013108700, -0.015511000, -0.022098600, -0.044916900, -0.120176700, -0.381182300, -1.276112500", \ - "-0.009961200, -0.012262500, -0.020185800, -0.043046500, -0.120435200, -0.382239000, -1.276819300", \ - "-0.002294500, -0.004400500, -0.014140900, -0.038675800, -0.117685500, -0.381129600, -1.276702300"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017037880, 0.0058057850, 0.0197836500, 0.0674142800, 0.2297192000, 0.7827856000"); - values("0.0325206000, 0.0349450000, 0.0424356000, 0.0656409000, 0.1428126000, 0.4026392000, 1.2889252000", \ - "0.0318490000, 0.0341155000, 0.0415030000, 0.0649474000, 0.1424615000, 0.4007965000, 1.2923360000", \ - "0.0314567000, 0.0335913000, 0.0407329000, 0.0642262000, 0.1420540000, 0.4004242000, 1.2890338000", \ - "0.0315527000, 0.0339006000, 0.0405799000, 0.0633727000, 0.1405276000, 0.4010623000, 1.2901502000", \ - "0.0329753000, 0.0351105000, 0.0415046000, 0.0641511000, 0.1403538000, 0.4009049000, 1.2873505000", \ - "0.0342201000, 0.0363253000, 0.0426448000, 0.0645736000, 0.1413715000, 0.4005528000, 1.2875600000", \ - "0.0413073000, 0.0427385000, 0.0484078000, 0.0690694000, 0.1432920000, 0.4058210000, 1.2919266000"); - } - } - max_capacitance : 0.7827860000; - max_transition : 1.4996850000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017037900, 0.0058057800, 0.0197837000, 0.0674143000, 0.2297190000, 0.7827860000"); - values("0.0185589000, 0.0206206000, 0.0271811000, 0.0474953000, 0.1132965000, 0.3352268000, 1.0925765000", \ - "0.0224105000, 0.0244184000, 0.0309703000, 0.0514176000, 0.1175760000, 0.3397478000, 1.0971299000", \ - "0.0299192000, 0.0328302000, 0.0409952000, 0.0617039000, 0.1283769000, 0.3510398000, 1.1080533000", \ - "0.0388834000, 0.0433898000, 0.0559624000, 0.0858347000, 0.1539251000, 0.3775338000, 1.1360634000", \ - "0.0472984000, 0.0542374000, 0.0738286000, 0.1198858000, 0.2128829000, 0.4373556000, 1.1934191000", \ - "0.0484620000, 0.0593570000, 0.0894413000, 0.1608767000, 0.3052937000, 0.5779796000, 1.3359052000", \ - "0.0278356000, 0.0440061000, 0.0885083000, 0.1978393000, 0.4227213000, 0.8405716000, 1.6567576000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017037900, 0.0058057800, 0.0197837000, 0.0674143000, 0.2297190000, 0.7827860000"); - values("0.0170208000, 0.0189927000, 0.0250756000, 0.0439411000, 0.1066992000, 0.3163114000, 1.0303773000", \ - "0.0227300000, 0.0245489000, 0.0305208000, 0.0493780000, 0.1122055000, 0.3238016000, 1.0448669000", \ - "0.0336743000, 0.0365469000, 0.0441301000, 0.0630337000, 0.1253306000, 0.3347876000, 1.0488050000", \ - "0.0498946000, 0.0543736000, 0.0669235000, 0.0942201000, 0.1576034000, 0.3671975000, 1.0843725000", \ - "0.0744713000, 0.0819359000, 0.1019062000, 0.1476094000, 0.2321530000, 0.4412151000, 1.1556819000", \ - "0.1137680000, 0.1249420000, 0.1566304000, 0.2285969000, 0.3663274000, 0.6131894000, 1.3265524000", \ - "0.1807070000, 0.1977693000, 0.2448110000, 0.3565090000, 0.5810400000, 0.9757378000, 1.7244272000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017037900, 0.0058057800, 0.0197837000, 0.0674143000, 0.2297190000, 0.7827860000"); - values("0.0089661000, 0.0112672000, 0.0187645000, 0.0445762000, 0.1323884000, 0.4317705000, 1.4480183000", \ - "0.0092753000, 0.0112428000, 0.0187082000, 0.0444741000, 0.1322224000, 0.4336467000, 1.4479659000", \ - "0.0147923000, 0.0168957000, 0.0224167000, 0.0451334000, 0.1327441000, 0.4309568000, 1.4501909000", \ - "0.0248366000, 0.0278669000, 0.0362913000, 0.0572182000, 0.1335471000, 0.4335282000, 1.4601724000", \ - "0.0421278000, 0.0469465000, 0.0602373000, 0.0906022000, 0.1578119000, 0.4366178000, 1.4658962000", \ - "0.0731604000, 0.0803285000, 0.1009011000, 0.1478758000, 0.2415478000, 0.4701842000, 1.4707403000", \ - "0.1290069000, 0.1389024000, 0.1707310000, 0.2436602000, 0.3892208000, 0.6636490000, 1.4996847000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017037900, 0.0058057800, 0.0197837000, 0.0674143000, 0.2297190000, 0.7827860000"); - values("0.0095734000, 0.0118322000, 0.0195226000, 0.0457565000, 0.1351577000, 0.4378130000, 1.4687332000", \ - "0.0100801000, 0.0120140000, 0.0195511000, 0.0456994000, 0.1351455000, 0.4379076000, 1.4783735000", \ - "0.0169635000, 0.0186711000, 0.0234385000, 0.0460265000, 0.1350498000, 0.4373780000, 1.4687354000", \ - "0.0279805000, 0.0307080000, 0.0383561000, 0.0575784000, 0.1350045000, 0.4397840000, 1.4738100000", \ - "0.0477223000, 0.0523268000, 0.0642378000, 0.0927811000, 0.1559990000, 0.4368377000, 1.4726572000", \ - "0.0811319000, 0.0881936000, 0.1079307000, 0.1519479000, 0.2376396000, 0.4637174000, 1.4695677000", \ - "0.1405122000, 0.1512866000, 0.1850245000, 0.2543976000, 0.3914867000, 0.6450144000, 1.4920718000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkinv_8") { - leakage_power () { - value : 0.0094544000; - when : "A"; - } - leakage_power () { - value : 0.0043300000; - when : "!A"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__clkinv"; - cell_leakage_power : 0.0068922350; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0202110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0188400000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0215810000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0018729910, 0.0070161870, 0.0262825100, 0.0984537800, 0.3688060000, 1.3815400000"); - values("-0.022937700, -0.024524400, -0.031150900, -0.060026800, -0.175732700, -0.613345400, -2.252803000", \ - "-0.025712300, -0.027467100, -0.034117400, -0.062053300, -0.176480600, -0.613604500, -2.254012500", \ - "-0.028028200, -0.029894500, -0.037138700, -0.064996900, -0.178025000, -0.614130100, -2.254178600", \ - "-0.029048600, -0.031069700, -0.038743700, -0.067593300, -0.180495500, -0.615124300, -2.254633300", \ - "-0.026800900, -0.029050700, -0.037294000, -0.068960700, -0.182782000, -0.616528200, -2.254850000", \ - "-0.021342700, -0.024363100, -0.033659600, -0.065662300, -0.183555600, -0.618649000, -2.255969700", \ - "-0.006552500, -0.008745200, -0.021336400, -0.056269900, -0.177268800, -0.617989500, -2.256539100"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0018729910, 0.0070161870, 0.0262825100, 0.0984537800, 0.3688060000, 1.3815400000"); - values("0.0630495000, 0.0659096000, 0.0758688000, 0.1086190000, 0.2256900000, 0.6565823000, 2.2736691000", \ - "0.0617673000, 0.0644314000, 0.0740106000, 0.1073837000, 0.2241983000, 0.6563425000, 2.2726868000", \ - "0.0611556000, 0.0635938000, 0.0726154000, 0.1053682000, 0.2238251000, 0.6593237000, 2.2748890000", \ - "0.0613382000, 0.0636681000, 0.0722616000, 0.1037164000, 0.2209130000, 0.6568986000, 2.2835470000", \ - "0.0633015000, 0.0653048000, 0.0733812000, 0.1042725000, 0.2207748000, 0.6546834000, 2.2806540000", \ - "0.0650476000, 0.0670801000, 0.0745786000, 0.1045511000, 0.2219670000, 0.6527743000, 2.2752806000", \ - "0.0793989000, 0.0809641000, 0.0875143000, 0.1155077000, 0.2257769000, 0.6574567000, 2.2761687000"); - } - } - max_capacitance : 1.3815400000; - max_transition : 1.4998770000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018729900, 0.0070161900, 0.0262825000, 0.0984538000, 0.3688060000, 1.3815400000"); - values("0.0192440000, 0.0206098000, 0.0253028000, 0.0406968000, 0.0946377000, 0.2942822000, 1.0465543000", \ - "0.0229456000, 0.0242390000, 0.0288599000, 0.0447274000, 0.0989467000, 0.3003239000, 1.0447632000", \ - "0.0302668000, 0.0321359000, 0.0380752000, 0.0547223000, 0.1099780000, 0.3109701000, 1.0656681000", \ - "0.0385935000, 0.0414760000, 0.0507625000, 0.0759184000, 0.1347804000, 0.3361002000, 1.0822802000", \ - "0.0454095000, 0.0498705000, 0.0641811000, 0.1032113000, 0.1893518000, 0.3944041000, 1.1412632000", \ - "0.0436211000, 0.0504027000, 0.0722844000, 0.1326779000, 0.2665769000, 0.5344066000, 1.2798386000", \ - "0.0157523000, 0.0259368000, 0.0587664000, 0.1490291000, 0.3568910000, 0.7704869000, 1.6012225000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018729900, 0.0070161900, 0.0262825000, 0.0984538000, 0.3688060000, 1.3815400000"); - values("0.0177579000, 0.0191105000, 0.0237426000, 0.0388445000, 0.0924732000, 0.2919732000, 1.0281902000", \ - "0.0234327000, 0.0246892000, 0.0291029000, 0.0442252000, 0.0977820000, 0.2955247000, 1.0333374000", \ - "0.0345963000, 0.0364781000, 0.0422477000, 0.0576902000, 0.1114129000, 0.3103402000, 1.0587555000", \ - "0.0511016000, 0.0541050000, 0.0635857000, 0.0873279000, 0.1431687000, 0.3412164000, 1.0816088000", \ - "0.0767011000, 0.0814665000, 0.0966020000, 0.1355095000, 0.2169523000, 0.4155459000, 1.1536216000", \ - "0.1184378000, 0.1257243000, 0.1490739000, 0.2107275000, 0.3418482000, 0.5872300000, 1.3279225000", \ - "0.1925032000, 0.2029590000, 0.2371578000, 0.3317487000, 0.5409673000, 0.9351867000, 1.7217072000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018729900, 0.0070161900, 0.0262825000, 0.0984538000, 0.3688060000, 1.3815400000"); - values("0.0090220000, 0.0103905000, 0.0155407000, 0.0350839000, 0.1087736000, 0.3842276000, 1.4180845000", \ - "0.0091902000, 0.0104555000, 0.0155372000, 0.0352758000, 0.1084640000, 0.3844178000, 1.4128989000", \ - "0.0146351000, 0.0159682000, 0.0202252000, 0.0361854000, 0.1087140000, 0.3875122000, 1.4211932000", \ - "0.0242918000, 0.0262315000, 0.0325910000, 0.0503970000, 0.1111205000, 0.3831243000, 1.4173819000", \ - "0.0417013000, 0.0449549000, 0.0546593000, 0.0802167000, 0.1409364000, 0.3845405000, 1.4141629000", \ - "0.0713135000, 0.0759088000, 0.0913162000, 0.1313933000, 0.2193626000, 0.4323723000, 1.4156001000", \ - "0.1260508000, 0.1336016000, 0.1568927000, 0.2182608000, 0.3531939000, 0.6248144000, 1.4595324000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018729900, 0.0070161900, 0.0262825000, 0.0984538000, 0.3688060000, 1.3815400000"); - values("0.0099528000, 0.0113974000, 0.0168750000, 0.0375243000, 0.1145778000, 0.4032754000, 1.4828426000", \ - "0.0102969000, 0.0115634000, 0.0168654000, 0.0375163000, 0.1143545000, 0.4029649000, 1.4813722000", \ - "0.0173709000, 0.0182974000, 0.0214742000, 0.0382451000, 0.1143407000, 0.4026037000, 1.4841955000", \ - "0.0278233000, 0.0296008000, 0.0354872000, 0.0511297000, 0.1155774000, 0.4025510000, 1.4848099000", \ - "0.0476162000, 0.0504105000, 0.0593163000, 0.0827468000, 0.1408735000, 0.4016901000, 1.4848156000", \ - "0.0804163000, 0.0853350000, 0.0995451000, 0.1374595000, 0.2187090000, 0.4345558000, 1.4836434000", \ - "0.1406325000, 0.1458702000, 0.1684351000, 0.2307668000, 0.3600246000, 0.6099336000, 1.4998773000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkinvlp_2") { - leakage_power () { - value : 0.0001159000; - when : "A"; - } - leakage_power () { - value : 4.4398181e-05; - when : "!A"; - } - area : 5.0048000000; - cell_footprint : "sky130_fd_sc_hd__inv"; - cell_leakage_power : 8.012643e-05; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0045310000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041810000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0048810000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013003870, 0.0033820130, 0.0087958540, 0.0228760300, 0.0594953800, 0.1547341000"); - values("-0.005731800, -0.006754500, -0.009794500, -0.018329400, -0.041018600, -0.100290000, -0.254560300", \ - "-0.006373100, -0.007358000, -0.010243800, -0.018570400, -0.041126300, -0.100334300, -0.254594800", \ - "-0.007009100, -0.008030900, -0.010893000, -0.019016200, -0.041343400, -0.100428700, -0.254615200", \ - "-0.007483200, -0.008589200, -0.011566200, -0.019661600, -0.041774400, -0.100652800, -0.254673100", \ - "-0.007757400, -0.008945800, -0.012037300, -0.020328300, -0.042419800, -0.101006900, -0.254861900", \ - "-0.007544200, -0.008788100, -0.012035300, -0.020805000, -0.043093000, -0.101657600, -0.255180100", \ - "-0.007256700, -0.008575000, -0.011954800, -0.020641600, -0.043484100, -0.102270600, -0.255774000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013003870, 0.0033820130, 0.0087958540, 0.0228760300, 0.0594953800, 0.1547341000"); - values("0.0148444000, 0.0163445000, 0.0199738000, 0.0287219000, 0.0512657000, 0.1104855000, 0.2630551000", \ - "0.0144289000, 0.0159616000, 0.0196229000, 0.0285822000, 0.0511587000, 0.1096342000, 0.2626876000", \ - "0.0140539000, 0.0155592000, 0.0191856000, 0.0282611000, 0.0513546000, 0.1094993000, 0.2626380000", \ - "0.0138510000, 0.0152954000, 0.0188699000, 0.0279890000, 0.0507177000, 0.1103149000, 0.2635070000", \ - "0.0137696000, 0.0151103000, 0.0186196000, 0.0275316000, 0.0504805000, 0.1090393000, 0.2634343000", \ - "0.0142542000, 0.0151745000, 0.0185456000, 0.0273168000, 0.0501642000, 0.1091796000, 0.2614995000", \ - "0.0135891000, 0.0150279000, 0.0184094000, 0.0266945000, 0.0499325000, 0.1089235000, 0.2611467000"); - } - } - max_capacitance : 0.1547340000; - max_transition : 1.4917990000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0387518000, 0.0455537000, 0.0616805000, 0.1005431000, 0.1999083000, 0.4546818000, 1.1213499000", \ - "0.0419455000, 0.0488453000, 0.0656349000, 0.1050643000, 0.2043910000, 0.4601986000, 1.1242635000", \ - "0.0527456000, 0.0594717000, 0.0762389000, 0.1165331000, 0.2166127000, 0.4728992000, 1.1372934000", \ - "0.0763085000, 0.0853808000, 0.1042638000, 0.1446773000, 0.2463778000, 0.5045162000, 1.1729338000", \ - "0.1099888000, 0.1247762000, 0.1555743000, 0.2108755000, 0.3139972000, 0.5722570000, 1.2447368000", \ - "0.1533545000, 0.1780827000, 0.2287579000, 0.3190363000, 0.4684705000, 0.7329474000, 1.4030879000", \ - "0.1975983000, 0.2393407000, 0.3231894000, 0.4733116000, 0.7190513000, 1.0992538000, 1.7755516000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0280131000, 0.0330608000, 0.0449848000, 0.0737911000, 0.1476402000, 0.3409681000, 0.8376198000", \ - "0.0335452000, 0.0386436000, 0.0505593000, 0.0798901000, 0.1541453000, 0.3457142000, 0.8431933000", \ - "0.0482309000, 0.0530480000, 0.0647901000, 0.0942402000, 0.1696592000, 0.3615167000, 0.8582721000", \ - "0.0755362000, 0.0834573000, 0.0992749000, 0.1292322000, 0.2040286000, 0.3977869000, 0.8977932000", \ - "0.1201865000, 0.1334894000, 0.1598962000, 0.2062327000, 0.2852343000, 0.4766770000, 0.9789803000", \ - "0.1919964000, 0.2157121000, 0.2611889000, 0.3399794000, 0.4640978000, 0.6651968000, 1.1626661000", \ - "0.3114289000, 0.3510058000, 0.4271672000, 0.5609705000, 0.7698455000, 1.0853132000, 1.5974061000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0205878000, 0.0282039000, 0.0481897000, 0.0984942000, 0.2315755000, 0.5760445000, 1.4678568000", \ - "0.0205754000, 0.0280869000, 0.0482383000, 0.0981834000, 0.2307050000, 0.5729361000, 1.4636455000", \ - "0.0208671000, 0.0280661000, 0.0479113000, 0.0987046000, 0.2314460000, 0.5749029000, 1.4688590000", \ - "0.0317295000, 0.0380347000, 0.0525854000, 0.0995783000, 0.2339200000, 0.5763214000, 1.4733305000", \ - "0.0530886000, 0.0628619000, 0.0838808000, 0.1215407000, 0.2342769000, 0.5737689000, 1.4849455000", \ - "0.0923262000, 0.1075259000, 0.1398518000, 0.1948972000, 0.2930700000, 0.5833372000, 1.4847692000", \ - "0.1632396000, 0.1892867000, 0.2389900000, 0.3240628000, 0.4716639000, 0.7229066000, 1.4917986000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0167157000, 0.0224944000, 0.0376683000, 0.0766464000, 0.1785408000, 0.4481635000, 1.1342364000", \ - "0.0165646000, 0.0223720000, 0.0374387000, 0.0765450000, 0.1781099000, 0.4424206000, 1.1302160000", \ - "0.0179496000, 0.0228064000, 0.0374070000, 0.0765110000, 0.1790599000, 0.4423817000, 1.1295949000", \ - "0.0301504000, 0.0352487000, 0.0451228000, 0.0781912000, 0.1784233000, 0.4473210000, 1.1381343000", \ - "0.0513578000, 0.0587425000, 0.0741825000, 0.1028143000, 0.1842825000, 0.4422187000, 1.1354667000", \ - "0.0919665000, 0.1030052000, 0.1271271000, 0.1699214000, 0.2453810000, 0.4558455000, 1.1326325000", \ - "0.1617202000, 0.1809713000, 0.2220150000, 0.2924139000, 0.4023225000, 0.5986179000, 1.1521833000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__clkinvlp_4") { - leakage_power () { - value : 0.0001981000; - when : "A"; - } - leakage_power () { - value : 9.5549138e-05; - when : "!A"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__inv"; - cell_leakage_power : 0.0001468200; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0086870000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0079750000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0093980000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014688370, 0.0043149650, 0.0126759600, 0.0372378500, 0.1093927000, 0.3213600000"); - values("-0.010149800, -0.011281600, -0.015146300, -0.028003400, -0.067446000, -0.184072700, -0.527474900", \ - "-0.011373600, -0.012491400, -0.016167300, -0.028576400, -0.067664800, -0.184268200, -0.527545900", \ - "-0.012540100, -0.013734000, -0.017474600, -0.029580000, -0.068171800, -0.184448300, -0.527622200", \ - "-0.013413900, -0.014737700, -0.018719200, -0.030955400, -0.069069700, -0.184886400, -0.527780100", \ - "-0.013915500, -0.015329000, -0.019573400, -0.032195300, -0.070434700, -0.185630100, -0.528133500", \ - "-0.013423800, -0.014963300, -0.019402500, -0.032846800, -0.071706100, -0.186915000, -0.528780800", \ - "-0.012956200, -0.014532100, -0.019134400, -0.032541100, -0.072153700, -0.188253200, -0.529907900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014688370, 0.0043149650, 0.0126759600, 0.0372378500, 0.1093927000, 0.3213600000"); - values("0.0269260000, 0.0289369000, 0.0341953000, 0.0479687000, 0.0873727000, 0.2029803000, 0.5444188000", \ - "0.0261606000, 0.0281325000, 0.0333833000, 0.0477535000, 0.0875694000, 0.2036089000, 0.5445549000", \ - "0.0254801000, 0.0273550000, 0.0326344000, 0.0468977000, 0.0868469000, 0.2022222000, 0.5428558000", \ - "0.0251657000, 0.0268700000, 0.0319080000, 0.0462032000, 0.0860247000, 0.2034299000, 0.5393811000", \ - "0.0255064000, 0.0270315000, 0.0316562000, 0.0453865000, 0.0857446000, 0.2011584000, 0.5453192000", \ - "0.0253668000, 0.0269287000, 0.0315914000, 0.0451290000, 0.0849052000, 0.2008056000, 0.5420846000", \ - "0.0248290000, 0.0263559000, 0.0307098000, 0.0439307000, 0.0850491000, 0.1996141000, 0.5432347000"); - } - } - max_capacitance : 0.3213600000; - max_transition : 1.4968490000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014688400, 0.0043149600, 0.0126760000, 0.0372378000, 0.1093930000, 0.3213600000"); - values("0.0366131000, 0.0407797000, 0.0518162000, 0.0813524000, 0.1645916000, 0.4102750000, 1.1187183000", \ - "0.0396715000, 0.0438522000, 0.0553133000, 0.0856318000, 0.1696285000, 0.4128365000, 1.1257422000", \ - "0.0504074000, 0.0544416000, 0.0658129000, 0.0969734000, 0.1815943000, 0.4323102000, 1.1497040000", \ - "0.0716999000, 0.0777585000, 0.0925023000, 0.1245381000, 0.2103555000, 0.4566917000, 1.1680327000", \ - "0.1009779000, 0.1109235000, 0.1350366000, 0.1843662000, 0.2780332000, 0.5233800000, 1.2371844000", \ - "0.1360531000, 0.1527641000, 0.1924762000, 0.2740414000, 0.4201988000, 0.6832717000, 1.4035331000", \ - "0.1638017000, 0.1920266000, 0.2583126000, 0.3969614000, 0.6345400000, 1.0319019000, 1.7703602000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014688400, 0.0043149600, 0.0126760000, 0.0372378000, 0.1093930000, 0.3213600000"); - values("0.0287655000, 0.0323682000, 0.0416768000, 0.0660491000, 0.1351948000, 0.3375965000, 0.9300706000", \ - "0.0341413000, 0.0376447000, 0.0469003000, 0.0719777000, 0.1429383000, 0.3477393000, 0.9408349000", \ - "0.0487877000, 0.0521233000, 0.0612552000, 0.0859382000, 0.1567706000, 0.3580116000, 0.9595598000", \ - "0.0759712000, 0.0816802000, 0.0947704000, 0.1210031000, 0.1913597000, 0.3947533000, 0.9857243000", \ - "0.1201642000, 0.1300444000, 0.1522022000, 0.1954486000, 0.2720794000, 0.4756262000, 1.0726595000", \ - "0.1953483000, 0.2114619000, 0.2491414000, 0.3230085000, 0.4488810000, 0.6658489000, 1.2682482000", \ - "0.3204703000, 0.3478737000, 0.4112204000, 0.5372600000, 0.7515299000, 1.0907489000, 1.7011555000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014688400, 0.0043149600, 0.0126760000, 0.0372378000, 0.1093930000, 0.3213600000"); - values("0.0169420000, 0.0213824000, 0.0343817000, 0.0726099000, 0.1844912000, 0.5167011000, 1.4766208000", \ - "0.0169584000, 0.0213939000, 0.0343657000, 0.0725425000, 0.1843439000, 0.5128744000, 1.4772464000", \ - "0.0176495000, 0.0216685000, 0.0344356000, 0.0726669000, 0.1844400000, 0.5173826000, 1.4819267000", \ - "0.0279065000, 0.0323373000, 0.0425421000, 0.0745895000, 0.1841320000, 0.5206325000, 1.4796576000", \ - "0.0469810000, 0.0535314000, 0.0695135000, 0.1031050000, 0.1920220000, 0.5146047000, 1.4812662000", \ - "0.0829975000, 0.0934883000, 0.1184331000, 0.1685408000, 0.2629114000, 0.5269848000, 1.4816423000", \ - "0.1466313000, 0.1644020000, 0.2054421000, 0.2884196000, 0.4254798000, 0.6787345000, 1.4968485000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014688400, 0.0043149600, 0.0126760000, 0.0372378000, 0.1093930000, 0.3213600000"); - values("0.0152896000, 0.0189586000, 0.0298880000, 0.0618434000, 0.1559651000, 0.4309381000, 1.2496114000", \ - "0.0151764000, 0.0187590000, 0.0296389000, 0.0620414000, 0.1570294000, 0.4359598000, 1.2423582000", \ - "0.0166136000, 0.0195645000, 0.0297278000, 0.0616073000, 0.1557724000, 0.4309230000, 1.2541660000", \ - "0.0282235000, 0.0316740000, 0.0391689000, 0.0639612000, 0.1558942000, 0.4351387000, 1.2404258000", \ - "0.0486818000, 0.0539590000, 0.0661614000, 0.0919132000, 0.1634710000, 0.4314389000, 1.2509745000", \ - "0.0862207000, 0.0946915000, 0.1157131000, 0.1539913000, 0.2289018000, 0.4440532000, 1.2491433000", \ - "0.1515801000, 0.1663517000, 0.2027362000, 0.2660055000, 0.3845697000, 0.5809205000, 1.2605900000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__conb_1") { - area : 3.7536000000; - cell_footprint : "sky130_fd_sc_hd__conb"; - cell_leakage_power : 0.0032400370; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("HI") { - direction : "output"; - function : "1"; - max_capacitance : 1.9038000000; - max_transition : 1.0000000000; - power_down_function : "!VPWR"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - } - pin ("LO") { - direction : "output"; - function : "0"; - max_capacitance : 2.0468000000; - max_transition : 1.0000000000; - power_down_function : "VGND"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - } - } - - cell ("sky130_fd_sc_hd__decap_12") { - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__decap"; - cell_leakage_power : 0.0032400940; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - } - - cell ("sky130_fd_sc_hd__decap_3") { - area : 3.7536000000; - cell_footprint : "sky130_fd_sc_hd__decap"; - cell_leakage_power : 0.0032400370; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - } - - cell ("sky130_fd_sc_hd__decap_4") { - area : 5.0048000000; - cell_footprint : "sky130_fd_sc_hd__decap"; - cell_leakage_power : 0.0032400440; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - } - - cell ("sky130_fd_sc_hd__decap_6") { - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__decap"; - cell_leakage_power : 0.0032400560; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - } - - cell ("sky130_fd_sc_hd__decap_8") { - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__decap"; - cell_leakage_power : 0.0032400690; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - } - - cell ("sky130_fd_sc_hd__dfbbn_1") { - leakage_power () { - value : 0.0136348000; - when : "!SET_B&RESET_B&CLK_N&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0128989000; - when : "!SET_B&!RESET_B&!CLK_N&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0130481000; - when : "!SET_B&RESET_B&CLK_N&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0150767000; - when : "SET_B&RESET_B&CLK_N&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0124114000; - when : "!SET_B&!RESET_B&CLK_N&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0156363000; - when : "SET_B&RESET_B&!CLK_N&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0124824000; - when : "!SET_B&!RESET_B&!CLK_N&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0122795000; - when : "!SET_B&!RESET_B&CLK_N&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0113434000; - when : "SET_B&!RESET_B&CLK_N&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0139753000; - when : "SET_B&RESET_B&!CLK_N&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0114706000; - when : "SET_B&!RESET_B&CLK_N&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0145845000; - when : "SET_B&RESET_B&CLK_N&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0149796000; - when : "SET_B&RESET_B&!CLK_N&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0136553000; - when : "SET_B&RESET_B&CLK_N&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0136674000; - when : "!SET_B&RESET_B&!CLK_N&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0116633000; - when : "SET_B&!RESET_B&!CLK_N&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0160527000; - when : "SET_B&RESET_B&!CLK_N&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0154334000; - when : "SET_B&RESET_B&CLK_N&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0132510000; - when : "!SET_B&RESET_B&!CLK_N&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0126676000; - when : "SET_B&!RESET_B&!CLK_N&D&!Q&Q_N"; - } - area : 32.531200000; - cell_footprint : "sky130_fd_sc_hd__dfbbn"; - cell_leakage_power : 0.0135106100; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clear_preset_var1 : "H"; - clear_preset_var2 : "L"; - clocked_on : "!CLK_N"; - next_state : "D"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK_N") { - capacitance : 0.0017710000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016960000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0337081000, 0.0336211000, 0.0334203000, 0.0334695000, 0.0335830000, 0.0338446000, 0.0344475000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0159707000, 0.0158877000, 0.0156965000, 0.0157104000, 0.0157428000, 0.0158175000, 0.0159897000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018470000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2741431000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK_N"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1994444000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0015960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015420000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0056395000, 0.0055576000, 0.0053688000, 0.0054478000, 0.0056301000, 0.0060502000, 0.0070187000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("2.2508441e-05, -5.4855369e-05, -0.000233100, -0.000189400, -8.8237148e-05, 0.0001448000, 0.0006819000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016490000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1460430000, 0.3602682000, 0.6524232000", \ - "0.0172669000, 0.2253887000, 0.5089987000", \ - "-0.135727900, 0.0760560000, 0.3535625000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0813457000, 0.1954733000, 0.2813294000", \ - "-0.131658800, -0.016310500, 0.0707663000", \ - "-0.371323600, -0.254754600, -0.166457000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.127394500, -0.340399100, -0.626450500", \ - "0.0038229000, -0.204298800, -0.484246800", \ - "0.1604798000, -0.050083300, -0.326369100"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0447246000, -0.068182300, -0.151597000", \ - "0.2369772000, 0.1289531000, 0.0479798000", \ - "0.4571107000, 0.3564108000, 0.2827617000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.013118900, -0.007925300, 0.0017496000, 0.0125051000, 0.0081486000, -0.042748300, -0.205585900", \ - "-0.013075800, -0.007886100, 0.0017967000, 0.0125318000, 0.0081961000, -0.042706900, -0.205543400", \ - "-0.012990000, -0.007819500, 0.0018260000, 0.0125101000, 0.0081195000, -0.042806800, -0.205665700", \ - "-0.013023600, -0.007865700, 0.0017554000, 0.0123789000, 0.0079284000, -0.043044700, -0.205905600", \ - "-0.013092400, -0.007944200, 0.0016419000, 0.0122261000, 0.0077211000, -0.043277700, -0.206151800", \ - "-0.013221500, -0.008080800, 0.0015101000, 0.0120980000, 0.0076264000, -0.043353700, -0.206238600", \ - "-0.013459100, -0.008221700, 0.0015555000, 0.0124078000, 0.0082200000, -0.042551000, -0.205355400"); - } - related_pin : "CLK_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.014617300, -0.011243900, -0.003595500, 0.0123659000, 0.0431276000, 0.1107379000, 0.2824599000", \ - "-0.014583400, -0.011219500, -0.003596300, 0.0123405000, 0.0430464000, 0.1106403000, 0.2820950000", \ - "-0.014495200, -0.011150900, -0.003558600, 0.0122880000, 0.0429966000, 0.1111801000, 0.2819773000", \ - "-0.014529400, -0.011194400, -0.003634300, 0.0121937000, 0.0427935000, 0.1109298000, 0.2802180000", \ - "-0.014599900, -0.011293700, -0.003778700, 0.0119873000, 0.0425458000, 0.1106366000, 0.2818473000", \ - "-0.014725100, -0.011406800, -0.003872600, 0.0118935000, 0.0424727000, 0.1106190000, 0.2801155000", \ - "-0.014936700, -0.011489400, -0.003708600, 0.0119663000, 0.0429633000, 0.1110483000, 0.2804747000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.002154400, 0.0038245000, 0.0150837000, 0.0282220000, 0.0265387000, -0.021936400, -0.183889600", \ - "-0.002117100, 0.0038523000, 0.0151043000, 0.0282125000, 0.0265001000, -0.022017700, -0.183956600", \ - "-0.002023400, 0.0039316000, 0.0151626000, 0.0282332000, 0.0264782000, -0.022059800, -0.184024600", \ - "-0.002054600, 0.0038969000, 0.0151099000, 0.0281524000, 0.0263836000, -0.022172400, -0.184145800", \ - "-0.002130600, 0.0038070000, 0.0149959000, 0.0279895000, 0.0261604000, -0.022441200, -0.184423700", \ - "-0.002282000, 0.0036305000, 0.0147812000, 0.0277047000, 0.0258056000, -0.022831400, -0.184864400", \ - "-0.002546100, 0.0034603000, 0.0147850000, 0.0279794000, 0.0260189000, -0.022801700, -0.184825600"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("0.0059601000, 0.0107906000, 0.0197367000, 0.0293410000, 0.0241999000, -0.026539000, -0.189585300", \ - "0.0059783000, 0.0108086000, 0.0197492000, 0.0293507000, 0.0242058000, -0.026547000, -0.189617500", \ - "0.0060211000, 0.0108428000, 0.0197759000, 0.0293756000, 0.0241776000, -0.026596200, -0.189682200", \ - "0.0059985000, 0.0107810000, 0.0196333000, 0.0290937000, 0.0238127000, -0.027051900, -0.190163800", \ - "0.0059673000, 0.0107088000, 0.0194741000, 0.0288108000, 0.0233371000, -0.027664300, -0.190844000", \ - "0.0059571000, 0.0106557000, 0.0193402000, 0.0285765000, 0.0229695000, -0.028236600, -0.191439700", \ - "0.0060389000, 0.0108310000, 0.0196885000, 0.0292292000, 0.0236159000, -0.028321400, -0.191565900"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-7.85000e-06, 0.0038148000, 0.0123335000, 0.0301004000, 0.0629439000, 0.1317667000, 0.3020845000", \ - "-1.73500e-05, 0.0037856000, 0.0122737000, 0.0299779000, 0.0627595000, 0.1315190000, 0.3017892000", \ - "-1.28000e-05, 0.0037672000, 0.0122050000, 0.0298550000, 0.0625505000, 0.1312915000, 0.3030018000", \ - "-2.88500e-05, 0.0037364000, 0.0121453000, 0.0297570000, 0.0623081000, 0.1316585000, 0.3028561000", \ - "-6.15000e-05, 0.0036696000, 0.0120446000, 0.0295616000, 0.0620329000, 0.1307639000, 0.3025353000", \ - "-0.000124500, 0.0035727000, 0.0118715000, 0.0292698000, 0.0616901000, 0.1303403000, 0.3020232000", \ - "-0.000195800, 0.0035257000, 0.0118245000, 0.0294352000, 0.0621746000, 0.1310316000, 0.3026413000"); - } - } - max_capacitance : 0.1684670000; - max_transition : 1.5056960000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.5180351000, 0.5233249000, 0.5345825000, 0.5577999000, 0.6101612000, 0.7438868000, 1.0967318000", \ - "0.5229801000, 0.5283169000, 0.5394920000, 0.5627560000, 0.6151611000, 0.7488364000, 1.1014918000", \ - "0.5359026000, 0.5411940000, 0.5524545000, 0.5756685000, 0.6280489000, 0.7617846000, 1.1146027000", \ - "0.5665681000, 0.5718932000, 0.5830810000, 0.6063570000, 0.6587572000, 0.7924406000, 1.1453667000", \ - "0.6369824000, 0.6423173000, 0.6534947000, 0.6767559000, 0.7291617000, 0.8628444000, 1.2156370000", \ - "0.7625178000, 0.7678350000, 0.7790286000, 0.8023088000, 0.8547609000, 0.9884565000, 1.3413308000", \ - "0.9574813000, 0.9628160000, 0.9739946000, 0.9972614000, 1.0496674000, 1.1832638000, 1.5361579000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.4196785000, 0.4263593000, 0.4414610000, 0.4774378000, 0.5704403000, 0.8147020000, 1.4604230000", \ - "0.4242280000, 0.4309002000, 0.4460303000, 0.4818546000, 0.5751117000, 0.8196684000, 1.4635920000", \ - "0.4371639000, 0.4438522000, 0.4590250000, 0.4948741000, 0.5881437000, 0.8327289000, 1.4764002000", \ - "0.4680765000, 0.4747426000, 0.4898704000, 0.5256942000, 0.6189738000, 0.8634777000, 1.5079367000", \ - "0.5379648000, 0.5446284000, 0.5597990000, 0.5956249000, 0.6888364000, 0.9335230000, 1.5785173000", \ - "0.6613912000, 0.6680977000, 0.6832083000, 0.7190224000, 0.8120922000, 1.0566903000, 1.7022148000", \ - "0.8513130000, 0.8580370000, 0.8730250000, 0.9091449000, 1.0017882000, 1.2459436000, 1.8952520000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0176032000, 0.0217563000, 0.0308584000, 0.0543639000, 0.1182422000, 0.2941650000, 0.7660847000", \ - "0.0178200000, 0.0216202000, 0.0311543000, 0.0545215000, 0.1180621000, 0.2940278000, 0.7679949000", \ - "0.0175742000, 0.0217579000, 0.0310342000, 0.0544136000, 0.1182512000, 0.2941697000, 0.7695827000", \ - "0.0175723000, 0.0217170000, 0.0311570000, 0.0544546000, 0.1181397000, 0.2942622000, 0.7696122000", \ - "0.0178095000, 0.0216266000, 0.0311534000, 0.0545336000, 0.1181377000, 0.2942685000, 0.7689317000", \ - "0.0176191000, 0.0217934000, 0.0311661000, 0.0544672000, 0.1176449000, 0.2941619000, 0.7699258000", \ - "0.0178201000, 0.0216265000, 0.0311543000, 0.0545048000, 0.1182650000, 0.2930556000, 0.7696241000"); - } - related_pin : "CLK_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0234945000, 0.0296093000, 0.0464369000, 0.0944435000, 0.2265095000, 0.5739141000, 1.5023117000", \ - "0.0234273000, 0.0295811000, 0.0465949000, 0.0945060000, 0.2265088000, 0.5744835000, 1.5056955000", \ - "0.0236229000, 0.0297792000, 0.0465889000, 0.0942645000, 0.2259887000, 0.5743669000, 1.5039712000", \ - "0.0234389000, 0.0295812000, 0.0465956000, 0.0945141000, 0.2263018000, 0.5742228000, 1.5016998000", \ - "0.0235898000, 0.0297791000, 0.0466851000, 0.0945373000, 0.2264350000, 0.5752580000, 1.5021120000", \ - "0.0235127000, 0.0295708000, 0.0466014000, 0.0944304000, 0.2258319000, 0.5744031000, 1.4993907000", \ - "0.0234895000, 0.0295441000, 0.0466866000, 0.0945043000, 0.2264349000, 0.5736470000, 1.5015317000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.2977234000, 0.3030614000, 0.3143852000, 0.3378161000, 0.3903258000, 0.5241114000, 0.8765873000", \ - "0.3028653000, 0.3081985000, 0.3195896000, 0.3429373000, 0.3954337000, 0.5291723000, 0.8814233000", \ - "0.3153412000, 0.3206732000, 0.3320652000, 0.3554121000, 0.4079271000, 0.5416437000, 0.8938935000", \ - "0.3468358000, 0.3521800000, 0.3634746000, 0.3869397000, 0.4394665000, 0.5732152000, 0.9254234000", \ - "0.4169812000, 0.4223492000, 0.4337039000, 0.4571362000, 0.5096675000, 0.6433050000, 0.9955831000", \ - "0.5440443000, 0.5493195000, 0.5606315000, 0.5841116000, 0.6367259000, 0.7705344000, 1.1226631000", \ - "0.7433728000, 0.7487408000, 0.7601532000, 0.7836598000, 0.8362692000, 0.9701819000, 1.3220783000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0181323000, 0.0222635000, 0.0316722000, 0.0549123000, 0.1182262000, 0.2935464000, 0.7632870000", \ - "0.0182107000, 0.0222136000, 0.0314937000, 0.0550292000, 0.1181257000, 0.2935452000, 0.7620384000", \ - "0.0182031000, 0.0222152000, 0.0314983000, 0.0550298000, 0.1182128000, 0.2935558000, 0.7627947000", \ - "0.0180388000, 0.0221018000, 0.0315055000, 0.0549181000, 0.1182240000, 0.2934013000, 0.7631649000", \ - "0.0181355000, 0.0219196000, 0.0316683000, 0.0548868000, 0.1183149000, 0.2933887000, 0.7628067000", \ - "0.0181325000, 0.0220125000, 0.0316669000, 0.0550895000, 0.1183730000, 0.2935994000, 0.7634567000", \ - "0.0181976000, 0.0221852000, 0.0318775000, 0.0550942000, 0.1182590000, 0.2938075000, 0.7619939000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.2006709000, 0.2059951000, 0.2173790000, 0.2407884000, 0.2933465000, 0.4271308000, 0.7793231000", \ - "0.2054638000, 0.2107880000, 0.2221708000, 0.2455806000, 0.2981369000, 0.4319231000, 0.7842617000", \ - "0.2153451000, 0.2207143000, 0.2320699000, 0.2554371000, 0.3080746000, 0.4416886000, 0.7941024000", \ - "0.2367400000, 0.2420797000, 0.2533734000, 0.2768369000, 0.3293861000, 0.4631800000, 0.8155175000", \ - "0.2838036000, 0.2892078000, 0.3005436000, 0.3240167000, 0.3766293000, 0.5103523000, 0.8627468000", \ - "0.3622627000, 0.3677938000, 0.3792997000, 0.4029804000, 0.4559090000, 0.5896066000, 0.9419692000", \ - "0.4609748000, 0.4669309000, 0.4791672000, 0.5033871000, 0.5566558000, 0.6907097000, 1.0428505000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3300887000, 0.3373925000, 0.3532256000, 0.3895396000, 0.4825501000, 0.7271255000, 1.3714623000", \ - "0.3351995000, 0.3425049000, 0.3583458000, 0.3946438000, 0.4876834000, 0.7321337000, 1.3753657000", \ - "0.3480795000, 0.3554645000, 0.3712504000, 0.4075831000, 0.5006325000, 0.7452338000, 1.3905450000", \ - "0.3798780000, 0.3872734000, 0.4030561000, 0.4393869000, 0.5324446000, 0.7770249000, 1.4224204000", \ - "0.4560595000, 0.4634380000, 0.4792819000, 0.5156174000, 0.6086446000, 0.8531071000, 1.4980971000", \ - "0.6347878000, 0.6421049000, 0.6579813000, 0.6943139000, 0.7873437000, 1.0316390000, 1.6771919000", \ - "0.9888976000, 0.9971117000, 1.0139394000, 1.0507694000, 1.1435058000, 1.3881732000, 2.0334101000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0179294000, 0.0221700000, 0.0314614000, 0.0549518000, 0.1180863000, 0.2935449000, 0.7627353000", \ - "0.0179284000, 0.0221664000, 0.0314625000, 0.0549512000, 0.1181119000, 0.2935441000, 0.7630649000", \ - "0.0180264000, 0.0222520000, 0.0316087000, 0.0549814000, 0.1179052000, 0.2931835000, 0.7621098000", \ - "0.0180071000, 0.0221052000, 0.0314395000, 0.0549313000, 0.1182318000, 0.2938865000, 0.7624429000", \ - "0.0180057000, 0.0221137000, 0.0316455000, 0.0548995000, 0.1182925000, 0.2935304000, 0.7614261000", \ - "0.0189472000, 0.0228080000, 0.0323953000, 0.0557002000, 0.1186871000, 0.2937020000, 0.7643067000", \ - "0.0213179000, 0.0252150000, 0.0344248000, 0.0577447000, 0.1196200000, 0.2938303000, 0.7601352000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0267420000, 0.0326136000, 0.0486417000, 0.0953440000, 0.2261496000, 0.5757559000, 1.4996626000", \ - "0.0267484000, 0.0326232000, 0.0486566000, 0.0952879000, 0.2263766000, 0.5755616000, 1.4995368000", \ - "0.0267985000, 0.0326136000, 0.0485424000, 0.0954489000, 0.2266398000, 0.5755250000, 1.4996197000", \ - "0.0268230000, 0.0326320000, 0.0485766000, 0.0953917000, 0.2266013000, 0.5752018000, 1.5002098000", \ - "0.0266908000, 0.0327023000, 0.0486874000, 0.0954074000, 0.2262224000, 0.5738892000, 1.4986681000", \ - "0.0266834000, 0.0327446000, 0.0486814000, 0.0954047000, 0.2264533000, 0.5745057000, 1.4990971000", \ - "0.0313034000, 0.0374024000, 0.0517816000, 0.0964806000, 0.2262485000, 0.5750520000, 1.4997914000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("-0.012995500, -0.007794200, 0.0017451000, 0.0123222000, 0.0090980000, -0.036481800, -0.183011100", \ - "-0.012958400, -0.007759900, 0.0017753000, 0.0123351000, 0.0090787000, -0.036480700, -0.183012400", \ - "-0.012870200, -0.007678800, 0.0018031000, 0.0123546000, 0.0090455000, -0.036555700, -0.183116500", \ - "-0.012907000, -0.007746300, 0.0017131000, 0.0121766000, 0.0088281000, -0.036855200, -0.183419300", \ - "-0.012979400, -0.007835800, 0.0015732000, 0.0119666000, 0.0085881000, -0.037125000, -0.183711600", \ - "-0.013111000, -0.007972400, 0.0014400000, 0.0118370000, 0.0084115000, -0.037299600, -0.183876800", \ - "-0.013382000, -0.008190800, 0.0013107000, 0.0118578000, 0.0085249000, -0.036888100, -0.183404800"); - } - related_pin : "CLK_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("-0.014429700, -0.010881800, -0.003054000, 0.0124911000, 0.0418205000, 0.1048422000, 0.2590148000", \ - "-0.014388500, -0.010843900, -0.003016400, 0.0125219000, 0.0418477000, 0.1052250000, 0.2603546000", \ - "-0.014299500, -0.010772500, -0.002982300, 0.0124899000, 0.0417114000, 0.1052237000, 0.2598449000", \ - "-0.014336500, -0.010825100, -0.003061900, 0.0123752000, 0.0416289000, 0.1044912000, 0.2599381000", \ - "-0.014403200, -0.010905500, -0.003172600, 0.0122124000, 0.0414286000, 0.1043705000, 0.2580854000", \ - "-0.014533200, -0.011036200, -0.003305700, 0.0121014000, 0.0413079000, 0.1042661000, 0.2596633000", \ - "-0.014771000, -0.011183600, -0.003264600, 0.0123794000, 0.0419744000, 0.1048420000, 0.2604415000"); - } - } - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("-0.003671400, 0.0003568000, 0.0090831000, 0.0281336000, 0.0608991000, 0.1254417000, 0.2802019000", \ - "-0.003632900, 0.0003774000, 0.0091252000, 0.0281208000, 0.0608385000, 0.1253540000, 0.2798081000", \ - "-0.003531400, 0.0004804000, 0.0092033000, 0.0282114000, 0.0608835000, 0.1253939000, 0.2799643000", \ - "-0.003572300, 0.0004212000, 0.0091130000, 0.0280592000, 0.0606872000, 0.1251640000, 0.2797859000", \ - "-0.003640000, 0.0003359000, 0.0090086000, 0.0278244000, 0.0604577000, 0.1248986000, 0.2796913000", \ - "-0.003795300, 0.0001658000, 0.0087967000, 0.0276239000, 0.0601186000, 0.1244490000, 0.2801330000", \ - "-0.003806300, 0.0005988000, 0.0101368000, 0.0280963000, 0.0602104000, 0.1246705000, 0.2794334000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0016731000, 0.0073970000, 0.0179427000, 0.0300304000, 0.0285625000, -0.015571300, -0.161379500", \ - "0.0016670000, 0.0073697000, 0.0178813000, 0.0299205000, 0.0284012000, -0.015791800, -0.161594800", \ - "0.0016717000, 0.0073472000, 0.0178251000, 0.0297652000, 0.0281864000, -0.016031300, -0.161868400", \ - "0.0016543000, 0.0073142000, 0.0177701000, 0.0296749000, 0.0280425000, -0.016207200, -0.162016900", \ - "0.0016199000, 0.0072608000, 0.0176651000, 0.0294890000, 0.0277775000, -0.016518300, -0.162354700", \ - "0.0015681000, 0.0071760000, 0.0175044000, 0.0292099000, 0.0273448000, -0.016999600, -0.162802600", \ - "0.0015393000, 0.0072071000, 0.0176072000, 0.0293402000, 0.0276721000, -0.016605200, -0.162356500"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0044038000, 0.0072433000, 0.0136547000, 0.0292313000, 0.0585152000, 0.1209384000, 0.2743025000", \ - "0.0044231000, 0.0072613000, 0.0136686000, 0.0292411000, 0.0585191000, 0.1208893000, 0.2739825000", \ - "0.0044697000, 0.0072995000, 0.0136811000, 0.0292279000, 0.0584920000, 0.1209078000, 0.2739967000", \ - "0.0044422000, 0.0072326000, 0.0135628000, 0.0289729000, 0.0581150000, 0.1201908000, 0.2734707000", \ - "0.0044265000, 0.0071909000, 0.0134522000, 0.0286991000, 0.0575920000, 0.1196264000, 0.2735024000", \ - "0.0044501000, 0.0072240000, 0.0135005000, 0.0285040000, 0.0570917000, 0.1189221000, 0.2722495000", \ - "0.0047344000, 0.0078900000, 0.0149406000, 0.0291925000, 0.0571480000, 0.1189946000, 0.2716955000"); - } - } - max_capacitance : 0.1538190000; - max_transition : 1.5013840000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.3481749000, 0.3575085000, 0.3766972000, 0.4132324000, 0.4824068000, 0.6211589000, 0.9512588000", \ - "0.3532533000, 0.3625979000, 0.3817241000, 0.4183318000, 0.4874523000, 0.6262101000, 0.9566112000", \ - "0.3656498000, 0.3749793000, 0.3941110000, 0.4304736000, 0.4996658000, 0.6383931000, 0.9685180000", \ - "0.3963867000, 0.4057056000, 0.4248694000, 0.4615248000, 0.5306698000, 0.6693849000, 0.9995640000", \ - "0.4666586000, 0.4759553000, 0.4950673000, 0.5317617000, 0.6008926000, 0.7396264000, 1.0697362000", \ - "0.5907117000, 0.6000445000, 0.6192221000, 0.6557904000, 0.7249482000, 0.8637051000, 1.1935923000", \ - "0.7797389000, 0.7890985000, 0.8082977000, 0.8448628000, 0.9140397000, 1.0528218000, 1.3826106000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.4602863000, 0.4694324000, 0.4892493000, 0.5318499000, 0.6311791000, 0.8776550000, 1.5163582000", \ - "0.4653396000, 0.4743892000, 0.4941687000, 0.5367666000, 0.6361012000, 0.8824604000, 1.5221376000", \ - "0.4781583000, 0.4873036000, 0.5071203000, 0.5497208000, 0.6490609000, 0.8961863000, 1.5361979000", \ - "0.5088252000, 0.5179578000, 0.5377616000, 0.5803621000, 0.6797127000, 0.9263058000, 1.5645605000", \ - "0.5791356000, 0.5882900000, 0.6080699000, 0.6506687000, 0.7500197000, 0.9966502000, 1.6346251000", \ - "0.7048294000, 0.7139465000, 0.7337072000, 0.7763123000, 0.8756540000, 1.1223376000, 1.7622477000", \ - "0.8997437000, 0.9088486000, 0.9286832000, 0.9712742000, 1.0705961000, 1.3169784000, 1.9588104000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0362804000, 0.0425112000, 0.0561448000, 0.0844662000, 0.1457486000, 0.2981817000, 0.7252713000", \ - "0.0361321000, 0.0425687000, 0.0561557000, 0.0842942000, 0.1457993000, 0.2990236000, 0.7282444000", \ - "0.0362929000, 0.0422542000, 0.0558699000, 0.0844008000, 0.1460249000, 0.2988044000, 0.7248183000", \ - "0.0361646000, 0.0423712000, 0.0558260000, 0.0843185000, 0.1459849000, 0.2988518000, 0.7252426000", \ - "0.0361380000, 0.0422927000, 0.0558737000, 0.0843037000, 0.1460520000, 0.2981272000, 0.7236755000", \ - "0.0362548000, 0.0423951000, 0.0559720000, 0.0844239000, 0.1460858000, 0.2983374000, 0.7241814000", \ - "0.0363250000, 0.0426775000, 0.0562526000, 0.0844421000, 0.1461184000, 0.2985644000, 0.7233893000"); - } - related_pin : "CLK_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0332768000, 0.0409842000, 0.0598917000, 0.1093272000, 0.2390118000, 0.5855177000, 1.4993016000", \ - "0.0331544000, 0.0410076000, 0.0599572000, 0.1093175000, 0.2390430000, 0.5856524000, 1.4965029000", \ - "0.0332738000, 0.0409847000, 0.0599004000, 0.1093348000, 0.2389455000, 0.5849604000, 1.4978243000", \ - "0.0333361000, 0.0410238000, 0.0600985000, 0.1092827000, 0.2395263000, 0.5844384000, 1.5013841000", \ - "0.0331647000, 0.0410036000, 0.0599583000, 0.1093221000, 0.2387535000, 0.5842233000, 1.4948799000", \ - "0.0331327000, 0.0409611000, 0.0600342000, 0.1092989000, 0.2397670000, 0.5855911000, 1.4935948000", \ - "0.0331290000, 0.0410232000, 0.0598751000, 0.1093471000, 0.2389366000, 0.5847323000, 1.4977015000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.2393759000, 0.2489567000, 0.2697361000, 0.3141343000, 0.4172389000, 0.6668904000, 1.3048309000", \ - "0.2444034000, 0.2540059000, 0.2747168000, 0.3191333000, 0.4222449000, 0.6718295000, 1.3099447000", \ - "0.2571860000, 0.2667761000, 0.2875758000, 0.3319679000, 0.4350655000, 0.6847565000, 1.3228046000", \ - "0.2882983000, 0.2978922000, 0.3186488000, 0.3630789000, 0.4661817000, 0.7158713000, 1.3540856000", \ - "0.3579442000, 0.3675454000, 0.3882865000, 0.4333124000, 0.5364280000, 0.7861610000, 1.4244975000", \ - "0.4856320000, 0.4953379000, 0.5162690000, 0.5609306000, 0.6642169000, 0.9136941000, 1.5511995000", \ - "0.6845004000, 0.6945481000, 0.7159091000, 0.7611781000, 0.8652029000, 1.1148595000, 1.7524906000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0350831000, 0.0433346000, 0.0628988000, 0.1140332000, 0.2463338000, 0.5867331000, 1.4922141000", \ - "0.0351216000, 0.0432563000, 0.0629340000, 0.1138691000, 0.2466862000, 0.5892409000, 1.4951977000", \ - "0.0350563000, 0.0433911000, 0.0629194000, 0.1139984000, 0.2468653000, 0.5873637000, 1.4945405000", \ - "0.0351171000, 0.0433642000, 0.0629483000, 0.1139440000, 0.2468853000, 0.5874856000, 1.4948630000", \ - "0.0351672000, 0.0433500000, 0.0629743000, 0.1139960000, 0.2465873000, 0.5875861000, 1.4954338000", \ - "0.0358561000, 0.0440332000, 0.0635791000, 0.1145205000, 0.2466110000, 0.5873491000, 1.4948879000", \ - "0.0377214000, 0.0458589000, 0.0653740000, 0.1163602000, 0.2477663000, 0.5876252000, 1.4915941000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.2465430000, 0.2580592000, 0.2823831000, 0.3284402000, 0.4111073000, 0.5600623000, 0.8937315000", \ - "0.2516328000, 0.2631607000, 0.2874896000, 0.3335367000, 0.4161826000, 0.5651348000, 0.8989036000", \ - "0.2644337000, 0.2760075000, 0.3004189000, 0.3464543000, 0.4290885000, 0.5779924000, 0.9122260000", \ - "0.2962597000, 0.3079114000, 0.3321961000, 0.3782334000, 0.4608330000, 0.6097672000, 0.9434271000", \ - "0.3725735000, 0.3840824000, 0.4083623000, 0.4542983000, 0.5369306000, 0.6859581000, 1.0200242000", \ - "0.5504185000, 0.5621963000, 0.5866647000, 0.6325303000, 0.7149561000, 0.8639969000, 1.1981248000", \ - "0.8824479000, 0.8990724000, 0.9322326000, 0.9888147000, 1.0812390000, 1.2367529000, 1.5722447000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.1419570000, 0.1515393000, 0.1722648000, 0.2166458000, 0.3195485000, 0.5690324000, 1.2076676000", \ - "0.1467483000, 0.1563305000, 0.1770560000, 0.2214396000, 0.3243590000, 0.5738486000, 1.2117806000", \ - "0.1567100000, 0.1662946000, 0.1869694000, 0.2313643000, 0.3342920000, 0.5838097000, 1.2220470000", \ - "0.1780335000, 0.1875940000, 0.2083534000, 0.2526602000, 0.3556284000, 0.6052141000, 1.2430390000", \ - "0.2243055000, 0.2341585000, 0.2551254000, 0.2996797000, 0.4027992000, 0.6523285000, 1.2904465000", \ - "0.2973940000, 0.3087439000, 0.3322051000, 0.3796051000, 0.4848363000, 0.7340557000, 1.3720186000", \ - "0.3823481000, 0.3973559000, 0.4270940000, 0.4825704000, 0.5933259000, 0.8432074000, 1.4807456000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0477885000, 0.0557240000, 0.0721976000, 0.1064428000, 0.1689308000, 0.3132860000, 0.7280668000", \ - "0.0478157000, 0.0557667000, 0.0722085000, 0.1065480000, 0.1685762000, 0.3134115000, 0.7292764000", \ - "0.0478417000, 0.0558366000, 0.0722711000, 0.1065291000, 0.1688424000, 0.3136168000, 0.7296686000", \ - "0.0479384000, 0.0557638000, 0.0725344000, 0.1065726000, 0.1685422000, 0.3127562000, 0.7283439000", \ - "0.0477983000, 0.0557748000, 0.0722878000, 0.1066086000, 0.1686331000, 0.3133393000, 0.7293963000", \ - "0.0504162000, 0.0578960000, 0.0738779000, 0.1072996000, 0.1688769000, 0.3134912000, 0.7325642000", \ - "0.0793076000, 0.0884472000, 0.1051326000, 0.1349901000, 0.1887523000, 0.3237997000, 0.7309207000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0349462000, 0.0432202000, 0.0628163000, 0.1138325000, 0.2468777000, 0.5875716000, 1.4933644000", \ - "0.0349446000, 0.0432222000, 0.0628158000, 0.1138324000, 0.2468876000, 0.5876373000, 1.4926078000", \ - "0.0348473000, 0.0429952000, 0.0628561000, 0.1138326000, 0.2466209000, 0.5871476000, 1.4913646000", \ - "0.0348651000, 0.0432149000, 0.0627781000, 0.1138523000, 0.2467079000, 0.5871689000, 1.4915753000", \ - "0.0361597000, 0.0443375000, 0.0638245000, 0.1143652000, 0.2466808000, 0.5873372000, 1.4924713000", \ - "0.0434384000, 0.0518206000, 0.0712488000, 0.1205725000, 0.2488895000, 0.5872272000, 1.4932159000", \ - "0.0596611000, 0.0696235000, 0.0895158000, 0.1370562000, 0.2574123000, 0.5889797000, 1.4946339000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0016230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015410000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0128885000, 0.0127980000, 0.0125896000, 0.0126427000, 0.0127652000, 0.0130477000, 0.0136989000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0081258000, 0.0080711000, 0.0079450000, 0.0079748000, 0.0080433000, 0.0082014000, 0.0085660000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017040000; - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0703594000, 0.1966940000, 0.2935365000", \ - "-0.147528000, -0.019972700, 0.0768698000", \ - "-0.395737600, -0.268182300, -0.170119100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0728008000, -0.051092400, -0.138169300", \ - "0.2711569000, 0.1472637000, 0.0601868000", \ - "0.5108216000, 0.3881491000, 0.3010723000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2192176000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "SET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.102980500, -0.060874400, -0.073895200", \ - "-0.226873700, -0.166457000, -0.175815800", \ - "-0.331040400, -0.252313200, -0.247023400"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "SET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1374980000, 0.1027161000, 0.1865378000", \ - "0.2699362000, 0.2156230000, 0.2493958000", \ - "0.4009583000, 0.3258932000, 0.3279277000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SET_B") { - capacitance : 0.0034670000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033780000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0051165000, 0.0051001000, 0.0050622000, 0.0050755000, 0.0051066000, 0.0051783000, 0.0053435000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004958400, -0.004999900, -0.005095500, -0.005099000, -0.005106900, -0.005125000, -0.005166700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035560000; - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.060255900, 0.0318991000, 0.1189759000", \ - "-0.246404900, -0.156691400, -0.102573600", \ - "-0.450669300, -0.360955700, -0.326369100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0666973000, -0.021795600, -0.055161500", \ - "0.2516257000, 0.1643535000, 0.1309876000", \ - "0.4558900000, 0.3673971000, 0.3401348000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "RESET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1374980000, 0.2699362000, 0.4009583000", \ - "0.1027161000, 0.2156230000, 0.3258932000", \ - "0.1865378000, 0.2493958000, 0.3279277000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2543700000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "RESET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.102980500, -0.226873700, -0.331040400", \ - "-0.060874400, -0.166457000, -0.252313200", \ - "-0.073895200, -0.175815800, -0.247023400"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dfbbn_2") { - leakage_power () { - value : 0.0117983000; - when : "!SET_B&RESET_B&CLK_N&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0137929000; - when : "SET_B&RESET_B&CLK_N&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0116202000; - when : "!SET_B&!RESET_B&CLK_N&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0143473000; - when : "SET_B&RESET_B&!CLK_N&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0116884000; - when : "!SET_B&!RESET_B&!CLK_N&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0114876000; - when : "!SET_B&!RESET_B&CLK_N&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0116142000; - when : "SET_B&!RESET_B&CLK_N&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0137305000; - when : "SET_B&RESET_B&!CLK_N&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0117410000; - when : "SET_B&!RESET_B&CLK_N&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0143260000; - when : "SET_B&RESET_B&CLK_N&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0147321000; - when : "SET_B&RESET_B&!CLK_N&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0134107000; - when : "SET_B&RESET_B&CLK_N&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0124126000; - when : "!SET_B&RESET_B&!CLK_N&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0119341000; - when : "SET_B&!RESET_B&!CLK_N&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0147607000; - when : "SET_B&RESET_B&!CLK_N&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0141465000; - when : "SET_B&RESET_B&CLK_N&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0119992000; - when : "!SET_B&RESET_B&!CLK_N&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0129356000; - when : "SET_B&!RESET_B&!CLK_N&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0123327000; - when : "!SET_B&RESET_B&CLK_N&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0121019000; - when : "!SET_B&!RESET_B&!CLK_N&!D&Q&!Q_N"; - } - area : 35.033600000; - cell_footprint : "sky130_fd_sc_hd__dfbbn"; - cell_leakage_power : 0.0128456200; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clear_preset_var1 : "H"; - clear_preset_var2 : "L"; - clocked_on : "!CLK_N"; - next_state : "D"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK_N") { - capacitance : 0.0017970000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0017280000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0338824000, 0.0337452000, 0.0334290000, 0.0334754000, 0.0335827000, 0.0338300000, 0.0343999000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0161190000, 0.0160333000, 0.0158358000, 0.0158482000, 0.0158771000, 0.0159436000, 0.0160970000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018660000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2884238000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK_N"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1994444000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0015930000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015380000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0055914000, 0.0055096000, 0.0053212000, 0.0053975000, 0.0055737000, 0.0059798000, 0.0069158000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("1.6588513e-05, -5.5872937e-05, -0.000222800, -0.000179400, -7.9130524e-05, 0.0001520000, 0.0006848000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016480000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1460430000, 0.3590475000, 0.6512025000", \ - "0.0148255000, 0.2253887000, 0.5077780000", \ - "-0.138169300, 0.0723939000, 0.3499004000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0837871000, 0.1991354000, 0.2849915000", \ - "-0.129217500, -0.012648400, 0.0732077000", \ - "-0.367661500, -0.252313200, -0.164015600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.126173800, -0.339178400, -0.625229800", \ - "0.0062643000, -0.203078100, -0.481805300", \ - "0.1629212000, -0.046421200, -0.322707000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0471660000, -0.066961600, -0.149155600", \ - "0.2394186000, 0.1301738000, 0.0504212000", \ - "0.4607728000, 0.3600729000, 0.2864238000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000"); - values("-0.013582700, -0.007945000, 0.0038542000, 0.0173618000, 0.0056755000, -0.091616700, -0.418048700", \ - "-0.013520600, -0.007899200, 0.0038800000, 0.0173497000, 0.0056181000, -0.091695900, -0.418134300", \ - "-0.013364900, -0.007747300, 0.0040084000, 0.0174511000, 0.0056757000, -0.091682400, -0.418128000", \ - "-0.013396400, -0.007796100, 0.0039343000, 0.0173054000, 0.0055037000, -0.091949800, -0.418361800", \ - "-0.013459700, -0.007869500, 0.0038093000, 0.0171715000, 0.0052897000, -0.092112400, -0.418594600", \ - "-0.013580100, -0.007986700, 0.0037205000, 0.0170762000, 0.0052348000, -0.092219300, -0.418698700", \ - "-0.013838000, -0.008196800, 0.0036139000, 0.0171538000, 0.0055752000, -0.091695100, -0.418122800"); - } - related_pin : "CLK_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000"); - values("-0.015157100, -0.011889400, -0.003284300, 0.0171469000, 0.0632073000, 0.1801531000, 0.5123678000", \ - "-0.015091400, -0.011834400, -0.003229300, 0.0171669000, 0.0633156000, 0.1800816000, 0.5143452000", \ - "-0.014938700, -0.011691700, -0.003124500, 0.0172421000, 0.0632012000, 0.1798299000, 0.5117702000", \ - "-0.014975600, -0.011747100, -0.003218000, 0.0170543000, 0.0630194000, 0.1805871000, 0.5112811000", \ - "-0.015037300, -0.011829200, -0.003355100, 0.0168557000, 0.0629699000, 0.1803904000, 0.5133650000", \ - "-0.015165900, -0.011959200, -0.003479000, 0.0167173000, 0.0625888000, 0.1790431000, 0.5130447000", \ - "-0.015341300, -0.011971800, -0.003290800, 0.0166937000, 0.0632292000, 0.1793322000, 0.5112425000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000"); - values("-0.002733600, 0.0035533000, 0.0168500000, 0.0327309000, 0.0242815000, -0.070676400, -0.396364300", \ - "-0.002689200, 0.0035894000, 0.0168347000, 0.0327097000, 0.0241419000, -0.070794000, -0.396312200", \ - "-0.002593600, 0.0036745000, 0.0168923000, 0.0327279000, 0.0241175000, -0.070840400, -0.396453300", \ - "-0.002623000, 0.0036443000, 0.0168624000, 0.0326993000, 0.0241267000, -0.070887500, -0.396613500", \ - "-0.002689900, 0.0035573000, 0.0167598000, 0.0325112000, 0.0238976000, -0.071129200, -0.396860200", \ - "-0.002834900, 0.0033971000, 0.0165593000, 0.0322492000, 0.0235593000, -0.071584900, -0.397295900", \ - "-0.003063800, 0.0033043000, 0.0167832000, 0.0330063000, 0.0237330000, -0.071541900, -0.397248700"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000"); - values("0.0056062000, 0.0108587000, 0.0217829000, 0.0338720000, 0.0215949000, -0.075581600, -0.402235300", \ - "0.0056264000, 0.0108826000, 0.0217990000, 0.0338825000, 0.0215742000, -0.075603100, -0.402244100", \ - "0.0056639000, 0.0109032000, 0.0218122000, 0.0338656000, 0.0215658000, -0.075649200, -0.402287600", \ - "0.0056481000, 0.0108581000, 0.0217071000, 0.0336587000, 0.0211804000, -0.076116200, -0.402722700", \ - "0.0056312000, 0.0108023000, 0.0215591000, 0.0333552000, 0.0207464000, -0.076706600, -0.403433500", \ - "0.0056385000, 0.0108127000, 0.0215393000, 0.0333563000, 0.0204697000, -0.077246500, -0.404004300", \ - "0.0057374000, 0.0110437000, 0.0220861000, 0.0343416000, 0.0211170000, -0.077332700, -0.404203200"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000"); - values("-0.000512900, 0.0031516000, 0.0127026000, 0.0349354000, 0.0829933000, 0.2008713000, 0.5334206000", \ - "-0.000515500, 0.0031364000, 0.0126336000, 0.0347823000, 0.0830971000, 0.2010458000, 0.5350268000", \ - "-0.000515000, 0.0031144000, 0.0125490000, 0.0346452000, 0.0828610000, 0.2007271000, 0.5329991000", \ - "-0.000530000, 0.0030857000, 0.0125237000, 0.0345623000, 0.0825600000, 0.2011088000, 0.5343497000", \ - "-0.000559200, 0.0030381000, 0.0123850000, 0.0343584000, 0.0823033000, 0.2004075000, 0.5314888000", \ - "-0.000614200, 0.0029516000, 0.0122477000, 0.0340774000, 0.0817771000, 0.1998085000, 0.5317224000", \ - "-0.000677900, 0.0029207000, 0.0123101000, 0.0344652000, 0.0825377000, 0.2006496000, 0.5349586000"); - } - } - max_capacitance : 0.3131200000; - max_transition : 1.4976940000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.5715146000, 0.5764608000, 0.5872999000, 0.6094978000, 0.6562273000, 0.7728852000, 1.1070838000", \ - "0.5763654000, 0.5813140000, 0.5921482000, 0.6143386000, 0.6610686000, 0.7777333000, 1.1119631000", \ - "0.5888512000, 0.5937825000, 0.6047230000, 0.6268766000, 0.6736517000, 0.7902700000, 1.1248132000", \ - "0.6198478000, 0.6247883000, 0.6357509000, 0.6578812000, 0.7045739000, 0.8213871000, 1.1548854000", \ - "0.6903620000, 0.6953002000, 0.7062560000, 0.7282338000, 0.7750668000, 0.8917673000, 1.2255494000", \ - "0.8164339000, 0.8213774000, 0.8323279000, 0.8544688000, 0.9011354000, 1.0179231000, 1.3516137000", \ - "1.0122578000, 1.0172030000, 1.0280993000, 1.0502539000, 1.0970421000, 1.2136561000, 1.5477983000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.4959562000, 0.5023387000, 0.5161479000, 0.5469950000, 0.6271237000, 0.8580184000, 1.5340924000", \ - "0.5006354000, 0.5070349000, 0.5209054000, 0.5518923000, 0.6322072000, 0.8632844000, 1.5393135000", \ - "0.5131978000, 0.5195176000, 0.5335894000, 0.5643231000, 0.6445486000, 0.8759715000, 1.5501265000", \ - "0.5441849000, 0.5505837000, 0.5644421000, 0.5954131000, 0.6757394000, 0.9068185000, 1.5835114000", \ - "0.6145719000, 0.6209193000, 0.6348982000, 0.6657329000, 0.7461110000, 0.9769409000, 1.6530290000", \ - "0.7392129000, 0.7456168000, 0.7594805000, 0.7904654000, 0.8707812000, 1.1018603000, 1.7758524000", \ - "0.9295227000, 0.9358828000, 0.9497857000, 0.9805695000, 1.0610020000, 1.2916317000, 1.9667528000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.0201573000, 0.0232459000, 0.0306186000, 0.0486452000, 0.0965352000, 0.2431940000, 0.6940848000", \ - "0.0201437000, 0.0232326000, 0.0306586000, 0.0486549000, 0.0965757000, 0.2431721000, 0.6876032000", \ - "0.0201667000, 0.0232569000, 0.0306308000, 0.0486124000, 0.0960247000, 0.2429552000, 0.6900953000", \ - "0.0202455000, 0.0233484000, 0.0308481000, 0.0484582000, 0.0961830000, 0.2426410000, 0.6931582000", \ - "0.0202019000, 0.0233392000, 0.0305482000, 0.0483223000, 0.0964494000, 0.2421681000, 0.6853469000", \ - "0.0201581000, 0.0232498000, 0.0308296000, 0.0482484000, 0.0962860000, 0.2430605000, 0.6859591000", \ - "0.0201717000, 0.0232519000, 0.0309418000, 0.0486065000, 0.0960826000, 0.2419765000, 0.6944766000"); - } - related_pin : "CLK_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.0251050000, 0.0294903000, 0.0409939000, 0.0752368000, 0.1855240000, 0.5167228000, 1.4945660000", \ - "0.0251651000, 0.0295626000, 0.0409769000, 0.0753114000, 0.1853144000, 0.5173152000, 1.4937516000", \ - "0.0251410000, 0.0297028000, 0.0410298000, 0.0752777000, 0.1852855000, 0.5176567000, 1.4939925000", \ - "0.0252007000, 0.0296153000, 0.0409639000, 0.0753174000, 0.1853070000, 0.5171768000, 1.4944919000", \ - "0.0251212000, 0.0297909000, 0.0410251000, 0.0752155000, 0.1853753000, 0.5164013000, 1.4976937000", \ - "0.0251700000, 0.0295755000, 0.0409753000, 0.0753103000, 0.1853055000, 0.5171507000, 1.4917667000", \ - "0.0252085000, 0.0295810000, 0.0411068000, 0.0752978000, 0.1851638000, 0.5174594000, 1.4949680000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.3569500000, 0.3619844000, 0.3731203000, 0.3956128000, 0.4426570000, 0.5597244000, 0.8931262000", \ - "0.3622413000, 0.3672809000, 0.3783640000, 0.4008872000, 0.4480329000, 0.5649282000, 0.8983347000", \ - "0.3747519000, 0.3797861000, 0.3908745000, 0.4133839000, 0.4605446000, 0.5774463000, 0.9108437000", \ - "0.4064584000, 0.4114798000, 0.4225946000, 0.4451139000, 0.4922558000, 0.6092485000, 0.9423490000", \ - "0.4776291000, 0.4826729000, 0.4937882000, 0.5163199000, 0.5633441000, 0.6804374000, 1.0136628000", \ - "0.6092404000, 0.6143447000, 0.6254986000, 0.6480298000, 0.6950774000, 0.8121235000, 1.1454626000", \ - "0.8190955000, 0.8241580000, 0.8353403000, 0.8578829000, 0.9050162000, 1.0220408000, 1.3553527000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.0210729000, 0.0239388000, 0.0316691000, 0.0491964000, 0.0973032000, 0.2428239000, 0.6870486000", \ - "0.0208970000, 0.0240413000, 0.0314562000, 0.0494659000, 0.0968653000, 0.2427730000, 0.6874631000", \ - "0.0208662000, 0.0240445000, 0.0314135000, 0.0495144000, 0.0968391000, 0.2428051000, 0.6881803000", \ - "0.0208755000, 0.0240514000, 0.0314949000, 0.0494066000, 0.0971266000, 0.2428580000, 0.6877711000", \ - "0.0210764000, 0.0243349000, 0.0315942000, 0.0492395000, 0.0973115000, 0.2426421000, 0.6885970000", \ - "0.0211746000, 0.0241588000, 0.0316939000, 0.0492636000, 0.0970446000, 0.2428679000, 0.6881231000", \ - "0.0209860000, 0.0241299000, 0.0321432000, 0.0493834000, 0.0966777000, 0.2428122000, 0.6875218000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.2564176000, 0.2614302000, 0.2725387000, 0.2950076000, 0.3421301000, 0.4591907000, 0.7924238000", \ - "0.2612437000, 0.2662476000, 0.2773562000, 0.2998325000, 0.3470260000, 0.4640048000, 0.7973817000", \ - "0.2711862000, 0.2762307000, 0.2873224000, 0.3098577000, 0.3568919000, 0.4740291000, 0.8071379000", \ - "0.2926458000, 0.2976569000, 0.3087689000, 0.3312862000, 0.3784337000, 0.4953704000, 0.8288411000", \ - "0.3408331000, 0.3458815000, 0.3569794000, 0.3795236000, 0.4265699000, 0.5437021000, 0.8769099000", \ - "0.4302336000, 0.4353806000, 0.4467414000, 0.4693780000, 0.5167812000, 0.6339890000, 0.9672280000", \ - "0.5529300000, 0.5583712000, 0.5702434000, 0.5937570000, 0.6416908000, 0.7590423000, 1.0925317000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.4224749000, 0.4293239000, 0.4441836000, 0.4758974000, 0.5563016000, 0.7871183000, 1.4626006000", \ - "0.4277420000, 0.4345400000, 0.4494435000, 0.4810595000, 0.5615504000, 0.7921701000, 1.4684824000", \ - "0.4408006000, 0.4476916000, 0.4624884000, 0.4941161000, 0.5745947000, 0.8057763000, 1.4800906000", \ - "0.4725484000, 0.4794249000, 0.4942122000, 0.5259663000, 0.6062797000, 0.8372941000, 1.5144710000", \ - "0.5485211000, 0.5554448000, 0.5701400000, 0.6019671000, 0.6822549000, 0.9132370000, 1.5878433000", \ - "0.7268801000, 0.7337704000, 0.7485358000, 0.7801466000, 0.8606407000, 1.0917617000, 1.7661357000", \ - "1.1070097000, 1.1143877000, 1.1301959000, 1.1625885000, 1.2432194000, 1.4740369000, 2.1501847000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.0208376000, 0.0239501000, 0.0314154000, 0.0493645000, 0.0969845000, 0.2427779000, 0.6871465000", \ - "0.0208271000, 0.0239649000, 0.0313758000, 0.0494088000, 0.0968049000, 0.2427891000, 0.6869477000", \ - "0.0209953000, 0.0242384000, 0.0314810000, 0.0492280000, 0.0972327000, 0.2427051000, 0.6877030000", \ - "0.0210263000, 0.0242703000, 0.0314585000, 0.0493937000, 0.0969478000, 0.2427619000, 0.6885201000", \ - "0.0210291000, 0.0242992000, 0.0314454000, 0.0492789000, 0.0972438000, 0.2424513000, 0.6875051000", \ - "0.0216658000, 0.0248560000, 0.0320964000, 0.0501723000, 0.0970783000, 0.2425572000, 0.6878934000", \ - "0.0238759000, 0.0271400000, 0.0346973000, 0.0518432000, 0.0986450000, 0.2434849000, 0.6856774000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.0280258000, 0.0323414000, 0.0436556000, 0.0766772000, 0.1857171000, 0.5163333000, 1.4912522000", \ - "0.0278694000, 0.0321259000, 0.0438937000, 0.0767928000, 0.1855847000, 0.5176554000, 1.4942477000", \ - "0.0278744000, 0.0324466000, 0.0435953000, 0.0767825000, 0.1854573000, 0.5177235000, 1.4913802000", \ - "0.0278548000, 0.0325195000, 0.0438040000, 0.0767411000, 0.1855839000, 0.5174336000, 1.4899287000", \ - "0.0279749000, 0.0324133000, 0.0435580000, 0.0768631000, 0.1858638000, 0.5168027000, 1.4892525000", \ - "0.0278495000, 0.0324141000, 0.0438861000, 0.0767792000, 0.1859082000, 0.5173258000, 1.4936221000", \ - "0.0305126000, 0.0349375000, 0.0463838000, 0.0784154000, 0.1860613000, 0.5173134000, 1.4933341000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("-0.013476100, -0.007808700, 0.0038433000, 0.0171758000, 0.0065654000, -0.081863800, -0.379520200", \ - "-0.013413300, -0.007758400, 0.0038717000, 0.0171706000, 0.0064893000, -0.081951100, -0.379598300", \ - "-0.013259300, -0.007611400, 0.0040074000, 0.0172783000, 0.0065403000, -0.081860900, -0.379524200", \ - "-0.013296300, -0.007675400, 0.0038984000, 0.0170793000, 0.0062984000, -0.082157100, -0.379820700", \ - "-0.013354200, -0.007735700, 0.0038050000, 0.0169635000, 0.0061589000, -0.082334900, -0.380016000", \ - "-0.013480200, -0.007877700, 0.0036513000, 0.0167671000, 0.0059716000, -0.082507300, -0.380098300", \ - "-0.013736600, -0.008091600, 0.0035349000, 0.0167024000, 0.0060748000, -0.081939800, -0.379541200"); - } - related_pin : "CLK_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("-0.014966100, -0.011481700, -0.002692200, 0.0173191000, 0.0612639000, 0.1706042000, 0.4775002000", \ - "-0.014900900, -0.011420700, -0.002646600, 0.0173734000, 0.0614351000, 0.1705743000, 0.4750387000", \ - "-0.014748900, -0.011282700, -0.002544800, 0.0174225000, 0.0614160000, 0.1704346000, 0.4750288000", \ - "-0.014780600, -0.011332100, -0.002622100, 0.0172729000, 0.0611449000, 0.1702684000, 0.4746105000", \ - "-0.014842800, -0.011400100, -0.002726200, 0.0171496000, 0.0609693000, 0.1704520000, 0.4735776000", \ - "-0.014966300, -0.011527300, -0.002845600, 0.0170281000, 0.0609239000, 0.1698528000, 0.4761877000", \ - "-0.015228400, -0.011747200, -0.002996600, 0.0170494000, 0.0612463000, 0.1704112000, 0.4744893000"); - } - } - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("-0.004178200, -0.000159300, 0.0097846000, 0.0326144000, 0.0804297000, 0.1912296000, 0.4951224000", \ - "-0.004137000, -0.000126900, 0.0097786000, 0.0326240000, 0.0803886000, 0.1915080000, 0.4956842000", \ - "-0.004037400, -3.84500e-05, 0.0098461000, 0.0326603000, 0.0803854000, 0.1911351000, 0.4951339000", \ - "-0.004068300, -7.90000e-05, 0.0098098000, 0.0326044000, 0.0803131000, 0.1911612000, 0.4957210000", \ - "-0.004134400, -0.000155400, 0.0096869000, 0.0324272000, 0.0800889000, 0.1908029000, 0.4947644000", \ - "-0.004269800, -0.000299700, 0.0095395000, 0.0321836000, 0.0797697000, 0.1904695000, 0.4949565000", \ - "-0.004411000, -0.000143100, 0.0103750000, 0.0330539000, 0.0796050000, 0.1902904000, 0.4951534000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("0.0012235000, 0.0073562000, 0.0200119000, 0.0348383000, 0.0259699000, -0.060895200, -0.357806900", \ - "0.0012190000, 0.0073384000, 0.0199611000, 0.0347551000, 0.0258022000, -0.061127600, -0.358110200", \ - "0.0012171000, 0.0073185000, 0.0198962000, 0.0345811000, 0.0255906000, -0.061374500, -0.358364500", \ - "0.0012026000, 0.0072897000, 0.0198529000, 0.0344933000, 0.0255109000, -0.061532200, -0.358517000", \ - "0.0011749000, 0.0072404000, 0.0197402000, 0.0342966000, 0.0251183000, -0.061896100, -0.358855500", \ - "0.0011241000, 0.0071628000, 0.0196107000, 0.0340021000, 0.0247226000, -0.062439900, -0.359336500", \ - "0.0011122000, 0.0072628000, 0.0198846000, 0.0344209000, 0.0250764000, -0.062106000, -0.358990800"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("0.0041299000, 0.0070904000, 0.0146812000, 0.0337384000, 0.0778454000, 0.1861175000, 0.4889928000", \ - "0.0041507000, 0.0071087000, 0.0146959000, 0.0337497000, 0.0778442000, 0.1858724000, 0.4892711000", \ - "0.0041933000, 0.0071467000, 0.0147064000, 0.0337361000, 0.0777015000, 0.1861670000, 0.4895061000", \ - "0.0041774000, 0.0070978000, 0.0146046000, 0.0335392000, 0.0774036000, 0.1857269000, 0.4886537000", \ - "0.0041681000, 0.0070683000, 0.0144972000, 0.0332385000, 0.0768523000, 0.1850578000, 0.4883267000", \ - "0.0041890000, 0.0071046000, 0.0145781000, 0.0332356000, 0.0764008000, 0.1844872000, 0.4881155000", \ - "0.0043723000, 0.0075617000, 0.0156551000, 0.0343006000, 0.0765542000, 0.1846870000, 0.4876137000"); - } - } - max_capacitance : 0.2884010000; - max_transition : 1.5033670000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.3763170000, 0.3843919000, 0.4022006000, 0.4373844000, 0.5041373000, 0.6392230000, 0.9662938000", \ - "0.3808234000, 0.3888878000, 0.4067260000, 0.4419132000, 0.5086438000, 0.6437326000, 0.9705128000", \ - "0.3939042000, 0.4019684000, 0.4197971000, 0.4549827000, 0.5217258000, 0.6568194000, 0.9838784000", \ - "0.4246916000, 0.4327795000, 0.4505756000, 0.4857624000, 0.5525091000, 0.6875935000, 1.0146693000", \ - "0.4944100000, 0.5024735000, 0.5203009000, 0.5554834000, 0.6222327000, 0.7573190000, 1.0843944000", \ - "0.6187532000, 0.6267971000, 0.6446536000, 0.6798690000, 0.7465731000, 0.8816615000, 1.2084892000", \ - "0.8099303000, 0.8180147000, 0.8358883000, 0.8710416000, 0.9377908000, 1.0729271000, 1.3998034000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.4754696000, 0.4830780000, 0.5008433000, 0.5392443000, 0.6287660000, 0.8655988000, 1.5396003000", \ - "0.4805815000, 0.4882857000, 0.5060173000, 0.5444646000, 0.6339805000, 0.8713137000, 1.5456157000", \ - "0.4931007000, 0.5008496000, 0.5185862000, 0.5570550000, 0.6465295000, 0.8841574000, 1.5580285000", \ - "0.5235747000, 0.5313353000, 0.5490779000, 0.5875020000, 0.6769806000, 0.9139453000, 1.5898461000", \ - "0.5945758000, 0.6022753000, 0.6199652000, 0.6584014000, 0.7478982000, 0.9848462000, 1.6619591000", \ - "0.7205400000, 0.7282464000, 0.7460075000, 0.7844685000, 0.8739461000, 1.1111632000, 1.7870448000", \ - "0.9166170000, 0.9243228000, 0.9420562000, 0.9805304000, 1.0700153000, 1.3070661000, 1.9858468000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0370523000, 0.0419324000, 0.0533276000, 0.0772435000, 0.1307089000, 0.2660635000, 0.6779193000", \ - "0.0370687000, 0.0419386000, 0.0534289000, 0.0767435000, 0.1306876000, 0.2660154000, 0.6764859000", \ - "0.0370633000, 0.0419384000, 0.0533073000, 0.0768195000, 0.1306748000, 0.2659988000, 0.6776751000", \ - "0.0370477000, 0.0419122000, 0.0532975000, 0.0768258000, 0.1306663000, 0.2660959000, 0.6747758000", \ - "0.0370646000, 0.0419414000, 0.0533103000, 0.0768253000, 0.1306870000, 0.2661036000, 0.6780513000", \ - "0.0369792000, 0.0419855000, 0.0533307000, 0.0768537000, 0.1306940000, 0.2661192000, 0.6766238000", \ - "0.0370948000, 0.0420519000, 0.0532604000, 0.0777762000, 0.1305829000, 0.2660807000, 0.6781769000"); - } - related_pin : "CLK_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0305772000, 0.0364714000, 0.0513931000, 0.0896778000, 0.2007032000, 0.5296048000, 1.5033670000", \ - "0.0305755000, 0.0364470000, 0.0513472000, 0.0896054000, 0.2001613000, 0.5278695000, 1.4977162000", \ - "0.0305543000, 0.0364010000, 0.0512663000, 0.0896626000, 0.2001110000, 0.5286631000, 1.4981242000", \ - "0.0305773000, 0.0363822000, 0.0513131000, 0.0896417000, 0.2005884000, 0.5287522000, 1.4961706000", \ - "0.0305969000, 0.0363960000, 0.0512587000, 0.0896154000, 0.2005280000, 0.5286129000, 1.4948237000", \ - "0.0306586000, 0.0364783000, 0.0513742000, 0.0897105000, 0.2004444000, 0.5291740000, 1.4993334000", \ - "0.0305771000, 0.0364532000, 0.0512186000, 0.0895857000, 0.2001291000, 0.5276284000, 1.4972554000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.2579718000, 0.2662404000, 0.2849825000, 0.3252107000, 0.4183699000, 0.6589023000, 1.3327327000", \ - "0.2631244000, 0.2713303000, 0.2900478000, 0.3302696000, 0.4235161000, 0.6641178000, 1.3385035000", \ - "0.2759796000, 0.2842035000, 0.3029214000, 0.3432003000, 0.4364481000, 0.6767924000, 1.3501018000", \ - "0.3070084000, 0.3151611000, 0.3338586000, 0.3741493000, 0.4673684000, 0.7078765000, 1.3825232000", \ - "0.3782418000, 0.3864337000, 0.4051553000, 0.4453937000, 0.5386382000, 0.7790814000, 1.4524060000", \ - "0.5102199000, 0.5184727000, 0.5371878000, 0.5774532000, 0.6708721000, 0.9113459000, 1.5859747000", \ - "0.7187809000, 0.7272160000, 0.7465111000, 0.7874764000, 0.8811937000, 1.1216489000, 1.7961473000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0326389000, 0.0389085000, 0.0545597000, 0.0940370000, 0.2072846000, 0.5333507000, 1.4960871000", \ - "0.0326196000, 0.0390445000, 0.0545900000, 0.0938518000, 0.2073848000, 0.5314798000, 1.4942110000", \ - "0.0325565000, 0.0390432000, 0.0545643000, 0.0938117000, 0.2074500000, 0.5318563000, 1.4957408000", \ - "0.0327080000, 0.0388376000, 0.0544156000, 0.0940721000, 0.2075588000, 0.5316399000, 1.4920928000", \ - "0.0326710000, 0.0390309000, 0.0546622000, 0.0939512000, 0.2076845000, 0.5319357000, 1.4956139000", \ - "0.0332866000, 0.0391823000, 0.0545846000, 0.0941880000, 0.2073648000, 0.5316025000, 1.4936953000", \ - "0.0348623000, 0.0413633000, 0.0567728000, 0.0958143000, 0.2078697000, 0.5309524000, 1.4938975000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.2840244000, 0.2940421000, 0.3156840000, 0.3568763000, 0.4336555000, 0.5791062000, 0.9118324000", \ - "0.2892669000, 0.2993277000, 0.3209460000, 0.3621923000, 0.4388827000, 0.5843513000, 0.9173692000", \ - "0.3024941000, 0.3125306000, 0.3340317000, 0.3752088000, 0.4519465000, 0.5973877000, 0.9304499000", \ - "0.3343806000, 0.3443502000, 0.3658794000, 0.4070605000, 0.4837159000, 0.6291659000, 0.9621020000", \ - "0.4102363000, 0.4202280000, 0.4417694000, 0.4829302000, 0.5596233000, 0.7051197000, 1.0381752000", \ - "0.5894699000, 0.5993039000, 0.6205453000, 0.6613042000, 0.7377268000, 0.8832052000, 1.2163378000", \ - "0.9502040000, 0.9613732000, 0.9857726000, 1.0330520000, 1.1179807000, 1.2701173000, 1.6047741000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.1571745000, 0.1653154000, 0.1840620000, 0.2242950000, 0.3173657000, 0.5576715000, 1.2317614000", \ - "0.1619898000, 0.1701290000, 0.1888710000, 0.2291084000, 0.3221931000, 0.5625730000, 1.2359485000", \ - "0.1718952000, 0.1801483000, 0.1988399000, 0.2390926000, 0.3321684000, 0.5725935000, 1.2474715000", \ - "0.1934017000, 0.2015734000, 0.2202813000, 0.2604924000, 0.3536291000, 0.5940646000, 1.2676989000", \ - "0.2413692000, 0.2496410000, 0.2684963000, 0.3087541000, 0.4019135000, 0.6422802000, 1.3152959000", \ - "0.3228895000, 0.3323538000, 0.3536570000, 0.3973344000, 0.4929182000, 0.7328386000, 1.4074725000", \ - "0.4257004000, 0.4379832000, 0.4651272000, 0.5172880000, 0.6196997000, 0.8604086000, 1.5340766000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0519080000, 0.0569859000, 0.0680630000, 0.0931940000, 0.1481292000, 0.2807891000, 0.6830574000", \ - "0.0519784000, 0.0569527000, 0.0680881000, 0.0931880000, 0.1480642000, 0.2809579000, 0.6816239000", \ - "0.0518302000, 0.0568045000, 0.0680587000, 0.0929660000, 0.1480467000, 0.2808311000, 0.6819342000", \ - "0.0518008000, 0.0567819000, 0.0678475000, 0.0930791000, 0.1480207000, 0.2808920000, 0.6819548000", \ - "0.0518260000, 0.0568121000, 0.0680332000, 0.0930991000, 0.1481966000, 0.2805039000, 0.6826213000", \ - "0.0514759000, 0.0565259000, 0.0676343000, 0.0928684000, 0.1480915000, 0.2807626000, 0.6825895000", \ - "0.0692583000, 0.0740596000, 0.0853881000, 0.1121941000, 0.1650567000, 0.2907655000, 0.6834641000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0325712000, 0.0387431000, 0.0543530000, 0.0938335000, 0.2075386000, 0.5317147000, 1.4935027000", \ - "0.0325354000, 0.0387433000, 0.0543381000, 0.0938543000, 0.2074263000, 0.5317742000, 1.4930300000", \ - "0.0324105000, 0.0388797000, 0.0544411000, 0.0939312000, 0.2069821000, 0.5321171000, 1.4963973000", \ - "0.0325088000, 0.0388857000, 0.0544555000, 0.0939149000, 0.2076045000, 0.5314267000, 1.4957734000", \ - "0.0332692000, 0.0394543000, 0.0547731000, 0.0942030000, 0.2068993000, 0.5317229000, 1.4946612000", \ - "0.0399578000, 0.0466155000, 0.0620999000, 0.1008018000, 0.2100010000, 0.5311183000, 1.4964007000", \ - "0.0548367000, 0.0632438000, 0.0810785000, 0.1188394000, 0.2200218000, 0.5333459000, 1.4950472000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0016230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015370000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0129392000, 0.0128486000, 0.0126395000, 0.0126902000, 0.0128071000, 0.0130768000, 0.0136983000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0081727000, 0.0081196000, 0.0079971000, 0.0080244000, 0.0080871000, 0.0082320000, 0.0085661000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017090000; - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0728008000, 0.1966940000, 0.2886536000", \ - "-0.145086600, -0.019972700, 0.0719870000", \ - "-0.394516900, -0.269403000, -0.176222700"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0764629000, -0.044988900, -0.125962200", \ - "0.2748190000, 0.1545879000, 0.0723939000", \ - "0.5157044000, 0.3954733000, 0.3145000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2532714000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "SET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.104201200, -0.059653600, -0.076336600", \ - "-0.226873700, -0.167677700, -0.179477900", \ - "-0.332261100, -0.254754600, -0.253126900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "SET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1582500000, 0.1429994000, 0.2463522000", \ - "0.2967917000, 0.2534648000, 0.3104310000", \ - "0.4473450000, 0.3747214000, 0.3999492000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SET_B") { - capacitance : 0.0034300000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033430000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0050604000, 0.0050512000, 0.0050299000, 0.0050437000, 0.0050756000, 0.0051492000, 0.0053189000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004934000, -0.004974100, -0.005066600, -0.005069800, -0.005077400, -0.005094600, -0.005134200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035170000; - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.061476600, 0.0306784000, 0.1519349000", \ - "-0.247625700, -0.156691400, -0.086704400", \ - "-0.454331400, -0.363397100, -0.325148400"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0679180000, -0.021795600, -0.053940800", \ - "0.2528463000, 0.1643535000, 0.1322083000", \ - "0.4595521000, 0.3698385000, 0.3413555000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "RESET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1582500000, 0.2967917000, 0.4473450000", \ - "0.1429994000, 0.2534648000, 0.3747214000", \ - "0.2463522000, 0.3104310000, 0.3999492000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3060000000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "RESET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.104201200, -0.226873700, -0.332261100", \ - "-0.059653600, -0.167677700, -0.254754600", \ - "-0.076336600, -0.179477900, -0.253126900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dfbbp_1") { - leakage_power () { - value : 0.0122989000; - when : "!SET_B&!RESET_B&!CLK&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0156955000; - when : "SET_B&RESET_B&CLK&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0124999000; - when : "!SET_B&!RESET_B&CLK&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0114743000; - when : "SET_B&!RESET_B&!CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0113470000; - when : "SET_B&!RESET_B&!CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0140189000; - when : "SET_B&RESET_B&CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0146139000; - when : "SET_B&RESET_B&!CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0150225000; - when : "SET_B&RESET_B&CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0136989000; - when : "SET_B&RESET_B&!CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0137824000; - when : "!SET_B&RESET_B&CLK&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0116669000; - when : "SET_B&!RESET_B&CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0161108000; - when : "SET_B&RESET_B&CLK&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0154945000; - when : "SET_B&RESET_B&!CLK&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0133672000; - when : "!SET_B&RESET_B&CLK&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0126706000; - when : "SET_B&!RESET_B&CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0131661000; - when : "!SET_B&RESET_B&!CLK&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0151411000; - when : "SET_B&RESET_B&!CLK&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0124308000; - when : "!SET_B&!RESET_B&!CLK&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0136997000; - when : "!SET_B&RESET_B&!CLK&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0129152000; - when : "!SET_B&!RESET_B&CLK&!D&Q&!Q_N"; - } - area : 32.531200000; - cell_footprint : "sky130_fd_sc_hd__dfbbp"; - cell_leakage_power : 0.0135557500; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clear_preset_var1 : "H"; - clear_preset_var2 : "L"; - clocked_on : "CLK"; - next_state : "D"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017920000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0229054000, 0.0227829000, 0.0225003000, 0.0225653000, 0.0227156000, 0.0230618000, 0.0238599000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0278962000, 0.0277581000, 0.0274399000, 0.0274694000, 0.0275375000, 0.0276944000, 0.0280562000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018890000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2697491000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2093310000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0015950000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0052217000, 0.0051462000, 0.0049723000, 0.0050504000, 0.0052307000, 0.0056465000, 0.0066047000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0003622000, 0.0002965000, 0.0001449000, 0.0001882000, 0.0002883000, 0.0005189000, 0.0010505000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017000000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1704570000, 0.3834616000, 0.6804994000", \ - "0.0392396000, 0.2498027000, 0.5480612000", \ - "-0.058823600, 0.1480775000, 0.4475566000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0715801000, 0.1893698000, 0.2764466000", \ - "-0.002264300, 0.1057598000, 0.1806296000", \ - "-0.029526700, 0.0760560000, 0.1472637000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.085890600, -0.298895200, -0.592270900", \ - "0.0233542000, -0.184767600, -0.476922500", \ - "0.1043275000, -0.098911500, -0.388625000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.046828100, -0.163397100, -0.241929000", \ - "0.0209128000, -0.085890600, -0.155877600", \ - "0.0493958000, -0.054966100, -0.122511700"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.010614900, -0.005994400, 0.0025284000, 0.0115247000, 0.0054269000, -0.046618700, -0.210030200", \ - "-0.010547300, -0.005938700, 0.0025695000, 0.0115473000, 0.0054589000, -0.046606900, -0.210027700", \ - "-0.010409600, -0.005826500, 0.0026395000, 0.0115192000, 0.0053256000, -0.046790200, -0.210238500", \ - "-0.010439300, -0.005881200, 0.0025367000, 0.0113361000, 0.0050711000, -0.047084000, -0.210558400", \ - "-0.010494600, -0.005962900, 0.0023937000, 0.0111065000, 0.0047817000, -0.047447800, -0.210941900", \ - "-0.010568200, -0.006024700, 0.0023484000, 0.0110754000, 0.0047357000, -0.047451900, -0.210956300", \ - "-0.010695600, -0.006082700, 0.0024409000, 0.0114108000, 0.0052491000, -0.046982300, -0.210396100"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.012007800, -0.009054100, -0.002251500, 0.0123952000, 0.0419431000, 0.1089023000, 0.2781323000", \ - "-0.011948000, -0.009015000, -0.002257800, 0.0123935000, 0.0418045000, 0.1085775000, 0.2798717000", \ - "-0.011797600, -0.008875500, -0.002126200, 0.0124582000, 0.0418808000, 0.1092446000, 0.2780983000", \ - "-0.011826400, -0.008925900, -0.002232000, 0.0122516000, 0.0415903000, 0.1083095000, 0.2794371000", \ - "-0.011879100, -0.009002200, -0.002348200, 0.0120437000, 0.0412581000, 0.1081317000, 0.2790388000", \ - "-0.011955000, -0.009078400, -0.002425200, 0.0120361000, 0.0413669000, 0.1079210000, 0.2775125000", \ - "-0.012008400, -0.008944200, -0.001923000, 0.0126207000, 0.0419091000, 0.1084299000, 0.2799343000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.002131300, 0.0038374000, 0.0150881000, 0.0281786000, 0.0264407000, -0.022080000, -0.184037700", \ - "-0.002091300, 0.0038662000, 0.0150890000, 0.0281473000, 0.0263956000, -0.022121100, -0.184101800", \ - "-0.001997200, 0.0039575000, 0.0151518000, 0.0281844000, 0.0263980000, -0.022120800, -0.184146000", \ - "-0.002038200, 0.0038979000, 0.0150797000, 0.0280688000, 0.0262270000, -0.022345800, -0.184380900", \ - "-0.002112400, 0.0038076000, 0.0149590000, 0.0278974000, 0.0260103000, -0.022610100, -0.184617300", \ - "-0.002257000, 0.0036510000, 0.0147908000, 0.0277233000, 0.0258115000, -0.022826000, -0.184843900", \ - "-0.002541300, 0.0034543000, 0.0147493000, 0.0278976000, 0.0258813000, -0.023014500, -0.185015300"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("0.0001757000, 0.0049898000, 0.0139016000, 0.0234541000, 0.0182650000, -0.032500200, -0.195612100", \ - "0.0002171000, 0.0050332000, 0.0139314000, 0.0234852000, 0.0182892000, -0.032484200, -0.195611100", \ - "0.0003171000, 0.0051200000, 0.0140064000, 0.0235441000, 0.0183091000, -0.032480600, -0.195578500", \ - "0.0002991000, 0.0050584000, 0.0138756000, 0.0232853000, 0.0179519000, -0.032917600, -0.196053100", \ - "0.0002770000, 0.0049920000, 0.0137192000, 0.0230151000, 0.0174995000, -0.033522700, -0.196715600", \ - "0.0002868000, 0.0049700000, 0.0136446000, 0.0228221000, 0.0171698000, -0.034064700, -0.197295500", \ - "0.0004307000, 0.0052052000, 0.0140395000, 0.0235184000, 0.0178819000, -0.034075300, -0.197330600"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-3.45000e-06, 0.0037956000, 0.0123012000, 0.0300241000, 0.0628374000, 0.1322039000, 0.3033411000", \ - "-1.02000e-05, 0.0037701000, 0.0122463000, 0.0299008000, 0.0626734000, 0.1315139000, 0.3016417000", \ - "-7.10000e-06, 0.0037537000, 0.0121719000, 0.0297630000, 0.0624311000, 0.1311480000, 0.3014002000", \ - "-2.32500e-05, 0.0037280000, 0.0121092000, 0.0296702000, 0.0622163000, 0.1309944000, 0.3023649000", \ - "-5.82000e-05, 0.0036607000, 0.0119981000, 0.0294675000, 0.0619391000, 0.1307431000, 0.3008701000", \ - "-0.000120500, 0.0035646000, 0.0118405000, 0.0291969000, 0.0615091000, 0.1304738000, 0.3018860000", \ - "-0.000197100, 0.0034972000, 0.0117853000, 0.0293411000, 0.0620760000, 0.1309289000, 0.3023457000"); - } - } - max_capacitance : 0.1684670000; - max_transition : 1.5025880000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.4039533000, 0.4092296000, 0.4204419000, 0.4436846000, 0.4961129000, 0.6296842000, 0.9821381000", \ - "0.4086175000, 0.4138886000, 0.4251073000, 0.4483200000, 0.5008106000, 0.6343516000, 0.9868231000", \ - "0.4195532000, 0.4248875000, 0.4360719000, 0.4593400000, 0.5117256000, 0.6454468000, 0.9983931000", \ - "0.4452836000, 0.4505566000, 0.4617675000, 0.4849847000, 0.5374570000, 0.6710937000, 1.0234057000", \ - "0.4934014000, 0.4987052000, 0.5098993000, 0.5331193000, 0.5856108000, 0.7191925000, 1.0716139000", \ - "0.5639728000, 0.5692679000, 0.5804762000, 0.6036952000, 0.6560980000, 0.7897050000, 1.1418574000", \ - "0.6552036000, 0.6604736000, 0.6716790000, 0.6948981000, 0.7473747000, 0.8810654000, 1.2331584000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.4636423000, 0.4703978000, 0.4854080000, 0.5212972000, 0.6144507000, 0.8591190000, 1.5076738000", \ - "0.4685876000, 0.4752219000, 0.4902113000, 0.5262274000, 0.6192438000, 0.8636630000, 1.5094608000", \ - "0.4795922000, 0.4862304000, 0.5013462000, 0.5372556000, 0.6301383000, 0.8742134000, 1.5190521000", \ - "0.5055953000, 0.5122447000, 0.5273450000, 0.5632610000, 0.6561883000, 0.9006798000, 1.5470619000", \ - "0.5530906000, 0.5598074000, 0.5749050000, 0.6106542000, 0.7037665000, 0.9483704000, 1.5947870000", \ - "0.6202767000, 0.6270074000, 0.6421615000, 0.6780352000, 0.7713262000, 1.0155202000, 1.6592367000", \ - "0.7034734000, 0.7101449000, 0.7253207000, 0.7611444000, 0.8544684000, 1.0989282000, 1.7449191000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0176110000, 0.0217340000, 0.0310132000, 0.0544944000, 0.1180586000, 0.2941295000, 0.7627906000", \ - "0.0175409000, 0.0216467000, 0.0311814000, 0.0544284000, 0.1182901000, 0.2936109000, 0.7652771000", \ - "0.0176047000, 0.0217536000, 0.0311438000, 0.0544421000, 0.1181374000, 0.2939531000, 0.7634909000", \ - "0.0175771000, 0.0216742000, 0.0312100000, 0.0545539000, 0.1179132000, 0.2941286000, 0.7624151000", \ - "0.0175140000, 0.0217741000, 0.0311640000, 0.0544746000, 0.1178652000, 0.2937115000, 0.7626537000", \ - "0.0177662000, 0.0215713000, 0.0309825000, 0.0545130000, 0.1184243000, 0.2941531000, 0.7638183000", \ - "0.0175267000, 0.0217793000, 0.0311899000, 0.0545224000, 0.1179324000, 0.2941825000, 0.7648072000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0234667000, 0.0297125000, 0.0464813000, 0.0945516000, 0.2263394000, 0.5749123000, 1.5005779000", \ - "0.0234154000, 0.0295935000, 0.0464097000, 0.0945352000, 0.2265070000, 0.5748585000, 1.5023726000", \ - "0.0234268000, 0.0295356000, 0.0463298000, 0.0945196000, 0.2262740000, 0.5755212000, 1.5017431000", \ - "0.0234323000, 0.0295437000, 0.0463372000, 0.0944841000, 0.2265388000, 0.5749890000, 1.5025884000", \ - "0.0234252000, 0.0296051000, 0.0464400000, 0.0944117000, 0.2264147000, 0.5751394000, 1.5024757000", \ - "0.0235713000, 0.0297262000, 0.0465383000, 0.0944795000, 0.2260090000, 0.5750500000, 1.4963725000", \ - "0.0234269000, 0.0295138000, 0.0465050000, 0.0945337000, 0.2265600000, 0.5733328000, 1.5005919000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.2967494000, 0.3021147000, 0.3134580000, 0.3368799000, 0.3893941000, 0.5230161000, 0.8752900000", \ - "0.3018110000, 0.3071289000, 0.3185061000, 0.3419162000, 0.3943494000, 0.5281043000, 0.8803492000", \ - "0.3149259000, 0.3202734000, 0.3316190000, 0.3550180000, 0.4075392000, 0.5413351000, 0.8935410000", \ - "0.3457712000, 0.3511369000, 0.3624720000, 0.3858941000, 0.4384025000, 0.5722836000, 0.9243149000", \ - "0.4155792000, 0.4209445000, 0.4322934000, 0.4557108000, 0.5082337000, 0.6418609000, 0.9941435000", \ - "0.5426722000, 0.5479656000, 0.5592729000, 0.5827214000, 0.6352980000, 0.7690713000, 1.1213674000", \ - "0.7419366000, 0.7473708000, 0.7587431000, 0.7822518000, 0.8348028000, 0.9686356000, 1.3208046000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0181109000, 0.0219011000, 0.0316433000, 0.0548725000, 0.1183088000, 0.2933212000, 0.7621715000", \ - "0.0179439000, 0.0221820000, 0.0314588000, 0.0549111000, 0.1183012000, 0.2933603000, 0.7625383000", \ - "0.0182641000, 0.0223547000, 0.0316907000, 0.0548511000, 0.1182577000, 0.2935737000, 0.7633681000", \ - "0.0181293000, 0.0219364000, 0.0316052000, 0.0549264000, 0.1183097000, 0.2932350000, 0.7619276000", \ - "0.0181082000, 0.0219282000, 0.0316527000, 0.0548397000, 0.1183098000, 0.2934127000, 0.7635063000", \ - "0.0180267000, 0.0221004000, 0.0315310000, 0.0549698000, 0.1182687000, 0.2934627000, 0.7639789000", \ - "0.0182976000, 0.0225243000, 0.0318738000, 0.0550260000, 0.1185022000, 0.2935618000, 0.7625653000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.2002031000, 0.2055225000, 0.2168776000, 0.2402256000, 0.2928631000, 0.4264809000, 0.7788506000", \ - "0.2049883000, 0.2103103000, 0.2216675000, 0.2450143000, 0.2976534000, 0.4312711000, 0.7836132000", \ - "0.2148875000, 0.2202070000, 0.2315771000, 0.2549178000, 0.3074457000, 0.4412357000, 0.7935430000", \ - "0.2362131000, 0.2415570000, 0.2528683000, 0.2762757000, 0.3288325000, 0.4626183000, 0.8150761000", \ - "0.2830661000, 0.2884894000, 0.2998254000, 0.3232644000, 0.3758116000, 0.5095308000, 0.8618591000", \ - "0.3611619000, 0.3666896000, 0.3782653000, 0.4019654000, 0.4548248000, 0.5885110000, 0.9407782000", \ - "0.4591993000, 0.4651643000, 0.4773944000, 0.5016277000, 0.5552154000, 0.6888285000, 1.0410232000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3274920000, 0.3348437000, 0.3506624000, 0.3869837000, 0.4800038000, 0.7243806000, 1.3699463000", \ - "0.3326263000, 0.3399802000, 0.3558311000, 0.3921558000, 0.4851859000, 0.7295820000, 1.3746964000", \ - "0.3456709000, 0.3529467000, 0.3687302000, 0.4050282000, 0.4980201000, 0.7425951000, 1.3864568000", \ - "0.3774392000, 0.3847477000, 0.4005508000, 0.4368691000, 0.5298927000, 0.7744824000, 1.4199690000", \ - "0.4536521000, 0.4609321000, 0.4767149000, 0.5130131000, 0.6060015000, 0.8505902000, 1.4954322000", \ - "0.6320851000, 0.6395222000, 0.6553318000, 0.6916367000, 0.7846634000, 1.0291191000, 1.6753965000", \ - "0.9841062000, 0.9924723000, 1.0093232000, 1.0460272000, 1.1390712000, 1.3832775000, 2.0287656000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0179101000, 0.0222051000, 0.0315170000, 0.0548707000, 0.1179701000, 0.2932213000, 0.7630406000", \ - "0.0179129000, 0.0222017000, 0.0315071000, 0.0548853000, 0.1180039000, 0.2932354000, 0.7623958000", \ - "0.0179146000, 0.0221806000, 0.0314753000, 0.0548856000, 0.1181198000, 0.2939375000, 0.7619537000", \ - "0.0180729000, 0.0221647000, 0.0315931000, 0.0549127000, 0.1182247000, 0.2931578000, 0.7637985000", \ - "0.0183086000, 0.0221011000, 0.0316655000, 0.0548761000, 0.1183032000, 0.2932861000, 0.7627259000", \ - "0.0188332000, 0.0227821000, 0.0323829000, 0.0557304000, 0.1188458000, 0.2937264000, 0.7631682000", \ - "0.0212370000, 0.0251822000, 0.0345114000, 0.0572635000, 0.1197706000, 0.2935571000, 0.7597689000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0265332000, 0.0324946000, 0.0485333000, 0.0952848000, 0.2266342000, 0.5753942000, 1.4999639000", \ - "0.0264523000, 0.0324688000, 0.0485327000, 0.0953747000, 0.2261401000, 0.5740494000, 1.4991522000", \ - "0.0266518000, 0.0325106000, 0.0485532000, 0.0952770000, 0.2260631000, 0.5757561000, 1.4995526000", \ - "0.0264272000, 0.0324516000, 0.0485013000, 0.0953984000, 0.2265856000, 0.5752716000, 1.4969032000", \ - "0.0266500000, 0.0325132000, 0.0485542000, 0.0952778000, 0.2259917000, 0.5740220000, 1.4993594000", \ - "0.0266091000, 0.0326940000, 0.0486461000, 0.0953800000, 0.2262089000, 0.5740090000, 1.4963828000", \ - "0.0311481000, 0.0369449000, 0.0517973000, 0.0965856000, 0.2265411000, 0.5740936000, 1.4980801000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("-0.010395600, -0.005620000, 0.0030618000, 0.0123932000, 0.0079016000, -0.038555000, -0.185511400", \ - "-0.010336000, -0.005566100, 0.0031065000, 0.0124106000, 0.0078689000, -0.038569100, -0.185555800", \ - "-0.010183500, -0.005433100, 0.0032166000, 0.0124791000, 0.0078932000, -0.038553900, -0.185592200", \ - "-0.010225300, -0.005504200, 0.0030748000, 0.0122317000, 0.0075658000, -0.038987500, -0.186006300", \ - "-0.010273700, -0.005572700, 0.0029742000, 0.0120845000, 0.0073438000, -0.039231300, -0.186276700", \ - "-0.010341400, -0.005635400, 0.0029243000, 0.0120604000, 0.0073798000, -0.039192400, -0.186210900", \ - "-0.010463300, -0.005665100, 0.0030564000, 0.0124531000, 0.0079825000, -0.038748700, -0.185718100"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("-0.011941500, -0.008979500, -0.002307400, 0.0115129000, 0.0391310000, 0.1009413000, 0.2554813000", \ - "-0.011878500, -0.008923800, -0.002263500, 0.0115289000, 0.0390896000, 0.1008731000, 0.2556975000", \ - "-0.011738300, -0.008809500, -0.002201000, 0.0115263000, 0.0390305000, 0.1008905000, 0.2548255000", \ - "-0.011772000, -0.008870300, -0.002315700, 0.0113225000, 0.0387367000, 0.1009614000, 0.2549831000", \ - "-0.011823300, -0.008944700, -0.002433300, 0.0111503000, 0.0384910000, 0.1006777000, 0.2546497000", \ - "-0.011907200, -0.009032300, -0.002538300, 0.0110159000, 0.0383999000, 0.1000332000, 0.2533281000", \ - "-0.012020200, -0.009053800, -0.002355900, 0.0114085000, 0.0387563000, 0.1005819000, 0.2535738000"); - } - } - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("-0.003648500, 0.0003524000, 0.0090323000, 0.0280329000, 0.0607363000, 0.1252276000, 0.2797601000", \ - "-0.003613900, 0.0003686000, 0.0090554000, 0.0280122000, 0.0607072000, 0.1254051000, 0.2799709000", \ - "-0.003515000, 0.0004703000, 0.0091391000, 0.0281102000, 0.0607291000, 0.1252003000, 0.2797542000", \ - "-0.003556000, 0.0004134000, 0.0090537000, 0.0279539000, 0.0605305000, 0.1249706000, 0.2795542000", \ - "-0.003628400, 0.0003254000, 0.0089323000, 0.0278000000, 0.0603171000, 0.1246850000, 0.2790320000", \ - "-0.003777700, 0.0001722000, 0.0087645000, 0.0275901000, 0.0600790000, 0.1243913000, 0.2787535000", \ - "-0.003807700, 0.0005801000, 0.0100719000, 0.0279767000, 0.0600177000, 0.1244732000, 0.2792338000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0016838000, 0.0073933000, 0.0179177000, 0.0299693000, 0.0284358000, -0.015720200, -0.161503300", \ - "0.0016739000, 0.0073629000, 0.0178514000, 0.0298493000, 0.0282750000, -0.015943100, -0.161741800", \ - "0.0016754000, 0.0073392000, 0.0177752000, 0.0296932000, 0.0280736000, -0.016180500, -0.162010300", \ - "0.0016589000, 0.0073080000, 0.0177272000, 0.0295847000, 0.0279279000, -0.016342100, -0.162182400", \ - "0.0016263000, 0.0072488000, 0.0176080000, 0.0293980000, 0.0276497000, -0.016663000, -0.162510100", \ - "0.0015696000, 0.0071647000, 0.0174706000, 0.0291350000, 0.0272352000, -0.017126700, -0.162938900", \ - "0.0015396000, 0.0071944000, 0.0175627000, 0.0292501000, 0.0275971000, -0.016686300, -0.162434900"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("-0.001386100, 0.0014424000, 0.0078112000, 0.0233515000, 0.0526226000, 0.1148037000, 0.2679652000", \ - "-0.001341600, 0.0014847000, 0.0078615000, 0.0233905000, 0.0526379000, 0.1150668000, 0.2683634000", \ - "-0.001241900, 0.0015759000, 0.0079242000, 0.0234404000, 0.0527125000, 0.1148554000, 0.2683034000", \ - "-0.001260100, 0.0015140000, 0.0078088000, 0.0231948000, 0.0523084000, 0.1143291000, 0.2676387000", \ - "-0.001264600, 0.0014836000, 0.0077092000, 0.0229345000, 0.0518112000, 0.1139114000, 0.2670776000", \ - "-0.001219900, 0.0015492000, 0.0077944000, 0.0227782000, 0.0513487000, 0.1132609000, 0.2664771000", \ - "-0.000873700, 0.0022695000, 0.0093067000, 0.0235111000, 0.0513825000, 0.1133925000, 0.2661127000"); - } - } - max_capacitance : 0.1538190000; - max_transition : 1.5004800000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.3931175000, 0.4023883000, 0.4214331000, 0.4578175000, 0.5266816000, 0.6651807000, 0.9951560000", \ - "0.3977427000, 0.4069947000, 0.4260284000, 0.4624481000, 0.5313278000, 0.6697969000, 0.9993718000", \ - "0.4087553000, 0.4180210000, 0.4371030000, 0.4734775000, 0.5423611000, 0.6808427000, 1.0104344000", \ - "0.4346894000, 0.4439281000, 0.4629115000, 0.4994100000, 0.5682889000, 0.7067529000, 1.0366895000", \ - "0.4822269000, 0.4915004000, 0.5105728000, 0.5469286000, 0.6158065000, 0.7543056000, 1.0840331000", \ - "0.5495100000, 0.5587697000, 0.5777837000, 0.6142423000, 0.6831086000, 0.8216151000, 1.1514264000", \ - "0.6325974000, 0.6418331000, 0.6609048000, 0.6972878000, 0.7661742000, 0.9046758000, 1.2344549000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.3465939000, 0.3556435000, 0.3753984000, 0.4179360000, 0.5172140000, 0.7641695000, 1.4043884000", \ - "0.3512091000, 0.3602956000, 0.3800513000, 0.4225941000, 0.5218870000, 0.7685330000, 1.4054787000", \ - "0.3621631000, 0.3712761000, 0.3910239000, 0.4335713000, 0.5328449000, 0.7795686000, 1.4176900000", \ - "0.3878091000, 0.3968942000, 0.4166447000, 0.4591943000, 0.5584879000, 0.8055728000, 1.4451529000", \ - "0.4361983000, 0.4453033000, 0.4650506000, 0.5076116000, 0.6069221000, 0.8538156000, 1.4934668000", \ - "0.5063581000, 0.5154568000, 0.5352089000, 0.5777472000, 0.6770446000, 0.9241370000, 1.5635034000", \ - "0.5977575000, 0.6068733000, 0.6266288000, 0.6691873000, 0.7684818000, 1.0156903000, 1.6547206000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0363835000, 0.0422603000, 0.0556885000, 0.0841618000, 0.1457096000, 0.2979125000, 0.7271648000", \ - "0.0363956000, 0.0422507000, 0.0556096000, 0.0841542000, 0.1455508000, 0.2975634000, 0.7269619000", \ - "0.0361580000, 0.0421165000, 0.0557146000, 0.0841615000, 0.1455553000, 0.2976979000, 0.7239611000", \ - "0.0360721000, 0.0421373000, 0.0557712000, 0.0839962000, 0.1456077000, 0.2979377000, 0.7292654000", \ - "0.0362254000, 0.0426438000, 0.0558859000, 0.0840772000, 0.1457267000, 0.2981101000, 0.7232062000", \ - "0.0360656000, 0.0421524000, 0.0555211000, 0.0840845000, 0.1456280000, 0.2980349000, 0.7298426000", \ - "0.0361350000, 0.0421209000, 0.0557299000, 0.0840748000, 0.1457133000, 0.2981022000, 0.7213380000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0332469000, 0.0409900000, 0.0599744000, 0.1093995000, 0.2390713000, 0.5850410000, 1.4973788000", \ - "0.0331778000, 0.0409676000, 0.0599714000, 0.1093747000, 0.2387962000, 0.5849085000, 1.5004799000", \ - "0.0331777000, 0.0410046000, 0.0599174000, 0.1093323000, 0.2390003000, 0.5843763000, 1.4951687000", \ - "0.0331639000, 0.0409779000, 0.0598748000, 0.1093087000, 0.2390299000, 0.5854448000, 1.4975506000", \ - "0.0333056000, 0.0410591000, 0.0599779000, 0.1093274000, 0.2391751000, 0.5848795000, 1.4970949000", \ - "0.0332717000, 0.0411040000, 0.0598534000, 0.1093602000, 0.2390122000, 0.5860264000, 1.4907339000", \ - "0.0332557000, 0.0410863000, 0.0600782000, 0.1093720000, 0.2387102000, 0.5842072000, 1.4953185000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.2385995000, 0.2481496000, 0.2688600000, 0.3131994000, 0.4162598000, 0.6657416000, 1.3028342000", \ - "0.2435845000, 0.2531546000, 0.2738246000, 0.3181782000, 0.4212483000, 0.6707470000, 1.3084304000", \ - "0.2564480000, 0.2660029000, 0.2867427000, 0.3310867000, 0.4341423000, 0.6837132000, 1.3216841000", \ - "0.2875788000, 0.2971377000, 0.3178919000, 0.3622266000, 0.4652875000, 0.7148779000, 1.3530171000", \ - "0.3573035000, 0.3668590000, 0.3876172000, 0.4319509000, 0.5350134000, 0.7844905000, 1.4218271000", \ - "0.4845214000, 0.4941962000, 0.5150514000, 0.5596619000, 0.6629053000, 0.9123096000, 1.5497659000", \ - "0.6828862000, 0.6928831000, 0.7142192000, 0.7594926000, 0.8635104000, 1.1129231000, 1.7506068000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0351234000, 0.0433882000, 0.0629475000, 0.1140430000, 0.2460782000, 0.5871504000, 1.4944840000", \ - "0.0351852000, 0.0432924000, 0.0629607000, 0.1139346000, 0.2467565000, 0.5868377000, 1.4936452000", \ - "0.0351233000, 0.0433782000, 0.0628907000, 0.1140388000, 0.2468674000, 0.5872465000, 1.4945200000", \ - "0.0351381000, 0.0434400000, 0.0629625000, 0.1139832000, 0.2468949000, 0.5875046000, 1.4945408000", \ - "0.0351444000, 0.0434108000, 0.0629251000, 0.1140574000, 0.2467742000, 0.5866523000, 1.4905107000", \ - "0.0358719000, 0.0440401000, 0.0636084000, 0.1145421000, 0.2464876000, 0.5872652000, 1.4943407000", \ - "0.0376570000, 0.0458641000, 0.0654704000, 0.1162860000, 0.2479127000, 0.5878166000, 1.4927245000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.2450007000, 0.2564841000, 0.2804037000, 0.3260429000, 0.4085008000, 0.5572215000, 0.8909883000", \ - "0.2501011000, 0.2615943000, 0.2855231000, 0.3311930000, 0.4136338000, 0.5623345000, 0.8962810000", \ - "0.2630551000, 0.2744238000, 0.2984699000, 0.3441408000, 0.4265384000, 0.5752310000, 0.9089647000", \ - "0.2948286000, 0.3063463000, 0.3302965000, 0.3759225000, 0.4583370000, 0.6070217000, 0.9408768000", \ - "0.3710681000, 0.3824317000, 0.4064322000, 0.4519978000, 0.5344124000, 0.6832104000, 1.0171461000", \ - "0.5487699000, 0.5604088000, 0.5845471000, 0.6300692000, 0.7123053000, 0.8611340000, 1.1949282000", \ - "0.8787109000, 0.8951058000, 0.9280147000, 0.9846163000, 1.0770945000, 1.2323657000, 1.5677386000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.1418101000, 0.1513665000, 0.1720296000, 0.2163608000, 0.3192191000, 0.5686082000, 1.2063777000", \ - "0.1465985000, 0.1561545000, 0.1768164000, 0.2211521000, 0.3240293000, 0.5734266000, 1.2118834000", \ - "0.1564957000, 0.1660468000, 0.1867191000, 0.2310458000, 0.3339485000, 0.5833729000, 1.2202522000", \ - "0.1778370000, 0.1873642000, 0.2080642000, 0.2523301000, 0.3552589000, 0.6047386000, 1.2422062000", \ - "0.2238891000, 0.2337048000, 0.2546323000, 0.2991403000, 0.4022189000, 0.6515353000, 1.2895321000", \ - "0.2967399000, 0.3080394000, 0.3314164000, 0.3787469000, 0.4839245000, 0.7331225000, 1.3710711000", \ - "0.3810673000, 0.3959570000, 0.4257815000, 0.4808799000, 0.5915932000, 0.8414084000, 1.4787864000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0474586000, 0.0551601000, 0.0715627000, 0.1058876000, 0.1686415000, 0.3134767000, 0.7304584000", \ - "0.0474971000, 0.0551633000, 0.0715830000, 0.1059572000, 0.1684913000, 0.3132981000, 0.7268736000", \ - "0.0473999000, 0.0552227000, 0.0715750000, 0.1058508000, 0.1685534000, 0.3131231000, 0.7310630000", \ - "0.0474777000, 0.0551555000, 0.0717285000, 0.1059356000, 0.1685794000, 0.3133005000, 0.7270700000", \ - "0.0472987000, 0.0551365000, 0.0715644000, 0.1059663000, 0.1686753000, 0.3130269000, 0.7297014000", \ - "0.0500130000, 0.0574539000, 0.0732910000, 0.1068802000, 0.1684653000, 0.3130181000, 0.7265465000", \ - "0.0783504000, 0.0876334000, 0.1047964000, 0.1351386000, 0.1884475000, 0.3235185000, 0.7308282000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0350127000, 0.0431593000, 0.0628836000, 0.1138162000, 0.2468309000, 0.5878543000, 1.4891128000", \ - "0.0349979000, 0.0431822000, 0.0628791000, 0.1138234000, 0.2468423000, 0.5872328000, 1.4918446000", \ - "0.0349870000, 0.0432054000, 0.0628226000, 0.1138558000, 0.2468067000, 0.5872309000, 1.4920619000", \ - "0.0349076000, 0.0432292000, 0.0627766000, 0.1139136000, 0.2466366000, 0.5867717000, 1.4931402000", \ - "0.0362516000, 0.0443930000, 0.0638647000, 0.1144140000, 0.2467956000, 0.5867428000, 1.4952128000", \ - "0.0434374000, 0.0517450000, 0.0712668000, 0.1206896000, 0.2489325000, 0.5869861000, 1.4919568000", \ - "0.0596089000, 0.0696599000, 0.0896787000, 0.1369158000, 0.2571940000, 0.5887652000, 1.4942992000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0015970000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015280000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0128103000, 0.0127233000, 0.0125227000, 0.0125776000, 0.0127042000, 0.0129960000, 0.0136688000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080234000, 0.0079710000, 0.0078502000, 0.0078814000, 0.0079534000, 0.0081194000, 0.0085020000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016670000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0642559000, 0.1905905000, 0.2837708000", \ - "-0.054754600, 0.0679180000, 0.1574362000", \ - "-0.139390000, -0.017938200, 0.0679180000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.038283200, -0.160955700, -0.249253300", \ - "0.0782858000, -0.041945300, -0.127801400", \ - "0.1653626000, 0.0426901000, -0.041945300"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2181191000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "SET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.104201200, -0.060874400, -0.075115900", \ - "-0.226873700, -0.167677700, -0.175815800", \ - "-0.331040400, -0.253533800, -0.248244100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "SET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1374980000, 0.1039368000, 0.1865378000", \ - "0.2687155000, 0.2144023000, 0.2481751000", \ - "0.3985169000, 0.3246725000, 0.3267070000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SET_B") { - capacitance : 0.0034380000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0050813000, 0.0050664000, 0.0050320000, 0.0050459000, 0.0050782000, 0.0051526000, 0.0053243000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0065908000, 0.0065005000, 0.0062924000, 0.0062799000, 0.0062513000, 0.0061854000, 0.0060335000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035270000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.039503900, 0.0758444000, 0.2422669000", \ - "-0.191473300, -0.073683600, 0.0732077000", \ - "-0.309067700, -0.192498700, -0.054152300"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1167461000, 0.0331361000, 0.0022116000", \ - "0.2504049000, 0.1655742000, 0.1358704000", \ - "0.3557923000, 0.2697409000, 0.2388164000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "RESET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1374980000, 0.2687155000, 0.3985169000", \ - "0.1039368000, 0.2144023000, 0.3246725000", \ - "0.1865378000, 0.2481751000, 0.3267070000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2521729000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "RESET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.104201200, -0.226873700, -0.331040400", \ - "-0.060874400, -0.167677700, -0.253533800", \ - "-0.075115900, -0.175815800, -0.248244100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dfrbp_1") { - leakage_power () { - value : 0.0111839000; - when : "RESET_B&CLK&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0124264000; - when : "RESET_B&!CLK&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0125420000; - when : "!RESET_B&!CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0139328000; - when : "RESET_B&CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0125817000; - when : "RESET_B&!CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0120825000; - when : "!RESET_B&CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0117324000; - when : "RESET_B&CLK&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0109345000; - when : "RESET_B&!CLK&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0131347000; - when : "!RESET_B&CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0127085000; - when : "RESET_B&!CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0128806000; - when : "RESET_B&CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0122071000; - when : "!RESET_B&!CLK&!D&!Q&Q_N"; - } - area : 28.777600000; - cell_footprint : "sky130_fd_sc_hd__dfrbp"; - cell_leakage_power : 0.0123622800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "D"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017940000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0017150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0248024000, 0.0246802000, 0.0243985000, 0.0244781000, 0.0246614000, 0.0250842000, 0.0260588000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0162697000, 0.0161893000, 0.0160041000, 0.0160188000, 0.0160527000, 0.0161308000, 0.0163109000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018740000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2093310000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1653906000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0019770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0019490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0061313000, 0.0060924000, 0.0060027000, 0.0060656000, 0.0062104000, 0.0065444000, 0.0073142000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000822400, -0.000892900, -0.001055300, -0.001005200, -0.000889700, -0.000623100, -8.8221101e-06"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020050000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1057598000, 0.3199850000, 0.6255677000", \ - "-0.005926400, 0.2021953000, 0.5016745000", \ - "-0.091782600, 0.1126771000, 0.4072734000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0581523000, 0.1735006000, 0.2495911000", \ - "-0.014471400, 0.0874492000, 0.1501120000", \ - "-0.047837200, 0.0492005000, 0.1057598000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.038283200, -0.237860000, -0.490952500", \ - "0.0734030000, -0.124953100, -0.387811200", \ - "0.1519349000, -0.041538400, -0.305617200"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.033400400, -0.135321000, -0.188218100", \ - "0.0343405000, -0.062697300, -0.113153000", \ - "0.0603822000, -0.032993500, -0.084669900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013153390, 0.0034602330, 0.0091027580, 0.0239464200, 0.0629953200, 0.1657204000"); - values("-0.004905900, -0.000471000, 0.0076381000, 0.0159960000, 0.0094758000, -0.041957500, -0.202547100", \ - "-0.004870000, -0.000439600, 0.0076443000, 0.0159610000, 0.0094273000, -0.042060600, -0.202673200", \ - "-0.004788700, -0.000377500, 0.0076982000, 0.0160021000, 0.0093689000, -0.042149400, -0.202772000", \ - "-0.004819400, -0.000436900, 0.0075520000, 0.0157474000, 0.0090744000, -0.042524400, -0.203174400", \ - "-0.004841100, -0.000475700, 0.0074988000, 0.0156357000, 0.0089598000, -0.042633500, -0.203292200", \ - "-0.004879800, -0.000509500, 0.0074851000, 0.0156388000, 0.0089527000, -0.042645700, -0.203304700", \ - "-0.004903000, -0.000436000, 0.0077332000, 0.0161881000, 0.0097322000, -0.041906600, -0.202499800"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013153390, 0.0034602330, 0.0091027580, 0.0239464200, 0.0629953200, 0.1657204000"); - values("-0.006478800, -0.003950000, 0.0019636000, 0.0148746000, 0.0424996000, 0.1083191000, 0.2751654000", \ - "-0.006446500, -0.003928200, 0.0019629000, 0.0148445000, 0.0424725000, 0.1082630000, 0.2731554000", \ - "-0.006361600, -0.003855700, 0.0020120000, 0.0148683000, 0.0424410000, 0.1080511000, 0.2726225000", \ - "-0.006390300, -0.003913900, 0.0018880000, 0.0146443000, 0.0421464000, 0.1076327000, 0.2742219000", \ - "-0.006424700, -0.003974200, 0.0017845000, 0.0144721000, 0.0419050000, 0.1069689000, 0.2741783000", \ - "-0.006466200, -0.004017400, 0.0017337000, 0.0144044000, 0.0417886000, 0.1068688000, 0.2741551000", \ - "-0.006493100, -0.003953300, 0.0019869000, 0.0149066000, 0.0423707000, 0.1076247000, 0.2741253000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013153390, 0.0034602330, 0.0091027580, 0.0239464200, 0.0629953200, 0.1657204000"); - values("0.0003771000, 0.0041353000, 0.0109073000, 0.0172830000, 0.0089144000, -0.043831600, -0.204908000", \ - "0.0003609000, 0.0041098000, 0.0108562000, 0.0171889000, 0.0087606000, -0.043973200, -0.205138600", \ - "0.0003337000, 0.0040694000, 0.0107858000, 0.0170864000, 0.0086568000, -0.044123500, -0.205275000", \ - "0.0003259000, 0.0040621000, 0.0107718000, 0.0170532000, 0.0085817000, -0.044179500, -0.205368300", \ - "0.0003042000, 0.0040252000, 0.0106972000, 0.0169029000, 0.0083902000, -0.044400900, -0.205589900", \ - "0.0002742000, 0.0039783000, 0.0106184000, 0.0167558000, 0.0081285000, -0.044653400, -0.205820400", \ - "0.0002400000, 0.0039731000, 0.0105961000, 0.0166813000, 0.0080836000, -0.044598100, -0.205659800"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.1657200000; - max_transition : 1.5057720000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.3644992000, 0.3739564000, 0.3932935000, 0.4304887000, 0.5015714000, 0.6499142000, 1.0182091000", \ - "0.3691780000, 0.3786388000, 0.3980105000, 0.4351888000, 0.5062704000, 0.6545862000, 1.0240584000", \ - "0.3803041000, 0.3897211000, 0.4091110000, 0.4462366000, 0.5173166000, 0.6657023000, 1.0341915000", \ - "0.4058747000, 0.4152776000, 0.4347148000, 0.4719041000, 0.5429658000, 0.6912781000, 1.0597900000", \ - "0.4540370000, 0.4634334000, 0.4828878000, 0.5199925000, 0.5910972000, 0.7394333000, 1.1076551000", \ - "0.5214895000, 0.5308934000, 0.5502835000, 0.5874721000, 0.6585765000, 0.8069002000, 1.1750444000", \ - "0.6048132000, 0.6142002000, 0.6336306000, 0.6707999000, 0.7419089000, 0.8902175000, 1.2583128000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.3076994000, 0.3169023000, 0.3367975000, 0.3794658000, 0.4783668000, 0.7249946000, 1.3754472000", \ - "0.3121820000, 0.3213808000, 0.3413150000, 0.3839798000, 0.4828852000, 0.7292094000, 1.3746825000", \ - "0.3234654000, 0.3326525000, 0.3525921000, 0.3952810000, 0.4941809000, 0.7411278000, 1.3871985000", \ - "0.3492452000, 0.3584748000, 0.3784210000, 0.4210580000, 0.5199696000, 0.7667539000, 1.4143710000", \ - "0.3986236000, 0.4078457000, 0.4277842000, 0.4704468000, 0.5693585000, 0.8157889000, 1.4632278000", \ - "0.4698812000, 0.4790757000, 0.4989848000, 0.5416499000, 0.6405836000, 0.8868521000, 1.5345402000", \ - "0.5617770000, 0.5710096000, 0.5909608000, 0.6336449000, 0.7325568000, 0.9795232000, 1.6240075000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.0372427000, 0.0432042000, 0.0567442000, 0.0861443000, 0.1508642000, 0.3202688000, 0.8014491000", \ - "0.0374464000, 0.0435556000, 0.0570285000, 0.0860099000, 0.1508941000, 0.3210253000, 0.8077980000", \ - "0.0370930000, 0.0434071000, 0.0564778000, 0.0860305000, 0.1512306000, 0.3206351000, 0.8026236000", \ - "0.0370856000, 0.0437012000, 0.0570072000, 0.0859474000, 0.1508491000, 0.3206838000, 0.8032969000", \ - "0.0373343000, 0.0432163000, 0.0565683000, 0.0859655000, 0.1510422000, 0.3202035000, 0.8024821000", \ - "0.0374710000, 0.0432360000, 0.0564288000, 0.0858732000, 0.1509636000, 0.3200237000, 0.8002932000", \ - "0.0373079000, 0.0432774000, 0.0566546000, 0.0862285000, 0.1509445000, 0.3199671000, 0.8025716000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.0340767000, 0.0415566000, 0.0599241000, 0.1078784000, 0.2348914000, 0.5811386000, 1.5054573000", \ - "0.0340202000, 0.0415521000, 0.0597920000, 0.1078934000, 0.2350171000, 0.5804311000, 1.4999703000", \ - "0.0339730000, 0.0415079000, 0.0598607000, 0.1078938000, 0.2350465000, 0.5814695000, 1.4972560000", \ - "0.0339991000, 0.0415096000, 0.0598878000, 0.1079358000, 0.2346659000, 0.5807842000, 1.5010471000", \ - "0.0339027000, 0.0415689000, 0.0598032000, 0.1079374000, 0.2349141000, 0.5797299000, 1.5050430000", \ - "0.0341197000, 0.0416288000, 0.0598448000, 0.1078997000, 0.2351976000, 0.5802080000, 1.5057720000", \ - "0.0340173000, 0.0416575000, 0.0599646000, 0.1079745000, 0.2350508000, 0.5788147000, 1.5049852000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.2012696000, 0.2114335000, 0.2325252000, 0.2742530000, 0.3456171000, 0.4888243000, 0.8564897000", \ - "0.2062230000, 0.2163612000, 0.2374682000, 0.2792503000, 0.3506315000, 0.4938361000, 0.8617051000", \ - "0.2187812000, 0.2289187000, 0.2500342000, 0.2917798000, 0.3632136000, 0.5063638000, 0.8743279000", \ - "0.2504467000, 0.2606125000, 0.2817211000, 0.3234371000, 0.3949051000, 0.5381117000, 0.9054082000", \ - "0.3266864000, 0.3368322000, 0.3578830000, 0.3994489000, 0.4708453000, 0.6141219000, 0.9815154000", \ - "0.4971302000, 0.5081946000, 0.5309034000, 0.5739000000, 0.6453446000, 0.7886358000, 1.1561926000", \ - "0.7885678000, 0.8033285000, 0.8337165000, 0.8897974000, 0.9670176000, 1.1109482000, 1.4785917000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013153400, 0.0034602300, 0.0091027600, 0.0239464000, 0.0629953000, 0.1657200000"); - values("0.0402155000, 0.0472826000, 0.0623455000, 0.0927738000, 0.1478021000, 0.3131600000, 0.8009649000", \ - "0.0397163000, 0.0472815000, 0.0622886000, 0.0928716000, 0.1478166000, 0.3129727000, 0.7999089000", \ - "0.0397647000, 0.0467474000, 0.0623804000, 0.0927923000, 0.1475186000, 0.3126843000, 0.8038123000", \ - "0.0398204000, 0.0467278000, 0.0621278000, 0.0929700000, 0.1478920000, 0.3124892000, 0.7973354000", \ - "0.0397264000, 0.0467678000, 0.0622527000, 0.0929812000, 0.1479218000, 0.3130399000, 0.8000611000", \ - "0.0469282000, 0.0539084000, 0.0680645000, 0.0961712000, 0.1485390000, 0.3131105000, 0.7997724000", \ - "0.0694778000, 0.0774987000, 0.0968522000, 0.1222284000, 0.1601867000, 0.3145033000, 0.8004665000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013225070, 0.0034980500, 0.0092523930, 0.0244727100, 0.0647306700, 0.1712136000"); - values("-0.005075000, -0.000826600, 0.0069927000, 0.0148821000, 0.0075399000, -0.046206900, -0.212976500", \ - "-0.005039000, -0.000792600, 0.0069812000, 0.0148450000, 0.0074500000, -0.046282500, -0.213075300", \ - "-0.004953000, -0.000720900, 0.0070405000, 0.0148502000, 0.0074351000, -0.046330700, -0.213123900", \ - "-0.004981200, -0.000783100, 0.0069161000, 0.0146467000, 0.0071191000, -0.046707100, -0.213538800", \ - "-0.005019400, -0.000843200, 0.0068185000, 0.0144743000, 0.0068831000, -0.046990700, -0.213862200", \ - "-0.005061200, -0.000892700, 0.0067417000, 0.0143916000, 0.0067685000, -0.047113200, -0.213980500", \ - "-0.005088700, -0.000831300, 0.0069908000, 0.0149126000, 0.0074797000, -0.046420300, -0.213223900"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013225070, 0.0034980500, 0.0092523930, 0.0244727100, 0.0647306700, 0.1712136000"); - values("-0.006423800, -0.003789000, 0.0023751000, 0.0159577000, 0.0445583000, 0.1120499000, 0.2852239000", \ - "-0.006387600, -0.003760600, 0.0023905000, 0.0159912000, 0.0446059000, 0.1121952000, 0.2852108000", \ - "-0.006305000, -0.003694800, 0.0024195000, 0.0159408000, 0.0444447000, 0.1114836000, 0.2834499000", \ - "-0.006334400, -0.003752400, 0.0023043000, 0.0157530000, 0.0441636000, 0.1116548000, 0.2843777000", \ - "-0.006361600, -0.003796600, 0.0022165000, 0.0156398000, 0.0440866000, 0.1115039000, 0.2846144000", \ - "-0.006397000, -0.003823800, 0.0022096000, 0.0156382000, 0.0440979000, 0.1114491000, 0.2838508000", \ - "-0.006394900, -0.003690400, 0.0026326000, 0.0161722000, 0.0446208000, 0.1115816000, 0.2851936000"); - } - } - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013225070, 0.0034980500, 0.0092523930, 0.0244727100, 0.0647306700, 0.1712136000"); - values("-0.001111100, 0.0008869000, 0.0057484000, 0.0172774000, 0.0438723000, 0.1098192000, 0.2809835000", \ - "-0.001126000, 0.0008574000, 0.0056984000, 0.0171913000, 0.0437148000, 0.1101123000, 0.2812043000", \ - "-0.001153000, 0.0008182000, 0.0056331000, 0.0170871000, 0.0436958000, 0.1093941000, 0.2805623000", \ - "-0.001160200, 0.0008062000, 0.0056090000, 0.0170575000, 0.0435343000, 0.1098744000, 0.2819187000", \ - "-0.001183300, 0.0007627000, 0.0055264000, 0.0169106000, 0.0433440000, 0.1093847000, 0.2808309000", \ - "-0.001224900, 0.0007031000, 0.0054224000, 0.0167573000, 0.0430881000, 0.1090489000, 0.2812277000", \ - "-0.001259600, 0.0007034000, 0.0054868000, 0.0166975000, 0.0434389000, 0.1088933000, 0.2803935000"); - } - } - max_capacitance : 0.1712140000; - max_transition : 1.5003750000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013225100, 0.0034980500, 0.0092523900, 0.0244727000, 0.0647307000, 0.1712140000"); - values("0.3663202000, 0.3717062000, 0.3830510000, 0.4066616000, 0.4600790000, 0.5969754000, 0.9584501000", \ - "0.3708275000, 0.3762103000, 0.3875568000, 0.4111690000, 0.4645830000, 0.6014240000, 0.9625800000", \ - "0.3820987000, 0.3874782000, 0.3988339000, 0.4223908000, 0.4759258000, 0.6127249000, 0.9748926000", \ - "0.4079562000, 0.4133047000, 0.4246174000, 0.4482403000, 0.5016533000, 0.6383832000, 1.0000997000", \ - "0.4573014000, 0.4626832000, 0.4740308000, 0.4976397000, 0.5510554000, 0.6878090000, 1.0494275000", \ - "0.5284008000, 0.5337587000, 0.5450933000, 0.5686618000, 0.6220559000, 0.7588223000, 1.1209679000", \ - "0.6204545000, 0.6258247000, 0.6372071000, 0.6607449000, 0.7142629000, 0.8508581000, 1.2121731000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013225100, 0.0034980500, 0.0092523900, 0.0244727000, 0.0647307000, 0.1712140000"); - values("0.4313586000, 0.4379866000, 0.4528710000, 0.4884860000, 0.5802273000, 0.8231106000, 1.4644602000", \ - "0.4360210000, 0.4427506000, 0.4576879000, 0.4932447000, 0.5850987000, 0.8276391000, 1.4689537000", \ - "0.4471139000, 0.4537407000, 0.4686233000, 0.5040373000, 0.5960917000, 0.8385298000, 1.4806238000", \ - "0.4729201000, 0.4795055000, 0.4944439000, 0.5300096000, 0.6219379000, 0.8641288000, 1.5079271000", \ - "0.5206782000, 0.5272927000, 0.5421643000, 0.5777779000, 0.6699906000, 0.9125355000, 1.5534741000", \ - "0.5882202000, 0.5949245000, 0.6098504000, 0.6453735000, 0.7376213000, 0.9802865000, 1.6232470000", \ - "0.6716503000, 0.6782921000, 0.6932781000, 0.7287650000, 0.8210069000, 1.0638617000, 1.7052161000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013225100, 0.0034980500, 0.0092523900, 0.0244727000, 0.0647307000, 0.1712140000"); - values("0.0174935000, 0.0215958000, 0.0312893000, 0.0550348000, 0.1200968000, 0.3007294000, 0.7898132000", \ - "0.0174948000, 0.0216292000, 0.0313009000, 0.0550659000, 0.1202076000, 0.3009076000, 0.7850597000", \ - "0.0175689000, 0.0215493000, 0.0312750000, 0.0551559000, 0.1203194000, 0.3010606000, 0.7893424000", \ - "0.0176330000, 0.0216404000, 0.0311260000, 0.0551423000, 0.1203245000, 0.3004834000, 0.7897495000", \ - "0.0174928000, 0.0216492000, 0.0313154000, 0.0550540000, 0.1202049000, 0.3011151000, 0.7835412000", \ - "0.0175039000, 0.0214362000, 0.0311066000, 0.0551656000, 0.1205784000, 0.3009387000, 0.7843733000", \ - "0.0175946000, 0.0215479000, 0.0313113000, 0.0551268000, 0.1203188000, 0.3000745000, 0.7859650000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013225100, 0.0034980500, 0.0092523900, 0.0244727000, 0.0647307000, 0.1712140000"); - values("0.0227035000, 0.0287871000, 0.0454762000, 0.0930307000, 0.2236873000, 0.5713581000, 1.5001872000", \ - "0.0225518000, 0.0287533000, 0.0455465000, 0.0930548000, 0.2236641000, 0.5708087000, 1.5002055000", \ - "0.0227358000, 0.0287372000, 0.0455955000, 0.0930181000, 0.2234079000, 0.5708992000, 1.4973142000", \ - "0.0226855000, 0.0287315000, 0.0454943000, 0.0930459000, 0.2237346000, 0.5714285000, 1.4931839000", \ - "0.0227217000, 0.0287336000, 0.0455150000, 0.0930429000, 0.2236498000, 0.5704114000, 1.5003753000", \ - "0.0226694000, 0.0288094000, 0.0454872000, 0.0929839000, 0.2237264000, 0.5703656000, 1.4934539000", \ - "0.0228424000, 0.0288754000, 0.0455761000, 0.0931460000, 0.2234984000, 0.5712938000, 1.4981187000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013225100, 0.0034980500, 0.0092523900, 0.0244727000, 0.0647307000, 0.1712140000"); - values("0.2715543000, 0.2784190000, 0.2936470000, 0.3295604000, 0.4215784000, 0.6644303000, 1.3063917000", \ - "0.2765144000, 0.2833103000, 0.2985739000, 0.3342821000, 0.4265008000, 0.6693498000, 1.3114810000", \ - "0.2890437000, 0.2959452000, 0.3111684000, 0.3470767000, 0.4390655000, 0.6815000000, 1.3237952000", \ - "0.3206193000, 0.3274825000, 0.3427701000, 0.3784929000, 0.4707111000, 0.7135981000, 1.3561193000", \ - "0.3968332000, 0.4036202000, 0.4188952000, 0.4546244000, 0.5468350000, 0.7898043000, 1.4330843000", \ - "0.5708568000, 0.5777896000, 0.5930990000, 0.6289603000, 0.7211607000, 0.9634055000, 1.6079815000", \ - "0.8803145000, 0.8885391000, 0.9047146000, 0.9411260000, 1.0332778000, 1.2755049000, 1.9180997000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013225100, 0.0034980500, 0.0092523900, 0.0244727000, 0.0647307000, 0.1712140000"); - values("0.0239380000, 0.0300070000, 0.0465832000, 0.0935510000, 0.2236627000, 0.5711094000, 1.4949375000", \ - "0.0239936000, 0.0301154000, 0.0465584000, 0.0935842000, 0.2236993000, 0.5709132000, 1.4927053000", \ - "0.0239190000, 0.0299695000, 0.0465881000, 0.0935493000, 0.2238278000, 0.5719343000, 1.4947965000", \ - "0.0241895000, 0.0300880000, 0.0465326000, 0.0935839000, 0.2237105000, 0.5714401000, 1.4954412000", \ - "0.0240842000, 0.0300946000, 0.0465213000, 0.0935198000, 0.2237657000, 0.5723291000, 1.4958559000", \ - "0.0246511000, 0.0305878000, 0.0468504000, 0.0935590000, 0.2238487000, 0.5711646000, 1.4915478000", \ - "0.0295886000, 0.0354363000, 0.0507161000, 0.0950934000, 0.2243978000, 0.5710017000, 1.4953232000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("RESET_B") { - capacitance : 0.0035570000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047575000, 0.0047731000, 0.0048092000, 0.0048187000, 0.0048408000, 0.0048917000, 0.0050092000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.005543200, -0.005587100, -0.005688300, -0.005691400, -0.005698500, -0.005714700, -0.005752100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0036190000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.225050800, -0.086509100, 0.2276185000", \ - "-0.374578800, -0.247023400, 0.0329245000", \ - "-0.500718100, -0.379266300, -0.123732400"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3010723000, 0.4359681000, 0.7028952000", \ - "0.4359518000, 0.5684063000, 0.8292298000", \ - "0.5547669000, 0.6835593000, 0.9370586000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2323997000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dfrbp_2") { - leakage_power () { - value : 0.0109677000; - when : "RESET_B&CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0096383000; - when : "RESET_B&!CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0091173000; - when : "!RESET_B&CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0103999000; - when : "RESET_B&CLK&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0096019000; - when : "RESET_B&!CLK&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0101696000; - when : "!RESET_B&CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0097544000; - when : "RESET_B&!CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0099155000; - when : "RESET_B&CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0092637000; - when : "!RESET_B&!CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0098513000; - when : "RESET_B&CLK&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0111421000; - when : "RESET_B&!CLK&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0095879000; - when : "!RESET_B&!CLK&D&!Q&Q_N"; - } - area : 30.028800000; - cell_footprint : "sky130_fd_sc_hd__dfrbp"; - cell_leakage_power : 0.0099507900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "D"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0018000000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0017250000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0247885000, 0.0246654000, 0.0243817000, 0.0244533000, 0.0246183000, 0.0249988000, 0.0258759000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0162728000, 0.0161928000, 0.0160083000, 0.0160284000, 0.0160747000, 0.0161816000, 0.0164281000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018740000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2104295000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1774742000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0019770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0019490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0061315000, 0.0060925000, 0.0060028000, 0.0060651000, 0.0062085000, 0.0065393000, 0.0073019000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000823300, -0.000892800, -0.001052900, -0.001002500, -0.000886200, -0.000618000, 3.2670112e-07"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020060000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1069805000, 0.3212057000, 0.6280091000", \ - "-0.004705700, 0.2034160000, 0.5041159000", \ - "-0.090561800, 0.1138978000, 0.4097148000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0581523000, 0.1722799000, 0.2483705000", \ - "-0.014471400, 0.0862285000, 0.1488913000", \ - "-0.047837200, 0.0492005000, 0.1057598000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.038283200, -0.237860000, -0.492173200", \ - "0.0734030000, -0.124953100, -0.389031900", \ - "0.1519349000, -0.041538400, -0.305617200"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.032179700, -0.135321000, -0.188218100", \ - "0.0343405000, -0.061476600, -0.113153000", \ - "0.0603822000, -0.032993500, -0.083449200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000"); - values("-0.004912400, 0.0001440000, 0.0102231000, 0.0210937000, 0.0107906000, -0.068122400, -0.326630000", \ - "-0.004874700, 0.0001710000, 0.0102666000, 0.0211051000, 0.0107580000, -0.068165000, -0.326719400", \ - "-0.004790100, 0.0002433000, 0.0102981000, 0.0211196000, 0.0106240000, -0.068316100, -0.326823900", \ - "-0.004819500, 0.0001947000, 0.0101782000, 0.0208654000, 0.0103752000, -0.068761000, -0.327318400", \ - "-0.004852100, 0.0001593000, 0.0100916000, 0.0207695000, 0.0101465000, -0.068911800, -0.327504600", \ - "-0.004900000, 0.0001127000, 0.0100754000, 0.0207763000, 0.0101425000, -0.068922700, -0.327413500", \ - "-0.004951500, 0.0001602000, 0.0103488000, 0.0214027000, 0.0112047000, -0.068214800, -0.326677100"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000"); - values("-0.006513000, -0.003726800, 0.0033187000, 0.0199595000, 0.0581184000, 0.1541227000, 0.4182336000", \ - "-0.006476500, -0.003698100, 0.0033259000, 0.0199514000, 0.0580520000, 0.1540508000, 0.4181601000", \ - "-0.006392000, -0.003623400, 0.0033860000, 0.0199742000, 0.0581636000, 0.1540056000, 0.4183692000", \ - "-0.006421100, -0.003685300, 0.0032588000, 0.0197410000, 0.0576814000, 0.1535272000, 0.4187129000", \ - "-0.006456600, -0.003733900, 0.0031611000, 0.0195473000, 0.0575311000, 0.1532510000, 0.4179635000", \ - "-0.006510900, -0.003793800, 0.0030828000, 0.0194766000, 0.0572673000, 0.1531942000, 0.4168823000", \ - "-0.006543600, -0.003678700, 0.0035008000, 0.0201231000, 0.0579020000, 0.1539889000, 0.4178439000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000"); - values("0.0004682000, 0.0049110000, 0.0136244000, 0.0223287000, 0.0097856000, -0.070699700, -0.329807100", \ - "0.0004522000, 0.0048853000, 0.0135647000, 0.0222265000, 0.0097026000, -0.070855900, -0.330008100", \ - "0.0004269000, 0.0048461000, 0.0134969000, 0.0221358000, 0.0095944000, -0.070985600, -0.330150900", \ - "0.0004211000, 0.0048381000, 0.0134947000, 0.0221081000, 0.0095339000, -0.071010300, -0.330170100", \ - "0.0004020000, 0.0048039000, 0.0134352000, 0.0219894000, 0.0093041000, -0.071236800, -0.330402700", \ - "0.0003772000, 0.0047745000, 0.0133786000, 0.0218022000, 0.0089823000, -0.071629700, -0.330708000", \ - "0.0003637000, 0.0048237000, 0.0134849000, 0.0218965000, 0.0090074000, -0.071622400, -0.330682900"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.2536110000; - max_transition : 1.5036290000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000"); - values("0.3750218000, 0.3827018000, 0.3993438000, 0.4316703000, 0.4920138000, 0.6119065000, 0.8916552000", \ - "0.3797575000, 0.3874059000, 0.4041366000, 0.4364091000, 0.4967755000, 0.6166837000, 0.8963069000", \ - "0.3908320000, 0.3984300000, 0.4151392000, 0.4474233000, 0.5077836000, 0.6276644000, 0.9075385000", \ - "0.4165462000, 0.4241646000, 0.4408818000, 0.4731570000, 0.5335239000, 0.6534142000, 0.9332538000", \ - "0.4643122000, 0.4719879000, 0.4886934000, 0.5209966000, 0.5813354000, 0.7012169000, 0.9808055000", \ - "0.5319638000, 0.5396055000, 0.5562855000, 0.5885686000, 0.6489364000, 0.7687978000, 1.0485707000", \ - "0.6153743000, 0.6230517000, 0.6396912000, 0.6719856000, 0.7324141000, 0.8522693000, 1.1318625000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000"); - values("0.3287315000, 0.3371092000, 0.3560996000, 0.3968400000, 0.4913176000, 0.7308129000, 1.3944776000", \ - "0.3332426000, 0.3415758000, 0.3605100000, 0.4013722000, 0.4957664000, 0.7351584000, 1.3940117000", \ - "0.3444811000, 0.3528801000, 0.3717980000, 0.4126517000, 0.5070220000, 0.7464020000, 1.4055788000", \ - "0.3702577000, 0.3786589000, 0.3975984000, 0.4384692000, 0.5328541000, 0.7722568000, 1.4332089000", \ - "0.4195694000, 0.4280006000, 0.4469650000, 0.4877060000, 0.5821690000, 0.8215027000, 1.4826185000", \ - "0.4908987000, 0.4993095000, 0.5182434000, 0.5589220000, 0.6534511000, 0.8928175000, 1.5523803000", \ - "0.5827753000, 0.5911211000, 0.6100752000, 0.6509521000, 0.7452993000, 0.9847912000, 1.6419607000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000"); - values("0.0349067000, 0.0396163000, 0.0498968000, 0.0717465000, 0.1209608000, 0.2389145000, 0.5878253000", \ - "0.0348774000, 0.0395309000, 0.0498955000, 0.0724141000, 0.1208292000, 0.2387473000, 0.5876803000", \ - "0.0348814000, 0.0396452000, 0.0501158000, 0.0717535000, 0.1204692000, 0.2383791000, 0.5877521000", \ - "0.0347745000, 0.0395305000, 0.0503982000, 0.0715852000, 0.1209752000, 0.2382899000, 0.5874061000", \ - "0.0349255000, 0.0397046000, 0.0500908000, 0.0717554000, 0.1205795000, 0.2383644000, 0.5872517000", \ - "0.0347657000, 0.0395644000, 0.0500745000, 0.0716968000, 0.1208852000, 0.2383286000, 0.5875072000", \ - "0.0349168000, 0.0396863000, 0.0499002000, 0.0719512000, 0.1208132000, 0.2390555000, 0.5845296000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000"); - values("0.0336280000, 0.0402270000, 0.0565966000, 0.0989872000, 0.2163850000, 0.5448580000, 1.4996966000", \ - "0.0336512000, 0.0402007000, 0.0565606000, 0.0989392000, 0.2163598000, 0.5471942000, 1.5006069000", \ - "0.0335591000, 0.0401426000, 0.0565528000, 0.0989504000, 0.2163704000, 0.5447219000, 1.5029711000", \ - "0.0336409000, 0.0402629000, 0.0566089000, 0.0989416000, 0.2163857000, 0.5458125000, 1.5012891000", \ - "0.0337031000, 0.0403272000, 0.0567000000, 0.0989678000, 0.2163700000, 0.5466726000, 1.5036286000", \ - "0.0336093000, 0.0402292000, 0.0565156000, 0.0988475000, 0.2163701000, 0.5471031000, 1.5010717000", \ - "0.0337356000, 0.0402818000, 0.0566719000, 0.0989935000, 0.2164457000, 0.5446222000, 1.4978706000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000"); - values("0.2151135000, 0.2232139000, 0.2411547000, 0.2761873000, 0.3412790000, 0.4541424000, 0.7282459000", \ - "0.2201978000, 0.2283082000, 0.2462495000, 0.2813103000, 0.3464035000, 0.4592895000, 0.7333479000", \ - "0.2329246000, 0.2410103000, 0.2590009000, 0.2940912000, 0.3591503000, 0.4720448000, 0.7462038000", \ - "0.2644511000, 0.2725311000, 0.2904945000, 0.3255510000, 0.3906705000, 0.5035496000, 0.7776840000", \ - "0.3398284000, 0.3479166000, 0.3658502000, 0.4007461000, 0.4658238000, 0.5787353000, 0.8529041000", \ - "0.5123360000, 0.5209939000, 0.5396999000, 0.5753176000, 0.6408609000, 0.7537808000, 1.0278233000", \ - "0.8115572000, 0.8229812000, 0.8479382000, 0.8953939000, 0.9757523000, 1.0920397000, 1.3662377000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000"); - values("0.0377890000, 0.0429614000, 0.0550681000, 0.0788634000, 0.1236998000, 0.2272537000, 0.5787851000", \ - "0.0378412000, 0.0429827000, 0.0551929000, 0.0789968000, 0.1236318000, 0.2269841000, 0.5800272000", \ - "0.0381257000, 0.0430006000, 0.0545491000, 0.0792846000, 0.1238377000, 0.2269082000, 0.5794498000", \ - "0.0380877000, 0.0430498000, 0.0544541000, 0.0791572000, 0.1239754000, 0.2271450000, 0.5778361000", \ - "0.0377795000, 0.0429557000, 0.0550662000, 0.0789376000, 0.1235909000, 0.2275439000, 0.5797030000", \ - "0.0427478000, 0.0478576000, 0.0586850000, 0.0819653000, 0.1249775000, 0.2276119000, 0.5798194000", \ - "0.0650289000, 0.0715783000, 0.0854173000, 0.1133138000, 0.1482841000, 0.2336720000, 0.5810534000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000"); - values("-0.005152800, -0.000290700, 0.0095772000, 0.0199881000, 0.0067346000, -0.084139200, -0.381886600", \ - "-0.005118200, -0.000265400, 0.0095889000, 0.0199834000, 0.0066582000, -0.084256000, -0.382003200", \ - "-0.005032400, -0.000186400, 0.0096475000, 0.0200075000, 0.0066549000, -0.084293500, -0.382039200", \ - "-0.005059100, -0.000244100, 0.0095163000, 0.0197743000, 0.0063068000, -0.084692200, -0.382387900", \ - "-0.005094100, -0.000302800, 0.0094096000, 0.0195768000, 0.0060840000, -0.084919100, -0.382730800", \ - "-0.005149600, -0.000363300, 0.0093391000, 0.0194731000, 0.0059543000, -0.085077800, -0.382884100", \ - "-0.005214600, -0.000325400, 0.0096054000, 0.0201568000, 0.0068664000, -0.084399000, -0.382109000"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000"); - values("-0.006596300, -0.003825300, 0.0034699000, 0.0211197000, 0.0622547000, 0.1695894000, 0.4705227000", \ - "-0.006558400, -0.003785700, 0.0035057000, 0.0211409000, 0.0622927000, 0.1689042000, 0.4709691000", \ - "-0.006474400, -0.003719500, 0.0035517000, 0.0211406000, 0.0622241000, 0.1687196000, 0.4732607000", \ - "-0.006502200, -0.003777600, 0.0034253000, 0.0208766000, 0.0618394000, 0.1691694000, 0.4722253000", \ - "-0.006533100, -0.003825300, 0.0033568000, 0.0207864000, 0.0617034000, 0.1688639000, 0.4726649000", \ - "-0.006581200, -0.003860600, 0.0033297000, 0.0207907000, 0.0617426000, 0.1690692000, 0.4694948000", \ - "-0.006586700, -0.003685200, 0.0037887000, 0.0214200000, 0.0622710000, 0.1685795000, 0.4731011000"); - } - } - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000"); - values("-0.001183600, 0.0010088000, 0.0069931000, 0.0223172000, 0.0611865000, 0.1664123000, 0.4677455000", \ - "-0.001199500, 0.0009796000, 0.0069506000, 0.0222403000, 0.0609714000, 0.1662322000, 0.4695551000", \ - "-0.001222300, 0.0009447000, 0.0068906000, 0.0221413000, 0.0608202000, 0.1662221000, 0.4669570000", \ - "-0.001229800, 0.0009421000, 0.0068795000, 0.0221204000, 0.0607473000, 0.1659663000, 0.4669906000", \ - "-0.001250200, 0.0009015000, 0.0067963000, 0.0219779000, 0.0605564000, 0.1659139000, 0.4690256000", \ - "-0.001289100, 0.0008409000, 0.0066898000, 0.0217922000, 0.0602952000, 0.1662621000, 0.4670330000", \ - "-0.001311700, 0.0008586000, 0.0066696000, 0.0219068000, 0.0606736000, 0.1659398000, 0.4690497000"); - } - } - max_capacitance : 0.2874860000; - max_transition : 1.4978490000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.4444617000, 0.4495632000, 0.4608365000, 0.4833460000, 0.5292952000, 0.6377331000, 0.9376793000", \ - "0.4488485000, 0.4539771000, 0.4651830000, 0.4878793000, 0.5338599000, 0.6422071000, 0.9417331000", \ - "0.4601230000, 0.4652232000, 0.4764465000, 0.4991223000, 0.5451271000, 0.6534892000, 0.9528112000", \ - "0.4859582000, 0.4910385000, 0.5022719000, 0.5249329000, 0.5709566000, 0.6793287000, 0.9784070000", \ - "0.5352741000, 0.5403815000, 0.5516985000, 0.5742239000, 0.6201437000, 0.7285808000, 1.0285528000", \ - "0.6064396000, 0.6115426000, 0.6228331000, 0.6454580000, 0.6913936000, 0.7998152000, 1.0997454000", \ - "0.6984217000, 0.7034331000, 0.7147363000, 0.7373466000, 0.7832981000, 0.8917804000, 1.1909173000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.5091128000, 0.5150327000, 0.5290557000, 0.5608269000, 0.6431697000, 0.8766453000, 1.5522718000", \ - "0.5139127000, 0.5200007000, 0.5338728000, 0.5657935000, 0.6480682000, 0.8816763000, 1.5558392000", \ - "0.5248753000, 0.5309547000, 0.5450994000, 0.5769181000, 0.6591900000, 0.8932169000, 1.5676589000", \ - "0.5505368000, 0.5566826000, 0.5707882000, 0.6025039000, 0.6847399000, 0.9181192000, 1.5938323000", \ - "0.5984396000, 0.6045294000, 0.6186711000, 0.6504708000, 0.7327161000, 0.9665221000, 1.6406222000", \ - "0.6660443000, 0.6722374000, 0.6862178000, 0.7181171000, 0.8004733000, 1.0338498000, 1.7086877000", \ - "0.7494573000, 0.7556372000, 0.7695858000, 0.8013248000, 0.8834701000, 1.1173266000, 1.7924551000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.0238601000, 0.0270532000, 0.0343562000, 0.0520093000, 0.0957604000, 0.2253816000, 0.6233958000", \ - "0.0238018000, 0.0275474000, 0.0346027000, 0.0518428000, 0.0957069000, 0.2256872000, 0.6194541000", \ - "0.0238221000, 0.0275916000, 0.0345926000, 0.0518765000, 0.0957375000, 0.2256754000, 0.6197134000", \ - "0.0238267000, 0.0276128000, 0.0345822000, 0.0518848000, 0.0957654000, 0.2255237000, 0.6225588000", \ - "0.0238146000, 0.0269382000, 0.0342992000, 0.0518862000, 0.0959524000, 0.2261832000, 0.6248491000", \ - "0.0239054000, 0.0270759000, 0.0343446000, 0.0517673000, 0.0957054000, 0.2256249000, 0.6251185000", \ - "0.0238029000, 0.0269242000, 0.0342466000, 0.0520645000, 0.0958811000, 0.2257965000, 0.6185886000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.0265913000, 0.0315751000, 0.0434840000, 0.0793870000, 0.1921284000, 0.5250628000, 1.4920953000", \ - "0.0267268000, 0.0310952000, 0.0433873000, 0.0793394000, 0.1923410000, 0.5242928000, 1.4932569000", \ - "0.0266143000, 0.0310800000, 0.0434692000, 0.0794102000, 0.1918319000, 0.5254466000, 1.4978487000", \ - "0.0267036000, 0.0312000000, 0.0434627000, 0.0795286000, 0.1917921000, 0.5253613000, 1.4940023000", \ - "0.0266177000, 0.0311351000, 0.0434178000, 0.0793558000, 0.1921098000, 0.5251247000, 1.4974310000", \ - "0.0266827000, 0.0310882000, 0.0432751000, 0.0792681000, 0.1919655000, 0.5252783000, 1.4863309000", \ - "0.0266717000, 0.0314192000, 0.0434597000, 0.0794617000, 0.1920046000, 0.5245480000, 1.4976218000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.3591467000, 0.3653671000, 0.3793474000, 0.4111615000, 0.4932298000, 0.7263921000, 1.4025161000", \ - "0.3641279000, 0.3703992000, 0.3844144000, 0.4160639000, 0.4981908000, 0.7316658000, 1.4095377000", \ - "0.3769269000, 0.3832428000, 0.3972297000, 0.4288333000, 0.5107956000, 0.7448138000, 1.4188557000", \ - "0.4083950000, 0.4147346000, 0.4286925000, 0.4603372000, 0.5423095000, 0.7760879000, 1.4510124000", \ - "0.4836705000, 0.4898944000, 0.5038788000, 0.5356986000, 0.6177703000, 0.8511475000, 1.5289715000", \ - "0.6590759000, 0.6652836000, 0.6792784000, 0.7110895000, 0.7932759000, 1.0270262000, 1.7038765000", \ - "0.9979385000, 1.0045696000, 1.0191461000, 1.0512449000, 1.1336030000, 1.3667927000, 2.0443258000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.0272248000, 0.0318839000, 0.0435165000, 0.0793941000, 0.1915594000, 0.5247791000, 1.4946353000", \ - "0.0273734000, 0.0316127000, 0.0435538000, 0.0793460000, 0.1915622000, 0.5241099000, 1.4945482000", \ - "0.0272340000, 0.0315257000, 0.0436186000, 0.0793063000, 0.1917807000, 0.5243422000, 1.4886162000", \ - "0.0273313000, 0.0318344000, 0.0435523000, 0.0793500000, 0.1916519000, 0.5243906000, 1.4908457000", \ - "0.0272493000, 0.0319063000, 0.0435329000, 0.0794082000, 0.1915806000, 0.5255293000, 1.4930526000", \ - "0.0273581000, 0.0319904000, 0.0435985000, 0.0794389000, 0.1915477000, 0.5254915000, 1.4956003000", \ - "0.0303613000, 0.0341291000, 0.0455938000, 0.0803116000, 0.1919709000, 0.5246381000, 1.4951477000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("RESET_B") { - capacitance : 0.0035500000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047550000, 0.0047710000, 0.0048079000, 0.0048177000, 0.0048403000, 0.0048926000, 0.0050132000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.005487700, -0.005474000, -0.005442300, -0.005456800, -0.005490200, -0.005567400, -0.005745200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0036090000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.225050800, -0.080405600, 0.2752259000", \ - "-0.374578800, -0.239699200, 0.0829733000", \ - "-0.500718100, -0.371942000, -0.076125000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3010723000, 0.4359681000, 0.7028952000", \ - "0.4359518000, 0.5684063000, 0.8292298000", \ - "0.5547669000, 0.6835593000, 0.9370586000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2697491000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dfrtn_1") { - leakage_power () { - value : 0.0154627000; - when : "RESET_B&!CLK_N&D&!Q"; - } - leakage_power () { - value : 0.0141340000; - when : "RESET_B&CLK_N&!D&!Q"; - } - leakage_power () { - value : 0.0136124000; - when : "!RESET_B&!CLK_N&!D&!Q"; - } - leakage_power () { - value : 0.0065133000; - when : "RESET_B&!CLK_N&!D&Q"; - } - leakage_power () { - value : 0.0057154000; - when : "RESET_B&CLK_N&D&Q"; - } - leakage_power () { - value : 0.0146646000; - when : "!RESET_B&!CLK_N&D&!Q"; - } - leakage_power () { - value : 0.0059648000; - when : "RESET_B&!CLK_N&D&Q"; - } - leakage_power () { - value : 0.0072568000; - when : "RESET_B&CLK_N&!D&Q"; - } - leakage_power () { - value : 0.0142499000; - when : "RESET_B&CLK_N&D&!Q"; - } - leakage_power () { - value : 0.0144105000; - when : "RESET_B&!CLK_N&!D&!Q"; - } - leakage_power () { - value : 0.0137594000; - when : "!RESET_B&CLK_N&!D&!Q"; - } - leakage_power () { - value : 0.0140834000; - when : "!RESET_B&CLK_N&D&!Q"; - } - area : 25.024000000; - cell_footprint : "sky130_fd_sc_hd__dfrtn"; - cell_leakage_power : 0.0116522800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "!CLK_N"; - next_state : "D"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK_N") { - capacitance : 0.0017890000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016970000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0225281000, 0.0224120000, 0.0221443000, 0.0221748000, 0.0222450000, 0.0224070000, 0.0227804000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0187193000, 0.0186475000, 0.0184820000, 0.0184990000, 0.0185380000, 0.0186282000, 0.0188361000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018800000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1840653000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK_N"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2071340000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0019730000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0018330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0057573000, 0.0057020000, 0.0055745000, 0.0056373000, 0.0057820000, 0.0061159000, 0.0068853000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000427600, -0.000502000, -0.000673300, -0.000620900, -0.000500300, -0.000221900, 0.0004199000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0021120000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1460430000, 0.3614889000, 0.6585267000", \ - "0.0099427000, 0.2205059000, 0.5065573000", \ - "-0.151597000, 0.0601868000, 0.3413555000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.032179700, 0.0880514000, 0.1787904000", \ - "-0.231756500, -0.117628900, -0.031772800", \ - "-0.459214200, -0.357293600, -0.283644500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.073683600, -0.275701800, -0.527573600", \ - "0.0831686000, -0.122511700, -0.371942000", \ - "0.2386048000, 0.0317038000, -0.218947300"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0752422000, -0.015692100, -0.053940800", \ - "0.2687155000, 0.1777813000, 0.1358704000", \ - "0.4961732000, 0.4076804000, 0.3645488000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228150, 0.0093508200, 0.0248204500, 0.0658824100, 0.1748757000"); - values("0.0154319000, 0.0144481000, 0.0118110000, 0.0034904000, -0.020953700, -0.087253000, -0.263723900", \ - "0.0153978000, 0.0143919000, 0.0117462000, 0.0034347000, -0.021006200, -0.087302300, -0.263779900", \ - "0.0154516000, 0.0144620000, 0.0118304000, 0.0034990000, -0.020933900, -0.087231700, -0.263718200", \ - "0.0152727000, 0.0142643000, 0.0116231000, 0.0033110000, -0.021128900, -0.087425200, -0.263907000", \ - "0.0148865000, 0.0138977000, 0.0112706000, 0.0029441000, -0.021489400, -0.087783000, -0.264280000", \ - "0.0145613000, 0.0135716000, 0.0110095000, 0.0027191000, -0.021698800, -0.087980800, -0.264459300", \ - "0.0173960000, 0.0161152000, 0.0127156000, 0.0035516000, -0.021269000, -0.087316500, -0.263781000"); - } - related_pin : "CLK_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228150, 0.0093508200, 0.0248204500, 0.0658824100, 0.1748757000"); - values("0.0227051000, 0.0242595000, 0.0281913000, 0.0379395000, 0.0629158000, 0.1294958000, 0.3048992000", \ - "0.0226619000, 0.0242164000, 0.0281508000, 0.0378961000, 0.0628671000, 0.1294105000, 0.3053559000", \ - "0.0226923000, 0.0242460000, 0.0281909000, 0.0379419000, 0.0629413000, 0.1286969000, 0.3048337000", \ - "0.0225378000, 0.0240819000, 0.0280392000, 0.0377786000, 0.0627525000, 0.1292518000, 0.3031904000", \ - "0.0224570000, 0.0239906000, 0.0279398000, 0.0376871000, 0.0627533000, 0.1290815000, 0.3037245000", \ - "0.0230322000, 0.0245820000, 0.0285377000, 0.0382902000, 0.0633577000, 0.1296623000, 0.3043299000", \ - "0.0250618000, 0.0264380000, 0.0300899000, 0.0396815000, 0.0649239000, 0.1302148000, 0.3051568000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228150, 0.0093508200, 0.0248204500, 0.0658824100, 0.1748757000"); - values("0.0158038000, 0.0161602000, 0.0152226000, 0.0074828000, -0.017062500, -0.083323800, -0.259916400", \ - "0.0156083000, 0.0159689000, 0.0150574000, 0.0073162000, -0.017235500, -0.083545100, -0.260017000", \ - "0.0153826000, 0.0157049000, 0.0148149000, 0.0070899000, -0.017448500, -0.083756600, -0.260232800", \ - "0.0153497000, 0.0156042000, 0.0147656000, 0.0070348000, -0.017511400, -0.083804300, -0.260262600", \ - "0.0151749000, 0.0155229000, 0.0145456000, 0.0067324000, -0.017822500, -0.084080700, -0.260505200", \ - "0.0177043000, 0.0166353000, 0.0143462000, 0.0063824000, -0.018197600, -0.084414500, -0.260799700", \ - "0.0211602000, 0.0196974000, 0.0160281000, 0.0065085000, -0.018436300, -0.084340100, -0.260669000"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.1748760000; - max_transition : 1.5006590000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228100, 0.0093508200, 0.0248204000, 0.0658824000, 0.1748760000"); - values("0.2885729000, 0.2965947000, 0.3130828000, 0.3445776000, 0.4072565000, 0.5514649000, 0.9264135000", \ - "0.2934764000, 0.3015031000, 0.3179348000, 0.3495277000, 0.4121355000, 0.5563783000, 0.9313100000", \ - "0.3062396000, 0.3142722000, 0.3307674000, 0.3622962000, 0.4249279000, 0.5691521000, 0.9440638000", \ - "0.3372338000, 0.3452668000, 0.3616891000, 0.3932894000, 0.4558938000, 0.6001361000, 0.9750705000", \ - "0.4078952000, 0.4159277000, 0.4324154000, 0.4639620000, 0.5265973000, 0.6707553000, 1.0444862000", \ - "0.5327440000, 0.5408137000, 0.5571368000, 0.5886922000, 0.6514392000, 0.7956216000, 1.1699663000", \ - "0.7235008000, 0.7315450000, 0.7479805000, 0.7794685000, 0.8422915000, 0.9865009000, 1.3600018000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228100, 0.0093508200, 0.0248204000, 0.0658824000, 0.1748760000"); - values("0.3460874000, 0.3544971000, 0.3727258000, 0.4120474000, 0.5057078000, 0.7494156000, 1.3964826000", \ - "0.3510378000, 0.3594461000, 0.3776766000, 0.4169754000, 0.5107535000, 0.7545490000, 1.3989819000", \ - "0.3632527000, 0.3716680000, 0.3899051000, 0.4292718000, 0.5228645000, 0.7665408000, 1.4133008000", \ - "0.3945113000, 0.4029400000, 0.4211727000, 0.4605004000, 0.5542551000, 0.7980857000, 1.4422686000", \ - "0.4654884000, 0.4739222000, 0.4921477000, 0.5314938000, 0.6253408000, 0.8691770000, 1.5137300000", \ - "0.5956380000, 0.6040610000, 0.6222979000, 0.6616637000, 0.7555288000, 0.9993665000, 1.6443277000", \ - "0.7972025000, 0.8056067000, 0.8238200000, 0.8631903000, 0.9568557000, 1.2007597000, 1.8478353000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228100, 0.0093508200, 0.0248204000, 0.0658824000, 0.1748760000"); - values("0.0277025000, 0.0328228000, 0.0443850000, 0.0703359000, 0.1349290000, 0.3145553000, 0.8120971000", \ - "0.0277114000, 0.0328637000, 0.0442322000, 0.0706595000, 0.1347078000, 0.3142801000, 0.8061568000", \ - "0.0276585000, 0.0328511000, 0.0444198000, 0.0706072000, 0.1349290000, 0.3145128000, 0.8065462000", \ - "0.0277089000, 0.0328626000, 0.0442324000, 0.0706559000, 0.1347307000, 0.3143278000, 0.8127568000", \ - "0.0277013000, 0.0328604000, 0.0444594000, 0.0706296000, 0.1348518000, 0.3145599000, 0.8105924000", \ - "0.0276924000, 0.0331307000, 0.0445407000, 0.0707077000, 0.1347761000, 0.3146001000, 0.8094894000", \ - "0.0278130000, 0.0329780000, 0.0443714000, 0.0708307000, 0.1348300000, 0.3150613000, 0.8086927000"); - } - related_pin : "CLK_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228100, 0.0093508200, 0.0248204000, 0.0658824000, 0.1748760000"); - values("0.0281388000, 0.0351500000, 0.0525769000, 0.0980472000, 0.2249386000, 0.5706225000, 1.4988450000", \ - "0.0281382000, 0.0351512000, 0.0526164000, 0.0978803000, 0.2250252000, 0.5717996000, 1.4989691000", \ - "0.0281050000, 0.0351032000, 0.0524967000, 0.0981102000, 0.2248146000, 0.5702079000, 1.5002044000", \ - "0.0281507000, 0.0352181000, 0.0525809000, 0.0980667000, 0.2249960000, 0.5722066000, 1.5003607000", \ - "0.0280784000, 0.0352332000, 0.0525678000, 0.0980439000, 0.2244995000, 0.5722934000, 1.5006589000", \ - "0.0280208000, 0.0351428000, 0.0524781000, 0.0980254000, 0.2244360000, 0.5720076000, 1.4996381000", \ - "0.0281519000, 0.0352715000, 0.0525533000, 0.0980177000, 0.2247181000, 0.5691002000, 1.4990430000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228100, 0.0093508200, 0.0248204000, 0.0658824000, 0.1748760000"); - values("0.1585458000, 0.1673024000, 0.1852631000, 0.2202321000, 0.2851402000, 0.4273683000, 0.8015792000", \ - "0.1632717000, 0.1719763000, 0.1899767000, 0.2249401000, 0.2898699000, 0.4320793000, 0.8055122000", \ - "0.1756459000, 0.1843606000, 0.2023403000, 0.2373178000, 0.3022911000, 0.4444834000, 0.8179444000", \ - "0.2072113000, 0.2159339000, 0.2338665000, 0.2688407000, 0.3338173000, 0.4761155000, 0.8496272000", \ - "0.2833534000, 0.2919687000, 0.3098392000, 0.3447241000, 0.4096155000, 0.5519779000, 0.9256516000", \ - "0.4387484000, 0.4490443000, 0.4696940000, 0.5081408000, 0.5739857000, 0.7161222000, 1.0896599000", \ - "0.6930522000, 0.7066508000, 0.7341007000, 0.7834317000, 0.8540855000, 0.9964383000, 1.3697719000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228100, 0.0093508200, 0.0248204000, 0.0658824000, 0.1748760000"); - values("0.0306440000, 0.0364617000, 0.0495730000, 0.0767944000, 0.1357889000, 0.3113584000, 0.8110241000", \ - "0.0303725000, 0.0365792000, 0.0496135000, 0.0768288000, 0.1357010000, 0.3118744000, 0.8079229000", \ - "0.0303456000, 0.0365104000, 0.0496127000, 0.0768489000, 0.1356178000, 0.3109338000, 0.8053417000", \ - "0.0303066000, 0.0362851000, 0.0495480000, 0.0769805000, 0.1357763000, 0.3132288000, 0.8098486000", \ - "0.0302752000, 0.0362804000, 0.0494859000, 0.0770907000, 0.1357743000, 0.3133461000, 0.8098709000", \ - "0.0396581000, 0.0459311000, 0.0590640000, 0.0839838000, 0.1377112000, 0.3130846000, 0.8071004000", \ - "0.0587900000, 0.0667760000, 0.0833960000, 0.1059696000, 0.1467576000, 0.3130688000, 0.8092227000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0035600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034930000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048086000, 0.0048127000, 0.0048222000, 0.0048314000, 0.0048528000, 0.0049022000, 0.0050159000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004804100, -0.004855400, -0.004973700, -0.004976200, -0.004981600, -0.004994000, -0.005022800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0036270000; - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.305617200, -0.180503200, 0.0689271000", \ - "-0.527166700, -0.410597700, -0.197788400", \ - "-0.796128200, -0.689324900, -0.510695300"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4109356000, 0.5470521000, 0.8225241000", \ - "0.6068503000, 0.7429668000, 1.0184388000", \ - "0.8440736000, 0.9801901000, 1.2520000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3114925000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dfrtp_1") { - leakage_power () { - value : 0.0154627000; - when : "RESET_B&CLK&D&!Q"; - } - leakage_power () { - value : 0.0141340000; - when : "RESET_B&!CLK&!D&!Q"; - } - leakage_power () { - value : 0.0136124000; - when : "!RESET_B&CLK&!D&!Q"; - } - leakage_power () { - value : 0.0065133000; - when : "RESET_B&CLK&!D&Q"; - } - leakage_power () { - value : 0.0057154000; - when : "RESET_B&!CLK&D&Q"; - } - leakage_power () { - value : 0.0146646000; - when : "!RESET_B&CLK&D&!Q"; - } - leakage_power () { - value : 0.0142499000; - when : "RESET_B&!CLK&D&!Q"; - } - leakage_power () { - value : 0.0144105000; - when : "RESET_B&CLK&!D&!Q"; - } - leakage_power () { - value : 0.0137594000; - when : "!RESET_B&!CLK&!D&!Q"; - } - leakage_power () { - value : 0.0059648000; - when : "RESET_B&CLK&D&Q"; - } - leakage_power () { - value : 0.0072568000; - when : "RESET_B&!CLK&!D&Q"; - } - leakage_power () { - value : 0.0140834000; - when : "!RESET_B&!CLK&D&!Q"; - } - area : 25.024000000; - cell_footprint : "sky130_fd_sc_hd__dfrtp"; - cell_leakage_power : 0.0116522800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "D"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017880000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0017060000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0248210000, 0.0247001000, 0.0244213000, 0.0244951000, 0.0246650000, 0.0250570000, 0.0259605000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0162692000, 0.0161886000, 0.0160027000, 0.0160178000, 0.0160523000, 0.0161321000, 0.0163162000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018710000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2104295000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1544055000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0019770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0019490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0061325000, 0.0060934000, 0.0060032000, 0.0060645000, 0.0062060000, 0.0065322000, 0.0072841000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000819900, -0.000893900, -0.001064500, -0.001014100, -0.000898100, -0.000630300, -1.3189464e-05"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020060000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1069805000, 0.3212057000, 0.6280091000", \ - "-0.004705700, 0.2034160000, 0.5028952000", \ - "-0.090561800, 0.1126771000, 0.4084941000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0569316000, 0.1710592000, 0.2459290000", \ - "-0.015692100, 0.0850078000, 0.1476706000", \ - "-0.047837200, 0.0479798000, 0.1045391000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.039503900, -0.239080700, -0.495835300", \ - "0.0721823000, -0.126173800, -0.391473300", \ - "0.1507142000, -0.042759100, -0.309279300"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.032179700, -0.135321000, -0.186997400", \ - "0.0343405000, -0.062697300, -0.113153000", \ - "0.0603822000, -0.032993500, -0.083449200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228150, 0.0093508200, 0.0248204500, 0.0658824100, 0.1748757000"); - values("0.0171284000, 0.0161019000, 0.0134161000, 0.0050389000, -0.019435800, -0.085739000, -0.262223400", \ - "0.0171220000, 0.0160981000, 0.0134081000, 0.0050168000, -0.019439800, -0.085740600, -0.262226800", \ - "0.0170997000, 0.0160716000, 0.0134012000, 0.0049959000, -0.019465100, -0.085772300, -0.262252300", \ - "0.0166261000, 0.0156011000, 0.0129013000, 0.0045399000, -0.019934800, -0.086229000, -0.262711400", \ - "0.0164124000, 0.0154028000, 0.0126979000, 0.0043304000, -0.020137500, -0.086443700, -0.262923300", \ - "0.0164342000, 0.0154124000, 0.0127181000, 0.0043237000, -0.020138600, -0.086443900, -0.262905400", \ - "0.0193898000, 0.0181215000, 0.0147324000, 0.0055818000, -0.019217600, -0.085666500, -0.262151500"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228150, 0.0093508200, 0.0248204500, 0.0658824100, 0.1748757000"); - values("0.0181001000, 0.0196323000, 0.0235918000, 0.0333490000, 0.0583454000, 0.1249740000, 0.2997154000", \ - "0.0180276000, 0.0195799000, 0.0235335000, 0.0332873000, 0.0583111000, 0.1247668000, 0.2998356000", \ - "0.0180662000, 0.0196191000, 0.0235692000, 0.0333254000, 0.0583847000, 0.1240887000, 0.2991403000", \ - "0.0176395000, 0.0191792000, 0.0231335000, 0.0328865000, 0.0579609000, 0.1243084000, 0.2989525000", \ - "0.0172669000, 0.0188108000, 0.0227650000, 0.0325182000, 0.0575923000, 0.1239702000, 0.2989076000", \ - "0.0171415000, 0.0186787000, 0.0226226000, 0.0323315000, 0.0574034000, 0.1231545000, 0.2992284000", \ - "0.0187935000, 0.0201246000, 0.0237750000, 0.0333800000, 0.0581135000, 0.1242391000, 0.2991597000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228150, 0.0093508200, 0.0248204500, 0.0658824100, 0.1748757000"); - values("0.0157396000, 0.0160886000, 0.0151579000, 0.0074613000, -0.017052400, -0.083407400, -0.259878600", \ - "0.0157506000, 0.0160269000, 0.0151828000, 0.0074831000, -0.017040600, -0.083344400, -0.259832400", \ - "0.0154112000, 0.0158284000, 0.0149179000, 0.0072427000, -0.017263300, -0.083566000, -0.260043800", \ - "0.0152317000, 0.0155280000, 0.0146973000, 0.0070043000, -0.017508800, -0.083800700, -0.260270200", \ - "0.0150600000, 0.0155137000, 0.0145255000, 0.0067589000, -0.017766400, -0.084013900, -0.260450100", \ - "0.0176150000, 0.0166375000, 0.0143447000, 0.0064045000, -0.018140600, -0.084353100, -0.260733200", \ - "0.0211636000, 0.0197054000, 0.0160321000, 0.0065233000, -0.018429200, -0.084329300, -0.260661300"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.1748760000; - max_transition : 1.5010180000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228100, 0.0093508200, 0.0248204000, 0.0658824000, 0.1748760000"); - values("0.3205468000, 0.3285705000, 0.3448832000, 0.3764707000, 0.4390814000, 0.5833211000, 0.9579996000", \ - "0.3252292000, 0.3332476000, 0.3495743000, 0.3810462000, 0.4437363000, 0.5878614000, 0.9613251000", \ - "0.3363183000, 0.3443343000, 0.3607777000, 0.3922170000, 0.4547698000, 0.5989648000, 0.9739256000", \ - "0.3619220000, 0.3699697000, 0.3863539000, 0.4178805000, 0.4804604000, 0.6245426000, 0.9986276000", \ - "0.4100587000, 0.4180828000, 0.4345367000, 0.4659638000, 0.5286447000, 0.6727341000, 1.0476536000", \ - "0.4774894000, 0.4854940000, 0.5019464000, 0.5332472000, 0.5958751000, 0.7399742000, 1.1139184000", \ - "0.5606871000, 0.5687483000, 0.5851437000, 0.6165748000, 0.6791321000, 0.8234491000, 1.1979370000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228100, 0.0093508200, 0.0248204000, 0.0658824000, 0.1748760000"); - values("0.2806588000, 0.2890743000, 0.3072760000, 0.3466627000, 0.4402498000, 0.6838601000, 1.3306278000", \ - "0.2851479000, 0.2935534000, 0.3117610000, 0.3510728000, 0.4448996000, 0.6887731000, 1.3326139000", \ - "0.2963803000, 0.3047824000, 0.3229582000, 0.3623298000, 0.4561983000, 0.6998975000, 1.3451157000", \ - "0.3222365000, 0.3306552000, 0.3488612000, 0.3881908000, 0.4820307000, 0.7259022000, 1.3702723000", \ - "0.3716069000, 0.3800257000, 0.3982319000, 0.4375540000, 0.5313842000, 0.7752501000, 1.4192134000", \ - "0.4425989000, 0.4509998000, 0.4692233000, 0.5085277000, 0.6023855000, 0.8458345000, 1.4923173000", \ - "0.5344707000, 0.5429029000, 0.5611386000, 0.6004795000, 0.6943219000, 0.9381940000, 1.5814352000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228100, 0.0093508200, 0.0248204000, 0.0658824000, 0.1748760000"); - values("0.0276161000, 0.0327961000, 0.0443505000, 0.0702501000, 0.1346911000, 0.3147595000, 0.8093448000", \ - "0.0276049000, 0.0327057000, 0.0443627000, 0.0707660000, 0.1353094000, 0.3143154000, 0.8115072000", \ - "0.0275794000, 0.0328550000, 0.0444471000, 0.0699646000, 0.1349504000, 0.3144397000, 0.8066293000", \ - "0.0276285000, 0.0331049000, 0.0440596000, 0.0705573000, 0.1346817000, 0.3141206000, 0.8079590000", \ - "0.0276098000, 0.0327899000, 0.0442510000, 0.0703644000, 0.1350273000, 0.3145899000, 0.8074086000", \ - "0.0275945000, 0.0327394000, 0.0443622000, 0.0697951000, 0.1343934000, 0.3140920000, 0.8160825000", \ - "0.0277090000, 0.0329696000, 0.0441116000, 0.0707307000, 0.1345890000, 0.3131818000, 0.8067984000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228100, 0.0093508200, 0.0248204000, 0.0658824000, 0.1748760000"); - values("0.0279461000, 0.0350404000, 0.0524217000, 0.0980541000, 0.2246004000, 0.5702736000, 1.4934123000", \ - "0.0279429000, 0.0350485000, 0.0525160000, 0.0978729000, 0.2248743000, 0.5723755000, 1.4983123000", \ - "0.0280579000, 0.0351981000, 0.0524830000, 0.0979726000, 0.2248406000, 0.5714472000, 1.4948329000", \ - "0.0279864000, 0.0351077000, 0.0524946000, 0.0979653000, 0.2246793000, 0.5724363000, 1.5009838000", \ - "0.0279830000, 0.0350984000, 0.0525039000, 0.0979317000, 0.2247952000, 0.5724641000, 1.5010179000", \ - "0.0280710000, 0.0350901000, 0.0525728000, 0.0980851000, 0.2248230000, 0.5703548000, 1.5008843000", \ - "0.0280645000, 0.0352020000, 0.0526385000, 0.0980108000, 0.2248394000, 0.5701389000, 1.4981630000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228100, 0.0093508200, 0.0248204000, 0.0658824000, 0.1748760000"); - values("0.1585677000, 0.1672655000, 0.1852319000, 0.2202003000, 0.2851021000, 0.4273302000, 0.8008631000", \ - "0.1633044000, 0.1720068000, 0.1899906000, 0.2250131000, 0.2899422000, 0.4320554000, 0.8062587000", \ - "0.1758231000, 0.1845706000, 0.2025034000, 0.2375044000, 0.3024684000, 0.4445744000, 0.8187774000", \ - "0.2072126000, 0.2159280000, 0.2338763000, 0.2688247000, 0.3338096000, 0.4759512000, 0.8502536000", \ - "0.2833229000, 0.2919706000, 0.3098116000, 0.3447088000, 0.4095877000, 0.5519251000, 0.9262172000", \ - "0.4387697000, 0.4491228000, 0.4697206000, 0.5081588000, 0.5740097000, 0.7161883000, 1.0901755000", \ - "0.6928376000, 0.7064248000, 0.7338690000, 0.7836662000, 0.8538858000, 0.9963302000, 1.3694934000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013271800, 0.0035228100, 0.0093508200, 0.0248204000, 0.0658824000, 0.1748760000"); - values("0.0303011000, 0.0364808000, 0.0495752000, 0.0767955000, 0.1356754000, 0.3112656000, 0.8078213000", \ - "0.0306832000, 0.0362277000, 0.0494782000, 0.0769424000, 0.1357306000, 0.3113617000, 0.8113011000", \ - "0.0304888000, 0.0363916000, 0.0495733000, 0.0768220000, 0.1358196000, 0.3112784000, 0.8112468000", \ - "0.0302470000, 0.0362041000, 0.0495517000, 0.0768931000, 0.1358454000, 0.3113406000, 0.8114990000", \ - "0.0305797000, 0.0361349000, 0.0493996000, 0.0769136000, 0.1357311000, 0.3115150000, 0.8109726000", \ - "0.0396964000, 0.0466957000, 0.0593671000, 0.0838815000, 0.1378089000, 0.3112067000, 0.8140101000", \ - "0.0588429000, 0.0668196000, 0.0833944000, 0.1061842000, 0.1467717000, 0.3133334000, 0.8108602000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0035960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0035610000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048033000, 0.0048080000, 0.0048188000, 0.0048281000, 0.0048499000, 0.0049002000, 0.0050162000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.005448600, -0.005518700, -0.005680300, -0.005681800, -0.005685000, -0.005692200, -0.005709000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0036320000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.228712900, -0.101157600, 0.1690247000", \ - "-0.378240900, -0.260451200, -0.024448600", \ - "-0.503159500, -0.392694000, -0.176222700"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3010723000, 0.4359681000, 0.7028952000", \ - "0.4347311000, 0.5684063000, 0.8292298000", \ - "0.5547669000, 0.6835593000, 0.9370586000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1807697000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dfrtp_2") { - leakage_power () { - value : 0.0137865000; - when : "RESET_B&CLK&D&!Q"; - } - leakage_power () { - value : 0.0124578000; - when : "RESET_B&!CLK&!D&!Q"; - } - leakage_power () { - value : 0.0119361000; - when : "!RESET_B&CLK&!D&!Q"; - } - leakage_power () { - value : 0.0068843000; - when : "RESET_B&CLK&!D&Q"; - } - leakage_power () { - value : 0.0060864000; - when : "RESET_B&!CLK&D&Q"; - } - leakage_power () { - value : 0.0129884000; - when : "!RESET_B&CLK&D&!Q"; - } - leakage_power () { - value : 0.0125736000; - when : "RESET_B&!CLK&D&!Q"; - } - leakage_power () { - value : 0.0127343000; - when : "RESET_B&CLK&!D&!Q"; - } - leakage_power () { - value : 0.0120832000; - when : "!RESET_B&!CLK&!D&!Q"; - } - leakage_power () { - value : 0.0063358000; - when : "RESET_B&CLK&D&Q"; - } - leakage_power () { - value : 0.0076278000; - when : "RESET_B&!CLK&!D&Q"; - } - leakage_power () { - value : 0.0124072000; - when : "!RESET_B&!CLK&D&!Q"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__dfrtp"; - cell_leakage_power : 0.0106584500; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "D"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017960000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0017210000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0247991000, 0.0246767000, 0.0243946000, 0.0244701000, 0.0246440000, 0.0250452000, 0.0259699000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0162695000, 0.0161891000, 0.0160039000, 0.0160187000, 0.0160527000, 0.0161313000, 0.0163124000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018700000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2115280000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1697846000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0019770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0019490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0061322000, 0.0060926000, 0.0060011000, 0.0060643000, 0.0062100000, 0.0065459000, 0.0073203000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000821700, -0.000891100, -0.001050900, -0.001000400, -0.000884200, -0.000616000, 2.2639846e-06"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020050000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1082012000, 0.3236471000, 0.6292298000", \ - "-0.004705700, 0.2046367000, 0.5041159000", \ - "-0.089341100, 0.1138978000, 0.4097148000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0605937000, 0.1759421000, 0.2532533000", \ - "-0.013250700, 0.0898906000, 0.1525534000", \ - "-0.046616500, 0.0504212000, 0.1082012000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.035841800, -0.235418600, -0.486069600", \ - "0.0758444000, -0.122511700, -0.384149100", \ - "0.1543763000, -0.039097000, -0.300734400"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.032179700, -0.134100300, -0.186997400", \ - "0.0343405000, -0.061476600, -0.111932300", \ - "0.0603822000, -0.032993500, -0.083449200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014695340, 0.0043190590, 0.0126940100, 0.0373085400, 0.1096523000, 0.3222755000"); - values("0.0203484000, 0.0188107000, 0.0148470000, 0.0033013000, -0.034778300, -0.151311300, -0.495530100", \ - "0.0203521000, 0.0188224000, 0.0149099000, 0.0032598000, -0.034760500, -0.151273700, -0.495511300", \ - "0.0202778000, 0.0187803000, 0.0147992000, 0.0032225000, -0.034807900, -0.151318500, -0.495552500", \ - "0.0198559000, 0.0182973000, 0.0143677000, 0.0027531000, -0.035245700, -0.151788700, -0.496008900", \ - "0.0195965000, 0.0180628000, 0.0141282000, 0.0025195000, -0.035487500, -0.152004200, -0.496248300", \ - "0.0196293000, 0.0181043000, 0.0141667000, 0.0025616000, -0.035466800, -0.151977300, -0.496208900", \ - "0.0242052000, 0.0226387000, 0.0181005000, 0.0049447000, -0.034322500, -0.151224600, -0.495443400"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014695340, 0.0043190590, 0.0126940100, 0.0373085400, 0.1096523000, 0.3222755000"); - values("0.0229966000, 0.0246952000, 0.0296325000, 0.0438738000, 0.0838429000, 0.1998328000, 0.5430187000", \ - "0.0229625000, 0.0246099000, 0.0295749000, 0.0437746000, 0.0837712000, 0.1996722000, 0.5407323000", \ - "0.0229555000, 0.0246372000, 0.0295796000, 0.0437837000, 0.0837843000, 0.1998680000, 0.5430884000", \ - "0.0225530000, 0.0242160000, 0.0291592000, 0.0433758000, 0.0833498000, 0.1995060000, 0.5430637000", \ - "0.0221852000, 0.0238499000, 0.0288084000, 0.0430058000, 0.0830055000, 0.1993583000, 0.5414476000", \ - "0.0220745000, 0.0237263000, 0.0287381000, 0.0428349000, 0.0828826000, 0.1990134000, 0.5397984000", \ - "0.0239697000, 0.0255818000, 0.0303188000, 0.0440760000, 0.0837570000, 0.2003888000, 0.5414610000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014695340, 0.0043190590, 0.0126940100, 0.0373085400, 0.1096523000, 0.3222755000"); - values("0.0186151000, 0.0172151000, 0.0157756000, 0.0058797000, -0.032212700, -0.148905600, -0.493020400", \ - "0.0184793000, 0.0170967000, 0.0156035000, 0.0057285000, -0.032352700, -0.149003700, -0.493235600", \ - "0.0182068000, 0.0168926000, 0.0153485000, 0.0055083000, -0.032575000, -0.149222600, -0.493465400", \ - "0.0180679000, 0.0167215000, 0.0152630000, 0.0053455000, -0.032751000, -0.149386800, -0.493604800", \ - "0.0178179000, 0.0165285000, 0.0150328000, 0.0050330000, -0.033112100, -0.149693100, -0.493861800", \ - "0.0181921000, 0.0169493000, 0.0153766000, 0.0046922000, -0.033573900, -0.150122000, -0.494169800", \ - "0.0269531000, 0.0250634000, 0.0199545000, 0.0060357000, -0.033885200, -0.150209700, -0.494207100"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.3222760000; - max_transition : 1.5043160000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014695300, 0.0043190600, 0.0126940000, 0.0373085000, 0.1096520000, 0.3222760000"); - values("0.3506981000, 0.3578429000, 0.3736053000, 0.4046606000, 0.4644471000, 0.5966773000, 0.9533240000", \ - "0.3555128000, 0.3626774000, 0.3784652000, 0.4094500000, 0.4692706000, 0.6013078000, 0.9575990000", \ - "0.3664820000, 0.3736011000, 0.3894114000, 0.4204237000, 0.4802677000, 0.6124308000, 0.9688592000", \ - "0.3922195000, 0.3993820000, 0.4151598000, 0.4461916000, 0.5061010000, 0.6382483000, 0.9948533000", \ - "0.4400264000, 0.4471581000, 0.4629913000, 0.4939760000, 0.5538471000, 0.6859292000, 1.0426708000", \ - "0.5076085000, 0.5147565000, 0.5305347000, 0.5614457000, 0.6213486000, 0.7535439000, 1.1102849000", \ - "0.5910465000, 0.5981828000, 0.6139850000, 0.6450667000, 0.7050003000, 0.8369819000, 1.1935279000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014695300, 0.0043190600, 0.0126940000, 0.0373085000, 0.1096520000, 0.3222760000"); - values("0.3026401000, 0.3099117000, 0.3266614000, 0.3627330000, 0.4471993000, 0.6798129000, 1.3584693000", \ - "0.3070760000, 0.3144207000, 0.3311246000, 0.3671863000, 0.4516074000, 0.6838397000, 1.3629281000", \ - "0.3182307000, 0.3256407000, 0.3424118000, 0.3784590000, 0.4629895000, 0.6955224000, 1.3738345000", \ - "0.3441165000, 0.3514454000, 0.3682279000, 0.4042456000, 0.4887977000, 0.7209295000, 1.3994731000", \ - "0.3935284000, 0.4008723000, 0.4175835000, 0.4536468000, 0.5380756000, 0.7701418000, 1.4497047000", \ - "0.4647741000, 0.4720636000, 0.4888340000, 0.5249087000, 0.6093643000, 0.8413126000, 1.5209098000", \ - "0.5564326000, 0.5638225000, 0.5806547000, 0.6166566000, 0.7011877000, 0.9334818000, 1.6102851000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014695300, 0.0043190600, 0.0126940000, 0.0373085000, 0.1096520000, 0.3222760000"); - values("0.0302114000, 0.0345965000, 0.0445766000, 0.0665179000, 0.1179698000, 0.2669787000, 0.7376070000", \ - "0.0301657000, 0.0348103000, 0.0449336000, 0.0655740000, 0.1178836000, 0.2681788000, 0.7333332000", \ - "0.0301107000, 0.0346662000, 0.0444555000, 0.0656977000, 0.1180596000, 0.2677971000, 0.7323838000", \ - "0.0302036000, 0.0345440000, 0.0444469000, 0.0656107000, 0.1184822000, 0.2674249000, 0.7394285000", \ - "0.0301603000, 0.0348230000, 0.0444397000, 0.0658097000, 0.1177101000, 0.2681557000, 0.7396042000", \ - "0.0301706000, 0.0347782000, 0.0444565000, 0.0656046000, 0.1183138000, 0.2678191000, 0.7375676000", \ - "0.0301341000, 0.0348777000, 0.0445355000, 0.0658491000, 0.1179025000, 0.2675720000, 0.7326744000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014695300, 0.0043190600, 0.0126940000, 0.0373085000, 0.1096520000, 0.3222760000"); - values("0.0275277000, 0.0328535000, 0.0465860000, 0.0823164000, 0.1885026000, 0.5181492000, 1.5043161000", \ - "0.0275251000, 0.0328850000, 0.0465020000, 0.0821602000, 0.1883643000, 0.5185988000, 1.5024184000", \ - "0.0274507000, 0.0328705000, 0.0465488000, 0.0821606000, 0.1882022000, 0.5177475000, 1.5042572000", \ - "0.0274971000, 0.0329008000, 0.0465969000, 0.0822955000, 0.1885780000, 0.5177135000, 1.4983594000", \ - "0.0275243000, 0.0328452000, 0.0464902000, 0.0821579000, 0.1884169000, 0.5186088000, 1.4998617000", \ - "0.0275645000, 0.0329245000, 0.0465879000, 0.0821158000, 0.1887496000, 0.5183242000, 1.4990490000", \ - "0.0276212000, 0.0329258000, 0.0465952000, 0.0823719000, 0.1885167000, 0.5186943000, 1.4987313000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014695300, 0.0043190600, 0.0126940000, 0.0373085000, 0.1096520000, 0.3222760000"); - values("0.1904505000, 0.1980985000, 0.2151574000, 0.2490607000, 0.3127762000, 0.4400064000, 0.7943649000", \ - "0.1954828000, 0.2030190000, 0.2201839000, 0.2539823000, 0.3178135000, 0.4451570000, 0.8001195000", \ - "0.2082668000, 0.2158824000, 0.2329416000, 0.2668514000, 0.3306072000, 0.4578488000, 0.8121519000", \ - "0.2394956000, 0.2471597000, 0.2642371000, 0.2979553000, 0.3617978000, 0.4891957000, 0.8438656000", \ - "0.3151543000, 0.3228215000, 0.3398559000, 0.3735199000, 0.4372757000, 0.5646794000, 0.9191252000", \ - "0.4830110000, 0.4914439000, 0.5099097000, 0.5456875000, 0.6102654000, 0.7374980000, 1.0925341000", \ - "0.7665527000, 0.7774704000, 0.8016584000, 0.8484792000, 0.9241213000, 1.0525529000, 1.4065683000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014695300, 0.0043190600, 0.0126940000, 0.0373085000, 0.1096520000, 0.3222760000"); - values("0.0330795000, 0.0378862000, 0.0488921000, 0.0726617000, 0.1193341000, 0.2604055000, 0.7370696000", \ - "0.0332974000, 0.0379373000, 0.0487879000, 0.0727741000, 0.1195585000, 0.2599789000, 0.7319402000", \ - "0.0331832000, 0.0380939000, 0.0489242000, 0.0729451000, 0.1195080000, 0.2602983000, 0.7370345000", \ - "0.0330989000, 0.0382788000, 0.0492634000, 0.0728516000, 0.1196872000, 0.2597813000, 0.7369373000", \ - "0.0330131000, 0.0381402000, 0.0489100000, 0.0728797000, 0.1196449000, 0.2599179000, 0.7370473000", \ - "0.0401218000, 0.0448571000, 0.0561750000, 0.0779993000, 0.1211739000, 0.2604540000, 0.7343290000", \ - "0.0612523000, 0.0670147000, 0.0804231000, 0.1064808000, 0.1383758000, 0.2636759000, 0.7335820000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0036030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0035950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047655000, 0.0047821000, 0.0048205000, 0.0048299000, 0.0048518000, 0.0049023000, 0.0050187000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.005485000, -0.005544200, -0.005680600, -0.005682000, -0.005685100, -0.005692200, -0.005708500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0036120000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.218947300, -0.071860700, 0.2801087000", \ - "-0.368475300, -0.233595700, 0.0793112000", \ - "-0.494614600, -0.367059300, -0.081007800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3010723000, 0.4347474000, 0.7028952000", \ - "0.4359518000, 0.5684063000, 0.8292298000", \ - "0.5547669000, 0.6835593000, 0.9370586000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2313012000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dfrtp_4") { - leakage_power () { - value : 0.0134502000; - when : "RESET_B&CLK&D&!Q"; - } - leakage_power () { - value : 0.0121215000; - when : "RESET_B&!CLK&!D&!Q"; - } - leakage_power () { - value : 0.0115999000; - when : "!RESET_B&CLK&!D&!Q"; - } - leakage_power () { - value : 0.0078330000; - when : "RESET_B&CLK&!D&Q"; - } - leakage_power () { - value : 0.0070350000; - when : "RESET_B&!CLK&D&Q"; - } - leakage_power () { - value : 0.0126521000; - when : "!RESET_B&CLK&D&!Q"; - } - leakage_power () { - value : 0.0122373000; - when : "RESET_B&!CLK&D&!Q"; - } - leakage_power () { - value : 0.0123980000; - when : "RESET_B&CLK&!D&!Q"; - } - leakage_power () { - value : 0.0117469000; - when : "!RESET_B&!CLK&!D&!Q"; - } - leakage_power () { - value : 0.0072844000; - when : "RESET_B&CLK&D&Q"; - } - leakage_power () { - value : 0.0085764000; - when : "RESET_B&!CLK&!D&Q"; - } - leakage_power () { - value : 0.0120709000; - when : "!RESET_B&!CLK&D&!Q"; - } - area : 28.777600000; - cell_footprint : "sky130_fd_sc_hd__dfrtp"; - cell_leakage_power : 0.0107504600; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "D"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017940000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0017180000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0247727000, 0.0246491000, 0.0243641000, 0.0244335000, 0.0245934000, 0.0249623000, 0.0258124000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0163760000, 0.0162584000, 0.0159874000, 0.0160035000, 0.0160404000, 0.0161257000, 0.0163223000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018700000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2104295000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1972474000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0019770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0019490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0061312000, 0.0060923000, 0.0060027000, 0.0060655000, 0.0062100000, 0.0065434000, 0.0073120000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000826300, -0.000895100, -0.001053500, -0.001002700, -0.000885600, -0.000615600, 6.746164e-06"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020060000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1069805000, 0.3212057000, 0.6280091000", \ - "-0.004705700, 0.2034160000, 0.5028952000", \ - "-0.090561800, 0.1126771000, 0.4084941000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0605937000, 0.1759421000, 0.2532533000", \ - "-0.013250700, 0.0898906000, 0.1537741000", \ - "-0.046616500, 0.0504212000, 0.1082012000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.035841800, -0.234197900, -0.484849000", \ - "0.0758444000, -0.122511700, -0.384149100", \ - "0.1543763000, -0.039097000, -0.300734400"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.032179700, -0.135321000, -0.188218100", \ - "0.0343405000, -0.062697300, -0.113153000", \ - "0.0603822000, -0.032993500, -0.083449200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000"); - values("0.0299695000, 0.0279332000, 0.0219585000, 0.0054091000, -0.050107800, -0.238744700, -0.853077600", \ - "0.0299944000, 0.0279360000, 0.0220453000, 0.0054443000, -0.050062500, -0.238642800, -0.853007100", \ - "0.0300718000, 0.0280837000, 0.0221125000, 0.0055147000, -0.049969800, -0.238632800, -0.852971800", \ - "0.0296403000, 0.0276000000, 0.0216699000, 0.0050146000, -0.050430700, -0.239058000, -0.853392800", \ - "0.0293773000, 0.0273888000, 0.0214311000, 0.0048182000, -0.050663500, -0.239325900, -0.853667900", \ - "0.0293486000, 0.0273638000, 0.0214234000, 0.0048024000, -0.050621400, -0.239305600, -0.853608600", \ - "0.0370078000, 0.0349519000, 0.0288566000, 0.0103630000, -0.048337400, -0.238551500, -0.852885200"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000"); - values("0.0347175000, 0.0365158000, 0.0424081000, 0.0616755000, 0.1218193000, 0.3131402000, 0.9192339000", \ - "0.0347044000, 0.0364778000, 0.0423421000, 0.0616478000, 0.1218964000, 0.3127662000, 0.9197844000", \ - "0.0348540000, 0.0366139000, 0.0425371000, 0.0618122000, 0.1220448000, 0.3116969000, 0.9213186000", \ - "0.0343887000, 0.0361597000, 0.0420608000, 0.0613458000, 0.1215771000, 0.3117331000, 0.9209227000", \ - "0.0339810000, 0.0357782000, 0.0416577000, 0.0609860000, 0.1211210000, 0.3114851000, 0.9245174000", \ - "0.0339275000, 0.0357173000, 0.0415838000, 0.0608545000, 0.1210585000, 0.3112393000, 0.9247014000", \ - "0.0364558000, 0.0382480000, 0.0440252000, 0.0627561000, 0.1219810000, 0.3120629000, 0.9195208000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000"); - values("0.0338738000, 0.0318746000, 0.0259394000, 0.0084556000, -0.046990300, -0.236451000, -0.850829300", \ - "0.0337973000, 0.0317783000, 0.0258342000, 0.0082823000, -0.047123000, -0.236609600, -0.851038100", \ - "0.0335307000, 0.0315160000, 0.0255985000, 0.0080700000, -0.047353400, -0.236766800, -0.851209200", \ - "0.0335631000, 0.0315661000, 0.0256394000, 0.0081175000, -0.047320100, -0.236794600, -0.851200100", \ - "0.0333516000, 0.0313601000, 0.0254519000, 0.0078388000, -0.047657200, -0.237097500, -0.851416500", \ - "0.0333880000, 0.0313433000, 0.0253175000, 0.0075635000, -0.048187700, -0.237681000, -0.851803800", \ - "0.0363885000, 0.0341078000, 0.0274030000, 0.0081871000, -0.048051600, -0.237911200, -0.852013100"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.5511570000; - max_transition : 1.5066460000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.4278874000, 0.4333774000, 0.4478247000, 0.4798925000, 0.5433685000, 0.6765929000, 1.0213966000", \ - "0.4326854000, 0.4382105000, 0.4526138000, 0.4847573000, 0.5484528000, 0.6811902000, 1.0260175000", \ - "0.4436976000, 0.4491410000, 0.4635965000, 0.4956470000, 0.5594823000, 0.6923557000, 1.0370583000", \ - "0.4694380000, 0.4749215000, 0.4893426000, 0.5214400000, 0.5852945000, 0.7182639000, 1.0626089000", \ - "0.5172320000, 0.5226768000, 0.5371328000, 0.5691848000, 0.6330212000, 0.7658952000, 1.1105971000", \ - "0.5847856000, 0.5902963000, 0.6047497000, 0.6368280000, 0.7008215000, 0.8336002000, 1.1780426000", \ - "0.6682113000, 0.6737185000, 0.6881254000, 0.7202116000, 0.7840909000, 0.9170253000, 1.2613036000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.3572830000, 0.3631876000, 0.3786668000, 0.4143570000, 0.4970749000, 0.7233552000, 1.4371371000", \ - "0.3617534000, 0.3676758000, 0.3831430000, 0.4188302000, 0.5014407000, 0.7284192000, 1.4434673000", \ - "0.3729384000, 0.3788586000, 0.3944281000, 0.4301267000, 0.5128277000, 0.7393517000, 1.4570324000", \ - "0.3988477000, 0.4047517000, 0.4202051000, 0.4559296000, 0.5385452000, 0.7655248000, 1.4803054000", \ - "0.4482011000, 0.4541415000, 0.4696181000, 0.5053349000, 0.5880437000, 0.8144909000, 1.5291550000", \ - "0.5194781000, 0.5253744000, 0.5409241000, 0.5765455000, 0.6592902000, 0.8861345000, 1.5992812000", \ - "0.6114050000, 0.6173301000, 0.6328089000, 0.6685227000, 0.7512245000, 0.9777996000, 1.6934989000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.0474066000, 0.0505642000, 0.0593778000, 0.0785944000, 0.1249593000, 0.2533997000, 0.6811097000", \ - "0.0473712000, 0.0505077000, 0.0591728000, 0.0788036000, 0.1247328000, 0.2530802000, 0.6820092000", \ - "0.0475022000, 0.0504711000, 0.0592665000, 0.0785787000, 0.1243856000, 0.2534271000, 0.6820495000", \ - "0.0473406000, 0.0505583000, 0.0588699000, 0.0784091000, 0.1246490000, 0.2531278000, 0.6811394000", \ - "0.0475053000, 0.0504704000, 0.0592665000, 0.0785743000, 0.1243768000, 0.2518425000, 0.6820486000", \ - "0.0474097000, 0.0506080000, 0.0591290000, 0.0795225000, 0.1255951000, 0.2530649000, 0.6814603000", \ - "0.0472337000, 0.0505736000, 0.0589243000, 0.0784877000, 0.1249136000, 0.2530928000, 0.6793409000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.0386571000, 0.0426187000, 0.0541777000, 0.0841579000, 0.1750732000, 0.4824007000, 1.4984988000", \ - "0.0385766000, 0.0425911000, 0.0540197000, 0.0843471000, 0.1750427000, 0.4816626000, 1.5013254000", \ - "0.0386927000, 0.0428007000, 0.0540112000, 0.0844763000, 0.1749538000, 0.4823544000, 1.5066455000", \ - "0.0386188000, 0.0425989000, 0.0539225000, 0.0844749000, 0.1750902000, 0.4818559000, 1.5024750000", \ - "0.0385050000, 0.0426073000, 0.0540259000, 0.0843623000, 0.1750873000, 0.4822105000, 1.5017908000", \ - "0.0385929000, 0.0423346000, 0.0539535000, 0.0845894000, 0.1750607000, 0.4815070000, 1.5060172000", \ - "0.0386478000, 0.0425863000, 0.0540873000, 0.0842954000, 0.1750144000, 0.4808806000, 1.5000137000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.2726160000, 0.2784066000, 0.2938796000, 0.3284342000, 0.3968920000, 0.5207850000, 0.8564664000", \ - "0.2778151000, 0.2836729000, 0.2990899000, 0.3336493000, 0.4021628000, 0.5260182000, 0.8617533000", \ - "0.2909576000, 0.2967514000, 0.3122574000, 0.3467697000, 0.4152801000, 0.5391452000, 0.8749398000", \ - "0.3224239000, 0.3282123000, 0.3436771000, 0.3782305000, 0.4467129000, 0.5706233000, 0.9061194000", \ - "0.3973799000, 0.4032437000, 0.4186159000, 0.4531827000, 0.5216273000, 0.6455099000, 0.9812883000", \ - "0.5742110000, 0.5800556000, 0.5953559000, 0.6297496000, 0.6979708000, 0.8217648000, 1.1575997000", \ - "0.9124713000, 0.9197019000, 0.9385788000, 0.9806795000, 1.0600536000, 1.1860584000, 1.5207570000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.0516987000, 0.0550003000, 0.0642348000, 0.0861671000, 0.1273802000, 0.2358921000, 0.6706659000", \ - "0.0519331000, 0.0553446000, 0.0648162000, 0.0867895000, 0.1271400000, 0.2362608000, 0.6703673000", \ - "0.0516173000, 0.0554186000, 0.0642397000, 0.0863431000, 0.1274503000, 0.2362114000, 0.6711176000", \ - "0.0517273000, 0.0550219000, 0.0642619000, 0.0861693000, 0.1272256000, 0.2363089000, 0.6703250000", \ - "0.0521422000, 0.0552000000, 0.0647128000, 0.0865526000, 0.1274985000, 0.2362744000, 0.6704258000", \ - "0.0526795000, 0.0559651000, 0.0653596000, 0.0872004000, 0.1275650000, 0.2362612000, 0.6702304000", \ - "0.0795400000, 0.0830614000, 0.0923383000, 0.1153623000, 0.1486292000, 0.2409714000, 0.6689861000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0035610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034840000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047825000, 0.0047938000, 0.0048198000, 0.0048295000, 0.0048520000, 0.0049039000, 0.0050236000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.005531400, -0.005506400, -0.005448700, -0.005463200, -0.005496700, -0.005573700, -0.005751000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0036380000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.217726600, -0.058432900, 0.3643372000", \ - "-0.368475300, -0.220168000, 0.1635397000", \ - "-0.494614600, -0.354852200, 0.0020000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3010723000, 0.4359681000, 0.7028952000", \ - "0.4359518000, 0.5684063000, 0.8292298000", \ - "0.5547669000, 0.6835593000, 0.9370586000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3213791000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dfsbp_1") { - leakage_power () { - value : 0.0134321000; - when : "CLK&D&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0113719000; - when : "!CLK&!D&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0189673000; - when : "CLK&!D&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0193286000; - when : "CLK&!D&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0198350000; - when : "!CLK&D&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0194834000; - when : "CLK&D&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0127316000; - when : "!CLK&D&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0114902000; - when : "CLK&!D&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0191175000; - when : "!CLK&!D&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0198447000; - when : "CLK&D&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0191811000; - when : "!CLK&!D&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0190979000; - when : "!CLK&D&!SET_B&Q&!Q_N"; - } - area : 28.777600000; - cell_footprint : "sky130_fd_sc_hd__dfsbp"; - cell_leakage_power : 0.0169900900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017720000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0231981000, 0.0231169000, 0.0229298000, 0.0229748000, 0.0230789000, 0.0233188000, 0.0238718000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0172321000, 0.0171624000, 0.0170018000, 0.0170326000, 0.0171038000, 0.0172679000, 0.0176461000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018520000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1928533000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4125555000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0023610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0073564000, 0.0072833000, 0.0071148000, 0.0072676000, 0.0076202000, 0.0084329000, 0.0103061000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000138000, -0.000310500, -0.000708100, -0.000569900, -0.000250900, 0.0004843000, 0.0021789000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024880000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0642559000, 0.2418600000, 0.4522279000", \ - "-0.043768200, 0.1240703000, 0.3271139000", \ - "-0.123520800, 0.0357728000, 0.2351543000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0508281000, 0.1564108000, 0.2361634000", \ - "-0.015692100, 0.0813457000, 0.1501120000", \ - "-0.042954400, 0.0504212000, 0.1167461000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.011427700, -0.168279900, -0.339585300", \ - "0.0953757000, -0.059035200, -0.238885400", \ - "0.1653626000, 0.0158346000, -0.165236300"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.032179700, -0.130438200, -0.194321600", \ - "0.0282370000, -0.065138700, -0.127801400", \ - "0.0506165000, -0.041538400, -0.104201200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("3.515000e-05, 0.0039177000, 0.0126039000, 0.0298313000, 0.0617193000, 0.1301414000, 0.2983422000", \ - "9.100000e-06, 0.0038751000, 0.0125316000, 0.0297197000, 0.0616970000, 0.1296774000, 0.2989173000", \ - "-4.82500e-05, 0.0037934000, 0.0124056000, 0.0295084000, 0.0612787000, 0.1293410000, 0.2987367000", \ - "-4.88000e-05, 0.0037959000, 0.0124130000, 0.0295217000, 0.0612874000, 0.1297194000, 0.2968148000", \ - "-4.12000e-05, 0.0038219000, 0.0124774000, 0.0296594000, 0.0615676000, 0.1294767000, 0.2977142000", \ - "-3.63500e-05, 0.0038540000, 0.0125539000, 0.0298199000, 0.0617099000, 0.1296706000, 0.2982476000", \ - "3.350000e-05, 0.0040655000, 0.0130081000, 0.0305667000, 0.0630352000, 0.1316031000, 0.3013449000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("-0.005282000, -0.000682000, 0.0077794000, 0.0166734000, 0.0106840000, -0.040771800, -0.202176400", \ - "-0.005252800, -0.000669700, 0.0077919000, 0.0166554000, 0.0106662000, -0.040828500, -0.202245300", \ - "-0.005182300, -0.000604700, 0.0078366000, 0.0166307000, 0.0106061000, -0.040905100, -0.202305800", \ - "-0.005219200, -0.000669800, 0.0077071000, 0.0164173000, 0.0102984000, -0.041276500, -0.202749200", \ - "-0.005264400, -0.000724200, 0.0076169000, 0.0163017000, 0.0101311000, -0.041468100, -0.202936400", \ - "-0.005341800, -0.000801600, 0.0075449000, 0.0162557000, 0.0101018000, -0.041480300, -0.202942500", \ - "-0.005472300, -0.000845800, 0.0076764000, 0.0166413000, 0.0106195000, -0.040984600, -0.202392700"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("-0.006835400, -0.004131300, 0.0021514000, 0.0161003000, 0.0455878000, 0.1115422000, 0.2794613000", \ - "-0.006807400, -0.004108900, 0.0021718000, 0.0160992000, 0.0455421000, 0.1115909000, 0.2795423000", \ - "-0.006738400, -0.004068500, 0.0021639000, 0.0160308000, 0.0452346000, 0.1113497000, 0.2775920000", \ - "-0.006772500, -0.004113600, 0.0020535000, 0.0158570000, 0.0450231000, 0.1110924000, 0.2772558000", \ - "-0.006829200, -0.004193700, 0.0019346000, 0.0156660000, 0.0447151000, 0.1107516000, 0.2772103000", \ - "-0.006912700, -0.004300800, 0.0018057000, 0.0154877000, 0.0445909000, 0.1105134000, 0.2786008000", \ - "-0.006980300, -0.004183200, 0.0022749000, 0.0158988000, 0.0450058000, 0.1109856000, 0.2787556000"); - } - } - max_capacitance : 0.1666360000; - max_transition : 1.5033150000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.3534951000, 0.3590465000, 0.3706871000, 0.3944776000, 0.4475048000, 0.5815337000, 0.9348584000", \ - "0.3581355000, 0.3637010000, 0.3753339000, 0.3991115000, 0.4521342000, 0.5861965000, 0.9382307000", \ - "0.3692874000, 0.3748426000, 0.3864789000, 0.4102685000, 0.4632795000, 0.5973448000, 0.9509432000", \ - "0.3949417000, 0.4004940000, 0.4121342000, 0.4359242000, 0.4889371000, 0.6230313000, 0.9760615000", \ - "0.4429323000, 0.4484789000, 0.4601147000, 0.4839056000, 0.5369178000, 0.6710110000, 1.0233021000", \ - "0.5114730000, 0.5170215000, 0.5285590000, 0.5524105000, 0.6054723000, 0.7395858000, 1.0923044000", \ - "0.5958123000, 0.6013642000, 0.6129364000, 0.6367649000, 0.6898205000, 0.8238461000, 1.1759211000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.5657977000, 0.5748298000, 0.5927237000, 0.6301706000, 0.7231439000, 0.9676244000, 1.6094922000", \ - "0.5706453000, 0.5795838000, 0.5974403000, 0.6349216000, 0.7280196000, 0.9725284000, 1.6153854000", \ - "0.5816583000, 0.5906941000, 0.6085406000, 0.6460561000, 0.7389891000, 0.9840130000, 1.6264388000", \ - "0.6068564000, 0.6157832000, 0.6336882000, 0.6711203000, 0.7641018000, 1.0091947000, 1.6514279000", \ - "0.6541023000, 0.6630156000, 0.6808718000, 0.7183297000, 0.8113624000, 1.0564037000, 1.6987300000", \ - "0.7228717000, 0.7317724000, 0.7496238000, 0.7870804000, 0.8802190000, 1.1249955000, 1.7675561000", \ - "0.8116735000, 0.8207270000, 0.8385670000, 0.8760837000, 0.9689808000, 1.2139183000, 1.8561379000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0188658000, 0.0231827000, 0.0325165000, 0.0557400000, 0.1198839000, 0.2962165000, 0.7651708000", \ - "0.0188245000, 0.0229571000, 0.0325147000, 0.0560633000, 0.1197140000, 0.2961951000, 0.7684137000", \ - "0.0188400000, 0.0228175000, 0.0325217000, 0.0560647000, 0.1194918000, 0.2954673000, 0.7678048000", \ - "0.0188219000, 0.0231824000, 0.0325179000, 0.0560333000, 0.1195800000, 0.2951770000, 0.7724306000", \ - "0.0188035000, 0.0231633000, 0.0325186000, 0.0560394000, 0.1196129000, 0.2956440000, 0.7680034000", \ - "0.0188550000, 0.0228205000, 0.0321994000, 0.0561207000, 0.1197224000, 0.2957103000, 0.7721849000", \ - "0.0188780000, 0.0228435000, 0.0323410000, 0.0561283000, 0.1197721000, 0.2961806000, 0.7622312000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0341896000, 0.0399840000, 0.0547042000, 0.0982738000, 0.2275807000, 0.5749576000, 1.4961218000", \ - "0.0341662000, 0.0404142000, 0.0547963000, 0.0981882000, 0.2276361000, 0.5756169000, 1.4921433000", \ - "0.0341160000, 0.0399388000, 0.0547728000, 0.0984198000, 0.2272029000, 0.5750364000, 1.4960819000", \ - "0.0342505000, 0.0401033000, 0.0547863000, 0.0983603000, 0.2277775000, 0.5752060000, 1.4953251000", \ - "0.0343742000, 0.0405210000, 0.0548288000, 0.0982462000, 0.2271875000, 0.5752336000, 1.4955533000", \ - "0.0343690000, 0.0405005000, 0.0548258000, 0.0982485000, 0.2280472000, 0.5760561000, 1.4920873000", \ - "0.0341201000, 0.0399576000, 0.0547918000, 0.0983879000, 0.2274918000, 0.5759853000, 1.4911741000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.3433988000, 0.3500834000, 0.3652084000, 0.4012805000, 0.4944236000, 0.7382737000, 1.3812987000", \ - "0.3481629000, 0.3547717000, 0.3699146000, 0.4059869000, 0.4989876000, 0.7429636000, 1.3860240000", \ - "0.3606546000, 0.3673191000, 0.3824310000, 0.4185100000, 0.5116392000, 0.7551719000, 1.4009204000", \ - "0.3938441000, 0.4005064000, 0.4156184000, 0.4516911000, 0.5448178000, 0.7884100000, 1.4314965000", \ - "0.4710785000, 0.4777199000, 0.4927864000, 0.5289164000, 0.6220303000, 0.8658917000, 1.5090165000", \ - "0.6351247000, 0.6417223000, 0.6568332000, 0.6928798000, 0.7859017000, 1.0298159000, 1.6739279000", \ - "0.9333746000, 0.9402150000, 0.9555824000, 0.9917447000, 1.0849325000, 1.3286715000, 1.9720419000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0234538000, 0.0296750000, 0.0468861000, 0.0952136000, 0.2264641000, 0.5764731000, 1.5021114000", \ - "0.0233235000, 0.0295695000, 0.0467683000, 0.0950708000, 0.2267160000, 0.5762625000, 1.5032504000", \ - "0.0233615000, 0.0295956000, 0.0467402000, 0.0950858000, 0.2269195000, 0.5773980000, 1.4986062000", \ - "0.0233401000, 0.0295807000, 0.0467173000, 0.0951059000, 0.2268880000, 0.5754953000, 1.4944493000", \ - "0.0232783000, 0.0294908000, 0.0466830000, 0.0951862000, 0.2268439000, 0.5771051000, 1.4990120000", \ - "0.0232211000, 0.0294908000, 0.0467173000, 0.0950203000, 0.2267361000, 0.5762435000, 1.4959806000", \ - "0.0247078000, 0.0306446000, 0.0474553000, 0.0954508000, 0.2268929000, 0.5760369000, 1.5033154000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013317720, 0.0035472360, 0.0094482210, 0.0251657600, 0.0670301400, 0.1785378000"); - values("-0.005364700, -0.000809700, 0.0075720000, 0.0161353000, 0.0094018000, -0.045470200, -0.219897100", \ - "-0.005330100, -0.000791200, 0.0075746000, 0.0161451000, 0.0093926000, -0.045515100, -0.219925000", \ - "-0.005265200, -0.000743600, 0.0075863000, 0.0160486000, 0.0092480000, -0.045665100, -0.220121000", \ - "-0.005300700, -0.000805500, 0.0074650000, 0.0158790000, 0.0089801000, -0.046019000, -0.220486900", \ - "-0.005351100, -0.000879200, 0.0073470000, 0.0156883000, 0.0087072000, -0.046310500, -0.220799800", \ - "-0.005438400, -0.000964500, 0.0072375000, 0.0155403000, 0.0085264000, -0.046511700, -0.221005000", \ - "-0.005577500, -0.001034100, 0.0073472000, 0.0158864000, 0.0089137000, -0.046058300, -0.220453300"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013317720, 0.0035472360, 0.0094482210, 0.0251657600, 0.0670301400, 0.1785378000"); - values("-0.006816100, -0.004024400, 0.0025082000, 0.0166896000, 0.0465773000, 0.1171631000, 0.2970906000", \ - "-0.006782500, -0.003998100, 0.0025148000, 0.0166617000, 0.0464818000, 0.1171487000, 0.2981241000", \ - "-0.006712600, -0.003942400, 0.0025474000, 0.0166411000, 0.0464491000, 0.1164590000, 0.2971767000", \ - "-0.006750700, -0.004007600, 0.0024230000, 0.0164244000, 0.0461425000, 0.1168243000, 0.2966117000", \ - "-0.006793100, -0.004061800, 0.0023473000, 0.0163132000, 0.0460070000, 0.1165339000, 0.2965898000", \ - "-0.006871800, -0.004135000, 0.0022882000, 0.0162590000, 0.0459102000, 0.1159213000, 0.2973502000", \ - "-0.007001600, -0.004178400, 0.0024238000, 0.0166456000, 0.0463206000, 0.1168597000, 0.2959758000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013317720, 0.0035472360, 0.0094482210, 0.0251657600, 0.0670301400, 0.1785378000"); - values("0.0014115000, 0.0070468000, 0.0177122000, 0.0298212000, 0.0261162000, -0.027081400, -0.200527700", \ - "0.0013774000, 0.0069880000, 0.0176046000, 0.0296182000, 0.0258305000, -0.027461100, -0.200936100", \ - "0.0013236000, 0.0069250000, 0.0175107000, 0.0294775000, 0.0256416000, -0.027647400, -0.201142500", \ - "0.0013253000, 0.0069186000, 0.0175092000, 0.0295000000, 0.0256518000, -0.027659200, -0.201160900", \ - "0.0013364000, 0.0069501000, 0.0175711000, 0.0296639000, 0.0258990000, -0.027401500, -0.200867400", \ - "0.0013340000, 0.0069806000, 0.0176608000, 0.0298278000, 0.0261460000, -0.027057900, -0.200541800", \ - "0.0013708000, 0.0070997000, 0.0179481000, 0.0303826000, 0.0270155000, -0.026003500, -0.199442600"); - } - related_pin : "SET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.1785380000; - max_transition : 1.4985560000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013317700, 0.0035472400, 0.0094482200, 0.0251658000, 0.0670301000, 0.1785380000"); - values("0.4599957000, 0.4765002000, 0.5123019000, 0.5806305000, 0.6900161000, 0.8770491000, 1.2794694000", \ - "0.4645575000, 0.4812232000, 0.5170203000, 0.5854097000, 0.6947338000, 0.8818161000, 1.2843568000", \ - "0.4756043000, 0.4922323000, 0.5280579000, 0.5964362000, 0.7058008000, 0.8928473000, 1.2954543000", \ - "0.5008730000, 0.5173761000, 0.5531693000, 0.6215270000, 0.7308957000, 0.9178982000, 1.3204264000", \ - "0.5481474000, 0.5647277000, 0.6004683000, 0.6687753000, 0.7781589000, 0.9651987000, 1.3679490000", \ - "0.6172850000, 0.6337659000, 0.6695193000, 0.7378092000, 0.8471120000, 1.0341906000, 1.4369788000", \ - "0.7058375000, 0.7224470000, 0.7582029000, 0.8265312000, 0.9359323000, 1.1231086000, 1.5257270000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013317700, 0.0035472400, 0.0094482200, 0.0251658000, 0.0670301000, 0.1785380000"); - values("0.2857217000, 0.2955489000, 0.3166672000, 0.3623690000, 0.4633193000, 0.7079184000, 1.3539818000", \ - "0.2905215000, 0.3001904000, 0.3213068000, 0.3670189000, 0.4679810000, 0.7127152000, 1.3591284000", \ - "0.3015930000, 0.3113544000, 0.3324747000, 0.3781739000, 0.4791214000, 0.7245639000, 1.3702296000", \ - "0.3271592000, 0.3370172000, 0.3581136000, 0.4038199000, 0.5047729000, 0.7493285000, 1.3983859000", \ - "0.3752261000, 0.3849731000, 0.4060981000, 0.4517859000, 0.5527308000, 0.7974887000, 1.4433980000", \ - "0.4437641000, 0.4535338000, 0.4746524000, 0.5203601000, 0.6213366000, 0.8658605000, 1.5127597000", \ - "0.5280139000, 0.5378324000, 0.5589739000, 0.6047152000, 0.7057254000, 0.9501591000, 1.5974526000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013317700, 0.0035472400, 0.0094482200, 0.0251658000, 0.0670301000, 0.1785380000"); - values("0.0755538000, 0.0875596000, 0.1127104000, 0.1539867000, 0.2179917000, 0.3800007000, 0.8548887000", \ - "0.0757584000, 0.0872833000, 0.1126192000, 0.1539314000, 0.2182098000, 0.3794102000, 0.8533871000", \ - "0.0757425000, 0.0872697000, 0.1127046000, 0.1540958000, 0.2181105000, 0.3792270000, 0.8564605000", \ - "0.0755547000, 0.0875634000, 0.1127189000, 0.1541041000, 0.2182953000, 0.3803091000, 0.8552722000", \ - "0.0754121000, 0.0873596000, 0.1126450000, 0.1539058000, 0.2177238000, 0.3799344000, 0.8524809000", \ - "0.0753964000, 0.0873181000, 0.1127167000, 0.1540652000, 0.2182526000, 0.3794575000, 0.8514336000", \ - "0.0755168000, 0.0874505000, 0.1128148000, 0.1540683000, 0.2184009000, 0.3776452000, 0.8549843000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013317700, 0.0035472400, 0.0094482200, 0.0251658000, 0.0670301000, 0.1785380000"); - values("0.0356763000, 0.0434135000, 0.0627722000, 0.1116646000, 0.2342627000, 0.5708221000, 1.4939755000", \ - "0.0358099000, 0.0435219000, 0.0628236000, 0.1117048000, 0.2334039000, 0.5716046000, 1.4983732000", \ - "0.0360635000, 0.0433476000, 0.0627828000, 0.1116820000, 0.2339481000, 0.5711432000, 1.4935401000", \ - "0.0356514000, 0.0434775000, 0.0627867000, 0.1116723000, 0.2333752000, 0.5703967000, 1.4985563000", \ - "0.0357545000, 0.0433669000, 0.0626040000, 0.1116354000, 0.2341467000, 0.5715697000, 1.4971658000", \ - "0.0361281000, 0.0434031000, 0.0628070000, 0.1116934000, 0.2333379000, 0.5695807000, 1.4982473000", \ - "0.0362790000, 0.0435162000, 0.0629201000, 0.1117841000, 0.2333470000, 0.5698842000, 1.4936847000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013317700, 0.0035472400, 0.0094482200, 0.0251658000, 0.0670301000, 0.1785380000"); - values("0.2770823000, 0.2857072000, 0.3042413000, 0.3416572000, 0.4123024000, 0.5636779000, 0.9497406000", \ - "0.2817774000, 0.2904383000, 0.3089619000, 0.3462060000, 0.4165711000, 0.5678557000, 0.9542650000", \ - "0.2949161000, 0.3035447000, 0.3220634000, 0.3592174000, 0.4296656000, 0.5809241000, 0.9673976000", \ - "0.3277286000, 0.3363581000, 0.3548755000, 0.3919612000, 0.4623225000, 0.6135447000, 1.0001548000", \ - "0.4047742000, 0.4135227000, 0.4320133000, 0.4690688000, 0.5393396000, 0.6905185000, 1.0769187000", \ - "0.5688961000, 0.5775693000, 0.5961673000, 0.6331720000, 0.7033478000, 0.8544638000, 1.2407986000", \ - "0.8599675000, 0.8702539000, 0.8918928000, 0.9333002000, 1.0071719000, 1.1601842000, 1.5464852000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013317700, 0.0035472400, 0.0094482200, 0.0251658000, 0.0670301000, 0.1785380000"); - values("0.0324883000, 0.0389002000, 0.0531714000, 0.0834511000, 0.1476708000, 0.3235331000, 0.8304726000", \ - "0.0326521000, 0.0389017000, 0.0531048000, 0.0831884000, 0.1475301000, 0.3242766000, 0.8283336000", \ - "0.0324477000, 0.0389109000, 0.0530106000, 0.0829519000, 0.1469442000, 0.3232270000, 0.8304596000", \ - "0.0324416000, 0.0389084000, 0.0529681000, 0.0828009000, 0.1468755000, 0.3238596000, 0.8322620000", \ - "0.0326283000, 0.0389381000, 0.0527704000, 0.0825294000, 0.1469524000, 0.3242679000, 0.8373361000", \ - "0.0322972000, 0.0386020000, 0.0528029000, 0.0826343000, 0.1469933000, 0.3232976000, 0.8323280000", \ - "0.0408692000, 0.0475736000, 0.0623732000, 0.0916456000, 0.1533078000, 0.3267559000, 0.8305380000"); - } - related_pin : "SET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("SET_B") { - capacitance : 0.0033800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033370000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0051285000, 0.0051618000, 0.0052383000, 0.0052434000, 0.0052552000, 0.0052823000, 0.0053448000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0012463000, 0.0011314000, 0.0008664000, 0.0008679000, 0.0008712000, 0.0008790000, 0.0008968000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0034240000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.131056600, -0.081626300, -0.099530000", \ - "-0.267156900, -0.216505900, -0.234409500", \ - "-0.373765000, -0.323113900, -0.336134800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1484844000, 0.1051576000, 0.1291647000", \ - "0.2821432000, 0.2363750000, 0.2603821000", \ - "0.3875306000, 0.3417624000, 0.3621074000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2071340000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dfsbp_2") { - leakage_power () { - value : 0.0126493000; - when : "CLK&D&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0105890000; - when : "!CLK&!D&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0178765000; - when : "CLK&!D&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0182378000; - when : "CLK&!D&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0187442000; - when : "!CLK&D&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0183926000; - when : "CLK&D&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0119487000; - when : "!CLK&D&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0107073000; - when : "CLK&!D&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0180267000; - when : "!CLK&!D&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0187539000; - when : "CLK&D&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0180903000; - when : "!CLK&!D&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0180071000; - when : "!CLK&D&!SET_B&Q&!Q_N"; - } - area : 30.015200000; - cell_footprint : "sky130_fd_sc_hd__dfsbp"; - cell_leakage_power : 0.0160019600; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017720000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0231749000, 0.0230940000, 0.0229077000, 0.0229508000, 0.0230505000, 0.0232804000, 0.0238103000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0172395000, 0.0171652000, 0.0169939000, 0.0170220000, 0.0170869000, 0.0172366000, 0.0175816000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018520000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1950504000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.5235051000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0023610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0073636000, 0.0072879000, 0.0071134000, 0.0072643000, 0.0076124000, 0.0084149000, 0.0102645000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000134100, -0.000308900, -0.000711700, -0.000571700, -0.000248700, 0.0004957000, 0.0022118000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024880000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0666973000, 0.2455221000, 0.4558900000", \ - "-0.042547500, 0.1252910000, 0.3307760000", \ - "-0.122300100, 0.0382142000, 0.2375957000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0508281000, 0.1564108000, 0.2361634000", \ - "-0.015692100, 0.0813457000, 0.1501120000", \ - "-0.042954400, 0.0504212000, 0.1155254000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.011427700, -0.168279900, -0.342026700", \ - "0.0941550000, -0.060255900, -0.240106100", \ - "0.1653626000, 0.0146139000, -0.166457000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.030959000, -0.127996700, -0.190659500", \ - "0.0294577000, -0.063918000, -0.125360000", \ - "0.0518372000, -0.040317700, -0.101759800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014610630, 0.0042694120, 0.0124757600, 0.0364557500, 0.1065283000, 0.3112892000"); - values("-0.000484700, 0.0032524000, 0.0128973000, 0.0352528000, 0.0828704000, 0.2000387000, 0.5341460000", \ - "-0.000510400, 0.0032142000, 0.0128369000, 0.0351301000, 0.0826856000, 0.1999917000, 0.5332230000", \ - "-0.000565400, 0.0031381000, 0.0127133000, 0.0349090000, 0.0824546000, 0.2002780000, 0.5334005000", \ - "-0.000565700, 0.0031335000, 0.0127048000, 0.0349286000, 0.0825012000, 0.2006688000, 0.5313616000", \ - "-0.000553500, 0.0031759000, 0.0128001000, 0.0350785000, 0.0827917000, 0.2000660000, 0.5312889000", \ - "-0.000510800, 0.0032950000, 0.0130958000, 0.0356855000, 0.0835793000, 0.2009598000, 0.5346542000", \ - "-0.000436700, 0.0035076000, 0.0134882000, 0.0361088000, 0.0845623000, 0.2020548000, 0.5333271000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014610630, 0.0042694120, 0.0124757600, 0.0364557500, 0.1065283000, 0.3112892000"); - values("-0.005501100, -0.000281900, 0.0105554000, 0.0225163000, 0.0094505000, -0.088020500, -0.412718800", \ - "-0.005466900, -0.000256000, 0.0105699000, 0.0225127000, 0.0094274000, -0.088058400, -0.412744600", \ - "-0.005385900, -0.000183500, 0.0106193000, 0.0225355000, 0.0093901000, -0.088162000, -0.412836400", \ - "-0.005416000, -0.000243000, 0.0104955000, 0.0223130000, 0.0090452000, -0.088546600, -0.413263600", \ - "-0.005455100, -0.000292600, 0.0104185000, 0.0221843000, 0.0089152000, -0.088715500, -0.413444100", \ - "-0.005527900, -0.000363400, 0.0103609000, 0.0221701000, 0.0088848000, -0.088687900, -0.413432400", \ - "-0.005638100, -0.000363600, 0.0106033000, 0.0228095000, 0.0095993000, -0.088190300, -0.412848200"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014610630, 0.0042694120, 0.0124757600, 0.0364557500, 0.1065283000, 0.3112892000"); - values("-0.007094200, -0.004312500, 0.0030374000, 0.0216652000, 0.0675618000, 0.1845352000, 0.5122889000", \ - "-0.007060700, -0.004272800, 0.0030613000, 0.0216802000, 0.0675619000, 0.1845486000, 0.5122758000", \ - "-0.006983800, -0.004224800, 0.0030527000, 0.0216113000, 0.0674935000, 0.1842715000, 0.5121572000", \ - "-0.007011700, -0.004271700, 0.0029562000, 0.0214020000, 0.0671452000, 0.1844656000, 0.5146399000", \ - "-0.007057500, -0.004343800, 0.0028534000, 0.0212111000, 0.0668539000, 0.1841557000, 0.5144813000", \ - "-0.007138400, -0.004432100, 0.0027354000, 0.0210573000, 0.0666766000, 0.1836747000, 0.5136660000", \ - "-0.007233100, -0.004391700, 0.0030753000, 0.0212978000, 0.0672892000, 0.1836704000, 0.5144297000"); - } - } - max_capacitance : 0.3112890000; - max_transition : 1.5036500000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014610600, 0.0042694100, 0.0124758000, 0.0364557000, 0.1065280000, 0.3112890000"); - values("0.3933822000, 0.3978355000, 0.4077041000, 0.4279520000, 0.4719731000, 0.5859965000, 0.9146557000", \ - "0.3980572000, 0.4024895000, 0.4123653000, 0.4326370000, 0.4766435000, 0.5906080000, 0.9194083000", \ - "0.4092538000, 0.4137129000, 0.4235629000, 0.4438446000, 0.4878392000, 0.6018565000, 0.9317201000", \ - "0.4348456000, 0.4392929000, 0.4491644000, 0.4694262000, 0.5134358000, 0.6275530000, 0.9560491000", \ - "0.4828660000, 0.4873152000, 0.4971866000, 0.5174153000, 0.5614498000, 0.6755593000, 1.0038729000", \ - "0.5515125000, 0.5559548000, 0.5659064000, 0.5861186000, 0.6300935000, 0.7441894000, 1.0724281000", \ - "0.6360261000, 0.6405074000, 0.6503662000, 0.6706423000, 0.7146104000, 0.8286697000, 1.1579523000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014610600, 0.0042694100, 0.0124758000, 0.0364557000, 0.1065280000, 0.3112890000"); - values("0.6808338000, 0.6886895000, 0.7057437000, 0.7394572000, 0.8210916000, 1.0565737000, 1.7377517000", \ - "0.6855566000, 0.6934997000, 0.7104766000, 0.7441925000, 0.8258316000, 1.0612818000, 1.7425039000", \ - "0.6966421000, 0.7046275000, 0.7217024000, 0.7554920000, 0.8370630000, 1.0727722000, 1.7538390000", \ - "0.7219915000, 0.7298858000, 0.7470078000, 0.7808313000, 0.8623832000, 1.0981497000, 1.7782895000", \ - "0.7694399000, 0.7774464000, 0.7944818000, 0.8282209000, 0.9097852000, 1.1437371000, 1.8259779000", \ - "0.8384154000, 0.8463260000, 0.8634359000, 0.8972399000, 0.9788141000, 1.2131753000, 1.8945325000", \ - "0.9265664000, 0.9345619000, 0.9516157000, 0.9853814000, 1.0668890000, 1.3008191000, 1.9836366000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014610600, 0.0042694100, 0.0124758000, 0.0364557000, 0.1065280000, 0.3112890000"); - values("0.0184301000, 0.0211154000, 0.0276938000, 0.0444739000, 0.0913345000, 0.2381876000, 0.6767421000", \ - "0.0184659000, 0.0211330000, 0.0276790000, 0.0446167000, 0.0913230000, 0.2379206000, 0.6816332000", \ - "0.0184820000, 0.0212801000, 0.0280521000, 0.0444471000, 0.0916680000, 0.2375188000, 0.6814349000", \ - "0.0184337000, 0.0210687000, 0.0276933000, 0.0445430000, 0.0915297000, 0.2375843000, 0.6764345000", \ - "0.0183989000, 0.0214001000, 0.0277063000, 0.0443497000, 0.0914938000, 0.2368331000, 0.6797676000", \ - "0.0183901000, 0.0211407000, 0.0281000000, 0.0444419000, 0.0915636000, 0.2384595000, 0.6829074000", \ - "0.0184517000, 0.0211176000, 0.0276485000, 0.0442426000, 0.0917056000, 0.2379735000, 0.6750502000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014610600, 0.0042694100, 0.0124758000, 0.0364557000, 0.1065280000, 0.3112890000"); - values("0.0348538000, 0.0394744000, 0.0503043000, 0.0807876000, 0.1873163000, 0.5208142000, 1.4916685000", \ - "0.0347509000, 0.0394678000, 0.0502458000, 0.0807174000, 0.1873362000, 0.5208908000, 1.4921474000", \ - "0.0347368000, 0.0394899000, 0.0504090000, 0.0810025000, 0.1868945000, 0.5215572000, 1.4905196000", \ - "0.0346820000, 0.0392715000, 0.0507952000, 0.0810773000, 0.1871523000, 0.5201762000, 1.4904487000", \ - "0.0346946000, 0.0392686000, 0.0507735000, 0.0809848000, 0.1871727000, 0.5199322000, 1.4904276000", \ - "0.0346594000, 0.0393207000, 0.0507796000, 0.0808974000, 0.1869759000, 0.5205825000, 1.4941114000", \ - "0.0347236000, 0.0394538000, 0.0508003000, 0.0810185000, 0.1870867000, 0.5193846000, 1.4942225000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014610600, 0.0042694100, 0.0124758000, 0.0364557000, 0.1065280000, 0.3112890000"); - values("0.3747343000, 0.3798757000, 0.3921703000, 0.4219259000, 0.5029383000, 0.7366808000, 1.4210519000", \ - "0.3795791000, 0.3847727000, 0.3971378000, 0.4268080000, 0.5079557000, 0.7420309000, 1.4239623000", \ - "0.3922160000, 0.3974032000, 0.4097315000, 0.4394160000, 0.5205583000, 0.7544725000, 1.4409431000", \ - "0.4254745000, 0.4308178000, 0.4430250000, 0.4726997000, 0.5538611000, 0.7875714000, 1.4724022000", \ - "0.5029843000, 0.5082807000, 0.5205399000, 0.5502082000, 0.6313814000, 0.8648647000, 1.5487787000", \ - "0.6687316000, 0.6740316000, 0.6862776000, 0.7159468000, 0.7971364000, 1.0314160000, 1.7132282000", \ - "0.9796592000, 0.9851712000, 0.9978552000, 1.0277270000, 1.1088412000, 1.3427676000, 2.0260933000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014610600, 0.0042694100, 0.0124758000, 0.0364557000, 0.1065280000, 0.3112890000"); - values("0.0210155000, 0.0250404000, 0.0366712000, 0.0727388000, 0.1853627000, 0.5189212000, 1.4992769000", \ - "0.0211005000, 0.0252754000, 0.0367227000, 0.0728678000, 0.1850406000, 0.5190093000, 1.5036501000", \ - "0.0210659000, 0.0252377000, 0.0366736000, 0.0728732000, 0.1854442000, 0.5178273000, 1.5031453000", \ - "0.0211968000, 0.0251402000, 0.0366498000, 0.0727942000, 0.1852535000, 0.5172338000, 1.4920513000", \ - "0.0209573000, 0.0251840000, 0.0366511000, 0.0728277000, 0.1854752000, 0.5177938000, 1.4955265000", \ - "0.0211874000, 0.0251598000, 0.0366155000, 0.0728253000, 0.1855020000, 0.5182805000, 1.5027587000", \ - "0.0226282000, 0.0266095000, 0.0380504000, 0.0734738000, 0.1853195000, 0.5184406000, 1.4968459000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014674390, 0.0043067540, 0.0126398000, 0.0370962600, 0.1088730000, 0.3195289000"); - values("-0.005469100, -0.000223900, 0.0105004000, 0.0216502000, 0.0079690000, -0.090669100, -0.424271100", \ - "-0.005436500, -0.000181700, 0.0105364000, 0.0216923000, 0.0079390000, -0.090679200, -0.424342200", \ - "-0.005361900, -0.000145200, 0.0105142000, 0.0216022000, 0.0078660000, -0.090823500, -0.424529800", \ - "-0.005388300, -0.000183400, 0.0104397000, 0.0214157000, 0.0075996000, -0.091165800, -0.424814200", \ - "-0.005429900, -0.000240700, 0.0103174000, 0.0212229000, 0.0073346000, -0.091479200, -0.425183700", \ - "-0.005509700, -0.000333600, 0.0102071000, 0.0210799000, 0.0070617000, -0.091710100, -0.425408200", \ - "-0.005649400, -0.000424600, 0.0102255000, 0.0213143000, 0.0075319000, -0.091201300, -0.424855300"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014674390, 0.0043067540, 0.0126398000, 0.0370962600, 0.1088730000, 0.3195289000"); - values("-0.007019500, -0.004091700, 0.0035816000, 0.0225308000, 0.0675393000, 0.1858401000, 0.5274809000", \ - "-0.006985500, -0.004062700, 0.0035988000, 0.0225184000, 0.0676030000, 0.1866311000, 0.5256110000", \ - "-0.006906500, -0.003988600, 0.0036450000, 0.0225469000, 0.0676405000, 0.1856760000, 0.5279777000", \ - "-0.006936300, -0.004052400, 0.0035109000, 0.0223216000, 0.0672022000, 0.1854702000, 0.5248166000", \ - "-0.006975500, -0.004097300, 0.0034329000, 0.0221908000, 0.0669430000, 0.1853449000, 0.5268493000", \ - "-0.007048900, -0.004169700, 0.0033944000, 0.0221793000, 0.0669733000, 0.1853368000, 0.5274741000", \ - "-0.007142100, -0.004118000, 0.0037808000, 0.0228177000, 0.0674569000, 0.1861322000, 0.5243171000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014674390, 0.0043067540, 0.0126398000, 0.0370962600, 0.1088730000, 0.3195289000"); - values("0.0010652000, 0.0071695000, 0.0200490000, 0.0352620000, 0.0244904000, -0.073425100, -0.406663300", \ - "0.0010340000, 0.0071341000, 0.0199872000, 0.0351250000, 0.0243068000, -0.073647200, -0.406887200", \ - "0.0009830000, 0.0070559000, 0.0198530000, 0.0349366000, 0.0240481000, -0.073990300, -0.407247300", \ - "0.0009800000, 0.0070538000, 0.0198475000, 0.0349090000, 0.0240306000, -0.074008500, -0.407274800", \ - "0.0009917000, 0.0070952000, 0.0199273000, 0.0351019000, 0.0243193000, -0.073676500, -0.406941900", \ - "0.0010367000, 0.0072195000, 0.0202547000, 0.0357155000, 0.0252560000, -0.072563300, -0.405806500", \ - "0.0010711000, 0.0073038000, 0.0204670000, 0.0360743000, 0.0259352000, -0.071642400, -0.404868900"); - } - related_pin : "SET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.3195290000; - max_transition : 1.5023970000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014674400, 0.0043067500, 0.0126398000, 0.0370963000, 0.1088730000, 0.3195290000"); - values("0.5438694000, 0.5578763000, 0.5905484000, 0.6585216000, 0.7780779000, 0.9716022000, 1.3726251000", \ - "0.5486649000, 0.5627609000, 0.5953316000, 0.6632918000, 0.7828456000, 0.9762953000, 1.3773709000", \ - "0.5596740000, 0.5737975000, 0.6065858000, 0.6743817000, 0.7939353000, 0.9873855000, 1.3881838000", \ - "0.5851078000, 0.5991783000, 0.6317889000, 0.6997250000, 0.8192730000, 1.0127671000, 1.4138126000", \ - "0.6325898000, 0.6467730000, 0.6792606000, 0.7471930000, 0.8667532000, 1.0602723000, 1.4613523000", \ - "0.7013594000, 0.7154760000, 0.7481966000, 0.8161041000, 0.9356391000, 1.1291088000, 1.5301125000", \ - "0.7897414000, 0.8038919000, 0.8364584000, 0.9044584000, 1.0240482000, 1.2176840000, 1.6186351000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014674400, 0.0043067500, 0.0126398000, 0.0370963000, 0.1088730000, 0.3195290000"); - values("0.3089188000, 0.3176469000, 0.3379077000, 0.3818189000, 0.4786935000, 0.7166961000, 1.4024799000", \ - "0.3135780000, 0.3223272000, 0.3425720000, 0.3864833000, 0.4833533000, 0.7216078000, 1.4054828000", \ - "0.3247302000, 0.3335487000, 0.3538448000, 0.3976789000, 0.4945334000, 0.7331045000, 1.4142223000", \ - "0.3503816000, 0.3591097000, 0.3793709000, 0.4232801000, 0.5201530000, 0.7584580000, 1.4415986000", \ - "0.3983443000, 0.4071838000, 0.4274175000, 0.4713021000, 0.5681625000, 0.8064789000, 1.4893902000", \ - "0.4668613000, 0.4757977000, 0.4961587000, 0.5399648000, 0.6368017000, 0.8754006000, 1.5571452000", \ - "0.5512989000, 0.5602588000, 0.5805239000, 0.6244662000, 0.7213766000, 0.9600100000, 1.6422775000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014674400, 0.0043067500, 0.0126398000, 0.0370963000, 0.1088730000, 0.3195290000"); - values("0.0811856000, 0.0905232000, 0.1130463000, 0.1576296000, 0.2216182000, 0.3602329000, 0.7870289000", \ - "0.0814516000, 0.0902204000, 0.1130157000, 0.1576674000, 0.2217887000, 0.3608109000, 0.7869710000", \ - "0.0811061000, 0.0904722000, 0.1130551000, 0.1577591000, 0.2219082000, 0.3607986000, 0.7887923000", \ - "0.0814701000, 0.0903125000, 0.1128119000, 0.1577959000, 0.2217491000, 0.3589690000, 0.7883575000", \ - "0.0808597000, 0.0902091000, 0.1131601000, 0.1577339000, 0.2217078000, 0.3586035000, 0.7869086000", \ - "0.0808500000, 0.0903809000, 0.1126602000, 0.1575997000, 0.2217356000, 0.3606382000, 0.7886747000", \ - "0.0810260000, 0.0906110000, 0.1133479000, 0.1578437000, 0.2216881000, 0.3584223000, 0.7886702000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014674400, 0.0043067500, 0.0126398000, 0.0370963000, 0.1088730000, 0.3195290000"); - values("0.0347659000, 0.0414292000, 0.0574272000, 0.0984751000, 0.2043309000, 0.5218038000, 1.4984182000", \ - "0.0348178000, 0.0414975000, 0.0573998000, 0.0984154000, 0.2043523000, 0.5227393000, 1.4953765000", \ - "0.0348169000, 0.0413312000, 0.0574684000, 0.0982237000, 0.2043490000, 0.5227281000, 1.5008311000", \ - "0.0347681000, 0.0414305000, 0.0574265000, 0.0984638000, 0.2043959000, 0.5212804000, 1.5008028000", \ - "0.0347161000, 0.0412743000, 0.0575174000, 0.0983960000, 0.2050465000, 0.5233771000, 1.5023967000", \ - "0.0349920000, 0.0414474000, 0.0574222000, 0.0983008000, 0.2042077000, 0.5218723000, 1.4980639000", \ - "0.0349843000, 0.0416014000, 0.0575148000, 0.0983549000, 0.2044382000, 0.5208713000, 1.4960374000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014674400, 0.0043067500, 0.0126398000, 0.0370963000, 0.1088730000, 0.3195290000"); - values("0.2934971000, 0.3010244000, 0.3182646000, 0.3535854000, 0.4217937000, 0.5603069000, 0.9234535000", \ - "0.2983694000, 0.3059095000, 0.3231275000, 0.3584472000, 0.4264147000, 0.5648534000, 0.9279058000", \ - "0.3111401000, 0.3187259000, 0.3358468000, 0.3711930000, 0.4389735000, 0.5773578000, 0.9402407000", \ - "0.3444356000, 0.3519660000, 0.3692218000, 0.4044229000, 0.4721321000, 0.6105018000, 0.9736575000", \ - "0.4219771000, 0.4295152000, 0.4467105000, 0.4819984000, 0.5496121000, 0.6879269000, 1.0517450000", \ - "0.5879541000, 0.5953831000, 0.6128618000, 0.6476951000, 0.7152421000, 0.8534967000, 1.2164091000", \ - "0.8894431000, 0.8980811000, 0.9180621000, 0.9582529000, 1.0310388000, 1.1723434000, 1.5356318000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014674400, 0.0043067500, 0.0126398000, 0.0370963000, 0.1088730000, 0.3195290000"); - values("0.0323085000, 0.0374815000, 0.0493765000, 0.0752876000, 0.1286156000, 0.2732698000, 0.7413712000", \ - "0.0322676000, 0.0374301000, 0.0493122000, 0.0750766000, 0.1285007000, 0.2737417000, 0.7464739000", \ - "0.0321140000, 0.0371499000, 0.0489434000, 0.0751352000, 0.1284138000, 0.2732099000, 0.7406054000", \ - "0.0319150000, 0.0374852000, 0.0493579000, 0.0749415000, 0.1279695000, 0.2728499000, 0.7412638000", \ - "0.0321767000, 0.0373966000, 0.0493113000, 0.0748237000, 0.1280700000, 0.2728161000, 0.7429482000", \ - "0.0318148000, 0.0371542000, 0.0491004000, 0.0747689000, 0.1276882000, 0.2727171000, 0.7418636000", \ - "0.0403265000, 0.0460208000, 0.0592118000, 0.0856873000, 0.1362141000, 0.2759649000, 0.7483257000"); - } - related_pin : "SET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("SET_B") { - capacitance : 0.0034010000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033650000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0051146000, 0.0051522000, 0.0052387000, 0.0052400000, 0.0052429000, 0.0052497000, 0.0052653000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0012802000, 0.0012374000, 0.0011384000, 0.0011269000, 0.0011002000, 0.0010388000, 0.0008972000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0034370000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.128615200, -0.077964200, -0.093426400", \ - "-0.265936200, -0.212843800, -0.229526700", \ - "-0.372544300, -0.320672500, -0.332472600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1484844000, 0.1051576000, 0.1303854000", \ - "0.2821432000, 0.2363750000, 0.2603821000", \ - "0.3875306000, 0.3417624000, 0.3608867000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2598625000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dfstp_1") { - leakage_power () { - value : 0.0132377000; - when : "CLK&D&SET_B&!Q"; - } - leakage_power () { - value : 0.0111782000; - when : "!CLK&!D&SET_B&!Q"; - } - leakage_power () { - value : 0.0085129000; - when : "CLK&!D&!SET_B&Q"; - } - leakage_power () { - value : 0.0088743000; - when : "CLK&!D&SET_B&Q"; - } - leakage_power () { - value : 0.0093814000; - when : "!CLK&D&SET_B&Q"; - } - leakage_power () { - value : 0.0090289000; - when : "CLK&D&!SET_B&Q"; - } - leakage_power () { - value : 0.0125383000; - when : "!CLK&D&SET_B&!Q"; - } - leakage_power () { - value : 0.0112962000; - when : "CLK&!D&SET_B&!Q"; - } - leakage_power () { - value : 0.0086638000; - when : "!CLK&!D&!SET_B&Q"; - } - leakage_power () { - value : 0.0093902000; - when : "CLK&D&SET_B&Q"; - } - leakage_power () { - value : 0.0087275000; - when : "!CLK&!D&SET_B&Q"; - } - leakage_power () { - value : 0.0086434000; - when : "!CLK&D&!SET_B&Q"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__dfstp"; - cell_leakage_power : 0.0099560720; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017760000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016940000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0232874000, 0.0232031000, 0.0230086000, 0.0230599000, 0.0231782000, 0.0234508000, 0.0240793000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0172651000, 0.0171931000, 0.0170272000, 0.0170437000, 0.0170817000, 0.0171697000, 0.0173723000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018570000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1917548000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3268717000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0023610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0073654000, 0.0072897000, 0.0071151000, 0.0072662000, 0.0076142000, 0.0084168000, 0.0102668000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-8.7227731e-05, -0.000282000, -0.000730900, -0.000590800, -0.000267700, 0.0004769000, 0.0021934000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024880000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0642559000, 0.2406393000, 0.4510072000", \ - "-0.044988900, 0.1228496000, 0.3258932000", \ - "-0.124741500, 0.0345521000, 0.2339336000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0520488000, 0.1576315000, 0.2373841000", \ - "-0.015692100, 0.0813457000, 0.1501120000", \ - "-0.042954400, 0.0504212000, 0.1155254000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.010207000, -0.165838500, -0.334702500", \ - "0.0965964000, -0.056593700, -0.236444000", \ - "0.1690247000, 0.0194967000, -0.161574200"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.032179700, -0.130438200, -0.195542300", \ - "0.0282370000, -0.065138700, -0.126580700", \ - "0.0506165000, -0.041538400, -0.104201200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0539824000, 0.0556098000, 0.0595558000, 0.0689472000, 0.0932006000, 0.1559491000, 0.3242016000", \ - "0.0537387000, 0.0553762000, 0.0593107000, 0.0687216000, 0.0929182000, 0.1563345000, 0.3235540000", \ - "0.0533628000, 0.0550022000, 0.0589257000, 0.0683893000, 0.0923000000, 0.1552907000, 0.3233905000", \ - "0.0533069000, 0.0549440000, 0.0588589000, 0.0682703000, 0.0922483000, 0.1551841000, 0.3221753000", \ - "0.0537780000, 0.0554320000, 0.0593318000, 0.0687223000, 0.0926999000, 0.1565909000, 0.3228509000", \ - "0.0537722000, 0.0553958000, 0.0593270000, 0.0687235000, 0.0927932000, 0.1556991000, 0.3227492000", \ - "0.0549835000, 0.0563408000, 0.0598838000, 0.0690969000, 0.0936927000, 0.1569053000, 0.3247273000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0242767000, 0.0232424000, 0.0204310000, 0.0118600000, -0.012107100, -0.075911700, -0.244298800", \ - "0.0242457000, 0.0232091000, 0.0203718000, 0.0118221000, -0.012146100, -0.075958300, -0.244323900", \ - "0.0242553000, 0.0232237000, 0.0203942000, 0.0118320000, -0.012134100, -0.075935700, -0.244336500", \ - "0.0238203000, 0.0227947000, 0.0199659000, 0.0114081000, -0.012560900, -0.076359000, -0.244760000", \ - "0.0235309000, 0.0225012000, 0.0196890000, 0.0111266000, -0.012839800, -0.076654600, -0.245022700", \ - "0.0236003000, 0.0225572000, 0.0197219000, 0.0111695000, -0.012794100, -0.076609100, -0.245006700", \ - "0.0256415000, 0.0244081000, 0.0210526000, 0.0120432000, -0.012111100, -0.076061600, -0.244446300"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0243577000, 0.0259624000, 0.0302741000, 0.0405421000, 0.0651145000, 0.1279971000, 0.2960108000", \ - "0.0243558000, 0.0259959000, 0.0302665000, 0.0405388000, 0.0652136000, 0.1280657000, 0.2956460000", \ - "0.0242012000, 0.0258356000, 0.0300811000, 0.0403928000, 0.0649896000, 0.1285049000, 0.2954306000", \ - "0.0238597000, 0.0254641000, 0.0297711000, 0.0400112000, 0.0646971000, 0.1275611000, 0.2952407000", \ - "0.0234985000, 0.0251206000, 0.0293752000, 0.0396562000, 0.0644558000, 0.1272163000, 0.2952615000", \ - "0.0232904000, 0.0249112000, 0.0292009000, 0.0394525000, 0.0641169000, 0.1269758000, 0.2945420000", \ - "0.0265701000, 0.0279158000, 0.0314608000, 0.0406705000, 0.0646751000, 0.1274180000, 0.2940128000"); - } - } - max_capacitance : 0.1675510000; - max_transition : 1.5053390000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.3205806000, 0.3260139000, 0.3374271000, 0.3610056000, 0.4140508000, 0.5488554000, 0.9031145000", \ - "0.3252190000, 0.3306410000, 0.3420113000, 0.3656414000, 0.4187105000, 0.5531316000, 0.9065623000", \ - "0.3364000000, 0.3418019000, 0.3531689000, 0.3767932000, 0.4298646000, 0.5642479000, 0.9172703000", \ - "0.3620546000, 0.3674893000, 0.3788806000, 0.4024633000, 0.4555086000, 0.5897138000, 0.9435008000", \ - "0.4100392000, 0.4154410000, 0.4267980000, 0.4504388000, 0.5034891000, 0.6378230000, 0.9912174000", \ - "0.4786396000, 0.4840577000, 0.4954219000, 0.5190611000, 0.5721408000, 0.7065581000, 1.0598695000", \ - "0.5629012000, 0.5683209000, 0.5796971000, 0.6032856000, 0.6563791000, 0.7907307000, 1.1441933000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.4593320000, 0.4676287000, 0.4846373000, 0.5216755000, 0.6150914000, 0.8596938000, 1.5037713000", \ - "0.4640982000, 0.4723930000, 0.4892892000, 0.5264076000, 0.6197741000, 0.8644291000, 1.5085977000", \ - "0.4750744000, 0.4834026000, 0.5002925000, 0.5374015000, 0.6313590000, 0.8750576000, 1.5184991000", \ - "0.5000088000, 0.5082834000, 0.5252947000, 0.5622764000, 0.6558195000, 0.9003243000, 1.5443362000", \ - "0.5473708000, 0.5557716000, 0.5726555000, 0.6097141000, 0.7035386000, 0.9473405000, 1.5918359000", \ - "0.6164346000, 0.6247037000, 0.6417227000, 0.6787338000, 0.7720781000, 1.0168353000, 1.6611075000", \ - "0.7061959000, 0.7146088000, 0.7315004000, 0.7685605000, 0.8623794000, 1.1063159000, 1.7493716000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0179382000, 0.0220275000, 0.0314915000, 0.0552886000, 0.1193376000, 0.2964892000, 0.7650560000", \ - "0.0176687000, 0.0219604000, 0.0315562000, 0.0552213000, 0.1194744000, 0.2963542000, 0.7684670000", \ - "0.0176715000, 0.0219627000, 0.0315403000, 0.0552586000, 0.1194646000, 0.2964927000, 0.7700125000", \ - "0.0177061000, 0.0218118000, 0.0315460000, 0.0554199000, 0.1193899000, 0.2984558000, 0.7746160000", \ - "0.0177072000, 0.0220126000, 0.0314901000, 0.0552981000, 0.1194482000, 0.2961811000, 0.7674588000", \ - "0.0176632000, 0.0220261000, 0.0315744000, 0.0549172000, 0.1198710000, 0.2971095000, 0.7665488000", \ - "0.0179653000, 0.0219900000, 0.0313149000, 0.0554320000, 0.1195163000, 0.2963006000, 0.7626230000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0309407000, 0.0363109000, 0.0517074000, 0.0969500000, 0.2275347000, 0.5750482000, 1.4959300000", \ - "0.0305842000, 0.0364581000, 0.0516029000, 0.0967728000, 0.2281433000, 0.5739050000, 1.4965666000", \ - "0.0305487000, 0.0363371000, 0.0516338000, 0.0966612000, 0.2276956000, 0.5753160000, 1.4951763000", \ - "0.0310595000, 0.0363269000, 0.0516291000, 0.0967310000, 0.2283064000, 0.5742352000, 1.4949295000", \ - "0.0305236000, 0.0363790000, 0.0516510000, 0.0969156000, 0.2276615000, 0.5753209000, 1.4962842000", \ - "0.0310594000, 0.0367780000, 0.0516689000, 0.0966530000, 0.2277468000, 0.5746149000, 1.4984531000", \ - "0.0305585000, 0.0364039000, 0.0516714000, 0.0969244000, 0.2277041000, 0.5742100000, 1.4989154000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.3120374000, 0.3185968000, 0.3336050000, 0.3697329000, 0.4628333000, 0.7066601000, 1.3537745000", \ - "0.3166351000, 0.3231850000, 0.3382059000, 0.3743958000, 0.4675056000, 0.7123689000, 1.3552146000", \ - "0.3296823000, 0.3362350000, 0.3511940000, 0.3873753000, 0.4803981000, 0.7243533000, 1.3680229000", \ - "0.3623515000, 0.3688936000, 0.3839172000, 0.4200559000, 0.5131769000, 0.7577744000, 1.4011426000", \ - "0.4381918000, 0.4447354000, 0.4597000000, 0.4959049000, 0.5889398000, 0.8328419000, 1.4796407000", \ - "0.6010942000, 0.6076186000, 0.6226007000, 0.6588295000, 0.7517357000, 0.9960196000, 1.6398604000", \ - "0.8872225000, 0.8938859000, 0.9090711000, 0.9454166000, 1.0385339000, 1.2822131000, 1.9277580000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0220898000, 0.0284290000, 0.0458262000, 0.0945578000, 0.2259214000, 0.5755764000, 1.5029805000", \ - "0.0220789000, 0.0284151000, 0.0457524000, 0.0945877000, 0.2258230000, 0.5766276000, 1.5039351000", \ - "0.0220373000, 0.0283879000, 0.0457337000, 0.0944702000, 0.2260386000, 0.5759497000, 1.5053391000", \ - "0.0219267000, 0.0283442000, 0.0457894000, 0.0945810000, 0.2258483000, 0.5755924000, 1.5005019000", \ - "0.0219230000, 0.0283187000, 0.0457878000, 0.0943555000, 0.2260241000, 0.5759168000, 1.4947295000", \ - "0.0219222000, 0.0282900000, 0.0457462000, 0.0944412000, 0.2264859000, 0.5746009000, 1.4980671000", \ - "0.0230372000, 0.0292681000, 0.0463250000, 0.0948709000, 0.2268814000, 0.5741250000, 1.5020211000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("SET_B") { - capacitance : 0.0034020000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033640000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0051299000, 0.0051636000, 0.0052414000, 0.0052464000, 0.0052577000, 0.0052836000, 0.0053437000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0012188000, 0.0011928000, 0.0011329000, 0.0011236000, 0.0011023000, 0.0010531000, 0.0009400000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0034390000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.131056600, -0.084067700, -0.104412800", \ - "-0.268377600, -0.218947300, -0.239292300", \ - "-0.374985700, -0.325555300, -0.341017600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1484844000, 0.1051576000, 0.1303854000", \ - "0.2833639000, 0.2375957000, 0.2616029000", \ - "0.3887513000, 0.3429831000, 0.3633281000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2148236000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dfstp_2") { - leakage_power () { - value : 0.0120992000; - when : "CLK&D&SET_B&!Q"; - } - leakage_power () { - value : 0.0100389000; - when : "!CLK&!D&SET_B&!Q"; - } - leakage_power () { - value : 0.0099227000; - when : "CLK&!D&!SET_B&Q"; - } - leakage_power () { - value : 0.0102841000; - when : "CLK&!D&SET_B&Q"; - } - leakage_power () { - value : 0.0107911000; - when : "!CLK&D&SET_B&Q"; - } - leakage_power () { - value : 0.0104389000; - when : "CLK&D&!SET_B&Q"; - } - leakage_power () { - value : 0.0113986000; - when : "!CLK&D&SET_B&!Q"; - } - leakage_power () { - value : 0.0101572000; - when : "CLK&!D&SET_B&!Q"; - } - leakage_power () { - value : 0.0100733000; - when : "!CLK&!D&!SET_B&Q"; - } - leakage_power () { - value : 0.0108003000; - when : "CLK&D&SET_B&Q"; - } - leakage_power () { - value : 0.0101376000; - when : "!CLK&!D&SET_B&Q"; - } - leakage_power () { - value : 0.0100532000; - when : "!CLK&D&!SET_B&Q"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__dfstp"; - cell_leakage_power : 0.0105162700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017930000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0017090000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0234358000, 0.0233531000, 0.0231625000, 0.0232085000, 0.0233144000, 0.0235586000, 0.0241217000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0173823000, 0.0173101000, 0.0171437000, 0.0171752000, 0.0172477000, 0.0174150000, 0.0178006000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018760000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1961489000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3565315000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0023610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0073562000, 0.0072832000, 0.0071150000, 0.0072679000, 0.0076203000, 0.0084329000, 0.0103059000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000124700, -0.000308900, -0.000733600, -0.000592500, -0.000267400, 0.0004822000, 0.0022101000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024880000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0666973000, 0.2443014000, 0.4546693000", \ - "-0.043768200, 0.1240703000, 0.3283346000", \ - "-0.125962200, 0.0345521000, 0.2351543000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0520488000, 0.1576315000, 0.2386048000", \ - "-0.015692100, 0.0813457000, 0.1501120000", \ - "-0.045395800, 0.0492005000, 0.1143047000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.005324200, -0.156072900, -0.318833300", \ - "0.1039206000, -0.048048800, -0.223016300", \ - "0.1763490000, 0.0280417000, -0.148146500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.029738300, -0.126776000, -0.189438800", \ - "0.0318991000, -0.061476600, -0.124139300", \ - "0.0542786000, -0.037876300, -0.099318400"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014610630, 0.0042694120, 0.0124757600, 0.0364557500, 0.1065283000, 0.3112892000"); - values("0.0600722000, 0.0619209000, 0.0672085000, 0.0811812000, 0.1199086000, 0.2331948000, 0.5623304000", \ - "0.0596831000, 0.0615436000, 0.0667785000, 0.0807750000, 0.1194241000, 0.2327847000, 0.5621371000", \ - "0.0593171000, 0.0611574000, 0.0663783000, 0.0803143000, 0.1191189000, 0.2322501000, 0.5618529000", \ - "0.0594674000, 0.0613074000, 0.0665313000, 0.0804713000, 0.1191194000, 0.2313217000, 0.5606111000", \ - "0.0597990000, 0.0616391000, 0.0668603000, 0.0808113000, 0.1197739000, 0.2314769000, 0.5601247000", \ - "0.0598351000, 0.0616777000, 0.0668897000, 0.0807989000, 0.1195111000, 0.2316005000, 0.5625592000", \ - "0.0620522000, 0.0636835000, 0.0683841000, 0.0817720000, 0.1211944000, 0.2325352000, 0.5614315000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014610630, 0.0042694120, 0.0124757600, 0.0364557500, 0.1065283000, 0.3112892000"); - values("0.0301161000, 0.0287396000, 0.0249888000, 0.0131732000, -0.024771700, -0.138001400, -0.469584000", \ - "0.0301050000, 0.0287213000, 0.0249630000, 0.0131838000, -0.024792300, -0.138005100, -0.469606900", \ - "0.0300878000, 0.0286836000, 0.0249071000, 0.0131352000, -0.024828100, -0.138057400, -0.469623600", \ - "0.0296484000, 0.0282672000, 0.0244844000, 0.0127098000, -0.025258700, -0.138472500, -0.470054600", \ - "0.0293391000, 0.0279403000, 0.0241866000, 0.0124182000, -0.025555200, -0.138770200, -0.470369300", \ - "0.0292275000, 0.0278643000, 0.0240732000, 0.0123187000, -0.025638200, -0.138850500, -0.470454500", \ - "0.0318578000, 0.0304202000, 0.0261683000, 0.0133623000, -0.025080000, -0.138500500, -0.470096800"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014610630, 0.0042694120, 0.0124757600, 0.0364557500, 0.1065283000, 0.3112892000"); - values("0.0307645000, 0.0324699000, 0.0377242000, 0.0524240000, 0.0921383000, 0.2044172000, 0.5314663000", \ - "0.0307570000, 0.0324665000, 0.0377357000, 0.0524453000, 0.0921334000, 0.2053296000, 0.5347665000", \ - "0.0306073000, 0.0323072000, 0.0375185000, 0.0522513000, 0.0921247000, 0.2042337000, 0.5340674000", \ - "0.0302206000, 0.0319717000, 0.0372001000, 0.0519120000, 0.0916753000, 0.2044722000, 0.5341346000", \ - "0.0298344000, 0.0315809000, 0.0367694000, 0.0515499000, 0.0912980000, 0.2033213000, 0.5340969000", \ - "0.0295243000, 0.0312639000, 0.0364878000, 0.0511719000, 0.0909711000, 0.2030188000, 0.5336223000", \ - "0.0331754000, 0.0347459000, 0.0393766000, 0.0528241000, 0.0914200000, 0.2035425000, 0.5310531000"); - } - } - max_capacitance : 0.3112890000; - max_transition : 1.5034140000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014610600, 0.0042694100, 0.0124758000, 0.0364557000, 0.1065280000, 0.3112890000"); - values("0.3313717000, 0.3354600000, 0.3447309000, 0.3640655000, 0.4070862000, 0.5206822000, 0.8489545000", \ - "0.3360316000, 0.3401235000, 0.3493851000, 0.3687305000, 0.4117633000, 0.5252304000, 0.8552052000", \ - "0.3471954000, 0.3512992000, 0.3605439000, 0.3798925000, 0.4229402000, 0.5365371000, 0.8651256000", \ - "0.3728473000, 0.3769643000, 0.3861877000, 0.4055332000, 0.4485955000, 0.5621738000, 0.8901077000", \ - "0.4211551000, 0.4252463000, 0.4345112000, 0.4538541000, 0.4968916000, 0.6103418000, 0.9402840000", \ - "0.4903756000, 0.4944678000, 0.5037348000, 0.5230809000, 0.5661199000, 0.6797263000, 1.0081777000", \ - "0.5755733000, 0.5796580000, 0.5889308000, 0.6082729000, 0.6513026000, 0.7649325000, 1.0933204000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014610600, 0.0042694100, 0.0124758000, 0.0364557000, 0.1065280000, 0.3112890000"); - values("0.4806466000, 0.4871936000, 0.5016070000, 0.5326479000, 0.6137829000, 0.8484091000, 1.5299739000", \ - "0.4854916000, 0.4920430000, 0.5064488000, 0.5375071000, 0.6185313000, 0.8528893000, 1.5363216000", \ - "0.4964604000, 0.5030097000, 0.5174103000, 0.5484670000, 0.6294834000, 0.8641394000, 1.5457943000", \ - "0.5214898000, 0.5281496000, 0.5425058000, 0.5734627000, 0.6547854000, 0.8887093000, 1.5742843000", \ - "0.5691015000, 0.5757366000, 0.5900680000, 0.6211252000, 0.7022705000, 0.9364802000, 1.6199786000", \ - "0.6386289000, 0.6452552000, 0.6596698000, 0.6905914000, 0.7719536000, 1.0060000000, 1.6894388000", \ - "0.7290930000, 0.7356518000, 0.7500633000, 0.7811343000, 0.8621720000, 1.0967149000, 1.7795531000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014610600, 0.0042694100, 0.0124758000, 0.0364557000, 0.1065280000, 0.3112890000"); - values("0.0162528000, 0.0187835000, 0.0254020000, 0.0422814000, 0.0899093000, 0.2374522000, 0.6850271000", \ - "0.0163797000, 0.0187918000, 0.0255546000, 0.0423518000, 0.0898235000, 0.2375093000, 0.6764913000", \ - "0.0164268000, 0.0187340000, 0.0256088000, 0.0423668000, 0.0898954000, 0.2371721000, 0.6828656000", \ - "0.0161629000, 0.0187965000, 0.0253812000, 0.0424056000, 0.0900060000, 0.2374597000, 0.6841462000", \ - "0.0163432000, 0.0187464000, 0.0255047000, 0.0423365000, 0.0898150000, 0.2372503000, 0.6766835000", \ - "0.0163807000, 0.0188041000, 0.0255440000, 0.0419899000, 0.0899561000, 0.2375844000, 0.6833507000", \ - "0.0162659000, 0.0188383000, 0.0255096000, 0.0424547000, 0.0896279000, 0.2376065000, 0.6737224000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014610600, 0.0042694100, 0.0124758000, 0.0364557000, 0.1065280000, 0.3112890000"); - values("0.0276240000, 0.0319094000, 0.0424851000, 0.0754458000, 0.1860291000, 0.5173653000, 1.4968061000", \ - "0.0276645000, 0.0316040000, 0.0423913000, 0.0753639000, 0.1857900000, 0.5175180000, 1.4960390000", \ - "0.0277096000, 0.0316476000, 0.0424149000, 0.0753633000, 0.1856016000, 0.5173938000, 1.4965001000", \ - "0.0275151000, 0.0318242000, 0.0425471000, 0.0753474000, 0.1862248000, 0.5179916000, 1.4978147000", \ - "0.0275939000, 0.0317006000, 0.0425353000, 0.0751638000, 0.1857163000, 0.5173839000, 1.4955797000", \ - "0.0275816000, 0.0318594000, 0.0424966000, 0.0753544000, 0.1859170000, 0.5176954000, 1.4948774000", \ - "0.0277280000, 0.0316092000, 0.0424286000, 0.0753448000, 0.1855750000, 0.5179911000, 1.4941721000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014610600, 0.0042694100, 0.0124758000, 0.0364557000, 0.1065280000, 0.3112890000"); - values("0.3148366000, 0.3196613000, 0.3314483000, 0.3605709000, 0.4415176000, 0.6757294000, 1.3586036000", \ - "0.3195025000, 0.3242722000, 0.3359878000, 0.3651898000, 0.4460386000, 0.6802150000, 1.3628028000", \ - "0.3323510000, 0.3371728000, 0.3488539000, 0.3780208000, 0.4590651000, 0.6934859000, 1.3764661000", \ - "0.3652767000, 0.3700523000, 0.3817408000, 0.4109325000, 0.4920159000, 0.7257134000, 1.4087144000", \ - "0.4420241000, 0.4467926000, 0.4584739000, 0.4876601000, 0.5687472000, 0.8023945000, 1.4864229000", \ - "0.6046628000, 0.6094752000, 0.6211349000, 0.6503459000, 0.7312607000, 0.9653375000, 1.6474199000", \ - "0.8939784000, 0.8989637000, 0.9108777000, 0.9401659000, 1.0212855000, 1.2551268000, 1.9391477000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014610600, 0.0042694100, 0.0124758000, 0.0364557000, 0.1065280000, 0.3112890000"); - values("0.0185019000, 0.0225088000, 0.0345124000, 0.0715218000, 0.1851031000, 0.5193018000, 1.5033990000", \ - "0.0184572000, 0.0224639000, 0.0344834000, 0.0713898000, 0.1846885000, 0.5185468000, 1.5034139000", \ - "0.0184553000, 0.0224640000, 0.0343652000, 0.0714664000, 0.1849176000, 0.5200989000, 1.5031612000", \ - "0.0184192000, 0.0224221000, 0.0344302000, 0.0714417000, 0.1846353000, 0.5194750000, 1.5017296000", \ - "0.0184019000, 0.0224072000, 0.0344081000, 0.0714616000, 0.1847523000, 0.5191152000, 1.5008216000", \ - "0.0183140000, 0.0224936000, 0.0343587000, 0.0715118000, 0.1847813000, 0.5181185000, 1.5021405000", \ - "0.0197678000, 0.0236421000, 0.0352582000, 0.0718639000, 0.1846305000, 0.5184929000, 1.5016998000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("SET_B") { - capacitance : 0.0033620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0051270000, 0.0051586000, 0.0052315000, 0.0052321000, 0.0052334000, 0.0052365000, 0.0052438000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0012622000, 0.0012189000, 0.0011192000, 0.0011099000, 0.0010885000, 0.0010394000, 0.0009261000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0034200000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.129835900, -0.081626300, -0.100750600", \ - "-0.268377600, -0.217726600, -0.236850900", \ - "-0.376206400, -0.326776000, -0.342238300"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1497051000, 0.1063783000, 0.1316061000", \ - "0.2845846000, 0.2388164000, 0.2628236000", \ - "0.3911927000, 0.3454245000, 0.3657695000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2258087000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dfstp_4") { - leakage_power () { - value : 0.0137195000; - when : "CLK&D&SET_B&!Q"; - } - leakage_power () { - value : 0.0116592000; - when : "!CLK&!D&SET_B&!Q"; - } - leakage_power () { - value : 0.0097567000; - when : "CLK&!D&!SET_B&Q"; - } - leakage_power () { - value : 0.0101180000; - when : "CLK&!D&SET_B&Q"; - } - leakage_power () { - value : 0.0106245000; - when : "!CLK&D&SET_B&Q"; - } - leakage_power () { - value : 0.0102729000; - when : "CLK&D&!SET_B&Q"; - } - leakage_power () { - value : 0.0130189000; - when : "!CLK&D&SET_B&!Q"; - } - leakage_power () { - value : 0.0117775000; - when : "CLK&!D&SET_B&!Q"; - } - leakage_power () { - value : 0.0099070000; - when : "!CLK&!D&!SET_B&Q"; - } - leakage_power () { - value : 0.0106342000; - when : "CLK&D&SET_B&Q"; - } - leakage_power () { - value : 0.0099706000; - when : "!CLK&!D&SET_B&Q"; - } - leakage_power () { - value : 0.0098873000; - when : "!CLK&D&!SET_B&Q"; - } - area : 30.028800000; - cell_footprint : "sky130_fd_sc_hd__dfstp"; - cell_leakage_power : 0.0109455200; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017760000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016940000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0232686000, 0.0231860000, 0.0229957000, 0.0230470000, 0.0231652000, 0.0234380000, 0.0240668000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0172554000, 0.0171832000, 0.0170168000, 0.0170337000, 0.0170725000, 0.0171621000, 0.0173687000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018570000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1928533000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3796002000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0023610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0073635000, 0.0072883000, 0.0071149000, 0.0072660000, 0.0076142000, 0.0084169000, 0.0102673000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000121800, -0.000306100, -0.000731100, -0.000592000, -0.000271500, 0.0004675000, 0.0021711000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024880000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0642559000, 0.2418600000, 0.4510072000", \ - "-0.044988900, 0.1228496000, 0.3271139000", \ - "-0.124741500, 0.0357728000, 0.2339336000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0520488000, 0.1588522000, 0.2386048000", \ - "-0.014471400, 0.0825664000, 0.1513327000", \ - "-0.042954400, 0.0516419000, 0.1167461000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.006544900, -0.158514300, -0.322495400", \ - "0.1014792000, -0.050490200, -0.226678400", \ - "0.1726869000, 0.0243796000, -0.153029300"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.032179700, -0.130438200, -0.194321600", \ - "0.0282370000, -0.065138700, -0.127801400", \ - "0.0506165000, -0.041538400, -0.102980500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000"); - values("0.0771726000, 0.0791071000, 0.0852948000, 0.1057173000, 0.1706499000, 0.3821558000, 1.0761255000", \ - "0.0767719000, 0.0786339000, 0.0848185000, 0.1051668000, 0.1704811000, 0.3837635000, 1.0805878000", \ - "0.0763454000, 0.0781876000, 0.0845166000, 0.1049720000, 0.1701005000, 0.3831408000, 1.0793313000", \ - "0.0764802000, 0.0783781000, 0.0846643000, 0.1051368000, 0.1702346000, 0.3816382000, 1.0768124000", \ - "0.0768287000, 0.0786569000, 0.0849341000, 0.1052710000, 0.1704958000, 0.3819774000, 1.0698654000", \ - "0.0767680000, 0.0787412000, 0.0849465000, 0.1053341000, 0.1704507000, 0.3818011000, 1.0766770000", \ - "0.0781065000, 0.0799810000, 0.0859369000, 0.1059022000, 0.1718116000, 0.3822543000, 1.0767176000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000"); - values("0.0433419000, 0.0414575000, 0.0354933000, 0.0172290000, -0.044194500, -0.255907800, -0.956502100", \ - "0.0433064000, 0.0414535000, 0.0354470000, 0.0172435000, -0.044264500, -0.255912000, -0.956476800", \ - "0.0433349000, 0.0414240000, 0.0354909000, 0.0172677000, -0.044343000, -0.255923000, -0.956471900", \ - "0.0428454000, 0.0408816000, 0.0349298000, 0.0167896000, -0.044696700, -0.256338600, -0.956929200", \ - "0.0425830000, 0.0407013000, 0.0347944000, 0.0166174000, -0.044911900, -0.256617200, -0.957152800", \ - "0.0426975000, 0.0408423000, 0.0347541000, 0.0165389000, -0.044889200, -0.256485400, -0.957171000", \ - "0.0484822000, 0.0465386000, 0.0404538000, 0.0210941000, -0.043076500, -0.256025800, -0.956605100"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016399840, 0.0053790920, 0.0176432500, 0.0578692800, 0.1898093000, 0.6225684000"); - values("0.0490495000, 0.0508989000, 0.0571053000, 0.0775204000, 0.1427179000, 0.3553499000, 1.0524642000", \ - "0.0489720000, 0.0507552000, 0.0570165000, 0.0774362000, 0.1427736000, 0.3535812000, 1.0476493000", \ - "0.0488576000, 0.0507099000, 0.0567990000, 0.0773255000, 0.1426942000, 0.3543849000, 1.0513180000", \ - "0.0485259000, 0.0503820000, 0.0564485000, 0.0770206000, 0.1418549000, 0.3534555000, 1.0520203000", \ - "0.0481845000, 0.0500706000, 0.0561003000, 0.0765821000, 0.1415671000, 0.3528701000, 1.0443870000", \ - "0.0479770000, 0.0497329000, 0.0559182000, 0.0764541000, 0.1415934000, 0.3544899000, 1.0461071000", \ - "0.0501290000, 0.0519469000, 0.0579307000, 0.0779220000, 0.1420391000, 0.3527414000, 1.0467670000"); - } - } - max_capacitance : 0.6225680000; - max_transition : 1.5019950000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000"); - values("0.4284925000, 0.4321941000, 0.4423047000, 0.4659346000, 0.5151804000, 0.6272079000, 0.9447566000", \ - "0.4331685000, 0.4368633000, 0.4470976000, 0.4707306000, 0.5202038000, 0.6319299000, 0.9497633000", \ - "0.4443255000, 0.4480489000, 0.4582560000, 0.4819148000, 0.5314576000, 0.6430140000, 0.9609673000", \ - "0.4699173000, 0.4736653000, 0.4838367000, 0.5076669000, 0.5568392000, 0.6686635000, 0.9860633000", \ - "0.5179819000, 0.5217022000, 0.5319227000, 0.5555842000, 0.6050702000, 0.7166566000, 1.0342954000", \ - "0.5865703000, 0.5903557000, 0.6005407000, 0.6242005000, 0.6734555000, 0.7853897000, 1.1027536000", \ - "0.6709817000, 0.6747072000, 0.6848607000, 0.7085558000, 0.7581344000, 0.8697149000, 1.1872144000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000"); - values("0.5717622000, 0.5765045000, 0.5893120000, 0.6190649000, 0.6924932000, 0.9136427000, 1.6341102000", \ - "0.5762104000, 0.5809723000, 0.5936487000, 0.6235505000, 0.6973653000, 0.9176381000, 1.6383025000", \ - "0.5874511000, 0.5922746000, 0.6048618000, 0.6349319000, 0.7086817000, 0.9293988000, 1.6504240000", \ - "0.6123801000, 0.6172082000, 0.6297872000, 0.6598624000, 0.7334103000, 0.9536896000, 1.6746365000", \ - "0.6597666000, 0.6645022000, 0.6770449000, 0.7070663000, 0.7806367000, 1.0008995000, 1.7207104000", \ - "0.7290220000, 0.7337266000, 0.7466210000, 0.7763912000, 0.8503242000, 1.0712047000, 1.7929405000", \ - "0.8186149000, 0.8233222000, 0.8360287000, 0.8660978000, 0.9398573000, 1.1600250000, 1.8817088000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000"); - values("0.0360117000, 0.0380370000, 0.0446432000, 0.0593286000, 0.0987997000, 0.2173298000, 0.6311101000", \ - "0.0360349000, 0.0381436000, 0.0443646000, 0.0594668000, 0.0984293000, 0.2170178000, 0.6310075000", \ - "0.0360619000, 0.0383774000, 0.0443498000, 0.0601324000, 0.0981054000, 0.2168160000, 0.6312500000", \ - "0.0363050000, 0.0384261000, 0.0446557000, 0.0594409000, 0.0990135000, 0.2171381000, 0.6274269000", \ - "0.0357993000, 0.0381389000, 0.0443522000, 0.0601772000, 0.0991845000, 0.2174672000, 0.6321128000", \ - "0.0361063000, 0.0383930000, 0.0441314000, 0.0593441000, 0.0991100000, 0.2170476000, 0.6283670000", \ - "0.0360744000, 0.0383484000, 0.0445071000, 0.0601710000, 0.0987109000, 0.2174121000, 0.6270097000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000"); - values("0.0390928000, 0.0420609000, 0.0507045000, 0.0757399000, 0.1620567000, 0.4683606000, 1.4944875000", \ - "0.0389755000, 0.0419461000, 0.0507103000, 0.0757944000, 0.1619539000, 0.4680689000, 1.4927245000", \ - "0.0393475000, 0.0418872000, 0.0504232000, 0.0758617000, 0.1619926000, 0.4675559000, 1.4954976000", \ - "0.0393080000, 0.0420011000, 0.0504184000, 0.0758780000, 0.1617079000, 0.4678594000, 1.4947664000", \ - "0.0389229000, 0.0420632000, 0.0505382000, 0.0761564000, 0.1621217000, 0.4676407000, 1.4945839000", \ - "0.0391077000, 0.0421535000, 0.0508203000, 0.0759162000, 0.1619707000, 0.4688219000, 1.4941088000", \ - "0.0392064000, 0.0423718000, 0.0504592000, 0.0758573000, 0.1620008000, 0.4679588000, 1.4958811000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000"); - values("0.3906759000, 0.3947574000, 0.4059705000, 0.4337536000, 0.5061108000, 0.7268277000, 1.4478989000", \ - "0.3951418000, 0.3989618000, 0.4101809000, 0.4381290000, 0.5106534000, 0.7309117000, 1.4517330000", \ - "0.4075777000, 0.4115141000, 0.4227912000, 0.4506797000, 0.5231020000, 0.7436625000, 1.4648177000", \ - "0.4401818000, 0.4444075000, 0.4556580000, 0.4835905000, 0.5559058000, 0.7770359000, 1.4968637000", \ - "0.5169347000, 0.5207990000, 0.5319921000, 0.5598734000, 0.6324171000, 0.8536604000, 1.5730965000", \ - "0.6793061000, 0.6833747000, 0.6945112000, 0.7224204000, 0.7948366000, 1.0161770000, 1.7356486000", \ - "0.9691504000, 0.9733365000, 0.9845822000, 1.0126103000, 1.0850896000, 1.3052686000, 2.0249802000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016399800, 0.0053790900, 0.0176432000, 0.0578693000, 0.1898090000, 0.6225680000"); - values("0.0318401000, 0.0349739000, 0.0437718000, 0.0700532000, 0.1587046000, 0.4690467000, 1.4937702000", \ - "0.0316945000, 0.0347259000, 0.0433704000, 0.0700053000, 0.1591753000, 0.4695433000, 1.4985602000", \ - "0.0316039000, 0.0346788000, 0.0435802000, 0.0700766000, 0.1592733000, 0.4703238000, 1.4987888000", \ - "0.0318493000, 0.0348725000, 0.0434566000, 0.0700030000, 0.1593679000, 0.4688346000, 1.4973740000", \ - "0.0316487000, 0.0348440000, 0.0436162000, 0.0702510000, 0.1590421000, 0.4695487000, 1.4927148000", \ - "0.0317936000, 0.0347784000, 0.0436296000, 0.0700047000, 0.1593451000, 0.4698462000, 1.4976833000", \ - "0.0322716000, 0.0355918000, 0.0441644000, 0.0701699000, 0.1592196000, 0.4669418000, 1.5019950000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("SET_B") { - capacitance : 0.0033590000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0051231000, 0.0051557000, 0.0052307000, 0.0052374000, 0.0052529000, 0.0052886000, 0.0053710000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0012562000, 0.0012139000, 0.0011164000, 0.0011072000, 0.0010859000, 0.0010369000, 0.0009240000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0034190000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.131056600, -0.082847000, -0.103192100", \ - "-0.268377600, -0.218947300, -0.238071600", \ - "-0.374985700, -0.325555300, -0.341017600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1484844000, 0.1051576000, 0.1303854000", \ - "0.2833639000, 0.2375957000, 0.2603821000", \ - "0.3887513000, 0.3429831000, 0.3621074000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2400893000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dfxbp_1") { - leakage_power () { - value : 0.0113768000; - when : "CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0103024000; - when : "!CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0142233000; - when : "CLK&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0139049000; - when : "!CLK&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0114806000; - when : "!CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0102975000; - when : "CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0137965000; - when : "CLK&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0141522000; - when : "!CLK&!D&Q&!Q_N"; - } - area : 23.772800000; - cell_footprint : "sky130_fd_sc_hd__dfxbp"; - cell_leakage_power : 0.0124417900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017830000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0017020000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0226934000, 0.0225795000, 0.0223169000, 0.0223888000, 0.0225547000, 0.0229371000, 0.0238187000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0178186000, 0.0176958000, 0.0174127000, 0.0174241000, 0.0174501000, 0.0175104000, 0.0176494000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018650000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2071340000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1752772000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0054745000, 0.0054208000, 0.0052971000, 0.0053513000, 0.0054764000, 0.0057648000, 0.0064296000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000453100, -0.000515100, -0.000658000, -0.000610000, -0.000499500, -0.000244600, 0.0003431000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016730000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1033184000, 0.3175436000, 0.6206849000", \ - "-0.009588500, 0.1985332000, 0.4967917000", \ - "-0.097886100, 0.1090150000, 0.4023906000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0532695000, 0.1686178000, 0.2495911000", \ - "-0.016912800, 0.0850078000, 0.1501120000", \ - "-0.045395800, 0.0504212000, 0.1106426000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.043166000, -0.245184200, -0.506821600", \ - "0.0672995000, -0.135939500, -0.408563100", \ - "0.1433900000, -0.056186800, -0.330031300"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.029738300, -0.131658800, -0.186997400", \ - "0.0355612000, -0.061476600, -0.114373700", \ - "0.0579408000, -0.035434900, -0.089552700"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("-0.005482600, -0.000827600, 0.0076783000, 0.0166138000, 0.0111326000, -0.037797300, -0.191146100", \ - "-0.005428400, -0.000778300, 0.0077238000, 0.0166149000, 0.0111391000, -0.037796000, -0.191150200", \ - "-0.005296400, -0.000661300, 0.0077942000, 0.0166789000, 0.0110975000, -0.037843800, -0.191233100", \ - "-0.005328100, -0.000725000, 0.0076764000, 0.0164379000, 0.0107791000, -0.038249700, -0.191654000", \ - "-0.005352200, -0.000766200, 0.0076080000, 0.0163051000, 0.0106096000, -0.038421300, -0.191851600", \ - "-0.005378700, -0.000788900, 0.0075817000, 0.0163228000, 0.0106333000, -0.038427700, -0.191847000", \ - "-0.005383400, -0.000702000, 0.0078525000, 0.0168274000, 0.0114336000, -0.037697600, -0.191049500"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("-0.007101200, -0.004391200, 0.0018312000, 0.0150061000, 0.0421667000, 0.1055146000, 0.2651636000", \ - "-0.007052100, -0.004357100, 0.0018340000, 0.0149370000, 0.0420855000, 0.1053308000, 0.2651834000", \ - "-0.006921000, -0.004241000, 0.0019214000, 0.0149823000, 0.0421199000, 0.1053274000, 0.2649489000", \ - "-0.006945900, -0.004293800, 0.0018044000, 0.0147902000, 0.0418075000, 0.1044370000, 0.2633489000", \ - "-0.006973900, -0.004342200, 0.0017219000, 0.0146365000, 0.0416035000, 0.1042305000, 0.2632410000", \ - "-0.007004700, -0.004374300, 0.0016857000, 0.0146065000, 0.0415478000, 0.1046532000, 0.2643858000", \ - "-0.007017600, -0.004306100, 0.0019204000, 0.0150399000, 0.0419939000, 0.1049076000, 0.2642877000"); - } - } - max_capacitance : 0.1593120000; - max_transition : 1.4988650000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.2809787000, 0.2867570000, 0.2988770000, 0.3237131000, 0.3776950000, 0.5072104000, 0.8436098000", \ - "0.2856670000, 0.2914494000, 0.3035988000, 0.3283958000, 0.3823747000, 0.5118743000, 0.8475957000", \ - "0.2967450000, 0.3025200000, 0.3146503000, 0.3394728000, 0.3934367000, 0.5229461000, 0.8593626000", \ - "0.3224458000, 0.3282324000, 0.3403559000, 0.3651870000, 0.4191361000, 0.5486627000, 0.8844088000", \ - "0.3696210000, 0.3754011000, 0.3875209000, 0.4123563000, 0.4663307000, 0.5958402000, 0.9317997000", \ - "0.4365058000, 0.4422571000, 0.4544123000, 0.4792260000, 0.5331922000, 0.6627159000, 0.9986502000", \ - "0.5190540000, 0.5248428000, 0.5369900000, 0.5617795000, 0.6157582000, 0.7452864000, 1.0810280000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.2835835000, 0.2906255000, 0.3064312000, 0.3434594000, 0.4380692000, 0.6827338000, 1.3211768000", \ - "0.2882511000, 0.2952951000, 0.3110846000, 0.3481273000, 0.4427539000, 0.6871723000, 1.3290893000", \ - "0.2991458000, 0.3061884000, 0.3219847000, 0.3590263000, 0.4536291000, 0.6979838000, 1.3404438000", \ - "0.3252240000, 0.3321953000, 0.3480001000, 0.3850240000, 0.4796027000, 0.7241311000, 1.3637290000", \ - "0.3733506000, 0.3803894000, 0.3961789000, 0.4332012000, 0.5277985000, 0.7720062000, 1.4170620000", \ - "0.4444641000, 0.4514607000, 0.4672712000, 0.5042922000, 0.5989051000, 0.8435533000, 1.4838540000", \ - "0.5371599000, 0.5442308000, 0.5600476000, 0.5971221000, 0.6917789000, 0.9364369000, 1.5749455000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0203386000, 0.0245776000, 0.0345697000, 0.0588278000, 0.1199722000, 0.2846436000, 0.7340124000", \ - "0.0203152000, 0.0246358000, 0.0346446000, 0.0588665000, 0.1195673000, 0.2844780000, 0.7303312000", \ - "0.0203605000, 0.0247328000, 0.0347731000, 0.0588051000, 0.1197663000, 0.2842201000, 0.7307692000", \ - "0.0203210000, 0.0245823000, 0.0347770000, 0.0587565000, 0.1198229000, 0.2850881000, 0.7334354000", \ - "0.0203502000, 0.0245674000, 0.0348373000, 0.0587400000, 0.1194722000, 0.2844647000, 0.7318172000", \ - "0.0204782000, 0.0246980000, 0.0347232000, 0.0587306000, 0.1197791000, 0.2846501000, 0.7307787000", \ - "0.0203677000, 0.0246424000, 0.0345796000, 0.0588340000, 0.1195391000, 0.2847425000, 0.7256828000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0258237000, 0.0324822000, 0.0498493000, 0.0992239000, 0.2315568000, 0.5783737000, 1.4988651000", \ - "0.0258572000, 0.0325466000, 0.0499697000, 0.0992956000, 0.2314060000, 0.5797111000, 1.4960296000", \ - "0.0258523000, 0.0325384000, 0.0499396000, 0.0992688000, 0.2313582000, 0.5793692000, 1.4973291000", \ - "0.0259825000, 0.0325182000, 0.0499102000, 0.0992766000, 0.2313689000, 0.5778562000, 1.4974409000", \ - "0.0258572000, 0.0325147000, 0.0498740000, 0.0992182000, 0.2313167000, 0.5794848000, 1.4942682000", \ - "0.0260790000, 0.0325772000, 0.0499779000, 0.0992633000, 0.2310322000, 0.5798421000, 1.4960533000", \ - "0.0261166000, 0.0326542000, 0.0501039000, 0.0993451000, 0.2313845000, 0.5777602000, 1.4952563000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013248540, 0.0035104760, 0.0093017370, 0.0246468900, 0.0653070500, 0.1730446000"); - values("-0.005770700, -0.001388900, 0.0067081000, 0.0150120000, 0.0078847000, -0.046254700, -0.214952600", \ - "-0.005717500, -0.001358000, 0.0066941000, 0.0149394000, 0.0077642000, -0.046383400, -0.215135600", \ - "-0.005587600, -0.001242200, 0.0067793000, 0.0149800000, 0.0077522000, -0.046418300, -0.215194000", \ - "-0.005605700, -0.001279000, 0.0067012000, 0.0148387000, 0.0075370000, -0.046662600, -0.215456100", \ - "-0.005640400, -0.001341800, 0.0065828000, 0.0146372000, 0.0072604000, -0.046996200, -0.215829800", \ - "-0.005669800, -0.001367100, 0.0065609000, 0.0146179000, 0.0072371000, -0.047016100, -0.215850200", \ - "-0.005686400, -0.001307300, 0.0067876000, 0.0150623000, 0.0078029000, -0.046469100, -0.215223800"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013248540, 0.0035104760, 0.0093017370, 0.0246468900, 0.0653070500, 0.1730446000"); - values("-0.007043800, -0.004178400, 0.0024957000, 0.0166137000, 0.0461416000, 0.1141123000, 0.2897863000", \ - "-0.006987500, -0.004128200, 0.0025371000, 0.0166189000, 0.0461271000, 0.1142570000, 0.2896594000", \ - "-0.006856200, -0.004010200, 0.0026266000, 0.0166864000, 0.0459782000, 0.1142184000, 0.2894493000", \ - "-0.006885400, -0.004079100, 0.0024937000, 0.0164347000, 0.0456322000, 0.1144894000, 0.2879126000", \ - "-0.006910200, -0.004115400, 0.0024136000, 0.0163003000, 0.0454265000, 0.1136218000, 0.2876046000", \ - "-0.006935400, -0.004136900, 0.0024062000, 0.0163249000, 0.0456577000, 0.1142418000, 0.2877297000", \ - "-0.006940500, -0.004048000, 0.0026652000, 0.0168298000, 0.0462420000, 0.1146942000, 0.2895345000"); - } - } - max_capacitance : 0.1730450000; - max_transition : 1.4992970000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.3338745000, 0.3389951000, 0.3499357000, 0.3730743000, 0.4262632000, 0.5634303000, 0.9271915000", \ - "0.3385464000, 0.3436858000, 0.3546276000, 0.3777234000, 0.4308944000, 0.5681980000, 0.9312953000", \ - "0.3496367000, 0.3547738000, 0.3657161000, 0.3888233000, 0.4420513000, 0.5792888000, 0.9424141000", \ - "0.3755705000, 0.3806655000, 0.3916091000, 0.4147481000, 0.4679139000, 0.6051619000, 0.9693605000", \ - "0.4234686000, 0.4286023000, 0.4395430000, 0.4626644000, 0.5158821000, 0.6531177000, 1.0163410000", \ - "0.4944894000, 0.4995984000, 0.5105695000, 0.5336831000, 0.5868222000, 0.7240459000, 1.0879475000", \ - "0.5874014000, 0.5925397000, 0.6034811000, 0.6265902000, 0.6798225000, 0.8170652000, 1.1815259000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.3345255000, 0.3405901000, 0.3550149000, 0.3903184000, 0.4825244000, 0.7257558000, 1.3714991000", \ - "0.3392322000, 0.3453386000, 0.3596949000, 0.3949095000, 0.4871072000, 0.7306131000, 1.3757807000", \ - "0.3503169000, 0.3564175000, 0.3707851000, 0.4060152000, 0.4980437000, 0.7417982000, 1.3885403000", \ - "0.3759796000, 0.3820352000, 0.3964514000, 0.4318712000, 0.5238999000, 0.7672147000, 1.4133655000", \ - "0.4231102000, 0.4292331000, 0.4436566000, 0.4789792000, 0.5710782000, 0.8143639000, 1.4600308000", \ - "0.4900329000, 0.4961618000, 0.5105051000, 0.5458497000, 0.6378149000, 0.8819899000, 1.5275773000", \ - "0.5726364000, 0.5786926000, 0.5930476000, 0.6283518000, 0.7204251000, 0.9648345000, 1.6084142000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0164052000, 0.0206578000, 0.0302986000, 0.0542539000, 0.1200449000, 0.3020092000, 0.7923853000", \ - "0.0166487000, 0.0204884000, 0.0302659000, 0.0543965000, 0.1202049000, 0.3019864000, 0.7887255000", \ - "0.0166706000, 0.0205383000, 0.0302767000, 0.0543956000, 0.1201909000, 0.3019893000, 0.7863020000", \ - "0.0163929000, 0.0206241000, 0.0301651000, 0.0543524000, 0.1203208000, 0.3017577000, 0.7880359000", \ - "0.0166908000, 0.0205974000, 0.0302837000, 0.0543437000, 0.1201348000, 0.3019918000, 0.7893919000", \ - "0.0163865000, 0.0206182000, 0.0302176000, 0.0540498000, 0.1201929000, 0.3022156000, 0.7922470000", \ - "0.0166720000, 0.0205281000, 0.0302824000, 0.0544029000, 0.1202110000, 0.3018188000, 0.7864883000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0200068000, 0.0264557000, 0.0438055000, 0.0920966000, 0.2224881000, 0.5697788000, 1.4992970000", \ - "0.0200112000, 0.0264344000, 0.0437807000, 0.0920713000, 0.2226008000, 0.5704149000, 1.4954517000", \ - "0.0199832000, 0.0264282000, 0.0437766000, 0.0920968000, 0.2229777000, 0.5712931000, 1.4964505000", \ - "0.0200019000, 0.0264286000, 0.0438610000, 0.0920271000, 0.2228837000, 0.5732534000, 1.4942347000", \ - "0.0200178000, 0.0264558000, 0.0438145000, 0.0920887000, 0.2230886000, 0.5729094000, 1.4957212000", \ - "0.0199993000, 0.0264797000, 0.0438492000, 0.0921370000, 0.2230236000, 0.5722164000, 1.4910694000", \ - "0.0199907000, 0.0264320000, 0.0438281000, 0.0921197000, 0.2230087000, 0.5713494000, 1.4944617000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - } - - cell ("sky130_fd_sc_hd__dfxbp_2") { - leakage_power () { - value : 0.0119064000; - when : "CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0108319000; - when : "!CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0134870000; - when : "CLK&!D&Q&!Q_N"; - } - leakage_power () { - value : 0.0131686000; - when : "!CLK&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0120101000; - when : "!CLK&D&!Q&Q_N"; - } - leakage_power () { - value : 0.0108270000; - when : "CLK&!D&!Q&Q_N"; - } - leakage_power () { - value : 0.0130602000; - when : "CLK&D&Q&!Q_N"; - } - leakage_power () { - value : 0.0134159000; - when : "!CLK&!D&Q&!Q_N"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__dfxbp"; - cell_leakage_power : 0.0123383900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017800000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0226628000, 0.0225479000, 0.0222832000, 0.0223632000, 0.0225475000, 0.0229725000, 0.0239523000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0178197000, 0.0176966000, 0.0174129000, 0.0174501000, 0.0175357000, 0.0177334000, 0.0181890000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018650000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2093310000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1851638000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0055389000, 0.0054574000, 0.0052694000, 0.0053319000, 0.0054760000, 0.0058082000, 0.0065740000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000455300, -0.000515100, -0.000652700, -0.000604900, -0.000494700, -0.000240400, 0.0003457000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016730000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1045391000, 0.3199850000, 0.6219056000", \ - "-0.008367800, 0.2009746000, 0.4992331000", \ - "-0.096665400, 0.1090150000, 0.4048320000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0544902000, 0.1710592000, 0.2544740000", \ - "-0.015692100, 0.0862285000, 0.1513327000", \ - "-0.045395800, 0.0516419000, 0.1118633000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.040724600, -0.242742800, -0.500718100", \ - "0.0685202000, -0.133498000, -0.403680400", \ - "0.1446107000, -0.054966100, -0.327589900"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.028517600, -0.130438200, -0.184556000", \ - "0.0355612000, -0.060255900, -0.114373700", \ - "0.0579408000, -0.035434900, -0.089552700"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014456210, 0.0041796400, 0.0120843500, 0.0349387800, 0.1010165000, 0.2920631000"); - values("-0.005746100, -0.000554700, 0.0101077000, 0.0217896000, 0.0092629000, -0.082375300, -0.385012600", \ - "-0.005684500, -0.000511000, 0.0101369000, 0.0218088000, 0.0093105000, -0.082316500, -0.384993000", \ - "-0.005549000, -0.000380900, 0.0102170000, 0.0218235000, 0.0092582000, -0.082443800, -0.385156400", \ - "-0.005590000, -0.000451400, 0.0100912000, 0.0216018000, 0.0088505000, -0.082874000, -0.385586500", \ - "-0.005643700, -0.000520200, 0.0099935000, 0.0214542000, 0.0086827000, -0.083102900, -0.385870200", \ - "-0.005740500, -0.000604900, 0.0099037000, 0.0213946000, 0.0086319000, -0.083117700, -0.385877900", \ - "-0.005914100, -0.000697700, 0.0100129000, 0.0218508000, 0.0094369000, -0.082497700, -0.385270600"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014456210, 0.0041796400, 0.0120843500, 0.0349387800, 0.1010165000, 0.2920631000"); - values("-0.007368300, -0.004603000, 0.0026009000, 0.0200155000, 0.0613637000, 0.1692873000, 0.4763728000", \ - "-0.007316400, -0.004562000, 0.0025962000, 0.0199795000, 0.0613405000, 0.1692190000, 0.4794763000", \ - "-0.007182300, -0.004442600, 0.0026952000, 0.0200202000, 0.0612611000, 0.1696448000, 0.4776952000", \ - "-0.007216800, -0.004504800, 0.0025657000, 0.0197987000, 0.0609143000, 0.1690306000, 0.4775162000", \ - "-0.007271500, -0.004578300, 0.0024493000, 0.0196147000, 0.0606326000, 0.1693911000, 0.4748742000", \ - "-0.007370500, -0.004678500, 0.0023446000, 0.0195079000, 0.0606021000, 0.1685264000, 0.4780004000", \ - "-0.007539900, -0.004748600, 0.0024999000, 0.0199316000, 0.0609999000, 0.1692889000, 0.4788320000"); - } - } - max_capacitance : 0.2920630000; - max_transition : 1.5026260000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000"); - values("0.2939337000, 0.2991456000, 0.3105704000, 0.3338237000, 0.3828770000, 0.5017026000, 0.8331890000", \ - "0.2986641000, 0.3038158000, 0.3152867000, 0.3383178000, 0.3875935000, 0.5064137000, 0.8379199000", \ - "0.3097049000, 0.3148803000, 0.3263292000, 0.3496073000, 0.3986365000, 0.5174657000, 0.8483946000", \ - "0.3354556000, 0.3405949000, 0.3520446000, 0.3752912000, 0.4243495000, 0.5431761000, 0.8750231000", \ - "0.3825875000, 0.3877902000, 0.3992241000, 0.4224771000, 0.4715326000, 0.5903603000, 0.9218285000", \ - "0.4494993000, 0.4546689000, 0.4660974000, 0.4893612000, 0.5384150000, 0.6572429000, 0.9885449000", \ - "0.5320565000, 0.5372565000, 0.5487030000, 0.5719559000, 0.6210189000, 0.7398491000, 1.0713375000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000"); - values("0.2915415000, 0.2974732000, 0.3111724000, 0.3428633000, 0.4263008000, 0.6614584000, 1.3434092000", \ - "0.2963151000, 0.3021714000, 0.3158973000, 0.3476527000, 0.4310308000, 0.6660844000, 1.3439048000", \ - "0.3070964000, 0.3130338000, 0.3267790000, 0.3584773000, 0.4419116000, 0.6769550000, 1.3543232000", \ - "0.3331387000, 0.3390565000, 0.3527865000, 0.3845348000, 0.4678624000, 0.7029640000, 1.3811806000", \ - "0.3814105000, 0.3873324000, 0.4010140000, 0.4327500000, 0.5161817000, 0.7511866000, 1.4292345000", \ - "0.4523299000, 0.4582610000, 0.4719295000, 0.5036253000, 0.5871542000, 0.8222781000, 1.4993913000", \ - "0.5449576000, 0.5509430000, 0.5646837000, 0.5963235000, 0.6797641000, 0.9150148000, 1.5924395000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000"); - values("0.0198373000, 0.0231327000, 0.0309251000, 0.0498398000, 0.0997312000, 0.2437546000, 0.6823145000", \ - "0.0196640000, 0.0230318000, 0.0308999000, 0.0501471000, 0.0995483000, 0.2437247000, 0.6822563000", \ - "0.0198109000, 0.0230543000, 0.0311045000, 0.0501161000, 0.0994619000, 0.2439108000, 0.6830778000", \ - "0.0196387000, 0.0230427000, 0.0309121000, 0.0502598000, 0.0996850000, 0.2441380000, 0.6856588000", \ - "0.0198104000, 0.0231680000, 0.0309211000, 0.0498269000, 0.0997233000, 0.2437237000, 0.6874989000", \ - "0.0197163000, 0.0231023000, 0.0310053000, 0.0501585000, 0.0994668000, 0.2441724000, 0.6866173000", \ - "0.0198658000, 0.0230767000, 0.0310097000, 0.0502092000, 0.0994081000, 0.2439696000, 0.6793464000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014456200, 0.0041796400, 0.0120844000, 0.0349388000, 0.1010160000, 0.2920630000"); - values("0.0228861000, 0.0274590000, 0.0402981000, 0.0773530000, 0.1918802000, 0.5256561000, 1.5026261000", \ - "0.0227768000, 0.0274077000, 0.0401665000, 0.0773535000, 0.1917161000, 0.5254573000, 1.4945482000", \ - "0.0229023000, 0.0274904000, 0.0402333000, 0.0773668000, 0.1916745000, 0.5253888000, 1.4970435000", \ - "0.0229005000, 0.0273991000, 0.0401264000, 0.0773527000, 0.1912976000, 0.5252174000, 1.4933316000", \ - "0.0228419000, 0.0274808000, 0.0401537000, 0.0773541000, 0.1916395000, 0.5240703000, 1.4943603000", \ - "0.0229576000, 0.0276408000, 0.0402595000, 0.0774330000, 0.1914362000, 0.5244811000, 1.5008912000", \ - "0.0230037000, 0.0275850000, 0.0402911000, 0.0775002000, 0.1916715000, 0.5243518000, 1.5016002000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014681390, 0.0043108630, 0.0126578900, 0.0371670900, 0.1091329000, 0.3204445000"); - values("-0.005990500, -0.001073900, 0.0091447000, 0.0200345000, 0.0052049000, -0.096170000, -0.431829400", \ - "-0.005934900, -0.001032300, 0.0091507000, 0.0200077000, 0.0051357000, -0.096286600, -0.431961400", \ - "-0.005803400, -0.000913600, 0.0092290000, 0.0200410000, 0.0051192000, -0.096315400, -0.432047400", \ - "-0.005832500, -0.000963100, 0.0091367000, 0.0198648000, 0.0048579000, -0.096618000, -0.432330600", \ - "-0.005890700, -0.001045800, 0.0090095000, 0.0196516000, 0.0045285000, -0.097008300, -0.432747400", \ - "-0.005988500, -0.001145400, 0.0089047000, 0.0195553000, 0.0044387000, -0.097105100, -0.432807400", \ - "-0.006169300, -0.001241900, 0.0089971000, 0.0199684000, 0.0048968000, -0.096703500, -0.432337100"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014681390, 0.0043108630, 0.0126578900, 0.0371670900, 0.1091329000, 0.3204445000"); - values("-0.007361000, -0.004489900, 0.0031840000, 0.0218063000, 0.0663540000, 0.1856135000, 0.5269221000", \ - "-0.007303200, -0.004441400, 0.0032150000, 0.0218290000, 0.0663637000, 0.1856424000, 0.5271029000", \ - "-0.007166700, -0.004313200, 0.0033147000, 0.0218378000, 0.0662840000, 0.1853440000, 0.5282354000", \ - "-0.007204100, -0.004380500, 0.0031588000, 0.0216322000, 0.0659281000, 0.1852988000, 0.5277705000", \ - "-0.007256300, -0.004450300, 0.0030777000, 0.0214638000, 0.0657698000, 0.1846813000, 0.5262124000", \ - "-0.007352400, -0.004544500, 0.0029827000, 0.0213871000, 0.0656759000, 0.1838250000, 0.5251569000", \ - "-0.007506200, -0.004581600, 0.0031514000, 0.0218117000, 0.0661818000, 0.1847365000, 0.5233616000"); - } - } - max_capacitance : 0.3204440000; - max_transition : 1.5000040000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000"); - values("0.3731912000, 0.3778953000, 0.3884605000, 0.4101524000, 0.4564214000, 0.5735504000, 0.9111091000", \ - "0.3779075000, 0.3826397000, 0.3931673000, 0.4148214000, 0.4611629000, 0.5782286000, 0.9153185000", \ - "0.3890191000, 0.3937645000, 0.4042767000, 0.4259400000, 0.4721960000, 0.5893718000, 0.9270197000", \ - "0.4143366000, 0.4190992000, 0.4296436000, 0.4512953000, 0.4975888000, 0.6145358000, 0.9511834000", \ - "0.4628384000, 0.4675429000, 0.4781117000, 0.4997537000, 0.5460413000, 0.6631465000, 1.0007911000", \ - "0.5338146000, 0.5385105000, 0.5489842000, 0.5705450000, 0.6168849000, 0.7339707000, 1.0713894000", \ - "0.6267308000, 0.6315005000, 0.6420655000, 0.6636441000, 0.7099950000, 0.8271099000, 1.1647078000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000"); - values("0.3774744000, 0.3827781000, 0.3953425000, 0.4250546000, 0.5052750000, 0.7373323000, 1.4205001000", \ - "0.3821422000, 0.3874530000, 0.3999971000, 0.4298188000, 0.5100953000, 0.7423455000, 1.4252451000", \ - "0.3932538000, 0.3985847000, 0.4111124000, 0.4408532000, 0.5210570000, 0.7531054000, 1.4362779000", \ - "0.4189314000, 0.4242763000, 0.4366814000, 0.4665681000, 0.5467103000, 0.7787018000, 1.4618713000", \ - "0.4660918000, 0.4714143000, 0.4839641000, 0.5136814000, 0.5937965000, 0.8259054000, 1.5089726000", \ - "0.5329846000, 0.5382764000, 0.5507867000, 0.5806411000, 0.6607120000, 0.8930132000, 1.5757120000", \ - "0.6156549000, 0.6209541000, 0.6335176000, 0.6631512000, 0.7434952000, 0.9765194000, 1.6592211000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000"); - values("0.0187029000, 0.0218779000, 0.0289293000, 0.0468728000, 0.0953051000, 0.2454093000, 0.7025816000", \ - "0.0186896000, 0.0216368000, 0.0288264000, 0.0470670000, 0.0956636000, 0.2444467000, 0.7022690000", \ - "0.0187617000, 0.0216733000, 0.0288741000, 0.0470651000, 0.0957222000, 0.2452724000, 0.7025432000", \ - "0.0187542000, 0.0216799000, 0.0288893000, 0.0470978000, 0.0954611000, 0.2446547000, 0.7032816000", \ - "0.0185553000, 0.0215163000, 0.0293217000, 0.0471148000, 0.0956309000, 0.2452111000, 0.6978825000", \ - "0.0185762000, 0.0215259000, 0.0290220000, 0.0470565000, 0.0959132000, 0.2451253000, 0.7007563000", \ - "0.0185898000, 0.0215943000, 0.0288889000, 0.0471331000, 0.0955531000, 0.2451730000, 0.6958025000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014681400, 0.0043108600, 0.0126579000, 0.0371671000, 0.1091330000, 0.3204440000"); - values("0.0198138000, 0.0241140000, 0.0360235000, 0.0717001000, 0.1830411000, 0.5148825000, 1.4977699000", \ - "0.0199296000, 0.0240958000, 0.0360666000, 0.0716995000, 0.1830006000, 0.5160203000, 1.4997453000", \ - "0.0196496000, 0.0239790000, 0.0359694000, 0.0717413000, 0.1830314000, 0.5149990000, 1.4967306000", \ - "0.0198208000, 0.0240416000, 0.0359606000, 0.0717954000, 0.1826920000, 0.5155061000, 1.4979881000", \ - "0.0198502000, 0.0241582000, 0.0360400000, 0.0716244000, 0.1830282000, 0.5155956000, 1.4983632000", \ - "0.0196348000, 0.0240388000, 0.0360382000, 0.0717838000, 0.1828325000, 0.5159727000, 1.5000038000", \ - "0.0197884000, 0.0241350000, 0.0360132000, 0.0718167000, 0.1828389000, 0.5155632000, 1.4953894000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - } - - cell ("sky130_fd_sc_hd__dfxtp_1") { - leakage_power () { - value : 0.0091260000; - when : "CLK&D&!Q"; - } - leakage_power () { - value : 0.0080516000; - when : "!CLK&!D&!Q"; - } - leakage_power () { - value : 0.0084678000; - when : "CLK&!D&Q"; - } - leakage_power () { - value : 0.0081494000; - when : "!CLK&D&Q"; - } - leakage_power () { - value : 0.0092298000; - when : "!CLK&D&!Q"; - } - leakage_power () { - value : 0.0080467000; - when : "CLK&!D&!Q"; - } - leakage_power () { - value : 0.0080410000; - when : "CLK&D&Q"; - } - leakage_power () { - value : 0.0083967000; - when : "!CLK&!D&Q"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__dfxtp"; - cell_leakage_power : 0.0084386350; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017940000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0017120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0227158000, 0.0226016000, 0.0223385000, 0.0224266000, 0.0226296000, 0.0230975000, 0.0241762000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0178184000, 0.0176956000, 0.0174124000, 0.0174497000, 0.0175356000, 0.0177335000, 0.0181899000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018770000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2082325000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1686861000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016780000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0054714000, 0.0054188000, 0.0052975000, 0.0053518000, 0.0054769000, 0.0057650000, 0.0064295000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000426700, -0.000495200, -0.000653100, -0.000605300, -0.000495300, -0.000241700, 0.0003431000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016740000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1033184000, 0.3187643000, 0.6206849000", \ - "-0.010809200, 0.1997539000, 0.4980123000", \ - "-0.096665400, 0.1090150000, 0.4036113000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0508281000, 0.1649557000, 0.2434876000", \ - "-0.018133500, 0.0837871000, 0.1476706000", \ - "-0.045395800, 0.0504212000, 0.1106426000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.046828100, -0.251287800, -0.517807900", \ - "0.0636374000, -0.140822300, -0.414666700", \ - "0.1409486000, -0.059849000, -0.334914100"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.028517600, -0.131658800, -0.185776700", \ - "0.0355612000, -0.060255900, -0.114373700", \ - "0.0579408000, -0.035434900, -0.089552700"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0190634000, 0.0180793000, 0.0153023000, 0.0068343000, -0.016624000, -0.078544100, -0.240887700", \ - "0.0190768000, 0.0180948000, 0.0153314000, 0.0068509000, -0.016597900, -0.078512900, -0.240860100", \ - "0.0191492000, 0.0181883000, 0.0154150000, 0.0069405000, -0.016520500, -0.078434100, -0.240788600", \ - "0.0186857000, 0.0176924000, 0.0149297000, 0.0064486000, -0.017002900, -0.078920100, -0.241269200", \ - "0.0183710000, 0.0173865000, 0.0146105000, 0.0061428000, -0.017315400, -0.079239400, -0.241577500", \ - "0.0192369000, 0.0179868000, 0.0145973000, 0.0059922000, -0.017445200, -0.079360300, -0.241720600", \ - "0.0201970000, 0.0189707000, 0.0156635000, 0.0067443000, -0.016860900, -0.078901100, -0.241268900"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0177646000, 0.0193971000, 0.0233851000, 0.0327475000, 0.0562523000, 0.1177991000, 0.2778807000", \ - "0.0176630000, 0.0192938000, 0.0232755000, 0.0326502000, 0.0559730000, 0.1175683000, 0.2790250000", \ - "0.0177510000, 0.0193724000, 0.0233518000, 0.0327256000, 0.0560518000, 0.1176326000, 0.2794671000", \ - "0.0174417000, 0.0190740000, 0.0230712000, 0.0323867000, 0.0557982000, 0.1167644000, 0.2775787000", \ - "0.0169781000, 0.0185996000, 0.0225870000, 0.0319373000, 0.0553063000, 0.1163212000, 0.2771098000", \ - "0.0169381000, 0.0185222000, 0.0224544000, 0.0318620000, 0.0552467000, 0.1161432000, 0.2768812000", \ - "0.0185061000, 0.0198623000, 0.0233271000, 0.0323516000, 0.0555884000, 0.1166932000, 0.2765244000"); - } - } - max_capacitance : 0.1620580000; - max_transition : 1.4979510000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.2652426000, 0.2704631000, 0.2814136000, 0.3040849000, 0.3548850000, 0.4829165000, 0.8184850000", \ - "0.2698856000, 0.2750948000, 0.2860738000, 0.3087274000, 0.3594963000, 0.4876597000, 0.8231034000", \ - "0.2810230000, 0.2861993000, 0.2971512000, 0.3198187000, 0.3706330000, 0.4986082000, 0.8341430000", \ - "0.3066856000, 0.3118962000, 0.3228766000, 0.3455267000, 0.3962939000, 0.5242380000, 0.8597210000", \ - "0.3538829000, 0.3591000000, 0.3700543000, 0.3927264000, 0.4435225000, 0.5715469000, 0.9069842000", \ - "0.4207567000, 0.4259731000, 0.4369489000, 0.4595964000, 0.5104241000, 0.6382177000, 0.9734934000", \ - "0.5033737000, 0.5085845000, 0.5194807000, 0.5421503000, 0.5929778000, 0.7209600000, 1.0553800000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.2692208000, 0.2759120000, 0.2912889000, 0.3279992000, 0.4217970000, 0.6665245000, 1.3109124000", \ - "0.2739075000, 0.2806270000, 0.2959557000, 0.3326931000, 0.4264703000, 0.6709840000, 1.3137214000", \ - "0.2850037000, 0.2917141000, 0.3070411000, 0.3437776000, 0.4375723000, 0.6820410000, 1.3251137000", \ - "0.3109157000, 0.3177000000, 0.3330731000, 0.3697138000, 0.4634656000, 0.7081447000, 1.3505562000", \ - "0.3588843000, 0.3656163000, 0.3810043000, 0.4176165000, 0.5115445000, 0.7560778000, 1.3983928000", \ - "0.4302250000, 0.4370071000, 0.4523242000, 0.4890442000, 0.5826956000, 0.8274527000, 1.4692350000", \ - "0.5228102000, 0.5296081000, 0.5449542000, 0.5816437000, 0.6755747000, 0.9208264000, 1.5599231000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0170130000, 0.0209158000, 0.0305161000, 0.0532956000, 0.1140993000, 0.2828197000, 0.7291198000", \ - "0.0170204000, 0.0208936000, 0.0304365000, 0.0532848000, 0.1141926000, 0.2823546000, 0.7286334000", \ - "0.0170521000, 0.0208928000, 0.0304488000, 0.0531171000, 0.1141636000, 0.2818789000, 0.7296576000", \ - "0.0170249000, 0.0208895000, 0.0304105000, 0.0532922000, 0.1142203000, 0.2810162000, 0.7330789000", \ - "0.0170145000, 0.0209623000, 0.0305155000, 0.0532973000, 0.1141109000, 0.2834042000, 0.7304687000", \ - "0.0170612000, 0.0210305000, 0.0304362000, 0.0533915000, 0.1136621000, 0.2818343000, 0.7244916000", \ - "0.0169871000, 0.0209343000, 0.0304787000, 0.0534007000, 0.1145486000, 0.2807576000, 0.7217655000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0234424000, 0.0298911000, 0.0475084000, 0.0964473000, 0.2281124000, 0.5769554000, 1.4974917000", \ - "0.0233802000, 0.0299373000, 0.0474781000, 0.0964878000, 0.2280204000, 0.5776107000, 1.4979508000", \ - "0.0234508000, 0.0299402000, 0.0474791000, 0.0964980000, 0.2280563000, 0.5776538000, 1.4968740000", \ - "0.0233737000, 0.0299111000, 0.0474168000, 0.0964358000, 0.2283930000, 0.5766957000, 1.4968595000", \ - "0.0233381000, 0.0299148000, 0.0474966000, 0.0964763000, 0.2280841000, 0.5770273000, 1.4966087000", \ - "0.0234295000, 0.0300408000, 0.0474620000, 0.0962365000, 0.2283888000, 0.5762835000, 1.4972330000", \ - "0.0236097000, 0.0300974000, 0.0475865000, 0.0964619000, 0.2286190000, 0.5760052000, 1.4919925000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - } - - cell ("sky130_fd_sc_hd__dfxtp_2") { - leakage_power () { - value : 0.0096452000; - when : "CLK&D&!Q"; - } - leakage_power () { - value : 0.0085707000; - when : "!CLK&!D&!Q"; - } - leakage_power () { - value : 0.0079604000; - when : "CLK&!D&Q"; - } - leakage_power () { - value : 0.0076421000; - when : "!CLK&D&Q"; - } - leakage_power () { - value : 0.0097489000; - when : "!CLK&D&!Q"; - } - leakage_power () { - value : 0.0085659000; - when : "CLK&!D&!Q"; - } - leakage_power () { - value : 0.0075337000; - when : "CLK&D&Q"; - } - leakage_power () { - value : 0.0078894000; - when : "!CLK&!D&Q"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__dfxtp"; - cell_leakage_power : 0.0084445270; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017870000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016980000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0226814000, 0.0225677000, 0.0223054000, 0.0223900000, 0.0225848000, 0.0230339000, 0.0240693000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0178185000, 0.0176956000, 0.0174125000, 0.0174244000, 0.0174521000, 0.0175156000, 0.0176624000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018770000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2093310000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1785727000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0054663000, 0.0054155000, 0.0052985000, 0.0053543000, 0.0054830000, 0.0057795000, 0.0064631000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000453900, -0.000515800, -0.000658700, -0.000610700, -0.000500100, -0.000245400, 0.0003421000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016740000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1057598000, 0.3199850000, 0.6231263000", \ - "-0.008367800, 0.2009746000, 0.4992331000", \ - "-0.096665400, 0.1102357000, 0.4048320000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0557109000, 0.1722799000, 0.2556946000", \ - "-0.015692100, 0.0862285000, 0.1525534000", \ - "-0.045395800, 0.0516419000, 0.1118633000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.039503900, -0.240301400, -0.497056000", \ - "0.0697409000, -0.132277300, -0.402459600", \ - "0.1458314000, -0.053745400, -0.326369100"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.028517600, -0.130438200, -0.184556000", \ - "0.0355612000, -0.060255900, -0.113153000", \ - "0.0579408000, -0.035434900, -0.088332000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014545450, 0.0042314040, 0.0123095400, 0.0358095600, 0.1041733000, 0.3030494000"); - values("0.0228197000, 0.0214479000, 0.0177281000, 0.0061374000, -0.031095700, -0.141634000, -0.463766900", \ - "0.0228573000, 0.0214312000, 0.0177256000, 0.0061362000, -0.031066700, -0.141608800, -0.463732000", \ - "0.0229357000, 0.0215561000, 0.0178018000, 0.0062280000, -0.030980300, -0.141517600, -0.463649000", \ - "0.0224730000, 0.0210603000, 0.0173468000, 0.0057838000, -0.031446900, -0.141986400, -0.464120000", \ - "0.0221963000, 0.0208463000, 0.0171261000, 0.0055235000, -0.031701600, -0.142239200, -0.464371900", \ - "0.0227450000, 0.0212380000, 0.0171301000, 0.0055124000, -0.031686900, -0.142230800, -0.464357600", \ - "0.0253895000, 0.0239679000, 0.0197260000, 0.0070594000, -0.030712700, -0.141402700, -0.463598100"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014545450, 0.0042314040, 0.0123095400, 0.0358095600, 0.1041733000, 0.3030494000"); - values("0.0230390000, 0.0247664000, 0.0297915000, 0.0434849000, 0.0813527000, 0.1916590000, 0.5081785000", \ - "0.0229655000, 0.0246906000, 0.0297126000, 0.0434013000, 0.0812681000, 0.1904434000, 0.5116607000", \ - "0.0230207000, 0.0247482000, 0.0297628000, 0.0434599000, 0.0813613000, 0.1904532000, 0.5088325000", \ - "0.0227271000, 0.0244661000, 0.0294862000, 0.0431743000, 0.0810124000, 0.1912006000, 0.5094204000", \ - "0.0223497000, 0.0240690000, 0.0290966000, 0.0427864000, 0.0806954000, 0.1907905000, 0.5089236000", \ - "0.0223152000, 0.0240289000, 0.0289908000, 0.0427565000, 0.0805890000, 0.1895692000, 0.5080376000", \ - "0.0243142000, 0.0258854000, 0.0304875000, 0.0437307000, 0.0814834000, 0.1908396000, 0.5080981000"); - } - } - max_capacitance : 0.3030490000; - max_transition : 1.5035290000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000"); - values("0.2795616000, 0.2841125000, 0.2942010000, 0.3149094000, 0.3594390000, 0.4727498000, 0.7983809000", \ - "0.2842579000, 0.2888093000, 0.2989315000, 0.3195862000, 0.3641682000, 0.4772693000, 0.8016181000", \ - "0.2953230000, 0.2998833000, 0.3099785000, 0.3307426000, 0.3752246000, 0.4884096000, 0.8138323000", \ - "0.3210279000, 0.3255841000, 0.3357010000, 0.3564503000, 0.4009351000, 0.5140751000, 0.8396123000", \ - "0.3682029000, 0.3727567000, 0.3828441000, 0.4035163000, 0.4480865000, 0.5613935000, 0.8870422000", \ - "0.4351280000, 0.4396260000, 0.4497359000, 0.4705039000, 0.5149845000, 0.6281191000, 0.9525851000", \ - "0.5176687000, 0.5222068000, 0.5323268000, 0.5530301000, 0.5975534000, 0.7107622000, 1.0352513000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000"); - values("0.2792339000, 0.2847200000, 0.2975762000, 0.3279108000, 0.4094114000, 0.6433676000, 1.3230015000", \ - "0.2838874000, 0.2893809000, 0.3021559000, 0.3324817000, 0.4141691000, 0.6476517000, 1.3297279000", \ - "0.2950091000, 0.3004876000, 0.3133279000, 0.3435017000, 0.4249499000, 0.6589563000, 1.3425838000", \ - "0.3203534000, 0.3258430000, 0.3387028000, 0.3689726000, 0.4505780000, 0.6843520000, 1.3655790000", \ - "0.3689354000, 0.3743940000, 0.3872474000, 0.4175316000, 0.4991633000, 0.7328872000, 1.4142169000", \ - "0.4399090000, 0.4454017000, 0.4582228000, 0.4885338000, 0.5698912000, 0.8039221000, 1.4892577000", \ - "0.5327795000, 0.5382492000, 0.5510782000, 0.5813615000, 0.6627552000, 0.8968980000, 1.5772934000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000"); - values("0.0171319000, 0.0200545000, 0.0269521000, 0.0444305000, 0.0914008000, 0.2356388000, 0.6632985000", \ - "0.0170833000, 0.0200305000, 0.0270855000, 0.0443957000, 0.0915485000, 0.2347225000, 0.6701127000", \ - "0.0171181000, 0.0200280000, 0.0271128000, 0.0444161000, 0.0915577000, 0.2344551000, 0.6632783000", \ - "0.0170921000, 0.0200207000, 0.0270818000, 0.0444044000, 0.0915514000, 0.2343707000, 0.6625780000", \ - "0.0171479000, 0.0199621000, 0.0269877000, 0.0443700000, 0.0913969000, 0.2356017000, 0.6631085000", \ - "0.0171052000, 0.0201017000, 0.0270415000, 0.0444582000, 0.0910585000, 0.2347783000, 0.6656244000", \ - "0.0170238000, 0.0200991000, 0.0271344000, 0.0445470000, 0.0911988000, 0.2341863000, 0.6609164000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014545500, 0.0042314000, 0.0123095000, 0.0358096000, 0.1041730000, 0.3030490000"); - values("0.0207924000, 0.0250128000, 0.0372263000, 0.0737691000, 0.1870318000, 0.5211080000, 1.4975174000", \ - "0.0208246000, 0.0251676000, 0.0372314000, 0.0737100000, 0.1870793000, 0.5211611000, 1.5014349000", \ - "0.0207863000, 0.0250060000, 0.0371499000, 0.0737817000, 0.1865098000, 0.5218005000, 1.5035291000", \ - "0.0208196000, 0.0250770000, 0.0372513000, 0.0737636000, 0.1868316000, 0.5215758000, 1.4992965000", \ - "0.0207773000, 0.0249961000, 0.0372319000, 0.0737688000, 0.1867752000, 0.5217680000, 1.4988655000", \ - "0.0208188000, 0.0251549000, 0.0372389000, 0.0736757000, 0.1868050000, 0.5219233000, 1.5032332000", \ - "0.0208355000, 0.0251925000, 0.0373854000, 0.0737629000, 0.1869371000, 0.5206598000, 1.4994747000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - } - - cell ("sky130_fd_sc_hd__dfxtp_4") { - leakage_power () { - value : 0.0083293000; - when : "CLK&!D&Q"; - } - leakage_power () { - value : 0.0080413000; - when : "!CLK&D&Q"; - } - leakage_power () { - value : 0.0100255000; - when : "!CLK&D&!Q"; - } - leakage_power () { - value : 0.0088792000; - when : "CLK&!D&!Q"; - } - leakage_power () { - value : 0.0079211000; - when : "CLK&D&Q"; - } - leakage_power () { - value : 0.0082837000; - when : "!CLK&!D&Q"; - } - leakage_power () { - value : 0.0099385000; - when : "CLK&D&!Q"; - } - leakage_power () { - value : 0.0088461000; - when : "!CLK&!D&!Q"; - } - area : 23.772800000; - cell_footprint : "sky130_fd_sc_hd__dfxtp"; - cell_leakage_power : 0.0087830730; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017750000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0017010000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0215156000, 0.0214006000, 0.0211353000, 0.0212090000, 0.0213791000, 0.0217709000, 0.0226744000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0163159000, 0.0161908000, 0.0159023000, 0.0159198000, 0.0159602000, 0.0160533000, 0.0162681000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018480000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2016414000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1895578000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0015530000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015090000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0049067000, 0.0048332000, 0.0046638000, 0.0047201000, 0.0048498000, 0.0051487000, 0.0058380000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("4.5862743e-06, -5.9611988e-05, -0.000207600, -0.000158700, -4.6108282e-05, 0.0002135000, 0.0008119000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015970000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1045391000, 0.3187643000, 0.6145814000", \ - "-0.005926400, 0.2021953000, 0.4943503000", \ - "-0.085679000, 0.1187806000, 0.4060527000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0569316000, 0.1747214000, 0.2569154000", \ - "-0.014471400, 0.0886699000, 0.1562155000", \ - "-0.042954400, 0.0553040000, 0.1167461000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.038283200, -0.237860000, -0.486069600", \ - "0.0648581000, -0.134718700, -0.396356100", \ - "0.1336244000, -0.062290400, -0.327589900"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.028517600, -0.131658800, -0.185776700", \ - "0.0343405000, -0.061476600, -0.115594400", \ - "0.0567201000, -0.037876300, -0.091994100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016047860, 0.0051506790, 0.0165314800, 0.0530589900, 0.1702967000, 0.5465797000"); - values("0.0325915000, 0.0308688000, 0.0255683000, 0.0092707000, -0.046987400, -0.235887600, -0.845214800", \ - "0.0325283000, 0.0309160000, 0.0255453000, 0.0092825000, -0.046977600, -0.235860400, -0.845192200", \ - "0.0326638000, 0.0309763000, 0.0256880000, 0.0094334000, -0.046863500, -0.235767900, -0.845093000", \ - "0.0322145000, 0.0304730000, 0.0252135000, 0.0089116000, -0.047353200, -0.236251200, -0.845550100", \ - "0.0319152000, 0.0302867000, 0.0249496000, 0.0086724000, -0.047567300, -0.236471200, -0.845805000", \ - "0.0320546000, 0.0303536000, 0.0249884000, 0.0088424000, -0.047508100, -0.236396500, -0.845744200", \ - "0.0368505000, 0.0351573000, 0.0297109000, 0.0120326000, -0.046139000, -0.235628000, -0.845086200"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016047860, 0.0051506790, 0.0165314800, 0.0530589900, 0.1702967000, 0.5465797000"); - values("0.0360772000, 0.0380032000, 0.0440492000, 0.0631591000, 0.1223195000, 0.3099228000, 0.9141283000", \ - "0.0360516000, 0.0380029000, 0.0440639000, 0.0631722000, 0.1223545000, 0.3099230000, 0.9194788000", \ - "0.0362177000, 0.0381220000, 0.0441914000, 0.0632922000, 0.1224452000, 0.3101700000, 0.9170330000", \ - "0.0357324000, 0.0376431000, 0.0437066000, 0.0628049000, 0.1219554000, 0.3095204000, 0.9134385000", \ - "0.0353261000, 0.0372813000, 0.0433476000, 0.0624429000, 0.1215846000, 0.3090473000, 0.9103406000", \ - "0.0352455000, 0.0371233000, 0.0432971000, 0.0624707000, 0.1215812000, 0.3087925000, 0.9140495000", \ - "0.0371598000, 0.0389855000, 0.0448687000, 0.0636276000, 0.1229831000, 0.3110095000, 0.9114014000"); - } - } - max_capacitance : 0.5465800000; - max_transition : 1.5072410000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000"); - values("0.3049624000, 0.3085415000, 0.3178988000, 0.3386857000, 0.3829389000, 0.4904024000, 0.8119742000", \ - "0.3096234000, 0.3132014000, 0.3225409000, 0.3434068000, 0.3876060000, 0.4950955000, 0.8173437000", \ - "0.3208047000, 0.3243626000, 0.3336722000, 0.3545471000, 0.3986181000, 0.5062199000, 0.8284514000", \ - "0.3464531000, 0.3500527000, 0.3594342000, 0.3802832000, 0.4244696000, 0.5319589000, 0.8549259000", \ - "0.3926958000, 0.3962367000, 0.4055965000, 0.4264785000, 0.4706843000, 0.5781396000, 0.9009751000", \ - "0.4571456000, 0.4606838000, 0.4700447000, 0.4909137000, 0.5352387000, 0.6426148000, 0.9648238000", \ - "0.5352493000, 0.5388660000, 0.5481988000, 0.5690108000, 0.6132437000, 0.7207601000, 1.0424230000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000"); - values("0.3036754000, 0.3076816000, 0.3183963000, 0.3450651000, 0.4173999000, 0.6421093000, 1.3662941000", \ - "0.3082892000, 0.3123284000, 0.3230377000, 0.3496917000, 0.4220244000, 0.6467577000, 1.3692040000", \ - "0.3193385000, 0.3232853000, 0.3340500000, 0.3607293000, 0.4330705000, 0.6577638000, 1.3819251000", \ - "0.3449731000, 0.3489183000, 0.3596802000, 0.3863653000, 0.4587125000, 0.6833926000, 1.4076605000", \ - "0.3922779000, 0.3963088000, 0.4070815000, 0.4337645000, 0.5061230000, 0.7307539000, 1.4492644000", \ - "0.4599940000, 0.4640512000, 0.4748102000, 0.5014663000, 0.5737781000, 0.7984674000, 1.5172652000", \ - "0.5475814000, 0.5515320000, 0.5623177000, 0.5890354000, 0.6614193000, 0.8859746000, 1.6055151000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000"); - values("0.0248724000, 0.0270659000, 0.0328093000, 0.0472901000, 0.0868302000, 0.2110268000, 0.6402268000", \ - "0.0249009000, 0.0271889000, 0.0327922000, 0.0474050000, 0.0870755000, 0.2106803000, 0.6343376000", \ - "0.0248607000, 0.0270856000, 0.0328353000, 0.0474125000, 0.0868028000, 0.2105795000, 0.6343514000", \ - "0.0251094000, 0.0271272000, 0.0330610000, 0.0477060000, 0.0870384000, 0.2107602000, 0.6364916000", \ - "0.0249102000, 0.0271312000, 0.0330175000, 0.0473735000, 0.0870776000, 0.2107678000, 0.6408650000", \ - "0.0249287000, 0.0271549000, 0.0328394000, 0.0476896000, 0.0862904000, 0.2106304000, 0.6355685000", \ - "0.0251143000, 0.0271376000, 0.0330894000, 0.0473594000, 0.0869250000, 0.2105509000, 0.6342062000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016047900, 0.0051506800, 0.0165315000, 0.0530590000, 0.1702970000, 0.5465800000"); - values("0.0253058000, 0.0285074000, 0.0372656000, 0.0650833000, 0.1600722000, 0.4768411000, 1.5051608000", \ - "0.0255086000, 0.0283707000, 0.0372961000, 0.0650920000, 0.1599277000, 0.4764398000, 1.5072412000", \ - "0.0252954000, 0.0284443000, 0.0372562000, 0.0650629000, 0.1600551000, 0.4769070000, 1.5031556000", \ - "0.0252985000, 0.0284381000, 0.0372488000, 0.0650498000, 0.1600406000, 0.4769751000, 1.5048108000", \ - "0.0255825000, 0.0284138000, 0.0373266000, 0.0650019000, 0.1599855000, 0.4772211000, 1.4988961000", \ - "0.0255681000, 0.0284190000, 0.0372491000, 0.0650777000, 0.1597754000, 0.4765168000, 1.5062674000", \ - "0.0254853000, 0.0285354000, 0.0374050000, 0.0651745000, 0.1598625000, 0.4772151000, 1.5028120000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - } - - cell ("sky130_fd_sc_hd__diode_2") { - leakage_power () { - value : 9.4057935e-06; - when : "DIODE"; - } - leakage_power () { - value : 3.2710485e-06; - when : "!DIODE"; - } - area : 2.5024000000; - cell_footprint : "sky130_fd_sc_hd__diode"; - cell_leakage_power : 6.338421e-06; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("DIODE") { - capacitance : 0.0008780000; - direction : "input"; - fall_capacitance : 0.0008570000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0006468000, 0.0006511000, 0.0006611000, 0.0006633000, 0.0006685000, 0.0006804000, 0.0007080000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000643900, -0.000647900, -0.000657400, -0.000659800, -0.000665400, -0.000678400, -0.000708200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0009000000; - } - } - - cell ("sky130_fd_sc_hd__dlclkp_1") { - leakage_power () { - value : 0.0088174000; - when : "!CLK&GATE&!GCLK"; - } - leakage_power () { - value : 0.0048565000; - when : "CLK&GATE&GCLK"; - } - leakage_power () { - value : 0.0109370000; - when : "!CLK&!GATE&!GCLK"; - } - leakage_power () { - value : 0.0117618000; - when : "CLK&GATE&!GCLK"; - } - leakage_power () { - value : 0.0050974000; - when : "CLK&!GATE&GCLK"; - } - leakage_power () { - value : 0.0113947000; - when : "CLK&!GATE&!GCLK"; - } - clock_gating_integrated_cell : "latch_posedge"; - area : 17.516800000; - cell_footprint : "sky130_fd_sc_hd__dlclkp"; - cell_leakage_power : 0.0088107860; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - clock_gate_clock_pin : "true"; - capacitance : 0.0041510000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0040020000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0200236000, 0.0199046000, 0.0196302000, 0.0196958000, 0.0198467000, 0.0201949000, 0.0209974000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0063223000, 0.0062238000, 0.0059968000, 0.0060155000, 0.0060584000, 0.0061578000, 0.0063867000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0043010000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1328031000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "GCLK"; - related_pin : "CLK"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE") { - clock_gate_enable_pin : "true"; - capacitance : 0.0017780000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0018300000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0214934000, 0.0214346000, 0.0212990000, 0.0213283000, 0.0213956000, 0.0215508000, 0.0219086000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0131737000, 0.0131189000, 0.0129925000, 0.0130067000, 0.0130394000, 0.0131147000, 0.0132886000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017250000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1265117000, 0.2748190000, 0.4790833000", \ - "0.0184876000, 0.1655742000, 0.3698385000", \ - "-0.044175100, 0.1053529000, 0.3083965000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1008770000, 0.2198874000, 0.3240541000", \ - "0.0416810000, 0.1521465000, 0.2477682000", \ - "0.0876608000, 0.1956849000, 0.2900859000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.121291000, -0.269598300, -0.471421200", \ - "-0.014487600, -0.161574200, -0.365838500", \ - "0.0493958000, -0.100132200, -0.303175800"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.054152300, -0.171942100, -0.265122400", \ - "-0.013266900, -0.115187500, -0.198602200", \ - "-0.020184200, -0.117222000, -0.193312500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GCLK") { - clock_gate_out_pin : "true"; - direction : "output"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436550, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0067229000, 0.0058168000, 0.0031969000, -0.005001700, -0.027517700, -0.086202000, -0.238504400", \ - "0.0067649000, 0.0058430000, 0.0032151000, -0.004987600, -0.027479400, -0.086153000, -0.238424600", \ - "0.0066597000, 0.0057395000, 0.0031010000, -0.005089700, -0.027564900, -0.086219200, -0.238490100", \ - "0.0062559000, 0.0053268000, 0.0026772000, -0.005472300, -0.027968900, -0.086624800, -0.238920900", \ - "0.0060819000, 0.0050556000, 0.0023617000, -0.005752000, -0.028159800, -0.086762900, -0.239001700", \ - "0.0073388000, 0.0059187000, 0.0027159000, -0.006055900, -0.027854500, -0.086459100, -0.238729700", \ - "0.0086876000, 0.0070613000, 0.0038209000, -0.005016500, -0.027554000, -0.084610300, -0.237890400"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436550, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0120474000, 0.0135513000, 0.0171209000, 0.0258402000, 0.0480501000, 0.1060835000, 0.2563545000", \ - "0.0119327000, 0.0134393000, 0.0170138000, 0.0257401000, 0.0482058000, 0.1057521000, 0.2565315000", \ - "0.0114695000, 0.0129867000, 0.0165593000, 0.0252946000, 0.0476011000, 0.1053263000, 0.2569889000", \ - "0.0108717000, 0.0124064000, 0.0160097000, 0.0247388000, 0.0471224000, 0.1049131000, 0.2566571000", \ - "0.0105495000, 0.0120468000, 0.0156809000, 0.0243720000, 0.0467302000, 0.1048751000, 0.2553687000", \ - "0.0112863000, 0.0126014000, 0.0159721000, 0.0245047000, 0.0468481000, 0.1044854000, 0.2554202000", \ - "0.0126817000, 0.0139388000, 0.0172474000, 0.0259440000, 0.0484080000, 0.1066281000, 0.2556529000"); - } - } - max_capacitance : 0.1529030000; - max_transition : 1.5003650000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - state_function : "(CLK*M0)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0934324000, 0.0989415000, 0.1103527000, 0.1333273000, 0.1832901000, 0.3055592000, 0.6213860000", \ - "0.0985372000, 0.1040539000, 0.1155050000, 0.1384999000, 0.1884634000, 0.3108188000, 0.6282738000", \ - "0.1116955000, 0.1171496000, 0.1286459000, 0.1515222000, 0.2015369000, 0.3239618000, 0.6413326000", \ - "0.1438246000, 0.1493082000, 0.1606968000, 0.1837974000, 0.2338758000, 0.3562452000, 0.6720567000", \ - "0.2138184000, 0.2198398000, 0.2319639000, 0.2558531000, 0.3063939000, 0.4289895000, 0.7462673000", \ - "0.3288199000, 0.3365620000, 0.3517825000, 0.3793492000, 0.4332444000, 0.5572185000, 0.8737972000", \ - "0.5101193000, 0.5204429000, 0.5411261000, 0.5761467000, 0.6371507000, 0.7639177000, 1.0810782000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0897527000, 0.0973261000, 0.1142261000, 0.1524466000, 0.2473108000, 0.4931102000, 1.1302784000", \ - "0.0938840000, 0.1014490000, 0.1183359000, 0.1565838000, 0.2515255000, 0.4970914000, 1.1356908000", \ - "0.1023934000, 0.1099451000, 0.1267402000, 0.1651060000, 0.2602208000, 0.5058461000, 1.1449741000", \ - "0.1212897000, 0.1288897000, 0.1457290000, 0.1841238000, 0.2795136000, 0.5253268000, 1.1642859000", \ - "0.1543105000, 0.1624340000, 0.1801642000, 0.2195254000, 0.3154445000, 0.5614010000, 1.1991143000", \ - "0.1979164000, 0.2075026000, 0.2272119000, 0.2681304000, 0.3645292000, 0.6110408000, 1.2496799000", \ - "0.2340375000, 0.2467056000, 0.2715200000, 0.3170557000, 0.4146233000, 0.6608738000, 1.2991628000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0191506000, 0.0231374000, 0.0326326000, 0.0550587000, 0.1135196000, 0.2736108000, 0.6935850000", \ - "0.0191360000, 0.0234294000, 0.0326149000, 0.0551269000, 0.1137869000, 0.2737110000, 0.6972602000", \ - "0.0191263000, 0.0233340000, 0.0325759000, 0.0551599000, 0.1137401000, 0.2735862000, 0.6960873000", \ - "0.0191015000, 0.0233451000, 0.0326224000, 0.0549382000, 0.1135810000, 0.2741875000, 0.6933072000", \ - "0.0224315000, 0.0263869000, 0.0352751000, 0.0568775000, 0.1143296000, 0.2737692000, 0.6984464000", \ - "0.0321605000, 0.0367288000, 0.0454431000, 0.0660669000, 0.1206767000, 0.2753028000, 0.6966428000", \ - "0.0480837000, 0.0537215000, 0.0642997000, 0.0848669000, 0.1346361000, 0.2816785000, 0.6946519000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0256547000, 0.0330676000, 0.0516186000, 0.1010925000, 0.2350363000, 0.5857898000, 1.4942272000", \ - "0.0257136000, 0.0330416000, 0.0515623000, 0.1011627000, 0.2350190000, 0.5857386000, 1.4969155000", \ - "0.0256596000, 0.0330375000, 0.0516967000, 0.1010816000, 0.2345687000, 0.5867690000, 1.5003654000", \ - "0.0260617000, 0.0333276000, 0.0519571000, 0.1012222000, 0.2343761000, 0.5865338000, 1.5001052000", \ - "0.0291988000, 0.0364306000, 0.0545710000, 0.1029330000, 0.2352977000, 0.5851809000, 1.4937868000", \ - "0.0367085000, 0.0438950000, 0.0603495000, 0.1062651000, 0.2363414000, 0.5860089000, 1.4943351000", \ - "0.0511156000, 0.0590263000, 0.0758242000, 0.1163475000, 0.2399148000, 0.5867489000, 1.4947801000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - pin ("M0") { - direction : "internal"; - internal_node : "M0"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - } - statetable ("CLK GATE","M0") { - table : "L L : - : L,L H : - : H,H - : - : N"; - } - } - - cell ("sky130_fd_sc_hd__dlclkp_2") { - leakage_power () { - value : 0.0110797000; - when : "CLK&!GATE&!GCLK"; - } - leakage_power () { - value : 0.0084447000; - when : "!CLK&GATE&!GCLK"; - } - leakage_power () { - value : 0.0051329000; - when : "CLK&GATE&GCLK"; - } - leakage_power () { - value : 0.0106095000; - when : "!CLK&!GATE&!GCLK"; - } - leakage_power () { - value : 0.0114467000; - when : "CLK&GATE&!GCLK"; - } - leakage_power () { - value : 0.0053727000; - when : "CLK&!GATE&GCLK"; - } - clock_gating_integrated_cell : "latch_posedge"; - area : 18.768000000; - cell_footprint : "sky130_fd_sc_hd__dlclkp"; - cell_leakage_power : 0.0086810420; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - clock_gate_clock_pin : "true"; - capacitance : 0.0041320000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0039920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0200461000, 0.0199198000, 0.0196285000, 0.0196939000, 0.0198446000, 0.0201921000, 0.0209931000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0063649000, 0.0062686000, 0.0060467000, 0.0060681000, 0.0061176000, 0.0062316000, 0.0064945000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0042730000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1328031000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "GCLK"; - related_pin : "CLK"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE") { - clock_gate_enable_pin : "true"; - capacitance : 0.0018130000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0018690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0214976000, 0.0214369000, 0.0212970000, 0.0213265000, 0.0213945000, 0.0215511000, 0.0219123000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0131525000, 0.0131013000, 0.0129833000, 0.0129905000, 0.0130073000, 0.0130458000, 0.0131347000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017560000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1265117000, 0.2748190000, 0.4790833000", \ - "0.0184876000, 0.1655742000, 0.3698385000", \ - "-0.044175100, 0.1041322000, 0.3071758000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1179668000, 0.2369772000, 0.3411439000", \ - "0.0465638000, 0.1582500000, 0.2550924000", \ - "0.0913229000, 0.1981263000, 0.2925274000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.112746100, -0.258612000, -0.453110700", \ - "-0.008384100, -0.155470700, -0.356072900", \ - "0.0518372000, -0.096470100, -0.298293000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.054152300, -0.171942100, -0.265122400", \ - "-0.013266900, -0.115187500, -0.198602200", \ - "-0.020184200, -0.117222000, -0.193312500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GCLK") { - clock_gate_out_pin : "true"; - direction : "output"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014486260, 0.0041970370, 0.0121598800, 0.0352302400, 0.1020709000, 0.2957252000"); - values("0.0111505000, 0.0097324000, 0.0058568000, -0.005701700, -0.042290900, -0.150381300, -0.464089300", \ - "0.0109747000, 0.0095435000, 0.0057091000, -0.005880300, -0.042466200, -0.150551500, -0.464239500", \ - "0.0108861000, 0.0094804000, 0.0056143000, -0.005949700, -0.042509100, -0.150593300, -0.464277900", \ - "0.0105917000, 0.0091748000, 0.0052943000, -0.006302000, -0.042828500, -0.150895100, -0.464514500", \ - "0.0102055000, 0.0087280000, 0.0047728000, -0.006872200, -0.043377100, -0.151350500, -0.464926300", \ - "0.0108737000, 0.0092745000, 0.0047683000, -0.007377100, -0.043470100, -0.151382000, -0.464932200", \ - "0.0140567000, 0.0124133000, 0.0076996000, -0.005375200, -0.042661400, -0.150205300, -0.463709400"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014486260, 0.0041970370, 0.0121598800, 0.0352302400, 0.1020709000, 0.2957252000"); - values("0.0170466000, 0.0186854000, 0.0234230000, 0.0366374000, 0.0737430000, 0.1807708000, 0.4909925000", \ - "0.0169755000, 0.0186145000, 0.0233448000, 0.0365684000, 0.0740315000, 0.1804359000, 0.4905642000", \ - "0.0165659000, 0.0182119000, 0.0229517000, 0.0361703000, 0.0733511000, 0.1805681000, 0.4906244000", \ - "0.0160592000, 0.0176969000, 0.0224622000, 0.0356037000, 0.0727941000, 0.1800184000, 0.4900841000", \ - "0.0156986000, 0.0173142000, 0.0219976000, 0.0350964000, 0.0723187000, 0.1794263000, 0.4918416000", \ - "0.0165377000, 0.0180807000, 0.0225140000, 0.0352202000, 0.0724458000, 0.1788223000, 0.4899078000", \ - "0.0179458000, 0.0195052000, 0.0237526000, 0.0366115000, 0.0738748000, 0.1812683000, 0.4914332000"); - } - } - max_capacitance : 0.2957250000; - max_transition : 1.5045060000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - state_function : "(CLK*M0)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.1184943000, 0.1235255000, 0.1347324000, 0.1572425000, 0.2037316000, 0.3151603000, 0.6265858000", \ - "0.1238251000, 0.1288524000, 0.1400469000, 0.1626198000, 0.2091079000, 0.3204925000, 0.6328925000", \ - "0.1371823000, 0.1422203000, 0.1533887000, 0.1759417000, 0.2224863000, 0.3339095000, 0.6461667000", \ - "0.1692841000, 0.1743137000, 0.1854557000, 0.2079960000, 0.2545259000, 0.3660489000, 0.6779697000", \ - "0.2444859000, 0.2495946000, 0.2608111000, 0.2834645000, 0.3300591000, 0.4416844000, 0.7531878000", \ - "0.3806598000, 0.3873801000, 0.4017531000, 0.4289709000, 0.4798479000, 0.5936131000, 0.9046626000", \ - "0.5976756000, 0.6066577000, 0.6258123000, 0.6620485000, 0.7242211000, 0.8450186000, 1.1573026000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.1084853000, 0.1148944000, 0.1298295000, 0.1635678000, 0.2471463000, 0.4812349000, 1.1574837000", \ - "0.1127414000, 0.1191644000, 0.1340882000, 0.1678311000, 0.2514383000, 0.4851942000, 1.1621657000", \ - "0.1214380000, 0.1279233000, 0.1428122000, 0.1765394000, 0.2601744000, 0.4943950000, 1.1706298000", \ - "0.1410739000, 0.1474779000, 0.1624033000, 0.1960403000, 0.2797601000, 0.5139840000, 1.1902880000", \ - "0.1796196000, 0.1864264000, 0.2021124000, 0.2367295000, 0.3209088000, 0.5562631000, 1.2336587000", \ - "0.2360711000, 0.2440683000, 0.2619503000, 0.2990819000, 0.3848843000, 0.6195088000, 1.2970428000", \ - "0.2943304000, 0.3048806000, 0.3276496000, 0.3714881000, 0.4612276000, 0.6967517000, 1.3718597000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.0219558000, 0.0251180000, 0.0325859000, 0.0502564000, 0.0962613000, 0.2329225000, 0.6535134000", \ - "0.0219880000, 0.0251075000, 0.0324755000, 0.0502874000, 0.0963958000, 0.2325624000, 0.6478021000", \ - "0.0219574000, 0.0250314000, 0.0326250000, 0.0502290000, 0.0963424000, 0.2327031000, 0.6481388000", \ - "0.0220694000, 0.0251284000, 0.0323847000, 0.0502603000, 0.0960944000, 0.2332310000, 0.6466155000", \ - "0.0230524000, 0.0260213000, 0.0335134000, 0.0508712000, 0.0964263000, 0.2323113000, 0.6509604000", \ - "0.0346431000, 0.0379836000, 0.0453518000, 0.0620539000, 0.1043952000, 0.2361137000, 0.6530219000", \ - "0.0528265000, 0.0580091000, 0.0674357000, 0.0861784000, 0.1260914000, 0.2476145000, 0.6476134000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.0251001000, 0.0303643000, 0.0438878000, 0.0811501000, 0.1925417000, 0.5280900000, 1.5033857000", \ - "0.0250494000, 0.0303528000, 0.0439070000, 0.0811386000, 0.1925022000, 0.5263642000, 1.5045060000", \ - "0.0252131000, 0.0303322000, 0.0439008000, 0.0810681000, 0.1924121000, 0.5277969000, 1.5028506000", \ - "0.0250168000, 0.0304187000, 0.0439116000, 0.0810428000, 0.1921518000, 0.5270677000, 1.5017199000", \ - "0.0279265000, 0.0330947000, 0.0465073000, 0.0829637000, 0.1932306000, 0.5271945000, 1.5036413000", \ - "0.0350547000, 0.0407513000, 0.0535664000, 0.0887582000, 0.1961492000, 0.5276971000, 1.5030456000", \ - "0.0489183000, 0.0560285000, 0.0704453000, 0.1026055000, 0.2024708000, 0.5297430000, 1.4994922000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - pin ("M0") { - direction : "internal"; - internal_node : "M0"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - } - statetable ("CLK GATE","M0") { - table : "L L : - : L,L H : - : H,H - : - : N"; - } - } - - cell ("sky130_fd_sc_hd__dlclkp_4") { - leakage_power () { - value : 0.0092819000; - when : "CLK&!GATE&!GCLK"; - } - leakage_power () { - value : 0.0086403000; - when : "!CLK&GATE&!GCLK"; - } - leakage_power () { - value : 0.0064920000; - when : "CLK&GATE&GCLK"; - } - leakage_power () { - value : 0.0089863000; - when : "!CLK&!GATE&!GCLK"; - } - leakage_power () { - value : 0.0096416000; - when : "CLK&GATE&!GCLK"; - } - leakage_power () { - value : 0.0067385000; - when : "CLK&!GATE&GCLK"; - } - clock_gating_integrated_cell : "latch_posedge"; - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__dlclkp"; - cell_leakage_power : 0.0082967620; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - clock_gate_clock_pin : "true"; - capacitance : 0.0048780000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0046800000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0213887000, 0.0212279000, 0.0208572000, 0.0209169000, 0.0210546000, 0.0213718000, 0.0221033000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0062034000, 0.0059925000, 0.0055063000, 0.0055344000, 0.0055992000, 0.0057485000, 0.0060930000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050750000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1352442000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "GCLK"; - related_pin : "CLK"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE") { - clock_gate_enable_pin : "true"; - capacitance : 0.0016640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016240000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0215948000, 0.0215389000, 0.0214102000, 0.0214328000, 0.0214848000, 0.0216045000, 0.0218806000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0137748000, 0.0136876000, 0.0134864000, 0.0135045000, 0.0135462000, 0.0136423000, 0.0138640000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017030000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1228496000, 0.2711569000, 0.4766419000", \ - "0.0087220000, 0.1582500000, 0.3649557000", \ - "-0.060044300, 0.0907044000, 0.2961895000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1301738000, 0.2443014000, 0.3387025000", \ - "0.0575501000, 0.1667949000, 0.2563132000", \ - "0.1242819000, 0.2310853000, 0.3181621000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.104201200, -0.250067100, -0.442124300", \ - "0.0013815000, -0.146925800, -0.347528000", \ - "0.0677064000, -0.083042300, -0.284865200"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.048048800, -0.158514300, -0.240708300", \ - "-0.002280600, -0.098097700, -0.169305300", \ - "-0.003094400, -0.092807900, -0.159132800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GCLK") { - clock_gate_out_pin : "true"; - direction : "output"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015853940, 0.0050269460, 0.0159393800, 0.0505403800, 0.1602528000, 0.5081275000"); - values("0.0231340000, 0.0214432000, 0.0162470000, 0.0007161000, -0.052691800, -0.229350700, -0.792763400", \ - "0.0229125000, 0.0212223000, 0.0160397000, 0.0004795000, -0.052829500, -0.229597900, -0.792903700", \ - "0.0228452000, 0.0211322000, 0.0159593000, 0.0003646000, -0.052937100, -0.229648200, -0.792908900", \ - "0.0223538000, 0.0206911000, 0.0154470000, -0.000108000, -0.053486800, -0.230103200, -0.793379100", \ - "0.0219401000, 0.0202658000, 0.0148959000, -0.000874200, -0.054299700, -0.230751300, -0.793880800", \ - "0.0217676000, 0.0199319000, 0.0144279000, -0.001410300, -0.054595600, -0.230927500, -0.793837300", \ - "0.0291267000, 0.0270538000, 0.0209300000, 0.0030846000, -0.052964500, -0.229535700, -0.792301300"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015853940, 0.0050269460, 0.0159393800, 0.0505403800, 0.1602528000, 0.5081275000"); - values("0.0299720000, 0.0318239000, 0.0377359000, 0.0560264000, 0.1119624000, 0.2875115000, 0.8492428000", \ - "0.0299884000, 0.0318596000, 0.0377257000, 0.0559641000, 0.1123099000, 0.2872654000, 0.8444050000", \ - "0.0297426000, 0.0315953000, 0.0374737000, 0.0557648000, 0.1118555000, 0.2875266000, 0.8437501000", \ - "0.0291438000, 0.0309911000, 0.0368314000, 0.0550685000, 0.1111498000, 0.2873425000, 0.8438186000", \ - "0.0286869000, 0.0304958000, 0.0363444000, 0.0543719000, 0.1105259000, 0.2866172000, 0.8435510000", \ - "0.0298760000, 0.0316304000, 0.0371936000, 0.0548679000, 0.1105038000, 0.2856628000, 0.8432418000", \ - "0.0315553000, 0.0332647000, 0.0386962000, 0.0561284000, 0.1121972000, 0.2878034000, 0.8418735000"); - } - } - max_capacitance : 0.5081280000; - max_transition : 1.5017540000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - state_function : "(CLK*M0)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015853900, 0.0050269500, 0.0159394000, 0.0505404000, 0.1602530000, 0.5081280000"); - values("0.1365121000, 0.1401157000, 0.1493948000, 0.1699048000, 0.2124712000, 0.3131267000, 0.6075944000", \ - "0.1419779000, 0.1455898000, 0.1548702000, 0.1753753000, 0.2179292000, 0.3187276000, 0.6133442000", \ - "0.1553858000, 0.1590263000, 0.1682820000, 0.1887646000, 0.2313064000, 0.3321492000, 0.6265110000", \ - "0.1876677000, 0.1912914000, 0.2005200000, 0.2209875000, 0.2636030000, 0.3643498000, 0.6588604000", \ - "0.2642405000, 0.2678203000, 0.2770298000, 0.2974399000, 0.3400094000, 0.4408335000, 0.7352998000", \ - "0.4138821000, 0.4183846000, 0.4298724000, 0.4541912000, 0.5011085000, 0.6043144000, 0.8988235000", \ - "0.6571448000, 0.6629522000, 0.6781560000, 0.7107488000, 0.7703888000, 0.8840615000, 1.1803295000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015853900, 0.0050269500, 0.0159394000, 0.0505404000, 0.1602530000, 0.5081280000"); - values("0.1153459000, 0.1197899000, 0.1316226000, 0.1606825000, 0.2356789000, 0.4599420000, 1.1705690000", \ - "0.1195353000, 0.1239831000, 0.1358393000, 0.1648576000, 0.2399326000, 0.4636924000, 1.1722743000", \ - "0.1281046000, 0.1325391000, 0.1443628000, 0.1734126000, 0.2485603000, 0.4736887000, 1.1824324000", \ - "0.1473059000, 0.1518057000, 0.1635350000, 0.1926169000, 0.2676564000, 0.4917254000, 1.1996911000", \ - "0.1857574000, 0.1905030000, 0.2029281000, 0.2327556000, 0.3084868000, 0.5331848000, 1.2400438000", \ - "0.2404413000, 0.2459550000, 0.2601905000, 0.2926036000, 0.3702975000, 0.5952651000, 1.3068763000", \ - "0.2908642000, 0.2981106000, 0.3162473000, 0.3553940000, 0.4382132000, 0.6640830000, 1.3708404000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015853900, 0.0050269500, 0.0159394000, 0.0505404000, 0.1602530000, 0.5081280000"); - values("0.0257871000, 0.0278682000, 0.0333054000, 0.0470988000, 0.0845594000, 0.1995978000, 0.5869456000", \ - "0.0256080000, 0.0278998000, 0.0332893000, 0.0470517000, 0.0844089000, 0.1993295000, 0.5860922000", \ - "0.0257574000, 0.0277104000, 0.0334627000, 0.0475310000, 0.0844137000, 0.1994120000, 0.5900990000", \ - "0.0258076000, 0.0277043000, 0.0333034000, 0.0471115000, 0.0845081000, 0.1996212000, 0.5870081000", \ - "0.0258032000, 0.0282294000, 0.0335014000, 0.0474564000, 0.0846739000, 0.1991863000, 0.5900471000", \ - "0.0373286000, 0.0396093000, 0.0454139000, 0.0587301000, 0.0922627000, 0.2025004000, 0.5901272000", \ - "0.0585313000, 0.0611221000, 0.0686585000, 0.0854677000, 0.1184031000, 0.2188113000, 0.5886615000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015853900, 0.0050269500, 0.0159394000, 0.0505404000, 0.1602530000, 0.5081280000"); - values("0.0268697000, 0.0305235000, 0.0406607000, 0.0706337000, 0.1666507000, 0.4849159000, 1.5012790000", \ - "0.0269728000, 0.0303368000, 0.0407197000, 0.0707358000, 0.1667613000, 0.4842650000, 1.5017537000", \ - "0.0268940000, 0.0305159000, 0.0407467000, 0.0706944000, 0.1668747000, 0.4848292000, 1.5008620000", \ - "0.0270197000, 0.0304452000, 0.0407016000, 0.0705775000, 0.1667813000, 0.4841376000, 1.5009424000", \ - "0.0295425000, 0.0333041000, 0.0432672000, 0.0726717000, 0.1670911000, 0.4857061000, 1.4989288000", \ - "0.0371425000, 0.0406447000, 0.0508185000, 0.0790031000, 0.1708568000, 0.4848842000, 1.5017041000", \ - "0.0522749000, 0.0562613000, 0.0679949000, 0.0943823000, 0.1795943000, 0.4866853000, 1.4968929000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - pin ("M0") { - direction : "internal"; - internal_node : "M0"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - } - statetable ("CLK GATE","M0") { - table : "L L : - : L,L H : - : H,H - : - : N"; - } - } - - cell ("sky130_fd_sc_hd__dlrbn_1") { - leakage_power () { - value : 0.0142827000; - when : "RESET_B&D&!GATE_N&Q&!Q_N"; - } - leakage_power () { - value : 0.0086278000; - when : "RESET_B&!D&GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0084244000; - when : "!RESET_B&!D&!GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0087070000; - when : "RESET_B&!D&!GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0141881000; - when : "RESET_B&D&GATE_N&Q&!Q_N"; - } - leakage_power () { - value : 0.0100020000; - when : "!RESET_B&D&!GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0083453000; - when : "!RESET_B&!D&GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0097945000; - when : "!RESET_B&D&GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0100771000; - when : "RESET_B&D&GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0133618000; - when : "RESET_B&!D&GATE_N&Q&!Q_N"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__dlrbn"; - cell_leakage_power : 0.0105810700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - clear : "!RESET_B"; - data_in : "D"; - enable : "!GATE_N"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017880000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016960000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0181954000, 0.0180800000, 0.0178139000, 0.0178912000, 0.0180695000, 0.0184805000, 0.0194281000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092137000, 0.0090667000, 0.0087280000, 0.0087931000, 0.0089430000, 0.0092889000, 0.0100863000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018800000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1167461000, 0.2772604000, 0.4473450000", \ - "0.0770814000, 0.2351543000, 0.4015768000", \ - "0.0974264000, 0.2493958000, 0.4133770000"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1802227000, 0.2638327000, 0.2959779000", \ - "0.0612122000, 0.1448223000, 0.1769674000", \ - "-0.012436800, 0.0723939000, 0.1045391000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.102980500, -0.264715500, -0.437241500", \ - "-0.055991500, -0.217726600, -0.387811200", \ - "-0.054363900, -0.214878300, -0.383742200"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.167677700, -0.252508500, -0.285874300", \ - "-0.048667300, -0.133498000, -0.166863900", \ - "0.0237611000, -0.062290400, -0.094435500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE_N") { - capacitance : 0.0017710000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016840000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0173765000, 0.0172514000, 0.0169632000, 0.0170148000, 0.0171335000, 0.0174074000, 0.0180387000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0104453000, 0.0103119000, 0.0100042000, 0.0100452000, 0.0101394000, 0.0103569000, 0.0108582000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018570000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1467159000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "GATE_N"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("-0.006151700, -0.002143400, 0.0051317000, 0.0122296000, 0.0047961000, -0.046197400, -0.203133000", \ - "-0.006106700, -0.002105000, 0.0051224000, 0.0122055000, 0.0047417000, -0.046273100, -0.203214300", \ - "-0.005981900, -0.001994300, 0.0052099000, 0.0122432000, 0.0047262000, -0.046353500, -0.203298200", \ - "-0.006025200, -0.002041600, 0.0051555000, 0.0121714000, 0.0046372000, -0.046420200, -0.203378500", \ - "-0.006108900, -0.002124500, 0.0050898000, 0.0121144000, 0.0046042000, -0.046455700, -0.203397500", \ - "-0.006305000, -0.002305400, 0.0049233000, 0.0120128000, 0.0045235000, -0.046489000, -0.203411600", \ - "-0.006725700, -0.002652700, 0.0047501000, 0.0120678000, 0.0048433000, -0.046010300, -0.202851600"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("-0.003492200, -0.001746500, 0.0025683000, 0.0129380000, 0.0375933000, 0.0996541000, 0.2619123000", \ - "-0.003433700, -0.001707400, 0.0025759000, 0.0128794000, 0.0376230000, 0.1000071000, 0.2609338000", \ - "-0.003274800, -0.001563300, 0.0026921000, 0.0129526000, 0.0376498000, 0.1000204000, 0.2608972000", \ - "-0.003315200, -0.001612300, 0.0025917000, 0.0128190000, 0.0373450000, 0.0997293000, 0.2617725000", \ - "-0.003393300, -0.001704800, 0.0024984000, 0.0127195000, 0.0372364000, 0.0991813000, 0.2601380000", \ - "-0.003552900, -0.001836900, 0.0024158000, 0.0127074000, 0.0373175000, 0.0997142000, 0.2607374000", \ - "-0.003878900, -0.002070100, 0.0023844000, 0.0129456000, 0.0377235000, 0.1002805000, 0.2619775000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("-0.005310400, -0.000692600, 0.0077862000, 0.0167317000, 0.0111165000, -0.038675300, -0.194938600", \ - "-0.005263000, -0.000658900, 0.0077792000, 0.0166808000, 0.0110293000, -0.038792600, -0.195055900", \ - "-0.005124800, -0.000531100, 0.0079158000, 0.0167676000, 0.0111144000, -0.038720400, -0.195037700", \ - "-0.005160000, -0.000587100, 0.0078062000, 0.0166022000, 0.0109122000, -0.038955300, -0.195275100", \ - "-0.005236200, -0.000681300, 0.0076799000, 0.0164337000, 0.0106538000, -0.039229900, -0.195593100", \ - "-0.005369900, -0.000809000, 0.0075419000, 0.0163327000, 0.0105712000, -0.039343500, -0.195634300", \ - "-0.005630600, -0.000993100, 0.0075175000, 0.0165032000, 0.0109823000, -0.038703800, -0.194968500"); - } - related_pin : "GATE_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("-0.006838100, -0.004050500, 0.0023493000, 0.0158541000, 0.0437019000, 0.1077794000, 0.2700278000", \ - "-0.006785800, -0.004013700, 0.0023568000, 0.0158236000, 0.0436302000, 0.1082388000, 0.2710761000", \ - "-0.006652400, -0.003895200, 0.0024449000, 0.0158525000, 0.0435742000, 0.1082390000, 0.2711823000", \ - "-0.006685000, -0.003937800, 0.0023813000, 0.0157711000, 0.0434992000, 0.1081019000, 0.2709463000", \ - "-0.006750600, -0.004012300, 0.0022936000, 0.0156507000, 0.0433314000, 0.1077021000, 0.2709517000", \ - "-0.006877400, -0.004124500, 0.0022082000, 0.0156187000, 0.0433593000, 0.1079749000, 0.2698900000", \ - "-0.007137700, -0.004303900, 0.0021923000, 0.0158027000, 0.0440191000, 0.1079105000, 0.2704192000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0006721000, 0.0045805000, 0.0116346000, 0.0184020000, 0.0105373000, -0.040765000, -0.197830500", \ - "0.0006433000, 0.0045415000, 0.0115716000, 0.0182758000, 0.0103878000, -0.040936600, -0.198040500", \ - "0.0005968000, 0.0044851000, 0.0114795000, 0.0181831000, 0.0102724000, -0.041064500, -0.198155000", \ - "0.0005779000, 0.0044361000, 0.0113887000, 0.0179993000, 0.0100274000, -0.041338200, -0.198462600", \ - "0.0005537000, 0.0043786000, 0.0112467000, 0.0177412000, 0.0096829000, -0.041721100, -0.198829200", \ - "0.0005663000, 0.0044134000, 0.0113213000, 0.0178408000, 0.0098176000, -0.041527300, -0.198619700", \ - "0.0006100000, 0.0045212000, 0.0115266000, 0.0181710000, 0.0103420000, -0.040807000, -0.197833600"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0026461000, 0.0034830000, 0.0059691000, 0.0135412000, 0.0353303000, 0.0958441000, 0.2570291000", \ - "0.0026682000, 0.0034976000, 0.0059761000, 0.0135339000, 0.0353168000, 0.0959100000, 0.2558507000", \ - "0.0027075000, 0.0035207000, 0.0059682000, 0.0134829000, 0.0352044000, 0.0951939000, 0.2538089000", \ - "0.0026802000, 0.0034513000, 0.0058178000, 0.0132316000, 0.0348922000, 0.0947710000, 0.2567670000", \ - "0.0026705000, 0.0034173000, 0.0057349000, 0.0131080000, 0.0347735000, 0.0948687000, 0.2550025000", \ - "0.0027000000, 0.0034829000, 0.0058738000, 0.0132309000, 0.0350168000, 0.0948852000, 0.2553239000", \ - "0.0027602000, 0.0036060000, 0.0061735000, 0.0136790000, 0.0357293000, 0.0963308000, 0.2552991000"); - } - } - max_capacitance : 0.1620580000; - max_transition : 1.5071620000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.2246003000, 0.2306840000, 0.2433703000, 0.2688721000, 0.3223961000, 0.4471543000, 0.7692333000", \ - "0.2295762000, 0.2356460000, 0.2483298000, 0.2737897000, 0.3273511000, 0.4520843000, 0.7741695000", \ - "0.2427911000, 0.2487882000, 0.2615040000, 0.2869482000, 0.3405033000, 0.4652503000, 0.7877085000", \ - "0.2739355000, 0.2800164000, 0.2927021000, 0.3182027000, 0.3717491000, 0.4964879000, 0.8185019000", \ - "0.3309679000, 0.3370283000, 0.3497412000, 0.3751791000, 0.4287365000, 0.5534821000, 0.8759519000", \ - "0.4198230000, 0.4259155000, 0.4385513000, 0.4639924000, 0.5175690000, 0.6423359000, 0.9645201000", \ - "0.5599375000, 0.5660027000, 0.5787085000, 0.6041837000, 0.6577838000, 0.7825547000, 1.1047240000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.3272303000, 0.3350791000, 0.3525234000, 0.3916769000, 0.4878145000, 0.7335194000, 1.3745708000", \ - "0.3315984000, 0.3396131000, 0.3570097000, 0.3961502000, 0.4923139000, 0.7382269000, 1.3812217000", \ - "0.3428537000, 0.3508690000, 0.3682669000, 0.4074146000, 0.5035834000, 0.7494648000, 1.3926262000", \ - "0.3639453000, 0.3718074000, 0.3890982000, 0.4282886000, 0.5244305000, 0.7699180000, 1.4130584000", \ - "0.3911531000, 0.3988949000, 0.4163265000, 0.4555170000, 0.5516574000, 0.7972433000, 1.4398688000", \ - "0.4219167000, 0.4300370000, 0.4474026000, 0.4865953000, 0.5826944000, 0.8285897000, 1.4747898000", \ - "0.4451113000, 0.4529306000, 0.4703334000, 0.5095449000, 0.6056882000, 0.8507935000, 1.4932511000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0209972000, 0.0254676000, 0.0350383000, 0.0586625000, 0.1167694000, 0.2726297000, 0.6993918000", \ - "0.0210826000, 0.0256590000, 0.0353758000, 0.0588615000, 0.1169373000, 0.2726822000, 0.6993734000", \ - "0.0211472000, 0.0253331000, 0.0354353000, 0.0587731000, 0.1167055000, 0.2727061000, 0.6994842000", \ - "0.0209935000, 0.0254747000, 0.0353491000, 0.0586607000, 0.1163674000, 0.2726583000, 0.6986604000", \ - "0.0210250000, 0.0253639000, 0.0351307000, 0.0586257000, 0.1167282000, 0.2727198000, 0.7032899000", \ - "0.0210510000, 0.0256073000, 0.0352549000, 0.0586605000, 0.1164992000, 0.2724022000, 0.7005151000", \ - "0.0210803000, 0.0256861000, 0.0352353000, 0.0587982000, 0.1168991000, 0.2725579000, 0.6951386000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0292717000, 0.0361986000, 0.0536976000, 0.1022388000, 0.2326295000, 0.5797399000, 1.5067443000", \ - "0.0293739000, 0.0361770000, 0.0537809000, 0.1023522000, 0.2325975000, 0.5814283000, 1.5002960000", \ - "0.0293983000, 0.0361655000, 0.0537920000, 0.1023412000, 0.2326681000, 0.5814060000, 1.4985237000", \ - "0.0290752000, 0.0361719000, 0.0537472000, 0.1022425000, 0.2325178000, 0.5800774000, 1.5055660000", \ - "0.0291702000, 0.0363019000, 0.0537045000, 0.1022357000, 0.2326599000, 0.5798492000, 1.5059440000", \ - "0.0294175000, 0.0363643000, 0.0536833000, 0.1022512000, 0.2324730000, 0.5805167000, 1.5047937000", \ - "0.0292284000, 0.0362557000, 0.0536318000, 0.1022838000, 0.2327822000, 0.5802110000, 1.4978444000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.2509917000, 0.2571405000, 0.2699483000, 0.2955779000, 0.3492188000, 0.4740022000, 0.7959798000", \ - "0.2558462000, 0.2620158000, 0.2748373000, 0.3004853000, 0.3541185000, 0.4789050000, 0.8007784000", \ - "0.2684325000, 0.2745569000, 0.2873956000, 0.3130386000, 0.3666744000, 0.4914621000, 0.8135467000", \ - "0.2994331000, 0.3055735000, 0.3183871000, 0.3440315000, 0.3976689000, 0.5224480000, 0.8443592000", \ - "0.3663856000, 0.3725211000, 0.3853396000, 0.4109870000, 0.4646228000, 0.5893969000, 0.9115121000", \ - "0.4792036000, 0.4853339000, 0.4981866000, 0.5238047000, 0.5774922000, 0.7023106000, 1.0241403000", \ - "0.6536831000, 0.6598683000, 0.6727119000, 0.6984341000, 0.7521684000, 0.8770119000, 1.1989038000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.3881394000, 0.3961187000, 0.4136916000, 0.4529467000, 0.5491070000, 0.7942738000, 1.4389611000", \ - "0.3927518000, 0.4007648000, 0.4183201000, 0.4575737000, 0.5537416000, 0.7997051000, 1.4442900000", \ - "0.4055986000, 0.4135753000, 0.4311388000, 0.4703752000, 0.5665544000, 0.8123155000, 1.4543535000", \ - "0.4364599000, 0.4444405000, 0.4619985000, 0.5012485000, 0.5974337000, 0.8434015000, 1.4859886000", \ - "0.5025424000, 0.5104921000, 0.5280734000, 0.5673209000, 0.6635144000, 0.9093334000, 1.5508727000", \ - "0.6092328000, 0.6172109000, 0.6347928000, 0.6740641000, 0.7702424000, 1.0161586000, 1.6600501000", \ - "0.7724577000, 0.7804226000, 0.7979796000, 0.8372362000, 0.9334163000, 1.1789708000, 1.8215335000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0214443000, 0.0257356000, 0.0357062000, 0.0590523000, 0.1171257000, 0.2726356000, 0.7015032000", \ - "0.0215627000, 0.0258253000, 0.0354777000, 0.0590375000, 0.1168298000, 0.2726255000, 0.7026652000", \ - "0.0215580000, 0.0259871000, 0.0354480000, 0.0590402000, 0.1169226000, 0.2730138000, 0.6990180000", \ - "0.0213906000, 0.0258379000, 0.0357672000, 0.0590294000, 0.1169095000, 0.2728747000, 0.7011952000", \ - "0.0215831000, 0.0257006000, 0.0358047000, 0.0589949000, 0.1168417000, 0.2728720000, 0.7015292000", \ - "0.0215250000, 0.0260705000, 0.0358644000, 0.0589831000, 0.1171652000, 0.2726065000, 0.7036538000", \ - "0.0216974000, 0.0259766000, 0.0357712000, 0.0592340000, 0.1171543000, 0.2727004000, 0.6975199000"); - } - related_pin : "GATE_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0290359000, 0.0360989000, 0.0537774000, 0.1023029000, 0.2327008000, 0.5800371000, 1.4992581000", \ - "0.0290669000, 0.0360541000, 0.0537217000, 0.1023566000, 0.2325888000, 0.5812102000, 1.5037938000", \ - "0.0289580000, 0.0359722000, 0.0537431000, 0.1023093000, 0.2327867000, 0.5797032000, 1.5054989000", \ - "0.0289144000, 0.0360224000, 0.0538419000, 0.1022993000, 0.2324767000, 0.5806629000, 1.5049062000", \ - "0.0289832000, 0.0360823000, 0.0537268000, 0.1023169000, 0.2327650000, 0.5794586000, 1.5054222000", \ - "0.0290149000, 0.0360945000, 0.0537585000, 0.1023309000, 0.2324873000, 0.5810031000, 1.4970503000", \ - "0.0289586000, 0.0360057000, 0.0538139000, 0.1022934000, 0.2326491000, 0.5787922000, 1.5045210000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.1022504000, 0.1084151000, 0.1213788000, 0.1473862000, 0.2032100000, 0.3280659000, 0.6497936000", \ - "0.1075031000, 0.1137051000, 0.1266172000, 0.1526787000, 0.2085059000, 0.3333799000, 0.6550115000", \ - "0.1205816000, 0.1267616000, 0.1397271000, 0.1657950000, 0.2216588000, 0.3465206000, 0.6683030000", \ - "0.1528941000, 0.1590314000, 0.1719275000, 0.1980278000, 0.2539279000, 0.3788408000, 0.7003716000", \ - "0.2256518000, 0.2322494000, 0.2457242000, 0.2722824000, 0.3283805000, 0.4532757000, 0.7750176000", \ - "0.3487885000, 0.3577692000, 0.3754845000, 0.4076088000, 0.4679158000, 0.5930280000, 0.9147590000", \ - "0.5460804000, 0.5582388000, 0.5824551000, 0.6259012000, 0.6956782000, 0.8209573000, 1.1428116000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0959440000, 0.1035332000, 0.1204228000, 0.1588354000, 0.2539987000, 0.4989833000, 1.1450377000", \ - "0.1002647000, 0.1077533000, 0.1246616000, 0.1630589000, 0.2582953000, 0.5033760000, 1.1460920000", \ - "0.1086566000, 0.1162696000, 0.1331245000, 0.1715649000, 0.2669469000, 0.5120607000, 1.1562740000", \ - "0.1268202000, 0.1343443000, 0.1512052000, 0.1897531000, 0.2853819000, 0.5307005000, 1.1766609000", \ - "0.1584941000, 0.1663802000, 0.1841935000, 0.2238166000, 0.3199994000, 0.5648884000, 1.2069296000", \ - "0.1997819000, 0.2092593000, 0.2292380000, 0.2707175000, 0.3680342000, 0.6138954000, 1.2555662000", \ - "0.2287346000, 0.2415411000, 0.2668292000, 0.3141092000, 0.4141507000, 0.6603970000, 1.3020824000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0217972000, 0.0261528000, 0.0365761000, 0.0612748000, 0.1195496000, 0.2727391000, 0.7018577000", \ - "0.0219422000, 0.0265050000, 0.0365101000, 0.0613117000, 0.1195226000, 0.2725735000, 0.7018550000", \ - "0.0218328000, 0.0263580000, 0.0366216000, 0.0612686000, 0.1195073000, 0.2727252000, 0.7057393000", \ - "0.0217370000, 0.0261863000, 0.0365197000, 0.0612515000, 0.1194612000, 0.2725258000, 0.7037913000", \ - "0.0247335000, 0.0288708000, 0.0387765000, 0.0623740000, 0.1196348000, 0.2725797000, 0.7000080000", \ - "0.0357012000, 0.0411996000, 0.0511073000, 0.0743386000, 0.1258336000, 0.2734665000, 0.7004092000", \ - "0.0527727000, 0.0599200000, 0.0736585000, 0.0997452000, 0.1400443000, 0.2751947000, 0.6958372000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0270289000, 0.0339641000, 0.0520509000, 0.1012074000, 0.2322802000, 0.5815283000, 1.5063885000", \ - "0.0268310000, 0.0340303000, 0.0519177000, 0.1012583000, 0.2319827000, 0.5816209000, 1.5031977000", \ - "0.0268055000, 0.0339371000, 0.0518585000, 0.1011901000, 0.2320329000, 0.5805937000, 1.5004544000", \ - "0.0271629000, 0.0341678000, 0.0520401000, 0.1012080000, 0.2321469000, 0.5811296000, 1.5071620000", \ - "0.0300485000, 0.0374497000, 0.0548042000, 0.1030277000, 0.2329101000, 0.5809629000, 1.5014703000", \ - "0.0370242000, 0.0438259000, 0.0609320000, 0.1071315000, 0.2353570000, 0.5814062000, 1.4989102000", \ - "0.0508644000, 0.0590246000, 0.0771728000, 0.1188229000, 0.2390200000, 0.5824358000, 1.4954054000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.002092700, 0.0013530000, 0.0075170000, 0.0129354000, 0.0033015000, -0.051157800, -0.215761200", \ - "-0.002031400, 0.0014043000, 0.0075309000, 0.0128839000, 0.0031797000, -0.051310800, -0.215923300", \ - "-0.001873200, 0.0015475000, 0.0076454000, 0.0129542000, 0.0032066000, -0.051319000, -0.215948700", \ - "-0.001912700, 0.0014858000, 0.0075726000, 0.0128566000, 0.0030631000, -0.051456000, -0.216100000", \ - "-0.001994000, 0.0014008000, 0.0074592000, 0.0127197000, 0.0029029000, -0.051656100, -0.216323900", \ - "-0.002151100, 0.0012665000, 0.0073761000, 0.0127139000, 0.0029697000, -0.051558800, -0.216205900", \ - "-0.002480900, 0.0010297000, 0.0073389000, 0.0129636000, 0.0034128000, -0.051048200, -0.215616600"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.007637700, -0.005370900, 7.930000e-05, 0.0122305000, 0.0394547000, 0.1048105000, 0.2745308000", \ - "-0.007588500, -0.005337200, 7.730000e-05, 0.0122089000, 0.0392494000, 0.1051166000, 0.2747256000", \ - "-0.007463700, -0.005225200, 0.0001694000, 0.0122418000, 0.0394063000, 0.1044423000, 0.2744399000", \ - "-0.007506500, -0.005271100, 0.0001163000, 0.0121721000, 0.0393054000, 0.1048574000, 0.2743456000", \ - "-0.007591800, -0.005353700, 4.485000e-05, 0.0121139000, 0.0392738000, 0.1049769000, 0.2730550000", \ - "-0.007787100, -0.005535000, -9.46500e-05, 0.0120145000, 0.0390605000, 0.1050529000, 0.2732250000", \ - "-0.008199000, -0.005855900, -0.000289500, 0.0120677000, 0.0393335000, 0.1050047000, 0.2744450000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.005451000, -0.000970100, 0.0072832000, 0.0158534000, 0.0093781000, -0.043009700, -0.206588400", \ - "-0.005398300, -0.000933400, 0.0072885000, 0.0158244000, 0.0093006000, -0.043111900, -0.206704800", \ - "-0.005263300, -0.000810100, 0.0073892000, 0.0158579000, 0.0092650000, -0.043144800, -0.206755100", \ - "-0.005297300, -0.000854500, 0.0073215000, 0.0157759000, 0.0091646000, -0.043292900, -0.206912500", \ - "-0.005363200, -0.000928700, 0.0072336000, 0.0156603000, 0.0090253000, -0.043466400, -0.207093900", \ - "-0.005490100, -0.001042500, 0.0071441000, 0.0156202000, 0.0090362000, -0.043418400, -0.207029600", \ - "-0.005753800, -0.001230600, 0.0070992000, 0.0158022000, 0.0093460000, -0.042794900, -0.206324500"); - } - related_pin : "GATE_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.006803900, -0.003932700, 0.0027381000, 0.0167149000, 0.0455981000, 0.1129810000, 0.2826595000", \ - "-0.006752100, -0.003902000, 0.0027341000, 0.0167310000, 0.0456811000, 0.1128947000, 0.2816793000", \ - "-0.006613200, -0.003770500, 0.0028485000, 0.0167737000, 0.0456166000, 0.1128283000, 0.2820284000", \ - "-0.006652300, -0.003824000, 0.0027486000, 0.0166020000, 0.0454499000, 0.1119219000, 0.2824830000", \ - "-0.006726000, -0.003918800, 0.0026269000, 0.0164286000, 0.0451081000, 0.1116474000, 0.2819688000", \ - "-0.006859800, -0.004049100, 0.0025041000, 0.0163333000, 0.0450370000, 0.1121599000, 0.2824936000", \ - "-0.007113600, -0.004216200, 0.0024732000, 0.0165022000, 0.0454826000, 0.1119858000, 0.2832843000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("0.0040548000, 0.0065860000, 0.0109067000, 0.0135343000, 0.0010860000, -0.055239000, -0.220786200", \ - "0.0040733000, 0.0065983000, 0.0109067000, 0.0134411000, 0.0009457000, -0.055291300, -0.220815400", \ - "0.0041152000, 0.0066260000, 0.0108992000, 0.0133801000, 0.0008270000, -0.055404900, -0.220997200", \ - "0.0040907000, 0.0065661000, 0.0107712000, 0.0132361000, 0.0006176000, -0.055853400, -0.221457500", \ - "0.0040818000, 0.0065405000, 0.0107006000, 0.0131305000, 0.0004572000, -0.056022000, -0.221639900", \ - "0.0040943000, 0.0065653000, 0.0107578000, 0.0131748000, 0.0004291000, -0.055935400, -0.221540200", \ - "0.0041516000, 0.0066942000, 0.0110080000, 0.0137001000, 0.0012489000, -0.055014200, -0.220671400"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.000826600, 0.0013217000, 0.0065592000, 0.0183953000, 0.0451458000, 0.1103414000, 0.2799217000", \ - "-0.000855000, 0.0012727000, 0.0064938000, 0.0182744000, 0.0449728000, 0.1101574000, 0.2783729000", \ - "-0.000906300, 0.0012113000, 0.0063862000, 0.0181229000, 0.0447725000, 0.1099428000, 0.2784322000", \ - "-0.000918000, 0.0011753000, 0.0063206000, 0.0180080000, 0.0446112000, 0.1101912000, 0.2791404000", \ - "-0.000942300, 0.0011203000, 0.0061873000, 0.0177854000, 0.0442586000, 0.1093805000, 0.2777776000", \ - "-0.000964600, 0.0010695000, 0.0061170000, 0.0176630000, 0.0440781000, 0.1091381000, 0.2773482000", \ - "-0.000892400, 0.0012557000, 0.0064324000, 0.0181600000, 0.0449115000, 0.1102439000, 0.2782175000"); - } - } - max_capacitance : 0.1684670000; - max_transition : 1.5047490000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3801154000, 0.3852877000, 0.3963588000, 0.4194152000, 0.4717415000, 0.6051701000, 0.9582442000", \ - "0.3845446000, 0.3897514000, 0.4008008000, 0.4238319000, 0.4761029000, 0.6097922000, 0.9625931000", \ - "0.3958339000, 0.4010426000, 0.4120858000, 0.4351272000, 0.4874435000, 0.6210738000, 0.9738933000", \ - "0.4167515000, 0.4218856000, 0.4329559000, 0.4560171000, 0.5083434000, 0.6418480000, 0.9946964000", \ - "0.4438861000, 0.4490598000, 0.4601402000, 0.4831686000, 0.5354977000, 0.6690560000, 1.0215641000", \ - "0.4749519000, 0.4801464000, 0.4911951000, 0.5142591000, 0.5665781000, 0.7002087000, 1.0532690000", \ - "0.4980777000, 0.5032854000, 0.5143400000, 0.5373612000, 0.5896261000, 0.7233067000, 1.0756116000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.2807298000, 0.2869790000, 0.3015961000, 0.3374137000, 0.4302076000, 0.6742254000, 1.3183223000", \ - "0.2857215000, 0.2919420000, 0.3064781000, 0.3421940000, 0.4349961000, 0.6796278000, 1.3222656000", \ - "0.2988717000, 0.3050967000, 0.3196205000, 0.3553513000, 0.4481739000, 0.6917126000, 1.3380469000", \ - "0.3300730000, 0.3363052000, 0.3509225000, 0.3867399000, 0.4795243000, 0.7235446000, 1.3674957000", \ - "0.3870341000, 0.3932888000, 0.4078752000, 0.4436710000, 0.5366432000, 0.7804752000, 1.4244832000", \ - "0.4758994000, 0.4821128000, 0.4966896000, 0.5323778000, 0.6251923000, 0.8694396000, 1.5137805000", \ - "0.6161230000, 0.6223443000, 0.6369278000, 0.6727305000, 0.7658267000, 1.0096760000, 1.6550288000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0171130000, 0.0213115000, 0.0307600000, 0.0543014000, 0.1183562000, 0.2941899000, 0.7705692000", \ - "0.0170446000, 0.0213605000, 0.0308390000, 0.0543580000, 0.1182652000, 0.2944771000, 0.7644678000", \ - "0.0170439000, 0.0214082000, 0.0308404000, 0.0542997000, 0.1180383000, 0.2943605000, 0.7643778000", \ - "0.0170992000, 0.0213158000, 0.0307587000, 0.0543273000, 0.1183702000, 0.2944563000, 0.7711833000", \ - "0.0171202000, 0.0213058000, 0.0307166000, 0.0541864000, 0.1183767000, 0.2945724000, 0.7634266000", \ - "0.0171509000, 0.0211577000, 0.0308332000, 0.0539894000, 0.1184085000, 0.2947934000, 0.7692701000", \ - "0.0170396000, 0.0212999000, 0.0308384000, 0.0543810000, 0.1182016000, 0.2945399000, 0.7606939000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0205997000, 0.0271489000, 0.0448810000, 0.0937481000, 0.2258714000, 0.5762824000, 1.4995262000", \ - "0.0205498000, 0.0271017000, 0.0448209000, 0.0937774000, 0.2257367000, 0.5756249000, 1.5006987000", \ - "0.0205167000, 0.0270949000, 0.0449189000, 0.0936995000, 0.2260474000, 0.5751327000, 1.5016829000", \ - "0.0206147000, 0.0271483000, 0.0448813000, 0.0937525000, 0.2258319000, 0.5753866000, 1.5010662000", \ - "0.0206088000, 0.0271628000, 0.0449597000, 0.0938010000, 0.2260434000, 0.5757805000, 1.5022940000", \ - "0.0205391000, 0.0271260000, 0.0448388000, 0.0936410000, 0.2255600000, 0.5774792000, 1.4962886000", \ - "0.0205740000, 0.0270915000, 0.0448324000, 0.0938256000, 0.2255587000, 0.5738893000, 1.4965115000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.4412629000, 0.4464550000, 0.4575042000, 0.4805640000, 0.5327826000, 0.6664347000, 1.0189551000", \ - "0.4458921000, 0.4510745000, 0.4620996000, 0.4851682000, 0.5374544000, 0.6711457000, 1.0241459000", \ - "0.4587231000, 0.4639200000, 0.4749525000, 0.4979421000, 0.5502782000, 0.6839374000, 1.0369072000", \ - "0.4895996000, 0.4947852000, 0.5058238000, 0.5288642000, 0.5811958000, 0.7149232000, 1.0670670000", \ - "0.5556453000, 0.5608464000, 0.5718832000, 0.5949437000, 0.6472828000, 0.7807857000, 1.1329659000", \ - "0.6623588000, 0.6675487000, 0.6785998000, 0.7016492000, 0.7538769000, 0.8875287000, 1.2400454000", \ - "0.8255172000, 0.8307164000, 0.8417280000, 0.8648339000, 0.9171582000, 1.0507915000, 1.4029460000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3074117000, 0.3136310000, 0.3282375000, 0.3639785000, 0.4570307000, 0.7009673000, 1.3452310000", \ - "0.3123342000, 0.3185025000, 0.3331096000, 0.3689753000, 0.4615584000, 0.7058743000, 1.3506363000", \ - "0.3248373000, 0.3310648000, 0.3457029000, 0.3814664000, 0.4744232000, 0.7187296000, 1.3615927000", \ - "0.3558789000, 0.3621436000, 0.3766294000, 0.4122850000, 0.5052520000, 0.7487093000, 1.3942928000", \ - "0.4228074000, 0.4290269000, 0.4436640000, 0.4794214000, 0.5724199000, 0.8171151000, 1.4589808000", \ - "0.5356702000, 0.5418791000, 0.5564508000, 0.5922793000, 0.6849392000, 0.9291765000, 1.5742690000", \ - "0.7102363000, 0.7164671000, 0.7311078000, 0.7668745000, 0.8598266000, 1.1041934000, 1.7484402000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0172945000, 0.0210871000, 0.0307983000, 0.0543034000, 0.1176986000, 0.2948076000, 0.7687350000", \ - "0.0170650000, 0.0212525000, 0.0305834000, 0.0542949000, 0.1180736000, 0.2943444000, 0.7611123000", \ - "0.0171358000, 0.0210873000, 0.0305851000, 0.0542364000, 0.1182832000, 0.2942327000, 0.7630241000", \ - "0.0171318000, 0.0210214000, 0.0305974000, 0.0542145000, 0.1181483000, 0.2942034000, 0.7729244000", \ - "0.0170417000, 0.0211513000, 0.0307583000, 0.0541984000, 0.1181398000, 0.2943475000, 0.7656615000", \ - "0.0172861000, 0.0210425000, 0.0306095000, 0.0541249000, 0.1177228000, 0.2948025000, 0.7686843000", \ - "0.0172724000, 0.0210485000, 0.0307261000, 0.0542828000, 0.1181285000, 0.2941231000, 0.7668715000"); - } - related_pin : "GATE_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0205812000, 0.0271615000, 0.0449683000, 0.0938636000, 0.2260616000, 0.5751523000, 1.5041898000", \ - "0.0206011000, 0.0271695000, 0.0449399000, 0.0938421000, 0.2261464000, 0.5773306000, 1.4976046000", \ - "0.0206505000, 0.0272019000, 0.0448977000, 0.0938221000, 0.2263477000, 0.5769419000, 1.4979366000", \ - "0.0206020000, 0.0271416000, 0.0449477000, 0.0938241000, 0.2261304000, 0.5753750000, 1.4984581000", \ - "0.0206381000, 0.0271997000, 0.0449123000, 0.0938067000, 0.2261917000, 0.5768973000, 1.5047492000", \ - "0.0205721000, 0.0270084000, 0.0448607000, 0.0937994000, 0.2258391000, 0.5777020000, 1.4985181000", \ - "0.0206822000, 0.0272261000, 0.0448961000, 0.0938190000, 0.2261915000, 0.5732167000, 1.5017729000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.1481895000, 0.1533369000, 0.1644396000, 0.1874179000, 0.2397369000, 0.3732742000, 0.7252833000", \ - "0.1524190000, 0.1575329000, 0.1686119000, 0.1916963000, 0.2440080000, 0.3774551000, 0.7302863000", \ - "0.1609348000, 0.1660124000, 0.1770376000, 0.2001496000, 0.2523466000, 0.3859582000, 0.7381046000", \ - "0.1788897000, 0.1840952000, 0.1950899000, 0.2181347000, 0.2703874000, 0.4039916000, 0.7568127000", \ - "0.2120394000, 0.2172338000, 0.2283061000, 0.2515682000, 0.3038741000, 0.4372998000, 0.7898699000", \ - "0.2572627000, 0.2619333000, 0.2737723000, 0.2970744000, 0.3489407000, 0.4832083000, 0.8362595000", \ - "0.2962316000, 0.3016099000, 0.3133233000, 0.3373227000, 0.3897939000, 0.5235948000, 0.8756696000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.1595824000, 0.1658485000, 0.1804532000, 0.2162912000, 0.3092246000, 0.5533203000, 1.1977235000", \ - "0.1647875000, 0.1710450000, 0.1857367000, 0.2216505000, 0.3145486000, 0.5587846000, 1.2022560000", \ - "0.1780857000, 0.1843457000, 0.1989330000, 0.2347739000, 0.3276760000, 0.5716810000, 1.2147008000", \ - "0.2101383000, 0.2163287000, 0.2310386000, 0.2669998000, 0.3598529000, 0.6050336000, 1.2498814000", \ - "0.2843219000, 0.2905856000, 0.3051831000, 0.3411712000, 0.4338531000, 0.6780026000, 1.3217590000", \ - "0.4184992000, 0.4249652000, 0.4398833000, 0.4759946000, 0.5689201000, 0.8131444000, 1.4565823000", \ - "0.6353992000, 0.6422781000, 0.6578707000, 0.6941419000, 0.7871582000, 1.0312845000, 1.6764833000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0168963000, 0.0211262000, 0.0305935000, 0.0540968000, 0.1182631000, 0.2946041000, 0.7658267000", \ - "0.0169197000, 0.0211216000, 0.0306058000, 0.0542717000, 0.1181743000, 0.2942611000, 0.7698149000", \ - "0.0172004000, 0.0211233000, 0.0304523000, 0.0542581000, 0.1182289000, 0.2946038000, 0.7738871000", \ - "0.0169226000, 0.0211654000, 0.0306857000, 0.0541618000, 0.1181936000, 0.2941937000, 0.7719067000", \ - "0.0172784000, 0.0214361000, 0.0306074000, 0.0544454000, 0.1182889000, 0.2945832000, 0.7670998000", \ - "0.0177368000, 0.0220526000, 0.0314469000, 0.0546528000, 0.1185171000, 0.2932170000, 0.7691268000", \ - "0.0195905000, 0.0235641000, 0.0327509000, 0.0561915000, 0.1192680000, 0.2946283000, 0.7618375000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0207271000, 0.0272736000, 0.0451009000, 0.0940296000, 0.2258382000, 0.5751531000, 1.4980800000", \ - "0.0207975000, 0.0273324000, 0.0450930000, 0.0939169000, 0.2258528000, 0.5754206000, 1.4966417000", \ - "0.0207100000, 0.0272845000, 0.0451370000, 0.0939978000, 0.2259145000, 0.5749365000, 1.4937162000", \ - "0.0207644000, 0.0273721000, 0.0450803000, 0.0940409000, 0.2258604000, 0.5753997000, 1.4991652000", \ - "0.0208659000, 0.0274074000, 0.0451317000, 0.0939901000, 0.2255734000, 0.5758911000, 1.4986026000", \ - "0.0223838000, 0.0286835000, 0.0460608000, 0.0943730000, 0.2259101000, 0.5750365000, 1.4956408000", \ - "0.0259906000, 0.0323194000, 0.0483900000, 0.0954937000, 0.2256475000, 0.5738093000, 1.4976503000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("RESET_B") { - capacitance : 0.0024650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023320000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044122000, 0.0044466000, 0.0045257000, 0.0045271000, 0.0045303000, 0.0045376000, 0.0045546000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004358900, -0.004404200, -0.004508600, -0.004510900, -0.004516200, -0.004528400, -0.004556400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025980000; - timing () { - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.062697300, -0.004722000, -0.005535800", \ - "-0.178045600, -0.118849600, -0.118442700", \ - "-0.251694600, -0.191278000, -0.190871100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0789043000, 0.0197083000, 0.0193014000", \ - "0.1930319000, 0.1338359000, 0.1322083000", \ - "0.2679017000, 0.2050436000, 0.2046367000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1302382000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dlrbn_2") { - leakage_power () { - value : 0.0108737000; - when : "!RESET_B&D&!GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0092184000; - when : "!RESET_B&!D&GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0106677000; - when : "!RESET_B&D&GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0109512000; - when : "RESET_B&D&GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0135940000; - when : "RESET_B&!D&GATE_N&Q&!Q_N"; - } - leakage_power () { - value : 0.0145149000; - when : "RESET_B&D&!GATE_N&Q&!Q_N"; - } - leakage_power () { - value : 0.0095019000; - when : "RESET_B&!D&GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0092976000; - when : "!RESET_B&!D&!GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0095810000; - when : "RESET_B&!D&!GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0144204000; - when : "RESET_B&D&GATE_N&Q&!Q_N"; - } - area : 22.521600000; - cell_footprint : "sky130_fd_sc_hd__dlrbn"; - cell_leakage_power : 0.0112620800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - clear : "!RESET_B"; - data_in : "D"; - enable : "!GATE_N"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017880000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016960000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0181389000, 0.0180230000, 0.0177557000, 0.0178326000, 0.0180096000, 0.0184179000, 0.0193590000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092909000, 0.0091863000, 0.0089452000, 0.0090048000, 0.0091423000, 0.0094592000, 0.0101899000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018790000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1240703000, 0.2833639000, 0.4534486000", \ - "0.0819642000, 0.2388164000, 0.4064596000", \ - "0.1035300000, 0.2542786000, 0.4170391000"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1948711000, 0.2784811000, 0.3106263000", \ - "0.0746400000, 0.1570293000, 0.1891745000", \ - "-0.001450500, 0.0821595000, 0.1143047000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.106642600, -0.269598300, -0.442124300", \ - "-0.059653600, -0.221388700, -0.391473300", \ - "-0.059246700, -0.219761100, -0.388625000"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.178664100, -0.263494800, -0.296860700", \ - "-0.059653600, -0.144484400, -0.176629600", \ - "0.0152161000, -0.070835300, -0.102980500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE_N") { - capacitance : 0.0017750000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0173037000, 0.0171758000, 0.0168811000, 0.0169425000, 0.0170840000, 0.0174104000, 0.0181628000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0104272000, 0.0102935000, 0.0099855000, 0.0100270000, 0.0101225000, 0.0103430000, 0.0108512000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018580000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1555040000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "GATE_N"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("-0.006208300, -0.001573900, 0.0078467000, 0.0177267000, 0.0038858000, -0.086652500, -0.382371600", \ - "-0.006158400, -0.001524400, 0.0078684000, 0.0176227000, 0.0038124000, -0.086751000, -0.382498000", \ - "-0.006035100, -0.001410300, 0.0079508000, 0.0177112000, 0.0038471000, -0.086740200, -0.382481600", \ - "-0.006074600, -0.001456800, 0.0079102000, 0.0176607000, 0.0037298000, -0.086867500, -0.382625000", \ - "-0.006163200, -0.001539400, 0.0078384000, 0.0176054000, 0.0036863000, -0.086900100, -0.382642000", \ - "-0.006354300, -0.001722600, 0.0076731000, 0.0174666000, 0.0036363000, -0.086883000, -0.382564500", \ - "-0.006780200, -0.002074800, 0.0074813000, 0.0175566000, 0.0040685000, -0.086406300, -0.382042200"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("-0.003587300, -0.001643900, 0.0036377000, 0.0179890000, 0.0558263000, 0.1600859000, 0.4590790000", \ - "-0.003543500, -0.001615100, 0.0036648000, 0.0179431000, 0.0557960000, 0.1597019000, 0.4593262000", \ - "-0.003430500, -0.001513700, 0.0037360000, 0.0179672000, 0.0557740000, 0.1596037000, 0.4618387000", \ - "-0.003472900, -0.001571000, 0.0036431000, 0.0178250000, 0.0555061000, 0.1601909000, 0.4585739000", \ - "-0.003540000, -0.001641400, 0.0035696000, 0.0177487000, 0.0553725000, 0.1601165000, 0.4602914000", \ - "-0.003687800, -0.001765600, 0.0035020000, 0.0177569000, 0.0554523000, 0.1594719000, 0.4608911000", \ - "-0.003981000, -0.001944900, 0.0036029000, 0.0181592000, 0.0563075000, 0.1610233000, 0.4584438000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("-0.005462000, -0.000256000, 0.0103691000, 0.0221787000, 0.0104121000, -0.078846600, -0.374067000", \ - "-0.005404600, -0.000207300, 0.0104349000, 0.0222510000, 0.0104600000, -0.078885800, -0.373930600", \ - "-0.005266300, -7.84500e-05, 0.0105098000, 0.0222557000, 0.0103798000, -0.078935900, -0.374100800", \ - "-0.005299400, -0.000135600, 0.0104455000, 0.0221443000, 0.0101657000, -0.079180700, -0.374364500", \ - "-0.005380200, -0.000230900, 0.0103207000, 0.0219698000, 0.0099486000, -0.079460700, -0.374587300", \ - "-0.005543300, -0.000390400, 0.0101369000, 0.0217615000, 0.0098376000, -0.079522200, -0.374702800", \ - "-0.005887400, -0.000680700, 0.0099929000, 0.0218017000, 0.0101306000, -0.079172700, -0.374281000"); - } - related_pin : "GATE_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("-0.007033100, -0.004149700, 0.0032740000, 0.0209864000, 0.0622424000, 0.1693717000, 0.4708400000", \ - "-0.006977200, -0.004108000, 0.0032712000, 0.0209383000, 0.0621103000, 0.1686989000, 0.4712652000", \ - "-0.006836600, -0.003984500, 0.0033767000, 0.0209836000, 0.0621476000, 0.1685187000, 0.4690427000", \ - "-0.006873800, -0.004027000, 0.0033025000, 0.0208632000, 0.0620583000, 0.1684909000, 0.4708500000", \ - "-0.006949100, -0.004111700, 0.0032178000, 0.0207706000, 0.0618509000, 0.1688725000, 0.4706119000", \ - "-0.007104500, -0.004252100, 0.0030923000, 0.0207076000, 0.0619130000, 0.1681629000, 0.4711933000", \ - "-0.007420200, -0.004465500, 0.0031217000, 0.0209860000, 0.0622932000, 0.1685606000, 0.4713932000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0005844000, 0.0051262000, 0.0142936000, 0.0236391000, 0.0092179000, -0.081804300, -0.377753700", \ - "0.0005662000, 0.0051005000, 0.0142700000, 0.0236115000, 0.0091983000, -0.081863900, -0.377774400", \ - "0.0005203000, 0.0050380000, 0.0141657000, 0.0234334000, 0.0089833000, -0.082048700, -0.377911000", \ - "0.0005069000, 0.0050078000, 0.0140821000, 0.0232776000, 0.0087816000, -0.082268500, -0.378186600", \ - "0.0004882000, 0.0049572000, 0.0139354000, 0.0229632000, 0.0083745000, -0.082699100, -0.378615500", \ - "0.0004861000, 0.0049491000, 0.0138880000, 0.0228420000, 0.0081342000, -0.082925800, -0.378813800", \ - "0.0005420000, 0.0050966000, 0.0142188000, 0.0232760000, 0.0087529000, -0.082248100, -0.378112900"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0027524000, 0.0038582000, 0.0073286000, 0.0186541000, 0.0532959000, 0.1553417000, 0.4552877000", \ - "0.0027364000, 0.0038407000, 0.0072955000, 0.0185998000, 0.0532335000, 0.1552821000, 0.4535803000", \ - "0.0027003000, 0.0037901000, 0.0072155000, 0.0184569000, 0.0529879000, 0.1546424000, 0.4516539000", \ - "0.0026891000, 0.0037462000, 0.0070926000, 0.0182344000, 0.0527425000, 0.1548470000, 0.4527267000", \ - "0.0027001000, 0.0037490000, 0.0070770000, 0.0180746000, 0.0526307000, 0.1548538000, 0.4528870000", \ - "0.0027584000, 0.0038456000, 0.0072719000, 0.0182589000, 0.0527575000, 0.1548584000, 0.4562195000", \ - "0.0028832000, 0.0040307000, 0.0075882000, 0.0189530000, 0.0537999000, 0.1566179000, 0.4524672000"); - } - } - max_capacitance : 0.2856540000; - max_transition : 1.4986490000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.2378108000, 0.2429029000, 0.2544590000, 0.2779692000, 0.3271603000, 0.4430739000, 0.7612790000", \ - "0.2427952000, 0.2478735000, 0.2594245000, 0.2829980000, 0.3321053000, 0.4480037000, 0.7660182000", \ - "0.2559655000, 0.2610544000, 0.2725666000, 0.2961473000, 0.3452547000, 0.4611554000, 0.7794861000", \ - "0.2872174000, 0.2922939000, 0.3038239000, 0.3273748000, 0.3765641000, 0.4924767000, 0.8106514000", \ - "0.3441464000, 0.3492324000, 0.3607574000, 0.3843040000, 0.4334974000, 0.5494120000, 0.8675765000", \ - "0.4330401000, 0.4381285000, 0.4496422000, 0.4733001000, 0.5224137000, 0.6383353000, 0.9564941000", \ - "0.5732805000, 0.5783345000, 0.5898790000, 0.6134434000, 0.6625770000, 0.7785421000, 1.0967077000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.3421449000, 0.3488167000, 0.3640169000, 0.3985521000, 0.4840053000, 0.7187289000, 1.3939033000", \ - "0.3467528000, 0.3532985000, 0.3687385000, 0.4032011000, 0.4886185000, 0.7232778000, 1.3960629000", \ - "0.3580013000, 0.3645531000, 0.3799864000, 0.4144549000, 0.4998678000, 0.7345378000, 1.4073297000", \ - "0.3786828000, 0.3852643000, 0.4007110000, 0.4352402000, 0.5207105000, 0.7552027000, 1.4272193000", \ - "0.4060277000, 0.4126450000, 0.4279966000, 0.4625445000, 0.5479692000, 0.7824644000, 1.4549594000", \ - "0.4371535000, 0.4436855000, 0.4590371000, 0.4935917000, 0.5790161000, 0.8137211000, 1.4862951000", \ - "0.4596980000, 0.4662282000, 0.4816512000, 0.5161829000, 0.6016547000, 0.8362127000, 1.5063736000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0215630000, 0.0247741000, 0.0329708000, 0.0517854000, 0.1005459000, 0.2401010000, 0.6675936000", \ - "0.0215366000, 0.0249355000, 0.0330937000, 0.0518804000, 0.1003178000, 0.2400892000, 0.6629045000", \ - "0.0215086000, 0.0248387000, 0.0330585000, 0.0518895000, 0.1004506000, 0.2402265000, 0.6635986000", \ - "0.0215002000, 0.0251711000, 0.0328706000, 0.0517759000, 0.1005416000, 0.2400624000, 0.6643211000", \ - "0.0217881000, 0.0250660000, 0.0328756000, 0.0517926000, 0.1005476000, 0.2400606000, 0.6675786000", \ - "0.0218006000, 0.0250864000, 0.0328475000, 0.0519256000, 0.1004394000, 0.2401618000, 0.6674258000", \ - "0.0215783000, 0.0249419000, 0.0328177000, 0.0517446000, 0.1006832000, 0.2401927000, 0.6611970000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0282097000, 0.0334669000, 0.0473556000, 0.0844726000, 0.1968541000, 0.5269331000, 1.4967131000", \ - "0.0280826000, 0.0335892000, 0.0471194000, 0.0844700000, 0.1969163000, 0.5269806000, 1.4908210000", \ - "0.0280826000, 0.0335903000, 0.0471207000, 0.0844720000, 0.1969163000, 0.5270617000, 1.4909168000", \ - "0.0283198000, 0.0335858000, 0.0473391000, 0.0845118000, 0.1971959000, 0.5282640000, 1.4938495000", \ - "0.0283252000, 0.0335240000, 0.0472093000, 0.0844491000, 0.1970432000, 0.5264685000, 1.4929588000", \ - "0.0281733000, 0.0336551000, 0.0470663000, 0.0844874000, 0.1965903000, 0.5279612000, 1.4950895000", \ - "0.0283289000, 0.0336963000, 0.0473373000, 0.0845139000, 0.1972739000, 0.5283409000, 1.4908093000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.2643328000, 0.2695295000, 0.2811503000, 0.3049295000, 0.3541503000, 0.4701310000, 0.7884156000", \ - "0.2692069000, 0.2743838000, 0.2860401000, 0.3098118000, 0.3590589000, 0.4750274000, 0.7930119000", \ - "0.2819226000, 0.2871250000, 0.2987432000, 0.3225507000, 0.3717772000, 0.4877464000, 0.8060426000", \ - "0.3126031000, 0.3177965000, 0.3294373000, 0.3531868000, 0.4024494000, 0.5184253000, 0.8362891000", \ - "0.3795199000, 0.3846787000, 0.3963451000, 0.4200892000, 0.4693647000, 0.5853427000, 0.9034799000", \ - "0.4925205000, 0.4977149000, 0.5093397000, 0.5330921000, 0.5823774000, 0.6983852000, 1.0164356000", \ - "0.6668838000, 0.6721150000, 0.6837632000, 0.7075740000, 0.7569018000, 0.8729255000, 1.1913385000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.4030007000, 0.4096488000, 0.4250068000, 0.4596781000, 0.5452004000, 0.7799237000, 1.4532827000", \ - "0.4075888000, 0.4142223000, 0.4296652000, 0.4642733000, 0.5498368000, 0.7845057000, 1.4585312000", \ - "0.4204433000, 0.4271034000, 0.4424838000, 0.4771282000, 0.5626630000, 0.7974137000, 1.4686756000", \ - "0.4513100000, 0.4579052000, 0.4733482000, 0.5079908000, 0.5935284000, 0.8282041000, 1.4988041000", \ - "0.5174304000, 0.5240043000, 0.5394393000, 0.5740850000, 0.6596518000, 0.8943798000, 1.5665014000", \ - "0.6241252000, 0.6307192000, 0.6461523000, 0.6808021000, 0.7663165000, 1.0009504000, 1.6723198000", \ - "0.7874130000, 0.7940295000, 0.8094518000, 0.8440973000, 0.9296461000, 1.1643742000, 1.8349641000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0219879000, 0.0253914000, 0.0331633000, 0.0520105000, 0.1005146000, 0.2408485000, 0.6637081000", \ - "0.0219306000, 0.0252805000, 0.0334665000, 0.0520761000, 0.1005307000, 0.2401920000, 0.6620480000", \ - "0.0219756000, 0.0253809000, 0.0332293000, 0.0521852000, 0.1007003000, 0.2402034000, 0.6677310000", \ - "0.0220952000, 0.0253758000, 0.0334626000, 0.0520639000, 0.1007308000, 0.2408061000, 0.6676075000", \ - "0.0221160000, 0.0253728000, 0.0331190000, 0.0520644000, 0.1007917000, 0.2400751000, 0.6668682000", \ - "0.0221289000, 0.0253812000, 0.0331336000, 0.0518575000, 0.1005168000, 0.2401128000, 0.6687396000", \ - "0.0223289000, 0.0253988000, 0.0333257000, 0.0523190000, 0.1006164000, 0.2407788000, 0.6624798000"); - } - related_pin : "GATE_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0281157000, 0.0331967000, 0.0470562000, 0.0845603000, 0.1967760000, 0.5275037000, 1.4950514000", \ - "0.0281603000, 0.0333926000, 0.0470513000, 0.0846317000, 0.1965029000, 0.5273920000, 1.4928551000", \ - "0.0280904000, 0.0331745000, 0.0470712000, 0.0845388000, 0.1967931000, 0.5274970000, 1.4945954000", \ - "0.0280522000, 0.0333975000, 0.0470389000, 0.0845119000, 0.1967584000, 0.5275941000, 1.4962741000", \ - "0.0280937000, 0.0333180000, 0.0470147000, 0.0845926000, 0.1967456000, 0.5276077000, 1.4950277000", \ - "0.0280970000, 0.0333412000, 0.0470143000, 0.0845568000, 0.1970805000, 0.5274595000, 1.4986485000", \ - "0.0280598000, 0.0333527000, 0.0470539000, 0.0845976000, 0.1966870000, 0.5264745000, 1.4976986000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.1174020000, 0.1227544000, 0.1347189000, 0.1590819000, 0.2103064000, 0.3262425000, 0.6436435000", \ - "0.1226884000, 0.1279835000, 0.1399921000, 0.1643765000, 0.2156296000, 0.3315740000, 0.6489746000", \ - "0.1356265000, 0.1409369000, 0.1529320000, 0.1773555000, 0.2286280000, 0.3445864000, 0.6621060000", \ - "0.1675341000, 0.1728407000, 0.1847465000, 0.2090916000, 0.2604483000, 0.3764428000, 0.6938412000", \ - "0.2421603000, 0.2475399000, 0.2596123000, 0.2840304000, 0.3355482000, 0.4515247000, 0.7690916000", \ - "0.3750771000, 0.3822660000, 0.3980671000, 0.4282267000, 0.4848709000, 0.6014536000, 0.9186962000", \ - "0.5873930000, 0.5969677000, 0.6183664000, 0.6589134000, 0.7292987000, 0.8479064000, 1.1649662000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.1080250000, 0.1143536000, 0.1292203000, 0.1631211000, 0.2476526000, 0.4814314000, 1.1531285000", \ - "0.1122785000, 0.1186159000, 0.1334831000, 0.1673996000, 0.2519918000, 0.4859547000, 1.1575242000", \ - "0.1208482000, 0.1272272000, 0.1420951000, 0.1759831000, 0.2606342000, 0.4945031000, 1.1652623000", \ - "0.1397667000, 0.1460284000, 0.1608993000, 0.1947839000, 0.2795882000, 0.5137578000, 1.1854767000", \ - "0.1752395000, 0.1819873000, 0.1975726000, 0.2324946000, 0.3179717000, 0.5523855000, 1.2232072000", \ - "0.2244840000, 0.2325359000, 0.2501745000, 0.2876393000, 0.3750649000, 0.6104041000, 1.2835731000", \ - "0.2678657000, 0.2783763000, 0.3009697000, 0.3454425000, 0.4369826000, 0.6726210000, 1.3436908000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0230309000, 0.0265318000, 0.0344523000, 0.0543832000, 0.1040204000, 0.2393293000, 0.6617554000", \ - "0.0228443000, 0.0262761000, 0.0344534000, 0.0543808000, 0.1039589000, 0.2393303000, 0.6650275000", \ - "0.0232258000, 0.0263158000, 0.0344979000, 0.0543951000, 0.1038663000, 0.2393639000, 0.6668013000", \ - "0.0228346000, 0.0266428000, 0.0344261000, 0.0543735000, 0.1039548000, 0.2392987000, 0.6649168000", \ - "0.0243708000, 0.0277655000, 0.0354973000, 0.0552120000, 0.1039103000, 0.2392357000, 0.6669331000", \ - "0.0360740000, 0.0398342000, 0.0485762000, 0.0679059000, 0.1115706000, 0.2407920000, 0.6663969000", \ - "0.0542591000, 0.0594863000, 0.0715357000, 0.0947867000, 0.1316556000, 0.2441725000, 0.6610498000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0260714000, 0.0315515000, 0.0453414000, 0.0832847000, 0.1966728000, 0.5272365000, 1.4917083000", \ - "0.0260542000, 0.0315283000, 0.0453574000, 0.0832465000, 0.1965049000, 0.5270140000, 1.4966842000", \ - "0.0261201000, 0.0315021000, 0.0452967000, 0.0832942000, 0.1964307000, 0.5274470000, 1.4876698000", \ - "0.0262145000, 0.0315995000, 0.0452958000, 0.0832215000, 0.1965635000, 0.5274202000, 1.4940861000", \ - "0.0288313000, 0.0344069000, 0.0478634000, 0.0851710000, 0.1966689000, 0.5276403000, 1.4910574000", \ - "0.0356536000, 0.0414539000, 0.0553543000, 0.0904774000, 0.2002070000, 0.5285400000, 1.4960728000", \ - "0.0494880000, 0.0564085000, 0.0709820000, 0.1052343000, 0.2072041000, 0.5296046000, 1.4908869000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("-0.002188000, 0.0019018000, 0.0101997000, 0.0179820000, 0.0004828000, -0.100761900, -0.429808700", \ - "-0.002139200, 0.0019433000, 0.0102230000, 0.0179645000, 0.0004365000, -0.100847700, -0.429899400", \ - "-0.002028100, 0.0020437000, 0.0102947000, 0.0179886000, 0.0004139000, -0.100901400, -0.429963500", \ - "-0.002067700, 0.0019833000, 0.0101964000, 0.0178532000, 0.0001813000, -0.101089600, -0.430207400", \ - "-0.002134600, 0.0019196000, 0.0101292000, 0.0177703000, 0.0001299000, -0.101170900, -0.430291700", \ - "-0.002282100, 0.0017876000, 0.0100434000, 0.0177742000, 0.0001992000, -0.101019100, -0.430109100", \ - "-0.002587900, 0.0015833000, 0.0100784000, 0.0181830000, 0.0007567000, -0.100564100, -0.429608000"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("-0.007799700, -0.005424900, 0.0010892000, 0.0177419000, 0.0597183000, 0.1747181000, 0.5090493000", \ - "-0.007750000, -0.005391700, 0.0010700000, 0.0176452000, 0.0597849000, 0.1741366000, 0.5090504000", \ - "-0.007623500, -0.005273700, 0.0011555000, 0.0177290000, 0.0596252000, 0.1747309000, 0.5092636000", \ - "-0.007662300, -0.005317000, 0.0011312000, 0.0176196000, 0.0595627000, 0.1753530000, 0.5070827000", \ - "-0.007748500, -0.005399100, 0.0010613000, 0.0176226000, 0.0595552000, 0.1745762000, 0.5092069000", \ - "-0.007945100, -0.005581400, 0.0008950000, 0.0174750000, 0.0594706000, 0.1745407000, 0.5062988000", \ - "-0.008348200, -0.005881000, 0.0007623000, 0.0175674000, 0.0597456000, 0.1751658000, 0.5092184000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("-0.005654600, -0.000635600, 0.0097724000, 0.0210017000, 0.0069328000, -0.092163100, -0.420234900", \ - "-0.005597100, -0.000591800, 0.0097779000, 0.0209743000, 0.0068097000, -0.092266100, -0.420420500", \ - "-0.005457600, -0.000465900, 0.0098734000, 0.0210195000, 0.0068048000, -0.092301600, -0.420469300", \ - "-0.005494700, -0.000512500, 0.0098266000, 0.0209076000, 0.0066925000, -0.092446700, -0.420592600", \ - "-0.005570100, -0.000594100, 0.0097076000, 0.0207799000, 0.0065454000, -0.092626500, -0.420826500", \ - "-0.005726100, -0.000733700, 0.0096044000, 0.0207258000, 0.0065638000, -0.092578100, -0.420760000", \ - "-0.006052900, -0.000973600, 0.0095615000, 0.0210276000, 0.0068544000, -0.091918600, -0.420018000"); - } - related_pin : "GATE_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("-0.007070300, -0.004152400, 0.0035853000, 0.0222161000, 0.0662386000, 0.1832342000, 0.5156061000", \ - "-0.007005800, -0.004090400, 0.0036466000, 0.0222454000, 0.0662680000, 0.1833924000, 0.5152014000", \ - "-0.006867800, -0.003968800, 0.0037284000, 0.0223086000, 0.0663891000, 0.1821414000, 0.5150387000", \ - "-0.006907900, -0.004026700, 0.0036317000, 0.0221315000, 0.0662145000, 0.1819428000, 0.5175879000", \ - "-0.006985100, -0.004113000, 0.0035226000, 0.0219945000, 0.0660019000, 0.1817458000, 0.5173868000", \ - "-0.007149000, -0.004284700, 0.0033390000, 0.0217965000, 0.0656433000, 0.1817176000, 0.5141735000", \ - "-0.007466800, -0.004512800, 0.0032228000, 0.0218151000, 0.0657915000, 0.1819267000, 0.5173836000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("0.0041778000, 0.0074465000, 0.0138878000, 0.0186739000, -0.001886500, -0.104980400, -0.434975000", \ - "0.0041627000, 0.0074319000, 0.0138674000, 0.0186186000, -0.001946800, -0.105017400, -0.435017500", \ - "0.0041221000, 0.0073650000, 0.0137392000, 0.0184201000, -0.002279300, -0.105359400, -0.435330000", \ - "0.0041152000, 0.0073383000, 0.0136645000, 0.0182338000, -0.002464200, -0.105671500, -0.435622500", \ - "0.0041226000, 0.0073187000, 0.0136153000, 0.0180821000, -0.002791200, -0.106030700, -0.435983800", \ - "0.0041627000, 0.0073908000, 0.0137167000, 0.0180686000, -0.002544700, -0.105891700, -0.436070000", \ - "0.0042743000, 0.0075689000, 0.0140009000, 0.0189027000, -0.001713700, -0.104653900, -0.434879800"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("-0.001018200, 0.0012332000, 0.0074714000, 0.0236024000, 0.0652209000, 0.1807827000, 0.5109440000", \ - "-0.001042400, 0.0011913000, 0.0074095000, 0.0235132000, 0.0650362000, 0.1799178000, 0.5115972000", \ - "-0.001088300, 0.0011379000, 0.0073122000, 0.0233697000, 0.0648539000, 0.1795048000, 0.5110546000", \ - "-0.001099800, 0.0011084000, 0.0072578000, 0.0232469000, 0.0646266000, 0.1795778000, 0.5129134000", \ - "-0.001119800, 0.0010572000, 0.0071336000, 0.0230010000, 0.0642587000, 0.1791255000, 0.5124280000", \ - "-0.001135000, 0.0010248000, 0.0070581000, 0.0228080000, 0.0640682000, 0.1795325000, 0.5098674000", \ - "-0.001062200, 0.0012107000, 0.0073692000, 0.0233490000, 0.0648013000, 0.1796627000, 0.5110624000"); - } - } - max_capacitance : 0.3140360000; - max_transition : 1.5053990000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.4286606000, 0.4334144000, 0.4440618000, 0.4659587000, 0.5123955000, 0.6292741000, 0.9645803000", \ - "0.4332647000, 0.4380155000, 0.4486871000, 0.4705971000, 0.5170215000, 0.6338529000, 0.9690008000", \ - "0.4445172000, 0.4492670000, 0.4599406000, 0.4818519000, 0.5282753000, 0.6451026000, 0.9802548000", \ - "0.4653410000, 0.4701780000, 0.4807785000, 0.5026529000, 0.5491707000, 0.6658217000, 1.0008001000", \ - "0.4926450000, 0.4973893000, 0.5080413000, 0.5299419000, 0.5763718000, 0.6932475000, 1.0282517000", \ - "0.5235974000, 0.5283877000, 0.5390226000, 0.5607492000, 0.6073314000, 0.7239749000, 1.0582647000", \ - "0.5465974000, 0.5513198000, 0.5619912000, 0.5839006000, 0.6303169000, 0.7470736000, 1.0813666000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.3239211000, 0.3292739000, 0.3419143000, 0.3717735000, 0.4519835000, 0.6837221000, 1.3614595000", \ - "0.3288306000, 0.3342225000, 0.3468190000, 0.3767100000, 0.4569732000, 0.6884410000, 1.3680990000", \ - "0.3419862000, 0.3473768000, 0.3599617000, 0.3898519000, 0.4698933000, 0.7012909000, 1.3787310000", \ - "0.3733502000, 0.3787025000, 0.3913068000, 0.4211983000, 0.5011330000, 0.7335071000, 1.4104755000", \ - "0.4302551000, 0.4356081000, 0.4482462000, 0.4780997000, 0.5581397000, 0.7892776000, 1.4667729000", \ - "0.5190974000, 0.5245011000, 0.5371660000, 0.5670786000, 0.6470713000, 0.8791666000, 1.5569354000", \ - "0.6593321000, 0.6647517000, 0.6773434000, 0.7073152000, 0.7875679000, 1.0185980000, 1.6966359000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0198841000, 0.0229701000, 0.0303201000, 0.0479967000, 0.0962756000, 0.2440620000, 0.6932089000", \ - "0.0198559000, 0.0230423000, 0.0302190000, 0.0479087000, 0.0960221000, 0.2438254000, 0.6894618000", \ - "0.0198607000, 0.0230492000, 0.0302083000, 0.0479017000, 0.0960071000, 0.2438137000, 0.6893801000", \ - "0.0197451000, 0.0229199000, 0.0300383000, 0.0480313000, 0.0958723000, 0.2432438000, 0.6938539000", \ - "0.0198776000, 0.0229795000, 0.0303009000, 0.0479769000, 0.0961271000, 0.2439267000, 0.6957499000", \ - "0.0199028000, 0.0229716000, 0.0300516000, 0.0477535000, 0.0960422000, 0.2436230000, 0.6971587000", \ - "0.0196325000, 0.0229909000, 0.0301758000, 0.0478684000, 0.0958824000, 0.2425090000, 0.6864470000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0207383000, 0.0251140000, 0.0371917000, 0.0731801000, 0.1848571000, 0.5176630000, 1.5006605000", \ - "0.0208080000, 0.0251306000, 0.0371710000, 0.0731783000, 0.1847275000, 0.5189139000, 1.5020264000", \ - "0.0207839000, 0.0251547000, 0.0372190000, 0.0731974000, 0.1849590000, 0.5190227000, 1.5000520000", \ - "0.0207470000, 0.0251524000, 0.0370796000, 0.0730396000, 0.1846261000, 0.5194911000, 1.5010461000", \ - "0.0207396000, 0.0251186000, 0.0371932000, 0.0731705000, 0.1849234000, 0.5191499000, 1.5029868000", \ - "0.0208830000, 0.0252321000, 0.0370534000, 0.0731008000, 0.1845675000, 0.5186268000, 1.4974488000", \ - "0.0207476000, 0.0250297000, 0.0371837000, 0.0731887000, 0.1850027000, 0.5176669000, 1.5007271000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.4897039000, 0.4944585000, 0.5051387000, 0.5269950000, 0.5734714000, 0.6902701000, 1.0253390000", \ - "0.4944974000, 0.4992645000, 0.5099309000, 0.5317196000, 0.5782800000, 0.6950924000, 1.0300538000", \ - "0.5070718000, 0.5118390000, 0.5225020000, 0.5442938000, 0.5908545000, 0.7076669000, 1.0426284000", \ - "0.5380931000, 0.5428638000, 0.5535485000, 0.5754525000, 0.6218895000, 0.7385878000, 1.0735982000", \ - "0.6040691000, 0.6087776000, 0.6194520000, 0.6412715000, 0.6876406000, 0.8042680000, 1.1392416000", \ - "0.7108352000, 0.7156014000, 0.7262868000, 0.7480462000, 0.7945722000, 0.9114033000, 1.2452607000", \ - "0.8739653000, 0.8787615000, 0.8894390000, 0.9112897000, 0.9577414000, 1.0745571000, 1.4086435000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.3508231000, 0.3562621000, 0.3689187000, 0.3988138000, 0.4791478000, 0.7109920000, 1.3893675000", \ - "0.3557867000, 0.3612244000, 0.3738380000, 0.4036235000, 0.4840878000, 0.7160098000, 1.3947101000", \ - "0.3684918000, 0.3739194000, 0.3865697000, 0.4164605000, 0.4966762000, 0.7286454000, 1.4063419000", \ - "0.3992979000, 0.4047387000, 0.4173506000, 0.4472040000, 0.5275033000, 0.7588196000, 1.4363285000", \ - "0.4660782000, 0.4715098000, 0.4841627000, 0.5140562000, 0.5942564000, 0.8256116000, 1.5030512000", \ - "0.5790677000, 0.5844477000, 0.5971236000, 0.6270423000, 0.7072136000, 0.9391823000, 1.6180088000", \ - "0.7535956000, 0.7590014000, 0.7715892000, 0.8015467000, 0.8816910000, 1.1128692000, 1.7899264000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0196598000, 0.0227317000, 0.0299899000, 0.0480887000, 0.0958563000, 0.2439715000, 0.6954696000", \ - "0.0196677000, 0.0227966000, 0.0300050000, 0.0477340000, 0.0961633000, 0.2439395000, 0.6955108000", \ - "0.0196673000, 0.0227962000, 0.0300053000, 0.0477324000, 0.0961633000, 0.2439395000, 0.6955109000", \ - "0.0196726000, 0.0227240000, 0.0301624000, 0.0477891000, 0.0958498000, 0.2433274000, 0.6929301000", \ - "0.0196698000, 0.0229363000, 0.0300937000, 0.0479521000, 0.0955412000, 0.2434098000, 0.6889430000", \ - "0.0196014000, 0.0227510000, 0.0301697000, 0.0477935000, 0.0961152000, 0.2438839000, 0.6878012000", \ - "0.0199086000, 0.0227615000, 0.0300297000, 0.0479013000, 0.0958926000, 0.2437755000, 0.6920385000"); - } - related_pin : "GATE_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0207494000, 0.0252018000, 0.0372340000, 0.0731214000, 0.1851450000, 0.5197487000, 1.5010101000", \ - "0.0206369000, 0.0251617000, 0.0371736000, 0.0732168000, 0.1852156000, 0.5190113000, 1.4963158000", \ - "0.0208275000, 0.0251530000, 0.0371691000, 0.0731523000, 0.1851346000, 0.5176927000, 1.5019819000", \ - "0.0206942000, 0.0251609000, 0.0371683000, 0.0732275000, 0.1851485000, 0.5182972000, 1.5053714000", \ - "0.0208360000, 0.0251221000, 0.0371716000, 0.0731811000, 0.1850910000, 0.5182964000, 1.5053992000", \ - "0.0208505000, 0.0250947000, 0.0371657000, 0.0732212000, 0.1849578000, 0.5192978000, 1.4986903000", \ - "0.0208518000, 0.0252124000, 0.0372747000, 0.0733502000, 0.1850366000, 0.5175271000, 1.5030612000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.1935860000, 0.1983474000, 0.2089850000, 0.2308445000, 0.2773391000, 0.3940339000, 0.7290691000", \ - "0.1978473000, 0.2026350000, 0.2132899000, 0.2351355000, 0.2815752000, 0.3983524000, 0.7327448000", \ - "0.2065075000, 0.2113065000, 0.2218973000, 0.2437066000, 0.2901967000, 0.4069264000, 0.7411483000", \ - "0.2250430000, 0.2298646000, 0.2404991000, 0.2623606000, 0.3087276000, 0.4256133000, 0.7606392000", \ - "0.2625677000, 0.2674823000, 0.2780046000, 0.2999298000, 0.3465534000, 0.4632603000, 0.7986400000", \ - "0.3179183000, 0.3228918000, 0.3336097000, 0.3551184000, 0.4023440000, 0.5193028000, 0.8532727000", \ - "0.3769521000, 0.3819381000, 0.3932329000, 0.4157374000, 0.4627611000, 0.5798776000, 0.9144917000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.2067050000, 0.2122148000, 0.2249506000, 0.2550740000, 0.3351570000, 0.5668689000, 1.2428288000", \ - "0.2119450000, 0.2174045000, 0.2301961000, 0.2602560000, 0.3403688000, 0.5719978000, 1.2492837000", \ - "0.2251310000, 0.2305981000, 0.2433455000, 0.2734433000, 0.3535572000, 0.5845853000, 1.2625475000", \ - "0.2566757000, 0.2621579000, 0.2749953000, 0.3051217000, 0.3850865000, 0.6167957000, 1.2966750000", \ - "0.3316452000, 0.3371338000, 0.3499681000, 0.3801079000, 0.4600491000, 0.6918003000, 1.3717372000", \ - "0.4810590000, 0.4867561000, 0.4998410000, 0.5300771000, 0.6102915000, 0.8418433000, 1.5183513000", \ - "0.7253738000, 0.7318799000, 0.7457092000, 0.7767308000, 0.8567745000, 1.0884160000, 1.7694014000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0197322000, 0.0227236000, 0.0300284000, 0.0479323000, 0.0959244000, 0.2437390000, 0.6924787000", \ - "0.0198250000, 0.0228898000, 0.0298702000, 0.0479048000, 0.0959739000, 0.2437440000, 0.6981372000", \ - "0.0197740000, 0.0226100000, 0.0302388000, 0.0479240000, 0.0959979000, 0.2437306000, 0.6979889000", \ - "0.0195323000, 0.0225239000, 0.0298567000, 0.0477084000, 0.0962120000, 0.2439207000, 0.6912044000", \ - "0.0197939000, 0.0227619000, 0.0299857000, 0.0478435000, 0.0959851000, 0.2433284000, 0.6918344000", \ - "0.0205143000, 0.0234513000, 0.0308635000, 0.0485784000, 0.0961650000, 0.2422823000, 0.6979582000", \ - "0.0219086000, 0.0250413000, 0.0320168000, 0.0498889000, 0.0973321000, 0.2443943000, 0.6897194000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0211758000, 0.0256721000, 0.0376963000, 0.0734123000, 0.1849882000, 0.5197414000, 1.4977458000", \ - "0.0213650000, 0.0258220000, 0.0377377000, 0.0735296000, 0.1847921000, 0.5195205000, 1.4973772000", \ - "0.0211682000, 0.0257196000, 0.0377563000, 0.0734104000, 0.1847645000, 0.5184713000, 1.4987952000", \ - "0.0214370000, 0.0258385000, 0.0376864000, 0.0734409000, 0.1849428000, 0.5191299000, 1.4994538000", \ - "0.0215290000, 0.0258517000, 0.0377423000, 0.0735287000, 0.1849280000, 0.5191463000, 1.4993180000", \ - "0.0227950000, 0.0272654000, 0.0388684000, 0.0739056000, 0.1850496000, 0.5193101000, 1.4978776000", \ - "0.0270609000, 0.0312379000, 0.0423667000, 0.0756636000, 0.1850645000, 0.5179843000, 1.4965644000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("RESET_B") { - capacitance : 0.0024570000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023180000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044247000, 0.0044577000, 0.0045338000, 0.0045353000, 0.0045387000, 0.0045467000, 0.0045653000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004384600, -0.004360900, -0.004306300, -0.004319300, -0.004349200, -0.004417800, -0.004576100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025960000; - timing () { - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.049269500, 0.0184714000, 0.0335267000", \ - "-0.164617800, -0.095656300, -0.079380200", \ - "-0.239487600, -0.166863900, -0.151808600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0703594000, 0.0001771000, -0.017319700", \ - "0.1844870000, 0.1143047000, 0.0968079000", \ - "0.2593568000, 0.1867331000, 0.1692363000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1555040000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dlrbp_1") { - leakage_power () { - value : 0.0100815000; - when : "RESET_B&D&!GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0133410000; - when : "RESET_B&!D&!GATE&Q&!Q_N"; - } - leakage_power () { - value : 0.0142696000; - when : "RESET_B&D&GATE&Q&!Q_N"; - } - leakage_power () { - value : 0.0086193000; - when : "RESET_B&!D&!GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0084161000; - when : "!RESET_B&!D&GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0086989000; - when : "RESET_B&!D&GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0141752000; - when : "RESET_B&D&!GATE&Q&!Q_N"; - } - leakage_power () { - value : 0.0099971000; - when : "!RESET_B&D&GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0097987000; - when : "!RESET_B&D&!GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0083365000; - when : "!RESET_B&!D&!GATE&!Q&Q_N"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__dlrbp"; - cell_leakage_power : 0.0105733700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - clear : "!RESET_B"; - data_in : "D"; - enable : "GATE"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017890000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016970000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082995000, 0.0082066000, 0.0079926000, 0.0080632000, 0.0082260000, 0.0086014000, 0.0094668000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025778000, 0.0024425000, 0.0021306000, 0.0021911000, 0.0023305000, 0.0026520000, 0.0033932000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018810000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0422832000, 0.2027975000, 0.3728822000", \ - "-0.131658800, 0.0264141000, 0.1928366000", \ - "-0.311509100, -0.152215500, 0.0093242000"); - } - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2107402000, 0.2943503000, 0.3277161000", \ - "0.1149232000, 0.1973125000, 0.2294577000", \ - "0.0571432000, 0.1358704000, 0.1643535000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.029738300, -0.191473300, -0.361557900", \ - "0.1442038000, -0.016310500, -0.183953800", \ - "0.3252747000, 0.1647604000, 0.0007793000"); - } - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.198195300, -0.284246700, -0.316391900", \ - "-0.093833300, -0.179884800, -0.210809200", \ - "-0.004315100, -0.091587200, -0.123732400"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE") { - capacitance : 0.0017730000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0173814000, 0.0172876000, 0.0170712000, 0.0171286000, 0.0172610000, 0.0175662000, 0.0182697000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0101802000, 0.0100507000, 0.0097523000, 0.0097868000, 0.0098664000, 0.0100499000, 0.0104731000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018540000; - timing () { - related_output_pin : "Q"; - related_pin : "GATE"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1774742000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("-0.001214600, 0.0027695000, 0.0099716000, 0.0170185000, 0.0096234000, -0.041120200, -0.197081200", \ - "-0.001182300, 0.0027922000, 0.0099777000, 0.0169741000, 0.0095018000, -0.041290600, -0.197204300", \ - "-0.001082500, 0.0028748000, 0.0100262000, 0.0170051000, 0.0094843000, -0.041300800, -0.197253100", \ - "-0.001122700, 0.0028340000, 0.0099961000, 0.0169422000, 0.0093820000, -0.041413500, -0.197396200", \ - "-0.001198500, 0.0027549000, 0.0099207000, 0.0168681000, 0.0093432000, -0.041433400, -0.197406100", \ - "-0.001377400, 0.0025996000, 0.0097813000, 0.0167956000, 0.0092696000, -0.041512500, -0.197456100", \ - "-0.001752400, 0.0022965000, 0.0096429000, 0.0168512000, 0.0096339000, -0.040952300, -0.196818600"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("-0.000143000, 0.0016463000, 0.0060464000, 0.0165270000, 0.0412299000, 0.1029502000, 0.2644325000", \ - "-9.05500e-05, 0.0016773000, 0.0060349000, 0.0164397000, 0.0412107000, 0.1033404000, 0.2632026000", \ - "5.510000e-05, 0.0018131000, 0.0061380000, 0.0165002000, 0.0412280000, 0.1033635000, 0.2631723000", \ - "1.415000e-05, 0.0017515000, 0.0060573000, 0.0163767000, 0.0409199000, 0.1031433000, 0.2647795000", \ - "-5.44500e-05, 0.0016850000, 0.0059834000, 0.0162998000, 0.0408514000, 0.1025843000, 0.2640714000", \ - "-0.000203100, 0.0015537000, 0.0058851000, 0.0162719000, 0.0409137000, 0.1025086000, 0.2633941000", \ - "-0.000500500, 0.0013502000, 0.0058846000, 0.0165464000, 0.0413643000, 0.1037164000, 0.2642375000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("-0.002058000, 0.0020612000, 0.0095621000, 0.0170083000, 0.0100447000, -0.040396200, -0.196164900", \ - "-0.002002000, 0.0021128000, 0.0095779000, 0.0170189000, 0.0099687000, -0.040487500, -0.196278600", \ - "-0.001859900, 0.0022343000, 0.0096916000, 0.0170611000, 0.0099689000, -0.040526100, -0.196322000", \ - "-0.001901200, 0.0021665000, 0.0095516000, 0.0168269000, 0.0096551000, -0.040934000, -0.196767500", \ - "-0.001953200, 0.0021050000, 0.0094610000, 0.0167162000, 0.0094680000, -0.041128300, -0.196954500", \ - "-0.002034400, 0.0020285000, 0.0094048000, 0.0166948000, 0.0094818000, -0.041061800, -0.196905900", \ - "-0.002187100, 0.0019652000, 0.0095104000, 0.0170514000, 0.0101550000, -0.040463600, -0.196300400"); - } - related_pin : "GATE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("-0.003650800, -0.001448700, 0.0037751000, 0.0154845000, 0.0414930000, 0.1044786000, 0.2645960000", \ - "-0.003592400, -0.001397500, 0.0038059000, 0.0154966000, 0.0414632000, 0.1044802000, 0.2640180000", \ - "-0.003455200, -0.001280200, 0.0038891000, 0.0155339000, 0.0414339000, 0.1045632000, 0.2657222000", \ - "-0.003498200, -0.001358900, 0.0037420000, 0.0152752000, 0.0411771000, 0.1037312000, 0.2640505000", \ - "-0.003550400, -0.001428100, 0.0036357000, 0.0151174000, 0.0408665000, 0.1037782000, 0.2631501000", \ - "-0.003639800, -0.001513400, 0.0035552000, 0.0150487000, 0.0408000000, 0.1035310000, 0.2649445000", \ - "-0.003789600, -0.001576000, 0.0036736000, 0.0154093000, 0.0412619000, 0.1041086000, 0.2651451000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0006995000, 0.0045984000, 0.0116199000, 0.0183310000, 0.0104948000, -0.040498100, -0.196554200", \ - "0.0006705000, 0.0045585000, 0.0115470000, 0.0182098000, 0.0103476000, -0.040673400, -0.196751700", \ - "0.0006225000, 0.0044921000, 0.0114471000, 0.0180667000, 0.0101552000, -0.040896500, -0.196988000", \ - "0.0006103000, 0.0044583000, 0.0113809000, 0.0179638000, 0.0100328000, -0.041029500, -0.197122100", \ - "0.0005879000, 0.0044060000, 0.0112538000, 0.0177374000, 0.0097298000, -0.041369100, -0.197478300", \ - "0.0005796000, 0.0043951000, 0.0112092000, 0.0176394000, 0.0096151000, -0.041531600, -0.197640500", \ - "0.0006374000, 0.0045343000, 0.0114932000, 0.0181162000, 0.0102761000, -0.040603500, -0.196626500"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0026281000, 0.0034782000, 0.0059959000, 0.0136315000, 0.0353950000, 0.0956041000, 0.2544916000", \ - "0.0026490000, 0.0035016000, 0.0060206000, 0.0136259000, 0.0354014000, 0.0957104000, 0.2542702000", \ - "0.0026894000, 0.0035262000, 0.0060164000, 0.0135770000, 0.0352846000, 0.0955833000, 0.2557098000", \ - "0.0026629000, 0.0034564000, 0.0058651000, 0.0133259000, 0.0349679000, 0.0952499000, 0.2555634000", \ - "0.0026528000, 0.0034250000, 0.0057876000, 0.0132161000, 0.0348646000, 0.0945981000, 0.2553629000", \ - "0.0026817000, 0.0034853000, 0.0059175000, 0.0133229000, 0.0350607000, 0.0946719000, 0.2540634000", \ - "0.0027399000, 0.0036127000, 0.0061161000, 0.0138018000, 0.0357739000, 0.0959410000, 0.2537254000"); - } - } - max_capacitance : 0.1611430000; - max_transition : 1.5019140000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2241088000, 0.2301210000, 0.2427085000, 0.2680647000, 0.3214680000, 0.4454827000, 0.7651053000", \ - "0.2290204000, 0.2350293000, 0.2476107000, 0.2730053000, 0.3263998000, 0.4504237000, 0.7700185000", \ - "0.2421671000, 0.2481799000, 0.2607837000, 0.2861639000, 0.3395641000, 0.4635752000, 0.7832807000", \ - "0.2733943000, 0.2794172000, 0.2920200000, 0.3174113000, 0.3708164000, 0.4948372000, 0.8145293000", \ - "0.3304701000, 0.3364778000, 0.3490921000, 0.3744779000, 0.4278755000, 0.5518906000, 0.8723162000", \ - "0.4194970000, 0.4255278000, 0.4381644000, 0.4635004000, 0.5169019000, 0.6409354000, 0.9606472000", \ - "0.5598763000, 0.5659051000, 0.5784226000, 0.6038746000, 0.6573166000, 0.7813707000, 1.1011232000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.3252349000, 0.3331106000, 0.3503884000, 0.3893149000, 0.4850846000, 0.7295183000, 1.3675832000", \ - "0.3296567000, 0.3375717000, 0.3548783000, 0.3938025000, 0.4895905000, 0.7341680000, 1.3730941000", \ - "0.3408819000, 0.3487991000, 0.3661068000, 0.4050328000, 0.5008181000, 0.7454058000, 1.3843116000", \ - "0.3617222000, 0.3697224000, 0.3869211000, 0.4258644000, 0.5216435000, 0.7659452000, 1.4033118000", \ - "0.3891598000, 0.3970936000, 0.4143129000, 0.4532489000, 0.5490209000, 0.7933016000, 1.4308733000", \ - "0.4202407000, 0.4281832000, 0.4454672000, 0.4844287000, 0.5802068000, 0.8245601000, 1.4636057000", \ - "0.4436034000, 0.4513394000, 0.4686224000, 0.5076100000, 0.6033731000, 0.8482133000, 1.4847519000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0214035000, 0.0254576000, 0.0357018000, 0.0589113000, 0.1166651000, 0.2714904000, 0.6934230000", \ - "0.0211998000, 0.0257540000, 0.0353052000, 0.0588867000, 0.1165643000, 0.2715619000, 0.6985962000", \ - "0.0212621000, 0.0257782000, 0.0353383000, 0.0589497000, 0.1166995000, 0.2714741000, 0.6941911000", \ - "0.0211859000, 0.0256636000, 0.0354199000, 0.0588092000, 0.1162245000, 0.2713830000, 0.6941286000", \ - "0.0211886000, 0.0257078000, 0.0353924000, 0.0588141000, 0.1162724000, 0.2714138000, 0.6964470000", \ - "0.0214860000, 0.0257818000, 0.0354068000, 0.0589827000, 0.1162934000, 0.2715096000, 0.6975816000", \ - "0.0213174000, 0.0256410000, 0.0354154000, 0.0588432000, 0.1163471000, 0.2712395000, 0.6919980000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0292786000, 0.0362248000, 0.0537913000, 0.1022797000, 0.2322392000, 0.5778824000, 1.4973037000", \ - "0.0294116000, 0.0364378000, 0.0537229000, 0.1023547000, 0.2320933000, 0.5789830000, 1.4910412000", \ - "0.0294002000, 0.0364394000, 0.0536986000, 0.1023567000, 0.2320980000, 0.5789872000, 1.4910795000", \ - "0.0294643000, 0.0364718000, 0.0538830000, 0.1023544000, 0.2319949000, 0.5770438000, 1.4981962000", \ - "0.0293526000, 0.0362496000, 0.0536267000, 0.1022478000, 0.2320478000, 0.5772660000, 1.4982886000", \ - "0.0295451000, 0.0363674000, 0.0538921000, 0.1023537000, 0.2322272000, 0.5777531000, 1.4934662000", \ - "0.0293188000, 0.0363430000, 0.0537480000, 0.1022828000, 0.2322774000, 0.5779814000, 1.4901322000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2701152000, 0.2761943000, 0.2889385000, 0.3144583000, 0.3679296000, 0.4919941000, 0.8116453000", \ - "0.2747026000, 0.2807855000, 0.2935145000, 0.3190440000, 0.3725144000, 0.4965708000, 0.8163379000", \ - "0.2858296000, 0.2919275000, 0.3046322000, 0.3301623000, 0.3836280000, 0.5076988000, 0.8275002000", \ - "0.3101730000, 0.3162620000, 0.3290116000, 0.3545335000, 0.4080101000, 0.5320741000, 0.8516373000", \ - "0.3487345000, 0.3548186000, 0.3675348000, 0.3930731000, 0.4465537000, 0.5706209000, 0.8905765000", \ - "0.4005107000, 0.4066066000, 0.4193483000, 0.4448484000, 0.4983168000, 0.6223669000, 0.9432386000", \ - "0.4575922000, 0.4636743000, 0.4764425000, 0.5019313000, 0.5554225000, 0.6794902000, 0.9993154000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2912512000, 0.2991637000, 0.3166064000, 0.3556502000, 0.4514272000, 0.6956191000, 1.3342503000", \ - "0.2958858000, 0.3037810000, 0.3212076000, 0.3602503000, 0.4560280000, 0.7003321000, 1.3390402000", \ - "0.3066492000, 0.3145515000, 0.3319892000, 0.3710408000, 0.4668383000, 0.7114126000, 1.3512830000", \ - "0.3305541000, 0.3384540000, 0.3558877000, 0.3949347000, 0.4907159000, 0.7347619000, 1.3742563000", \ - "0.3703137000, 0.3782228000, 0.3956630000, 0.4346875000, 0.5304751000, 0.7748259000, 1.4131512000", \ - "0.4256061000, 0.4335091000, 0.4509477000, 0.4899886000, 0.5857724000, 0.8303349000, 1.4702630000", \ - "0.4939070000, 0.5018027000, 0.5192670000, 0.5583320000, 0.6541087000, 0.8986462000, 1.5363972000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0217315000, 0.0259390000, 0.0358004000, 0.0590645000, 0.1169259000, 0.2712510000, 0.6962202000", \ - "0.0217284000, 0.0260119000, 0.0355939000, 0.0589799000, 0.1169223000, 0.2723658000, 0.6987155000", \ - "0.0215673000, 0.0258788000, 0.0356339000, 0.0591013000, 0.1163824000, 0.2719399000, 0.6986001000", \ - "0.0218185000, 0.0261099000, 0.0358213000, 0.0589596000, 0.1167041000, 0.2714542000, 0.6954574000", \ - "0.0215967000, 0.0258913000, 0.0356473000, 0.0590255000, 0.1168864000, 0.2714525000, 0.7027326000", \ - "0.0217538000, 0.0258706000, 0.0360012000, 0.0590101000, 0.1163113000, 0.2714945000, 0.6990228000", \ - "0.0216969000, 0.0260976000, 0.0357506000, 0.0590888000, 0.1167607000, 0.2715835000, 0.6919163000"); - } - related_pin : "GATE"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0291869000, 0.0362633000, 0.0538561000, 0.1022945000, 0.2320139000, 0.5769879000, 1.4916862000", \ - "0.0291458000, 0.0361523000, 0.0538887000, 0.1023411000, 0.2322117000, 0.5775594000, 1.4879880000", \ - "0.0290747000, 0.0361193000, 0.0539032000, 0.1023087000, 0.2320480000, 0.5784962000, 1.4934717000", \ - "0.0291219000, 0.0361208000, 0.0538275000, 0.1023066000, 0.2323065000, 0.5789296000, 1.4937557000", \ - "0.0291658000, 0.0362761000, 0.0538569000, 0.1023192000, 0.2318997000, 0.5777980000, 1.4874911000", \ - "0.0291123000, 0.0362042000, 0.0538346000, 0.1023147000, 0.2319685000, 0.5786136000, 1.4933588000", \ - "0.0291786000, 0.0362498000, 0.0539177000, 0.1024085000, 0.2320288000, 0.5786701000, 1.4886470000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1022104000, 0.1083717000, 0.1211955000, 0.1470991000, 0.2027167000, 0.3268031000, 0.6464000000", \ - "0.1075162000, 0.1136564000, 0.1264654000, 0.1524199000, 0.2080547000, 0.3321542000, 0.6515224000", \ - "0.1208272000, 0.1269421000, 0.1397576000, 0.1657230000, 0.2213918000, 0.3454924000, 0.6647173000", \ - "0.1530687000, 0.1591957000, 0.1719756000, 0.1979523000, 0.2536569000, 0.3777955000, 0.6974186000", \ - "0.2259788000, 0.2324569000, 0.2458654000, 0.2723043000, 0.3281965000, 0.4523003000, 0.7718817000", \ - "0.3492103000, 0.3579902000, 0.3755158000, 0.4075257000, 0.4676410000, 0.5920323000, 0.9112218000", \ - "0.5467789000, 0.5589214000, 0.5829867000, 0.6263282000, 0.6960234000, 0.8205079000, 1.1399691000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0963654000, 0.1037270000, 0.1205425000, 0.1587517000, 0.2535804000, 0.4972651000, 1.1349840000", \ - "0.1005470000, 0.1080216000, 0.1247808000, 0.1629800000, 0.2578568000, 0.5015364000, 1.1432063000", \ - "0.1088834000, 0.1164730000, 0.1332463000, 0.1714939000, 0.2665104000, 0.5103536000, 1.1525079000", \ - "0.1269722000, 0.1344888000, 0.1512582000, 0.1896218000, 0.2848822000, 0.5289013000, 1.1712367000", \ - "0.1587876000, 0.1666894000, 0.1843359000, 0.2237503000, 0.3195525000, 0.5634823000, 1.2039933000", \ - "0.2003207000, 0.2095870000, 0.2294343000, 0.2708000000, 0.3677400000, 0.6122231000, 1.2503331000", \ - "0.2295475000, 0.2419610000, 0.2669928000, 0.3140658000, 0.4136951000, 0.6584740000, 1.2961109000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0221010000, 0.0264971000, 0.0367222000, 0.0612770000, 0.1191728000, 0.2713470000, 0.6951599000", \ - "0.0220708000, 0.0266479000, 0.0364787000, 0.0613338000, 0.1191699000, 0.2713595000, 0.6927409000", \ - "0.0221656000, 0.0266239000, 0.0365827000, 0.0613004000, 0.1191657000, 0.2713242000, 0.6930427000", \ - "0.0219734000, 0.0263912000, 0.0367554000, 0.0614120000, 0.1192298000, 0.2708359000, 0.6957365000", \ - "0.0248627000, 0.0290315000, 0.0386726000, 0.0623903000, 0.1193773000, 0.2711683000, 0.6972395000", \ - "0.0359099000, 0.0414018000, 0.0513437000, 0.0745440000, 0.1255238000, 0.2718207000, 0.6972868000", \ - "0.0535290000, 0.0606571000, 0.0748320000, 0.1002576000, 0.1398134000, 0.2739122000, 0.6918272000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0270603000, 0.0342275000, 0.0522050000, 0.1013023000, 0.2316851000, 0.5793903000, 1.4969317000", \ - "0.0271158000, 0.0342496000, 0.0520855000, 0.1013107000, 0.2317009000, 0.5789565000, 1.4966612000", \ - "0.0271840000, 0.0340864000, 0.0520811000, 0.1012258000, 0.2318059000, 0.5787830000, 1.4981347000", \ - "0.0274159000, 0.0343840000, 0.0522049000, 0.1012421000, 0.2316878000, 0.5788743000, 1.4983149000", \ - "0.0303382000, 0.0376523000, 0.0549416000, 0.1030736000, 0.2324309000, 0.5793400000, 1.5019139000", \ - "0.0373431000, 0.0439904000, 0.0611376000, 0.1070986000, 0.2351460000, 0.5788919000, 1.4914375000", \ - "0.0515102000, 0.0596081000, 0.0769768000, 0.1189527000, 0.2389355000, 0.5809062000, 1.4894885000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("0.0012548000, 0.0047449000, 0.0109760000, 0.0165288000, 0.0070099000, -0.047365800, -0.211946300", \ - "0.0013059000, 0.0047760000, 0.0109739000, 0.0164369000, 0.0068456000, -0.047574400, -0.212173400", \ - "0.0014553000, 0.0049125000, 0.0110836000, 0.0165038000, 0.0068536000, -0.047595300, -0.212200500", \ - "0.0014142000, 0.0048481000, 0.0109904000, 0.0163767000, 0.0066921000, -0.047796900, -0.212378700", \ - "0.0013408000, 0.0047817000, 0.0109171000, 0.0163040000, 0.0066187000, -0.047878500, -0.212544500", \ - "0.0011923000, 0.0046446000, 0.0108274000, 0.0162717000, 0.0066325000, -0.047804700, -0.212416400", \ - "0.0008905000, 0.0044440000, 0.0108270000, 0.0165499000, 0.0071288000, -0.047308900, -0.211845400"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.002705900, -0.000469000, 0.0049317000, 0.0170223000, 0.0440277000, 0.1100091000, 0.2779141000", \ - "-0.002670800, -0.000449100, 0.0049241000, 0.0169820000, 0.0439150000, 0.1099096000, 0.2778004000", \ - "-0.002570500, -0.000361000, 0.0049883000, 0.0169990000, 0.0440030000, 0.1093207000, 0.2777794000", \ - "-0.002609100, -0.000402700, 0.0049394000, 0.0169442000, 0.0439053000, 0.1091373000, 0.2790866000", \ - "-0.002686800, -0.000474600, 0.0048780000, 0.0168702000, 0.0438647000, 0.1094490000, 0.2776277000", \ - "-0.002863900, -0.000634600, 0.0047535000, 0.0167968000, 0.0439330000, 0.1091423000, 0.2790197000", \ - "-0.003234800, -0.000916900, 0.0045996000, 0.0168578000, 0.0440707000, 0.1096569000, 0.2792382000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.002261700, 0.0016332000, 0.0087144000, 0.0154991000, 0.0072149000, -0.046342300, -0.210505900", \ - "-0.002203900, 0.0016842000, 0.0087331000, 0.0154966000, 0.0071842000, -0.046389800, -0.210564300", \ - "-0.002066200, 0.0018009000, 0.0088215000, 0.0155301000, 0.0071843000, -0.046410900, -0.210590300", \ - "-0.002109900, 0.0017269000, 0.0086732000, 0.0152652000, 0.0067946000, -0.046905000, -0.211127700", \ - "-0.002158800, 0.0016571000, 0.0085608000, 0.0151169000, 0.0065927000, -0.047113100, -0.211361900", \ - "-0.002248100, 0.0015731000, 0.0084910000, 0.0150545000, 0.0065360000, -0.047162000, -0.211406800", \ - "-0.002396400, 0.0015161000, 0.0086204000, 0.0154611000, 0.0071636000, -0.046539700, -0.210719300"); - } - related_pin : "GATE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.003549600, -0.001174800, 0.0044858000, 0.0169600000, 0.0443640000, 0.1099591000, 0.2791772000", \ - "-0.003496400, -0.001140200, 0.0044985000, 0.0169557000, 0.0442445000, 0.1106982000, 0.2801762000", \ - "-0.003360300, -0.001017700, 0.0045791000, 0.0169582000, 0.0442493000, 0.1103549000, 0.2799219000", \ - "-0.003392800, -0.001072800, 0.0044812000, 0.0168162000, 0.0441809000, 0.1093502000, 0.2800482000", \ - "-0.003439600, -0.001128800, 0.0044184000, 0.0167032000, 0.0438889000, 0.1094358000, 0.2796151000", \ - "-0.003527100, -0.001212700, 0.0043515000, 0.0166642000, 0.0439099000, 0.1094226000, 0.2795359000", \ - "-0.003666800, -0.001245200, 0.0044536000, 0.0170426000, 0.0443938000, 0.1104567000, 0.2778783000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("0.0040318000, 0.0065825000, 0.0109394000, 0.0136497000, 0.0012346000, -0.055069400, -0.220586100", \ - "0.0040522000, 0.0065942000, 0.0109476000, 0.0136262000, 0.0012331000, -0.055104300, -0.220646400", \ - "0.0040982000, 0.0066259000, 0.0109398000, 0.0135662000, 0.0011259000, -0.055215700, -0.220773600", \ - "0.0040707000, 0.0065646000, 0.0108051000, 0.0133329000, 0.0007618000, -0.055645400, -0.221234400", \ - "0.0040625000, 0.0065371000, 0.0107466000, 0.0132125000, 0.0005969000, -0.055826100, -0.221419900", \ - "0.0040803000, 0.0065652000, 0.0108134000, 0.0133077000, 0.0006907000, -0.055752100, -0.221325200", \ - "0.0041423000, 0.0067043000, 0.0110857000, 0.0138053000, 0.0014190000, -0.054831700, -0.220457700"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.000803300, 0.0013308000, 0.0065472000, 0.0183427000, 0.0450408000, 0.1107988000, 0.2798083000", \ - "-0.000821700, 0.0013090000, 0.0065191000, 0.0183201000, 0.0449841000, 0.1107339000, 0.2797195000", \ - "-0.000874300, 0.0012351000, 0.0064110000, 0.0181381000, 0.0447466000, 0.1104760000, 0.2794401000", \ - "-0.000889000, 0.0012013000, 0.0063342000, 0.0179971000, 0.0445419000, 0.1102479000, 0.2791884000", \ - "-0.000912800, 0.0011451000, 0.0061992000, 0.0177791000, 0.0442032000, 0.1094137000, 0.2774844000", \ - "-0.000937300, 0.0010970000, 0.0061168000, 0.0176699000, 0.0440201000, 0.1092667000, 0.2775361000", \ - "-0.000872700, 0.0012672000, 0.0063979000, 0.0180402000, 0.0446942000, 0.1098719000, 0.2779640000"); - } - } - max_capacitance : 0.1684670000; - max_transition : 1.5031380000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3786698000, 0.3838925000, 0.3949639000, 0.4180367000, 0.4703204000, 0.6039007000, 0.9560764000", \ - "0.3831295000, 0.3883608000, 0.3994454000, 0.4224923000, 0.4747437000, 0.6083318000, 0.9610290000", \ - "0.3943885000, 0.3995823000, 0.4106342000, 0.4337350000, 0.4859904000, 0.6195056000, 0.9716675000", \ - "0.4151867000, 0.4204162000, 0.4315021000, 0.4545489000, 0.5068304000, 0.6402743000, 0.9923173000", \ - "0.4426027000, 0.4478301000, 0.4589053000, 0.4819725000, 0.5342583000, 0.6678051000, 1.0201676000", \ - "0.4737572000, 0.4789796000, 0.4900521000, 0.5131313000, 0.5654007000, 0.6990094000, 1.0519168000", \ - "0.4969863000, 0.5021952000, 0.5132885000, 0.5363739000, 0.5884945000, 0.7220873000, 1.0750881000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.2807888000, 0.2870420000, 0.3016073000, 0.3373536000, 0.4300856000, 0.6743610000, 1.3192281000", \ - "0.2856778000, 0.2919001000, 0.3065481000, 0.3423293000, 0.4351073000, 0.6787718000, 1.3238652000", \ - "0.2988832000, 0.3050588000, 0.3197089000, 0.3555286000, 0.4483217000, 0.6924040000, 1.3366569000", \ - "0.3300837000, 0.3363079000, 0.3509579000, 0.3867517000, 0.4795037000, 0.7243874000, 1.3679438000", \ - "0.3871495000, 0.3933757000, 0.4080271000, 0.4438208000, 0.5364182000, 0.7808640000, 1.4254714000", \ - "0.4762712000, 0.4824991000, 0.4970327000, 0.5327295000, 0.6256998000, 0.8693214000, 1.5131699000", \ - "0.6165811000, 0.6228339000, 0.6374072000, 0.6731669000, 0.7661589000, 1.0096163000, 1.6542850000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0171498000, 0.0211984000, 0.0308784000, 0.0542320000, 0.1178398000, 0.2942416000, 0.7667379000", \ - "0.0171204000, 0.0213129000, 0.0308822000, 0.0543796000, 0.1180577000, 0.2943140000, 0.7645869000", \ - "0.0173172000, 0.0212860000, 0.0306304000, 0.0544030000, 0.1178877000, 0.2939044000, 0.7654439000", \ - "0.0171149000, 0.0213301000, 0.0308824000, 0.0543779000, 0.1181311000, 0.2938806000, 0.7665268000", \ - "0.0170908000, 0.0214486000, 0.0308789000, 0.0542769000, 0.1178941000, 0.2940962000, 0.7665504000", \ - "0.0171455000, 0.0212110000, 0.0308868000, 0.0540954000, 0.1181471000, 0.2942991000, 0.7686841000", \ - "0.0170864000, 0.0213214000, 0.0307767000, 0.0542528000, 0.1182214000, 0.2943185000, 0.7602957000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0205738000, 0.0271222000, 0.0448324000, 0.0937194000, 0.2255882000, 0.5774012000, 1.4976143000", \ - "0.0206202000, 0.0271275000, 0.0448250000, 0.0936962000, 0.2259549000, 0.5765354000, 1.5005226000", \ - "0.0205736000, 0.0271841000, 0.0448163000, 0.0937755000, 0.2255135000, 0.5763610000, 1.5010313000", \ - "0.0206177000, 0.0271443000, 0.0448255000, 0.0937471000, 0.2258220000, 0.5761603000, 1.5029318000", \ - "0.0206165000, 0.0271519000, 0.0448251000, 0.0938077000, 0.2262921000, 0.5781604000, 1.4967182000", \ - "0.0205639000, 0.0271140000, 0.0448325000, 0.0938035000, 0.2257589000, 0.5750080000, 1.5018938000", \ - "0.0205814000, 0.0271843000, 0.0447566000, 0.0937186000, 0.2258154000, 0.5747188000, 1.4983820000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3449586000, 0.3501802000, 0.3612375000, 0.3843100000, 0.4366271000, 0.5701980000, 0.9223845000", \ - "0.3494323000, 0.3546408000, 0.3657502000, 0.3887965000, 0.4410501000, 0.5746335000, 0.9275273000", \ - "0.3602493000, 0.3654572000, 0.3765690000, 0.3996125000, 0.4518738000, 0.5854091000, 0.9381129000", \ - "0.3840190000, 0.3892391000, 0.4003043000, 0.4233394000, 0.4757077000, 0.6090998000, 0.9620209000", \ - "0.4238676000, 0.4290787000, 0.4401440000, 0.4632227000, 0.5155446000, 0.6491153000, 1.0016687000", \ - "0.4793274000, 0.4845295000, 0.4956586000, 0.5186847000, 0.5709331000, 0.7044870000, 1.0571980000", \ - "0.5476334000, 0.5528448000, 0.5639246000, 0.5870174000, 0.6393060000, 0.7728023000, 1.1249855000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3271056000, 0.3333428000, 0.3478002000, 0.3835397000, 0.4764751000, 0.7200149000, 1.3656675000", \ - "0.3318120000, 0.3380400000, 0.3526769000, 0.3884125000, 0.4812631000, 0.7254343000, 1.3719960000", \ - "0.3427976000, 0.3490449000, 0.3635895000, 0.3992624000, 0.4920821000, 0.7363831000, 1.3816914000", \ - "0.3672899000, 0.3735170000, 0.3880667000, 0.4238862000, 0.5165182000, 0.7603442000, 1.4065976000", \ - "0.4054465000, 0.4116991000, 0.4263269000, 0.4621040000, 0.5547927000, 0.7998249000, 1.4460484000", \ - "0.4578584000, 0.4641107000, 0.4787243000, 0.5145329000, 0.6073476000, 0.8521835000, 1.4983971000", \ - "0.5148911000, 0.5211328000, 0.5356051000, 0.5711954000, 0.6638892000, 0.9090924000, 1.5519610000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0172406000, 0.0210919000, 0.0307001000, 0.0542656000, 0.1177881000, 0.2941953000, 0.7639658000", \ - "0.0170950000, 0.0212465000, 0.0306782000, 0.0542953000, 0.1180577000, 0.2943559000, 0.7630760000", \ - "0.0170974000, 0.0212573000, 0.0306725000, 0.0542874000, 0.1177114000, 0.2940288000, 0.7698657000", \ - "0.0170566000, 0.0211808000, 0.0307980000, 0.0542263000, 0.1182563000, 0.2941945000, 0.7692937000", \ - "0.0171977000, 0.0210956000, 0.0307060000, 0.0543178000, 0.1181840000, 0.2941322000, 0.7626968000", \ - "0.0171069000, 0.0212936000, 0.0306262000, 0.0540320000, 0.1182138000, 0.2942151000, 0.7696542000", \ - "0.0173420000, 0.0211358000, 0.0308501000, 0.0543150000, 0.1175715000, 0.2939820000, 0.7610050000"); - } - related_pin : "GATE"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0206088000, 0.0271860000, 0.0449145000, 0.0938111000, 0.2260628000, 0.5765434000, 1.4987912000", \ - "0.0206647000, 0.0272155000, 0.0448518000, 0.0936460000, 0.2257380000, 0.5770533000, 1.5005509000", \ - "0.0205708000, 0.0271734000, 0.0449144000, 0.0936900000, 0.2254399000, 0.5773387000, 1.4973036000", \ - "0.0206218000, 0.0271625000, 0.0448991000, 0.0936217000, 0.2257866000, 0.5756203000, 1.5031385000", \ - "0.0207040000, 0.0272015000, 0.0449584000, 0.0937542000, 0.2258707000, 0.5769102000, 1.5017039000", \ - "0.0207185000, 0.0271712000, 0.0449737000, 0.0937735000, 0.2257454000, 0.5767505000, 1.5022269000", \ - "0.0205598000, 0.0271321000, 0.0449837000, 0.0936527000, 0.2265186000, 0.5756732000, 1.4976412000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.1490683000, 0.1542393000, 0.1653494000, 0.1884136000, 0.2406189000, 0.3740655000, 0.7260955000", \ - "0.1532710000, 0.1584501000, 0.1695258000, 0.1926229000, 0.2447812000, 0.3782714000, 0.7301462000", \ - "0.1617243000, 0.1669093000, 0.1779712000, 0.2009689000, 0.2532434000, 0.3867544000, 0.7394527000", \ - "0.1797383000, 0.1849378000, 0.1959391000, 0.2190279000, 0.2712184000, 0.4046454000, 0.7575512000", \ - "0.2128874000, 0.2180943000, 0.2292000000, 0.2522633000, 0.3046543000, 0.4381290000, 0.7908007000", \ - "0.2581626000, 0.2635440000, 0.2747260000, 0.2980764000, 0.3504814000, 0.4840761000, 0.8362465000", \ - "0.2972851000, 0.3028839000, 0.3145751000, 0.3383449000, 0.3910967000, 0.5248222000, 0.8768100000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.1601521000, 0.1664390000, 0.1810326000, 0.2167991000, 0.3097685000, 0.5538656000, 1.1983511000", \ - "0.1654624000, 0.1717535000, 0.1863480000, 0.2221197000, 0.3149702000, 0.5590873000, 1.2033775000", \ - "0.1784619000, 0.1847483000, 0.1994181000, 0.2353280000, 0.3281751000, 0.5722098000, 1.2166096000", \ - "0.2105557000, 0.2168465000, 0.2315252000, 0.2674150000, 0.3602578000, 0.6042156000, 1.2485576000", \ - "0.2848162000, 0.2910540000, 0.3056115000, 0.3416183000, 0.4344643000, 0.6783861000, 1.3210486000", \ - "0.4195956000, 0.4260335000, 0.4409239000, 0.4767224000, 0.5695655000, 0.8140810000, 1.4574372000", \ - "0.6366771000, 0.6437149000, 0.6593922000, 0.6953985000, 0.7884722000, 1.0323402000, 1.6758281000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0169453000, 0.0211740000, 0.0306295000, 0.0541494000, 0.1182238000, 0.2939610000, 0.7734403000", \ - "0.0169646000, 0.0211701000, 0.0304630000, 0.0542960000, 0.1179734000, 0.2943060000, 0.7654100000", \ - "0.0170638000, 0.0210985000, 0.0307141000, 0.0542986000, 0.1179175000, 0.2935564000, 0.7701218000", \ - "0.0169640000, 0.0210243000, 0.0307234000, 0.0540989000, 0.1181259000, 0.2938769000, 0.7674227000", \ - "0.0172951000, 0.0215023000, 0.0306838000, 0.0545597000, 0.1182614000, 0.2942436000, 0.7672388000", \ - "0.0178573000, 0.0219129000, 0.0314688000, 0.0546174000, 0.1184131000, 0.2931468000, 0.7655334000", \ - "0.0196176000, 0.0234587000, 0.0329851000, 0.0562850000, 0.1190509000, 0.2942861000, 0.7610657000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0207611000, 0.0273509000, 0.0451460000, 0.0939917000, 0.2259629000, 0.5766265000, 1.5009690000", \ - "0.0207403000, 0.0273510000, 0.0451425000, 0.0939037000, 0.2260354000, 0.5762893000, 1.5008204000", \ - "0.0208776000, 0.0273539000, 0.0451938000, 0.0939756000, 0.2260100000, 0.5764100000, 1.5007565000", \ - "0.0208855000, 0.0273539000, 0.0451911000, 0.0939616000, 0.2260238000, 0.5761664000, 1.5005396000", \ - "0.0208700000, 0.0274658000, 0.0451736000, 0.0940043000, 0.2259322000, 0.5746456000, 1.4970735000", \ - "0.0224179000, 0.0287270000, 0.0460772000, 0.0942611000, 0.2256346000, 0.5760177000, 1.4958788000", \ - "0.0262154000, 0.0324214000, 0.0483725000, 0.0954464000, 0.2260200000, 0.5745385000, 1.4963413000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("RESET_B") { - capacitance : 0.0024390000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043497000, 0.0043820000, 0.0044563000, 0.0044579000, 0.0044615000, 0.0044698000, 0.0044891000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004286500, -0.004333600, -0.004442200, -0.004444300, -0.004449100, -0.004460400, -0.004486100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025630000; - timing () { - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.033400400, 0.0294577000, 0.0310853000", \ - "-0.196356100, -0.138380900, -0.137974000", \ - "-0.362778700, -0.309686200, -0.311720700"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0483867000, -0.013250700, -0.016099000", \ - "0.2174460000, 0.1570293000, 0.1541810000", \ - "0.3948548000, 0.3356589000, 0.3340313000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1302382000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dlrbp_2") { - leakage_power () { - value : 0.0145136000; - when : "RESET_B&D&GATE&Q&!Q_N"; - } - leakage_power () { - value : 0.0096429000; - when : "RESET_B&!D&!GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0094388000; - when : "!RESET_B&!D&GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0097225000; - when : "RESET_B&!D&GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0144190000; - when : "RESET_B&D&!GATE&Q&!Q_N"; - } - leakage_power () { - value : 0.0110206000; - when : "!RESET_B&D&GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0108214000; - when : "!RESET_B&D&!GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0093592000; - when : "!RESET_B&!D&!GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0111050000; - when : "RESET_B&D&!GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0135851000; - when : "RESET_B&!D&!GATE&Q&!Q_N"; - } - area : 22.521600000; - cell_footprint : "sky130_fd_sc_hd__dlrbp"; - cell_leakage_power : 0.0113628000; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - clear : "!RESET_B"; - data_in : "D"; - enable : "GATE"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017890000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016970000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082670000, 0.0081743000, 0.0079607000, 0.0080332000, 0.0082003000, 0.0085855000, 0.0094736000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025840000, 0.0024914000, 0.0022779000, 0.0023320000, 0.0024565000, 0.0027439000, 0.0034062000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018810000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0496074000, 0.2089010000, 0.3789857000", \ - "-0.125555300, 0.0325176000, 0.1989401000", \ - "-0.306626300, -0.147332700, 0.0142070000"); - } - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2229473000, 0.3065573000, 0.3387025000", \ - "0.1234681000, 0.2070781000, 0.2380026000", \ - "0.0656882000, 0.1444154000, 0.1716777000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.033400400, -0.195135400, -0.366440800", \ - "0.1405417000, -0.019972700, -0.187615900", \ - "0.3216126000, 0.1598776000, -0.004103500"); - } - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.207960900, -0.292791700, -0.326157600", \ - "-0.101157600, -0.185988300, -0.218133500", \ - "-0.011639300, -0.098911500, -0.129835900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE") { - capacitance : 0.0017710000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016940000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0172729000, 0.0171783000, 0.0169601000, 0.0170296000, 0.0171898000, 0.0175591000, 0.0184105000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0101381000, 0.0100496000, 0.0098456000, 0.0098738000, 0.0099389000, 0.0100891000, 0.0104353000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018490000; - timing () { - related_output_pin : "Q"; - related_pin : "GATE"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1895578000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000"); - values("-0.001304100, 0.0032974000, 0.0126580000, 0.0222849000, 0.0082838000, -0.082935800, -0.380809400", \ - "-0.001264100, 0.0033279000, 0.0126556000, 0.0222758000, 0.0081688000, -0.083040800, -0.380922900", \ - "-0.001164500, 0.0034175000, 0.0127077000, 0.0223350000, 0.0082174000, -0.083048700, -0.380941400", \ - "-0.001204400, 0.0033759000, 0.0126723000, 0.0222770000, 0.0080733000, -0.083197900, -0.381092100", \ - "-0.001281800, 0.0032945000, 0.0125994000, 0.0222380000, 0.0080608000, -0.083202400, -0.381085300", \ - "-0.001468800, 0.0031244000, 0.0124442000, 0.0220950000, 0.0080669000, -0.083144900, -0.380964800", \ - "-0.001868200, 0.0028049000, 0.0122885000, 0.0222380000, 0.0084394000, -0.082717400, -0.380500100"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000"); - values("-0.000232800, 0.0017157000, 0.0070551000, 0.0214408000, 0.0595035000, 0.1643617000, 0.4657851000", \ - "-0.000193700, 0.0017440000, 0.0070510000, 0.0214030000, 0.0593660000, 0.1647145000, 0.4653277000", \ - "-9.35500e-05, 0.0018295000, 0.0071065000, 0.0214090000, 0.0594278000, 0.1650086000, 0.4680695000", \ - "-0.000128500, 0.0017848000, 0.0070010000, 0.0212475000, 0.0591353000, 0.1644520000, 0.4649282000", \ - "-0.000194000, 0.0017047000, 0.0069309000, 0.0211841000, 0.0590608000, 0.1638190000, 0.4655004000", \ - "-0.000325400, 0.0016023000, 0.0068934000, 0.0212058000, 0.0591370000, 0.1638879000, 0.4652304000", \ - "-0.000585600, 0.0014643000, 0.0070445000, 0.0217083000, 0.0599172000, 0.1655192000, 0.4650481000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000"); - values("-0.002163200, 0.0025598000, 0.0121614000, 0.0223382000, 0.0086711000, -0.082242400, -0.379952000", \ - "-0.002125800, 0.0025941000, 0.0121845000, 0.0222930000, 0.0086360000, -0.082285500, -0.380023300", \ - "-0.002039300, 0.0026557000, 0.0121907000, 0.0222399000, 0.0084833000, -0.082509600, -0.380246400", \ - "-0.002062000, 0.0026149000, 0.0121122000, 0.0220780000, 0.0082996000, -0.082661500, -0.380418600", \ - "-0.002101100, 0.0025632000, 0.0120241000, 0.0219866000, 0.0080918000, -0.082988600, -0.380756500", \ - "-0.002172100, 0.0025090000, 0.0119944000, 0.0219128000, 0.0081916000, -0.082849400, -0.380598000", \ - "-0.002291600, 0.0024779000, 0.0121689000, 0.0224740000, 0.0089605000, -0.082145500, -0.379944900"); - } - related_pin : "GATE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000"); - values("-0.003788300, -0.001474800, 0.0046921000, 0.0204097000, 0.0597734000, 0.1653332000, 0.4674767000", \ - "-0.003749100, -0.001441500, 0.0047051000, 0.0203951000, 0.0597543000, 0.1661532000, 0.4674631000", \ - "-0.003657700, -0.001368400, 0.0047442000, 0.0203771000, 0.0596727000, 0.1653193000, 0.4686698000", \ - "-0.003692600, -0.001428300, 0.0046095000, 0.0201278000, 0.0592802000, 0.1654919000, 0.4686204000", \ - "-0.003734000, -0.001490400, 0.0045045000, 0.0199687000, 0.0590864000, 0.1653514000, 0.4684335000", \ - "-0.003806900, -0.001563000, 0.0044446000, 0.0199003000, 0.0589782000, 0.1643954000, 0.4663270000", \ - "-0.003917300, -0.001563500, 0.0046928000, 0.0204221000, 0.0596887000, 0.1657841000, 0.4657718000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000"); - values("0.0005920000, 0.0051040000, 0.0142273000, 0.0234787000, 0.0088555000, -0.082815000, -0.380863000", \ - "0.0005686000, 0.0050673000, 0.0141660000, 0.0233737000, 0.0087211000, -0.082968200, -0.381027300", \ - "0.0005221000, 0.0050063000, 0.0140713000, 0.0232240000, 0.0085100000, -0.083165000, -0.381292000", \ - "0.0005108000, 0.0049824000, 0.0139994000, 0.0230875000, 0.0083686000, -0.083366000, -0.381331300", \ - "0.0004923000, 0.0049344000, 0.0138809000, 0.0228404000, 0.0080302000, -0.083655400, -0.381727500", \ - "0.0004760000, 0.0049105000, 0.0138059000, 0.0226331000, 0.0076928000, -0.083995700, -0.382025100", \ - "0.0005153000, 0.0050471000, 0.0141447000, 0.0231667000, 0.0084071000, -0.083204600, -0.381161200"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576890, 0.0119892800, 0.0345727500, 0.0996953600, 0.2874855000"); - values("0.0027222000, 0.0038264000, 0.0072876000, 0.0186319000, 0.0533568000, 0.1566860000, 0.4565202000", \ - "0.0027064000, 0.0038081000, 0.0072532000, 0.0185215000, 0.0531665000, 0.1560315000, 0.4548461000", \ - "0.0026623000, 0.0037386000, 0.0071263000, 0.0183575000, 0.0530670000, 0.1555708000, 0.4542318000", \ - "0.0026574000, 0.0037139000, 0.0070719000, 0.0182422000, 0.0529180000, 0.1553930000, 0.4543235000", \ - "0.0026691000, 0.0037199000, 0.0070653000, 0.0180430000, 0.0527328000, 0.1557355000, 0.4579126000", \ - "0.0027256000, 0.0038147000, 0.0072258000, 0.0182565000, 0.0529362000, 0.1554935000, 0.4587779000", \ - "0.0028336000, 0.0039641000, 0.0075169000, 0.0187979000, 0.0538253000, 0.1570238000, 0.4560948000"); - } - } - max_capacitance : 0.2874860000; - max_transition : 1.5032260000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.2368603000, 0.2420533000, 0.2536868000, 0.2775585000, 0.3271059000, 0.4440104000, 0.7653182000", \ - "0.2418415000, 0.2470231000, 0.2586573000, 0.2825314000, 0.3320746000, 0.4489788000, 0.7703384000", \ - "0.2549886000, 0.2601524000, 0.2718560000, 0.2956756000, 0.3452310000, 0.4621201000, 0.7834611000", \ - "0.2861426000, 0.2913644000, 0.3030505000, 0.3268202000, 0.3764580000, 0.4933632000, 0.8146381000", \ - "0.3431093000, 0.3483244000, 0.3600193000, 0.3837792000, 0.4334198000, 0.5503233000, 0.8714553000", \ - "0.4319341000, 0.4371216000, 0.4487661000, 0.4726309000, 0.5221631000, 0.6391029000, 0.9603762000", \ - "0.5720617000, 0.5772771000, 0.5889680000, 0.6127404000, 0.6624055000, 0.7793387000, 1.1006347000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.3389136000, 0.3455567000, 0.3610961000, 0.3958019000, 0.4815195000, 0.7169130000, 1.3905813000", \ - "0.3435547000, 0.3502250000, 0.3657334000, 0.4003955000, 0.4860715000, 0.7212015000, 1.3962047000", \ - "0.3548047000, 0.3614969000, 0.3769534000, 0.4116423000, 0.4973241000, 0.7326154000, 1.4069553000", \ - "0.3756327000, 0.3823362000, 0.3977078000, 0.4323816000, 0.5180691000, 0.7533167000, 1.4280429000", \ - "0.4028318000, 0.4093547000, 0.4249597000, 0.4596072000, 0.5453200000, 0.7805750000, 1.4548592000", \ - "0.4339806000, 0.4406381000, 0.4561651000, 0.4908137000, 0.5764902000, 0.8115388000, 1.4859647000", \ - "0.4570649000, 0.4636830000, 0.4791618000, 0.5138559000, 0.5995349000, 0.8349340000, 1.5088346000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.0214305000, 0.0248114000, 0.0327292000, 0.0519970000, 0.1011800000, 0.2418024000, 0.6729196000", \ - "0.0214841000, 0.0249730000, 0.0331637000, 0.0520458000, 0.1011340000, 0.2418075000, 0.6735469000", \ - "0.0213798000, 0.0248090000, 0.0330608000, 0.0520527000, 0.1009373000, 0.2418447000, 0.6741241000", \ - "0.0213722000, 0.0246717000, 0.0331291000, 0.0519365000, 0.1010534000, 0.2418341000, 0.6729106000", \ - "0.0213796000, 0.0246668000, 0.0330433000, 0.0519443000, 0.1010540000, 0.2417122000, 0.6732121000", \ - "0.0214977000, 0.0248608000, 0.0327879000, 0.0518249000, 0.1009078000, 0.2418646000, 0.6736079000", \ - "0.0214356000, 0.0247705000, 0.0328364000, 0.0519874000, 0.1010839000, 0.2418772000, 0.6660635000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.0278819000, 0.0332420000, 0.0469892000, 0.0843021000, 0.1967784000, 0.5282188000, 1.5012317000", \ - "0.0279916000, 0.0331918000, 0.0469373000, 0.0842296000, 0.1970481000, 0.5289928000, 1.4995368000", \ - "0.0279357000, 0.0331557000, 0.0468912000, 0.0842353000, 0.1970688000, 0.5282482000, 1.5029878000", \ - "0.0277653000, 0.0330482000, 0.0470442000, 0.0843026000, 0.1971240000, 0.5294665000, 1.4988820000", \ - "0.0279363000, 0.0335457000, 0.0470871000, 0.0842732000, 0.1970997000, 0.5284020000, 1.4975896000", \ - "0.0280211000, 0.0332483000, 0.0469356000, 0.0842371000, 0.1972225000, 0.5281787000, 1.4960276000", \ - "0.0278229000, 0.0332888000, 0.0467680000, 0.0842600000, 0.1965794000, 0.5287820000, 1.4947475000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.2823471000, 0.2876115000, 0.2994128000, 0.3233989000, 0.3730833000, 0.4900348000, 0.8111405000", \ - "0.2869358000, 0.2921962000, 0.3039910000, 0.3279696000, 0.3776615000, 0.4946189000, 0.8157903000", \ - "0.2980846000, 0.3033791000, 0.3151845000, 0.3391714000, 0.3888018000, 0.5057600000, 0.8266252000", \ - "0.3225828000, 0.3278595000, 0.3396537000, 0.3636397000, 0.4133421000, 0.5303039000, 0.8514051000", \ - "0.3607815000, 0.3660362000, 0.3778747000, 0.4018869000, 0.4515539000, 0.5685197000, 0.8899104000", \ - "0.4128834000, 0.4181721000, 0.4299463000, 0.4539284000, 0.5035579000, 0.6205430000, 0.9417049000", \ - "0.4696368000, 0.4749242000, 0.4867310000, 0.5107219000, 0.5604084000, 0.6773781000, 0.9984646000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.3034524000, 0.3101046000, 0.3256240000, 0.3604148000, 0.4462025000, 0.6815316000, 1.3570058000", \ - "0.3080368000, 0.3146728000, 0.3302086000, 0.3650147000, 0.4507893000, 0.6861036000, 1.3614132000", \ - "0.3187517000, 0.3254070000, 0.3409290000, 0.3757612000, 0.4615313000, 0.6968983000, 1.3737901000", \ - "0.3425817000, 0.3492820000, 0.3648259000, 0.3996530000, 0.4854149000, 0.7206325000, 1.3964966000", \ - "0.3824592000, 0.3891362000, 0.4046840000, 0.4395073000, 0.5252789000, 0.7606098000, 1.4358873000", \ - "0.4376306000, 0.4442833000, 0.4598086000, 0.4946493000, 0.5804314000, 0.8155022000, 1.4906230000", \ - "0.5055871000, 0.5122485000, 0.5277901000, 0.5626131000, 0.6483711000, 0.8837461000, 1.5561583000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.0218745000, 0.0252383000, 0.0332790000, 0.0522593000, 0.1010362000, 0.2420518000, 0.6684786000", \ - "0.0218109000, 0.0251619000, 0.0331516000, 0.0520692000, 0.1012811000, 0.2417716000, 0.6739364000", \ - "0.0218873000, 0.0251925000, 0.0331924000, 0.0521799000, 0.1013547000, 0.2417312000, 0.6674321000", \ - "0.0217218000, 0.0253296000, 0.0331329000, 0.0523291000, 0.1013478000, 0.2418794000, 0.6740874000", \ - "0.0219384000, 0.0251252000, 0.0330860000, 0.0521805000, 0.1012236000, 0.2417962000, 0.6747804000", \ - "0.0219247000, 0.0251159000, 0.0331175000, 0.0522143000, 0.1010551000, 0.2417597000, 0.6699399000", \ - "0.0219115000, 0.0251879000, 0.0333158000, 0.0521858000, 0.1012270000, 0.2418362000, 0.6699357000"); - } - related_pin : "GATE"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.0275805000, 0.0330102000, 0.0467552000, 0.0843835000, 0.1971244000, 0.5286298000, 1.4993833000", \ - "0.0277696000, 0.0330198000, 0.0466751000, 0.0843467000, 0.1968292000, 0.5285883000, 1.4995966000", \ - "0.0276073000, 0.0330343000, 0.0466666000, 0.0842679000, 0.1965815000, 0.5274837000, 1.5004534000", \ - "0.0277489000, 0.0328430000, 0.0467471000, 0.0842435000, 0.1969617000, 0.5284528000, 1.4970241000", \ - "0.0276941000, 0.0328334000, 0.0467832000, 0.0842695000, 0.1967469000, 0.5285685000, 1.4996099000", \ - "0.0276052000, 0.0330634000, 0.0466648000, 0.0842315000, 0.1971114000, 0.5277800000, 1.5002349000", \ - "0.0275900000, 0.0331172000, 0.0467794000, 0.0843188000, 0.1967884000, 0.5278354000, 1.4966428000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.1169294000, 0.1223523000, 0.1344965000, 0.1590650000, 0.2108516000, 0.3276457000, 0.6477487000", \ - "0.1221847000, 0.1276018000, 0.1397433000, 0.1643567000, 0.2161792000, 0.3329818000, 0.6531439000", \ - "0.1352956000, 0.1407232000, 0.1529633000, 0.1774713000, 0.2293447000, 0.3461939000, 0.6667047000", \ - "0.1673032000, 0.1726766000, 0.1847837000, 0.2093789000, 0.2612912000, 0.3781515000, 0.6984934000", \ - "0.2420177000, 0.2475142000, 0.2597224000, 0.2844238000, 0.3364204000, 0.4532570000, 0.7737187000", \ - "0.3750999000, 0.3824332000, 0.3984243000, 0.4288237000, 0.4860115000, 0.6034386000, 0.9237215000", \ - "0.5878161000, 0.5974984000, 0.6192328000, 0.6604822000, 0.7313559000, 0.8506728000, 1.1705819000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.1076780000, 0.1140971000, 0.1291108000, 0.1632149000, 0.2480659000, 0.4824239000, 1.1583215000", \ - "0.1120269000, 0.1184310000, 0.1334375000, 0.1676023000, 0.2523540000, 0.4869005000, 1.1598238000", \ - "0.1206118000, 0.1269920000, 0.1419509000, 0.1760469000, 0.2610884000, 0.4956391000, 1.1679719000", \ - "0.1393322000, 0.1457511000, 0.1607631000, 0.1947927000, 0.2798674000, 0.5146767000, 1.1883523000", \ - "0.1747448000, 0.1815487000, 0.1973998000, 0.2322123000, 0.3181471000, 0.5531540000, 1.2286305000", \ - "0.2238437000, 0.2319924000, 0.2497896000, 0.2875108000, 0.3749865000, 0.6110670000, 1.2874990000", \ - "0.2667703000, 0.2775180000, 0.3006501000, 0.3450722000, 0.4376730000, 0.6742926000, 1.3477913000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.0228392000, 0.0263130000, 0.0345965000, 0.0546143000, 0.1042295000, 0.2406623000, 0.6663695000", \ - "0.0227307000, 0.0264794000, 0.0344543000, 0.0546502000, 0.1042382000, 0.2405681000, 0.6655255000", \ - "0.0227410000, 0.0263531000, 0.0346190000, 0.0546024000, 0.1045352000, 0.2408104000, 0.6723583000", \ - "0.0228273000, 0.0261503000, 0.0348882000, 0.0545920000, 0.1042298000, 0.2407529000, 0.6710236000", \ - "0.0241253000, 0.0274510000, 0.0354323000, 0.0551596000, 0.1043754000, 0.2405715000, 0.6687930000", \ - "0.0354009000, 0.0396614000, 0.0485913000, 0.0678997000, 0.1118042000, 0.2417154000, 0.6713120000", \ - "0.0535454000, 0.0591114000, 0.0712732000, 0.0947353000, 0.1321020000, 0.2456281000, 0.6665988000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014418200, 0.0041576900, 0.0119893000, 0.0345728000, 0.0996954000, 0.2874860000"); - values("0.0260281000, 0.0311559000, 0.0452155000, 0.0831704000, 0.1966476000, 0.5272989000, 1.5016423000", \ - "0.0257745000, 0.0312570000, 0.0452018000, 0.0830562000, 0.1964274000, 0.5271681000, 1.4966995000", \ - "0.0257988000, 0.0313216000, 0.0452086000, 0.0831828000, 0.1966206000, 0.5280397000, 1.4945362000", \ - "0.0259272000, 0.0310572000, 0.0451346000, 0.0830486000, 0.1966082000, 0.5277539000, 1.4939062000", \ - "0.0286353000, 0.0339907000, 0.0477468000, 0.0850171000, 0.1970422000, 0.5278457000, 1.4992101000", \ - "0.0352089000, 0.0410066000, 0.0544902000, 0.0904635000, 0.2002458000, 0.5297357000, 1.5032258000", \ - "0.0487655000, 0.0555734000, 0.0706657000, 0.1054644000, 0.2070453000, 0.5315204000, 1.4943818000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("0.0011750000, 0.0052815000, 0.0136203000, 0.0214710000, 0.0039981000, -0.097150500, -0.426188300", \ - "0.0012178000, 0.0053125000, 0.0136174000, 0.0214225000, 0.0039479000, -0.097263800, -0.426320500", \ - "0.0013149000, 0.0053992000, 0.0136752000, 0.0214281000, 0.0039116000, -0.097350200, -0.426382600", \ - "0.0012821000, 0.0053460000, 0.0135925000, 0.0213068000, 0.0036884000, -0.097531900, -0.426639500", \ - "0.0012163000, 0.0052800000, 0.0135088000, 0.0212044000, 0.0035809000, -0.097654600, -0.426742500", \ - "0.0010839000, 0.0051728000, 0.0134557000, 0.0212409000, 0.0037154000, -0.097488900, -0.426562500", \ - "0.0008147000, 0.0050127000, 0.0135448000, 0.0217420000, 0.0043548000, -0.096832500, -0.425853900"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("-0.002886500, -0.000550000, 0.0058415000, 0.0223042000, 0.0644157000, 0.1792786000, 0.5111095000", \ - "-0.002847100, -0.000525500, 0.0058565000, 0.0222967000, 0.0643091000, 0.1786275000, 0.5135102000", \ - "-0.002747600, -0.000434700, 0.0059128000, 0.0223519000, 0.0641131000, 0.1791674000, 0.5136458000", \ - "-0.002783700, -0.000474200, 0.0058927000, 0.0222892000, 0.0641234000, 0.1798956000, 0.5115000000", \ - "-0.002865300, -0.000552600, 0.0058259000, 0.0222548000, 0.0640565000, 0.1790023000, 0.5136078000", \ - "-0.003052200, -0.000727400, 0.0056626000, 0.0221138000, 0.0640244000, 0.1790457000, 0.5133473000", \ - "-0.003425500, -0.000994200, 0.0055775000, 0.0222530000, 0.0643289000, 0.1796664000, 0.5114168000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("-0.002391700, 0.0020779000, 0.0112328000, 0.0204295000, 0.0042737000, -0.095997300, -0.424697400", \ - "-0.002350000, 0.0021064000, 0.0112496000, 0.0204223000, 0.0042729000, -0.096060000, -0.424761900", \ - "-0.002257300, 0.0021834000, 0.0112913000, 0.0204040000, 0.0042133000, -0.096190300, -0.424907100", \ - "-0.002290000, 0.0021235000, 0.0111510000, 0.0201512000, 0.0038112000, -0.096581300, -0.425325700", \ - "-0.002334000, 0.0020589000, 0.0110605000, 0.0199941000, 0.0036022000, -0.096895300, -0.425667900", \ - "-0.002406800, 0.0019934000, 0.0109946000, 0.0199440000, 0.0035802000, -0.096895500, -0.425633500", \ - "-0.002525900, 0.0019693000, 0.0111830000, 0.0204852000, 0.0042189000, -0.096296200, -0.424980400"); - } - related_pin : "GATE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("-0.003753300, -0.001296200, 0.0054056000, 0.0222636000, 0.0646121000, 0.1807523000, 0.5120145000", \ - "-0.003710900, -0.001263100, 0.0054233000, 0.0223165000, 0.0647314000, 0.1794690000, 0.5142775000", \ - "-0.003625200, -0.001199500, 0.0054000000, 0.0222284000, 0.0645996000, 0.1804339000, 0.5139769000", \ - "-0.003649100, -0.001241800, 0.0053459000, 0.0221156000, 0.0642103000, 0.1801739000, 0.5114656000", \ - "-0.003686400, -0.001286600, 0.0052841000, 0.0220398000, 0.0641086000, 0.1799134000, 0.5146861000", \ - "-0.003755100, -0.001346000, 0.0052157000, 0.0219736000, 0.0641092000, 0.1791930000, 0.5110504000", \ - "-0.003853500, -0.001329400, 0.0054461000, 0.0223927000, 0.0647452000, 0.1803403000, 0.5112068000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("0.0041496000, 0.0074284000, 0.0138883000, 0.0186810000, -0.001880500, -0.104883500, -0.434827500", \ - "0.0041332000, 0.0074100000, 0.0138542000, 0.0186191000, -0.001969000, -0.105001500, -0.434955500", \ - "0.0040988000, 0.0073603000, 0.0137741000, 0.0184807000, -0.002101500, -0.105190500, -0.435155500", \ - "0.0040876000, 0.0073190000, 0.0136591000, 0.0182634000, -0.002432100, -0.105619700, -0.435570700", \ - "0.0040922000, 0.0072988000, 0.0135953000, 0.0181120000, -0.002727000, -0.105940600, -0.435939700", \ - "0.0041336000, 0.0073577000, 0.0137074000, 0.0182684000, -0.002564900, -0.105705500, -0.435675900", \ - "0.0042565000, 0.0075553000, 0.0141109000, 0.0189479000, -0.001591100, -0.104770400, -0.434699800"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("-0.001005600, 0.0012178000, 0.0074104000, 0.0234556000, 0.0648588000, 0.1794125000, 0.5135644000", \ - "-0.001029400, 0.0011798000, 0.0073474000, 0.0233558000, 0.0647627000, 0.1793512000, 0.5107090000", \ - "-0.001068800, 0.0011371000, 0.0072736000, 0.0232614000, 0.0646070000, 0.1801517000, 0.5133872000", \ - "-0.001087200, 0.0010918000, 0.0071908000, 0.0230851000, 0.0643769000, 0.1788766000, 0.5103216000", \ - "-0.001110900, 0.0010432000, 0.0070558000, 0.0228252000, 0.0639938000, 0.1794244000, 0.5125846000", \ - "-0.001137100, 0.0009890000, 0.0069672000, 0.0226492000, 0.0637828000, 0.1782770000, 0.5092962000", \ - "-0.001081200, 0.0011649000, 0.0073043000, 0.0232620000, 0.0646148000, 0.1790202000, 0.5140233000"); - } - } - max_capacitance : 0.3140360000; - max_transition : 1.5032170000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.4262428000, 0.4310812000, 0.4416838000, 0.4635325000, 0.5100315000, 0.6267016000, 0.9612068000", \ - "0.4308374000, 0.4355911000, 0.4462390000, 0.4681144000, 0.5145173000, 0.6313998000, 0.9664887000", \ - "0.4420838000, 0.4468395000, 0.4574862000, 0.4793609000, 0.5257644000, 0.6426477000, 0.9777291000", \ - "0.4628455000, 0.4676400000, 0.4782713000, 0.5001345000, 0.5466460000, 0.6633927000, 0.9987098000", \ - "0.4900656000, 0.4948735000, 0.5054889000, 0.5273433000, 0.5738460000, 0.6905531000, 1.0248401000", \ - "0.5212553000, 0.5260113000, 0.5366623000, 0.5584241000, 0.6049098000, 0.7216537000, 1.0561282000", \ - "0.5442106000, 0.5490481000, 0.5596525000, 0.5815027000, 0.6280039000, 0.7446749000, 1.0786992000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.3234544000, 0.3288313000, 0.3414029000, 0.3712801000, 0.4512393000, 0.6834078000, 1.3613329000", \ - "0.3283813000, 0.3337824000, 0.3463883000, 0.3762821000, 0.4565163000, 0.6878510000, 1.3669427000", \ - "0.3415306000, 0.3469302000, 0.3595268000, 0.3894084000, 0.4694432000, 0.7008353000, 1.3787859000", \ - "0.3727958000, 0.3781580000, 0.3907993000, 0.4206461000, 0.5008695000, 0.7326733000, 1.4100954000", \ - "0.4297555000, 0.4351156000, 0.4477578000, 0.4776098000, 0.5576298000, 0.7888080000, 1.4653356000", \ - "0.5184916000, 0.5238716000, 0.5365300000, 0.5664586000, 0.6465344000, 0.8778366000, 1.5546089000", \ - "0.6587347000, 0.6640986000, 0.6767437000, 0.7066075000, 0.7867585000, 1.0181281000, 1.6954975000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0196435000, 0.0228319000, 0.0299289000, 0.0479551000, 0.0959277000, 0.2430290000, 0.6963873000", \ - "0.0197760000, 0.0228594000, 0.0302106000, 0.0478797000, 0.0960286000, 0.2439618000, 0.6962742000", \ - "0.0197750000, 0.0228565000, 0.0302132000, 0.0478828000, 0.0961731000, 0.2440036000, 0.6935416000", \ - "0.0198092000, 0.0228390000, 0.0302530000, 0.0479431000, 0.0960485000, 0.2428493000, 0.6948233000", \ - "0.0198300000, 0.0228351000, 0.0298756000, 0.0479653000, 0.0960443000, 0.2435434000, 0.6961534000", \ - "0.0198594000, 0.0228670000, 0.0298643000, 0.0478481000, 0.0961532000, 0.2431796000, 0.6977299000", \ - "0.0196287000, 0.0228360000, 0.0298781000, 0.0479573000, 0.0959390000, 0.2435247000, 0.6867960000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0206859000, 0.0250964000, 0.0370737000, 0.0729757000, 0.1845681000, 0.5182315000, 1.4954795000", \ - "0.0207340000, 0.0250566000, 0.0370844000, 0.0731047000, 0.1845767000, 0.5186140000, 1.5023235000", \ - "0.0207202000, 0.0250686000, 0.0371246000, 0.0731022000, 0.1848284000, 0.5186496000, 1.4981254000", \ - "0.0206647000, 0.0250422000, 0.0371130000, 0.0730928000, 0.1846567000, 0.5198267000, 1.5002530000", \ - "0.0206658000, 0.0250475000, 0.0371138000, 0.0730810000, 0.1848289000, 0.5188956000, 1.5017282000", \ - "0.0207056000, 0.0251196000, 0.0369795000, 0.0730384000, 0.1848148000, 0.5187535000, 1.5004755000", \ - "0.0206690000, 0.0250405000, 0.0371137000, 0.0730818000, 0.1848986000, 0.5175206000, 1.4971042000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.3909046000, 0.3956898000, 0.4063741000, 0.4280671000, 0.4746524000, 0.5914528000, 0.9260896000", \ - "0.3954930000, 0.4001883000, 0.4109363000, 0.4327569000, 0.4792137000, 0.5961370000, 0.9311419000", \ - "0.4063312000, 0.4110563000, 0.4217298000, 0.4435673000, 0.4899784000, 0.6068082000, 0.9413749000", \ - "0.4301461000, 0.4349209000, 0.4455701000, 0.4673436000, 0.5138412000, 0.6306501000, 0.9654691000", \ - "0.4699260000, 0.4747041000, 0.4853412000, 0.5071628000, 0.5536542000, 0.6704560000, 1.0055274000", \ - "0.5250961000, 0.5298715000, 0.5405426000, 0.5622238000, 0.6087350000, 0.7255314000, 1.0604929000", \ - "0.5931703000, 0.5979324000, 0.6086125000, 0.6304520000, 0.6768963000, 0.7936476000, 1.1288969000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.3694507000, 0.3748453000, 0.3874755000, 0.4171862000, 0.4974370000, 0.7290221000, 1.4064387000", \ - "0.3739496000, 0.3793964000, 0.3920626000, 0.4219176000, 0.5020885000, 0.7333530000, 1.4134560000", \ - "0.3851536000, 0.3905736000, 0.4030833000, 0.4330722000, 0.5132960000, 0.7450562000, 1.4236820000", \ - "0.4097215000, 0.4150865000, 0.4277051000, 0.4575815000, 0.5376238000, 0.7691349000, 1.4471122000", \ - "0.4482552000, 0.4536987000, 0.4663565000, 0.4962409000, 0.5762096000, 0.8081862000, 1.4859585000", \ - "0.4999293000, 0.5053181000, 0.5178227000, 0.5477566000, 0.6281135000, 0.8600168000, 1.5383980000", \ - "0.5566895000, 0.5621368000, 0.5747597000, 0.6045318000, 0.6845967000, 0.9161402000, 1.5948135000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0196638000, 0.0226343000, 0.0301953000, 0.0477577000, 0.0957870000, 0.2438032000, 0.6880606000", \ - "0.0195500000, 0.0226022000, 0.0299171000, 0.0479693000, 0.0957011000, 0.2443051000, 0.6892463000", \ - "0.0196987000, 0.0226667000, 0.0301649000, 0.0476736000, 0.0957283000, 0.2430488000, 0.6910909000", \ - "0.0196073000, 0.0226749000, 0.0298705000, 0.0475937000, 0.0961229000, 0.2426255000, 0.6884189000", \ - "0.0195692000, 0.0226101000, 0.0302455000, 0.0479596000, 0.0954835000, 0.2439749000, 0.6958338000", \ - "0.0197278000, 0.0227553000, 0.0298716000, 0.0477244000, 0.0958743000, 0.2439818000, 0.6948057000", \ - "0.0195422000, 0.0226770000, 0.0299526000, 0.0479929000, 0.0957971000, 0.2441930000, 0.6867962000"); - } - related_pin : "GATE"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0205421000, 0.0250883000, 0.0371208000, 0.0731523000, 0.1848141000, 0.5192039000, 1.5028313000", \ - "0.0207184000, 0.0251063000, 0.0370434000, 0.0728821000, 0.1849619000, 0.5182516000, 1.4968513000", \ - "0.0206190000, 0.0250804000, 0.0372438000, 0.0729555000, 0.1846723000, 0.5175010000, 1.4997150000", \ - "0.0205020000, 0.0250884000, 0.0371221000, 0.0731022000, 0.1850571000, 0.5184945000, 1.5032170000", \ - "0.0207367000, 0.0250582000, 0.0370903000, 0.0730922000, 0.1845026000, 0.5192353000, 1.5017135000", \ - "0.0205777000, 0.0250747000, 0.0371153000, 0.0730517000, 0.1850827000, 0.5190002000, 1.4986470000", \ - "0.0206236000, 0.0250835000, 0.0371008000, 0.0731469000, 0.1845569000, 0.5178610000, 1.4944707000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.1940298000, 0.1988643000, 0.2094627000, 0.2313085000, 0.2777322000, 0.3944066000, 0.7285688000", \ - "0.1982796000, 0.2031122000, 0.2137100000, 0.2355508000, 0.2819840000, 0.3986360000, 0.7327606000", \ - "0.2068997000, 0.2116510000, 0.2222890000, 0.2441495000, 0.2905270000, 0.4073591000, 0.7426473000", \ - "0.2254624000, 0.2302265000, 0.2408390000, 0.2626736000, 0.3090572000, 0.4259289000, 0.7610262000", \ - "0.2630295000, 0.2678096000, 0.2784653000, 0.3003008000, 0.3468223000, 0.4635704000, 0.7989626000", \ - "0.3183082000, 0.3231646000, 0.3339481000, 0.3560049000, 0.4026983000, 0.5195588000, 0.8545963000", \ - "0.3769274000, 0.3819891000, 0.3932621000, 0.4155773000, 0.4628468000, 0.5800121000, 0.9147145000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.2067192000, 0.2122626000, 0.2250631000, 0.2551219000, 0.3352706000, 0.5671031000, 1.2439971000", \ - "0.2120969000, 0.2175173000, 0.2303656000, 0.2604887000, 0.3404070000, 0.5729291000, 1.2521184000", \ - "0.2250594000, 0.2305726000, 0.2433395000, 0.2734317000, 0.3535860000, 0.5853220000, 1.2616475000", \ - "0.2569463000, 0.2623670000, 0.2752177000, 0.3053418000, 0.3852602000, 0.6177940000, 1.2970436000", \ - "0.3320614000, 0.3376168000, 0.3503693000, 0.3804358000, 0.4605840000, 0.6924105000, 1.3691213000", \ - "0.4814940000, 0.4870309000, 0.5002724000, 0.5304986000, 0.6106686000, 0.8433894000, 1.5223122000", \ - "0.7265809000, 0.7326841000, 0.7470190000, 0.7779946000, 0.8582204000, 1.0901713000, 1.7659882000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0194898000, 0.0226311000, 0.0297334000, 0.0478737000, 0.0957565000, 0.2431192000, 0.6978083000", \ - "0.0194945000, 0.0226135000, 0.0297436000, 0.0478669000, 0.0957144000, 0.2431869000, 0.6904071000", \ - "0.0196479000, 0.0227422000, 0.0300734000, 0.0477548000, 0.0959131000, 0.2439227000, 0.6911431000", \ - "0.0196760000, 0.0226744000, 0.0301198000, 0.0478234000, 0.0960824000, 0.2441073000, 0.6918677000", \ - "0.0197892000, 0.0228011000, 0.0298435000, 0.0476761000, 0.0959573000, 0.2437471000, 0.6925026000", \ - "0.0202874000, 0.0234089000, 0.0307573000, 0.0484586000, 0.0965330000, 0.2424385000, 0.6982429000", \ - "0.0218855000, 0.0251824000, 0.0323182000, 0.0497930000, 0.0971635000, 0.2435956000, 0.6899287000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0214347000, 0.0257876000, 0.0377075000, 0.0734372000, 0.1849112000, 0.5201646000, 1.4994380000", \ - "0.0212014000, 0.0258335000, 0.0377525000, 0.0735961000, 0.1849170000, 0.5194111000, 1.4954645000", \ - "0.0213840000, 0.0257733000, 0.0377663000, 0.0735139000, 0.1849553000, 0.5200911000, 1.4979481000", \ - "0.0212171000, 0.0258454000, 0.0377576000, 0.0735977000, 0.1849170000, 0.5194354000, 1.4959743000", \ - "0.0214124000, 0.0256429000, 0.0376918000, 0.0735740000, 0.1849605000, 0.5201783000, 1.4983189000", \ - "0.0228896000, 0.0274719000, 0.0390211000, 0.0739194000, 0.1847843000, 0.5196456000, 1.4958407000", \ - "0.0273751000, 0.0314359000, 0.0424277000, 0.0756980000, 0.1849041000, 0.5167307000, 1.5018057000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("RESET_B") { - capacitance : 0.0024270000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043701000, 0.0044027000, 0.0044779000, 0.0044832000, 0.0044953000, 0.0045234000, 0.0045882000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004325100, -0.004300700, -0.004244400, -0.004257000, -0.004286200, -0.004353100, -0.004507400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025740000; - timing () { - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.021193400, 0.0538717000, 0.0713685000", \ - "-0.182928400, -0.112746100, -0.095249300", \ - "-0.345688800, -0.280389300, -0.268996100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0398418000, -0.034002600, -0.053940800", \ - "0.2076803000, 0.1362773000, 0.1163392000", \ - "0.3838685000, 0.3136862000, 0.2949688000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1555040000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dlrtn_1") { - leakage_power () { - value : 0.0083801000; - when : "RESET_B&D&!GATE_N&Q"; - } - leakage_power () { - value : 0.0063420000; - when : "RESET_B&!D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0061375000; - when : "!RESET_B&!D&!GATE_N&!Q"; - } - leakage_power () { - value : 0.0064211000; - when : "RESET_B&!D&!GATE_N&!Q"; - } - leakage_power () { - value : 0.0082856000; - when : "RESET_B&D&GATE_N&Q"; - } - leakage_power () { - value : 0.0077141000; - when : "!RESET_B&D&!GATE_N&!Q"; - } - leakage_power () { - value : 0.0060583000; - when : "!RESET_B&!D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0075076000; - when : "!RESET_B&D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0077913000; - when : "RESET_B&D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0074593000; - when : "RESET_B&!D&GATE_N&Q"; - } - area : 17.516800000; - cell_footprint : "sky130_fd_sc_hd__dlrtn"; - cell_leakage_power : 0.0072096790; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - clear : "!RESET_B"; - data_in : "D"; - enable : "!GATE_N"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017880000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016960000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0181794000, 0.0180656000, 0.0178032000, 0.0178827000, 0.0180661000, 0.0184888000, 0.0194630000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092039000, 0.0091026000, 0.0088692000, 0.0089263000, 0.0090579000, 0.0093612000, 0.0100605000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018800000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1130840000, 0.2723776000, 0.4436829000", \ - "0.0758607000, 0.2339336000, 0.4003561000", \ - "0.0986471000, 0.2493958000, 0.4121562000"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1704570000, 0.2540671000, 0.2862122000", \ - "0.0526673000, 0.1374980000, 0.1696432000", \ - "-0.018540400, 0.0662904000, 0.0996563000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.100539100, -0.262274100, -0.434800100", \ - "-0.054770800, -0.216505900, -0.385369800", \ - "-0.054363900, -0.214878300, -0.383742200"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.159132800, -0.245184200, -0.277329400", \ - "-0.042563800, -0.127394500, -0.160760400", \ - "0.0274232000, -0.057407600, -0.090773400"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE_N") { - capacitance : 0.0017450000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016650000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0171556000, 0.0170282000, 0.0167343000, 0.0168041000, 0.0169648000, 0.0173352000, 0.0181889000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0103342000, 0.0102020000, 0.0098973000, 0.0099397000, 0.0100375000, 0.0102628000, 0.0107821000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018250000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1412233000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "GATE_N"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0097278000, 0.0088516000, 0.0063177000, -0.001718500, -0.024607500, -0.085111900, -0.243382900", \ - "0.0096193000, 0.0087642000, 0.0062822000, -0.001817000, -0.024706300, -0.085188700, -0.243465300", \ - "0.0097337000, 0.0088583000, 0.0063503000, -0.001721200, -0.024623400, -0.085112800, -0.243372900", \ - "0.0096127000, 0.0087394000, 0.0061936000, -0.001845600, -0.024731500, -0.085204100, -0.243428800", \ - "0.0095039000, 0.0086148000, 0.0060790000, -0.001957700, -0.024839400, -0.085334400, -0.243611100", \ - "0.0104386000, 0.0092380000, 0.0060145000, -0.002232700, -0.025050200, -0.085555600, -0.243808500", \ - "0.0109456000, 0.0097143000, 0.0065883000, -0.002072200, -0.025117700, -0.085488600, -0.243716000"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0140014000, 0.0155532000, 0.0193955000, 0.0285237000, 0.0515422000, 0.1119055000, 0.2689820000", \ - "0.0139111000, 0.0154242000, 0.0192328000, 0.0284022000, 0.0513822000, 0.1117958000, 0.2698627000", \ - "0.0139525000, 0.0154662000, 0.0192740000, 0.0284440000, 0.0514248000, 0.1118322000, 0.2696949000", \ - "0.0137061000, 0.0152593000, 0.0190855000, 0.0281765000, 0.0512189000, 0.1113287000, 0.2689930000", \ - "0.0134957000, 0.0150478000, 0.0188842000, 0.0280185000, 0.0510416000, 0.1109168000, 0.2677278000", \ - "0.0138678000, 0.0151609000, 0.0188180000, 0.0280311000, 0.0509464000, 0.1113397000, 0.2690842000", \ - "0.0148771000, 0.0161773000, 0.0196287000, 0.0285999000, 0.0515256000, 0.1116153000, 0.2671746000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0187241000, 0.0178618000, 0.0153460000, 0.0073118000, -0.015560300, -0.076073900, -0.234313400", \ - "0.0188133000, 0.0179317000, 0.0154077000, 0.0073531000, -0.015503500, -0.076005500, -0.234277900", \ - "0.0188791000, 0.0179735000, 0.0154823000, 0.0074342000, -0.015432100, -0.075935200, -0.234191500", \ - "0.0186112000, 0.0177077000, 0.0152147000, 0.0071677000, -0.015692800, -0.076206600, -0.234424300", \ - "0.0182828000, 0.0173747000, 0.0148569000, 0.0068184000, -0.016045600, -0.076550600, -0.234807900", \ - "0.0176626000, 0.0167856000, 0.0143940000, 0.0064844000, -0.016366100, -0.076862800, -0.235112000", \ - "0.0195006000, 0.0182962000, 0.0150901000, 0.0064517000, -0.016572400, -0.076861600, -0.235105100"); - } - related_pin : "GATE_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0199077000, 0.0214594000, 0.0252793000, 0.0344035000, 0.0574704000, 0.1172487000, 0.2740701000", \ - "0.0198428000, 0.0213972000, 0.0252129000, 0.0343639000, 0.0574889000, 0.1177481000, 0.2755231000", \ - "0.0199766000, 0.0215154000, 0.0253287000, 0.0344624000, 0.0575441000, 0.1173709000, 0.2741760000", \ - "0.0197801000, 0.0213159000, 0.0251363000, 0.0342755000, 0.0572921000, 0.1175336000, 0.2750419000", \ - "0.0195057000, 0.0210687000, 0.0248545000, 0.0340118000, 0.0570693000, 0.1175002000, 0.2736978000", \ - "0.0193176000, 0.0208770000, 0.0246746000, 0.0338397000, 0.0569217000, 0.1173042000, 0.2742038000", \ - "0.0202363000, 0.0215738000, 0.0250545000, 0.0340330000, 0.0572103000, 0.1168842000, 0.2750054000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0159852000, 0.0156966000, 0.0152834000, 0.0102784000, -0.012452600, -0.073047400, -0.231365900", \ - "0.0158261000, 0.0156387000, 0.0150631000, 0.0101495000, -0.012545900, -0.073123400, -0.231429100", \ - "0.0155011000, 0.0152051000, 0.0147947000, 0.0098136000, -0.012875000, -0.073436600, -0.231802900", \ - "0.0153487000, 0.0149645000, 0.0145753000, 0.0095967000, -0.013072000, -0.073640400, -0.231935900", \ - "0.0152830000, 0.0147756000, 0.0142308000, 0.0091539000, -0.013499900, -0.074014600, -0.232288700", \ - "0.0225128000, 0.0212278000, 0.0178793000, 0.0090797000, -0.013541400, -0.074048400, -0.232313300", \ - "0.0240057000, 0.0226713000, 0.0192342000, 0.0103679000, -0.012783900, -0.073114100, -0.231319800"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0155226000, 0.0170372000, 0.0207313000, 0.0297316000, 0.0525490000, 0.1128493000, 0.2705187000", \ - "0.0152109000, 0.0167161000, 0.0204224000, 0.0294187000, 0.0522614000, 0.1121660000, 0.2699181000", \ - "0.0149024000, 0.0164107000, 0.0200968000, 0.0290802000, 0.0519800000, 0.1118333000, 0.2700931000", \ - "0.0146571000, 0.0161036000, 0.0197888000, 0.0288144000, 0.0518090000, 0.1121461000, 0.2686605000", \ - "0.0146637000, 0.0159962000, 0.0196776000, 0.0285049000, 0.0515863000, 0.1114507000, 0.2685377000", \ - "0.0153050000, 0.0166181000, 0.0200073000, 0.0287715000, 0.0519230000, 0.1118771000, 0.2683634000", \ - "0.0165801000, 0.0178063000, 0.0212633000, 0.0300695000, 0.0531726000, 0.1132678000, 0.2695883000"); - } - } - max_capacitance : 0.1583960000; - max_transition : 1.5037500000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.2080104000, 0.2133880000, 0.2247481000, 0.2474234000, 0.2960079000, 0.4140576000, 0.7206921000", \ - "0.2129298000, 0.2183798000, 0.2297058000, 0.2524047000, 0.3009654000, 0.4190799000, 0.7256507000", \ - "0.2260927000, 0.2315389000, 0.2428633000, 0.2655652000, 0.3141755000, 0.4323615000, 0.7394871000", \ - "0.2573300000, 0.2627273000, 0.2741081000, 0.2967613000, 0.3453496000, 0.4634075000, 0.7696513000", \ - "0.3143067000, 0.3196953000, 0.3310538000, 0.3537365000, 0.4023286000, 0.5203826000, 0.8267447000", \ - "0.4031190000, 0.4085620000, 0.4199063000, 0.4425844000, 0.4912190000, 0.6093314000, 0.9168781000", \ - "0.5434045000, 0.5487907000, 0.5601620000, 0.5828680000, 0.6314944000, 0.7495767000, 1.0558469000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.3107341000, 0.3183728000, 0.3351828000, 0.3735012000, 0.4687959000, 0.7145095000, 1.3574724000", \ - "0.3154972000, 0.3228730000, 0.3398209000, 0.3781138000, 0.4731246000, 0.7189764000, 1.3623761000", \ - "0.3267266000, 0.3341000000, 0.3510489000, 0.3893406000, 0.4843626000, 0.7301844000, 1.3738712000", \ - "0.3474451000, 0.3550343000, 0.3718796000, 0.4100554000, 0.5054093000, 0.7506890000, 1.3945739000", \ - "0.3746147000, 0.3822923000, 0.3991430000, 0.4374251000, 0.5327812000, 0.7783704000, 1.4195140000", \ - "0.4057756000, 0.4133765000, 0.4301192000, 0.4683908000, 0.5633266000, 0.8091654000, 1.4536087000", \ - "0.4287158000, 0.4361399000, 0.4531004000, 0.4914025000, 0.5864334000, 0.8323863000, 1.4712769000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0174158000, 0.0216363000, 0.0304412000, 0.0523278000, 0.1078761000, 0.2597983000, 0.6673912000", \ - "0.0174822000, 0.0216646000, 0.0307917000, 0.0521685000, 0.1075474000, 0.2616135000, 0.6686264000", \ - "0.0173997000, 0.0214891000, 0.0303846000, 0.0521555000, 0.1078469000, 0.2627054000, 0.6728536000", \ - "0.0176408000, 0.0213512000, 0.0305978000, 0.0523351000, 0.1078744000, 0.2610163000, 0.6673918000", \ - "0.0174614000, 0.0216502000, 0.0304667000, 0.0523200000, 0.1078765000, 0.2605673000, 0.6688834000", \ - "0.0174954000, 0.0213954000, 0.0305005000, 0.0522556000, 0.1072493000, 0.2620582000, 0.6725974000", \ - "0.0174969000, 0.0217284000, 0.0305448000, 0.0523675000, 0.1079055000, 0.2607936000, 0.6632349000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0263232000, 0.0332247000, 0.0509027000, 0.0993570000, 0.2309771000, 0.5793059000, 1.4957471000", \ - "0.0261672000, 0.0332075000, 0.0509774000, 0.0992493000, 0.2310547000, 0.5809053000, 1.5012830000", \ - "0.0261614000, 0.0332057000, 0.0509769000, 0.0992639000, 0.2310118000, 0.5808728000, 1.5012619000", \ - "0.0262454000, 0.0330156000, 0.0508630000, 0.0993337000, 0.2313730000, 0.5799633000, 1.4976118000", \ - "0.0262826000, 0.0331867000, 0.0508403000, 0.0993222000, 0.2307725000, 0.5793841000, 1.4995548000", \ - "0.0261557000, 0.0330746000, 0.0509623000, 0.0993583000, 0.2312101000, 0.5808117000, 1.5020983000", \ - "0.0261924000, 0.0332667000, 0.0509791000, 0.0990686000, 0.2313299000, 0.5807629000, 1.4951278000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.2328705000, 0.2384029000, 0.2498532000, 0.2727271000, 0.3213396000, 0.4396367000, 0.7478425000", \ - "0.2378389000, 0.2433511000, 0.2548007000, 0.2776907000, 0.3263270000, 0.4445572000, 0.7514329000", \ - "0.2505531000, 0.2561185000, 0.2675428000, 0.2904237000, 0.3390514000, 0.4572516000, 0.7641742000", \ - "0.2812302000, 0.2867826000, 0.2982106000, 0.3210747000, 0.3697502000, 0.4878664000, 0.7943329000", \ - "0.3479469000, 0.3534976000, 0.3649417000, 0.3878158000, 0.4364527000, 0.5546676000, 0.8616018000", \ - "0.4600858000, 0.4656301000, 0.4770889000, 0.5000059000, 0.5486397000, 0.6668649000, 0.9748993000", \ - "0.6335228000, 0.6391015000, 0.6506110000, 0.6735238000, 0.7223471000, 0.8410005000, 1.1477861000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.3703716000, 0.3780765000, 0.3949884000, 0.4333815000, 0.5287283000, 0.7737766000, 1.4157392000", \ - "0.3751755000, 0.3828418000, 0.3997741000, 0.4381803000, 0.5332257000, 0.7791863000, 1.4197016000", \ - "0.3880184000, 0.3956535000, 0.4125511000, 0.4509433000, 0.5461763000, 0.7915435000, 1.4339538000", \ - "0.4189617000, 0.4266448000, 0.4435639000, 0.4819486000, 0.5771944000, 0.8229166000, 1.4659716000", \ - "0.4847445000, 0.4924303000, 0.5093494000, 0.5477286000, 0.6429672000, 0.8883946000, 1.5305165000", \ - "0.5905645000, 0.5982281000, 0.6151601000, 0.6535382000, 0.7489065000, 0.9939600000, 1.6350937000", \ - "0.7527601000, 0.7604405000, 0.7773494000, 0.8157255000, 0.9109424000, 1.1565934000, 1.7987226000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0178733000, 0.0219505000, 0.0307609000, 0.0527602000, 0.1078608000, 0.2606722000, 0.6684909000", \ - "0.0179760000, 0.0218205000, 0.0309769000, 0.0527091000, 0.1080665000, 0.2613727000, 0.6666204000", \ - "0.0178439000, 0.0217833000, 0.0310558000, 0.0527502000, 0.1080625000, 0.2611600000, 0.6702660000", \ - "0.0178471000, 0.0217688000, 0.0310555000, 0.0527315000, 0.1079555000, 0.2625382000, 0.6673784000", \ - "0.0180047000, 0.0218575000, 0.0310634000, 0.0527719000, 0.1080535000, 0.2612596000, 0.6673723000", \ - "0.0181366000, 0.0219959000, 0.0311518000, 0.0528132000, 0.1080132000, 0.2612216000, 0.6681445000", \ - "0.0182248000, 0.0221408000, 0.0313710000, 0.0524352000, 0.1081804000, 0.2617747000, 0.6624480000"); - } - related_pin : "GATE_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0259917000, 0.0330799000, 0.0509632000, 0.0991527000, 0.2312766000, 0.5790283000, 1.4987611000", \ - "0.0260520000, 0.0330528000, 0.0509624000, 0.0991946000, 0.2311977000, 0.5793107000, 1.5002979000", \ - "0.0260920000, 0.0330957000, 0.0509448000, 0.0991873000, 0.2313262000, 0.5801694000, 1.4963620000", \ - "0.0260726000, 0.0331290000, 0.0509453000, 0.0993181000, 0.2307248000, 0.5792098000, 1.4954545000", \ - "0.0261300000, 0.0330957000, 0.0508546000, 0.0992978000, 0.2308757000, 0.5804902000, 1.4975132000", \ - "0.0259977000, 0.0330884000, 0.0509412000, 0.0991531000, 0.2312857000, 0.5790549000, 1.4926048000", \ - "0.0260259000, 0.0330053000, 0.0509155000, 0.0992106000, 0.2308881000, 0.5788260000, 1.4958140000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0874533000, 0.0930372000, 0.1046178000, 0.1278226000, 0.1788733000, 0.2977784000, 0.6046808000", \ - "0.0926244000, 0.0981766000, 0.1097216000, 0.1329788000, 0.1840497000, 0.3029343000, 0.6099131000", \ - "0.1055788000, 0.1111278000, 0.1227332000, 0.1460082000, 0.1971331000, 0.3160440000, 0.6230268000", \ - "0.1380330000, 0.1435513000, 0.1550681000, 0.1784293000, 0.2296055000, 0.3485396000, 0.6549950000", \ - "0.2068699000, 0.2131440000, 0.2257334000, 0.2501315000, 0.3017580000, 0.4206273000, 0.7277132000", \ - "0.3192819000, 0.3277530000, 0.3441477000, 0.3734798000, 0.4289351000, 0.5480602000, 0.8548315000", \ - "0.4999155000, 0.5114623000, 0.5338119000, 0.5729571000, 0.6360837000, 0.7549752000, 1.0619149000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0818418000, 0.0889957000, 0.1053213000, 0.1429421000, 0.2376868000, 0.4827722000, 1.1262186000", \ - "0.0859488000, 0.0931858000, 0.1095418000, 0.1471090000, 0.2413840000, 0.4866915000, 1.1301768000", \ - "0.0942687000, 0.1015254000, 0.1177854000, 0.1555401000, 0.2501008000, 0.4952065000, 1.1376409000", \ - "0.1116565000, 0.1189025000, 0.1352982000, 0.1731295000, 0.2678730000, 0.5135735000, 1.1566116000", \ - "0.1394555000, 0.1473152000, 0.1645389000, 0.2031647000, 0.2983828000, 0.5434515000, 1.1840349000", \ - "0.1722269000, 0.1814683000, 0.2000485000, 0.2407844000, 0.3359478000, 0.5819020000, 1.2271727000", \ - "0.1872448000, 0.1997542000, 0.2232031000, 0.2685418000, 0.3656597000, 0.6120542000, 1.2518427000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0182392000, 0.0224376000, 0.0319671000, 0.0546233000, 0.1113802000, 0.2617249000, 0.6685472000", \ - "0.0182846000, 0.0226501000, 0.0316924000, 0.0546791000, 0.1112051000, 0.2612078000, 0.6688216000", \ - "0.0182529000, 0.0223268000, 0.0319782000, 0.0545977000, 0.1111565000, 0.2611127000, 0.6688075000", \ - "0.0183416000, 0.0224925000, 0.0321483000, 0.0546547000, 0.1113000000, 0.2616965000, 0.6723510000", \ - "0.0223436000, 0.0263193000, 0.0351224000, 0.0566657000, 0.1116330000, 0.2609998000, 0.6695984000", \ - "0.0324741000, 0.0373131000, 0.0467686000, 0.0675627000, 0.1177891000, 0.2617260000, 0.6709914000", \ - "0.0479305000, 0.0547114000, 0.0667838000, 0.0892640000, 0.1295010000, 0.2626807000, 0.6622877000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0236707000, 0.0308326000, 0.0490671000, 0.0981746000, 0.2313040000, 0.5812298000, 1.5014884000", \ - "0.0236106000, 0.0307044000, 0.0490633000, 0.0982940000, 0.2311137000, 0.5799173000, 1.4978536000", \ - "0.0237170000, 0.0307695000, 0.0490267000, 0.0982651000, 0.2308601000, 0.5791061000, 1.5037502000", \ - "0.0241418000, 0.0313297000, 0.0494571000, 0.0984070000, 0.2308786000, 0.5805296000, 1.4991871000", \ - "0.0270903000, 0.0341759000, 0.0518399000, 0.1000794000, 0.2311943000, 0.5811522000, 1.4971260000", \ - "0.0339769000, 0.0406330000, 0.0579097000, 0.1033214000, 0.2330296000, 0.5805590000, 1.5014213000", \ - "0.0471065000, 0.0550146000, 0.0736337000, 0.1127687000, 0.2356096000, 0.5826778000, 1.4935095000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0025130000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043789000, 0.0044157000, 0.0045006000, 0.0045053000, 0.0045163000, 0.0045417000, 0.0046000000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004338600, -0.004314800, -0.004259800, -0.004273200, -0.004304100, -0.004375400, -0.004539600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026520000; - timing () { - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.072462900, -0.025474000, -0.040936200", \ - "-0.186590500, -0.138380900, -0.152622400", \ - "-0.259018900, -0.209588500, -0.223830100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0850078000, 0.0380189000, 0.0522604000", \ - "0.1991354000, 0.1497051000, 0.1651673000", \ - "0.2715638000, 0.2221335000, 0.2363750000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1115636000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dlrtn_2") { - leakage_power () { - value : 0.0088043000; - when : "RESET_B&D&!GATE_N&Q"; - } - leakage_power () { - value : 0.0072749000; - when : "RESET_B&!D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0071376000; - when : "!RESET_B&!D&!GATE_N&!Q"; - } - leakage_power () { - value : 0.0074213000; - when : "RESET_B&!D&!GATE_N&!Q"; - } - leakage_power () { - value : 0.0087098000; - when : "RESET_B&D&GATE_N&Q"; - } - leakage_power () { - value : 0.0087283000; - when : "!RESET_B&D&!GATE_N&!Q"; - } - leakage_power () { - value : 0.0069911000; - when : "!RESET_B&!D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0084443000; - when : "!RESET_B&D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0087280000; - when : "RESET_B&D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0078809000; - when : "RESET_B&!D&GATE_N&Q"; - } - area : 17.516800000; - cell_footprint : "sky130_fd_sc_hd__dlrtn"; - cell_leakage_power : 0.0080120490; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - clear : "!RESET_B"; - data_in : "D"; - enable : "!GATE_N"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017750000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016980000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0180452000, 0.0179261000, 0.0176513000, 0.0177315000, 0.0179162000, 0.0183420000, 0.0193237000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092721000, 0.0091686000, 0.0089300000, 0.0089886000, 0.0091237000, 0.0094351000, 0.0101527000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018510000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1191875000, 0.2797018000, 0.4497864000", \ - "0.0795228000, 0.2375957000, 0.4052389000", \ - "0.1035300000, 0.2530580000, 0.4170391000"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1838848000, 0.2674948000, 0.2996400000", \ - "0.0660950000, 0.1484844000, 0.1818503000", \ - "-0.007554000, 0.0772767000, 0.1094219000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.102980500, -0.265936200, -0.438462300", \ - "-0.057212200, -0.218947300, -0.389031900", \ - "-0.056805300, -0.217319700, -0.387404300"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.168898400, -0.253729200, -0.287095100", \ - "-0.052329400, -0.135939500, -0.169305300", \ - "0.0200990000, -0.065952500, -0.098097700"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE_N") { - capacitance : 0.0017450000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0171983000, 0.0171041000, 0.0168871000, 0.0169486000, 0.0170904000, 0.0174173000, 0.0181707000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0103972000, 0.0102636000, 0.0099557000, 0.0099989000, 0.0100985000, 0.0103279000, 0.0108570000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018250000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1489129000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "GATE_N"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0140588000, 0.0127284000, 0.0091908000, -0.001725300, -0.037063400, -0.141609600, -0.443604500", \ - "0.0139483000, 0.0126276000, 0.0090999000, -0.001792600, -0.037142100, -0.141697400, -0.443692000", \ - "0.0140529000, 0.0127408000, 0.0092135000, -0.001698400, -0.037029700, -0.141592200, -0.443585000", \ - "0.0139610000, 0.0126231000, 0.0091077000, -0.001838500, -0.037139700, -0.141732000, -0.443702600", \ - "0.0138241000, 0.0125088000, 0.0089815000, -0.001962100, -0.037272600, -0.141841600, -0.443826400", \ - "0.0144042000, 0.0129762000, 0.0087917000, -0.002260000, -0.037498300, -0.142044300, -0.444039300", \ - "0.0159595000, 0.0145575000, 0.0104802000, -0.001600500, -0.037541700, -0.142061200, -0.443967000"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0194545000, 0.0211670000, 0.0259580000, 0.0389058000, 0.0751638000, 0.1786281000, 0.4778817000", \ - "0.0194349000, 0.0210997000, 0.0259529000, 0.0389014000, 0.0751512000, 0.1794493000, 0.4763158000", \ - "0.0195156000, 0.0212282000, 0.0260250000, 0.0389909000, 0.0753205000, 0.1784725000, 0.4773534000", \ - "0.0191006000, 0.0207968000, 0.0255129000, 0.0386452000, 0.0748500000, 0.1783977000, 0.4768259000", \ - "0.0189782000, 0.0206557000, 0.0253534000, 0.0384376000, 0.0747319000, 0.1781382000, 0.4764611000", \ - "0.0189755000, 0.0206578000, 0.0253780000, 0.0384951000, 0.0745566000, 0.1780596000, 0.4768541000", \ - "0.0202709000, 0.0217951000, 0.0262734000, 0.0390732000, 0.0753692000, 0.1791001000, 0.4757931000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0229729000, 0.0216161000, 0.0180573000, 0.0071733000, -0.028142200, -0.132692300, -0.434678100", \ - "0.0228837000, 0.0215461000, 0.0179741000, 0.0070552000, -0.028246400, -0.132794900, -0.434778500", \ - "0.0230075000, 0.0216071000, 0.0180715000, 0.0071713000, -0.028154100, -0.132689600, -0.434687200", \ - "0.0226316000, 0.0212650000, 0.0177675000, 0.0068535000, -0.028449100, -0.132987100, -0.434997500", \ - "0.0222612000, 0.0209117000, 0.0173024000, 0.0064336000, -0.028885200, -0.133413200, -0.435397000", \ - "0.0218504000, 0.0204654000, 0.0170042000, 0.0061604000, -0.029151700, -0.133682200, -0.435659100", \ - "0.0246307000, 0.0232111000, 0.0190876000, 0.0069857000, -0.028927700, -0.133331300, -0.435293000"); - } - related_pin : "GATE_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0254411000, 0.0271434000, 0.0319139000, 0.0449548000, 0.0812096000, 0.1853089000, 0.4840355000", \ - "0.0253543000, 0.0270310000, 0.0318150000, 0.0448646000, 0.0811265000, 0.1849306000, 0.4840373000", \ - "0.0253688000, 0.0270280000, 0.0318200000, 0.0448522000, 0.0812131000, 0.1851486000, 0.4823693000", \ - "0.0251693000, 0.0268296000, 0.0316274000, 0.0447235000, 0.0809385000, 0.1851115000, 0.4833442000", \ - "0.0249042000, 0.0265898000, 0.0313893000, 0.0444225000, 0.0808872000, 0.1846950000, 0.4848799000", \ - "0.0247498000, 0.0264355000, 0.0312330000, 0.0442954000, 0.0805846000, 0.1841120000, 0.4843759000", \ - "0.0258469000, 0.0274109000, 0.0318974000, 0.0446686000, 0.0808658000, 0.1843447000, 0.4830779000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0205984000, 0.0194094000, 0.0168686000, 0.0095672000, -0.025199000, -0.129905600, -0.431925800", \ - "0.0203551000, 0.0191555000, 0.0165448000, 0.0093150000, -0.025412000, -0.130093500, -0.432120600", \ - "0.0201323000, 0.0190002000, 0.0163734000, 0.0091420000, -0.025609700, -0.130276900, -0.432328500", \ - "0.0198190000, 0.0185784000, 0.0159563000, 0.0087510000, -0.025929300, -0.130579300, -0.432533100", \ - "0.0196561000, 0.0184347000, 0.0155732000, 0.0083198000, -0.026397100, -0.130929900, -0.432867500", \ - "0.0262101000, 0.0247220000, 0.0204514000, 0.0081142000, -0.026275300, -0.130798400, -0.432714300", \ - "0.0296687000, 0.0277569000, 0.0231918000, 0.0106665000, -0.025699900, -0.130099400, -0.431962600"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0207670000, 0.0224094000, 0.0271680000, 0.0401655000, 0.0761572000, 0.1795098000, 0.4775544000", \ - "0.0206541000, 0.0222893000, 0.0270530000, 0.0400050000, 0.0760783000, 0.1791204000, 0.4777674000", \ - "0.0203212000, 0.0220376000, 0.0268083000, 0.0397722000, 0.0758515000, 0.1787352000, 0.4779411000", \ - "0.0198841000, 0.0215837000, 0.0263569000, 0.0393196000, 0.0754219000, 0.1786963000, 0.4790399000", \ - "0.0198012000, 0.0215456000, 0.0262704000, 0.0390106000, 0.0751980000, 0.1786708000, 0.4776645000", \ - "0.0208835000, 0.0224171000, 0.0268234000, 0.0391546000, 0.0753221000, 0.1784690000, 0.4775954000", \ - "0.0223451000, 0.0238169000, 0.0281058000, 0.0407096000, 0.0768508000, 0.1802859000, 0.4768966000"); - } - } - max_capacitance : 0.2856540000; - max_transition : 1.5044040000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.2227440000, 0.2272939000, 0.2374892000, 0.2585447000, 0.3027573000, 0.4109491000, 0.7143191000", \ - "0.2276745000, 0.2322376000, 0.2425037000, 0.2635411000, 0.3077099000, 0.4158701000, 0.7194403000", \ - "0.2408184000, 0.2453787000, 0.2556424000, 0.2766822000, 0.3208570000, 0.4290399000, 0.7326071000", \ - "0.2721420000, 0.2766745000, 0.2869294000, 0.3079424000, 0.3521446000, 0.4603431000, 0.7647180000", \ - "0.3291481000, 0.3336812000, 0.3439408000, 0.3649508000, 0.4091586000, 0.5173174000, 0.8216430000", \ - "0.4181956000, 0.4227316000, 0.4329412000, 0.4539981000, 0.4981744000, 0.6064000000, 0.9105504000", \ - "0.5586332000, 0.5630754000, 0.5733956000, 0.5944655000, 0.6386450000, 0.7469688000, 1.0514132000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.3253202000, 0.3314779000, 0.3457122000, 0.3781787000, 0.4600296000, 0.6893051000, 1.3476956000", \ - "0.3297629000, 0.3358850000, 0.3501911000, 0.3826391000, 0.4643486000, 0.6938836000, 1.3517455000", \ - "0.3411189000, 0.3472752000, 0.3615129000, 0.3939768000, 0.4758257000, 0.7046290000, 1.3671658000", \ - "0.3620275000, 0.3681341000, 0.3822581000, 0.4148693000, 0.4967397000, 0.7254775000, 1.3836363000", \ - "0.3893015000, 0.3954319000, 0.4095354000, 0.4421395000, 0.5240289000, 0.7530787000, 1.4158524000", \ - "0.4203670000, 0.4264580000, 0.4406664000, 0.4731692000, 0.5547928000, 0.7838478000, 1.4441972000", \ - "0.4435279000, 0.4496068000, 0.4638801000, 0.4963918000, 0.5782717000, 0.8073882000, 1.4656800000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0189232000, 0.0218854000, 0.0289147000, 0.0464066000, 0.0920947000, 0.2267748000, 0.6393824000", \ - "0.0188116000, 0.0216804000, 0.0290363000, 0.0462256000, 0.0919215000, 0.2278987000, 0.6325750000", \ - "0.0188050000, 0.0217020000, 0.0290543000, 0.0461611000, 0.0919168000, 0.2279189000, 0.6327124000", \ - "0.0189448000, 0.0217881000, 0.0288580000, 0.0462905000, 0.0918725000, 0.2279521000, 0.6332552000", \ - "0.0188130000, 0.0219623000, 0.0288507000, 0.0462237000, 0.0918423000, 0.2279362000, 0.6331417000", \ - "0.0187957000, 0.0220358000, 0.0289062000, 0.0462511000, 0.0917051000, 0.2279907000, 0.6327516000", \ - "0.0188250000, 0.0218280000, 0.0289431000, 0.0463047000, 0.0918537000, 0.2282331000, 0.6319198000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0255413000, 0.0304922000, 0.0436463000, 0.0808954000, 0.1937820000, 0.5288043000, 1.4977179000", \ - "0.0256735000, 0.0306484000, 0.0436325000, 0.0808980000, 0.1933178000, 0.5276906000, 1.4959665000", \ - "0.0255437000, 0.0304876000, 0.0436277000, 0.0809084000, 0.1938218000, 0.5275765000, 1.5019644000", \ - "0.0252866000, 0.0305375000, 0.0437841000, 0.0810538000, 0.1937921000, 0.5282034000, 1.4996002000", \ - "0.0253342000, 0.0305050000, 0.0437764000, 0.0810004000, 0.1934176000, 0.5282152000, 1.5009440000", \ - "0.0256340000, 0.0305796000, 0.0437657000, 0.0810458000, 0.1936677000, 0.5288117000, 1.5027630000", \ - "0.0256080000, 0.0307067000, 0.0438545000, 0.0809134000, 0.1938652000, 0.5280518000, 1.4986123000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.2478051000, 0.2524201000, 0.2628321000, 0.2839554000, 0.3283358000, 0.4364937000, 0.7405579000", \ - "0.2526951000, 0.2573383000, 0.2677296000, 0.2889000000, 0.3332683000, 0.4413995000, 0.7455772000", \ - "0.2652617000, 0.2698891000, 0.2802635000, 0.3013841000, 0.3457636000, 0.4539469000, 0.7576839000", \ - "0.2963070000, 0.3009664000, 0.3113502000, 0.3325229000, 0.3769096000, 0.4851262000, 0.7892856000", \ - "0.3630592000, 0.3677043000, 0.3780542000, 0.3992149000, 0.4435845000, 0.5517822000, 0.8554362000", \ - "0.4752235000, 0.4798807000, 0.4902860000, 0.5114160000, 0.5557673000, 0.6640218000, 0.9676975000", \ - "0.6488635000, 0.6535208000, 0.6639269000, 0.6852016000, 0.7296258000, 0.8379207000, 1.1425331000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.3852113000, 0.3913391000, 0.4056572000, 0.4382298000, 0.5200708000, 0.7494838000, 1.4089946000", \ - "0.3900143000, 0.3961155000, 0.4104727000, 0.4431107000, 0.5250262000, 0.7537541000, 1.4144425000", \ - "0.4026592000, 0.4088280000, 0.4232112000, 0.4557676000, 0.5375728000, 0.7671374000, 1.4251768000", \ - "0.4336937000, 0.4398009000, 0.4541302000, 0.4867680000, 0.5686823000, 0.7980777000, 1.4568529000", \ - "0.4994358000, 0.5055732000, 0.5199484000, 0.5524833000, 0.6344823000, 0.8637789000, 1.5243626000", \ - "0.6051855000, 0.6113358000, 0.6256703000, 0.6583156000, 0.7403329000, 0.9698864000, 1.6286424000", \ - "0.7674529000, 0.7735786000, 0.7879141000, 0.8205013000, 0.9024121000, 1.1313228000, 1.7889955000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0194142000, 0.0223206000, 0.0294020000, 0.0466375000, 0.0921352000, 0.2276522000, 0.6401586000", \ - "0.0193516000, 0.0223023000, 0.0294095000, 0.0468098000, 0.0920720000, 0.2279055000, 0.6337265000", \ - "0.0193464000, 0.0222332000, 0.0293069000, 0.0465996000, 0.0921751000, 0.2278282000, 0.6337145000", \ - "0.0193767000, 0.0222387000, 0.0294053000, 0.0467890000, 0.0920556000, 0.2284988000, 0.6347595000", \ - "0.0193274000, 0.0223499000, 0.0292765000, 0.0466862000, 0.0922168000, 0.2279157000, 0.6413196000", \ - "0.0194165000, 0.0223816000, 0.0294519000, 0.0467521000, 0.0921286000, 0.2280088000, 0.6340194000", \ - "0.0195495000, 0.0225762000, 0.0294754000, 0.0469663000, 0.0924631000, 0.2284865000, 0.6323195000"); - } - related_pin : "GATE_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0253957000, 0.0304221000, 0.0438017000, 0.0809666000, 0.1933869000, 0.5273966000, 1.5001196000", \ - "0.0254705000, 0.0303528000, 0.0437163000, 0.0810867000, 0.1938925000, 0.5283508000, 1.5000965000", \ - "0.0254034000, 0.0303818000, 0.0437297000, 0.0810755000, 0.1934395000, 0.5283649000, 1.4981180000", \ - "0.0254714000, 0.0303356000, 0.0437326000, 0.0810487000, 0.1939110000, 0.5288939000, 1.5012379000", \ - "0.0253871000, 0.0302833000, 0.0437556000, 0.0809361000, 0.1938421000, 0.5277653000, 1.5027749000", \ - "0.0254188000, 0.0303993000, 0.0437652000, 0.0810385000, 0.1941401000, 0.5289774000, 1.5010456000", \ - "0.0253222000, 0.0303945000, 0.0436850000, 0.0810168000, 0.1937185000, 0.5275865000, 1.5008143000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.1020492000, 0.1067749000, 0.1174172000, 0.1390451000, 0.1851347000, 0.2943192000, 0.5977926000", \ - "0.1072771000, 0.1119891000, 0.1226467000, 0.1442723000, 0.1904062000, 0.2995999000, 0.6030264000", \ - "0.1202857000, 0.1250120000, 0.1356589000, 0.1573267000, 0.2034404000, 0.3126207000, 0.6162683000", \ - "0.1524697000, 0.1571829000, 0.1677185000, 0.1893197000, 0.2355111000, 0.3447392000, 0.6476642000", \ - "0.2255079000, 0.2305544000, 0.2415999000, 0.2636034000, 0.3100838000, 0.4192733000, 0.7222926000", \ - "0.3497368000, 0.3564374000, 0.3710770000, 0.3986798000, 0.4504335000, 0.5602480000, 0.8625231000", \ - "0.5490534000, 0.5576445000, 0.5774681000, 0.6148292000, 0.6786627000, 0.7895878000, 1.0914347000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0945267000, 0.1003792000, 0.1141913000, 0.1461758000, 0.2274363000, 0.4563368000, 1.1143632000", \ - "0.0988131000, 0.1045357000, 0.1183480000, 0.1503154000, 0.2314445000, 0.4600100000, 1.1194325000", \ - "0.1072434000, 0.1131300000, 0.1269290000, 0.1588443000, 0.2399481000, 0.4683736000, 1.1268829000", \ - "0.1256601000, 0.1314528000, 0.1452609000, 0.1771768000, 0.2584826000, 0.4873450000, 1.1495901000", \ - "0.1580596000, 0.1643817000, 0.1789777000, 0.2121500000, 0.2940968000, 0.5233417000, 1.1824078000", \ - "0.2004788000, 0.2080506000, 0.2249654000, 0.2598297000, 0.3434421000, 0.5727570000, 1.2308429000", \ - "0.2316912000, 0.2416257000, 0.2633933000, 0.3053067000, 0.3919702000, 0.6216958000, 1.2790038000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0198073000, 0.0231812000, 0.0305503000, 0.0481337000, 0.0951332000, 0.2273122000, 0.6353498000", \ - "0.0198043000, 0.0227919000, 0.0300932000, 0.0481080000, 0.0951231000, 0.2276448000, 0.6351401000", \ - "0.0197829000, 0.0228249000, 0.0305383000, 0.0481467000, 0.0950855000, 0.2271112000, 0.6359568000", \ - "0.0197929000, 0.0230620000, 0.0301267000, 0.0481666000, 0.0949773000, 0.2271140000, 0.6374781000", \ - "0.0226323000, 0.0255775000, 0.0322313000, 0.0493619000, 0.0950905000, 0.2269612000, 0.6372958000", \ - "0.0336350000, 0.0378227000, 0.0455225000, 0.0625052000, 0.1032334000, 0.2288843000, 0.6381614000", \ - "0.0508692000, 0.0558556000, 0.0663780000, 0.0871797000, 0.1213061000, 0.2313176000, 0.6309691000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0231696000, 0.0282930000, 0.0418511000, 0.0797506000, 0.1932030000, 0.5277470000, 1.4992703000", \ - "0.0230903000, 0.0284034000, 0.0418814000, 0.0796769000, 0.1929017000, 0.5284020000, 1.4984866000", \ - "0.0232474000, 0.0283423000, 0.0418065000, 0.0799141000, 0.1929834000, 0.5276974000, 1.4983319000", \ - "0.0234229000, 0.0283714000, 0.0418682000, 0.0797334000, 0.1932292000, 0.5286840000, 1.5044043000", \ - "0.0262118000, 0.0315493000, 0.0447838000, 0.0820815000, 0.1940788000, 0.5285332000, 1.4985704000", \ - "0.0331407000, 0.0386366000, 0.0513015000, 0.0874849000, 0.1957740000, 0.5291625000, 1.5033222000", \ - "0.0463184000, 0.0528162000, 0.0668229000, 0.1005155000, 0.2019676000, 0.5308193000, 1.4944517000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0024340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023070000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043349000, 0.0043706000, 0.0044528000, 0.0044541000, 0.0044571000, 0.0044641000, 0.0044801000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004306500, -0.004280300, -0.004219800, -0.004233100, -0.004263800, -0.004334400, -0.004497400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025600000; - timing () { - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.056593700, 0.0026022000, 0.0054505000", \ - "-0.170721400, -0.109084000, -0.107456400", \ - "-0.244370500, -0.180291700, -0.178664100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0764629000, 0.0148255000, 0.0107565000", \ - "0.1893698000, 0.1277324000, 0.1236634000", \ - "0.2630189000, 0.2001608000, 0.1948711000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1346323000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dlrtn_4") { - leakage_power () { - value : 0.0093991000; - when : "RESET_B&D&!GATE_N&Q"; - } - leakage_power () { - value : 0.0082253000; - when : "RESET_B&!D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0080082000; - when : "!RESET_B&!D&!GATE_N&!Q"; - } - leakage_power () { - value : 0.0083044000; - when : "RESET_B&!D&!GATE_N&!Q"; - } - leakage_power () { - value : 0.0093045000; - when : "RESET_B&D&GATE_N&Q"; - } - leakage_power () { - value : 0.0096075000; - when : "!RESET_B&D&!GATE_N&!Q"; - } - leakage_power () { - value : 0.0079291000; - when : "!RESET_B&!D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0093784000; - when : "!RESET_B&D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0096746000; - when : "RESET_B&D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0084782000; - when : "RESET_B&!D&GATE_N&Q"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__dlrtn"; - cell_leakage_power : 0.0088309230; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - clear : "!RESET_B"; - data_in : "D"; - enable : "!GATE_N"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017880000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016960000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0181508000, 0.0180358000, 0.0177706000, 0.0178469000, 0.0180228000, 0.0184282000, 0.0193627000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091830000, 0.0090812000, 0.0088466000, 0.0089063000, 0.0090438000, 0.0093608000, 0.0100917000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018790000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1350566000, 0.2931296000, 0.4632142000", \ - "0.0868470000, 0.2436992000, 0.4113424000", \ - "0.1084128000, 0.2591615000, 0.4219219000"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2107402000, 0.2931296000, 0.3252747000", \ - "0.0892884000, 0.1716777000, 0.2038229000", \ - "0.0119772000, 0.0955872000, 0.1277324000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.111525400, -0.274481100, -0.448227900", \ - "-0.064536500, -0.226271500, -0.396356100", \ - "-0.065350300, -0.225864600, -0.395949200"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.189650400, -0.275701800, -0.307847000", \ - "-0.070640000, -0.155470700, -0.187615900", \ - "0.0042298000, -0.081821600, -0.113966800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE_N") { - capacitance : 0.0017450000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016650000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0171440000, 0.0170247000, 0.0167498000, 0.0168094000, 0.0169469000, 0.0172638000, 0.0179944000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0102894000, 0.0101597000, 0.0098606000, 0.0099028000, 0.0100000000, 0.0102239000, 0.0107403000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018250000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1642921000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "GATE_N"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0230572000, 0.0213657000, 0.0161551000, 0.0003275000, -0.055521900, -0.243964600, -0.852123400", \ - "0.0230172000, 0.0213053000, 0.0160272000, 0.0002017000, -0.055610200, -0.244115800, -0.852228400", \ - "0.0231382000, 0.0214636000, 0.0161887000, 0.0002840000, -0.055530900, -0.244001400, -0.852100900", \ - "0.0229888000, 0.0212859000, 0.0159913000, 0.0001706000, -0.055637300, -0.244093700, -0.852231800", \ - "0.0228400000, 0.0211832000, 0.0159137000, 3.550000e-05, -0.055761500, -0.244167900, -0.852353500", \ - "0.0225951000, 0.0208701000, 0.0156432000, -7.59000e-05, -0.055956900, -0.244373100, -0.852537800", \ - "0.0272184000, 0.0255142000, 0.0200606000, 0.0024268000, -0.055463900, -0.244382100, -0.852408100"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0308031000, 0.0327122000, 0.0387942000, 0.0578640000, 0.1169780000, 0.3044482000, 0.9080536000", \ - "0.0307310000, 0.0325984000, 0.0386128000, 0.0577585000, 0.1168632000, 0.3044029000, 0.9050412000", \ - "0.0307186000, 0.0326177000, 0.0385042000, 0.0577386000, 0.1168261000, 0.3042615000, 0.9061970000", \ - "0.0305041000, 0.0322502000, 0.0382768000, 0.0575050000, 0.1165597000, 0.3051607000, 0.9089499000", \ - "0.0302297000, 0.0321526000, 0.0381283000, 0.0573459000, 0.1164852000, 0.3036479000, 0.9024896000", \ - "0.0302336000, 0.0321322000, 0.0381097000, 0.0572029000, 0.1164372000, 0.3038338000, 0.9060484000", \ - "0.0321154000, 0.0339229000, 0.0397661000, 0.0584878000, 0.1176257000, 0.3053020000, 0.9047889000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0322498000, 0.0305067000, 0.0252099000, 0.0093730000, -0.046455000, -0.234817100, -0.842977700", \ - "0.0323264000, 0.0305775000, 0.0252835000, 0.0093937000, -0.046408900, -0.234792200, -0.842866500", \ - "0.0323423000, 0.0305033000, 0.0253609000, 0.0094649000, -0.046359000, -0.234718600, -0.842857600", \ - "0.0319833000, 0.0302729000, 0.0250322000, 0.0091312000, -0.046678500, -0.235065100, -0.843210400", \ - "0.0317257000, 0.0298694000, 0.0248062000, 0.0088837000, -0.046926200, -0.235333500, -0.843451000", \ - "0.0314149000, 0.0297087000, 0.0244232000, 0.0084898000, -0.047276800, -0.235655500, -0.843791500", \ - "0.0359269000, 0.0341912000, 0.0287196000, 0.0110207000, -0.046877500, -0.235567600, -0.843654900"); - } - related_pin : "GATE_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0366886000, 0.0384949000, 0.0445132000, 0.0637137000, 0.1228077000, 0.3114540000, 0.9142594000", \ - "0.0365857000, 0.0384569000, 0.0444888000, 0.0636264000, 0.1228740000, 0.3102443000, 0.9139797000", \ - "0.0366574000, 0.0385279000, 0.0445150000, 0.0637293000, 0.1230237000, 0.3114506000, 0.9180206000", \ - "0.0364633000, 0.0383080000, 0.0443603000, 0.0635544000, 0.1226861000, 0.3112591000, 0.9170514000", \ - "0.0362597000, 0.0380688000, 0.0440909000, 0.0633390000, 0.1227155000, 0.3100387000, 0.9166792000", \ - "0.0361093000, 0.0379642000, 0.0440136000, 0.0631736000, 0.1222211000, 0.3110431000, 0.9165077000", \ - "0.0376408000, 0.0394678000, 0.0452717000, 0.0639927000, 0.1227132000, 0.3098730000, 0.9121775000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0302401000, 0.0287768000, 0.0240452000, 0.0110338000, -0.043798000, -0.232681400, -0.840914300", \ - "0.0302980000, 0.0289072000, 0.0240142000, 0.0110308000, -0.043808300, -0.232697500, -0.840910900", \ - "0.0299039000, 0.0283693000, 0.0237357000, 0.0107977000, -0.044084000, -0.232950700, -0.841180300", \ - "0.0297655000, 0.0283616000, 0.0235440000, 0.0105611000, -0.044328000, -0.233152000, -0.841343800", \ - "0.0295056000, 0.0280476000, 0.0231713000, 0.0099799000, -0.044984200, -0.233612100, -0.841679900", \ - "0.0304966000, 0.0286024000, 0.0247096000, 0.0100886000, -0.045246200, -0.233907500, -0.841880500", \ - "0.0418584000, 0.0397536000, 0.0334091000, 0.0144444000, -0.044632900, -0.233431700, -0.841306300"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0316844000, 0.0335707000, 0.0395988000, 0.0588836000, 0.1179417000, 0.3049284000, 0.9071360000", \ - "0.0316768000, 0.0335489000, 0.0395468000, 0.0588849000, 0.1179400000, 0.3047569000, 0.9069447000", \ - "0.0315039000, 0.0334896000, 0.0395094000, 0.0586161000, 0.1176887000, 0.3046931000, 0.9103611000", \ - "0.0310142000, 0.0328656000, 0.0390091000, 0.0581751000, 0.1171749000, 0.3045947000, 0.9026243000", \ - "0.0311622000, 0.0329606000, 0.0389633000, 0.0577928000, 0.1169132000, 0.3042816000, 0.9109519000", \ - "0.0325408000, 0.0342784000, 0.0404725000, 0.0585617000, 0.1168296000, 0.3035703000, 0.9068395000", \ - "0.0347557000, 0.0364434000, 0.0419999000, 0.0601860000, 0.1189755000, 0.3060536000, 0.9035520000"); - } - } - max_capacitance : 0.5456640000; - max_transition : 1.5066200000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.2598086000, 0.2634733000, 0.2730879000, 0.2946955000, 0.3403465000, 0.4490313000, 0.7689268000", \ - "0.2647937000, 0.2684677000, 0.2780529000, 0.2996842000, 0.3453676000, 0.4539893000, 0.7738868000", \ - "0.2779513000, 0.2816243000, 0.2911868000, 0.3128086000, 0.3584165000, 0.4671182000, 0.7870679000", \ - "0.3091655000, 0.3128395000, 0.3224467000, 0.3440485000, 0.3897402000, 0.4984438000, 0.8184891000", \ - "0.3661463000, 0.3697890000, 0.3794083000, 0.4010094000, 0.4467730000, 0.5552367000, 0.8747975000", \ - "0.4549880000, 0.4586635000, 0.4682574000, 0.4898539000, 0.5355001000, 0.6441842000, 0.9635593000", \ - "0.5951116000, 0.5988080000, 0.6083669000, 0.6299101000, 0.6754900000, 0.7842469000, 1.1042550000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.3603649000, 0.3650780000, 0.3775605000, 0.4075645000, 0.4825956000, 0.7034456000, 1.4092171000", \ - "0.3647961000, 0.3695276000, 0.3820252000, 0.4120143000, 0.4871725000, 0.7080256000, 1.4142235000", \ - "0.3761433000, 0.3808961000, 0.3933751000, 0.4232783000, 0.4982830000, 0.7198410000, 1.4250496000", \ - "0.3969581000, 0.4015165000, 0.4141893000, 0.4440949000, 0.5190967000, 0.7406665000, 1.4475333000", \ - "0.4240917000, 0.4287609000, 0.4413622000, 0.4713612000, 0.5463474000, 0.7676574000, 1.4709602000", \ - "0.4552269000, 0.4599365000, 0.4724695000, 0.5023689000, 0.5773435000, 0.7989093000, 1.5046794000", \ - "0.4783315000, 0.4830657000, 0.4955855000, 0.5255811000, 0.6007002000, 0.8215485000, 1.5261576000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0256245000, 0.0278754000, 0.0339497000, 0.0494457000, 0.0890714000, 0.2135166000, 0.6353593000", \ - "0.0256619000, 0.0279241000, 0.0339661000, 0.0490365000, 0.0894845000, 0.2135617000, 0.6402323000", \ - "0.0255880000, 0.0279384000, 0.0343268000, 0.0493454000, 0.0893724000, 0.2140584000, 0.6357174000", \ - "0.0256425000, 0.0279088000, 0.0339135000, 0.0489845000, 0.0891559000, 0.2139269000, 0.6360820000", \ - "0.0256154000, 0.0278780000, 0.0342772000, 0.0494828000, 0.0894333000, 0.2140500000, 0.6355734000", \ - "0.0257495000, 0.0279213000, 0.0341665000, 0.0490935000, 0.0885515000, 0.2136919000, 0.6397798000", \ - "0.0257132000, 0.0280012000, 0.0340464000, 0.0491851000, 0.0893507000, 0.2130556000, 0.6349297000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0306561000, 0.0341785000, 0.0440366000, 0.0727180000, 0.1647756000, 0.4778544000, 1.4989922000", \ - "0.0304356000, 0.0338250000, 0.0440303000, 0.0727015000, 0.1651198000, 0.4779739000, 1.5017831000", \ - "0.0305810000, 0.0339844000, 0.0442359000, 0.0726883000, 0.1653735000, 0.4782330000, 1.5015709000", \ - "0.0305747000, 0.0343181000, 0.0442295000, 0.0726969000, 0.1653645000, 0.4780607000, 1.4982707000", \ - "0.0306301000, 0.0341399000, 0.0441624000, 0.0727697000, 0.1653029000, 0.4786772000, 1.5007732000", \ - "0.0304566000, 0.0340886000, 0.0442474000, 0.0726627000, 0.1650580000, 0.4783169000, 1.5047914000", \ - "0.0303334000, 0.0338256000, 0.0440851000, 0.0727036000, 0.1650694000, 0.4775716000, 1.4965826000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.2850410000, 0.2887963000, 0.2985254000, 0.3202673000, 0.3659411000, 0.4748644000, 0.7944275000", \ - "0.2899979000, 0.2937207000, 0.3034013000, 0.3251295000, 0.3710177000, 0.4797966000, 0.7997996000", \ - "0.3026673000, 0.3064161000, 0.3161442000, 0.3378300000, 0.3837247000, 0.4924811000, 0.8121874000", \ - "0.3335995000, 0.3373031000, 0.3470596000, 0.3688067000, 0.4146005000, 0.5233263000, 0.8433056000", \ - "0.4000653000, 0.4037499000, 0.4134786000, 0.4351585000, 0.4811863000, 0.5897601000, 0.9096862000", \ - "0.5123869000, 0.5161127000, 0.5258684000, 0.5475873000, 0.5932774000, 0.7021395000, 1.0219592000", \ - "0.6858135000, 0.6895505000, 0.6993310000, 0.7211018000, 0.7668408000, 0.8757096000, 1.1954622000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.4198221000, 0.4245270000, 0.4370337000, 0.4671656000, 0.5421681000, 0.7634718000, 1.4676724000", \ - "0.4245735000, 0.4292975000, 0.4418254000, 0.4719056000, 0.5469498000, 0.7686078000, 1.4772806000", \ - "0.4374134000, 0.4421410000, 0.4546963000, 0.4847487000, 0.5598579000, 0.7807949000, 1.4873067000", \ - "0.4682673000, 0.4730246000, 0.4855111000, 0.5156373000, 0.5906625000, 0.8119578000, 1.5160032000", \ - "0.5341287000, 0.5388553000, 0.5513668000, 0.5814825000, 0.6564731000, 0.8779610000, 1.5831290000", \ - "0.6399621000, 0.6447330000, 0.6572168000, 0.6873279000, 0.7623102000, 0.9838146000, 1.6894602000", \ - "0.8022217000, 0.8069345000, 0.8194387000, 0.8495692000, 0.9245462000, 1.1458092000, 1.8529587000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0260023000, 0.0285264000, 0.0345857000, 0.0492431000, 0.0896083000, 0.2140817000, 0.6359347000", \ - "0.0261906000, 0.0284448000, 0.0344621000, 0.0492802000, 0.0896373000, 0.2139339000, 0.6416773000", \ - "0.0260073000, 0.0284986000, 0.0342971000, 0.0492202000, 0.0895735000, 0.2141054000, 0.6396624000", \ - "0.0259912000, 0.0284043000, 0.0343095000, 0.0491581000, 0.0892060000, 0.2139788000, 0.6363274000", \ - "0.0259857000, 0.0286137000, 0.0343773000, 0.0495876000, 0.0899028000, 0.2142010000, 0.6409300000", \ - "0.0261058000, 0.0284145000, 0.0343876000, 0.0494759000, 0.0896675000, 0.2140111000, 0.6395293000", \ - "0.0261857000, 0.0286180000, 0.0345683000, 0.0492598000, 0.0898966000, 0.2142419000, 0.6351850000"); - } - related_pin : "GATE_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0303635000, 0.0338758000, 0.0438745000, 0.0726002000, 0.1652330000, 0.4782158000, 1.5033998000", \ - "0.0303901000, 0.0338150000, 0.0438918000, 0.0726080000, 0.1650524000, 0.4794255000, 1.5045557000", \ - "0.0304317000, 0.0338738000, 0.0437234000, 0.0725666000, 0.1649656000, 0.4778936000, 1.4991881000", \ - "0.0304221000, 0.0337695000, 0.0438686000, 0.0727109000, 0.1651523000, 0.4782612000, 1.5048115000", \ - "0.0303803000, 0.0338570000, 0.0437707000, 0.0725552000, 0.1652009000, 0.4779185000, 1.5046555000", \ - "0.0304508000, 0.0337115000, 0.0438660000, 0.0727356000, 0.1652989000, 0.4785442000, 1.5001707000", \ - "0.0303794000, 0.0338461000, 0.0438988000, 0.0727301000, 0.1654904000, 0.4768609000, 1.5025904000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.1387426000, 0.1425642000, 0.1526583000, 0.1751274000, 0.2224943000, 0.3309949000, 0.6490514000", \ - "0.1442002000, 0.1480379000, 0.1580639000, 0.1805810000, 0.2279530000, 0.3364692000, 0.6547584000", \ - "0.1572758000, 0.1611301000, 0.1712335000, 0.1937209000, 0.2410667000, 0.3496419000, 0.6683898000", \ - "0.1893286000, 0.1932138000, 0.2031608000, 0.2256897000, 0.2730013000, 0.3816362000, 0.7004149000", \ - "0.2652555000, 0.2690383000, 0.2790207000, 0.3013639000, 0.3486701000, 0.4572646000, 0.7754204000", \ - "0.4136350000, 0.4183076000, 0.4306917000, 0.4572834000, 0.5093306000, 0.6187326000, 0.9368200000", \ - "0.6516455000, 0.6578860000, 0.6742079000, 0.7099475000, 0.7765830000, 0.8912638000, 1.2085923000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.1242745000, 0.1287881000, 0.1409267000, 0.1706319000, 0.2452399000, 0.4659792000, 1.1719310000", \ - "0.1284854000, 0.1330833000, 0.1452512000, 0.1749109000, 0.2495555000, 0.4701454000, 1.1781193000", \ - "0.1372001000, 0.1417916000, 0.1539350000, 0.1835808000, 0.2581879000, 0.4793178000, 1.1824076000", \ - "0.1561299000, 0.1607027000, 0.1729790000, 0.2025413000, 0.2771523000, 0.4984692000, 1.2020128000", \ - "0.1945546000, 0.1993626000, 0.2120995000, 0.2421168000, 0.3170007000, 0.5387500000, 1.2427459000", \ - "0.2513843000, 0.2569445000, 0.2715274000, 0.3044028000, 0.3819926000, 0.6035716000, 1.3097290000", \ - "0.3090720000, 0.3161978000, 0.3344837000, 0.3742717000, 0.4574148000, 0.6797950000, 1.3834565000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0270212000, 0.0296194000, 0.0356418000, 0.0510688000, 0.0917699000, 0.2113515000, 0.6381062000", \ - "0.0270140000, 0.0296843000, 0.0361804000, 0.0510904000, 0.0918354000, 0.2112542000, 0.6379210000", \ - "0.0271908000, 0.0296141000, 0.0362896000, 0.0518148000, 0.0917150000, 0.2111654000, 0.6373244000", \ - "0.0270386000, 0.0297004000, 0.0359026000, 0.0513717000, 0.0919051000, 0.2112786000, 0.6369304000", \ - "0.0274361000, 0.0296102000, 0.0364831000, 0.0519350000, 0.0917691000, 0.2111756000, 0.6382162000", \ - "0.0387922000, 0.0414391000, 0.0481580000, 0.0630706000, 0.0994214000, 0.2124926000, 0.6378881000", \ - "0.0603494000, 0.0634674000, 0.0722538000, 0.0914659000, 0.1251654000, 0.2194517000, 0.6329420000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0286744000, 0.0324371000, 0.0426649000, 0.0714439000, 0.1643166000, 0.4787916000, 1.5032868000", \ - "0.0289241000, 0.0324211000, 0.0426552000, 0.0715271000, 0.1644174000, 0.4787612000, 1.5015462000", \ - "0.0286376000, 0.0322565000, 0.0423839000, 0.0713633000, 0.1647205000, 0.4784900000, 1.4985350000", \ - "0.0285801000, 0.0323136000, 0.0423600000, 0.0713914000, 0.1647934000, 0.4785551000, 1.5016871000", \ - "0.0307062000, 0.0342336000, 0.0444448000, 0.0729953000, 0.1651731000, 0.4775817000, 1.5066199000", \ - "0.0377043000, 0.0414080000, 0.0514536000, 0.0798101000, 0.1694093000, 0.4791705000, 1.5058560000", \ - "0.0527307000, 0.0563849000, 0.0676625000, 0.0956267000, 0.1791322000, 0.4818969000, 1.4971060000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0023930000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042892000, 0.0043198000, 0.0043905000, 0.0043900000, 0.0043888000, 0.0043859000, 0.0043794000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004233200, -0.004214600, -0.004171700, -0.004183500, -0.004210700, -0.004273600, -0.004418400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025090000; - timing () { - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.028517600, 0.0428854000, 0.0701478000", \ - "-0.143865900, -0.070021500, -0.041538400", \ - "-0.218735700, -0.140008500, -0.112746100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0593730000, -0.019354200, -0.050278600", \ - "0.1735006000, 0.0935527000, 0.0626283000", \ - "0.2471497000, 0.1647604000, 0.1338359000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1752772000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dlrtp_1") { - leakage_power () { - value : 0.0073326000; - when : "RESET_B&D&GATE&Q"; - } - leakage_power () { - value : 0.0059624000; - when : "RESET_B&!D&!GATE&!Q"; - } - leakage_power () { - value : 0.0060912000; - when : "!RESET_B&!D&GATE&!Q"; - } - leakage_power () { - value : 0.0063645000; - when : "RESET_B&!D&GATE&!Q"; - } - leakage_power () { - value : 0.0072337000; - when : "RESET_B&D&!GATE&Q"; - } - leakage_power () { - value : 0.0077750000; - when : "!RESET_B&D&GATE&!Q"; - } - leakage_power () { - value : 0.0070008000; - when : "!RESET_B&D&!GATE&!Q"; - } - leakage_power () { - value : 0.0056891000; - when : "!RESET_B&!D&!GATE&!Q"; - } - leakage_power () { - value : 0.0072741000; - when : "RESET_B&D&!GATE&!Q"; - } - leakage_power () { - value : 0.0065733000; - when : "RESET_B&!D&!GATE&Q"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__dlrtp"; - cell_leakage_power : 0.0067296680; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - clear : "!RESET_B"; - data_in : "D"; - enable : "GATE"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017910000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017140000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0083442000, 0.0082609000, 0.0080690000, 0.0081420000, 0.0083102000, 0.0086981000, 0.0095920000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025566000, 0.0024223000, 0.0021129000, 0.0021810000, 0.0023378000, 0.0026994000, 0.0035328000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018680000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0386211000, 0.1991354000, 0.3692201000", \ - "-0.134100300, 0.0251934000, 0.1916159000", \ - "-0.310288400, -0.150994800, 0.0105449000"); - } - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2217266000, 0.3053366000, 0.3362611000", \ - "0.1271302000, 0.2107402000, 0.2404440000", \ - "0.0669089000, 0.1468568000, 0.1728984000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.026076200, -0.187811200, -0.359116500", \ - "0.1466452000, -0.015089800, -0.182733100", \ - "0.3240541000, 0.1635397000, -0.000441400"); - } - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.209181600, -0.294012400, -0.324936800", \ - "-0.106040400, -0.190871100, -0.220574900", \ - "-0.020184200, -0.106235700, -0.135939500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE") { - capacitance : 0.0017520000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0173446000, 0.0172203000, 0.0169337000, 0.0170076000, 0.0171779000, 0.0175706000, 0.0184755000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0103990000, 0.0102741000, 0.0099863000, 0.0100214000, 0.0101024000, 0.0102892000, 0.0107198000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018310000; - timing () { - related_output_pin : "Q"; - related_pin : "GATE"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1818682000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0194867000, 0.0185709000, 0.0160027000, 0.0078994000, -0.014915200, -0.075107900, -0.232393100", \ - "0.0193542000, 0.0184544000, 0.0158846000, 0.0077648000, -0.015056400, -0.075251900, -0.232503800", \ - "0.0193832000, 0.0184835000, 0.0159137000, 0.0077938000, -0.015027300, -0.075213500, -0.232466100", \ - "0.0192569000, 0.0183650000, 0.0158265000, 0.0076713000, -0.015142700, -0.075341600, -0.232582800", \ - "0.0191791000, 0.0182694000, 0.0156760000, 0.0075712000, -0.015249500, -0.075446200, -0.232690900", \ - "0.0199770000, 0.0187803000, 0.0155567000, 0.0073239000, -0.015421000, -0.075603700, -0.232820600", \ - "0.0204708000, 0.0192745000, 0.0160813000, 0.0074890000, -0.015450400, -0.075468900, -0.232709600"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0206513000, 0.0221900000, 0.0259556000, 0.0350512000, 0.0578508000, 0.1177464000, 0.2747040000", \ - "0.0206578000, 0.0221962000, 0.0259633000, 0.0350554000, 0.0578564000, 0.1178234000, 0.2738536000", \ - "0.0208519000, 0.0224058000, 0.0261300000, 0.0351945000, 0.0582861000, 0.1180359000, 0.2735216000", \ - "0.0204082000, 0.0219470000, 0.0257150000, 0.0348068000, 0.0576163000, 0.1175510000, 0.2740229000", \ - "0.0202332000, 0.0217740000, 0.0255376000, 0.0346279000, 0.0574359000, 0.1174439000, 0.2740964000", \ - "0.0202429000, 0.0217206000, 0.0254602000, 0.0345879000, 0.0573802000, 0.1166389000, 0.2737924000", \ - "0.0214408000, 0.0227673000, 0.0261564000, 0.0350368000, 0.0578803000, 0.1174703000, 0.2736864000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0191797000, 0.0182664000, 0.0156761000, 0.0075570000, -0.015255600, -0.075438200, -0.232675500", \ - "0.0191928000, 0.0182757000, 0.0156836000, 0.0075707000, -0.015245700, -0.075430100, -0.232672400", \ - "0.0192696000, 0.0183387000, 0.0157718000, 0.0076454000, -0.015157800, -0.075345200, -0.232608000", \ - "0.0188168000, 0.0179256000, 0.0153511000, 0.0072045000, -0.015597800, -0.075779000, -0.233034900", \ - "0.0185707000, 0.0176603000, 0.0150766000, 0.0069572000, -0.015854700, -0.076043500, -0.233286800", \ - "0.0199706000, 0.0187828000, 0.0155951000, 0.0069791000, -0.015867500, -0.076049400, -0.233308900", \ - "0.0206733000, 0.0194850000, 0.0163019000, 0.0077098000, -0.015222800, -0.075493100, -0.232806400"); - } - related_pin : "GATE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0184009000, 0.0199312000, 0.0237204000, 0.0328296000, 0.0557609000, 0.1155481000, 0.2705472000", \ - "0.0183922000, 0.0199277000, 0.0237173000, 0.0328367000, 0.0558575000, 0.1149600000, 0.2708990000", \ - "0.0184579000, 0.0199924000, 0.0237929000, 0.0329091000, 0.0558004000, 0.1156367000, 0.2707702000", \ - "0.0179366000, 0.0194874000, 0.0232902000, 0.0324073000, 0.0552404000, 0.1151585000, 0.2719093000", \ - "0.0176388000, 0.0191844000, 0.0229724000, 0.0320953000, 0.0549479000, 0.1142743000, 0.2714201000", \ - "0.0175699000, 0.0190782000, 0.0228218000, 0.0319649000, 0.0547707000, 0.1141861000, 0.2698367000", \ - "0.0191176000, 0.0204416000, 0.0238809000, 0.0327505000, 0.0553836000, 0.1150847000, 0.2696607000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0161231000, 0.0158659000, 0.0154928000, 0.0103063000, -0.012413900, -0.072690400, -0.229989200", \ - "0.0157794000, 0.0155219000, 0.0152222000, 0.0100749000, -0.012626100, -0.072900800, -0.230154900", \ - "0.0155314000, 0.0152849000, 0.0150442000, 0.0098151000, -0.012829000, -0.073120700, -0.230356300", \ - "0.0154373000, 0.0150076000, 0.0148005000, 0.0096200000, -0.013022800, -0.073271700, -0.230523900", \ - "0.0154344000, 0.0149411000, 0.0146772000, 0.0092336000, -0.013359800, -0.073562000, -0.230838100", \ - "0.0223652000, 0.0210819000, 0.0177468000, 0.0089720000, -0.013523000, -0.073705300, -0.230964600", \ - "0.0241904000, 0.0228484000, 0.0194299000, 0.0105668000, -0.012478400, -0.072440900, -0.229704600"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0156363000, 0.0171313000, 0.0207996000, 0.0296319000, 0.0521631000, 0.1113354000, 0.2674853000", \ - "0.0153849000, 0.0168825000, 0.0205183000, 0.0293455000, 0.0521416000, 0.1117419000, 0.2668592000", \ - "0.0151992000, 0.0166928000, 0.0203496000, 0.0292301000, 0.0518300000, 0.1111856000, 0.2683073000", \ - "0.0149307000, 0.0164089000, 0.0200865000, 0.0289914000, 0.0516760000, 0.1109973000, 0.2667600000", \ - "0.0148613000, 0.0162042000, 0.0198751000, 0.0287502000, 0.0517570000, 0.1108851000, 0.2667686000", \ - "0.0155170000, 0.0168312000, 0.0202628000, 0.0290551000, 0.0518918000, 0.1115112000, 0.2683764000", \ - "0.0166772000, 0.0178400000, 0.0210762000, 0.0299121000, 0.0528151000, 0.1126357000, 0.2677182000"); - } - } - max_capacitance : 0.1574810000; - max_transition : 1.5063820000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.2059938000, 0.2114576000, 0.2228863000, 0.2459647000, 0.2959996000, 0.4191275000, 0.7398180000", \ - "0.2109205000, 0.2163872000, 0.2278050000, 0.2508747000, 0.3009119000, 0.4239406000, 0.7436882000", \ - "0.2241103000, 0.2295770000, 0.2409953000, 0.2640648000, 0.3141020000, 0.4371303000, 0.7568836000", \ - "0.2554638000, 0.2609310000, 0.2723470000, 0.2954101000, 0.3454567000, 0.4684903000, 0.7887424000", \ - "0.3126409000, 0.3180636000, 0.3295308000, 0.3525625000, 0.4025871000, 0.5256808000, 0.8454011000", \ - "0.4020602000, 0.4074693000, 0.4189192000, 0.4419799000, 0.4920234000, 0.6150448000, 0.9347076000", \ - "0.5430605000, 0.5485234000, 0.5599546000, 0.5830264000, 0.6331188000, 0.7562485000, 1.0771032000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.3280582000, 0.3357398000, 0.3526594000, 0.3910958000, 0.4864164000, 0.7314283000, 1.3743807000", \ - "0.3326046000, 0.3402849000, 0.3572045000, 0.3956344000, 0.4909445000, 0.7359843000, 1.3768572000", \ - "0.3435129000, 0.3513458000, 0.3681841000, 0.4066209000, 0.5019136000, 0.7470552000, 1.3886151000", \ - "0.3645546000, 0.3722349000, 0.3891534000, 0.4275789000, 0.5228790000, 0.7679989000, 1.4100490000", \ - "0.3916567000, 0.3993646000, 0.4162605000, 0.4546639000, 0.5499407000, 0.7951843000, 1.4363176000", \ - "0.4221740000, 0.4298515000, 0.4468432000, 0.4851611000, 0.5801292000, 0.8260707000, 1.4659054000", \ - "0.4437780000, 0.4514861000, 0.4683941000, 0.5068438000, 0.6021759000, 0.8476557000, 1.4878636000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0173704000, 0.0216021000, 0.0308888000, 0.0534588000, 0.1121155000, 0.2726598000, 0.7019822000", \ - "0.0173525000, 0.0215374000, 0.0306978000, 0.0533188000, 0.1117888000, 0.2717564000, 0.7029390000", \ - "0.0173528000, 0.0215374000, 0.0306975000, 0.0533203000, 0.1117888000, 0.2724391000, 0.7029667000", \ - "0.0173271000, 0.0215341000, 0.0310383000, 0.0533414000, 0.1118042000, 0.2717944000, 0.6991979000", \ - "0.0173306000, 0.0213919000, 0.0309042000, 0.0534344000, 0.1120659000, 0.2733074000, 0.6982713000", \ - "0.0174154000, 0.0216901000, 0.0309750000, 0.0533048000, 0.1113179000, 0.2721624000, 0.6974595000", \ - "0.0175313000, 0.0216118000, 0.0308984000, 0.0533287000, 0.1119179000, 0.2742622000, 0.6921788000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0269771000, 0.0339461000, 0.0518099000, 0.1002188000, 0.2321619000, 0.5812114000, 1.4972927000", \ - "0.0269777000, 0.0339462000, 0.0518202000, 0.1002284000, 0.2321270000, 0.5814031000, 1.4928414000", \ - "0.0269963000, 0.0339483000, 0.0518728000, 0.1002309000, 0.2321722000, 0.5817624000, 1.4980137000", \ - "0.0269783000, 0.0339467000, 0.0518222000, 0.1002378000, 0.2320834000, 0.5815379000, 1.5004953000", \ - "0.0269753000, 0.0339436000, 0.0518007000, 0.1002379000, 0.2320024000, 0.5816578000, 1.5013955000", \ - "0.0269761000, 0.0339465000, 0.0516735000, 0.1001427000, 0.2321702000, 0.5803194000, 1.5032479000", \ - "0.0269855000, 0.0339532000, 0.0517541000, 0.1001431000, 0.2322300000, 0.5816929000, 1.4945799000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.2517718000, 0.2573070000, 0.2687941000, 0.2920043000, 0.3420734000, 0.4651640000, 0.7861600000", \ - "0.2563177000, 0.2618663000, 0.2733498000, 0.2965307000, 0.3466365000, 0.4697572000, 0.7908261000", \ - "0.2674697000, 0.2730252000, 0.2845463000, 0.3077250000, 0.3578014000, 0.4809398000, 0.8005647000", \ - "0.2917578000, 0.2973262000, 0.3088113000, 0.3320000000, 0.3820819000, 0.5052107000, 0.8265336000", \ - "0.3301881000, 0.3357330000, 0.3472209000, 0.3704329000, 0.4205145000, 0.5436785000, 0.8641024000", \ - "0.3818139000, 0.3873926000, 0.3988792000, 0.4220640000, 0.4721658000, 0.5950649000, 0.9148509000", \ - "0.4379482000, 0.4435160000, 0.4550242000, 0.4781386000, 0.5282383000, 0.6513233000, 0.9722324000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.2880133000, 0.2958067000, 0.3129016000, 0.3514175000, 0.4467258000, 0.6923842000, 1.3328452000", \ - "0.2925299000, 0.3003243000, 0.3174524000, 0.3559940000, 0.4512890000, 0.6966291000, 1.3370330000", \ - "0.3032516000, 0.3110455000, 0.3281559000, 0.3665877000, 0.4615280000, 0.7074366000, 1.3474688000", \ - "0.3269474000, 0.3347393000, 0.3517985000, 0.3903413000, 0.4852923000, 0.7311842000, 1.3721031000", \ - "0.3671459000, 0.3748774000, 0.3919655000, 0.4304714000, 0.5256501000, 0.7709615000, 1.4155521000", \ - "0.4228720000, 0.4306583000, 0.4477625000, 0.4863115000, 0.5813028000, 0.8270043000, 1.4685496000", \ - "0.4923737000, 0.5001953000, 0.5172960000, 0.5558152000, 0.6511842000, 0.8963786000, 1.5360329000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0176971000, 0.0218305000, 0.0311703000, 0.0535735000, 0.1120475000, 0.2725696000, 0.7019491000", \ - "0.0177083000, 0.0218174000, 0.0312027000, 0.0535880000, 0.1119658000, 0.2726126000, 0.7042588000", \ - "0.0178963000, 0.0217917000, 0.0312942000, 0.0536842000, 0.1122515000, 0.2734268000, 0.7002912000", \ - "0.0178933000, 0.0218095000, 0.0312831000, 0.0536121000, 0.1120588000, 0.2726890000, 0.6988470000", \ - "0.0177226000, 0.0216892000, 0.0311861000, 0.0534572000, 0.1120571000, 0.2725450000, 0.7019997000", \ - "0.0178812000, 0.0217991000, 0.0311530000, 0.0532214000, 0.1120732000, 0.2729341000, 0.6955509000", \ - "0.0179113000, 0.0219161000, 0.0311368000, 0.0536090000, 0.1120640000, 0.2726918000, 0.6930023000"); - } - related_pin : "GATE"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0267166000, 0.0338155000, 0.0517656000, 0.1002190000, 0.2318318000, 0.5804213000, 1.4991928000", \ - "0.0267382000, 0.0338204000, 0.0517129000, 0.1002429000, 0.2317853000, 0.5815149000, 1.5020662000", \ - "0.0267298000, 0.0338142000, 0.0517246000, 0.1000578000, 0.2321776000, 0.5805368000, 1.4959468000", \ - "0.0268340000, 0.0338575000, 0.0518566000, 0.1000356000, 0.2319810000, 0.5799998000, 1.4992161000", \ - "0.0267708000, 0.0338642000, 0.0517902000, 0.1002295000, 0.2321694000, 0.5800618000, 1.5004786000", \ - "0.0267801000, 0.0338692000, 0.0518617000, 0.1000774000, 0.2317111000, 0.5814033000, 1.4985202000", \ - "0.0268258000, 0.0339567000, 0.0518893000, 0.1001646000, 0.2321328000, 0.5804850000, 1.4937498000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0879041000, 0.0935910000, 0.1054650000, 0.1294876000, 0.1826043000, 0.3062859000, 0.6256982000", \ - "0.0929712000, 0.0986431000, 0.1105383000, 0.1346081000, 0.1877585000, 0.3114607000, 0.6313245000", \ - "0.1061161000, 0.1118087000, 0.1236388000, 0.1477885000, 0.2009937000, 0.3246862000, 0.6445774000", \ - "0.1384572000, 0.1441007000, 0.1559464000, 0.1800975000, 0.2333626000, 0.3571069000, 0.6769749000", \ - "0.2073107000, 0.2137177000, 0.2265817000, 0.2517646000, 0.3054048000, 0.4290433000, 0.7489415000", \ - "0.3193507000, 0.3279983000, 0.3448050000, 0.3749674000, 0.4322335000, 0.5560185000, 0.8758101000", \ - "0.4993716000, 0.5111842000, 0.5342396000, 0.5741210000, 0.6387764000, 0.7621839000, 1.0820799000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0848534000, 0.0921735000, 0.1085547000, 0.1459120000, 0.2396095000, 0.4839985000, 1.1268025000", \ - "0.0889972000, 0.0962946000, 0.1125932000, 0.1500546000, 0.2442364000, 0.4888067000, 1.1341275000", \ - "0.0971240000, 0.1044331000, 0.1207659000, 0.1583173000, 0.2523870000, 0.4973227000, 1.1386916000", \ - "0.1143052000, 0.1216643000, 0.1381345000, 0.1759076000, 0.2701569000, 0.5155337000, 1.1557096000", \ - "0.1421232000, 0.1501058000, 0.1674053000, 0.2061177000, 0.3012774000, 0.5461822000, 1.1896750000", \ - "0.1763686000, 0.1850923000, 0.2045383000, 0.2451654000, 0.3406177000, 0.5865249000, 1.2308884000", \ - "0.1938681000, 0.2062158000, 0.2297089000, 0.2759862000, 0.3732406000, 0.6190103000, 1.2587302000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0188048000, 0.0231134000, 0.0331011000, 0.0567780000, 0.1159220000, 0.2727241000, 0.7013208000", \ - "0.0189075000, 0.0229499000, 0.0330222000, 0.0567732000, 0.1161072000, 0.2722439000, 0.7002884000", \ - "0.0186115000, 0.0231320000, 0.0329198000, 0.0568156000, 0.1162538000, 0.2725798000, 0.6998747000", \ - "0.0187109000, 0.0231451000, 0.0330588000, 0.0568059000, 0.1161549000, 0.2729034000, 0.7001960000", \ - "0.0226941000, 0.0268374000, 0.0359614000, 0.0589383000, 0.1163152000, 0.2732374000, 0.6990457000", \ - "0.0329026000, 0.0379838000, 0.0476557000, 0.0697333000, 0.1217997000, 0.2737036000, 0.7008503000", \ - "0.0486345000, 0.0553051000, 0.0690480000, 0.0915071000, 0.1328102000, 0.2742781000, 0.6952895000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0242056000, 0.0314047000, 0.0497297000, 0.0990783000, 0.2316551000, 0.5818209000, 1.4971683000", \ - "0.0242759000, 0.0314312000, 0.0497794000, 0.0991419000, 0.2319707000, 0.5813369000, 1.5006186000", \ - "0.0242119000, 0.0314502000, 0.0497606000, 0.0991269000, 0.2319716000, 0.5810547000, 1.5063821000", \ - "0.0247672000, 0.0318888000, 0.0501287000, 0.0990925000, 0.2318677000, 0.5800873000, 1.4980952000", \ - "0.0279039000, 0.0349029000, 0.0526277000, 0.1008762000, 0.2325182000, 0.5820253000, 1.5041545000", \ - "0.0344306000, 0.0417703000, 0.0586134000, 0.1037952000, 0.2339139000, 0.5808957000, 1.5039107000", \ - "0.0474830000, 0.0553632000, 0.0733093000, 0.1148121000, 0.2363534000, 0.5829376000, 1.4942998000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0024350000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022800000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042992000, 0.0042980000, 0.0042953000, 0.0043062000, 0.0043314000, 0.0043895000, 0.0045234000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004264200, -0.004303400, -0.004393800, -0.004394300, -0.004395400, -0.004397900, -0.004403700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025890000; - timing () { - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.038283200, 0.0148092000, 0.0042298000", \ - "-0.203680300, -0.154250000, -0.164829400", \ - "-0.372544300, -0.327996800, -0.343459000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0520488000, 0.0001771000, 0.0095358000", \ - "0.2223288000, 0.1716777000, 0.1810365000", \ - "0.4021790000, 0.3527487000, 0.3633281000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1093665000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dlrtp_2") { - leakage_power () { - value : 0.0086479000; - when : "RESET_B&D&GATE&Q"; - } - leakage_power () { - value : 0.0073569000; - when : "RESET_B&!D&!GATE&!Q"; - } - leakage_power () { - value : 0.0071530000; - when : "!RESET_B&!D&GATE&!Q"; - } - leakage_power () { - value : 0.0074364000; - when : "RESET_B&!D&GATE&!Q"; - } - leakage_power () { - value : 0.0085534000; - when : "RESET_B&D&!GATE&Q"; - } - leakage_power () { - value : 0.0087372000; - when : "!RESET_B&D&GATE&!Q"; - } - leakage_power () { - value : 0.0085357000; - when : "!RESET_B&D&!GATE&!Q"; - } - leakage_power () { - value : 0.0070734000; - when : "!RESET_B&!D&!GATE&!Q"; - } - leakage_power () { - value : 0.0088192000; - when : "RESET_B&D&!GATE&!Q"; - } - leakage_power () { - value : 0.0077190000; - when : "RESET_B&!D&!GATE&Q"; - } - area : 17.516800000; - cell_footprint : "sky130_fd_sc_hd__dlrtp"; - cell_leakage_power : 0.0080032160; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - clear : "!RESET_B"; - data_in : "D"; - enable : "GATE"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017030000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0084427000, 0.0083230000, 0.0080472000, 0.0081207000, 0.0082904000, 0.0086812000, 0.0095824000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025863000, 0.0024932000, 0.0022784000, 0.0023308000, 0.0024514000, 0.0027295000, 0.0033703000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018560000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0459453000, 0.2052389000, 0.3753236000", \ - "-0.129217500, 0.0300762000, 0.1964987000", \ - "-0.307847000, -0.149774100, 0.0129863000"); - } - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2168438000, 0.3004538000, 0.3325990000", \ - "0.1210267000, 0.2046367000, 0.2355612000", \ - "0.0644674000, 0.1431947000, 0.1704570000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.030959000, -0.192694000, -0.363999300", \ - "0.1429831000, -0.018752000, -0.186395200", \ - "0.3228333000, 0.1623190000, -0.002882800"); - } - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.201857400, -0.286688200, -0.320054100", \ - "-0.097495400, -0.182326200, -0.214471400", \ - "-0.007977200, -0.095249300, -0.127394500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE") { - capacitance : 0.0017650000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0017010000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0173698000, 0.0172779000, 0.0170660000, 0.0171305000, 0.0172791000, 0.0176215000, 0.0184109000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0101267000, 0.0100374000, 0.0098316000, 0.0098589000, 0.0099218000, 0.0100669000, 0.0104012000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018300000; - timing () { - related_output_pin : "Q"; - related_pin : "GATE"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1818682000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0234196000, 0.0221218000, 0.0185581000, 0.0076904000, -0.027643600, -0.132190500, -0.434186200", \ - "0.0233550000, 0.0220191000, 0.0184901000, 0.0075918000, -0.027713800, -0.132286700, -0.434261500", \ - "0.0234442000, 0.0221131000, 0.0186021000, 0.0077012000, -0.027608400, -0.132174000, -0.434152500", \ - "0.0233368000, 0.0219879000, 0.0184763000, 0.0075753000, -0.027752200, -0.132309200, -0.434276900", \ - "0.0232271000, 0.0218929000, 0.0183900000, 0.0074537000, -0.027828100, -0.132416900, -0.434384600", \ - "0.0238109000, 0.0223762000, 0.0181962000, 0.0072012000, -0.028025800, -0.132566900, -0.434549500", \ - "0.0255201000, 0.0241169000, 0.0200440000, 0.0079461000, -0.027980700, -0.132503600, -0.434416000"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0264990000, 0.0281536000, 0.0328762000, 0.0459876000, 0.0823059000, 0.1857739000, 0.4862452000", \ - "0.0264517000, 0.0281578000, 0.0329553000, 0.0459946000, 0.0821612000, 0.1864357000, 0.4832232000", \ - "0.0265272000, 0.0282171000, 0.0329079000, 0.0460106000, 0.0821379000, 0.1864405000, 0.4869196000", \ - "0.0260980000, 0.0277619000, 0.0325690000, 0.0455617000, 0.0817728000, 0.1859576000, 0.4838922000", \ - "0.0259773000, 0.0276573000, 0.0323629000, 0.0454977000, 0.0817288000, 0.1851116000, 0.4834522000", \ - "0.0260851000, 0.0277598000, 0.0324904000, 0.0456031000, 0.0816398000, 0.1851365000, 0.4839416000", \ - "0.0274032000, 0.0289512000, 0.0334684000, 0.0462712000, 0.0824627000, 0.1863466000, 0.4835580000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0234708000, 0.0221447000, 0.0186036000, 0.0077588000, -0.027543400, -0.132082700, -0.434070300", \ - "0.0235362000, 0.0221896000, 0.0186273000, 0.0077254000, -0.027564700, -0.132106900, -0.434082200", \ - "0.0233913000, 0.0220039000, 0.0184866000, 0.0075853000, -0.027719300, -0.132259600, -0.434251400", \ - "0.0231012000, 0.0217615000, 0.0181598000, 0.0073023000, -0.027998700, -0.132535900, -0.434518300", \ - "0.0228578000, 0.0214777000, 0.0179794000, 0.0070722000, -0.028223400, -0.132751700, -0.434747200", \ - "0.0245209000, 0.0230843000, 0.0189572000, 0.0071344000, -0.028229700, -0.132768400, -0.434750500", \ - "0.0261175000, 0.0247079000, 0.0206483000, 0.0085597000, -0.027363200, -0.132040700, -0.434127200"); - } - related_pin : "GATE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0244157000, 0.0260673000, 0.0308443000, 0.0439757000, 0.0802181000, 0.1836565000, 0.4824718000", \ - "0.0243935000, 0.0260894000, 0.0308790000, 0.0439234000, 0.0801596000, 0.1838032000, 0.4820451000", \ - "0.0243716000, 0.0260373000, 0.0308189000, 0.0438687000, 0.0801595000, 0.1841217000, 0.4820757000", \ - "0.0238885000, 0.0255428000, 0.0303225000, 0.0433612000, 0.0797396000, 0.1830017000, 0.4821504000", \ - "0.0235541000, 0.0252006000, 0.0299959000, 0.0430329000, 0.0793889000, 0.1827733000, 0.4819152000", \ - "0.0235176000, 0.0251910000, 0.0299202000, 0.0430280000, 0.0791403000, 0.1827720000, 0.4830119000", \ - "0.0250866000, 0.0266334000, 0.0311099000, 0.0438664000, 0.0800283000, 0.1837679000, 0.4821763000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0204105000, 0.0192793000, 0.0166843000, 0.0095528000, -0.025153600, -0.129835000, -0.431868900", \ - "0.0201690000, 0.0190447000, 0.0165805000, 0.0093560000, -0.025361900, -0.130063100, -0.432059900", \ - "0.0199259000, 0.0188168000, 0.0162028000, 0.0090874000, -0.025561400, -0.130253100, -0.432226300", \ - "0.0196540000, 0.0185233000, 0.0158982000, 0.0087691000, -0.025888000, -0.130514300, -0.432521000", \ - "0.0195448000, 0.0183158000, 0.0155807000, 0.0083012000, -0.026338400, -0.130880300, -0.432822700", \ - "0.0258220000, 0.0243153000, 0.0200647000, 0.0078054000, -0.026525400, -0.131048400, -0.432962600", \ - "0.0295354000, 0.0278459000, 0.0232712000, 0.0106112000, -0.025694700, -0.130042200, -0.431903300"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0209910000, 0.0226689000, 0.0273765000, 0.0403343000, 0.0763583000, 0.1793446000, 0.4781059000", \ - "0.0208857000, 0.0225258000, 0.0272871000, 0.0403163000, 0.0762833000, 0.1794612000, 0.4779643000", \ - "0.0205695000, 0.0222421000, 0.0269975000, 0.0400166000, 0.0760465000, 0.1793681000, 0.4778978000", \ - "0.0201439000, 0.0217900000, 0.0265761000, 0.0395489000, 0.0755969000, 0.1789546000, 0.4779105000", \ - "0.0200063000, 0.0216910000, 0.0265064000, 0.0392397000, 0.0754013000, 0.1788768000, 0.4773848000", \ - "0.0209916000, 0.0226752000, 0.0271330000, 0.0397389000, 0.0756818000, 0.1787308000, 0.4776404000", \ - "0.0222636000, 0.0237475000, 0.0280714000, 0.0407227000, 0.0767432000, 0.1799512000, 0.4768799000"); - } - } - max_capacitance : 0.2856540000; - max_transition : 1.5026050000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.2234919000, 0.2280904000, 0.2383190000, 0.2594198000, 0.3037469000, 0.4118728000, 0.7160265000", \ - "0.2284246000, 0.2329723000, 0.2432589000, 0.2644006000, 0.3086779000, 0.4168102000, 0.7207466000", \ - "0.2415662000, 0.2461135000, 0.2564086000, 0.2775460000, 0.3218143000, 0.4299448000, 0.7338093000", \ - "0.2728711000, 0.2774447000, 0.2876820000, 0.3088185000, 0.3531174000, 0.4612454000, 0.7652407000", \ - "0.3299571000, 0.3345115000, 0.3448133000, 0.3659040000, 0.4101880000, 0.5183090000, 0.8222065000", \ - "0.4191112000, 0.4236673000, 0.4339301000, 0.4550663000, 0.4993240000, 0.6074540000, 0.9106197000", \ - "0.5596972000, 0.5642203000, 0.5745239000, 0.5956805000, 0.6399628000, 0.7480843000, 1.0508810000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.3252167000, 0.3313518000, 0.3455619000, 0.3782090000, 0.4600444000, 0.6895987000, 1.3486240000", \ - "0.3297153000, 0.3358128000, 0.3501506000, 0.3827382000, 0.4643511000, 0.6937437000, 1.3513458000", \ - "0.3409675000, 0.3471713000, 0.3613121000, 0.3939488000, 0.4755319000, 0.7049500000, 1.3632007000", \ - "0.3617738000, 0.3679180000, 0.3822776000, 0.4147631000, 0.4964576000, 0.7257916000, 1.3867183000", \ - "0.3893026000, 0.3954224000, 0.4095891000, 0.4422541000, 0.5241227000, 0.7529500000, 1.4146262000", \ - "0.4203854000, 0.4265638000, 0.4408562000, 0.4733741000, 0.5549575000, 0.7840365000, 1.4425277000", \ - "0.4438960000, 0.4500311000, 0.4644329000, 0.4969489000, 0.5786494000, 0.8079775000, 1.4651151000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0188757000, 0.0217986000, 0.0293257000, 0.0464013000, 0.0921593000, 0.2276455000, 0.6383835000", \ - "0.0191767000, 0.0219742000, 0.0292253000, 0.0463330000, 0.0919522000, 0.2276565000, 0.6320902000", \ - "0.0189793000, 0.0220693000, 0.0292256000, 0.0463250000, 0.0919749000, 0.2276584000, 0.6319508000", \ - "0.0190120000, 0.0220041000, 0.0290382000, 0.0465623000, 0.0921219000, 0.2276858000, 0.6322359000", \ - "0.0189551000, 0.0219281000, 0.0289856000, 0.0464174000, 0.0919763000, 0.2276893000, 0.6322340000", \ - "0.0191833000, 0.0221833000, 0.0290311000, 0.0464020000, 0.0917502000, 0.2277443000, 0.6311578000", \ - "0.0189322000, 0.0219420000, 0.0292952000, 0.0464218000, 0.0920938000, 0.2276496000, 0.6325480000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0256727000, 0.0306910000, 0.0439436000, 0.0811740000, 0.1936345000, 0.5279985000, 1.5000737000", \ - "0.0255284000, 0.0307617000, 0.0438207000, 0.0810582000, 0.1932704000, 0.5271116000, 1.4940906000", \ - "0.0254959000, 0.0306094000, 0.0439741000, 0.0810336000, 0.1937882000, 0.5274102000, 1.4987429000", \ - "0.0257766000, 0.0307576000, 0.0439272000, 0.0810082000, 0.1931899000, 0.5281151000, 1.4948061000", \ - "0.0253968000, 0.0306649000, 0.0438820000, 0.0811405000, 0.1933462000, 0.5277204000, 1.5009689000", \ - "0.0255290000, 0.0306450000, 0.0438269000, 0.0809928000, 0.1935538000, 0.5287225000, 1.5018672000", \ - "0.0258188000, 0.0307712000, 0.0439644000, 0.0809280000, 0.1932569000, 0.5276664000, 1.4937903000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.2693630000, 0.2740034000, 0.2844419000, 0.3056507000, 0.3500941000, 0.4581376000, 0.7610941000", \ - "0.2739372000, 0.2786130000, 0.2890306000, 0.3102606000, 0.3547182000, 0.4628162000, 0.7656887000", \ - "0.2850878000, 0.2897105000, 0.3001794000, 0.3213751000, 0.3658593000, 0.4740090000, 0.7779052000", \ - "0.3095559000, 0.3142205000, 0.3246219000, 0.3458482000, 0.3903063000, 0.4984809000, 0.8014301000", \ - "0.3480903000, 0.3527695000, 0.3631994000, 0.3844405000, 0.4287777000, 0.5369167000, 0.8407927000", \ - "0.3994753000, 0.4041332000, 0.4145675000, 0.4357541000, 0.4802039000, 0.5883290000, 0.8921122000", \ - "0.4560848000, 0.4607291000, 0.4711644000, 0.4923879000, 0.5368205000, 0.6449775000, 0.9484322000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.2902383000, 0.2963837000, 0.3107467000, 0.3433995000, 0.4253311000, 0.6537894000, 1.3138881000", \ - "0.2947669000, 0.3009238000, 0.3153489000, 0.3480297000, 0.4299618000, 0.6586550000, 1.3188923000", \ - "0.3055810000, 0.3117541000, 0.3261363000, 0.3587867000, 0.4406271000, 0.6698412000, 1.3303254000", \ - "0.3294642000, 0.3355783000, 0.3499648000, 0.3826003000, 0.4644171000, 0.6936629000, 1.3536399000", \ - "0.3689667000, 0.3750986000, 0.3894745000, 0.4220923000, 0.5038686000, 0.7332727000, 1.3920912000", \ - "0.4238710000, 0.4300294000, 0.4443923000, 0.4770743000, 0.5587011000, 0.7881386000, 1.4475084000", \ - "0.4916354000, 0.4977782000, 0.5121802000, 0.5447768000, 0.6265862000, 0.8560072000, 1.5125188000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0193786000, 0.0222883000, 0.0293222000, 0.0466947000, 0.0923341000, 0.2273749000, 0.6383422000", \ - "0.0194272000, 0.0223902000, 0.0293095000, 0.0468108000, 0.0922071000, 0.2278423000, 0.6342762000", \ - "0.0194371000, 0.0223781000, 0.0295059000, 0.0468205000, 0.0922441000, 0.2281812000, 0.6340776000", \ - "0.0193854000, 0.0224083000, 0.0293991000, 0.0468250000, 0.0924347000, 0.2282018000, 0.6398328000", \ - "0.0194484000, 0.0222461000, 0.0294869000, 0.0468883000, 0.0922665000, 0.2277640000, 0.6333523000", \ - "0.0194447000, 0.0222897000, 0.0293845000, 0.0464764000, 0.0922053000, 0.2278114000, 0.6337459000", \ - "0.0194365000, 0.0222733000, 0.0296234000, 0.0465445000, 0.0922253000, 0.2271225000, 0.6330309000"); - } - related_pin : "GATE"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0254165000, 0.0304747000, 0.0438338000, 0.0811816000, 0.1942838000, 0.5271932000, 1.4972020000", \ - "0.0254788000, 0.0304030000, 0.0438696000, 0.0810933000, 0.1934738000, 0.5270731000, 1.4946394000", \ - "0.0254060000, 0.0304131000, 0.0437989000, 0.0810652000, 0.1933508000, 0.5270129000, 1.4986822000", \ - "0.0254039000, 0.0304766000, 0.0438087000, 0.0810906000, 0.1933179000, 0.5300849000, 1.5005229000", \ - "0.0254482000, 0.0304893000, 0.0438783000, 0.0811105000, 0.1934399000, 0.5296518000, 1.4999590000", \ - "0.0254337000, 0.0303932000, 0.0437407000, 0.0811156000, 0.1933797000, 0.5276068000, 1.5013832000", \ - "0.0255839000, 0.0304946000, 0.0439187000, 0.0812222000, 0.1937862000, 0.5278376000, 1.4948993000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.1030551000, 0.1078102000, 0.1185345000, 0.1402398000, 0.1863929000, 0.2954804000, 0.5984339000", \ - "0.1082551000, 0.1130273000, 0.1237179000, 0.1454582000, 0.1916243000, 0.3007073000, 0.6032135000", \ - "0.1213571000, 0.1260866000, 0.1367852000, 0.1585097000, 0.2047403000, 0.3138504000, 0.6163779000", \ - "0.1535532000, 0.1583014000, 0.1689266000, 0.1906535000, 0.2368683000, 0.3459965000, 0.6490535000", \ - "0.2269163000, 0.2319704000, 0.2430169000, 0.2650275000, 0.3115994000, 0.4206789000, 0.7231694000", \ - "0.3518972000, 0.3586117000, 0.3733017000, 0.4008973000, 0.4526818000, 0.5624395000, 0.8646406000", \ - "0.5527144000, 0.5615906000, 0.5814550000, 0.6186978000, 0.6827939000, 0.7937774000, 1.0956632000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0959029000, 0.1018118000, 0.1155437000, 0.1476033000, 0.2286823000, 0.4570304000, 1.1157885000", \ - "0.1000821000, 0.1058945000, 0.1197525000, 0.1518776000, 0.2328534000, 0.4614043000, 1.1200232000", \ - "0.1084194000, 0.1142937000, 0.1281764000, 0.1601503000, 0.2416215000, 0.4704550000, 1.1291804000", \ - "0.1268137000, 0.1326458000, 0.1464859000, 0.1784779000, 0.2598642000, 0.4886538000, 1.1471034000", \ - "0.1591270000, 0.1654708000, 0.1801268000, 0.2133746000, 0.2953263000, 0.5244628000, 1.1876151000", \ - "0.2016118000, 0.2089514000, 0.2258944000, 0.2609809000, 0.3444091000, 0.5735382000, 1.2332114000", \ - "0.2330717000, 0.2431677000, 0.2651852000, 0.3069186000, 0.3934031000, 0.6233528000, 1.2800597000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0199905000, 0.0230984000, 0.0303909000, 0.0482849000, 0.0951495000, 0.2273851000, 0.6343357000", \ - "0.0202222000, 0.0230099000, 0.0307511000, 0.0483629000, 0.0950339000, 0.2266849000, 0.6364709000", \ - "0.0200209000, 0.0229497000, 0.0302658000, 0.0482973000, 0.0950976000, 0.2270461000, 0.6362271000", \ - "0.0200582000, 0.0229732000, 0.0303654000, 0.0483218000, 0.0952160000, 0.2273120000, 0.6338081000", \ - "0.0226026000, 0.0254616000, 0.0323708000, 0.0495048000, 0.0951388000, 0.2268175000, 0.6367558000", \ - "0.0336715000, 0.0372586000, 0.0453848000, 0.0622741000, 0.1030500000, 0.2285959000, 0.6369200000", \ - "0.0513264000, 0.0558449000, 0.0664460000, 0.0879652000, 0.1213470000, 0.2317202000, 0.6303124000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0232259000, 0.0284883000, 0.0420690000, 0.0798723000, 0.1929573000, 0.5281764000, 1.4967240000", \ - "0.0232422000, 0.0285396000, 0.0420377000, 0.0800395000, 0.1926824000, 0.5284283000, 1.4966707000", \ - "0.0235397000, 0.0284495000, 0.0420098000, 0.0798878000, 0.1931149000, 0.5278184000, 1.5026052000", \ - "0.0235293000, 0.0286179000, 0.0420635000, 0.0798418000, 0.1932006000, 0.5282971000, 1.4962692000", \ - "0.0263381000, 0.0316644000, 0.0449618000, 0.0821485000, 0.1940159000, 0.5286031000, 1.4997086000", \ - "0.0333701000, 0.0391878000, 0.0522019000, 0.0872519000, 0.1963437000, 0.5283147000, 1.5005042000", \ - "0.0466392000, 0.0531259000, 0.0674992000, 0.1010838000, 0.2022667000, 0.5303774000, 1.4950146000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0024330000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043828000, 0.0044177000, 0.0044981000, 0.0044997000, 0.0045034000, 0.0045119000, 0.0045316000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004350400, -0.004326400, -0.004271100, -0.004284000, -0.004313700, -0.004382100, -0.004539900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025600000; - timing () { - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.027296900, 0.0380026000, 0.0432923000", \ - "-0.187811200, -0.127394500, -0.123325500", \ - "-0.349350900, -0.295037800, -0.297072300"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0447246000, -0.019354200, -0.025864600", \ - "0.2125632000, 0.1509258000, 0.1444154000", \ - "0.3899720000, 0.3283346000, 0.3218242000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1357308000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dlrtp_4") { - leakage_power () { - value : 0.0093991000; - when : "RESET_B&D&GATE&Q"; - } - leakage_power () { - value : 0.0082253000; - when : "RESET_B&!D&!GATE&!Q"; - } - leakage_power () { - value : 0.0080082000; - when : "!RESET_B&!D&GATE&!Q"; - } - leakage_power () { - value : 0.0083044000; - when : "RESET_B&!D&GATE&!Q"; - } - leakage_power () { - value : 0.0093045000; - when : "RESET_B&D&!GATE&Q"; - } - leakage_power () { - value : 0.0096075000; - when : "!RESET_B&D&GATE&!Q"; - } - leakage_power () { - value : 0.0093784000; - when : "!RESET_B&D&!GATE&!Q"; - } - leakage_power () { - value : 0.0079291000; - when : "!RESET_B&!D&!GATE&!Q"; - } - leakage_power () { - value : 0.0096746000; - when : "RESET_B&D&!GATE&!Q"; - } - leakage_power () { - value : 0.0084782000; - when : "RESET_B&!D&!GATE&Q"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__dlrtp"; - cell_leakage_power : 0.0088309230; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - clear : "!RESET_B"; - data_in : "D"; - enable : "GATE"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017950000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016970000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0083520000, 0.0082373000, 0.0079730000, 0.0080685000, 0.0082889000, 0.0087967000, 0.0099674000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025884000, 0.0024958000, 0.0022822000, 0.0023369000, 0.0024630000, 0.0027536000, 0.0034237000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018940000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0605937000, 0.2186667000, 0.3887513000", \ - "-0.115789700, 0.0410625000, 0.2074850000", \ - "-0.298081400, -0.138787800, 0.0227520000"); - } - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2375957000, 0.3212057000, 0.3533509000", \ - "0.1344544000, 0.2180645000, 0.2489889000", \ - "0.0778952000, 0.1566224000, 0.1838848000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.039503900, -0.201238900, -0.373765000", \ - "0.1344382000, -0.026076200, -0.194940100", \ - "0.3142884000, 0.1525534000, -0.011427700"); - } - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.218947300, -0.304998700, -0.337143900", \ - "-0.109702500, -0.194533200, -0.226678400", \ - "-0.021404900, -0.107456400, -0.138380900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE") { - capacitance : 0.0017590000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0170715000, 0.0169825000, 0.0167774000, 0.0168357000, 0.0169702000, 0.0172802000, 0.0179948000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0099904000, 0.0099039000, 0.0097043000, 0.0097354000, 0.0098070000, 0.0099720000, 0.0103526000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018230000; - timing () { - related_output_pin : "Q"; - related_pin : "GATE"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2027400000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0325836000, 0.0308713000, 0.0256626000, 0.0097571000, -0.046037400, -0.234454900, -0.842636700", \ - "0.0325201000, 0.0308065000, 0.0255365000, 0.0097013000, -0.046154000, -0.234615500, -0.842730100", \ - "0.0326051000, 0.0309612000, 0.0256895000, 0.0097799000, -0.046035400, -0.234509500, -0.842610400", \ - "0.0324894000, 0.0307641000, 0.0254716000, 0.0096546000, -0.046162500, -0.234595400, -0.842763500", \ - "0.0323056000, 0.0305967000, 0.0253405000, 0.0095440000, -0.046305500, -0.234775000, -0.842907100", \ - "0.0319216000, 0.0302007000, 0.0249703000, 0.0092881000, -0.046626700, -0.235036300, -0.843183600", \ - "0.0363201000, 0.0346156000, 0.0291488000, 0.0115161000, -0.046374100, -0.235232400, -0.843318900"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0375249000, 0.0393653000, 0.0454458000, 0.0644659000, 0.1236367000, 0.3110341000, 0.9166858000", \ - "0.0374055000, 0.0393477000, 0.0452396000, 0.0643438000, 0.1235405000, 0.3110344000, 0.9125769000", \ - "0.0374395000, 0.0392149000, 0.0452473000, 0.0644633000, 0.1235754000, 0.3109261000, 0.9131505000", \ - "0.0372216000, 0.0390503000, 0.0451179000, 0.0641517000, 0.1233246000, 0.3107523000, 0.9164864000", \ - "0.0369501000, 0.0388528000, 0.0448247000, 0.0640542000, 0.1231549000, 0.3106065000, 0.9119107000", \ - "0.0369454000, 0.0388228000, 0.0447853000, 0.0639021000, 0.1230355000, 0.3105745000, 0.9142032000", \ - "0.0389254000, 0.0407331000, 0.0465274000, 0.0652169000, 0.1244497000, 0.3120691000, 0.9114737000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0326689000, 0.0310230000, 0.0257407000, 0.0098658000, -0.045954100, -0.234366700, -0.842491100", \ - "0.0327434000, 0.0309139000, 0.0257150000, 0.0098129000, -0.045997700, -0.234396900, -0.842520900", \ - "0.0325801000, 0.0307639000, 0.0255604000, 0.0096286000, -0.046185300, -0.234576300, -0.842670400", \ - "0.0322192000, 0.0305118000, 0.0252915000, 0.0093527000, -0.046439900, -0.234843200, -0.842940800", \ - "0.0320769000, 0.0302439000, 0.0250469000, 0.0091432000, -0.046709200, -0.235066600, -0.843176200", \ - "0.0320074000, 0.0302757000, 0.0250505000, 0.0090684000, -0.046703300, -0.235069400, -0.843218500", \ - "0.0373643000, 0.0356290000, 0.0302158000, 0.0125908000, -0.045317800, -0.234318000, -0.842557600"); - } - related_pin : "GATE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0355155000, 0.0373747000, 0.0433983000, 0.0626131000, 0.1216488000, 0.3098682000, 0.9160646000", \ - "0.0354797000, 0.0373300000, 0.0433679000, 0.0625067000, 0.1219055000, 0.3092104000, 0.9116346000", \ - "0.0354629000, 0.0372814000, 0.0433422000, 0.0624771000, 0.1218071000, 0.3090802000, 0.9152402000", \ - "0.0349602000, 0.0368100000, 0.0428292000, 0.0619697000, 0.1213600000, 0.3088995000, 0.9111883000", \ - "0.0345858000, 0.0364700000, 0.0424701000, 0.0616683000, 0.1207586000, 0.3084927000, 0.9123530000", \ - "0.0344986000, 0.0363752000, 0.0424397000, 0.0615599000, 0.1207929000, 0.3081712000, 0.9106624000", \ - "0.0364891000, 0.0382859000, 0.0441199000, 0.0628424000, 0.1220248000, 0.3096445000, 0.9104628000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0301746000, 0.0287857000, 0.0236457000, 0.0109999000, -0.043866000, -0.232744500, -0.840996100", \ - "0.0300690000, 0.0286284000, 0.0237369000, 0.0107417000, -0.044058600, -0.232918200, -0.841133600", \ - "0.0298324000, 0.0283718000, 0.0233523000, 0.0106167000, -0.044172100, -0.233037700, -0.841302400", \ - "0.0295782000, 0.0281155000, 0.0231812000, 0.0103372000, -0.044497700, -0.233297900, -0.841482600", \ - "0.0296600000, 0.0281021000, 0.0232089000, 0.0099979000, -0.044946200, -0.233602500, -0.841734200", \ - "0.0300818000, 0.0285811000, 0.0243203000, 0.0098535000, -0.045456100, -0.234098700, -0.842068900", \ - "0.0422981000, 0.0401940000, 0.0332560000, 0.0143316000, -0.044745600, -0.233531200, -0.841397100"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0316767000, 0.0335631000, 0.0395935000, 0.0588469000, 0.1178632000, 0.3048123000, 0.9074291000", \ - "0.0315672000, 0.0335003000, 0.0396318000, 0.0587641000, 0.1178317000, 0.3047975000, 0.9062796000", \ - "0.0314072000, 0.0333471000, 0.0393924000, 0.0586077000, 0.1176881000, 0.3048288000, 0.9057111000", \ - "0.0310212000, 0.0329607000, 0.0389892000, 0.0581638000, 0.1171711000, 0.3045028000, 0.9024729000", \ - "0.0311367000, 0.0329541000, 0.0389560000, 0.0577849000, 0.1169074000, 0.3042896000, 0.9064031000", \ - "0.0327825000, 0.0345626000, 0.0404114000, 0.0585562000, 0.1168333000, 0.3040329000, 0.9069039000", \ - "0.0348732000, 0.0365629000, 0.0420519000, 0.0601454000, 0.1189661000, 0.3060459000, 0.9033224000"); - } - } - max_capacitance : 0.5456640000; - max_transition : 1.5058610000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.2592540000, 0.2629058000, 0.2725143000, 0.2940973000, 0.3396658000, 0.4482861000, 0.7677223000", \ - "0.2642074000, 0.2678805000, 0.2774584000, 0.2990862000, 0.3447170000, 0.4533075000, 0.7731247000", \ - "0.2773618000, 0.2810313000, 0.2905897000, 0.3121930000, 0.3577756000, 0.4664462000, 0.7863974000", \ - "0.3085851000, 0.3122465000, 0.3218491000, 0.3434349000, 0.3890319000, 0.4976689000, 0.8173264000", \ - "0.3655556000, 0.3692105000, 0.3788228000, 0.4004117000, 0.4460356000, 0.5546945000, 0.8745998000", \ - "0.4544163000, 0.4580856000, 0.4676771000, 0.4892552000, 0.5348765000, 0.6432835000, 0.9631945000", \ - "0.5945840000, 0.5982565000, 0.6078172000, 0.6294166000, 0.6749764000, 0.7835727000, 1.1035751000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.3592354000, 0.3639392000, 0.3764630000, 0.4063767000, 0.4813865000, 0.7025906000, 1.4068700000", \ - "0.3636973000, 0.3683976000, 0.3808679000, 0.4107837000, 0.4857688000, 0.7072679000, 1.4129030000", \ - "0.3750037000, 0.3795720000, 0.3922136000, 0.4221084000, 0.4971838000, 0.7186687000, 1.4231148000", \ - "0.3959096000, 0.4006133000, 0.4131472000, 0.4430488000, 0.5180584000, 0.7392742000, 1.4433503000", \ - "0.4232139000, 0.4279248000, 0.4404822000, 0.4704854000, 0.5455981000, 0.7666232000, 1.4725717000", \ - "0.4540313000, 0.4588012000, 0.4711998000, 0.5011305000, 0.5760497000, 0.7975763000, 1.5046906000", \ - "0.4770719000, 0.4817933000, 0.4942852000, 0.5242753000, 0.5993902000, 0.8202443000, 1.5243911000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0258119000, 0.0279701000, 0.0340482000, 0.0494526000, 0.0892538000, 0.2140550000, 0.6396557000", \ - "0.0256192000, 0.0278821000, 0.0339492000, 0.0490714000, 0.0894311000, 0.2136756000, 0.6401739000", \ - "0.0255632000, 0.0279096000, 0.0342932000, 0.0493309000, 0.0893148000, 0.2140633000, 0.6356931000", \ - "0.0255189000, 0.0278834000, 0.0338792000, 0.0489495000, 0.0890293000, 0.2140774000, 0.6355565000", \ - "0.0255864000, 0.0278476000, 0.0338974000, 0.0494095000, 0.0890149000, 0.2139561000, 0.6359305000", \ - "0.0257338000, 0.0278758000, 0.0341146000, 0.0490488000, 0.0884293000, 0.2136041000, 0.6404147000", \ - "0.0255949000, 0.0279328000, 0.0340551000, 0.0491381000, 0.0890468000, 0.2137763000, 0.6342637000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0304603000, 0.0339947000, 0.0437434000, 0.0726732000, 0.1647679000, 0.4781623000, 1.4975273000", \ - "0.0303943000, 0.0340278000, 0.0441927000, 0.0726133000, 0.1653331000, 0.4786584000, 1.5021355000", \ - "0.0305012000, 0.0342404000, 0.0441540000, 0.0726750000, 0.1651369000, 0.4774683000, 1.5005612000", \ - "0.0304946000, 0.0340077000, 0.0438054000, 0.0726772000, 0.1647992000, 0.4781853000, 1.4977520000", \ - "0.0306048000, 0.0340999000, 0.0441158000, 0.0726935000, 0.1651194000, 0.4787559000, 1.5021961000", \ - "0.0302707000, 0.0338768000, 0.0441871000, 0.0726382000, 0.1654313000, 0.4786986000, 1.5028937000", \ - "0.0303372000, 0.0337799000, 0.0440044000, 0.0726953000, 0.1651093000, 0.4778529000, 1.4948461000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.3039242000, 0.3076970000, 0.3173756000, 0.3390456000, 0.3850246000, 0.4936530000, 0.8135505000", \ - "0.3085756000, 0.3122209000, 0.3219584000, 0.3436326000, 0.3895360000, 0.4981682000, 0.8180900000", \ - "0.3196760000, 0.3233190000, 0.3330642000, 0.3547689000, 0.4006152000, 0.5093589000, 0.8293825000", \ - "0.3440898000, 0.3477876000, 0.3575332000, 0.3792666000, 0.4250343000, 0.5337746000, 0.8534929000", \ - "0.3823787000, 0.3860233000, 0.3957513000, 0.4175319000, 0.4632762000, 0.5719420000, 0.8918684000", \ - "0.4332676000, 0.4369961000, 0.4467542000, 0.4685035000, 0.5142344000, 0.6230020000, 0.9430684000", \ - "0.4889557000, 0.4926609000, 0.5023815000, 0.5240571000, 0.5699421000, 0.6785503000, 0.9981576000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.3232573000, 0.3279640000, 0.3404931000, 0.3705561000, 0.4455618000, 0.6669288000, 1.3708393000", \ - "0.3278602000, 0.3325592000, 0.3450653000, 0.3751055000, 0.4502485000, 0.6713706000, 1.3775134000", \ - "0.3386215000, 0.3433141000, 0.3558687000, 0.3858628000, 0.4609988000, 0.6826053000, 1.3882464000", \ - "0.3623861000, 0.3671061000, 0.3796331000, 0.4096591000, 0.4848077000, 0.7059854000, 1.4104687000", \ - "0.4016729000, 0.4063877000, 0.4188877000, 0.4489744000, 0.5239270000, 0.7454488000, 1.4513987000", \ - "0.4561226000, 0.4608510000, 0.4733687000, 0.5034002000, 0.5784469000, 0.7998686000, 1.5068863000", \ - "0.5231893000, 0.5279083000, 0.5404043000, 0.5704809000, 0.6455036000, 0.8670991000, 1.5707090000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0259525000, 0.0284410000, 0.0342608000, 0.0492423000, 0.0897866000, 0.2132206000, 0.6411103000", \ - "0.0261196000, 0.0283270000, 0.0344531000, 0.0493015000, 0.0896391000, 0.2137033000, 0.6366535000", \ - "0.0261270000, 0.0283881000, 0.0342679000, 0.0492755000, 0.0896033000, 0.2138941000, 0.6419076000", \ - "0.0259441000, 0.0283773000, 0.0342226000, 0.0490323000, 0.0895036000, 0.2134918000, 0.6406374000", \ - "0.0261197000, 0.0283329000, 0.0344595000, 0.0490793000, 0.0896309000, 0.2141790000, 0.6406875000", \ - "0.0259551000, 0.0283758000, 0.0342335000, 0.0490825000, 0.0888681000, 0.2140924000, 0.6425303000", \ - "0.0259406000, 0.0284948000, 0.0343178000, 0.0492794000, 0.0898784000, 0.2133747000, 0.6363873000"); - } - related_pin : "GATE"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0302573000, 0.0338594000, 0.0438063000, 0.0725356000, 0.1651502000, 0.4783517000, 1.5047724000", \ - "0.0302839000, 0.0337771000, 0.0438208000, 0.0726368000, 0.1651036000, 0.4783407000, 1.5010693000", \ - "0.0302998000, 0.0337970000, 0.0438012000, 0.0726624000, 0.1650787000, 0.4772230000, 1.5012299000", \ - "0.0302901000, 0.0337164000, 0.0437971000, 0.0726789000, 0.1651234000, 0.4782026000, 1.5002788000", \ - "0.0303813000, 0.0337249000, 0.0437345000, 0.0726658000, 0.1652573000, 0.4785745000, 1.5042972000", \ - "0.0302706000, 0.0337699000, 0.0438074000, 0.0726141000, 0.1652766000, 0.4785319000, 1.5030227000", \ - "0.0302714000, 0.0337991000, 0.0438638000, 0.0726998000, 0.1649272000, 0.4788598000, 1.4985033000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.1386765000, 0.1425154000, 0.1525142000, 0.1750121000, 0.2222974000, 0.3307208000, 0.6486648000", \ - "0.1440165000, 0.1479276000, 0.1579765000, 0.1804750000, 0.2277732000, 0.3362193000, 0.6547002000", \ - "0.1572564000, 0.1611784000, 0.1711721000, 0.1937405000, 0.2410527000, 0.3495132000, 0.6684614000", \ - "0.1893814000, 0.1932977000, 0.2032887000, 0.2257439000, 0.2730852000, 0.3815353000, 0.6997805000", \ - "0.2653955000, 0.2691659000, 0.2791583000, 0.3014574000, 0.3487303000, 0.4571964000, 0.7760060000", \ - "0.4132025000, 0.4178603000, 0.4302079000, 0.4569165000, 0.5088130000, 0.6182234000, 0.9366907000", \ - "0.6522091000, 0.6584164000, 0.6744508000, 0.7101927000, 0.7767227000, 0.8912276000, 1.2086224000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.1242144000, 0.1287265000, 0.1408581000, 0.1704520000, 0.2450837000, 0.4660692000, 1.1707022000", \ - "0.1284224000, 0.1330187000, 0.1451609000, 0.1747537000, 0.2493221000, 0.4705165000, 1.1816377000", \ - "0.1370486000, 0.1416338000, 0.1538297000, 0.1834652000, 0.2580189000, 0.4787064000, 1.1846496000", \ - "0.1560717000, 0.1606477000, 0.1728259000, 0.2024149000, 0.2769226000, 0.4985458000, 1.2017984000", \ - "0.1944185000, 0.1992253000, 0.2119589000, 0.2419839000, 0.3168700000, 0.5386038000, 1.2436228000", \ - "0.2513932000, 0.2569332000, 0.2712661000, 0.3043390000, 0.3817888000, 0.6033106000, 1.3097136000", \ - "0.3088624000, 0.3159729000, 0.3343814000, 0.3740049000, 0.4571546000, 0.6795240000, 1.3831900000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0270001000, 0.0296666000, 0.0356816000, 0.0510341000, 0.0916496000, 0.2109197000, 0.6381913000", \ - "0.0270180000, 0.0293964000, 0.0356075000, 0.0511958000, 0.0915939000, 0.2111977000, 0.6373669000", \ - "0.0270130000, 0.0293925000, 0.0356915000, 0.0512648000, 0.0918691000, 0.2109925000, 0.6366901000", \ - "0.0270224000, 0.0293824000, 0.0356499000, 0.0510697000, 0.0917017000, 0.2110374000, 0.6379350000", \ - "0.0273261000, 0.0295653000, 0.0363930000, 0.0512278000, 0.0917080000, 0.2109567000, 0.6370999000", \ - "0.0392096000, 0.0417501000, 0.0484242000, 0.0628359000, 0.0993752000, 0.2120050000, 0.6364849000", \ - "0.0598581000, 0.0631708000, 0.0717691000, 0.0912339000, 0.1248262000, 0.2190501000, 0.6327043000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0286474000, 0.0324141000, 0.0426376000, 0.0715017000, 0.1646935000, 0.4785302000, 1.5024553000", \ - "0.0286256000, 0.0324248000, 0.0425988000, 0.0715917000, 0.1648201000, 0.4784459000, 1.5028284000", \ - "0.0286830000, 0.0322540000, 0.0424522000, 0.0713265000, 0.1646919000, 0.4777093000, 1.5006064000", \ - "0.0285711000, 0.0321071000, 0.0423341000, 0.0713654000, 0.1646231000, 0.4785089000, 1.5024092000", \ - "0.0306914000, 0.0342127000, 0.0444342000, 0.0729764000, 0.1651563000, 0.4773620000, 1.5058614000", \ - "0.0378829000, 0.0414117000, 0.0519298000, 0.0799510000, 0.1694455000, 0.4792852000, 1.5036219000", \ - "0.0519165000, 0.0563819000, 0.0679552000, 0.0956159000, 0.1791019000, 0.4818964000, 1.4973961000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0023760000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022470000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042879000, 0.0043187000, 0.0043897000, 0.0043957000, 0.0044094000, 0.0044411000, 0.0045143000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004232900, -0.004215200, -0.004174400, -0.004186400, -0.004214100, -0.004278100, -0.004425200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025050000; - timing () { - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.006544900, 0.0770651000, 0.1079896000", \ - "-0.164617800, -0.085890600, -0.057407600", \ - "-0.322495400, -0.251092400, -0.229933600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0312969000, -0.052313200, -0.085679000", \ - "0.1966940000, 0.1167461000, 0.0821595000", \ - "0.3692201000, 0.2917135000, 0.2607891000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1752772000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__dlxbn_1") { - leakage_power () { - value : 0.0145786000; - when : "D&!GATE_N&Q&!Q_N"; - } - leakage_power () { - value : 0.0099651000; - when : "!D&GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0100455000; - when : "!D&!GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0144845000; - when : "D&GATE_N&Q&!Q_N"; - } - leakage_power () { - value : 0.0114278000; - when : "D&GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0136483000; - when : "!D&GATE_N&Q&!Q_N"; - } - area : 18.768000000; - cell_footprint : "sky130_fd_sc_hd__dlxbn"; - cell_leakage_power : 0.0123583100; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - data_in : "D"; - enable : "!GATE_N"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017900000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016970000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078340000, 0.0077200000, 0.0074572000, 0.0075363000, 0.0077189000, 0.0081398000, 0.0091100000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026870000, 0.0025841000, 0.0023468000, 0.0024067000, 0.0025447000, 0.0028631000, 0.0035968000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018820000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1130840000, 0.2735983000, 0.4436829000", \ - "0.0758607000, 0.2339336000, 0.4003561000", \ - "0.0974264000, 0.2481751000, 0.4121562000"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1704570000, 0.2528463000, 0.2849915000", \ - "0.0526673000, 0.1362773000, 0.1684225000", \ - "-0.017319700, 0.0662904000, 0.0984355000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.099318400, -0.262274100, -0.434800100", \ - "-0.053550100, -0.215285200, -0.385369800", \ - "-0.051922500, -0.212436800, -0.382521500"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.156691400, -0.241522100, -0.274888000", \ - "-0.040122400, -0.124953100, -0.158319000", \ - "0.0298646000, -0.056186800, -0.088332000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE_N") { - capacitance : 0.0017640000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0173096000, 0.0171801000, 0.0168816000, 0.0169314000, 0.0170459000, 0.0173102000, 0.0179195000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0102563000, 0.0101642000, 0.0099518000, 0.0099915000, 0.0100829000, 0.0102936000, 0.0107795000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018380000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1434204000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "GATE_N"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("-0.001036300, 0.0028675000, 0.0098890000, 0.0165975000, 0.0087438000, -0.042299300, -0.198393300", \ - "-0.000990500, 0.0029023000, 0.0098834000, 0.0165442000, 0.0086250000, -0.042449700, -0.198577200", \ - "-0.000867700, 0.0030117000, 0.0099846000, 0.0165977000, 0.0086509000, -0.042453300, -0.198593200", \ - "-0.000907800, 0.0029671000, 0.0099409000, 0.0165427000, 0.0085953000, -0.042533500, -0.198670600", \ - "-0.000998000, 0.0028843000, 0.0098578000, 0.0164799000, 0.0085559000, -0.042548300, -0.198705400", \ - "-0.001198200, 0.0026983000, 0.0096996000, 0.0163857000, 0.0084887000, -0.042578700, -0.198702500", \ - "-0.001628800, 0.0023460000, 0.0095126000, 0.0164295000, 0.0088196000, -0.042072300, -0.198096500"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("-0.000256100, 0.0014485000, 0.0056747000, 0.0158483000, 0.0401926000, 0.1017364000, 0.2617810000", \ - "-0.000221500, 0.0014624000, 0.0056312000, 0.0157565000, 0.0401485000, 0.1019386000, 0.2629173000", \ - "-0.000108700, 0.0015613000, 0.0057118000, 0.0158075000, 0.0401933000, 0.1014819000, 0.2630088000", \ - "-0.000150200, 0.0015046000, 0.0056339000, 0.0156636000, 0.0400448000, 0.1017542000, 0.2614824000", \ - "-0.000220500, 0.0014326000, 0.0055633000, 0.0156018000, 0.0398081000, 0.1015944000, 0.2620369000", \ - "-0.000368200, 0.0013027000, 0.0054563000, 0.0155469000, 0.0398357000, 0.1012646000, 0.2613645000", \ - "-0.000654200, 0.0011300000, 0.0055129000, 0.0159150000, 0.0405509000, 0.1025241000, 0.2611137000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("-0.005346700, -0.000837600, 0.0073951000, 0.0159573000, 0.0099264000, -0.039892100, -0.195340900", \ - "-0.005292300, -0.000797000, 0.0074129000, 0.0159017000, 0.0098208000, -0.040033900, -0.195529300", \ - "-0.005147300, -0.000657000, 0.0075284000, 0.0159947000, 0.0098934000, -0.039996900, -0.195497400", \ - "-0.005182200, -0.000715300, 0.0074410000, 0.0158422000, 0.0096911000, -0.040249400, -0.195710700", \ - "-0.005255200, -0.000808800, 0.0073037000, 0.0156480000, 0.0094042000, -0.040507500, -0.196048100", \ - "-0.005380700, -0.000919700, 0.0072107000, 0.0155918000, 0.0094263000, -0.040508800, -0.196019900", \ - "-0.005634000, -0.001100900, 0.0071781000, 0.0157605000, 0.0098357000, -0.039909100, -0.195289400"); - } - related_pin : "GATE_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("-0.006827300, -0.004080500, 0.0022346000, 0.0155542000, 0.0430996000, 0.1071512000, 0.2688445000", \ - "-0.006767200, -0.004024000, 0.0022760000, 0.0155865000, 0.0430574000, 0.1071190000, 0.2678444000", \ - "-0.006628900, -0.003901800, 0.0023687000, 0.0156327000, 0.0431045000, 0.1065961000, 0.2675259000", \ - "-0.006664000, -0.003947900, 0.0022889000, 0.0155022000, 0.0430059000, 0.1064721000, 0.2674589000", \ - "-0.006729800, -0.004027700, 0.0021865000, 0.0153680000, 0.0427553000, 0.1067590000, 0.2678384000", \ - "-0.006845600, -0.004120400, 0.0021409000, 0.0153980000, 0.0428709000, 0.1068401000, 0.2685178000", \ - "-0.007097400, -0.004298600, 0.0021206000, 0.0155731000, 0.0433012000, 0.1071508000, 0.2690790000"); - } - } - max_capacitance : 0.1611430000; - max_transition : 1.5041590000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2121299000, 0.2178201000, 0.2298804000, 0.2546786000, 0.3087234000, 0.4386143000, 0.7762855000", \ - "0.2170238000, 0.2227048000, 0.2347865000, 0.2595802000, 0.3136272000, 0.4435078000, 0.7813439000", \ - "0.2302148000, 0.2358900000, 0.2479804000, 0.2727700000, 0.3268149000, 0.4567019000, 0.7951620000", \ - "0.2614035000, 0.2671338000, 0.2791945000, 0.3039883000, 0.3580470000, 0.4879369000, 0.8264776000", \ - "0.3183588000, 0.3240853000, 0.3361661000, 0.3609468000, 0.4150128000, 0.5448955000, 0.8827167000", \ - "0.4072506000, 0.4129810000, 0.4250610000, 0.4498553000, 0.5039397000, 0.6338379000, 0.9717137000", \ - "0.5473628000, 0.5531031000, 0.5651777000, 0.5900067000, 0.6441215000, 0.7740388000, 1.1116525000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.3046440000, 0.3116510000, 0.3274408000, 0.3644608000, 0.4590998000, 0.7039878000, 1.3464588000", \ - "0.3091490000, 0.3163449000, 0.3320437000, 0.3690777000, 0.4637169000, 0.7087113000, 1.3542087000", \ - "0.3203715000, 0.3275507000, 0.3432284000, 0.3802349000, 0.4749091000, 0.7200210000, 1.3629553000", \ - "0.3413932000, 0.3484587000, 0.3642053000, 0.4012042000, 0.4958682000, 0.7406677000, 1.3829939000", \ - "0.3687806000, 0.3758433000, 0.3915814000, 0.4285972000, 0.5232738000, 0.7680996000, 1.4123058000", \ - "0.3993792000, 0.4065864000, 0.4222708000, 0.4592797000, 0.5539513000, 0.7989073000, 1.4415029000", \ - "0.4227205000, 0.4297782000, 0.4454695000, 0.4824689000, 0.5771514000, 0.8222910000, 1.4617931000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0197952000, 0.0240791000, 0.0343544000, 0.0585616000, 0.1199408000, 0.2859699000, 0.7401371000", \ - "0.0196821000, 0.0240459000, 0.0343346000, 0.0585441000, 0.1199655000, 0.2857615000, 0.7362355000", \ - "0.0196744000, 0.0240513000, 0.0342917000, 0.0585437000, 0.1199858000, 0.2860108000, 0.7340559000", \ - "0.0197642000, 0.0243323000, 0.0343633000, 0.0585689000, 0.1201895000, 0.2865904000, 0.7396249000", \ - "0.0197608000, 0.0243821000, 0.0343079000, 0.0585778000, 0.1199610000, 0.2858792000, 0.7385619000", \ - "0.0198067000, 0.0243886000, 0.0341686000, 0.0586165000, 0.1198906000, 0.2855802000, 0.7388717000", \ - "0.0198530000, 0.0244217000, 0.0344441000, 0.0586247000, 0.1200589000, 0.2860247000, 0.7317622000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0260344000, 0.0324453000, 0.0495949000, 0.0987823000, 0.2307317000, 0.5799972000, 1.5002608000", \ - "0.0259969000, 0.0325370000, 0.0495784000, 0.0988184000, 0.2309248000, 0.5790584000, 1.5041592000", \ - "0.0261365000, 0.0325599000, 0.0496116000, 0.0987828000, 0.2309534000, 0.5787119000, 1.5005471000", \ - "0.0258265000, 0.0323775000, 0.0494599000, 0.0987377000, 0.2309838000, 0.5804910000, 1.4987562000", \ - "0.0258085000, 0.0323690000, 0.0495311000, 0.0987602000, 0.2310338000, 0.5804427000, 1.5023127000", \ - "0.0259923000, 0.0325543000, 0.0495907000, 0.0988013000, 0.2308942000, 0.5797983000, 1.4996198000", \ - "0.0258947000, 0.0324338000, 0.0494970000, 0.0988058000, 0.2308668000, 0.5787521000, 1.4967549000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2378622000, 0.2436512000, 0.2558948000, 0.2808294000, 0.3349515000, 0.4648634000, 0.8027816000", \ - "0.2427521000, 0.2485342000, 0.2607334000, 0.2857110000, 0.3398290000, 0.4697794000, 0.8076401000", \ - "0.2553341000, 0.2611240000, 0.2733099000, 0.2982740000, 0.3524080000, 0.4823310000, 0.8199064000", \ - "0.2863239000, 0.2921223000, 0.3043137000, 0.3292649000, 0.3834111000, 0.5133361000, 0.8509848000", \ - "0.3532083000, 0.3590210000, 0.3711636000, 0.3961367000, 0.4502718000, 0.5802236000, 0.9189006000", \ - "0.4658089000, 0.4716140000, 0.4838264000, 0.5088317000, 0.5629983000, 0.6929570000, 1.0306428000", \ - "0.6399313000, 0.6457670000, 0.6580412000, 0.6831332000, 0.7373931000, 0.8673597000, 1.2050209000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.3685564000, 0.3756005000, 0.3915004000, 0.4285594000, 0.5232380000, 0.7679627000, 1.4127804000", \ - "0.3737323000, 0.3808185000, 0.3966855000, 0.4337644000, 0.5284454000, 0.7736364000, 1.4147696000", \ - "0.3863315000, 0.3934418000, 0.4093150000, 0.4464062000, 0.5410878000, 0.7856852000, 1.4277630000", \ - "0.4172978000, 0.4243875000, 0.4402496000, 0.4773388000, 0.5720190000, 0.8167094000, 1.4597010000", \ - "0.4816899000, 0.4887982000, 0.5046054000, 0.5416933000, 0.6363881000, 0.8813369000, 1.5247399000", \ - "0.5856223000, 0.5927348000, 0.6085945000, 0.6456937000, 0.7403804000, 0.9851673000, 1.6300097000", \ - "0.7453759000, 0.7524618000, 0.7683317000, 0.8054674000, 0.9001332000, 1.1451798000, 1.7875242000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0203140000, 0.0244896000, 0.0345116000, 0.0586840000, 0.1202712000, 0.2861728000, 0.7407130000", \ - "0.0201174000, 0.0244232000, 0.0344063000, 0.0588179000, 0.1202844000, 0.2857632000, 0.7375267000", \ - "0.0201167000, 0.0244136000, 0.0347620000, 0.0587617000, 0.1202657000, 0.2862937000, 0.7401652000", \ - "0.0201284000, 0.0244116000, 0.0344235000, 0.0588514000, 0.1203024000, 0.2860581000, 0.7363975000", \ - "0.0202802000, 0.0245966000, 0.0347612000, 0.0588998000, 0.1200031000, 0.2856223000, 0.7434009000", \ - "0.0204030000, 0.0245139000, 0.0345020000, 0.0588296000, 0.1204412000, 0.2860576000, 0.7391795000", \ - "0.0204979000, 0.0247004000, 0.0350302000, 0.0589950000, 0.1202800000, 0.2861398000, 0.7333866000"); - } - related_pin : "GATE_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0258308000, 0.0323407000, 0.0496415000, 0.0987635000, 0.2306995000, 0.5801762000, 1.5013973000", \ - "0.0257440000, 0.0323707000, 0.0496518000, 0.0987825000, 0.2309191000, 0.5785483000, 1.5000825000", \ - "0.0257558000, 0.0323374000, 0.0496400000, 0.0988060000, 0.2310362000, 0.5787195000, 1.5013359000", \ - "0.0257563000, 0.0322763000, 0.0496168000, 0.0988155000, 0.2310615000, 0.5794060000, 1.4992940000", \ - "0.0257817000, 0.0323261000, 0.0496704000, 0.0988018000, 0.2310026000, 0.5802827000, 1.4953311000", \ - "0.0257199000, 0.0322911000, 0.0496184000, 0.0988132000, 0.2311795000, 0.5800465000, 1.5014163000", \ - "0.0257188000, 0.0322939000, 0.0496852000, 0.0988042000, 0.2309000000, 0.5781072000, 1.4998277000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("0.0011357000, 0.0045331000, 0.0105938000, 0.0158558000, 0.0060231000, -0.048560100, -0.213242300", \ - "0.0011734000, 0.0045492000, 0.0105754000, 0.0157847000, 0.0058695000, -0.048770700, -0.213423000", \ - "0.0012883000, 0.0046605000, 0.0106471000, 0.0158040000, 0.0058900000, -0.048738500, -0.213432300", \ - "0.0012445000, 0.0045954000, 0.0105600000, 0.0156825000, 0.0056981000, -0.048970100, -0.213681000", \ - "0.0011722000, 0.0045197000, 0.0104751000, 0.0155889000, 0.0055941000, -0.049053300, -0.213780500", \ - "0.0010290000, 0.0044033000, 0.0104155000, 0.0155980000, 0.0057018000, -0.048914400, -0.213610600", \ - "0.0007287000, 0.0041934000, 0.0103956000, 0.0158613000, 0.0061362000, -0.048422700, -0.213036200"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.002534400, -0.000382700, 0.0048093000, 0.0165983000, 0.0432570000, 0.1085162000, 0.2780763000", \ - "-0.002487500, -0.000350500, 0.0048223000, 0.0165494000, 0.0431866000, 0.1089310000, 0.2781665000", \ - "-0.002363900, -0.000237700, 0.0049112000, 0.0166053000, 0.0433330000, 0.1086594000, 0.2779708000", \ - "-0.002406000, -0.000285900, 0.0048531000, 0.0165308000, 0.0432729000, 0.1089256000, 0.2765999000", \ - "-0.002494100, -0.000365700, 0.0047837000, 0.0164765000, 0.0431030000, 0.1088580000, 0.2766386000", \ - "-0.002694000, -0.000551600, 0.0046313000, 0.0163745000, 0.0430399000, 0.1087365000, 0.2767452000", \ - "-0.003122000, -0.000899200, 0.0044306000, 0.0164304000, 0.0432924000, 0.1087699000, 0.2782444000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.005447100, -0.001015400, 0.0071425000, 0.0155713000, 0.0089070000, -0.043583200, -0.207211500", \ - "-0.005395900, -0.000969000, 0.0071586000, 0.0155279000, 0.0088301000, -0.043660800, -0.207298100", \ - "-0.005255800, -0.000851300, 0.0072515000, 0.0155903000, 0.0088458000, -0.043692900, -0.207361400", \ - "-0.005284400, -0.000885500, 0.0072129000, 0.0155297000, 0.0087783000, -0.043770900, -0.207434800", \ - "-0.005351300, -0.000959400, 0.0071048000, 0.0154574000, 0.0085715000, -0.043982600, -0.207668800", \ - "-0.005474100, -0.001086500, 0.0070059000, 0.0153311000, 0.0084969000, -0.043993500, -0.207680100", \ - "-0.005728600, -0.001260300, 0.0069788000, 0.0155122000, 0.0088435000, -0.043429100, -0.207008000"); - } - related_pin : "GATE_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.006851400, -0.004096700, 0.0023109000, 0.0159206000, 0.0446072000, 0.1109985000, 0.2813712000", \ - "-0.006790100, -0.004039600, 0.0023514000, 0.0159429000, 0.0446039000, 0.1112373000, 0.2803079000", \ - "-0.006652800, -0.003918700, 0.0024404000, 0.0159709000, 0.0444171000, 0.1115118000, 0.2809966000", \ - "-0.006687100, -0.003968200, 0.0023638000, 0.0158428000, 0.0442932000, 0.1109144000, 0.2800108000", \ - "-0.006755600, -0.004053500, 0.0022582000, 0.0157163000, 0.0440545000, 0.1108948000, 0.2805451000", \ - "-0.006885100, -0.004178100, 0.0021326000, 0.0155989000, 0.0439162000, 0.1103968000, 0.2806324000", \ - "-0.007146300, -0.004377000, 0.0020524000, 0.0156826000, 0.0443469000, 0.1106263000, 0.2793306000"); - } - } - max_capacitance : 0.1684670000; - max_transition : 1.5036670000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3556919000, 0.3608323000, 0.3717662000, 0.3946686000, 0.4468007000, 0.5802704000, 0.9332556000", \ - "0.3602628000, 0.3654313000, 0.3763681000, 0.3992105000, 0.4513284000, 0.5849588000, 0.9369573000", \ - "0.3713272000, 0.3764715000, 0.3874112000, 0.4102666000, 0.4623787000, 0.5959902000, 0.9483060000", \ - "0.3921882000, 0.3973011000, 0.4082316000, 0.4311113000, 0.4832579000, 0.6167475000, 0.9694791000", \ - "0.4197036000, 0.4248205000, 0.4357286000, 0.4586344000, 0.5107733000, 0.6443565000, 0.9969999000", \ - "0.4504607000, 0.4556078000, 0.4665373000, 0.4894076000, 0.5415688000, 0.6751786000, 1.0279122000", \ - "0.4735901000, 0.4787152000, 0.4896435000, 0.5125403000, 0.5646670000, 0.6981866000, 1.0505007000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.2656080000, 0.2718108000, 0.2862900000, 0.3220217000, 0.4149067000, 0.6590941000, 1.3028297000", \ - "0.2705118000, 0.2767126000, 0.2912240000, 0.3269259000, 0.4197348000, 0.6637337000, 1.3079693000", \ - "0.2837064000, 0.2899049000, 0.3044183000, 0.3401060000, 0.4328037000, 0.6778371000, 1.3239460000", \ - "0.3149339000, 0.3210652000, 0.3356564000, 0.3712515000, 0.4640858000, 0.7080529000, 1.3517297000", \ - "0.3719067000, 0.3780918000, 0.3925960000, 0.4282111000, 0.5210539000, 0.7645913000, 1.4091245000", \ - "0.4607994000, 0.4669666000, 0.4813733000, 0.5171207000, 0.6097232000, 0.8542625000, 1.4977146000", \ - "0.6009157000, 0.6070474000, 0.6216394000, 0.6572465000, 0.7500051000, 0.9943192000, 1.6385878000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0163987000, 0.0206549000, 0.0302192000, 0.0537172000, 0.1180329000, 0.2940821000, 0.7697785000", \ - "0.0166825000, 0.0204682000, 0.0302118000, 0.0538349000, 0.1178924000, 0.2941203000, 0.7660678000", \ - "0.0166209000, 0.0205330000, 0.0301088000, 0.0538874000, 0.1176843000, 0.2939077000, 0.7663857000", \ - "0.0165087000, 0.0205866000, 0.0299280000, 0.0538385000, 0.1178597000, 0.2932798000, 0.7701372000", \ - "0.0164223000, 0.0206255000, 0.0300328000, 0.0538245000, 0.1178889000, 0.2941595000, 0.7648198000", \ - "0.0163847000, 0.0206976000, 0.0300830000, 0.0536685000, 0.1177869000, 0.2939630000, 0.7673704000", \ - "0.0165228000, 0.0205110000, 0.0300054000, 0.0537382000, 0.1178059000, 0.2936369000, 0.7585907000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0200218000, 0.0266245000, 0.0444707000, 0.0934501000, 0.2256016000, 0.5759840000, 1.4998952000", \ - "0.0200217000, 0.0266345000, 0.0444960000, 0.0934995000, 0.2257570000, 0.5763250000, 1.5021052000", \ - "0.0200201000, 0.0266330000, 0.0444932000, 0.0934971000, 0.2252926000, 0.5742646000, 1.5007178000", \ - "0.0200434000, 0.0266912000, 0.0444213000, 0.0933835000, 0.2251118000, 0.5759274000, 1.5017151000", \ - "0.0200186000, 0.0265929000, 0.0444012000, 0.0934368000, 0.2253303000, 0.5771689000, 1.5014457000", \ - "0.0200358000, 0.0266208000, 0.0444332000, 0.0935403000, 0.2256020000, 0.5763010000, 1.4967054000", \ - "0.0200519000, 0.0266987000, 0.0444271000, 0.0934213000, 0.2257815000, 0.5740640000, 1.4991584000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.4198065000, 0.4249283000, 0.4358128000, 0.4587964000, 0.5109308000, 0.6443367000, 0.9970674000", \ - "0.4245719000, 0.4296540000, 0.4406513000, 0.4636312000, 0.5156293000, 0.6491318000, 1.0020762000", \ - "0.4373277000, 0.4425245000, 0.4532760000, 0.4761393000, 0.5284690000, 0.6618930000, 1.0140251000", \ - "0.4682861000, 0.4734268000, 0.4843623000, 0.5072678000, 0.5594225000, 0.6928180000, 1.0453567000", \ - "0.5327545000, 0.5378756000, 0.5488121000, 0.5716039000, 0.6237575000, 0.7574560000, 1.1101147000", \ - "0.6367014000, 0.6420620000, 0.6526001000, 0.6755023000, 0.7280109000, 0.8612925000, 1.2147169000", \ - "0.7964515000, 0.8016319000, 0.8123632000, 0.8353115000, 0.8875858000, 1.0211086000, 1.3729720000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.2916716000, 0.2978713000, 0.3123476000, 0.3480732000, 0.4406513000, 0.6850414000, 1.3316409000", \ - "0.2966153000, 0.3028166000, 0.3173077000, 0.3529755000, 0.4458230000, 0.6895871000, 1.3338144000", \ - "0.3093357000, 0.3155511000, 0.3300155000, 0.3656445000, 0.4587142000, 0.7029874000, 1.3451187000", \ - "0.3400278000, 0.3462431000, 0.3607663000, 0.3964568000, 0.4889573000, 0.7336372000, 1.3772355000", \ - "0.4068213000, 0.4130170000, 0.4275976000, 0.4633693000, 0.5557689000, 0.8009734000, 1.4433432000", \ - "0.5196037000, 0.5257952000, 0.5402601000, 0.5759814000, 0.6686954000, 0.9129431000, 1.5602292000", \ - "0.6935327000, 0.6997247000, 0.7142784000, 0.7500213000, 0.8425874000, 1.0868710000, 1.7312404000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0164320000, 0.0204612000, 0.0297987000, 0.0536778000, 0.1177750000, 0.2934461000, 0.7689879000", \ - "0.0163942000, 0.0203983000, 0.0299316000, 0.0536567000, 0.1177102000, 0.2940984000, 0.7630681000", \ - "0.0163927000, 0.0203981000, 0.0299810000, 0.0537847000, 0.1175414000, 0.2939727000, 0.7652878000", \ - "0.0165807000, 0.0204102000, 0.0300637000, 0.0536779000, 0.1176045000, 0.2933587000, 0.7682339000", \ - "0.0164073000, 0.0205551000, 0.0299701000, 0.0536614000, 0.1178548000, 0.2932540000, 0.7620121000", \ - "0.0163937000, 0.0204847000, 0.0300948000, 0.0536489000, 0.1177740000, 0.2942453000, 0.7628496000", \ - "0.0164570000, 0.0205040000, 0.0300745000, 0.0536870000, 0.1174564000, 0.2940625000, 0.7681760000"); - } - related_pin : "GATE_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0200947000, 0.0266709000, 0.0444850000, 0.0934679000, 0.2252890000, 0.5761264000, 1.4996926000", \ - "0.0200691000, 0.0266726000, 0.0445097000, 0.0934458000, 0.2258862000, 0.5751812000, 1.5017967000", \ - "0.0200925000, 0.0266439000, 0.0444972000, 0.0933627000, 0.2255096000, 0.5772405000, 1.5036666000", \ - "0.0200928000, 0.0266449000, 0.0445304000, 0.0934901000, 0.2262234000, 0.5737144000, 1.5026941000", \ - "0.0201619000, 0.0267400000, 0.0444965000, 0.0933151000, 0.2253080000, 0.5759785000, 1.5035632000", \ - "0.0201145000, 0.0267220000, 0.0443658000, 0.0935193000, 0.2258769000, 0.5750735000, 1.5024603000", \ - "0.0201844000, 0.0267432000, 0.0445804000, 0.0935006000, 0.2257458000, 0.5735316000, 1.4991066000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - } - } - - cell ("sky130_fd_sc_hd__dlxbn_2") { - leakage_power () { - value : 0.0137806000; - when : "D&!GATE_N&Q&!Q_N"; - } - leakage_power () { - value : 0.0104204000; - when : "!D&GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0104995000; - when : "!D&!GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0136861000; - when : "D&GATE_N&Q&!Q_N"; - } - leakage_power () { - value : 0.0118697000; - when : "D&GATE_N&!Q&Q_N"; - } - leakage_power () { - value : 0.0128598000; - when : "!D&GATE_N&Q&!Q_N"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__dlxbn"; - cell_leakage_power : 0.0121860000; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - data_in : "D"; - enable : "!GATE_N"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017870000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0077974000, 0.0076936000, 0.0074542000, 0.0075296000, 0.0077036000, 0.0081047000, 0.0090292000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026863000, 0.0025864000, 0.0023563000, 0.0024165000, 0.0025551000, 0.0028747000, 0.0036116000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018790000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1216289000, 0.2809225000, 0.4522279000", \ - "0.0819642000, 0.2400371000, 0.4064596000", \ - "0.1059714000, 0.2554994000, 0.4194805000"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1826641000, 0.2662741000, 0.2971986000", \ - "0.0636536000, 0.1472637000, 0.1794089000", \ - "-0.008774700, 0.0760560000, 0.1082012000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.104201200, -0.267156900, -0.439682900", \ - "-0.059653600, -0.220168000, -0.390252600", \ - "-0.059246700, -0.219761100, -0.388625000"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.166457000, -0.251287800, -0.284653600", \ - "-0.048667300, -0.134718700, -0.166863900", \ - "0.0213197000, -0.063511100, -0.096877000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE_N") { - capacitance : 0.0017580000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016770000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0172436000, 0.0171132000, 0.0168127000, 0.0168646000, 0.0169843000, 0.0172602000, 0.0178962000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0103271000, 0.0101932000, 0.0098846000, 0.0099269000, 0.0100244000, 0.0102493000, 0.0107677000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018400000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1511099000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "GATE_N"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("-0.001125600, 0.0034152000, 0.0126106000, 0.0219867000, 0.0069957000, -0.086685900, -0.392135800", \ - "-0.001084100, 0.0034497000, 0.0126413000, 0.0219488000, 0.0069333000, -0.086839800, -0.392280700", \ - "-0.000971100, 0.0035383000, 0.0127128000, 0.0220044000, 0.0068975000, -0.086867900, -0.392321600", \ - "-0.001007900, 0.0035074000, 0.0126463000, 0.0219254000, 0.0068219000, -0.086938000, -0.392387000", \ - "-0.001091800, 0.0034253000, 0.0125952000, 0.0218642000, 0.0067983000, -0.086962300, -0.392409700", \ - "-0.001284400, 0.0032452000, 0.0124351000, 0.0217926000, 0.0067752000, -0.086930800, -0.392384900", \ - "-0.001704100, 0.0029061000, 0.0122584000, 0.0218555000, 0.0072027000, -0.086408700, -0.391768500"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("-0.000332700, 0.0015465000, 0.0067568000, 0.0209790000, 0.0593921000, 0.1666809000, 0.4748870000", \ - "-0.000288500, 0.0015822000, 0.0067630000, 0.0209719000, 0.0591905000, 0.1667441000, 0.4742527000", \ - "-0.000183000, 0.0016686000, 0.0068310000, 0.0209882000, 0.0593684000, 0.1659508000, 0.4741526000", \ - "-0.000221200, 0.0016151000, 0.0067173000, 0.0207752000, 0.0589293000, 0.1654430000, 0.4744280000", \ - "-0.000292600, 0.0015417000, 0.0066676000, 0.0207581000, 0.0589809000, 0.1655473000, 0.4767370000", \ - "-0.000442300, 0.0013970000, 0.0065442000, 0.0206826000, 0.0589250000, 0.1656786000, 0.4771818000", \ - "-0.000735900, 0.0012321000, 0.0066273000, 0.0212050000, 0.0597293000, 0.1671357000, 0.4738362000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("-0.005525400, -0.000438900, 0.0099701000, 0.0213090000, 0.0083984000, -0.084222300, -0.389099500", \ - "-0.005467300, -0.000400400, 0.0099715000, 0.0212582000, 0.0082160000, -0.084182000, -0.389074600", \ - "-0.005324200, -0.000262100, 0.0101071000, 0.0213363000, 0.0082469000, -0.084217400, -0.389104900", \ - "-0.005361500, -0.000314100, 0.0100192000, 0.0212063000, 0.0081180000, -0.084421300, -0.389368800", \ - "-0.005430400, -0.000408100, 0.0098920000, 0.0210007000, 0.0078970000, -0.084627900, -0.389543100", \ - "-0.005561500, -0.000527500, 0.0097701000, 0.0208751000, 0.0077610000, -0.084749100, -0.389670500", \ - "-0.005837800, -0.000726700, 0.0097391000, 0.0211810000, 0.0083194000, -0.084221000, -0.389085400"); - } - related_pin : "GATE_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("-0.007055800, -0.004237700, 0.0030807000, 0.0207225000, 0.0624825000, 0.1722359000, 0.4830113000", \ - "-0.006997000, -0.004196200, 0.0030847000, 0.0206899000, 0.0623948000, 0.1721248000, 0.4834655000", \ - "-0.006855300, -0.004064600, 0.0031892000, 0.0207430000, 0.0623923000, 0.1710940000, 0.4809445000", \ - "-0.006886800, -0.004095500, 0.0031494000, 0.0206951000, 0.0623626000, 0.1720556000, 0.4833768000", \ - "-0.006951900, -0.004181800, 0.0030317000, 0.0205334000, 0.0621859000, 0.1707113000, 0.4805563000", \ - "-0.007084800, -0.004305800, 0.0029418000, 0.0204631000, 0.0620894000, 0.1708755000, 0.4808748000", \ - "-0.007346400, -0.004474600, 0.0029786000, 0.0207983000, 0.0626851000, 0.1715393000, 0.4829394000"); - } - } - max_capacitance : 0.2938940000; - max_transition : 1.5026430000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.2265558000, 0.2316121000, 0.2428099000, 0.2658178000, 0.3145826000, 0.4331925000, 0.7648480000", \ - "0.2315173000, 0.2365461000, 0.2478348000, 0.2708339000, 0.3195891000, 0.4381955000, 0.7693510000", \ - "0.2446349000, 0.2496228000, 0.2609707000, 0.2839127000, 0.3327428000, 0.4513445000, 0.7827641000", \ - "0.2759530000, 0.2810031000, 0.2922015000, 0.3152082000, 0.3639764000, 0.4825864000, 0.8138645000", \ - "0.3328558000, 0.3378988000, 0.3491125000, 0.3721203000, 0.4208901000, 0.5394996000, 0.8707412000", \ - "0.4217409000, 0.4267354000, 0.4380037000, 0.4609728000, 0.5097592000, 0.6283998000, 0.9596737000", \ - "0.5619326000, 0.5669544000, 0.5781630000, 0.6012009000, 0.6499857000, 0.7686292000, 1.0998531000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.3156103000, 0.3216708000, 0.3352906000, 0.3668345000, 0.4498071000, 0.6840657000, 1.3621433000", \ - "0.3205834000, 0.3265340000, 0.3401075000, 0.3715999000, 0.4546183000, 0.6888900000, 1.3665994000", \ - "0.3317321000, 0.3375674000, 0.3512135000, 0.3827580000, 0.4656813000, 0.7001217000, 1.3790856000", \ - "0.3525873000, 0.3585441000, 0.3719898000, 0.4034768000, 0.4864914000, 0.7207755000, 1.3955900000", \ - "0.3798742000, 0.3856979000, 0.3993244000, 0.4308583000, 0.5137871000, 0.7481202000, 1.4243068000", \ - "0.4108756000, 0.4165497000, 0.4302744000, 0.4618176000, 0.5447823000, 0.7791899000, 1.4573369000", \ - "0.4337141000, 0.4394275000, 0.4531338000, 0.4846554000, 0.5676551000, 0.8019458000, 1.4768500000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0195187000, 0.0226736000, 0.0310127000, 0.0499562000, 0.0999392000, 0.2457322000, 0.6921175000", \ - "0.0193763000, 0.0226005000, 0.0308973000, 0.0498929000, 0.0997356000, 0.2459176000, 0.6921216000", \ - "0.0193932000, 0.0226899000, 0.0306597000, 0.0498567000, 0.0997114000, 0.2457496000, 0.6899672000", \ - "0.0194263000, 0.0226629000, 0.0306623000, 0.0499552000, 0.0999402000, 0.2458734000, 0.6875915000", \ - "0.0195660000, 0.0229091000, 0.0310338000, 0.0499474000, 0.0999460000, 0.2458691000, 0.6870301000", \ - "0.0193569000, 0.0227434000, 0.0307454000, 0.0497631000, 0.0998217000, 0.2457491000, 0.6898321000", \ - "0.0193761000, 0.0227589000, 0.0310130000, 0.0497344000, 0.0999557000, 0.2455021000, 0.6857778000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0231428000, 0.0279297000, 0.0403775000, 0.0772087000, 0.1914287000, 0.5243492000, 1.4984338000", \ - "0.0231465000, 0.0277899000, 0.0402044000, 0.0772453000, 0.1908238000, 0.5250692000, 1.5010130000", \ - "0.0230860000, 0.0278579000, 0.0401830000, 0.0772432000, 0.1908425000, 0.5251171000, 1.5013307000", \ - "0.0230118000, 0.0275677000, 0.0404373000, 0.0772452000, 0.1909969000, 0.5237848000, 1.4946943000", \ - "0.0230420000, 0.0276270000, 0.0401996000, 0.0771712000, 0.1910618000, 0.5251685000, 1.4979895000", \ - "0.0229542000, 0.0277850000, 0.0403362000, 0.0772142000, 0.1910482000, 0.5242308000, 1.5008327000", \ - "0.0229681000, 0.0280906000, 0.0403539000, 0.0772278000, 0.1910310000, 0.5235387000, 1.4952387000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.2516889000, 0.2567748000, 0.2681383000, 0.2913223000, 0.3402157000, 0.4589176000, 0.7902925000", \ - "0.2565295000, 0.2616509000, 0.2730177000, 0.2961671000, 0.3451018000, 0.4637962000, 0.7951391000", \ - "0.2690931000, 0.2742129000, 0.2855997000, 0.3087711000, 0.3576496000, 0.4765536000, 0.8072711000", \ - "0.3001141000, 0.3052226000, 0.3165977000, 0.3397922000, 0.3886815000, 0.5073059000, 0.8386106000", \ - "0.3668955000, 0.3720035000, 0.3834271000, 0.4066099000, 0.4554913000, 0.5740190000, 0.9055041000", \ - "0.4792520000, 0.4843984000, 0.4957714000, 0.5189713000, 0.5678756000, 0.6865068000, 1.0181584000", \ - "0.6531468000, 0.6582854000, 0.6696905000, 0.6929077000, 0.7419440000, 0.8602717000, 1.1912136000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.3791911000, 0.3851438000, 0.3987617000, 0.4303120000, 0.5133963000, 0.7476781000, 1.4259692000", \ - "0.3839543000, 0.3899022000, 0.4035774000, 0.4351601000, 0.5181754000, 0.7525596000, 1.4278014000", \ - "0.3967530000, 0.4026603000, 0.4163416000, 0.4479163000, 0.5309665000, 0.7653362000, 1.4422046000", \ - "0.4276311000, 0.4335175000, 0.4471934000, 0.4788188000, 0.5617871000, 0.7961489000, 1.4716642000", \ - "0.4919820000, 0.4979167000, 0.5115930000, 0.5431988000, 0.6262528000, 0.8606424000, 1.5384050000", \ - "0.5955858000, 0.6014974000, 0.6151731000, 0.6467852000, 0.7298448000, 0.9642356000, 1.6413544000", \ - "0.7550360000, 0.7609497000, 0.7746078000, 0.8062143000, 0.8892552000, 1.1236478000, 1.8018107000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0197142000, 0.0232226000, 0.0310375000, 0.0501710000, 0.0998901000, 0.2458300000, 0.6883686000", \ - "0.0197847000, 0.0231637000, 0.0310934000, 0.0502839000, 0.1001989000, 0.2457163000, 0.6886148000", \ - "0.0198559000, 0.0231912000, 0.0311356000, 0.0502906000, 0.0999955000, 0.2457070000, 0.6862939000", \ - "0.0198202000, 0.0232256000, 0.0309212000, 0.0501898000, 0.0998910000, 0.2458712000, 0.6892103000", \ - "0.0199433000, 0.0231502000, 0.0309772000, 0.0501431000, 0.0999092000, 0.2457447000, 0.6887066000", \ - "0.0198776000, 0.0231546000, 0.0310550000, 0.0501569000, 0.1001964000, 0.2457635000, 0.6914395000", \ - "0.0200346000, 0.0234312000, 0.0311933000, 0.0505189000, 0.1003170000, 0.2458007000, 0.6865187000"); - } - related_pin : "GATE_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0229411000, 0.0275554000, 0.0402503000, 0.0771904000, 0.1911777000, 0.5242561000, 1.5013477000", \ - "0.0229327000, 0.0274760000, 0.0402331000, 0.0771241000, 0.1911561000, 0.5249119000, 1.5026429000", \ - "0.0229229000, 0.0275998000, 0.0402300000, 0.0772261000, 0.1914399000, 0.5250910000, 1.5004835000", \ - "0.0229633000, 0.0275985000, 0.0402455000, 0.0771307000, 0.1912743000, 0.5247892000, 1.5018812000", \ - "0.0229128000, 0.0275754000, 0.0402305000, 0.0772744000, 0.1908894000, 0.5249957000, 1.4967210000", \ - "0.0229382000, 0.0275409000, 0.0401687000, 0.0771751000, 0.1915427000, 0.5241994000, 1.4997341000", \ - "0.0228227000, 0.0275775000, 0.0402000000, 0.0771736000, 0.1910119000, 0.5244167000, 1.4998532000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000"); - values("0.0010997000, 0.0051582000, 0.0133811000, 0.0210498000, 0.0034705000, -0.097528600, -0.425587100", \ - "0.0011365000, 0.0051739000, 0.0133459000, 0.0209419000, 0.0032980000, -0.097743400, -0.425911100", \ - "0.0012460000, 0.0052752000, 0.0134209000, 0.0209713000, 0.0032873000, -0.097812800, -0.425907300", \ - "0.0012078000, 0.0052248000, 0.0133479000, 0.0208340000, 0.0031078000, -0.098012700, -0.426111500", \ - "0.0011372000, 0.0051513000, 0.0132733000, 0.0207920000, 0.0030178000, -0.098075800, -0.426185200", \ - "0.0009901000, 0.0050185000, 0.0131767000, 0.0207452000, 0.0030633000, -0.097982800, -0.426054800", \ - "0.0006803000, 0.0048104000, 0.0132054000, 0.0211826000, 0.0035923000, -0.097436000, -0.425491600"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000"); - values("-0.002687700, -0.000422100, 0.0058198000, 0.0219890000, 0.0634982000, 0.1784322000, 0.5083013000", \ - "-0.002643100, -0.000387700, 0.0058183000, 0.0219609000, 0.0635224000, 0.1776150000, 0.5090291000", \ - "-0.002530100, -0.000283600, 0.0059196000, 0.0220118000, 0.0635048000, 0.1777833000, 0.5104629000", \ - "-0.002570000, -0.000330200, 0.0058577000, 0.0219279000, 0.0634441000, 0.1776029000, 0.5089393000", \ - "-0.002656000, -0.000412800, 0.0057830000, 0.0218673000, 0.0633961000, 0.1775721000, 0.5088784000", \ - "-0.002846800, -0.000586900, 0.0056548000, 0.0217971000, 0.0634146000, 0.1776193000, 0.5089687000", \ - "-0.003247300, -0.000894000, 0.0054966000, 0.0218602000, 0.0635507000, 0.1784877000, 0.5113116000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000"); - values("-0.005643200, -0.000662900, 0.0096545000, 0.0207482000, 0.0065795000, -0.092280400, -0.419402500", \ - "-0.005586200, -0.000621100, 0.0096778000, 0.0207068000, 0.0064842000, -0.092435300, -0.419588500", \ - "-0.005443900, -0.000487600, 0.0097618000, 0.0207575000, 0.0064924000, -0.092453100, -0.419652300", \ - "-0.005473300, -0.000521500, 0.0097218000, 0.0207107000, 0.0064278000, -0.092545500, -0.419698800", \ - "-0.005542700, -0.000607000, 0.0096024000, 0.0205232000, 0.0062290000, -0.092787000, -0.419957200", \ - "-0.005675300, -0.000727900, 0.0095006000, 0.0204583000, 0.0061605000, -0.092813700, -0.419995000", \ - "-0.005939000, -0.000900000, 0.0095426000, 0.0208747000, 0.0066310000, -0.092070300, -0.419173400"); - } - related_pin : "GATE_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000"); - values("-0.007100900, -0.004300500, 0.0031342000, 0.0212513000, 0.0647573000, 0.1814483000, 0.5110647000", \ - "-0.007037900, -0.004241400, 0.0032015000, 0.0213141000, 0.0647355000, 0.1803617000, 0.5145319000", \ - "-0.006897400, -0.004112200, 0.0033197000, 0.0213633000, 0.0647948000, 0.1803599000, 0.5114830000", \ - "-0.006929400, -0.004158600, 0.0032140000, 0.0212702000, 0.0646364000, 0.1801472000, 0.5135766000", \ - "-0.006998400, -0.004240200, 0.0031012000, 0.0210512000, 0.0643941000, 0.1800669000, 0.5144670000", \ - "-0.007135500, -0.004381400, 0.0029740000, 0.0209667000, 0.0642925000, 0.1807427000, 0.5112442000", \ - "-0.007399800, -0.004561300, 0.0029082000, 0.0210919000, 0.0645278000, 0.1798708000, 0.5145320000"); - } - } - max_capacitance : 0.3131200000; - max_transition : 1.5001250000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.3986607000, 0.4033885000, 0.4138998000, 0.4355383000, 0.4817410000, 0.5979814000, 0.9316996000", \ - "0.4033309000, 0.4079949000, 0.4185104000, 0.4401956000, 0.4863335000, 0.6027270000, 0.9365509000", \ - "0.4144808000, 0.4191456000, 0.4296809000, 0.4513834000, 0.4974975000, 0.6138666000, 0.9478055000", \ - "0.4352610000, 0.4399255000, 0.4504597000, 0.4721608000, 0.5183223000, 0.6346133000, 0.9682273000", \ - "0.4625613000, 0.4672214000, 0.4777906000, 0.4993452000, 0.5456155000, 0.6619199000, 0.9958596000", \ - "0.4934309000, 0.4980949000, 0.5086324000, 0.5303333000, 0.5763956000, 0.6927183000, 1.0264021000", \ - "0.5162827000, 0.5209666000, 0.5315200000, 0.5531512000, 0.5993707000, 0.7156373000, 1.0495573000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.3107031000, 0.3160290000, 0.3285954000, 0.3583986000, 0.4384469000, 0.6699907000, 1.3457059000", \ - "0.3157480000, 0.3210879000, 0.3335725000, 0.3633877000, 0.4434112000, 0.6746605000, 1.3510837000", \ - "0.3289298000, 0.3342298000, 0.3468104000, 0.3765205000, 0.4564285000, 0.6873509000, 1.3626474000", \ - "0.3600981000, 0.3654231000, 0.3779872000, 0.4078375000, 0.4877736000, 0.7186571000, 1.3949173000", \ - "0.4169800000, 0.4223242000, 0.4348943000, 0.4647429000, 0.5446705000, 0.7755381000, 1.4519369000", \ - "0.5059557000, 0.5112971000, 0.5238417000, 0.5535925000, 0.6334943000, 0.8644774000, 1.5407005000", \ - "0.6461397000, 0.6514708000, 0.6640164000, 0.6938695000, 0.7738058000, 1.0044628000, 1.6800692000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.0191106000, 0.0221303000, 0.0292921000, 0.0474648000, 0.0953118000, 0.2421617000, 0.6911591000", \ - "0.0190253000, 0.0222373000, 0.0296220000, 0.0473537000, 0.0955077000, 0.2432297000, 0.6902082000", \ - "0.0190801000, 0.0223581000, 0.0295373000, 0.0472686000, 0.0953808000, 0.2432281000, 0.6876080000", \ - "0.0190750000, 0.0223504000, 0.0295435000, 0.0472766000, 0.0952291000, 0.2417642000, 0.6856087000", \ - "0.0190961000, 0.0220164000, 0.0295456000, 0.0472239000, 0.0955374000, 0.2432174000, 0.6900599000", \ - "0.0190885000, 0.0223733000, 0.0293503000, 0.0468060000, 0.0956545000, 0.2426145000, 0.6923591000", \ - "0.0192094000, 0.0223932000, 0.0297040000, 0.0471669000, 0.0952125000, 0.2426740000, 0.6842345000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.0204202000, 0.0247174000, 0.0367954000, 0.0730258000, 0.1846520000, 0.5190021000, 1.4928249000", \ - "0.0204128000, 0.0246393000, 0.0367948000, 0.0728236000, 0.1841696000, 0.5174717000, 1.4954892000", \ - "0.0203143000, 0.0247236000, 0.0367622000, 0.0729121000, 0.1845801000, 0.5171097000, 1.4956143000", \ - "0.0204322000, 0.0247209000, 0.0368746000, 0.0729724000, 0.1844219000, 0.5179725000, 1.4968593000", \ - "0.0204058000, 0.0247833000, 0.0368744000, 0.0729523000, 0.1844223000, 0.5179795000, 1.4967719000", \ - "0.0202167000, 0.0245807000, 0.0368360000, 0.0727731000, 0.1845747000, 0.5179386000, 1.4913246000", \ - "0.0203159000, 0.0246464000, 0.0368078000, 0.0729514000, 0.1846795000, 0.5168421000, 1.4964295000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.4621998000, 0.4668292000, 0.4773082000, 0.4988899000, 0.5451640000, 0.6615030000, 0.9951026000", \ - "0.4671370000, 0.4718291000, 0.4823374000, 0.5040305000, 0.5501991000, 0.6665420000, 0.9993882000", \ - "0.4795104000, 0.4842019000, 0.4947123000, 0.5163418000, 0.5625002000, 0.6788263000, 1.0127705000", \ - "0.5105421000, 0.5152404000, 0.5257800000, 0.5473912000, 0.5935820000, 0.7099051000, 1.0428545000", \ - "0.5749544000, 0.5796124000, 0.5901188000, 0.6117362000, 0.6579374000, 0.7742534000, 1.1087500000", \ - "0.6786019000, 0.6833185000, 0.6938488000, 0.7154864000, 0.7616081000, 0.8779891000, 1.2116268000", \ - "0.8377883000, 0.8424701000, 0.8529866000, 0.8746159000, 0.9207610000, 1.0370375000, 1.3700409000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.3364264000, 0.3417312000, 0.3540460000, 0.3838587000, 0.4636377000, 0.6944012000, 1.3703411000", \ - "0.3412733000, 0.3466072000, 0.3591134000, 0.3889198000, 0.4687776000, 0.6995626000, 1.3756687000", \ - "0.3540246000, 0.3593637000, 0.3719336000, 0.4016352000, 0.4816980000, 0.7126695000, 1.3884658000", \ - "0.3846676000, 0.3900105000, 0.4024990000, 0.4323623000, 0.5123480000, 0.7431112000, 1.4180885000", \ - "0.4514769000, 0.4568106000, 0.4692292000, 0.4991016000, 0.5791358000, 0.8106075000, 1.4869249000", \ - "0.5638638000, 0.5691694000, 0.5816980000, 0.6115047000, 0.6913718000, 0.9222885000, 1.5977463000", \ - "0.7377459000, 0.7430747000, 0.7555465000, 0.7853868000, 0.8653335000, 1.0973937000, 1.7697710000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.0189790000, 0.0220672000, 0.0292327000, 0.0472560000, 0.0957736000, 0.2412744000, 0.6914124000", \ - "0.0190766000, 0.0221119000, 0.0295644000, 0.0472081000, 0.0954713000, 0.2427412000, 0.6858782000", \ - "0.0192883000, 0.0222711000, 0.0295550000, 0.0472513000, 0.0957721000, 0.2429274000, 0.6867475000", \ - "0.0190278000, 0.0220557000, 0.0293243000, 0.0474453000, 0.0954022000, 0.2419462000, 0.6886224000", \ - "0.0190769000, 0.0220792000, 0.0292663000, 0.0475417000, 0.0957298000, 0.2412963000, 0.6886344000", \ - "0.0191107000, 0.0222508000, 0.0293966000, 0.0472195000, 0.0951727000, 0.2428254000, 0.6861237000", \ - "0.0191013000, 0.0222548000, 0.0294822000, 0.0474651000, 0.0953808000, 0.2424329000, 0.6891602000"); - } - related_pin : "GATE_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.0203911000, 0.0247683000, 0.0369042000, 0.0728803000, 0.1846199000, 0.5172959000, 1.4913055000", \ - "0.0204319000, 0.0248384000, 0.0368742000, 0.0730351000, 0.1847379000, 0.5168622000, 1.4974416000", \ - "0.0202404000, 0.0247093000, 0.0368260000, 0.0727903000, 0.1846234000, 0.5187255000, 1.4950319000", \ - "0.0204505000, 0.0246877000, 0.0368907000, 0.0729331000, 0.1846823000, 0.5184235000, 1.5001246000", \ - "0.0202322000, 0.0247252000, 0.0369807000, 0.0728257000, 0.1844478000, 0.5177483000, 1.4933078000", \ - "0.0204532000, 0.0248563000, 0.0369322000, 0.0729149000, 0.1847465000, 0.5184945000, 1.4984978000", \ - "0.0202677000, 0.0247935000, 0.0369733000, 0.0729412000, 0.1844582000, 0.5176445000, 1.4990545000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - } - } - - cell ("sky130_fd_sc_hd__dlxbp_1") { - leakage_power () { - value : 0.0145768000; - when : "D&GATE&Q&!Q_N"; - } - leakage_power () { - value : 0.0099627000; - when : "!D&!GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0100419000; - when : "!D&GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0144827000; - when : "D&!GATE&Q&!Q_N"; - } - leakage_power () { - value : 0.0114223000; - when : "D&!GATE&!Q&Q_N"; - } - leakage_power () { - value : 0.0136483000; - when : "!D&!GATE&Q&!Q_N"; - } - area : 18.768000000; - cell_footprint : "sky130_fd_sc_hd__dlxbp"; - cell_leakage_power : 0.0123557900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - data_in : "D"; - enable : "GATE"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0018060000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080867000, 0.0079826000, 0.0077427000, 0.0078210000, 0.0080017000, 0.0084183000, 0.0093787000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0024151000, 0.0023187000, 0.0020964000, 0.0021619000, 0.0023129000, 0.0026611000, 0.0034638000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018970000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0398418000, 0.2003561000, 0.3692201000", \ - "-0.134100300, 0.0251934000, 0.1903952000", \ - "-0.311509100, -0.153436200, 0.0093242000"); - } - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2046367000, 0.2882467000, 0.3203919000", \ - "0.1124818000, 0.1948711000, 0.2270163000", \ - "0.0595846000, 0.1383119000, 0.1655742000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.027296900, -0.189031900, -0.359116500", \ - "0.1466452000, -0.015089800, -0.181512400", \ - "0.3252747000, 0.1647604000, 0.0007793000"); - } - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.190871100, -0.276922500, -0.309067700", \ - "-0.091391900, -0.176222700, -0.207147100", \ - "-0.003094400, -0.090366500, -0.121291000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE") { - capacitance : 0.0017560000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0173274000, 0.0172369000, 0.0170283000, 0.0170909000, 0.0172350000, 0.0175676000, 0.0183341000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0100738000, 0.0099809000, 0.0097668000, 0.0098013000, 0.0098807000, 0.0100641000, 0.0104869000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018330000; - timing () { - related_output_pin : "Q"; - related_pin : "GATE"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1862623000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("-0.001175100, 0.0027193000, 0.0097140000, 0.0163590000, 0.0084595000, -0.042621600, -0.198745500", \ - "-0.001134900, 0.0027463000, 0.0096971000, 0.0163369000, 0.0083725000, -0.042769200, -0.198896600", \ - "-0.001020700, 0.0028417000, 0.0097822000, 0.0163447000, 0.0083444000, -0.042794700, -0.198953000", \ - "-0.001064100, 0.0027993000, 0.0097313000, 0.0163034000, 0.0083290000, -0.042824000, -0.198969000", \ - "-0.001150000, 0.0027167000, 0.0096557000, 0.0162277000, 0.0082531000, -0.042897900, -0.199068300", \ - "-0.001347700, 0.0025373000, 0.0095072000, 0.0161369000, 0.0081882000, -0.042915100, -0.199041200", \ - "-0.001774700, 0.0021811000, 0.0093218000, 0.0161495000, 0.0084832000, -0.042441900, -0.198487600"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("-0.000107500, 0.0016139000, 0.0058703000, 0.0160981000, 0.0405018000, 0.1018425000, 0.2620111000", \ - "-7.28500e-05, 0.0016301000, 0.0058503000, 0.0160112000, 0.0404059000, 0.1019871000, 0.2629241000", \ - "2.540000e-05, 0.0017119000, 0.0058902000, 0.0160238000, 0.0404599000, 0.1016837000, 0.2620839000", \ - "-1.33500e-05, 0.0016647000, 0.0058363000, 0.0159366000, 0.0403080000, 0.1021842000, 0.2627540000", \ - "-9.39500e-05, 0.0015810000, 0.0057365000, 0.0158074000, 0.0400889000, 0.1014746000, 0.2620429000", \ - "-0.000249600, 0.0014453000, 0.0056477000, 0.0157941000, 0.0401166000, 0.1020749000, 0.2631286000", \ - "-0.000585200, 0.0012042000, 0.0055975000, 0.0160339000, 0.0405902000, 0.1026178000, 0.2612511000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("-0.002070000, 0.0019651000, 0.0092187000, 0.0162812000, 0.0087960000, -0.042000000, -0.197981900", \ - "-0.002033300, 0.0019711000, 0.0092031000, 0.0162247000, 0.0086984000, -0.042126500, -0.198096700", \ - "-0.001940800, 0.0020548000, 0.0092518000, 0.0162216000, 0.0086209000, -0.042268800, -0.198236500", \ - "-0.001973800, 0.0019964000, 0.0091472000, 0.0160326000, 0.0083826000, -0.042542000, -0.198542800", \ - "-0.002022100, 0.0019502000, 0.0090893000, 0.0159473000, 0.0082594000, -0.042645100, -0.198674200", \ - "-0.002106200, 0.0018624000, 0.0090145000, 0.0159044000, 0.0082401000, -0.042664300, -0.198672300", \ - "-0.002246800, 0.0018278000, 0.0091852000, 0.0163738000, 0.0090475000, -0.041864000, -0.197858500"); - } - related_pin : "GATE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("-0.003602400, -0.001408900, 0.0037897000, 0.0154503000, 0.0412921000, 0.1042877000, 0.2632626000", \ - "-0.003560900, -0.001374200, 0.0038135000, 0.0154530000, 0.0412795000, 0.1042570000, 0.2653408000", \ - "-0.003466800, -0.001296300, 0.0038528000, 0.0154276000, 0.0412078000, 0.1041558000, 0.2653342000", \ - "-0.003511500, -0.001381700, 0.0036923000, 0.0151608000, 0.0408157000, 0.1036800000, 0.2646256000", \ - "-0.003559900, -0.001441800, 0.0036074000, 0.0150306000, 0.0406243000, 0.1029755000, 0.2633263000", \ - "-0.003643700, -0.001516400, 0.0035507000, 0.0150036000, 0.0406584000, 0.1034600000, 0.2646184000", \ - "-0.003801400, -0.001593600, 0.0036315000, 0.0153093000, 0.0411294000, 0.1037983000, 0.2642040000"); - } - } - max_capacitance : 0.1611430000; - max_transition : 1.5074660000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2120791000, 0.2177621000, 0.2297887000, 0.2545351000, 0.3087580000, 0.4391578000, 0.7784307000", \ - "0.2170436000, 0.2227444000, 0.2347153000, 0.2595281000, 0.3137360000, 0.4441566000, 0.7834359000", \ - "0.2302489000, 0.2358795000, 0.2479144000, 0.2726724000, 0.3268743000, 0.4572888000, 0.7971787000", \ - "0.2614409000, 0.2671240000, 0.2791516000, 0.3038980000, 0.3581240000, 0.4885435000, 0.8278113000", \ - "0.3184823000, 0.3241641000, 0.3361942000, 0.3609389000, 0.4151648000, 0.5455791000, 0.8847898000", \ - "0.4075103000, 0.4131951000, 0.4252234000, 0.4499832000, 0.5042274000, 0.6346570000, 0.9739191000", \ - "0.5476012000, 0.5532956000, 0.5653253000, 0.5901180000, 0.6443877000, 0.7748326000, 1.1140777000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.3047463000, 0.3117914000, 0.3274092000, 0.3643049000, 0.4590757000, 0.7040982000, 1.3519876000", \ - "0.3092771000, 0.3162490000, 0.3319298000, 0.3688415000, 0.4636184000, 0.7085085000, 1.3518379000", \ - "0.3202407000, 0.3273763000, 0.3429994000, 0.3799493000, 0.4747296000, 0.7199488000, 1.3637640000", \ - "0.3412706000, 0.3482675000, 0.3639400000, 0.4008524000, 0.4956259000, 0.7412568000, 1.3839531000", \ - "0.3685246000, 0.3755119000, 0.3912181000, 0.4281380000, 0.5229088000, 0.7680317000, 1.4120271000", \ - "0.3999362000, 0.4069273000, 0.4225814000, 0.4594966000, 0.5542648000, 0.7999066000, 1.4411919000", \ - "0.4226898000, 0.4297523000, 0.4454911000, 0.4824447000, 0.5772682000, 0.8222334000, 1.4635321000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0199128000, 0.0245126000, 0.0344214000, 0.0589054000, 0.1206677000, 0.2870650000, 0.7434167000", \ - "0.0198585000, 0.0242924000, 0.0345177000, 0.0588071000, 0.1207861000, 0.2872745000, 0.7425930000", \ - "0.0198264000, 0.0241842000, 0.0344020000, 0.0587665000, 0.1205704000, 0.2873634000, 0.7374912000", \ - "0.0199083000, 0.0245123000, 0.0344201000, 0.0589003000, 0.1206852000, 0.2873648000, 0.7428524000", \ - "0.0199071000, 0.0245190000, 0.0344222000, 0.0588468000, 0.1206207000, 0.2872597000, 0.7426678000", \ - "0.0199586000, 0.0245243000, 0.0342992000, 0.0588432000, 0.1204141000, 0.2872773000, 0.7456442000", \ - "0.0199978000, 0.0245610000, 0.0345582000, 0.0588497000, 0.1205696000, 0.2873537000, 0.7351411000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0261353000, 0.0325858000, 0.0496858000, 0.0990702000, 0.2317684000, 0.5801032000, 1.5045303000", \ - "0.0262079000, 0.0325930000, 0.0496549000, 0.0990744000, 0.2316366000, 0.5810051000, 1.5021527000", \ - "0.0261895000, 0.0327027000, 0.0497262000, 0.0991214000, 0.2314535000, 0.5815670000, 1.5025549000", \ - "0.0262096000, 0.0325874000, 0.0496394000, 0.0990606000, 0.2315582000, 0.5816505000, 1.5062723000", \ - "0.0261675000, 0.0325887000, 0.0496794000, 0.0990917000, 0.2314046000, 0.5818943000, 1.5010806000", \ - "0.0260998000, 0.0325554000, 0.0497195000, 0.0990725000, 0.2313233000, 0.5814080000, 1.5074656000", \ - "0.0263516000, 0.0325921000, 0.0497707000, 0.0990781000, 0.2316238000, 0.5802631000, 1.5001443000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2586902000, 0.2644426000, 0.2765564000, 0.3014530000, 0.3557282000, 0.4861933000, 0.8261172000", \ - "0.2633739000, 0.2691329000, 0.2812911000, 0.3061602000, 0.3604377000, 0.4909164000, 0.8302192000", \ - "0.2743011000, 0.2800213000, 0.2921522000, 0.3170651000, 0.3713392000, 0.5018099000, 0.8409859000", \ - "0.2987645000, 0.3045140000, 0.3166327000, 0.3415301000, 0.3958072000, 0.5262683000, 0.8654978000", \ - "0.3366825000, 0.3424386000, 0.3545750000, 0.3794547000, 0.4337368000, 0.5642068000, 0.9034020000", \ - "0.3885373000, 0.3942838000, 0.4064123000, 0.4312894000, 0.4855973000, 0.6160552000, 0.9550519000", \ - "0.4443464000, 0.4500727000, 0.4622043000, 0.4871167000, 0.5413875000, 0.6718531000, 1.0117327000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2875609000, 0.2945552000, 0.3103520000, 0.3473412000, 0.4421213000, 0.6876534000, 1.3297321000", \ - "0.2922012000, 0.2992432000, 0.3150058000, 0.3519946000, 0.4467814000, 0.6921484000, 1.3361920000", \ - "0.3028584000, 0.3098677000, 0.3256616000, 0.3626467000, 0.4574136000, 0.7029879000, 1.3456030000", \ - "0.3266799000, 0.3336868000, 0.3494827000, 0.3864697000, 0.4812532000, 0.7266215000, 1.3714833000", \ - "0.3661454000, 0.3731634000, 0.3889566000, 0.4259463000, 0.5207200000, 0.7659131000, 1.4101159000", \ - "0.4211171000, 0.4281407000, 0.4439245000, 0.4809070000, 0.5756812000, 0.8211295000, 1.4656909000", \ - "0.4890274000, 0.4960791000, 0.5118559000, 0.5488623000, 0.6436604000, 0.8890370000, 1.5311208000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0203792000, 0.0245708000, 0.0344361000, 0.0589639000, 0.1208279000, 0.2873508000, 0.7444091000", \ - "0.0203623000, 0.0246894000, 0.0348171000, 0.0589504000, 0.1208610000, 0.2875084000, 0.7443789000", \ - "0.0202439000, 0.0245507000, 0.0344858000, 0.0590381000, 0.1208577000, 0.2871712000, 0.7398747000", \ - "0.0203757000, 0.0244724000, 0.0344366000, 0.0589724000, 0.1208628000, 0.2874791000, 0.7478455000", \ - "0.0203551000, 0.0247512000, 0.0347130000, 0.0590589000, 0.1207427000, 0.2877510000, 0.7415692000", \ - "0.0203555000, 0.0244859000, 0.0344710000, 0.0588802000, 0.1205034000, 0.2874239000, 0.7432659000", \ - "0.0201994000, 0.0244897000, 0.0344681000, 0.0589880000, 0.1206151000, 0.2873928000, 0.7371770000"); - } - related_pin : "GATE"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0258693000, 0.0324653000, 0.0497846000, 0.0990940000, 0.2315058000, 0.5803679000, 1.4997974000", \ - "0.0258986000, 0.0325004000, 0.0497821000, 0.0990913000, 0.2315909000, 0.5816599000, 1.5053906000", \ - "0.0258655000, 0.0324610000, 0.0498082000, 0.0990752000, 0.2315434000, 0.5811913000, 1.5062608000", \ - "0.0258710000, 0.0324679000, 0.0497913000, 0.0990849000, 0.2315949000, 0.5816597000, 1.5021470000", \ - "0.0259007000, 0.0324014000, 0.0497503000, 0.0992339000, 0.2316025000, 0.5819367000, 1.4996739000", \ - "0.0259883000, 0.0325204000, 0.0498399000, 0.0991140000, 0.2316407000, 0.5816521000, 1.5027830000", \ - "0.0259537000, 0.0325509000, 0.0497578000, 0.0990957000, 0.2317280000, 0.5822396000, 1.4988513000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("0.0012832000, 0.0046956000, 0.0107907000, 0.0161007000, 0.0063495000, -0.048198700, -0.212865700", \ - "0.0013182000, 0.0047145000, 0.0107674000, 0.0160097000, 0.0061738000, -0.048413300, -0.213084900", \ - "0.0014234000, 0.0048073000, 0.0108226000, 0.0160234000, 0.0061391000, -0.048451300, -0.213139500", \ - "0.0013803000, 0.0047465000, 0.0107504000, 0.0159369000, 0.0060162000, -0.048623000, -0.213322800", \ - "0.0012983000, 0.0046656000, 0.0106567000, 0.0158058000, 0.0058734000, -0.048770300, -0.213468200", \ - "0.0011389000, 0.0045224000, 0.0105623000, 0.0157771000, 0.0059219000, -0.048666300, -0.213351000", \ - "0.0008072000, 0.0042907000, 0.0105366000, 0.0160576000, 0.0064048000, -0.048164700, -0.212780400"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.002671800, -0.000533700, 0.0046264000, 0.0163576000, 0.0431593000, 0.1087789000, 0.2765262000", \ - "-0.002630400, -0.000507900, 0.0046284000, 0.0163410000, 0.0430775000, 0.1086960000, 0.2763927000", \ - "-0.002517800, -0.000407900, 0.0047077000, 0.0163524000, 0.0430360000, 0.1077432000, 0.2777406000", \ - "-0.002559000, -0.000450700, 0.0046470000, 0.0163017000, 0.0428288000, 0.1084175000, 0.2778490000", \ - "-0.002646100, -0.000534000, 0.0045823000, 0.0162295000, 0.0429556000, 0.1085204000, 0.2762571000", \ - "-0.002843700, -0.000717300, 0.0044326000, 0.0161299000, 0.0427418000, 0.1084234000, 0.2764420000", \ - "-0.003269800, -0.001056300, 0.0042192000, 0.0161632000, 0.0429946000, 0.1084979000, 0.2777030000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.002218300, 0.0016628000, 0.0087010000, 0.0154313000, 0.0071116000, -0.046506300, -0.210698800", \ - "-0.002177300, 0.0016947000, 0.0087160000, 0.0154414000, 0.0070748000, -0.046554900, -0.210744900", \ - "-0.002081400, 0.0017793000, 0.0087671000, 0.0154446000, 0.0070462000, -0.046605800, -0.210837300", \ - "-0.002121900, 0.0016952000, 0.0086167000, 0.0151736000, 0.0066735000, -0.047053900, -0.211298700", \ - "-0.002175900, 0.0016278000, 0.0085166000, 0.0150194000, 0.0064600000, -0.047311600, -0.211540000", \ - "-0.002263800, 0.0015462000, 0.0084423000, 0.0149644000, 0.0064014000, -0.047345000, -0.211593800", \ - "-0.002413400, 0.0014861000, 0.0085606000, 0.0153356000, 0.0069517000, -0.046783100, -0.210961800"); - } - related_pin : "GATE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.003568000, -0.001294100, 0.0041487000, 0.0162755000, 0.0433496000, 0.1089129000, 0.2789259000", \ - "-0.003528300, -0.001262900, 0.0041634000, 0.0163000000, 0.0432748000, 0.1088116000, 0.2787793000", \ - "-0.003439900, -0.001200100, 0.0041735000, 0.0162108000, 0.0433436000, 0.1093418000, 0.2769974000", \ - "-0.003471700, -0.001252500, 0.0040829000, 0.0160672000, 0.0429069000, 0.1089257000, 0.2784022000", \ - "-0.003518900, -0.001310300, 0.0040022000, 0.0159284000, 0.0429180000, 0.1078975000, 0.2766592000", \ - "-0.003602800, -0.001387800, 0.0039354000, 0.0159247000, 0.0427735000, 0.1081122000, 0.2779891000", \ - "-0.003749700, -0.001436400, 0.0040564000, 0.0163233000, 0.0432803000, 0.1091118000, 0.2764733000"); - } - } - max_capacitance : 0.1684670000; - max_transition : 1.5032770000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3558338000, 0.3609688000, 0.3719355000, 0.3948181000, 0.4469576000, 0.5804257000, 0.9330887000", \ - "0.3603808000, 0.3655264000, 0.3764662000, 0.3993738000, 0.4514800000, 0.5850058000, 0.9373274000", \ - "0.3714753000, 0.3765817000, 0.3875171000, 0.4104137000, 0.4625415000, 0.5960968000, 0.9486895000", \ - "0.3923952000, 0.3975444000, 0.4084819000, 0.4313854000, 0.4835277000, 0.6169901000, 0.9699787000", \ - "0.4196942000, 0.4248407000, 0.4357802000, 0.4586869000, 0.5107947000, 0.6443208000, 0.9966384000", \ - "0.4508874000, 0.4560408000, 0.4669920000, 0.4898508000, 0.5419193000, 0.6753933000, 1.0279739000", \ - "0.4740673000, 0.4792229000, 0.4901562000, 0.5130460000, 0.5651838000, 0.6986404000, 1.0510678000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.2657219000, 0.2718930000, 0.2863550000, 0.3220115000, 0.4147978000, 0.6588499000, 1.3035277000", \ - "0.2706473000, 0.2768649000, 0.2913750000, 0.3270895000, 0.4200710000, 0.6636291000, 1.3085600000", \ - "0.2838331000, 0.2900247000, 0.3045334000, 0.3402033000, 0.4329218000, 0.6780420000, 1.3204953000", \ - "0.3150836000, 0.3212563000, 0.3357508000, 0.3713688000, 0.4642434000, 0.7089069000, 1.3520109000", \ - "0.3721222000, 0.3782993000, 0.3927960000, 0.4284073000, 0.5211918000, 0.7650948000, 1.4099527000", \ - "0.4611470000, 0.4673093000, 0.4817235000, 0.5174421000, 0.6100808000, 0.8545201000, 1.4983680000", \ - "0.6011825000, 0.6073794000, 0.6218502000, 0.6575684000, 0.7502484000, 0.9945591000, 1.6386342000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0165336000, 0.0207118000, 0.0302523000, 0.0538208000, 0.1179258000, 0.2941122000, 0.7700451000", \ - "0.0164632000, 0.0207767000, 0.0303356000, 0.0538031000, 0.1176789000, 0.2940512000, 0.7663593000", \ - "0.0165896000, 0.0207107000, 0.0300549000, 0.0539295000, 0.1179203000, 0.2934471000, 0.7663697000", \ - "0.0164942000, 0.0207914000, 0.0303319000, 0.0537773000, 0.1181194000, 0.2944585000, 0.7682948000", \ - "0.0164651000, 0.0207796000, 0.0303351000, 0.0537987000, 0.1176874000, 0.2940589000, 0.7694055000", \ - "0.0167597000, 0.0206362000, 0.0302787000, 0.0539233000, 0.1176820000, 0.2937680000, 0.7695459000", \ - "0.0164846000, 0.0208252000, 0.0303252000, 0.0538380000, 0.1175811000, 0.2942624000, 0.7590933000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0201669000, 0.0267428000, 0.0444719000, 0.0935164000, 0.2259131000, 0.5776842000, 1.4984538000", \ - "0.0202096000, 0.0268087000, 0.0444986000, 0.0933932000, 0.2260018000, 0.5779621000, 1.4970022000", \ - "0.0201324000, 0.0267425000, 0.0445908000, 0.0935867000, 0.2255527000, 0.5740567000, 1.5008580000", \ - "0.0201657000, 0.0267425000, 0.0444967000, 0.0935343000, 0.2254826000, 0.5760492000, 1.4974205000", \ - "0.0201298000, 0.0267010000, 0.0444954000, 0.0935574000, 0.2258277000, 0.5779115000, 1.4988460000", \ - "0.0201508000, 0.0267328000, 0.0444756000, 0.0935606000, 0.2258184000, 0.5767411000, 1.4956849000", \ - "0.0201437000, 0.0267521000, 0.0446046000, 0.0935940000, 0.2256396000, 0.5753731000, 1.4969269000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3387732000, 0.3438801000, 0.3548062000, 0.3777218000, 0.4298936000, 0.5633722000, 0.9157017000", \ - "0.3433381000, 0.3484943000, 0.3594111000, 0.3822851000, 0.4344797000, 0.5679167000, 0.9203643000", \ - "0.3541671000, 0.3593232000, 0.3702394000, 0.3931127000, 0.4452893000, 0.5788200000, 0.9316101000", \ - "0.3779376000, 0.3830885000, 0.3940149000, 0.4168942000, 0.4690395000, 0.6025577000, 0.9554720000", \ - "0.4174394000, 0.4226019000, 0.4335046000, 0.4564182000, 0.5085468000, 0.6421442000, 0.9949757000", \ - "0.4721761000, 0.4773088000, 0.4882360000, 0.5111468000, 0.5632757000, 0.6966513000, 1.0491338000", \ - "0.5403851000, 0.5455298000, 0.5564741000, 0.5792649000, 0.6314405000, 0.7648122000, 1.1188505000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3125596000, 0.3187247000, 0.3332797000, 0.3689246000, 0.4618448000, 0.7066780000, 1.3517523000", \ - "0.3171492000, 0.3233180000, 0.3378924000, 0.3735759000, 0.4663016000, 0.7120594000, 1.3572842000", \ - "0.3283342000, 0.3345101000, 0.3490893000, 0.3847763000, 0.4774995000, 0.7216266000, 1.3658877000", \ - "0.3526743000, 0.3588737000, 0.3734469000, 0.4091760000, 0.5016721000, 0.7464673000, 1.3905522000", \ - "0.3911507000, 0.3973840000, 0.4118887000, 0.4476416000, 0.5400503000, 0.7841940000, 1.4290681000", \ - "0.4421245000, 0.4482441000, 0.4627707000, 0.4985136000, 0.5909925000, 0.8362120000, 1.4798535000", \ - "0.4986337000, 0.5048331000, 0.5192539000, 0.5548231000, 0.6476852000, 0.8917823000, 1.5345109000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0165843000, 0.0206113000, 0.0301571000, 0.0536738000, 0.1178237000, 0.2938710000, 0.7657884000", \ - "0.0165064000, 0.0206252000, 0.0302146000, 0.0535519000, 0.1179501000, 0.2940845000, 0.7684047000", \ - "0.0165086000, 0.0206261000, 0.0302101000, 0.0537096000, 0.1176136000, 0.2942976000, 0.7697434000", \ - "0.0164745000, 0.0206019000, 0.0302439000, 0.0538197000, 0.1174422000, 0.2942866000, 0.7628949000", \ - "0.0167030000, 0.0206150000, 0.0301422000, 0.0538337000, 0.1172889000, 0.2941520000, 0.7694416000", \ - "0.0165157000, 0.0206166000, 0.0302564000, 0.0537245000, 0.1180147000, 0.2933041000, 0.7681051000", \ - "0.0166886000, 0.0205608000, 0.0302773000, 0.0538871000, 0.1179726000, 0.2933681000, 0.7643448000"); - } - related_pin : "GATE"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0201832000, 0.0267718000, 0.0446230000, 0.0935714000, 0.2253017000, 0.5765900000, 1.5002466000", \ - "0.0202526000, 0.0268101000, 0.0447501000, 0.0935417000, 0.2260135000, 0.5766368000, 1.5000331000", \ - "0.0202370000, 0.0268478000, 0.0445779000, 0.0934849000, 0.2258785000, 0.5775495000, 1.5009614000", \ - "0.0202809000, 0.0268453000, 0.0446084000, 0.0934516000, 0.2258849000, 0.5759488000, 1.5007370000", \ - "0.0202008000, 0.0268398000, 0.0444981000, 0.0935200000, 0.2255396000, 0.5751909000, 1.4997790000", \ - "0.0201456000, 0.0268373000, 0.0445361000, 0.0936114000, 0.2257202000, 0.5773994000, 1.5032772000", \ - "0.0201715000, 0.0267447000, 0.0445145000, 0.0935931000, 0.2253976000, 0.5749256000, 1.4976067000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - } - - cell ("sky130_fd_sc_hd__dlxtn_1") { - leakage_power () { - value : 0.0085323000; - when : "D&!GATE_N&Q"; - } - leakage_power () { - value : 0.0075450000; - when : "!D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0076240000; - when : "!D&!GATE_N&!Q"; - } - leakage_power () { - value : 0.0084382000; - when : "D&GATE_N&Q"; - } - leakage_power () { - value : 0.0090037000; - when : "D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0076041000; - when : "!D&GATE_N&Q"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__dlxtn"; - cell_leakage_power : 0.0081245310; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - data_in : "D"; - enable : "!GATE_N"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017970000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078402000, 0.0077356000, 0.0074945000, 0.0075723000, 0.0077516000, 0.0081650000, 0.0091179000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027038000, 0.0025998000, 0.0023602000, 0.0024193000, 0.0025555000, 0.0028693000, 0.0035928000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018890000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1094219000, 0.2699362000, 0.4400208000", \ - "0.0746400000, 0.2327129000, 0.3991354000", \ - "0.0974264000, 0.2469544000, 0.4097148000"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1594707000, 0.2443014000, 0.2764466000", \ - "0.0429017000, 0.1277324000, 0.1598776000", \ - "-0.025864600, 0.0589661000, 0.0911113000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.096877000, -0.259832700, -0.432358700", \ - "-0.051108700, -0.212843800, -0.382928400", \ - "-0.050701800, -0.211216100, -0.380080100"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.149367200, -0.234197900, -0.267563800", \ - "-0.034018900, -0.118849600, -0.150994800", \ - "0.0359681000, -0.050083300, -0.082228500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE_N") { - capacitance : 0.0017450000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016650000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0174337000, 0.0173064000, 0.0170130000, 0.0170672000, 0.0171922000, 0.0174802000, 0.0181443000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0104621000, 0.0103328000, 0.0100349000, 0.0100801000, 0.0101843000, 0.0104243000, 0.0109779000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018240000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1390263000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "GATE_N"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0194427000, 0.0185018000, 0.0157110000, 0.0072134000, -0.016287900, -0.078214100, -0.240538100", \ - "0.0193613000, 0.0184013000, 0.0156379000, 0.0071405000, -0.016378700, -0.078299900, -0.240627400", \ - "0.0194416000, 0.0184853000, 0.0157203000, 0.0072065000, -0.016295400, -0.078217600, -0.240579300", \ - "0.0193294000, 0.0183498000, 0.0155876000, 0.0070911000, -0.016424000, -0.078348000, -0.240671800", \ - "0.0191249000, 0.0181865000, 0.0154174000, 0.0069142000, -0.016589200, -0.078518900, -0.240868700", \ - "0.0199591000, 0.0187432000, 0.0153932000, 0.0066971000, -0.016683400, -0.078596600, -0.240942700", \ - "0.0202932000, 0.0190979000, 0.0157550000, 0.0068691000, -0.016743100, -0.078497700, -0.240815400"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0198493000, 0.0214447000, 0.0252664000, 0.0344803000, 0.0580441000, 0.1194868000, 0.2808959000", \ - "0.0195915000, 0.0211771000, 0.0250548000, 0.0343613000, 0.0576939000, 0.1193281000, 0.2793952000", \ - "0.0197569000, 0.0213511000, 0.0251850000, 0.0343855000, 0.0577391000, 0.1193748000, 0.2803431000", \ - "0.0194685000, 0.0210889000, 0.0249856000, 0.0342127000, 0.0575671000, 0.1185320000, 0.2792915000", \ - "0.0192804000, 0.0208873000, 0.0247619000, 0.0339777000, 0.0572786000, 0.1182703000, 0.2793517000", \ - "0.0199776000, 0.0212543000, 0.0247897000, 0.0341466000, 0.0573642000, 0.1184286000, 0.2793541000", \ - "0.0207791000, 0.0221239000, 0.0255945000, 0.0346121000, 0.0579078000, 0.1192914000, 0.2785218000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0179207000, 0.0169453000, 0.0142031000, 0.0057122000, -0.017767900, -0.079672300, -0.242019700", \ - "0.0179667000, 0.0170172000, 0.0142508000, 0.0057701000, -0.017713700, -0.079621200, -0.241974300", \ - "0.0180552000, 0.0170850000, 0.0143327000, 0.0058457000, -0.017633800, -0.079551200, -0.241860300", \ - "0.0178089000, 0.0168445000, 0.0140734000, 0.0056015000, -0.017888100, -0.079804400, -0.242139000", \ - "0.0174822000, 0.0164910000, 0.0137383000, 0.0052570000, -0.018219400, -0.080129800, -0.242458100", \ - "0.0170820000, 0.0159988000, 0.0133743000, 0.0050757000, -0.018395500, -0.080298800, -0.242624400", \ - "0.0186768000, 0.0174287000, 0.0141052000, 0.0052197000, -0.018354500, -0.080042400, -0.242372100"); - } - related_pin : "GATE_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0192207000, 0.0208136000, 0.0247063000, 0.0339518000, 0.0573036000, 0.1182086000, 0.2792245000", \ - "0.0191399000, 0.0207262000, 0.0246340000, 0.0339374000, 0.0572832000, 0.1187637000, 0.2789263000", \ - "0.0192406000, 0.0208378000, 0.0247187000, 0.0339815000, 0.0573619000, 0.1188617000, 0.2801942000", \ - "0.0191115000, 0.0206930000, 0.0245790000, 0.0338439000, 0.0571809000, 0.1187230000, 0.2798466000", \ - "0.0188161000, 0.0204197000, 0.0243074000, 0.0336275000, 0.0569139000, 0.1185536000, 0.2798209000", \ - "0.0186896000, 0.0202746000, 0.0241575000, 0.0334022000, 0.0567682000, 0.1184161000, 0.2798004000", \ - "0.0198298000, 0.0211732000, 0.0246620000, 0.0336993000, 0.0571293000, 0.1179868000, 0.2790670000"); - } - } - max_capacitance : 0.1620580000; - max_transition : 1.4987460000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.1977406000, 0.2029710000, 0.2139151000, 0.2366300000, 0.2873523000, 0.4152215000, 0.7501225000", \ - "0.2026872000, 0.2078935000, 0.2189108000, 0.2416028000, 0.2923631000, 0.4199729000, 0.7549407000", \ - "0.2158896000, 0.2210973000, 0.2320918000, 0.2547701000, 0.3055477000, 0.4332680000, 0.7671955000", \ - "0.2471454000, 0.2523324000, 0.2633652000, 0.2860300000, 0.3368027000, 0.4644545000, 0.7996424000", \ - "0.3041243000, 0.3093419000, 0.3203162000, 0.3430318000, 0.3938077000, 0.5215668000, 0.8554464000", \ - "0.3932923000, 0.3985302000, 0.4095237000, 0.4322085000, 0.4830111000, 0.6104779000, 0.9456652000", \ - "0.5335674000, 0.5387875000, 0.5498201000, 0.5725722000, 0.6233807000, 0.7510207000, 1.0858879000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.2921861000, 0.2989597000, 0.3142699000, 0.3507253000, 0.4443991000, 0.6880339000, 1.3275501000", \ - "0.2965749000, 0.3035177000, 0.3189064000, 0.3554778000, 0.4490940000, 0.6928971000, 1.3334696000", \ - "0.3079650000, 0.3147597000, 0.3300657000, 0.3665316000, 0.4601078000, 0.7042761000, 1.3462291000", \ - "0.3287028000, 0.3355287000, 0.3509532000, 0.3875001000, 0.4808771000, 0.7249960000, 1.3652602000", \ - "0.3562342000, 0.3630836000, 0.3784681000, 0.4149837000, 0.5085998000, 0.7523037000, 1.3935374000", \ - "0.3872010000, 0.3937884000, 0.4092731000, 0.4458207000, 0.5392644000, 0.7834162000, 1.4242572000", \ - "0.4105344000, 0.4173810000, 0.4327745000, 0.4693564000, 0.5629273000, 0.8066080000, 1.4448049000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0165408000, 0.0207315000, 0.0300717000, 0.0531143000, 0.1141008000, 0.2823709000, 0.7305135000", \ - "0.0165219000, 0.0206894000, 0.0302187000, 0.0531655000, 0.1142728000, 0.2844138000, 0.7248920000", \ - "0.0165768000, 0.0207237000, 0.0302987000, 0.0532858000, 0.1143878000, 0.2824837000, 0.7257622000", \ - "0.0164718000, 0.0207949000, 0.0301533000, 0.0531699000, 0.1141895000, 0.2840460000, 0.7312637000", \ - "0.0165544000, 0.0207184000, 0.0302886000, 0.0532651000, 0.1145098000, 0.2828697000, 0.7289043000", \ - "0.0166596000, 0.0207361000, 0.0301304000, 0.0530895000, 0.1137211000, 0.2824451000, 0.7322187000", \ - "0.0166241000, 0.0208055000, 0.0303206000, 0.0532402000, 0.1142719000, 0.2843333000, 0.7210388000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0235216000, 0.0298754000, 0.0472344000, 0.0960146000, 0.2277257000, 0.5762649000, 1.4981640000", \ - "0.0235797000, 0.0301232000, 0.0471474000, 0.0960776000, 0.2273207000, 0.5762771000, 1.4987457000", \ - "0.0235292000, 0.0298468000, 0.0472283000, 0.0960335000, 0.2273280000, 0.5749948000, 1.4920184000", \ - "0.0236256000, 0.0299725000, 0.0471881000, 0.0957713000, 0.2278105000, 0.5754154000, 1.4929938000", \ - "0.0234564000, 0.0298341000, 0.0472192000, 0.0960111000, 0.2275768000, 0.5763014000, 1.4905256000", \ - "0.0235229000, 0.0301039000, 0.0472899000, 0.0958471000, 0.2271449000, 0.5760445000, 1.4922248000", \ - "0.0236710000, 0.0298418000, 0.0472342000, 0.0960094000, 0.2276230000, 0.5758365000, 1.4904025000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.2235184000, 0.2288363000, 0.2399584000, 0.2627877000, 0.3136133000, 0.4413160000, 0.7749361000", \ - "0.2284364000, 0.2337396000, 0.2448348000, 0.2676752000, 0.3185075000, 0.4461173000, 0.7813942000", \ - "0.2411763000, 0.2464985000, 0.2576266000, 0.2804439000, 0.3312502000, 0.4588202000, 0.7941459000", \ - "0.2718841000, 0.2771880000, 0.2882735000, 0.3111064000, 0.3619804000, 0.4897526000, 0.8236034000", \ - "0.3384165000, 0.3437090000, 0.3548064000, 0.3776471000, 0.4284899000, 0.5560998000, 0.8904711000", \ - "0.4514674000, 0.4567998000, 0.4679305000, 0.4908160000, 0.5416680000, 0.6694449000, 1.0044237000", \ - "0.6257254000, 0.6310861000, 0.6423139000, 0.6652837000, 0.7162544000, 0.8439395000, 1.1774800000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.3558313000, 0.3627347000, 0.3781630000, 0.4148892000, 0.5084299000, 0.7524096000, 1.3925379000", \ - "0.3606996000, 0.3675758000, 0.3830263000, 0.4197361000, 0.5131250000, 0.7571747000, 1.3980729000", \ - "0.3733986000, 0.3803018000, 0.3957305000, 0.4324128000, 0.5260574000, 0.7694291000, 1.4132312000", \ - "0.4045115000, 0.4114193000, 0.4268727000, 0.4634562000, 0.5571565000, 0.8007912000, 1.4415891000", \ - "0.4687960000, 0.4756546000, 0.4911367000, 0.5278167000, 0.6214035000, 0.8650038000, 1.5073537000", \ - "0.5728787000, 0.5797529000, 0.5952243000, 0.6318397000, 0.7255596000, 0.9692701000, 1.6101026000", \ - "0.7326860000, 0.7395384000, 0.7550027000, 0.7916979000, 0.8851689000, 1.1291469000, 1.7686840000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0170946000, 0.0211233000, 0.0306130000, 0.0534920000, 0.1144274000, 0.2819626000, 0.7290630000", \ - "0.0170188000, 0.0210081000, 0.0305878000, 0.0535012000, 0.1144299000, 0.2851742000, 0.7329924000", \ - "0.0171071000, 0.0211018000, 0.0306585000, 0.0534509000, 0.1144079000, 0.2822488000, 0.7312548000", \ - "0.0169635000, 0.0210305000, 0.0305748000, 0.0535739000, 0.1147390000, 0.2834370000, 0.7274024000", \ - "0.0170045000, 0.0211518000, 0.0305670000, 0.0535697000, 0.1143493000, 0.2818290000, 0.7265917000", \ - "0.0172291000, 0.0211588000, 0.0305672000, 0.0535461000, 0.1140499000, 0.2844448000, 0.7319950000", \ - "0.0174525000, 0.0215613000, 0.0308684000, 0.0534563000, 0.1145851000, 0.2836060000, 0.7225581000"); - } - related_pin : "GATE_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0233765000, 0.0299856000, 0.0473791000, 0.0960690000, 0.2277941000, 0.5754618000, 1.4910270000", \ - "0.0233331000, 0.0298347000, 0.0473508000, 0.0959204000, 0.2277172000, 0.5761531000, 1.4909562000", \ - "0.0233778000, 0.0299851000, 0.0473067000, 0.0959104000, 0.2278536000, 0.5757532000, 1.4950654000", \ - "0.0233878000, 0.0299915000, 0.0472935000, 0.0959467000, 0.2277667000, 0.5760465000, 1.4909501000", \ - "0.0233914000, 0.0299008000, 0.0472509000, 0.0959986000, 0.2275038000, 0.5760593000, 1.4927251000", \ - "0.0233840000, 0.0298768000, 0.0472551000, 0.0959432000, 0.2275976000, 0.5758924000, 1.4955789000", \ - "0.0233959000, 0.0298558000, 0.0472895000, 0.0958688000, 0.2277220000, 0.5748063000, 1.4916702000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - } - } - - cell ("sky130_fd_sc_hd__dlxtn_2") { - leakage_power () { - value : 0.0081072000; - when : "D&!GATE_N&Q"; - } - leakage_power () { - value : 0.0081600000; - when : "!D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0082391000; - when : "!D&!GATE_N&!Q"; - } - leakage_power () { - value : 0.0080127000; - when : "D&GATE_N&Q"; - } - leakage_power () { - value : 0.0096093000; - when : "D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0071863000; - when : "!D&GATE_N&Q"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__dlxtn"; - cell_leakage_power : 0.0082190830; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - data_in : "D"; - enable : "!GATE_N"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017880000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016960000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078002000, 0.0076953000, 0.0074535000, 0.0075281000, 0.0077000000, 0.0080964000, 0.0090101000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027046000, 0.0025991000, 0.0023559000, 0.0024120000, 0.0025415000, 0.0028398000, 0.0035273000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018800000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1179668000, 0.2772604000, 0.4473450000", \ - "0.0807435000, 0.2375957000, 0.4040182000", \ - "0.1071921000, 0.2542786000, 0.4158184000"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1741191000, 0.2577292000, 0.2898743000", \ - "0.0575501000, 0.1399395000, 0.1720846000", \ - "-0.013657600, 0.0699525000, 0.1020977000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.101759800, -0.264715500, -0.437241500", \ - "-0.055991500, -0.217726600, -0.387811200", \ - "-0.056805300, -0.216099000, -0.386183600"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.159132800, -0.243963600, -0.277329400", \ - "-0.042563800, -0.127394500, -0.160760400", \ - "0.0274232000, -0.058628300, -0.090773400"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE_N") { - capacitance : 0.0017450000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0172260000, 0.0171026000, 0.0168182000, 0.0168699000, 0.0169889000, 0.0172633000, 0.0178959000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0103317000, 0.0102005000, 0.0098980000, 0.0099419000, 0.0100429000, 0.0102756000, 0.0108122000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018250000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1467159000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "GATE_N"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014552770, 0.0042356610, 0.0123281200, 0.0358816500, 0.1044354000, 0.3039650000"); - values("0.0231537000, 0.0217908000, 0.0180865000, 0.0064502000, -0.030933800, -0.141813500, -0.464986900", \ - "0.0230832000, 0.0216953000, 0.0179965000, 0.0063487000, -0.031043100, -0.141900400, -0.465018900", \ - "0.0231523000, 0.0217892000, 0.0180633000, 0.0064326000, -0.030963200, -0.141837600, -0.464974500", \ - "0.0229973000, 0.0216323000, 0.0179363000, 0.0063143000, -0.031082300, -0.141951100, -0.465132600", \ - "0.0229176000, 0.0215280000, 0.0178369000, 0.0062421000, -0.031178900, -0.142050100, -0.465230900", \ - "0.0239031000, 0.0224489000, 0.0181833000, 0.0058786000, -0.031352800, -0.142205000, -0.465397500", \ - "0.0248764000, 0.0234546000, 0.0192047000, 0.0065461000, -0.031283800, -0.142166600, -0.465212200"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014552770, 0.0042356610, 0.0123281200, 0.0358816500, 0.1044354000, 0.3039650000"); - values("0.0251493000, 0.0269226000, 0.0318645000, 0.0454240000, 0.0834342000, 0.1928112000, 0.5150462000", \ - "0.0250866000, 0.0268058000, 0.0316920000, 0.0452922000, 0.0832265000, 0.1926102000, 0.5156674000", \ - "0.0251189000, 0.0268818000, 0.0317167000, 0.0452929000, 0.0832551000, 0.1928790000, 0.5149064000", \ - "0.0248642000, 0.0265838000, 0.0314572000, 0.0449870000, 0.0831747000, 0.1931395000, 0.5145192000", \ - "0.0246090000, 0.0263619000, 0.0313596000, 0.0449167000, 0.0828419000, 0.1923224000, 0.5147837000", \ - "0.0252318000, 0.0267693000, 0.0313264000, 0.0449544000, 0.0827346000, 0.1932402000, 0.5120162000", \ - "0.0261669000, 0.0278335000, 0.0324237000, 0.0456721000, 0.0837644000, 0.1935784000, 0.5140111000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014552770, 0.0042356610, 0.0123281200, 0.0358816500, 0.1044354000, 0.3039650000"); - values("0.0217687000, 0.0204162000, 0.0166636000, 0.0050929000, -0.032297100, -0.143168300, -0.466336500", \ - "0.0217826000, 0.0204233000, 0.0167362000, 0.0051307000, -0.032251500, -0.143102300, -0.466293400", \ - "0.0218912000, 0.0205646000, 0.0168392000, 0.0052074000, -0.032182500, -0.143031700, -0.466205600", \ - "0.0216601000, 0.0203141000, 0.0165548000, 0.0049399000, -0.032423600, -0.143280500, -0.466450700", \ - "0.0213267000, 0.0199525000, 0.0162252000, 0.0046190000, -0.032749800, -0.143610900, -0.466778100", \ - "0.0209274000, 0.0195885000, 0.0159228000, 0.0044440000, -0.032915400, -0.143749300, -0.466924200", \ - "0.0233452000, 0.0219028000, 0.0176428000, 0.0049342000, -0.032880900, -0.143562200, -0.466701100"); - } - related_pin : "GATE_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014552770, 0.0042356610, 0.0123281200, 0.0358816500, 0.1044354000, 0.3039650000"); - values("0.0246006000, 0.0263328000, 0.0312791000, 0.0448681000, 0.0828000000, 0.1931583000, 0.5144086000", \ - "0.0245278000, 0.0262473000, 0.0312349000, 0.0448230000, 0.0827791000, 0.1924894000, 0.5145286000", \ - "0.0246281000, 0.0263389000, 0.0312829000, 0.0449319000, 0.0828871000, 0.1925241000, 0.5155187000", \ - "0.0244610000, 0.0262171000, 0.0311538000, 0.0447687000, 0.0827215000, 0.1932073000, 0.5115310000", \ - "0.0241913000, 0.0259372000, 0.0309030000, 0.0444960000, 0.0825762000, 0.1920405000, 0.5145070000", \ - "0.0240377000, 0.0257864000, 0.0307395000, 0.0443892000, 0.0823504000, 0.1917708000, 0.5142921000", \ - "0.0253220000, 0.0269219000, 0.0315470000, 0.0448228000, 0.0826582000, 0.1920811000, 0.5115371000"); - } - } - max_capacitance : 0.3039650000; - max_transition : 1.5057880000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014552800, 0.0042356600, 0.0123281000, 0.0358816000, 0.1044350000, 0.3039650000"); - values("0.2121812000, 0.2166480000, 0.2266485000, 0.2473505000, 0.2919738000, 0.4057235000, 0.7330521000", \ - "0.2172072000, 0.2216338000, 0.2316008000, 0.2521749000, 0.2968551000, 0.4106644000, 0.7365837000", \ - "0.2303512000, 0.2348116000, 0.2447572000, 0.2654059000, 0.3100446000, 0.4237013000, 0.7506525000", \ - "0.2615708000, 0.2659886000, 0.2759800000, 0.2966365000, 0.3412697000, 0.4550826000, 0.7824717000", \ - "0.3185154000, 0.3229350000, 0.3329271000, 0.3535168000, 0.3981984000, 0.5120401000, 0.8394331000", \ - "0.4073498000, 0.4117860000, 0.4218081000, 0.4424949000, 0.4870690000, 0.6006956000, 0.9274197000", \ - "0.5475674000, 0.5520284000, 0.5620366000, 0.5823576000, 0.6269600000, 0.7411821000, 1.0685696000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014552800, 0.0042356600, 0.0123281000, 0.0358816000, 0.1044350000, 0.3039650000"); - values("0.3035817000, 0.3090276000, 0.3218174000, 0.3520171000, 0.4331745000, 0.6662761000, 1.3435418000", \ - "0.3080358000, 0.3135926000, 0.3261874000, 0.3563332000, 0.4376388000, 0.6705207000, 1.3492923000", \ - "0.3193955000, 0.3249284000, 0.3375498000, 0.3676604000, 0.4489692000, 0.6815620000, 1.3589777000", \ - "0.3401517000, 0.3457138000, 0.3583282000, 0.3884373000, 0.4697379000, 0.7021981000, 1.3818059000", \ - "0.3673244000, 0.3727558000, 0.3855811000, 0.4157953000, 0.4970805000, 0.7297572000, 1.4076197000", \ - "0.3983251000, 0.4036947000, 0.4165157000, 0.4467734000, 0.5279152000, 0.7611141000, 1.4393396000", \ - "0.4211606000, 0.4266382000, 0.4394427000, 0.4696780000, 0.5509210000, 0.7836049000, 1.4604977000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014552800, 0.0042356600, 0.0123281000, 0.0358816000, 0.1044350000, 0.3039650000"); - values("0.0167038000, 0.0196443000, 0.0267994000, 0.0446159000, 0.0922787000, 0.2364903000, 0.6734689000", \ - "0.0167744000, 0.0197666000, 0.0269741000, 0.0446199000, 0.0924192000, 0.2379780000, 0.6803013000", \ - "0.0168269000, 0.0196298000, 0.0269929000, 0.0446167000, 0.0923714000, 0.2377980000, 0.6732740000", \ - "0.0168034000, 0.0196385000, 0.0268059000, 0.0446029000, 0.0922815000, 0.2382978000, 0.6725002000", \ - "0.0168846000, 0.0196438000, 0.0268109000, 0.0445322000, 0.0922285000, 0.2382749000, 0.6721226000", \ - "0.0167938000, 0.0196117000, 0.0269496000, 0.0442863000, 0.0922303000, 0.2378163000, 0.6766770000", \ - "0.0168433000, 0.0197301000, 0.0270169000, 0.0446912000, 0.0922735000, 0.2383186000, 0.6715058000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014552800, 0.0042356600, 0.0123281000, 0.0358816000, 0.1044350000, 0.3039650000"); - values("0.0209960000, 0.0255437000, 0.0374161000, 0.0736398000, 0.1868527000, 0.5211414000, 1.5057883000", \ - "0.0210806000, 0.0252999000, 0.0374979000, 0.0736055000, 0.1865628000, 0.5215978000, 1.5015447000", \ - "0.0210465000, 0.0254832000, 0.0374985000, 0.0738331000, 0.1868540000, 0.5206455000, 1.5044482000", \ - "0.0212020000, 0.0254218000, 0.0374777000, 0.0737392000, 0.1867485000, 0.5217528000, 1.5036083000", \ - "0.0210292000, 0.0255752000, 0.0373815000, 0.0736585000, 0.1863180000, 0.5216479000, 1.5028722000", \ - "0.0211998000, 0.0256449000, 0.0375155000, 0.0737285000, 0.1860417000, 0.5212094000, 1.5026931000", \ - "0.0210702000, 0.0255138000, 0.0374080000, 0.0738058000, 0.1868415000, 0.5216823000, 1.4991658000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014552800, 0.0042356600, 0.0123281000, 0.0358816000, 0.1044350000, 0.3039650000"); - values("0.2372596000, 0.2417848000, 0.2519019000, 0.2726657000, 0.3174336000, 0.4311969000, 0.7588147000", \ - "0.2421615000, 0.2467078000, 0.2568482000, 0.2775307000, 0.3223418000, 0.4361686000, 0.7622007000", \ - "0.2548733000, 0.2594048000, 0.2695403000, 0.2903337000, 0.3350623000, 0.4489080000, 0.7749181000", \ - "0.2855695000, 0.2901072000, 0.3002193000, 0.3209607000, 0.3657794000, 0.4795845000, 0.8071369000", \ - "0.3519608000, 0.3564912000, 0.3666180000, 0.3873699000, 0.4321840000, 0.5459533000, 0.8731152000", \ - "0.4646064000, 0.4691563000, 0.4792940000, 0.5000693000, 0.5448594000, 0.6587693000, 0.9851951000", \ - "0.6385268000, 0.6430937000, 0.6532403000, 0.6740616000, 0.7189483000, 0.8327457000, 1.1602774000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014552800, 0.0042356600, 0.0123281000, 0.0358816000, 0.1044350000, 0.3039650000"); - values("0.3668934000, 0.3723876000, 0.3852395000, 0.4154933000, 0.4968662000, 0.7293126000, 1.4073779000", \ - "0.3717137000, 0.3771977000, 0.3900566000, 0.4203222000, 0.5014998000, 0.7344924000, 1.4137287000", \ - "0.3844691000, 0.3899767000, 0.4027981000, 0.4330862000, 0.5144296000, 0.7473789000, 1.4265420000", \ - "0.4153315000, 0.4208122000, 0.4336941000, 0.4639860000, 0.5453366000, 0.7782499000, 1.4557364000", \ - "0.4796996000, 0.4852115000, 0.4980668000, 0.5283651000, 0.6093833000, 0.8424090000, 1.5207313000", \ - "0.5833141000, 0.5888140000, 0.6016531000, 0.6319493000, 0.7133331000, 0.9461568000, 1.6247883000", \ - "0.7427181000, 0.7482230000, 0.7610830000, 0.7913573000, 0.8726912000, 1.1056681000, 1.7860708000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014552800, 0.0042356600, 0.0123281000, 0.0358816000, 0.1044350000, 0.3039650000"); - values("0.0171280000, 0.0201662000, 0.0270508000, 0.0448006000, 0.0924487000, 0.2369028000, 0.6733462000", \ - "0.0172081000, 0.0201639000, 0.0271162000, 0.0448642000, 0.0923068000, 0.2378529000, 0.6815573000", \ - "0.0172161000, 0.0201426000, 0.0272789000, 0.0449942000, 0.0923456000, 0.2378814000, 0.6817766000", \ - "0.0170509000, 0.0201765000, 0.0272226000, 0.0448936000, 0.0925493000, 0.2386655000, 0.6769033000", \ - "0.0170640000, 0.0201832000, 0.0272457000, 0.0448462000, 0.0926016000, 0.2377839000, 0.6743754000", \ - "0.0173272000, 0.0203070000, 0.0273332000, 0.0449485000, 0.0921728000, 0.2384120000, 0.6835247000", \ - "0.0173390000, 0.0204621000, 0.0273874000, 0.0451070000, 0.0926556000, 0.2382992000, 0.6714114000"); - } - related_pin : "GATE_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014552800, 0.0042356600, 0.0123281000, 0.0358816000, 0.1044350000, 0.3039650000"); - values("0.0208789000, 0.0252401000, 0.0373454000, 0.0737817000, 0.1867509000, 0.5214287000, 1.4994397000", \ - "0.0209121000, 0.0252739000, 0.0373356000, 0.0737144000, 0.1863657000, 0.5208572000, 1.4984578000", \ - "0.0209432000, 0.0253357000, 0.0373415000, 0.0736652000, 0.1870651000, 0.5207749000, 1.5013721000", \ - "0.0209672000, 0.0252966000, 0.0374046000, 0.0737481000, 0.1865038000, 0.5200566000, 1.4948646000", \ - "0.0208542000, 0.0252703000, 0.0373487000, 0.0737553000, 0.1870460000, 0.5215215000, 1.4975243000", \ - "0.0209532000, 0.0252705000, 0.0373433000, 0.0736497000, 0.1863470000, 0.5214513000, 1.5045565000", \ - "0.0208540000, 0.0252471000, 0.0373764000, 0.0737010000, 0.1866383000, 0.5199768000, 1.4971643000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - } - } - - cell ("sky130_fd_sc_hd__dlxtn_4") { - leakage_power () { - value : 0.0085702000; - when : "D&!GATE_N&Q"; - } - leakage_power () { - value : 0.0087990000; - when : "!D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0088781000; - when : "!D&!GATE_N&!Q"; - } - leakage_power () { - value : 0.0084756000; - when : "D&GATE_N&Q"; - } - leakage_power () { - value : 0.0102483000; - when : "D&GATE_N&!Q"; - } - leakage_power () { - value : 0.0076493000; - when : "!D&GATE_N&Q"; - } - area : 18.768000000; - cell_footprint : "sky130_fd_sc_hd__dlxtn"; - cell_leakage_power : 0.0087700780; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - data_in : "D"; - enable : "!GATE_N"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017880000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016960000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078030000, 0.0076980000, 0.0074559000, 0.0075316000, 0.0077061000, 0.0081082000, 0.0090352000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027164000, 0.0026111000, 0.0023683000, 0.0024250000, 0.0025556000, 0.0028567000, 0.0035509000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018790000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1313945000, 0.2894674000, 0.4595521000", \ - "0.0856263000, 0.2424785000, 0.4101217000", \ - "0.1096335000, 0.2579408000, 0.4219219000"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1948711000, 0.2772604000, 0.3081849000", \ - "0.0746400000, 0.1570293000, 0.1891745000", \ - "-0.000229800, 0.0846009000, 0.1167461000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.109084000, -0.272039700, -0.445786400", \ - "-0.063315800, -0.225050800, -0.395135400", \ - "-0.064129600, -0.224643900, -0.393507800"); - } - related_pin : "GATE_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.173781300, -0.259832700, -0.293198600", \ - "-0.055991500, -0.140822300, -0.174188100", \ - "0.0164368000, -0.069614600, -0.101759800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE_N") { - capacitance : 0.0017450000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0172266000, 0.0171008000, 0.0168107000, 0.0168622000, 0.0169809000, 0.0172544000, 0.0178850000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0103032000, 0.0102097000, 0.0099943000, 0.0100257000, 0.0100981000, 0.0102649000, 0.0106498000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018250000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1598980000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "GATE_N"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0323562000, 0.0306978000, 0.0254013000, 0.0093218000, -0.047044600, -0.235772100, -0.844056300", \ - "0.0322706000, 0.0305868000, 0.0253386000, 0.0092145000, -0.047111200, -0.235860300, -0.844141000", \ - "0.0323468000, 0.0306956000, 0.0253828000, 0.0093035000, -0.047012500, -0.235770600, -0.844062600", \ - "0.0322321000, 0.0305474000, 0.0252282000, 0.0091704000, -0.047187700, -0.235892900, -0.844224800", \ - "0.0321712000, 0.0304912000, 0.0251896000, 0.0090665000, -0.047311600, -0.235985100, -0.844312800", \ - "0.0317930000, 0.0301185000, 0.0248576000, 0.0088735000, -0.047456500, -0.236207700, -0.844473800", \ - "0.0360806000, 0.0343223000, 0.0287964000, 0.0110176000, -0.047063200, -0.236207600, -0.844322600"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0367232000, 0.0385598000, 0.0445881000, 0.0637705000, 0.1226849000, 0.3110528000, 0.9141860000", \ - "0.0366439000, 0.0386175000, 0.0446331000, 0.0637572000, 0.1226600000, 0.3097460000, 0.9175669000", \ - "0.0367024000, 0.0386174000, 0.0446959000, 0.0635922000, 0.1225340000, 0.3099296000, 0.9127433000", \ - "0.0364404000, 0.0383530000, 0.0442735000, 0.0632747000, 0.1224339000, 0.3097067000, 0.9114330000", \ - "0.0362601000, 0.0381156000, 0.0440973000, 0.0631078000, 0.1222826000, 0.3095913000, 0.9108486000", \ - "0.0362911000, 0.0381998000, 0.0442605000, 0.0631907000, 0.1221408000, 0.3093698000, 0.9107099000", \ - "0.0377279000, 0.0395692000, 0.0455746000, 0.0642475000, 0.1234973000, 0.3118872000, 0.9097030000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0310354000, 0.0292443000, 0.0240194000, 0.0079488000, -0.048384200, -0.237090900, -0.845334400", \ - "0.0312027000, 0.0293590000, 0.0241466000, 0.0080129000, -0.048337300, -0.237026300, -0.845300000", \ - "0.0312212000, 0.0293953000, 0.0241843000, 0.0080530000, -0.048277700, -0.236946500, -0.845180400", \ - "0.0308385000, 0.0290894000, 0.0238573000, 0.0077478000, -0.048577700, -0.237293300, -0.845544400", \ - "0.0306240000, 0.0289476000, 0.0236690000, 0.0074993000, -0.048835900, -0.237505000, -0.845765200", \ - "0.0302856000, 0.0286895000, 0.0234031000, 0.0072661000, -0.049057600, -0.237770800, -0.846020300", \ - "0.0345697000, 0.0328203000, 0.0272434000, 0.0095220000, -0.048632500, -0.237552700, -0.845744500"); - } - related_pin : "GATE_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016043380, 0.0051478020, 0.0165176300, 0.0529997200, 0.1700589000, 0.5456641000"); - values("0.0361203000, 0.0379998000, 0.0440923000, 0.0631989000, 0.1222355000, 0.3106881000, 0.9155838000", \ - "0.0360547000, 0.0379224000, 0.0440473000, 0.0630868000, 0.1221921000, 0.3109873000, 0.9160814000", \ - "0.0361715000, 0.0380515000, 0.0440976000, 0.0632236000, 0.1221889000, 0.3106974000, 0.9163760000", \ - "0.0360866000, 0.0379723000, 0.0440465000, 0.0630820000, 0.1222267000, 0.3098875000, 0.9119964000", \ - "0.0357331000, 0.0375823000, 0.0436930000, 0.0627467000, 0.1221222000, 0.3087654000, 0.9120152000", \ - "0.0355970000, 0.0374866000, 0.0435689000, 0.0627007000, 0.1218660000, 0.3097534000, 0.9096674000", \ - "0.0369001000, 0.0387074000, 0.0445953000, 0.0633102000, 0.1220493000, 0.3092783000, 0.9159337000"); - } - } - max_capacitance : 0.5456640000; - max_transition : 1.5029060000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.2475619000, 0.2511780000, 0.2605122000, 0.2814000000, 0.3256432000, 0.4331991000, 0.7564027000", \ - "0.2525425000, 0.2561377000, 0.2654942000, 0.2863237000, 0.3305685000, 0.4382935000, 0.7607867000", \ - "0.2657365000, 0.2693168000, 0.2786633000, 0.2994887000, 0.3437592000, 0.4514520000, 0.7740115000", \ - "0.2968936000, 0.3005121000, 0.3098480000, 0.3307165000, 0.3749890000, 0.4825693000, 0.8046914000", \ - "0.3539077000, 0.3575318000, 0.3668504000, 0.3877506000, 0.4319745000, 0.5395362000, 0.8615660000", \ - "0.4428405000, 0.4464407000, 0.4557329000, 0.4766597000, 0.5207483000, 0.6284664000, 0.9510879000", \ - "0.5831920000, 0.5867953000, 0.5961113000, 0.6169370000, 0.6612222000, 0.7688605000, 1.0911015000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.3306534000, 0.3346651000, 0.3457759000, 0.3727008000, 0.4445863000, 0.6677991000, 1.3833632000", \ - "0.3352458000, 0.3394223000, 0.3503760000, 0.3773525000, 0.4496264000, 0.6722097000, 1.3869107000", \ - "0.3464359000, 0.3507168000, 0.3616334000, 0.3885256000, 0.4605894000, 0.6834656000, 1.3979421000", \ - "0.3672900000, 0.3714948000, 0.3823228000, 0.4092448000, 0.4814406000, 0.7043697000, 1.4186383000", \ - "0.3944806000, 0.3986945000, 0.4095687000, 0.4364447000, 0.5086176000, 0.7316713000, 1.4489334000", \ - "0.4254579000, 0.4296946000, 0.4406642000, 0.4675879000, 0.5395945000, 0.7628094000, 1.4808617000", \ - "0.4484191000, 0.4526428000, 0.4636817000, 0.4905253000, 0.5626367000, 0.7858056000, 1.4976400000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0234685000, 0.0257109000, 0.0317567000, 0.0465572000, 0.0863999000, 0.2122282000, 0.6450527000", \ - "0.0233693000, 0.0256175000, 0.0315401000, 0.0463134000, 0.0863494000, 0.2123342000, 0.6392620000", \ - "0.0235797000, 0.0256588000, 0.0315231000, 0.0463394000, 0.0861828000, 0.2123856000, 0.6387346000", \ - "0.0234787000, 0.0257225000, 0.0316734000, 0.0464671000, 0.0864303000, 0.2121537000, 0.6437931000", \ - "0.0234317000, 0.0256911000, 0.0319297000, 0.0466017000, 0.0864428000, 0.2122687000, 0.6439547000", \ - "0.0235664000, 0.0255788000, 0.0316504000, 0.0464767000, 0.0859203000, 0.2123528000, 0.6375195000", \ - "0.0236087000, 0.0256752000, 0.0319174000, 0.0464247000, 0.0864773000, 0.2119492000, 0.6384053000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0249829000, 0.0284950000, 0.0373192000, 0.0649711000, 0.1591660000, 0.4758658000, 1.4982936000", \ - "0.0249054000, 0.0283179000, 0.0370795000, 0.0648732000, 0.1594591000, 0.4749818000, 1.5016969000", \ - "0.0252255000, 0.0280330000, 0.0370008000, 0.0649632000, 0.1591093000, 0.4747141000, 1.5016393000", \ - "0.0250266000, 0.0280278000, 0.0373190000, 0.0649417000, 0.1591538000, 0.4754701000, 1.5000881000", \ - "0.0250641000, 0.0280234000, 0.0374129000, 0.0649587000, 0.1594010000, 0.4751542000, 1.5021485000", \ - "0.0252752000, 0.0280603000, 0.0371855000, 0.0650114000, 0.1588009000, 0.4746950000, 1.5026019000", \ - "0.0250715000, 0.0281972000, 0.0372378000, 0.0649117000, 0.1594933000, 0.4750583000, 1.4965111000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.2726837000, 0.2763151000, 0.2857795000, 0.3067102000, 0.3512020000, 0.4589528000, 0.7813210000", \ - "0.2775841000, 0.2812403000, 0.2906599000, 0.3116149000, 0.3560857000, 0.4637860000, 0.7864820000", \ - "0.2903190000, 0.2939471000, 0.3034079000, 0.3243310000, 0.3688380000, 0.4765544000, 0.7988898000", \ - "0.3212375000, 0.3248565000, 0.3343032000, 0.3552498000, 0.3996987000, 0.5074016000, 0.8306167000", \ - "0.3877474000, 0.3913775000, 0.4008625000, 0.4217947000, 0.4662601000, 0.5739453000, 0.8963552000", \ - "0.5001267000, 0.5037434000, 0.5131953000, 0.5341018000, 0.5784712000, 0.6862471000, 1.0090285000", \ - "0.6737084000, 0.6773245000, 0.6867905000, 0.7077598000, 0.7523114000, 0.8600742000, 1.1822439000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.3938176000, 0.3979815000, 0.4089842000, 0.4359905000, 0.5081946000, 0.7313305000, 1.4469935000", \ - "0.3985638000, 0.4027174000, 0.4137571000, 0.4407217000, 0.5130802000, 0.7360188000, 1.4500537000", \ - "0.4113036000, 0.4154573000, 0.4264978000, 0.4534667000, 0.5256150000, 0.7488579000, 1.4631200000", \ - "0.4423017000, 0.4464568000, 0.4574902000, 0.4844197000, 0.5566434000, 0.7793085000, 1.4936833000", \ - "0.5066263000, 0.5108446000, 0.5218170000, 0.5488326000, 0.6210936000, 0.8441906000, 1.5592300000", \ - "0.6101438000, 0.6143247000, 0.6253108000, 0.6523088000, 0.7246209000, 0.9476806000, 1.6624911000", \ - "0.7695733000, 0.7737269000, 0.7847403000, 0.8116889000, 0.8838462000, 1.1066664000, 1.8191381000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0236802000, 0.0262856000, 0.0319337000, 0.0466434000, 0.0861516000, 0.2125107000, 0.6446006000", \ - "0.0238137000, 0.0262257000, 0.0321271000, 0.0466686000, 0.0868753000, 0.2122790000, 0.6382224000", \ - "0.0237133000, 0.0262425000, 0.0319204000, 0.0468562000, 0.0866800000, 0.2123461000, 0.6435811000", \ - "0.0237185000, 0.0260561000, 0.0319541000, 0.0467320000, 0.0871004000, 0.2121092000, 0.6467464000", \ - "0.0238740000, 0.0260908000, 0.0321866000, 0.0464530000, 0.0866458000, 0.2125797000, 0.6441970000", \ - "0.0237824000, 0.0263419000, 0.0320389000, 0.0464750000, 0.0867562000, 0.2123960000, 0.6399326000", \ - "0.0240949000, 0.0263214000, 0.0321447000, 0.0469708000, 0.0871421000, 0.2123124000, 0.6373966000"); - } - related_pin : "GATE_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016043400, 0.0051478000, 0.0165176000, 0.0529997000, 0.1700590000, 0.5456640000"); - values("0.0249560000, 0.0280533000, 0.0371257000, 0.0649335000, 0.1594597000, 0.4754160000, 1.4998961000", \ - "0.0249597000, 0.0280547000, 0.0370689000, 0.0649835000, 0.1592205000, 0.4752670000, 1.5010519000", \ - "0.0249598000, 0.0280582000, 0.0370719000, 0.0650073000, 0.1591183000, 0.4757317000, 1.5029058000", \ - "0.0249790000, 0.0280602000, 0.0370980000, 0.0649765000, 0.1594760000, 0.4763756000, 1.4994961000", \ - "0.0250131000, 0.0279654000, 0.0370123000, 0.0649786000, 0.1594407000, 0.4758091000, 1.4961533000", \ - "0.0249781000, 0.0280055000, 0.0371458000, 0.0648284000, 0.1592569000, 0.4745074000, 1.4959873000", \ - "0.0249149000, 0.0280026000, 0.0370754000, 0.0648963000, 0.1590891000, 0.4742852000, 1.5008801000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - } - } - - cell ("sky130_fd_sc_hd__dlxtp_1") { - leakage_power () { - value : 0.0085396000; - when : "D&GATE&Q"; - } - leakage_power () { - value : 0.0071637000; - when : "!D&!GATE&!Q"; - } - leakage_power () { - value : 0.0072605000; - when : "!D&GATE&!Q"; - } - leakage_power () { - value : 0.0084088000; - when : "D&!GATE&Q"; - } - leakage_power () { - value : 0.0086226000; - when : "D&!GATE&!Q"; - } - leakage_power () { - value : 0.0075741000; - when : "!D&!GATE&Q"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__dlxtp"; - cell_leakage_power : 0.0079282300; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - latch ("IQ","IQ_N") { - data_in : "D"; - enable : "GATE"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0017740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016980000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080639000, 0.0079551000, 0.0077045000, 0.0077816000, 0.0079595000, 0.0083695000, 0.0093146000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0024834000, 0.0023809000, 0.0021447000, 0.0022073000, 0.0023516000, 0.0026843000, 0.0034509000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018500000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0374004000, 0.1966940000, 0.3655579000", \ - "-0.136541700, 0.0227520000, 0.1879538000", \ - "-0.312729800, -0.154656900, 0.0068828000"); - } - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1948711000, 0.2797018000, 0.3118470000", \ - "0.1063783000, 0.1899883000, 0.2196921000", \ - "0.0473776000, 0.1273255000, 0.1558086000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.026076200, -0.186590500, -0.356675100", \ - "0.1478659000, -0.012648400, -0.179071000", \ - "0.3264954000, 0.1659811000, 0.0020000000"); - } - related_pin : "GATE"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.183546900, -0.269598300, -0.302964200", \ - "-0.085288400, -0.170119100, -0.202264300", \ - "0.0030091000, -0.084263000, -0.116408200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE") { - capacitance : 0.0017510000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016800000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0172155000, 0.0171230000, 0.0169098000, 0.0169665000, 0.0170973000, 0.0173986000, 0.0180935000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0099572000, 0.0098677000, 0.0096615000, 0.0096962000, 0.0097764000, 0.0099612000, 0.0103871000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018220000; - timing () { - related_output_pin : "Q"; - related_pin : "GATE"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1774742000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0183104000, 0.0173204000, 0.0145355000, 0.0060315000, -0.017259200, -0.078515500, -0.238812100", \ - "0.0182935000, 0.0173058000, 0.0144998000, 0.0060216000, -0.017265000, -0.078526000, -0.238838700", \ - "0.0182911000, 0.0173135000, 0.0144894000, 0.0060097000, -0.017288700, -0.078539000, -0.238852200", \ - "0.0178333000, 0.0168519000, 0.0140728000, 0.0055663000, -0.017721000, -0.078980400, -0.239284500", \ - "0.0175656000, 0.0165844000, 0.0137815000, 0.0053022000, -0.018000300, -0.079251900, -0.239564200", \ - "0.0187960000, 0.0175690000, 0.0142670000, 0.0053636000, -0.017980100, -0.079242300, -0.239535900", \ - "0.0193985000, 0.0181606000, 0.0148619000, 0.0060149000, -0.017382100, -0.078719300, -0.239092900"); - } - related_pin : "GATE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0188626000, 0.0204371000, 0.0242971000, 0.0335155000, 0.0566476000, 0.1169270000, 0.2759341000", \ - "0.0188355000, 0.0204045000, 0.0242773000, 0.0335375000, 0.0566228000, 0.1170107000, 0.2757732000", \ - "0.0188117000, 0.0203877000, 0.0242426000, 0.0334482000, 0.0566068000, 0.1170340000, 0.2754547000", \ - "0.0182919000, 0.0198706000, 0.0237319000, 0.0329958000, 0.0560580000, 0.1170069000, 0.2763332000", \ - "0.0179709000, 0.0195765000, 0.0234320000, 0.0326462000, 0.0559423000, 0.1162104000, 0.2748467000", \ - "0.0183152000, 0.0196281000, 0.0233430000, 0.0326070000, 0.0556951000, 0.1159098000, 0.2748451000", \ - "0.0195202000, 0.0208510000, 0.0243186000, 0.0332985000, 0.0562276000, 0.1168596000, 0.2761521000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0185126000, 0.0175513000, 0.0147481000, 0.0062653000, -0.017050300, -0.078317200, -0.238641700", \ - "0.0184031000, 0.0174564000, 0.0146528000, 0.0061644000, -0.017146600, -0.078420200, -0.238732200", \ - "0.0185163000, 0.0175529000, 0.0147499000, 0.0062656000, -0.017050000, -0.078319200, -0.238637600", \ - "0.0183578000, 0.0174091000, 0.0146031000, 0.0061159000, -0.017197000, -0.078466900, -0.238748200", \ - "0.0182609000, 0.0173047000, 0.0145025000, 0.0060187000, -0.017291600, -0.078560500, -0.238880000", \ - "0.0189475000, 0.0177286000, 0.0144036000, 0.0057111000, -0.017474800, -0.078723400, -0.239033500", \ - "0.0192833000, 0.0180623000, 0.0147728000, 0.0059159000, -0.017482800, -0.078574700, -0.238879700"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0201166000, 0.0217292000, 0.0255888000, 0.0347368000, 0.0579109000, 0.1186210000, 0.2777453000", \ - "0.0200823000, 0.0217062000, 0.0255693000, 0.0347337000, 0.0578741000, 0.1183976000, 0.2777190000", \ - "0.0201994000, 0.0217671000, 0.0256454000, 0.0347965000, 0.0579243000, 0.1188119000, 0.2784466000", \ - "0.0198358000, 0.0213727000, 0.0252218000, 0.0344692000, 0.0576597000, 0.1178161000, 0.2770193000", \ - "0.0196763000, 0.0212096000, 0.0250608000, 0.0342970000, 0.0574717000, 0.1176491000, 0.2763497000", \ - "0.0202174000, 0.0215458000, 0.0250561000, 0.0343001000, 0.0573047000, 0.1176728000, 0.2764661000", \ - "0.0209445000, 0.0222777000, 0.0257795000, 0.0347846000, 0.0578467000, 0.1185777000, 0.2758290000"); - } - } - max_capacitance : 0.1602270000; - max_transition : 1.4998690000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.1956779000, 0.2007484000, 0.2114909000, 0.2337785000, 0.2838228000, 0.4096937000, 0.7398072000", \ - "0.2006464000, 0.2057362000, 0.2164471000, 0.2387434000, 0.2888077000, 0.4149915000, 0.7453025000", \ - "0.2138190000, 0.2188893000, 0.2296347000, 0.2519184000, 0.3019593000, 0.4278740000, 0.7573207000", \ - "0.2450352000, 0.2501259000, 0.2608260000, 0.2831257000, 0.3332336000, 0.4593674000, 0.7895880000", \ - "0.3020378000, 0.3071162000, 0.3178570000, 0.3401388000, 0.3902163000, 0.5162122000, 0.8455597000", \ - "0.3909980000, 0.3960919000, 0.4068383000, 0.4291133000, 0.4792041000, 0.6051093000, 0.9343891000", \ - "0.5311448000, 0.5362405000, 0.5469755000, 0.5693151000, 0.6193808000, 0.7454488000, 1.0749442000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.2897848000, 0.2965617000, 0.3119340000, 0.3486242000, 0.4424752000, 0.6871566000, 1.3282956000", \ - "0.2944256000, 0.3011474000, 0.3165267000, 0.3532405000, 0.4470815000, 0.6918489000, 1.3309537000", \ - "0.3056690000, 0.3124450000, 0.3277803000, 0.3644517000, 0.4586222000, 0.7028413000, 1.3467548000", \ - "0.3263381000, 0.3328653000, 0.3483047000, 0.3849935000, 0.4791482000, 0.7233187000, 1.3641688000", \ - "0.3538375000, 0.3604514000, 0.3758217000, 0.4126217000, 0.5065501000, 0.7512085000, 1.3913161000", \ - "0.3848257000, 0.3915999000, 0.4069716000, 0.4436796000, 0.5375113000, 0.7823333000, 1.4229965000", \ - "0.4078935000, 0.4146049000, 0.4300053000, 0.4667164000, 0.5605648000, 0.8052757000, 1.4441415000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0163669000, 0.0205163000, 0.0299403000, 0.0526661000, 0.1130568000, 0.2786029000, 0.7238921000", \ - "0.0164261000, 0.0204367000, 0.0298988000, 0.0527299000, 0.1132432000, 0.2800721000, 0.7150719000", \ - "0.0163518000, 0.0204845000, 0.0299487000, 0.0526991000, 0.1131724000, 0.2795148000, 0.7188002000", \ - "0.0164486000, 0.0204429000, 0.0298311000, 0.0526271000, 0.1129427000, 0.2796830000, 0.7225254000", \ - "0.0164574000, 0.0204658000, 0.0299609000, 0.0527480000, 0.1133477000, 0.2795651000, 0.7187517000", \ - "0.0164329000, 0.0205113000, 0.0298189000, 0.0524697000, 0.1129583000, 0.2785544000, 0.7172974000", \ - "0.0165086000, 0.0205802000, 0.0299436000, 0.0526931000, 0.1131890000, 0.2776306000, 0.7140631000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0237742000, 0.0300597000, 0.0476671000, 0.0968298000, 0.2290845000, 0.5782642000, 1.4933628000", \ - "0.0236662000, 0.0300988000, 0.0476645000, 0.0967314000, 0.2294016000, 0.5767804000, 1.4963778000", \ - "0.0236807000, 0.0300969000, 0.0475886000, 0.0967016000, 0.2291797000, 0.5786722000, 1.4998689000", \ - "0.0235621000, 0.0302721000, 0.0476392000, 0.0966654000, 0.2291773000, 0.5773542000, 1.4981708000", \ - "0.0236265000, 0.0302641000, 0.0476282000, 0.0968710000, 0.2293573000, 0.5767912000, 1.4911320000", \ - "0.0236926000, 0.0300823000, 0.0475654000, 0.0967778000, 0.2285692000, 0.5776814000, 1.4974849000", \ - "0.0237280000, 0.0301534000, 0.0476384000, 0.0968764000, 0.2293897000, 0.5780705000, 1.4893545000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.2408861000, 0.2459875000, 0.2567938000, 0.2791576000, 0.3293162000, 0.4553846000, 0.7848088000", \ - "0.2454936000, 0.2505956000, 0.2614130000, 0.2837635000, 0.3338624000, 0.4599291000, 0.7907395000", \ - "0.2566185000, 0.2617138000, 0.2725135000, 0.2948354000, 0.3449692000, 0.4710901000, 0.8004751000", \ - "0.2808759000, 0.2859778000, 0.2967943000, 0.3191515000, 0.3692519000, 0.4953314000, 0.8261387000", \ - "0.3188427000, 0.3239643000, 0.3347380000, 0.3571037000, 0.4072429000, 0.5332983000, 0.8632153000", \ - "0.3702233000, 0.3753315000, 0.3861552000, 0.4085036000, 0.4586399000, 0.5845420000, 0.9140617000", \ - "0.4261492000, 0.4312617000, 0.4420564000, 0.4644319000, 0.5145670000, 0.6407230000, 0.9713635000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.2727443000, 0.2795717000, 0.2950170000, 0.3318136000, 0.4256409000, 0.6704344000, 1.3113387000", \ - "0.2773359000, 0.2841327000, 0.2995512000, 0.3364017000, 0.4302363000, 0.6749892000, 1.3143661000", \ - "0.2881232000, 0.2949556000, 0.3103703000, 0.3470869000, 0.4412568000, 0.6855770000, 1.3266492000", \ - "0.3119019000, 0.3187079000, 0.3341485000, 0.3710149000, 0.4648588000, 0.7090865000, 1.3530574000", \ - "0.3513700000, 0.3581870000, 0.3736341000, 0.4104507000, 0.5045135000, 0.7488073000, 1.3896402000", \ - "0.4060039000, 0.4128247000, 0.4282782000, 0.4650089000, 0.5588366000, 0.8036784000, 1.4433407000", \ - "0.4740605000, 0.4808421000, 0.4963239000, 0.5331252000, 0.6271225000, 0.8713793000, 1.5111470000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0167519000, 0.0207019000, 0.0300609000, 0.0526324000, 0.1135996000, 0.2801282000, 0.7240286000", \ - "0.0167541000, 0.0207384000, 0.0301395000, 0.0527942000, 0.1133371000, 0.2787838000, 0.7157553000", \ - "0.0166965000, 0.0206831000, 0.0301291000, 0.0529146000, 0.1135331000, 0.2786270000, 0.7239515000", \ - "0.0166762000, 0.0207455000, 0.0301108000, 0.0528059000, 0.1133309000, 0.2787705000, 0.7224172000", \ - "0.0166746000, 0.0206166000, 0.0300592000, 0.0528609000, 0.1134833000, 0.2783640000, 0.7239425000", \ - "0.0166381000, 0.0206598000, 0.0301537000, 0.0527273000, 0.1131373000, 0.2790785000, 0.7172305000", \ - "0.0168751000, 0.0205232000, 0.0300435000, 0.0527510000, 0.1131482000, 0.2783725000, 0.7127663000"); - } - related_pin : "GATE"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0234764000, 0.0300381000, 0.0477196000, 0.0970673000, 0.2293570000, 0.5781378000, 1.4920603000", \ - "0.0234431000, 0.0300737000, 0.0476937000, 0.0968738000, 0.2293269000, 0.5771967000, 1.4966632000", \ - "0.0234843000, 0.0301266000, 0.0477406000, 0.0967641000, 0.2290958000, 0.5779417000, 1.4918156000", \ - "0.0235058000, 0.0300676000, 0.0477858000, 0.0966788000, 0.2289943000, 0.5784267000, 1.4977383000", \ - "0.0235220000, 0.0300372000, 0.0477103000, 0.0969389000, 0.2289846000, 0.5770432000, 1.4975939000", \ - "0.0235514000, 0.0301143000, 0.0477436000, 0.0968273000, 0.2293491000, 0.5776321000, 1.4963948000", \ - "0.0235126000, 0.0300728000, 0.0477353000, 0.0969363000, 0.2293026000, 0.5775836000, 1.4951985000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - } - - cell ("sky130_fd_sc_hd__dlygate4sd1_1") { - leakage_power () { - value : 0.0027621000; - when : "A"; - } - leakage_power () { - value : 0.0088226000; - when : "!A"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0057923300; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0016450000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015690000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017210000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("0.0196266000, 0.0185267000, 0.0155787000, 0.0070945000, -0.016126200, -0.077128400, -0.236492200", \ - "0.0194133000, 0.0183201000, 0.0153729000, 0.0068613000, -0.016315500, -0.077332600, -0.236711700", \ - "0.0191962000, 0.0181154000, 0.0151698000, 0.0066725000, -0.016545600, -0.077540600, -0.236926700", \ - "0.0190680000, 0.0179413000, 0.0150008000, 0.0065164000, -0.016708400, -0.077691700, -0.237077600", \ - "0.0189480000, 0.0178404000, 0.0148750000, 0.0063860000, -0.016811700, -0.077789400, -0.237137800", \ - "0.0194656000, 0.0182090000, 0.0148490000, 0.0064959000, -0.016674500, -0.077639700, -0.237016500", \ - "0.0204923000, 0.0192690000, 0.0159665000, 0.0071232000, -0.016115400, -0.076897800, -0.236278700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("0.0169028000, 0.0183115000, 0.0218160000, 0.0307253000, 0.0537864000, 0.1141206000, 0.2719509000", \ - "0.0167590000, 0.0181631000, 0.0216654000, 0.0306179000, 0.0538399000, 0.1139684000, 0.2715595000", \ - "0.0165412000, 0.0179431000, 0.0214575000, 0.0303696000, 0.0534369000, 0.1136813000, 0.2713144000", \ - "0.0162820000, 0.0176882000, 0.0211753000, 0.0300797000, 0.0531643000, 0.1134136000, 0.2710481000", \ - "0.0161498000, 0.0175534000, 0.0210363000, 0.0299677000, 0.0530251000, 0.1133954000, 0.2702661000", \ - "0.0164674000, 0.0178293000, 0.0213185000, 0.0300973000, 0.0532536000, 0.1132079000, 0.2708985000", \ - "0.0170806000, 0.0184913000, 0.0220057000, 0.0309956000, 0.0542347000, 0.1148125000, 0.2718985000"); - } - } - max_capacitance : 0.1593120000; - max_transition : 1.5053790000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.1975640000, 0.2036230000, 0.2160659000, 0.2408796000, 0.2936845000, 0.4216362000, 0.7544734000", \ - "0.2017308000, 0.2077924000, 0.2202663000, 0.2450910000, 0.2978939000, 0.4259046000, 0.7589463000", \ - "0.2141771000, 0.2202373000, 0.2327601000, 0.2575011000, 0.3102507000, 0.4382904000, 0.7714805000", \ - "0.2457716000, 0.2517561000, 0.2643128000, 0.2890808000, 0.3418432000, 0.4699103000, 0.8029942000", \ - "0.3093508000, 0.3154174000, 0.3278710000, 0.3527058000, 0.4054938000, 0.5335387000, 0.8668830000", \ - "0.4106152000, 0.4166569000, 0.4291519000, 0.4539510000, 0.5067227000, 0.6348027000, 0.9683863000", \ - "0.5704195000, 0.5764615000, 0.5889654000, 0.6138171000, 0.6666743000, 0.7948370000, 1.1279276000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.1589621000, 0.1654411000, 0.1805831000, 0.2174793000, 0.3118832000, 0.5581778000, 1.2034234000", \ - "0.1634761000, 0.1699312000, 0.1850367000, 0.2219396000, 0.3162964000, 0.5627656000, 1.2064435000", \ - "0.1742990000, 0.1807922000, 0.1959269000, 0.2328669000, 0.3271722000, 0.5736568000, 1.2177241000", \ - "0.1940813000, 0.2005187000, 0.2156217000, 0.2525053000, 0.3467035000, 0.5932435000, 1.2365737000", \ - "0.2206460000, 0.2270897000, 0.2421461000, 0.2790035000, 0.3734385000, 0.6188841000, 1.2736469000", \ - "0.2511319000, 0.2576445000, 0.2727642000, 0.3096670000, 0.4041897000, 0.6494056000, 1.2910668000", \ - "0.2747205000, 0.2812165000, 0.2963713000, 0.3333110000, 0.4277879000, 0.6749628000, 1.3144654000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0207538000, 0.0251910000, 0.0354082000, 0.0588147000, 0.1188243000, 0.2850497000, 0.7288049000", \ - "0.0209912000, 0.0252981000, 0.0355108000, 0.0588961000, 0.1191241000, 0.2851272000, 0.7285680000", \ - "0.0210231000, 0.0253319000, 0.0354494000, 0.0588185000, 0.1193208000, 0.2846774000, 0.7276014000", \ - "0.0210242000, 0.0252539000, 0.0354058000, 0.0588468000, 0.1189867000, 0.2847199000, 0.7311814000", \ - "0.0211358000, 0.0254736000, 0.0352722000, 0.0587592000, 0.1188303000, 0.2863986000, 0.7293885000", \ - "0.0210230000, 0.0253110000, 0.0355105000, 0.0588972000, 0.1186565000, 0.2844580000, 0.7261569000", \ - "0.0210873000, 0.0253879000, 0.0356291000, 0.0589755000, 0.1191412000, 0.2849267000, 0.7225899000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0212820000, 0.0282721000, 0.0468836000, 0.0971184000, 0.2311503000, 0.5823602000, 1.5012577000", \ - "0.0213057000, 0.0282207000, 0.0468546000, 0.0972360000, 0.2312583000, 0.5839553000, 1.5046832000", \ - "0.0213332000, 0.0282669000, 0.0468953000, 0.0971952000, 0.2311193000, 0.5823459000, 1.5032714000", \ - "0.0213125000, 0.0282232000, 0.0468914000, 0.0972567000, 0.2311004000, 0.5824551000, 1.5046062000", \ - "0.0213302000, 0.0283447000, 0.0468662000, 0.0971269000, 0.2310736000, 0.5834025000, 1.5053794000", \ - "0.0214188000, 0.0283188000, 0.0469277000, 0.0970247000, 0.2307983000, 0.5807229000, 1.5012562000", \ - "0.0215041000, 0.0284405000, 0.0469380000, 0.0971372000, 0.2305759000, 0.5834145000, 1.4974206000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__dlygate4sd2_1") { - leakage_power () { - value : 0.0022656000; - when : "A"; - } - leakage_power () { - value : 0.0082558000; - when : "!A"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0052606790; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0016760000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015980000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017540000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("0.0204859000, 0.0194086000, 0.0164434000, 0.0079784000, -0.015199300, -0.076248200, -0.235590800", \ - "0.0202610000, 0.0191863000, 0.0162367000, 0.0077618000, -0.015434100, -0.076438500, -0.235781900", \ - "0.0200595000, 0.0189822000, 0.0160103000, 0.0075467000, -0.015643500, -0.076635400, -0.236023300", \ - "0.0198959000, 0.0187899000, 0.0158766000, 0.0074196000, -0.015796800, -0.076765900, -0.236178600", \ - "0.0198878000, 0.0188019000, 0.0158745000, 0.0074166000, -0.015788900, -0.076742700, -0.236162900", \ - "0.0199218000, 0.0186465000, 0.0156891000, 0.0073663000, -0.015798200, -0.076798800, -0.236157400", \ - "0.0213193000, 0.0200873000, 0.0167918000, 0.0079843000, -0.015300700, -0.076101000, -0.235469600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("0.0175555000, 0.0189614000, 0.0225274000, 0.0314743000, 0.0546859000, 0.1146796000, 0.2724435000", \ - "0.0174585000, 0.0188725000, 0.0224055000, 0.0313055000, 0.0543266000, 0.1151867000, 0.2722643000", \ - "0.0172336000, 0.0186446000, 0.0221431000, 0.0310811000, 0.0541397000, 0.1148983000, 0.2718647000", \ - "0.0169659000, 0.0183645000, 0.0218879000, 0.0308280000, 0.0538387000, 0.1140078000, 0.2719719000", \ - "0.0167844000, 0.0181868000, 0.0217143000, 0.0306488000, 0.0538912000, 0.1144713000, 0.2707501000", \ - "0.0171058000, 0.0184767000, 0.0219347000, 0.0307143000, 0.0538505000, 0.1143970000, 0.2717263000", \ - "0.0177544000, 0.0191417000, 0.0225800000, 0.0315749000, 0.0547938000, 0.1153007000, 0.2725011000"); - } - } - max_capacitance : 0.1593120000; - max_transition : 1.5059800000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.2152495000, 0.2212911000, 0.2338365000, 0.2589552000, 0.3122380000, 0.4404295000, 0.7738766000", \ - "0.2195914000, 0.2256496000, 0.2381782000, 0.2632833000, 0.3165685000, 0.4447822000, 0.7781494000", \ - "0.2319021000, 0.2379378000, 0.2504831000, 0.2756803000, 0.3288854000, 0.4570007000, 0.7909802000", \ - "0.2636303000, 0.2696133000, 0.2822783000, 0.3073516000, 0.3605600000, 0.4889746000, 0.8220951000", \ - "0.3287440000, 0.3347907000, 0.3473583000, 0.3724614000, 0.4257518000, 0.5540960000, 0.8881413000", \ - "0.4344167000, 0.4404476000, 0.4530278000, 0.4781765000, 0.5314449000, 0.6598183000, 0.9927325000", \ - "0.6003781000, 0.6064377000, 0.6190925000, 0.6441942000, 0.6974902000, 0.8258068000, 1.1588015000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.1749470000, 0.1815183000, 0.1968922000, 0.2340600000, 0.3281204000, 0.5750993000, 1.2171829000", \ - "0.1796917000, 0.1862441000, 0.2015718000, 0.2384901000, 0.3328584000, 0.5782871000, 1.2183198000", \ - "0.1905885000, 0.1971423000, 0.2124090000, 0.2494167000, 0.3438344000, 0.5892762000, 1.2318024000", \ - "0.2108745000, 0.2174671000, 0.2327781000, 0.2698591000, 0.3642549000, 0.6092942000, 1.2542194000", \ - "0.2388776000, 0.2454383000, 0.2608154000, 0.2979162000, 0.3922046000, 0.6382360000, 1.2862547000", \ - "0.2721395000, 0.2787306000, 0.2940323000, 0.3311347000, 0.4255182000, 0.6705600000, 1.3112452000", \ - "0.2995326000, 0.3061119000, 0.3213728000, 0.3584234000, 0.4527978000, 0.6979580000, 1.3377858000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0220199000, 0.0262826000, 0.0366743000, 0.0601084000, 0.1204474000, 0.2854923000, 0.7289533000", \ - "0.0220844000, 0.0264184000, 0.0367269000, 0.0602079000, 0.1205168000, 0.2858378000, 0.7298408000", \ - "0.0219990000, 0.0262416000, 0.0365675000, 0.0601559000, 0.1202734000, 0.2861120000, 0.7318724000", \ - "0.0221361000, 0.0264090000, 0.0366019000, 0.0601782000, 0.1202041000, 0.2862283000, 0.7315449000", \ - "0.0219648000, 0.0263899000, 0.0366237000, 0.0601578000, 0.1204027000, 0.2867083000, 0.7256618000", \ - "0.0221979000, 0.0264865000, 0.0367548000, 0.0602102000, 0.1199006000, 0.2874255000, 0.7299266000", \ - "0.0224624000, 0.0267515000, 0.0367882000, 0.0602294000, 0.1204153000, 0.2844630000, 0.7241014000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0230205000, 0.0299426000, 0.0483600000, 0.0982503000, 0.2318818000, 0.5838951000, 1.5054279000", \ - "0.0229701000, 0.0299132000, 0.0482889000, 0.0983947000, 0.2312173000, 0.5845116000, 1.4996351000", \ - "0.0229376000, 0.0299128000, 0.0484272000, 0.0983677000, 0.2313074000, 0.5840949000, 1.5017396000", \ - "0.0230028000, 0.0299738000, 0.0482744000, 0.0984013000, 0.2312977000, 0.5840550000, 1.5059803000", \ - "0.0229209000, 0.0299431000, 0.0484272000, 0.0982304000, 0.2318264000, 0.5832707000, 1.5046070000", \ - "0.0229663000, 0.0299381000, 0.0483284000, 0.0984081000, 0.2312788000, 0.5821855000, 1.5029099000", \ - "0.0230736000, 0.0300138000, 0.0484601000, 0.0984498000, 0.2313729000, 0.5851531000, 1.4959051000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__dlygate4sd3_1") { - leakage_power () { - value : 0.0013724000; - when : "A"; - } - leakage_power () { - value : 0.0069809000; - when : "!A"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__buf"; - cell_leakage_power : 0.0041766900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0016410000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015580000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017230000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013003870, 0.0033820130, 0.0087958540, 0.0228760300, 0.0594953800, 0.1547341000"); - values("0.0265141000, 0.0253941000, 0.0225833000, 0.0147722000, -0.007251200, -0.066455200, -0.220913100", \ - "0.0263363000, 0.0252785000, 0.0224508000, 0.0146301000, -0.007446000, -0.066668800, -0.221118100", \ - "0.0261633000, 0.0250191000, 0.0222019000, 0.0143479000, -0.007711800, -0.066926300, -0.221355100", \ - "0.0259145000, 0.0247909000, 0.0219711000, 0.0141853000, -0.007883400, -0.067064000, -0.221540800", \ - "0.0257533000, 0.0246350000, 0.0218170000, 0.0139637000, -0.008082500, -0.067244700, -0.221714200", \ - "0.0256730000, 0.0245498000, 0.0217343000, 0.0139079000, -0.008139500, -0.067359900, -0.221773400", \ - "0.0271705000, 0.0258477000, 0.0225425000, 0.0141627000, -0.007704000, -0.066920200, -0.221353800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013003870, 0.0033820130, 0.0087958540, 0.0228760300, 0.0594953800, 0.1547341000"); - values("0.0235480000, 0.0250823000, 0.0290122000, 0.0383056000, 0.0611256000, 0.1195137000, 0.2718900000", \ - "0.0234867000, 0.0250197000, 0.0288938000, 0.0382572000, 0.0611103000, 0.1195033000, 0.2730485000", \ - "0.0232679000, 0.0247965000, 0.0287106000, 0.0381030000, 0.0608995000, 0.1193713000, 0.2715476000", \ - "0.0229159000, 0.0244511000, 0.0283240000, 0.0376809000, 0.0605431000, 0.1187215000, 0.2721341000", \ - "0.0225317000, 0.0240471000, 0.0279698000, 0.0373345000, 0.0601065000, 0.1185640000, 0.2706896000", \ - "0.0223610000, 0.0239102000, 0.0278439000, 0.0371986000, 0.0600441000, 0.1184572000, 0.2707780000", \ - "0.0244849000, 0.0257903000, 0.0292222000, 0.0379588000, 0.0604143000, 0.1188196000, 0.2725151000"); - } - } - max_capacitance : 0.1547340000; - max_transition : 1.4950670000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.5120638000, 0.5214328000, 0.5404421000, 0.5753458000, 0.6416054000, 0.7772634000, 1.0992397000", \ - "0.5167138000, 0.5260317000, 0.5449337000, 0.5804400000, 0.6461230000, 0.7815158000, 1.1038167000", \ - "0.5283844000, 0.5377204000, 0.5566605000, 0.5920545000, 0.6575812000, 0.7931211000, 1.1151986000", \ - "0.5599264000, 0.5692888000, 0.5881649000, 0.6231063000, 0.6893898000, 0.8250352000, 1.1469636000", \ - "0.6316707000, 0.6410206000, 0.6600098000, 0.6953290000, 0.7609479000, 0.8967246000, 1.2186561000", \ - "0.7651026000, 0.7745045000, 0.7933595000, 0.8283246000, 0.8945671000, 1.0302003000, 1.3520610000", \ - "0.9800700000, 0.9894486000, 1.0082368000, 1.0436276000, 1.1095078000, 1.2449383000, 1.5677241000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.4998114000, 0.5089495000, 0.5285765000, 0.5701394000, 0.6668673000, 0.9095528000, 1.5405252000", \ - "0.5045179000, 0.5136551000, 0.5331524000, 0.5748917000, 0.6716904000, 0.9148460000, 1.5447300000", \ - "0.5140869000, 0.5233344000, 0.5430380000, 0.5846870000, 0.6816028000, 0.9245619000, 1.5526628000", \ - "0.5343100000, 0.5434748000, 0.5629217000, 0.6046893000, 0.7011746000, 0.9444749000, 1.5746626000", \ - "0.5680223000, 0.5771998000, 0.5968143000, 0.6385586000, 0.7351774000, 0.9786373000, 1.6067756000", \ - "0.6128227000, 0.6221012000, 0.6416894000, 0.6833976000, 0.7799209000, 1.0234245000, 1.6514372000", \ - "0.6625758000, 0.6718174000, 0.6914005000, 0.7330232000, 0.8295155000, 1.0724920000, 1.7018963000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0401866000, 0.0464831000, 0.0582036000, 0.0857656000, 0.1451656000, 0.2952143000, 0.7095290000", \ - "0.0401731000, 0.0461160000, 0.0585152000, 0.0845620000, 0.1448873000, 0.2955323000, 0.7093720000", \ - "0.0404615000, 0.0459131000, 0.0582647000, 0.0844327000, 0.1450376000, 0.2957212000, 0.7101850000", \ - "0.0400993000, 0.0460410000, 0.0582683000, 0.0856849000, 0.1451886000, 0.2952692000, 0.7097207000", \ - "0.0398749000, 0.0463918000, 0.0585918000, 0.0854098000, 0.1449278000, 0.2956000000, 0.7104890000", \ - "0.0401238000, 0.0461017000, 0.0583057000, 0.0856571000, 0.1451668000, 0.2952485000, 0.7106083000", \ - "0.0402755000, 0.0465253000, 0.0588243000, 0.0848232000, 0.1447223000, 0.2945902000, 0.7135590000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0339005000, 0.0416114000, 0.0603369000, 0.1083437000, 0.2384302000, 0.5834385000, 1.4948045000", \ - "0.0338978000, 0.0416949000, 0.0604202000, 0.1082148000, 0.2382069000, 0.5847182000, 1.4948558000", \ - "0.0340282000, 0.0418314000, 0.0604427000, 0.1084344000, 0.2384207000, 0.5846014000, 1.4950673000", \ - "0.0338772000, 0.0416616000, 0.0604859000, 0.1082537000, 0.2385101000, 0.5849667000, 1.4935420000", \ - "0.0340028000, 0.0415702000, 0.0603255000, 0.1084170000, 0.2385477000, 0.5840190000, 1.4949906000", \ - "0.0337610000, 0.0416220000, 0.0602685000, 0.1083308000, 0.2383853000, 0.5841642000, 1.4946913000", \ - "0.0338192000, 0.0416265000, 0.0602386000, 0.1084174000, 0.2384781000, 0.5840423000, 1.4949493000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__dlymetal6s2s_1") { - leakage_power () { - value : 0.0039634000; - when : "A"; - } - leakage_power () { - value : 0.0221486000; - when : "!A"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__delay"; - cell_leakage_power : 0.0130559700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0016660000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015870000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017450000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0227967000, 0.0216154000, 0.0185082000, 0.0113676000, -0.007192300, -0.062791800, -0.219854800", \ - "0.0225526000, 0.0213585000, 0.0183511000, 0.0111618000, -0.007333900, -0.062972800, -0.220126500", \ - "0.0223415000, 0.0211389000, 0.0180833000, 0.0109703000, -0.007526500, -0.063127200, -0.220197300", \ - "0.0221718000, 0.0209570000, 0.0179188000, 0.0108072000, -0.007662300, -0.063246500, -0.220338100", \ - "0.0221866000, 0.0209688000, 0.0178738000, 0.0108054000, -0.007648200, -0.063208900, -0.220147700", \ - "0.0239434000, 0.0218952000, 0.0180985000, 0.0111793000, -0.007475000, -0.062997500, -0.220018100", \ - "0.0346077000, 0.0332650000, 0.0297809000, 0.0209497000, -0.002205800, -0.062357000, -0.219291800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0245532000, 0.0275902000, 0.0352916000, 0.0453377000, 0.0679937000, 0.1273694000, 0.2837428000", \ - "0.0244607000, 0.0274860000, 0.0351902000, 0.0452514000, 0.0679552000, 0.1274284000, 0.2830940000", \ - "0.0242914000, 0.0272968000, 0.0350162000, 0.0451205000, 0.0678570000, 0.1273641000, 0.2836305000", \ - "0.0240288000, 0.0270369000, 0.0347742000, 0.0449106000, 0.0676956000, 0.1271025000, 0.2823906000", \ - "0.0242645000, 0.0271493000, 0.0346213000, 0.0446373000, 0.0674572000, 0.1270723000, 0.2827507000", \ - "0.0317136000, 0.0329659000, 0.0363334000, 0.0448951000, 0.0675388000, 0.1274063000, 0.2829254000", \ - "0.0322945000, 0.0335497000, 0.0369339000, 0.0456086000, 0.0686802000, 0.1279898000, 0.2833513000"); - } - } - max_capacitance : 0.1574810000; - max_transition : 1.4975510000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1074305000, 0.1121353000, 0.1227985000, 0.1460886000, 0.1977196000, 0.3241674000, 0.6523267000", \ - "0.1116445000, 0.1163296000, 0.1271037000, 0.1502595000, 0.2019342000, 0.3284294000, 0.6565482000", \ - "0.1241329000, 0.1288987000, 0.1396688000, 0.1628491000, 0.2145869000, 0.3410700000, 0.6692005000", \ - "0.1555073000, 0.1602539000, 0.1710668000, 0.1944138000, 0.2461542000, 0.3726190000, 0.7006510000", \ - "0.2247781000, 0.2297963000, 0.2410453000, 0.2648469000, 0.3170116000, 0.4436313000, 0.7715758000", \ - "0.3378096000, 0.3440554000, 0.3577018000, 0.3849561000, 0.4405442000, 0.5675171000, 0.8957451000", \ - "0.5134434000, 0.5216019000, 0.5392612000, 0.5733319000, 0.6358057000, 0.7670795000, 1.0930602000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0673933000, 0.0731165000, 0.0874881000, 0.1235179000, 0.2166476000, 0.4590270000, 1.0908540000", \ - "0.0721506000, 0.0779050000, 0.0922897000, 0.1284633000, 0.2215011000, 0.4638620000, 1.0956347000", \ - "0.0834270000, 0.0891813000, 0.1035200000, 0.1398649000, 0.2331748000, 0.4757236000, 1.1075340000", \ - "0.1060557000, 0.1118344000, 0.1261720000, 0.1626130000, 0.2562252000, 0.4988319000, 1.1307173000", \ - "0.1378094000, 0.1438404000, 0.1585919000, 0.1953296000, 0.2888276000, 0.5316040000, 1.1634755000", \ - "0.1756747000, 0.1824627000, 0.1982358000, 0.2350728000, 0.3288009000, 0.5716803000, 1.2035439000", \ - "0.2034476000, 0.2124750000, 0.2323581000, 0.2715859000, 0.3648821000, 0.6070069000, 1.2389491000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0285679000, 0.0324684000, 0.0415939000, 0.0645467000, 0.1246193000, 0.2881799000, 0.7229929000", \ - "0.0285050000, 0.0322195000, 0.0415532000, 0.0645233000, 0.1247104000, 0.2881997000, 0.7229042000", \ - "0.0286412000, 0.0324364000, 0.0416850000, 0.0646316000, 0.1246380000, 0.2881076000, 0.7230639000", \ - "0.0285074000, 0.0323882000, 0.0414956000, 0.0644730000, 0.1249524000, 0.2881098000, 0.7229135000", \ - "0.0324594000, 0.0358646000, 0.0447283000, 0.0666698000, 0.1254326000, 0.2882074000, 0.7231412000", \ - "0.0439304000, 0.0475829000, 0.0561517000, 0.0769108000, 0.1329663000, 0.2917137000, 0.7232818000", \ - "0.0630725000, 0.0673684000, 0.0771331000, 0.0972677000, 0.1482355000, 0.2984300000, 0.7270182000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0353022000, 0.0431570000, 0.0630181000, 0.1158313000, 0.2508896000, 0.5947172000, 1.4968431000", \ - "0.0353043000, 0.0428679000, 0.0631153000, 0.1158661000, 0.2509039000, 0.5945577000, 1.4927058000", \ - "0.0353090000, 0.0429710000, 0.0631828000, 0.1158513000, 0.2509099000, 0.5944010000, 1.4957552000", \ - "0.0364855000, 0.0438138000, 0.0636376000, 0.1159503000, 0.2509060000, 0.5946988000, 1.4975515000", \ - "0.0399652000, 0.0470667000, 0.0658317000, 0.1173764000, 0.2515010000, 0.5947952000, 1.4943492000", \ - "0.0490645000, 0.0549955000, 0.0715071000, 0.1196066000, 0.2524411000, 0.5956403000, 1.4960153000", \ - "0.0675130000, 0.0728801000, 0.0872304000, 0.1293513000, 0.2544329000, 0.5963971000, 1.4952235000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__dlymetal6s4s_1") { - leakage_power () { - value : 0.0039628000; - when : "A"; - } - leakage_power () { - value : 0.0221486000; - when : "!A"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__delay"; - cell_leakage_power : 0.0130556700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0016640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015850000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017430000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0322729000, 0.0310861000, 0.0291067000, 0.0203729000, -0.002171500, -0.062084600, -0.218033600", \ - "0.0319202000, 0.0307183000, 0.0288570000, 0.0201399000, -0.002392900, -0.062313800, -0.218258500", \ - "0.0318430000, 0.0304958000, 0.0286558000, 0.0199487000, -0.002597300, -0.062508500, -0.218461600", \ - "0.0316338000, 0.0303167000, 0.0284698000, 0.0197627000, -0.002777500, -0.062697100, -0.218633500", \ - "0.0316100000, 0.0304108000, 0.0285213000, 0.0198053000, -0.002735500, -0.062637500, -0.218608300", \ - "0.0315955000, 0.0304445000, 0.0285145000, 0.0198067000, -0.002717300, -0.062619200, -0.218475900", \ - "0.0347304000, 0.0334284000, 0.0300966000, 0.0212448000, -0.001852900, -0.061735800, -0.217283100"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0321556000, 0.0334724000, 0.0368045000, 0.0454433000, 0.0680868000, 0.1271905000, 0.2826211000", \ - "0.0320478000, 0.0333642000, 0.0366882000, 0.0453445000, 0.0679516000, 0.1270664000, 0.2824049000", \ - "0.0318517000, 0.0331577000, 0.0365347000, 0.0451682000, 0.0678412000, 0.1269191000, 0.2823058000", \ - "0.0315992000, 0.0329159000, 0.0362586000, 0.0449186000, 0.0675083000, 0.1265771000, 0.2820153000", \ - "0.0314266000, 0.0327405000, 0.0360897000, 0.0447387000, 0.0673747000, 0.1264100000, 0.2807891000", \ - "0.0318141000, 0.0331022000, 0.0364275000, 0.0449380000, 0.0675819000, 0.1267677000, 0.2809877000", \ - "0.0324081000, 0.0337066000, 0.0370677000, 0.0458195000, 0.0685875000, 0.1277750000, 0.2829238000"); - } - } - max_capacitance : 0.1565650000; - max_transition : 1.5065280000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.2205764000, 0.2253766000, 0.2362749000, 0.2596477000, 0.3115954000, 0.4387352000, 0.7682066000", \ - "0.2247699000, 0.2295587000, 0.2404270000, 0.2638667000, 0.3158545000, 0.4430094000, 0.7725395000", \ - "0.2373775000, 0.2422240000, 0.2529879000, 0.2764322000, 0.3284073000, 0.4557129000, 0.7851143000", \ - "0.2685895000, 0.2733739000, 0.2842462000, 0.3077679000, 0.3596938000, 0.4868350000, 0.8163232000", \ - "0.3386622000, 0.3434102000, 0.3542826000, 0.3777149000, 0.4296969000, 0.5569549000, 0.8863730000", \ - "0.4545683000, 0.4593913000, 0.4702401000, 0.4935962000, 0.5455673000, 0.6728076000, 1.0023219000", \ - "0.6356477000, 0.6404262000, 0.6513037000, 0.6748065000, 0.7268789000, 0.8542351000, 1.1839493000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1451251000, 0.1508976000, 0.1653745000, 0.2018985000, 0.2951755000, 0.5377954000, 1.1698190000", \ - "0.1498851000, 0.1556666000, 0.1701410000, 0.2066650000, 0.2999386000, 0.5425541000, 1.1746168000", \ - "0.1611792000, 0.1669326000, 0.1815313000, 0.2178999000, 0.3111756000, 0.5538195000, 1.1858898000", \ - "0.1839304000, 0.1896979000, 0.2041919000, 0.2406925000, 0.3339804000, 0.5765805000, 1.2086798000", \ - "0.2159076000, 0.2217508000, 0.2361707000, 0.2726380000, 0.3661311000, 0.6088052000, 1.2408696000", \ - "0.2548854000, 0.2606899000, 0.2751213000, 0.3117093000, 0.4051266000, 0.6477818000, 1.2799061000", \ - "0.2863518000, 0.2921891000, 0.3067756000, 0.3432640000, 0.4367119000, 0.6796074000, 1.3117887000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0291176000, 0.0328726000, 0.0421663000, 0.0651042000, 0.1257845000, 0.2902639000, 0.7272666000", \ - "0.0289586000, 0.0327521000, 0.0422752000, 0.0652477000, 0.1258213000, 0.2902859000, 0.7271781000", \ - "0.0291171000, 0.0326919000, 0.0421027000, 0.0651453000, 0.1261489000, 0.2904350000, 0.7271937000", \ - "0.0291354000, 0.0329549000, 0.0422519000, 0.0651993000, 0.1257781000, 0.2902806000, 0.7272435000", \ - "0.0288749000, 0.0327328000, 0.0422901000, 0.0652728000, 0.1261704000, 0.2903779000, 0.7271773000", \ - "0.0290106000, 0.0327976000, 0.0420172000, 0.0653629000, 0.1259384000, 0.2902988000, 0.7273321000", \ - "0.0291392000, 0.0329566000, 0.0422209000, 0.0653753000, 0.1262383000, 0.2903769000, 0.7275030000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0362079000, 0.0438822000, 0.0640930000, 0.1168229000, 0.2517709000, 0.5960594000, 1.4977269000", \ - "0.0361818000, 0.0438805000, 0.0640925000, 0.1168224000, 0.2517702000, 0.5967038000, 1.4954201000", \ - "0.0362257000, 0.0438014000, 0.0640556000, 0.1168344000, 0.2517759000, 0.5963061000, 1.4956143000", \ - "0.0362103000, 0.0438864000, 0.0640926000, 0.1168194000, 0.2517663000, 0.5966894000, 1.4965789000", \ - "0.0363262000, 0.0439738000, 0.0639348000, 0.1167862000, 0.2517919000, 0.5964260000, 1.5019060000", \ - "0.0362704000, 0.0441673000, 0.0639027000, 0.1168257000, 0.2517726000, 0.5962740000, 1.5065278000", \ - "0.0366430000, 0.0440724000, 0.0640806000, 0.1168372000, 0.2518062000, 0.5964818000, 1.4969370000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__dlymetal6s6s_1") { - leakage_power () { - value : 0.0039634000; - when : "A"; - } - leakage_power () { - value : 0.0221486000; - when : "!A"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__delay"; - cell_leakage_power : 0.0130559900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0016650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015860000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017440000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0338044000, 0.0326987000, 0.0297519000, 0.0212274000, -0.002103300, -0.063434700, -0.223814800", \ - "0.0335597000, 0.0324641000, 0.0295012000, 0.0209626000, -0.002350900, -0.063686600, -0.224059100", \ - "0.0333736000, 0.0322677000, 0.0293215000, 0.0207973000, -0.002533100, -0.063864300, -0.224243900", \ - "0.0331972000, 0.0320695000, 0.0291282000, 0.0206110000, -0.002722400, -0.064049700, -0.224451200", \ - "0.0332180000, 0.0321222000, 0.0291594000, 0.0206208000, -0.002691300, -0.064023700, -0.224399900", \ - "0.0332363000, 0.0321152000, 0.0291818000, 0.0206498000, -0.002682300, -0.064007000, -0.224380600", \ - "0.0348029000, 0.0335895000, 0.0302791000, 0.0214231000, -0.002015600, -0.063165300, -0.223547800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506210, 0.0234142800, 0.0612503600, 0.1602272000"); - values("0.0322433000, 0.0336354000, 0.0371159000, 0.0460875000, 0.0691932000, 0.1303346000, 0.2868806000", \ - "0.0321464000, 0.0335301000, 0.0370233000, 0.0459891000, 0.0690568000, 0.1302661000, 0.2879005000", \ - "0.0319489000, 0.0333102000, 0.0368263000, 0.0457770000, 0.0689051000, 0.1299788000, 0.2885186000", \ - "0.0316979000, 0.0330603000, 0.0365747000, 0.0455399000, 0.0686088000, 0.1298154000, 0.2864001000", \ - "0.0315197000, 0.0329131000, 0.0363927000, 0.0453357000, 0.0686728000, 0.1296108000, 0.2893030000", \ - "0.0318587000, 0.0332339000, 0.0367333000, 0.0456264000, 0.0686535000, 0.1297032000, 0.2881844000", \ - "0.0324644000, 0.0338483000, 0.0373249000, 0.0463565000, 0.0695832000, 0.1304081000, 0.2885130000"); - } - } - max_capacitance : 0.1602270000; - max_transition : 1.5127490000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.3239534000, 0.3299171000, 0.3424128000, 0.3670781000, 0.4199639000, 0.5486604000, 0.8842942000", \ - "0.3281740000, 0.3341485000, 0.3465895000, 0.3712988000, 0.4242388000, 0.5529802000, 0.8884307000", \ - "0.3407476000, 0.3467098000, 0.3592142000, 0.3838729000, 0.4367576000, 0.5654540000, 0.9010883000", \ - "0.3720951000, 0.3780036000, 0.3903973000, 0.4151099000, 0.4679931000, 0.5967957000, 0.9321860000", \ - "0.4420370000, 0.4480120000, 0.4604483000, 0.4851621000, 0.5381074000, 0.6668485000, 1.0022976000", \ - "0.5580114000, 0.5639447000, 0.5763757000, 0.6011114000, 0.6540031000, 0.7827745000, 1.1170177000", \ - "0.7392536000, 0.7452166000, 0.7576632000, 0.7822634000, 0.8351304000, 0.9640420000, 1.2980963000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.2123933000, 0.2187296000, 0.2335888000, 0.2702598000, 0.3644496000, 0.6104905000, 1.2560418000", \ - "0.2171761000, 0.2234805000, 0.2383707000, 0.2750213000, 0.3690342000, 0.6166856000, 1.2592117000", \ - "0.2284732000, 0.2347208000, 0.2496694000, 0.2864464000, 0.3808765000, 0.6279693000, 1.2754162000", \ - "0.2512216000, 0.2574801000, 0.2724200000, 0.3090441000, 0.4030885000, 0.6506445000, 1.2943446000", \ - "0.2832029000, 0.2895288000, 0.3043744000, 0.3411106000, 0.4355856000, 0.6822065000, 1.3294817000", \ - "0.3222146000, 0.3285200000, 0.3434246000, 0.3801475000, 0.4745262000, 0.7204731000, 1.3689457000", \ - "0.3537354000, 0.3600633000, 0.3748854000, 0.4115098000, 0.5056719000, 0.7524138000, 1.3949354000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0214989000, 0.0258528000, 0.0356918000, 0.0591611000, 0.1200588000, 0.2867535000, 0.7301689000", \ - "0.0214610000, 0.0257093000, 0.0357515000, 0.0592633000, 0.1198361000, 0.2864240000, 0.7305596000", \ - "0.0215082000, 0.0258814000, 0.0356785000, 0.0591582000, 0.1200550000, 0.2867556000, 0.7301478000", \ - "0.0214097000, 0.0256037000, 0.0357151000, 0.0591885000, 0.1197043000, 0.2867529000, 0.7362420000", \ - "0.0214495000, 0.0256944000, 0.0357551000, 0.0592694000, 0.1198917000, 0.2865374000, 0.7306607000", \ - "0.0214418000, 0.0254790000, 0.0354382000, 0.0592438000, 0.1197509000, 0.2866460000, 0.7290550000", \ - "0.0211456000, 0.0258099000, 0.0354529000, 0.0592248000, 0.1195113000, 0.2869052000, 0.7317605000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013079700, 0.0034215700, 0.0089506200, 0.0234143000, 0.0612504000, 0.1602270000"); - values("0.0210344000, 0.0280473000, 0.0469001000, 0.0975219000, 0.2318559000, 0.5877880000, 1.5065192000", \ - "0.0210124000, 0.0280498000, 0.0468486000, 0.0975190000, 0.2315239000, 0.5888980000, 1.5052428000", \ - "0.0210143000, 0.0280942000, 0.0468329000, 0.0973931000, 0.2319255000, 0.5860041000, 1.5082332000", \ - "0.0210076000, 0.0280743000, 0.0468406000, 0.0975308000, 0.2315063000, 0.5889038000, 1.5038567000", \ - "0.0209988000, 0.0280686000, 0.0468607000, 0.0973462000, 0.2319838000, 0.5886304000, 1.5127486000", \ - "0.0210478000, 0.0280944000, 0.0468952000, 0.0974970000, 0.2317951000, 0.5853232000, 1.5098438000", \ - "0.0209836000, 0.0280682000, 0.0468637000, 0.0973920000, 0.2319499000, 0.5871029000, 1.5019942000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__ebufn_1") { - leakage_power () { - value : 0.0025423000; - when : "!A&TE_B"; - } - leakage_power () { - value : 0.0027640000; - when : "!A&!TE_B"; - } - leakage_power () { - value : 0.0042767000; - when : "A&TE_B"; - } - leakage_power () { - value : 0.0028469000; - when : "A&!TE_B"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__ebufn"; - cell_leakage_power : 0.0031074740; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0018070000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017300000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0100960000, 0.0099851000, 0.0097295000, 0.0097976000, 0.0099546000, 0.0103163000, 0.0111502000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0028614000, 0.0027661000, 0.0025465000, 0.0026033000, 0.0027342000, 0.0030361000, 0.0037320000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018850000; - } - pin ("TE_B") { - capacitance : 0.0031340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0029300000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0033380000; - } - pin ("Z") { - capacitance : 0.0022600000; - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0042927000, 0.0033918000, 0.0012376000, -0.004096500, -0.016962500, -0.047065800, -0.116852600", \ - "0.0042629000, 0.0033576000, 0.0011888000, -0.004132600, -0.017005600, -0.047113500, -0.116864700", \ - "0.0042624000, 0.0033756000, 0.0012164000, -0.004102100, -0.016966000, -0.047057400, -0.116845100", \ - "0.0039465000, 0.0030511000, 0.0009499000, -0.004390900, -0.017233000, -0.047309200, -0.117059100", \ - "0.0036269000, 0.0027021000, 0.0005900000, -0.004694100, -0.017478500, -0.047521200, -0.117227200", \ - "0.0037401000, 0.0027249000, 0.0003745000, -0.005101100, -0.017551500, -0.047480600, -0.117080300", \ - "0.0040413000, 0.0031730000, 0.0008310000, -0.004838400, -0.017671300, -0.047614700, -0.116938900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0061601000, 0.0073294000, 0.0099984000, 0.0158862000, 0.0289839000, 0.0593744000, 0.1287680000", \ - "0.0061151000, 0.0073039000, 0.0099287000, 0.0158574000, 0.0291196000, 0.0592806000, 0.1286141000", \ - "0.0061274000, 0.0073049000, 0.0099313000, 0.0158428000, 0.0289561000, 0.0593718000, 0.1284095000", \ - "0.0057988000, 0.0068800000, 0.0094646000, 0.0153577000, 0.0285531000, 0.0586355000, 0.1275586000", \ - "0.0054756000, 0.0065837000, 0.0091247000, 0.0148410000, 0.0281339000, 0.0585951000, 0.1272792000", \ - "0.0056021000, 0.0066934000, 0.0091536000, 0.0148595000, 0.0279662000, 0.0581651000, 0.1278920000", \ - "0.0058674000, 0.0069494000, 0.0092791000, 0.0150161000, 0.0280317000, 0.0583686000, 0.1272380000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("-0.003468400, -0.003410000, -0.003309600, -0.003177100, -0.003057500, -0.002974200, -0.002928300", \ - "-0.003678500, -0.003622600, -0.003528100, -0.003403700, -0.003287500, -0.003206700, -0.003159100", \ - "-0.003973500, -0.003921300, -0.003828300, -0.003697300, -0.003570200, -0.003479700, -0.003428900", \ - "-0.004256800, -0.004213200, -0.004124400, -0.003997500, -0.003844100, -0.003728800, -0.003659500", \ - "-0.004344600, -0.004314300, -0.004251100, -0.004133500, -0.003971700, -0.003816000, -0.003707900", \ - "-0.004083700, -0.004074700, -0.004062300, -0.003998200, -0.003856400, -0.003691600, -0.003513400", \ - "-0.002830900, -0.002823600, -0.002806400, -0.002808500, -0.002714300, -0.002574400, -0.002344100"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0197133000, 0.0207666000, 0.0231903000, 0.0287946000, 0.0417604000, 0.0719525000, 0.1405361000", \ - "0.0195131000, 0.0205675000, 0.0229918000, 0.0285936000, 0.0415882000, 0.0717010000, 0.1408661000", \ - "0.0193600000, 0.0204047000, 0.0228312000, 0.0284326000, 0.0414240000, 0.0715297000, 0.1407203000", \ - "0.0192869000, 0.0203415000, 0.0227686000, 0.0283771000, 0.0413446000, 0.0713644000, 0.1406408000", \ - "0.0193989000, 0.0204487000, 0.0228647000, 0.0284626000, 0.0414417000, 0.0711838000, 0.1401599000", \ - "0.0194823000, 0.0207991000, 0.0233251000, 0.0289887000, 0.0418234000, 0.0717692000, 0.1411823000", \ - "0.0206534000, 0.0217001000, 0.0241248000, 0.0297125000, 0.0431547000, 0.0729200000, 0.1422799000"); - } - when : "A"; - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0183263000, 0.0173370000, 0.0149545000, 0.0094204000, -0.003615000, -0.033829900, -0.103643000", \ - "0.0181309000, 0.0171396000, 0.0147742000, 0.0092242000, -0.003810800, -0.034030300, -0.103842600", \ - "0.0179893000, 0.0169838000, 0.0146193000, 0.0090585000, -0.003964000, -0.034186300, -0.103959700", \ - "0.0179141000, 0.0169138000, 0.0145923000, 0.0089995000, -0.004036900, -0.034251800, -0.104072700", \ - "0.0179914000, 0.0169478000, 0.0148045000, 0.0090816000, -0.003953300, -0.034154200, -0.103980000", \ - "0.0183099000, 0.0171720000, 0.0147832000, 0.0091073000, -0.003503300, -0.033710800, -0.103530900", \ - "0.0201633000, 0.0184972000, 0.0160435000, 0.0103882000, -0.002685500, -0.032928300, -0.102279800"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("-0.003191300, -0.003234500, -0.003321700, -0.003412200, -0.003544500, -0.003610200, -0.003644100", \ - "-0.003355000, -0.003404400, -0.003488400, -0.003605300, -0.003706500, -0.003772200, -0.003805400", \ - "-0.003641000, -0.003683700, -0.003756500, -0.003852700, -0.003944500, -0.004008100, -0.004042700", \ - "-0.003916700, -0.003927200, -0.003998400, -0.004069000, -0.004136100, -0.004191500, -0.004223200", \ - "-0.003983600, -0.004013900, -0.003971100, -0.004005700, -0.004070200, -0.004127800, -0.004148300", \ - "-0.003629400, -0.003665600, -0.003716600, -0.003776000, -0.003835100, -0.003876900, -0.003903100", \ - "-0.002457700, -0.002483800, -0.002519800, -0.002570800, -0.002617700, -0.002651100, -0.002673300"); - } - when : "!A"; - } - max_capacitance : 0.0759990000; - max_transition : 1.5167910000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - three_state : "(TE_B)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0027599000, 0.0034149700, 0.0049282900, 0.0084242600, 0.0165005000, 0.0351577000, 0.0782586000"); - values("0.0929883000, 0.0982373000, 0.1086333000, 0.1294735000, 0.1724623000, 0.2676447000, 0.4865965000", \ - "0.0981475000, 0.1033002000, 0.1137337000, 0.1345919000, 0.1775845000, 0.2726771000, 0.4914177000", \ - "0.1106669000, 0.1156932000, 0.1261357000, 0.1469843000, 0.1899885000, 0.2849657000, 0.5036166000", \ - "0.1414341000, 0.1465493000, 0.1569559000, 0.1778876000, 0.2209764000, 0.3162774000, 0.5360344000", \ - "0.2029469000, 0.2084856000, 0.2193356000, 0.2411150000, 0.2849980000, 0.3802664000, 0.5983855000", \ - "0.2986436000, 0.3053728000, 0.3184874000, 0.3429096000, 0.3892842000, 0.4855838000, 0.7046508000", \ - "0.4412852000, 0.4500864000, 0.4670209000, 0.4969931000, 0.5484998000, 0.6473861000, 0.8654603000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0027599000, 0.0034149700, 0.0049282900, 0.0084242600, 0.0165005000, 0.0351577000, 0.0782586000"); - values("0.0909645000, 0.1010643000, 0.1244607000, 0.1757507000, 0.2901714000, 0.5513164000, 1.1529521000", \ - "0.0956039000, 0.1057781000, 0.1289095000, 0.1804094000, 0.2947152000, 0.5558047000, 1.1565180000", \ - "0.1066152000, 0.1167866000, 0.1398778000, 0.1909757000, 0.3054707000, 0.5690244000, 1.1698742000", \ - "0.1300444000, 0.1395034000, 0.1619714000, 0.2130801000, 0.3277315000, 0.5924446000, 1.1890299000", \ - "0.1663584000, 0.1761622000, 0.1982735000, 0.2485848000, 0.3639692000, 0.6264075000, 1.2255273000", \ - "0.2139718000, 0.2239952000, 0.2460309000, 0.2955977000, 0.4094379000, 0.6713531000, 1.2761721000", \ - "0.2649075000, 0.2772052000, 0.2995786000, 0.3498051000, 0.4622201000, 0.7253887000, 1.3240560000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0027599000, 0.0034149700, 0.0049282900, 0.0084242600, 0.0165005000, 0.0351577000, 0.0782586000"); - values("0.0266246000, 0.0310762000, 0.0410783000, 0.0633952000, 0.1156539000, 0.2410052000, 0.5295975000", \ - "0.0266097000, 0.0308660000, 0.0410392000, 0.0634028000, 0.1158140000, 0.2411341000, 0.5375177000", \ - "0.0266432000, 0.0311151000, 0.0410625000, 0.0634543000, 0.1157703000, 0.2411084000, 0.5301488000", \ - "0.0269145000, 0.0313485000, 0.0413170000, 0.0635903000, 0.1158268000, 0.2408953000, 0.5313846000", \ - "0.0312139000, 0.0354350000, 0.0449248000, 0.0663946000, 0.1172935000, 0.2407341000, 0.5313958000", \ - "0.0419349000, 0.0460536000, 0.0549117000, 0.0754891000, 0.1234689000, 0.2428370000, 0.5311430000", \ - "0.0597111000, 0.0656687000, 0.0749664000, 0.0942616000, 0.1381363000, 0.2502916000, 0.5340560000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0027599000, 0.0034149700, 0.0049282900, 0.0084242600, 0.0165005000, 0.0351577000, 0.0782586000"); - values("0.0531210000, 0.0657421000, 0.0949297000, 0.1620959000, 0.3165753000, 0.6760424000, 1.4994852000", \ - "0.0530867000, 0.0657486000, 0.0951089000, 0.1623685000, 0.3172001000, 0.6743978000, 1.4980112000", \ - "0.0530195000, 0.0657420000, 0.0950936000, 0.1623410000, 0.3169912000, 0.6782108000, 1.4974015000", \ - "0.0530819000, 0.0658460000, 0.0947350000, 0.1624032000, 0.3163502000, 0.6742186000, 1.4992354000", \ - "0.0550382000, 0.0672832000, 0.0959693000, 0.1625547000, 0.3169037000, 0.6752329000, 1.4949483000", \ - "0.0610164000, 0.0718374000, 0.0983768000, 0.1637085000, 0.3185348000, 0.6728086000, 1.5028884000", \ - "0.0754930000, 0.0860131000, 0.1097020000, 0.1684729000, 0.3191127000, 0.6779118000, 1.4943009000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0027599000, 0.0034149700, 0.0049282900, 0.0084242600, 0.0165005000, 0.0351577000, 0.0782586000"); - values("0.0724978000, 0.0767369000, 0.0858998000, 0.1053987000, 0.1476147000, 0.2424481000, 0.4620274000", \ - "0.0773022000, 0.0815371000, 0.0907031000, 0.1101903000, 0.1523967000, 0.2474221000, 0.4655507000", \ - "0.0902059000, 0.0944374000, 0.1036077000, 0.1230909000, 0.1652863000, 0.2603306000, 0.4795357000", \ - "0.1204033000, 0.1246478000, 0.1338171000, 0.1534783000, 0.1957306000, 0.2906301000, 0.5086371000", \ - "0.1708348000, 0.1754668000, 0.1853479000, 0.2057068000, 0.2489876000, 0.3443096000, 0.5642672000", \ - "0.2474142000, 0.2527243000, 0.2644624000, 0.2869831000, 0.3313170000, 0.4275796000, 0.6462631000", \ - "0.3652993000, 0.3729336000, 0.3875495000, 0.4141957000, 0.4625668000, 0.5603346000, 0.7792665000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0027599000, 0.0034149700, 0.0049282900, 0.0084242600, 0.0165005000, 0.0351577000, 0.0782586000"); - values("0.0640551000, 0.0732700000, 0.0944533000, 0.1431857000, 0.2556402000, 0.5175719000, 1.1218912000", \ - "0.0699832000, 0.0791881000, 0.1004199000, 0.1491344000, 0.2617069000, 0.5241578000, 1.1243899000", \ - "0.0833793000, 0.0925241000, 0.1137245000, 0.1624514000, 0.2750532000, 0.5377174000, 1.1371106000", \ - "0.1102221000, 0.1198175000, 0.1411854000, 0.1899382000, 0.3024667000, 0.5671858000, 1.1637800000", \ - "0.1558546000, 0.1684307000, 0.1949678000, 0.2484807000, 0.3612452000, 0.6227490000, 1.2202602000", \ - "0.2257220000, 0.2453952000, 0.2845337000, 0.3580140000, 0.4927027000, 0.7560361000, 1.3586918000", \ - "0.3164121000, 0.3499697000, 0.4156324000, 0.5333409000, 0.7275419000, 1.0559904000, 1.6694385000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0027599000, 0.0034149700, 0.0049282900, 0.0084242600, 0.0165005000, 0.0351577000, 0.0782586000"); - values("0.0232136000, 0.0278970000, 0.0383644000, 0.0615818000, 0.1148204000, 0.2408178000, 0.5384786000", \ - "0.0232446000, 0.0278395000, 0.0383404000, 0.0616060000, 0.1150870000, 0.2411849000, 0.5305582000", \ - "0.0231490000, 0.0278659000, 0.0383385000, 0.0615606000, 0.1150289000, 0.2398392000, 0.5307360000", \ - "0.0236806000, 0.0282449000, 0.0386912000, 0.0617594000, 0.1149130000, 0.2409987000, 0.5316297000", \ - "0.0270009000, 0.0313161000, 0.0412526000, 0.0638710000, 0.1165475000, 0.2402692000, 0.5317048000", \ - "0.0341303000, 0.0382720000, 0.0475864000, 0.0690021000, 0.1193417000, 0.2417249000, 0.5321620000", \ - "0.0465099000, 0.0509636000, 0.0602955000, 0.0804775000, 0.1275388000, 0.2446622000, 0.5341135000"); - } - related_pin : "TE_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0027599000, 0.0034149700, 0.0049282900, 0.0084242600, 0.0165005000, 0.0351577000, 0.0782586000"); - values("0.0533811000, 0.0657988000, 0.0949959000, 0.1620577000, 0.3164851000, 0.6773121000, 1.4989748000", \ - "0.0534020000, 0.0658687000, 0.0950285000, 0.1622036000, 0.3173702000, 0.6769993000, 1.4990911000", \ - "0.0533802000, 0.0659622000, 0.0950396000, 0.1621666000, 0.3173048000, 0.6768036000, 1.4987922000", \ - "0.0566589000, 0.0685180000, 0.0962644000, 0.1621705000, 0.3166571000, 0.6756593000, 1.4979634000", \ - "0.0743542000, 0.0862526000, 0.1120314000, 0.1710534000, 0.3181429000, 0.6726035000, 1.4951383000", \ - "0.1163410000, 0.1301976000, 0.1597242000, 0.2207082000, 0.3493886000, 0.6788662000, 1.5071423000", \ - "0.2043819000, 0.2229447000, 0.2616797000, 0.3344184000, 0.4748940000, 0.7672847000, 1.5167910000"); - } - timing_sense : "negative_unate"; - timing_type : "three_state_enable"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0027599000, 0.0034149700, 0.0049282900, 0.0084242600, 0.0165005000, 0.0351577000, 0.0782586000"); - values("0.0296542000, 0.0299864000, 0.0318400000, 0.0318400000, 0.0318400000, 0.0318400000, 0.0318400000", \ - "0.0271283000, 0.0271283000, 0.0271771000, 0.0272235000, 0.0272235000, 0.0272235000, 0.0272235000", \ - "0.0283423000, 0.0283423000, 0.0283423000, 0.0283423000, 0.0285067000, 0.0285067000, 0.0285067000", \ - "0.0251287000, 0.0251610000, 0.0251696000, 0.0251696000, 0.0251696000, 0.0251696000, 0.0251696000", \ - "0.0155868000, 0.0156778000, 0.0160241000, 0.0160241000, 0.0160241000, 0.0160241000, 0.0160241000", \ - "-0.006421800, -0.006364800, -0.006364800, -0.006326300, -0.006008300, -0.006008300, -0.006008300", \ - "-0.058569200, -0.058569200, -0.058569200, -0.058569200, -0.058569200, -0.058522000, -0.058522000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0027599000, 0.0034149700, 0.0049282900, 0.0084242600, 0.0165005000, 0.0351577000, 0.0782586000"); - values("0.0356156000, 0.0356383000, 0.0357007000, 0.0357007000, 0.0357007000, 0.0357007000, 0.0357007000", \ - "0.0410189000, 0.0410189000, 0.0410189000, 0.0410189000, 0.0410189000, 0.0410189000, 0.0410189000", \ - "0.0524107000, 0.0524107000, 0.0524107000, 0.0524107000, 0.0524107000, 0.0524107000, 0.0524107000", \ - "0.0678328000, 0.0678328000, 0.0679656000, 0.0679656000, 0.0679656000, 0.0679656000, 0.0679968000", \ - "0.0840994000, 0.0849362000, 0.0849362000, 0.0849362000, 0.0849362000, 0.0849362000, 0.0849362000", \ - "0.1005898000, 0.1008028000, 0.1012301000, 0.1012301000, 0.1023847000, 0.1023847000, 0.1023847000", \ - "0.1018812000, 0.1018812000, 0.1020764000, 0.1069239000, 0.1069239000, 0.1069239000, 0.1069239000"); - } - fall_transition ("scalar") { - values("0.0000000000"); - } - related_pin : "TE_B"; - rise_transition ("scalar") { - values("0.0000000000"); - } - timing_sense : "positive_unate"; - timing_type : "three_state_disable"; - } - } - } - - cell ("sky130_fd_sc_hd__ebufn_2") { - leakage_power () { - value : 0.0023765000; - when : "!A&TE_B"; - } - leakage_power () { - value : 0.0040487000; - when : "!A&!TE_B"; - } - leakage_power () { - value : 0.0039655000; - when : "A&TE_B"; - } - leakage_power () { - value : 0.0030441000; - when : "A&!TE_B"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__ebufn"; - cell_leakage_power : 0.0033587030; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0018180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017440000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0115475000, 0.0114426000, 0.0112008000, 0.0112772000, 0.0114533000, 0.0118592000, 0.0127949000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0060135000, 0.0059365000, 0.0057589000, 0.0058145000, 0.0059427000, 0.0062382000, 0.0069194000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018930000; - } - pin ("TE_B") { - capacitance : 0.0040830000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0037550000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044100000; - } - pin ("Z") { - capacitance : 0.0027540000; - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012556810, 0.0031534710, 0.0079195070, 0.0198887500, 0.0499478700, 0.1254372000"); - values("0.0057719000, 0.0047117000, 0.0021559000, -0.004743200, -0.023371900, -0.071701200, -0.193830100", \ - "0.0057486000, 0.0047208000, 0.0021157000, -0.004719600, -0.023390100, -0.071713000, -0.193809800", \ - "0.0057867000, 0.0048153000, 0.0021631000, -0.004762300, -0.023305300, -0.071638800, -0.193761100", \ - "0.0054767000, 0.0044162000, 0.0017646000, -0.005186200, -0.023696000, -0.071919900, -0.193994000", \ - "0.0050598000, 0.0039768000, 0.0012535000, -0.005747100, -0.024201000, -0.072277400, -0.194252800", \ - "0.0050208000, 0.0038200000, 0.0008404000, -0.006505300, -0.024763600, -0.072732300, -0.194557800", \ - "0.0061965000, 0.0047401000, 0.0015957000, -0.006033500, -0.024575600, -0.072641900, -0.194117000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012556810, 0.0031534710, 0.0079195070, 0.0198887500, 0.0499478700, 0.1254372000"); - values("0.0070743000, 0.0084660000, 0.0119121000, 0.0201158000, 0.0401223000, 0.0888260000, 0.2107471000", \ - "0.0070801000, 0.0084720000, 0.0119053000, 0.0201825000, 0.0401798000, 0.0890640000, 0.2093387000", \ - "0.0071025000, 0.0085013000, 0.0118841000, 0.0201580000, 0.0399066000, 0.0884126000, 0.2093822000", \ - "0.0068739000, 0.0082102000, 0.0113985000, 0.0195654000, 0.0393561000, 0.0880108000, 0.2092214000", \ - "0.0066301000, 0.0079029000, 0.0111047000, 0.0189377000, 0.0387823000, 0.0879299000, 0.2086614000", \ - "0.0068164000, 0.0080621000, 0.0110798000, 0.0188186000, 0.0382600000, 0.0870633000, 0.2082544000", \ - "0.0074315000, 0.0085950000, 0.0116848000, 0.0193444000, 0.0383070000, 0.0873107000, 0.2082346000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012556810, 0.0031534710, 0.0079195070, 0.0198887500, 0.0499478700, 0.1254372000"); - values("-0.006476200, -0.006381500, -0.006197600, -0.005924300, -0.005627800, -0.005441900, -0.005325400", \ - "-0.006680000, -0.006581700, -0.006403500, -0.006126900, -0.005844900, -0.005644200, -0.005544200", \ - "-0.007052000, -0.006962600, -0.006784300, -0.006501100, -0.006181500, -0.005967000, -0.005850300", \ - "-0.007454600, -0.007378300, -0.007251200, -0.006930500, -0.006612400, -0.006305300, -0.006176700", \ - "-0.007780900, -0.007726900, -0.007590800, -0.007363700, -0.006981500, -0.006633800, -0.006349600", \ - "-0.007612500, -0.007581000, -0.007591800, -0.007350700, -0.007142300, -0.006627700, -0.006374000", \ - "-0.006340400, -0.006316600, -0.006565300, -0.006182500, -0.006284400, -0.005686900, -0.005548200"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012556810, 0.0031534710, 0.0079195070, 0.0198887500, 0.0499478700, 0.1254372000"); - values("0.0290880000, 0.0303196000, 0.0333150000, 0.0409509000, 0.0601469000, 0.1084422000, 0.2289764000", \ - "0.0289106000, 0.0301369000, 0.0331507000, 0.0407739000, 0.0599620000, 0.1083575000, 0.2288162000", \ - "0.0287431000, 0.0299583000, 0.0329696000, 0.0405968000, 0.0598030000, 0.1081530000, 0.2286448000", \ - "0.0286275000, 0.0298565000, 0.0328813000, 0.0405325000, 0.0596795000, 0.1077513000, 0.2286241000", \ - "0.0287448000, 0.0299427000, 0.0329997000, 0.0405885000, 0.0597630000, 0.1080873000, 0.2286288000", \ - "0.0289872000, 0.0302752000, 0.0333844000, 0.0410672000, 0.0601189000, 0.1083658000, 0.2302092000", \ - "0.0296077000, 0.0308136000, 0.0338774000, 0.0414448000, 0.0613432000, 0.1093282000, 0.2303496000"); - } - when : "A"; - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012556810, 0.0031534710, 0.0079195070, 0.0198887500, 0.0499478700, 0.1254372000"); - values("0.0274622000, 0.0262730000, 0.0232710000, 0.0157990000, -0.003383700, -0.052027400, -0.174300500", \ - "0.0272544000, 0.0260940000, 0.0230830000, 0.0156071000, -0.003566600, -0.052197200, -0.174504100", \ - "0.0271041000, 0.0259341000, 0.0229345000, 0.0154412000, -0.003742000, -0.052370700, -0.174676300", \ - "0.0270596000, 0.0258641000, 0.0228584000, 0.0153750000, -0.003808100, -0.052443300, -0.174722500", \ - "0.0271450000, 0.0259142000, 0.0229532000, 0.0154525000, -0.003728500, -0.052372800, -0.174653500", \ - "0.0273078000, 0.0260933000, 0.0230436000, 0.0153226000, -0.003396200, -0.051999700, -0.174266500", \ - "0.0285247000, 0.0279496000, 0.0248788000, 0.0170999000, -0.002823600, -0.051541800, -0.173113000"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012556810, 0.0031534710, 0.0079195070, 0.0198887500, 0.0499478700, 0.1254372000"); - values("-0.006438000, -0.006484300, -0.006584300, -0.006743600, -0.006938900, -0.007064700, -0.007127100", \ - "-0.006625700, -0.006684200, -0.006790300, -0.006947900, -0.007125100, -0.007268000, -0.007337800", \ - "-0.006865200, -0.006928000, -0.007032600, -0.007198200, -0.007379700, -0.007513300, -0.007582000", \ - "-0.007242100, -0.007284800, -0.007333700, -0.007472200, -0.007624100, -0.007744700, -0.007812700", \ - "-0.007365500, -0.007415900, -0.007455900, -0.007585100, -0.007715700, -0.007818100, -0.007877400", \ - "-0.007226800, -0.007246200, -0.007275900, -0.007379800, -0.007531500, -0.007630100, -0.007698700", \ - "-0.006282300, -0.006290500, -0.006369800, -0.006463200, -0.006565800, -0.006621900, -0.006663600"); - } - when : "!A"; - } - max_capacitance : 0.1254370000; - max_transition : 1.5154890000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - three_state : "(TE_B)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0032537000, 0.0040093800, 0.0059071700, 0.0106732000, 0.0226425000, 0.0527016000, 0.1281910000"); - values("0.1023038000, 0.1069248000, 0.1165587000, 0.1359126000, 0.1745794000, 0.2608748000, 0.4726469000", \ - "0.1074358000, 0.1120323000, 0.1218535000, 0.1410930000, 0.1797465000, 0.2661376000, 0.4784745000", \ - "0.1204884000, 0.1251012000, 0.1348571000, 0.1541990000, 0.1928403000, 0.2790793000, 0.4907811000", \ - "0.1516795000, 0.1562217000, 0.1658837000, 0.1852109000, 0.2239573000, 0.3102768000, 0.5226203000", \ - "0.2201122000, 0.2249066000, 0.2349915000, 0.2546836000, 0.2939819000, 0.3806176000, 0.5927007000", \ - "0.3313283000, 0.3373884000, 0.3498778000, 0.3731435000, 0.4156986000, 0.5046798000, 0.7160178000", \ - "0.4996663000, 0.5076627000, 0.5237167000, 0.5538067000, 0.6049437000, 0.6985236000, 0.9108338000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0032537000, 0.0040093800, 0.0059071700, 0.0106732000, 0.0226425000, 0.0527016000, 0.1281910000"); - values("0.0877519000, 0.0953426000, 0.1135720000, 0.1572915000, 0.2630819000, 0.5224313000, 1.1657837000", \ - "0.0926065000, 0.1002128000, 0.1184274000, 0.1621918000, 0.2677473000, 0.5260579000, 1.1653669000", \ - "0.1039800000, 0.1113623000, 0.1295070000, 0.1733740000, 0.2790275000, 0.5380334000, 1.1765954000", \ - "0.1298348000, 0.1371133000, 0.1543248000, 0.1976135000, 0.3027510000, 0.5607362000, 1.2019474000", \ - "0.1731899000, 0.1805471000, 0.1980070000, 0.2399376000, 0.3449514000, 0.6057412000, 1.2449366000", \ - "0.2302974000, 0.2388115000, 0.2569499000, 0.2989339000, 0.4013596000, 0.6590615000, 1.3044776000", \ - "0.2918919000, 0.3027940000, 0.3254643000, 0.3693517000, 0.4699504000, 0.7277423000, 1.3689265000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0032537000, 0.0040093800, 0.0059071700, 0.0106732000, 0.0226425000, 0.0527016000, 0.1281910000"); - values("0.0232122000, 0.0265113000, 0.0342879000, 0.0518234000, 0.0951062000, 0.2064565000, 0.4931662000", \ - "0.0232841000, 0.0266837000, 0.0342096000, 0.0518520000, 0.0950391000, 0.2063821000, 0.4943738000", \ - "0.0233392000, 0.0265279000, 0.0342336000, 0.0517281000, 0.0950169000, 0.2064639000, 0.4941642000", \ - "0.0232959000, 0.0266446000, 0.0342501000, 0.0519633000, 0.0951033000, 0.2064625000, 0.4930376000", \ - "0.0270312000, 0.0299016000, 0.0370631000, 0.0538689000, 0.0960610000, 0.2068736000, 0.4936827000", \ - "0.0383216000, 0.0418144000, 0.0493006000, 0.0651962000, 0.1047889000, 0.2101341000, 0.4935580000", \ - "0.0575215000, 0.0617037000, 0.0708702000, 0.0881234000, 0.1256506000, 0.2217563000, 0.4974485000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0032537000, 0.0040093800, 0.0059071700, 0.0106732000, 0.0226425000, 0.0527016000, 0.1281910000"); - values("0.0385017000, 0.0475828000, 0.0700857000, 0.1266822000, 0.2679972000, 0.6208182000, 1.5044416000", \ - "0.0385115000, 0.0475162000, 0.0701542000, 0.1264449000, 0.2673845000, 0.6212928000, 1.4950463000", \ - "0.0384789000, 0.0476466000, 0.0698953000, 0.1268239000, 0.2671473000, 0.6171207000, 1.4968542000", \ - "0.0388619000, 0.0477591000, 0.0702933000, 0.1267153000, 0.2675588000, 0.6169995000, 1.4990675000", \ - "0.0418853000, 0.0503479000, 0.0719923000, 0.1271615000, 0.2677335000, 0.6213077000, 1.4958242000", \ - "0.0499665000, 0.0580488000, 0.0776917000, 0.1294014000, 0.2683959000, 0.6173865000, 1.4982623000", \ - "0.0652281000, 0.0736847000, 0.0928300000, 0.1397249000, 0.2704181000, 0.6215702000, 1.4945336000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0032537000, 0.0040093800, 0.0059071700, 0.0106732000, 0.0226425000, 0.0527016000, 0.1281910000"); - values("0.0783716000, 0.0817521000, 0.0894397000, 0.1062893000, 0.1433683000, 0.2293013000, 0.4412827000", \ - "0.0831106000, 0.0865550000, 0.0942278000, 0.1110649000, 0.1481277000, 0.2340240000, 0.4460124000", \ - "0.0961758000, 0.0995881000, 0.1072550000, 0.1240809000, 0.1611288000, 0.2470209000, 0.4591055000", \ - "0.1272127000, 0.1306477000, 0.1383172000, 0.1553353000, 0.1924225000, 0.2783441000, 0.4896857000", \ - "0.1837349000, 0.1876809000, 0.1962521000, 0.2140397000, 0.2520534000, 0.3383595000, 0.5505802000", \ - "0.2690093000, 0.2741179000, 0.2848227000, 0.3057469000, 0.3461791000, 0.4343065000, 0.6464346000", \ - "0.3982755000, 0.4051377000, 0.4194002000, 0.4458612000, 0.4930710000, 0.5852090000, 0.7985270000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0032537000, 0.0040093800, 0.0059071700, 0.0106732000, 0.0226425000, 0.0527016000, 0.1281910000"); - values("0.0586916000, 0.0654487000, 0.0818677000, 0.1224278000, 0.2240519000, 0.4808592000, 1.1194425000", \ - "0.0646488000, 0.0713847000, 0.0877588000, 0.1283376000, 0.2299118000, 0.4860902000, 1.1247179000", \ - "0.0781745000, 0.0848502000, 0.1012513000, 0.1418661000, 0.2436180000, 0.5000309000, 1.1383464000", \ - "0.1052665000, 0.1125560000, 0.1295920000, 0.1701649000, 0.2720220000, 0.5268933000, 1.1665595000", \ - "0.1481740000, 0.1580614000, 0.1800857000, 0.2280068000, 0.3315345000, 0.5882991000, 1.2273010000", \ - "0.2130277000, 0.2290153000, 0.2631426000, 0.3308883000, 0.4607918000, 0.7234689000, 1.3704221000", \ - "0.2910137000, 0.3193568000, 0.3789984000, 0.4920805000, 0.6867959000, 1.0222534000, 1.6813619000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0032537000, 0.0040093800, 0.0059071700, 0.0106732000, 0.0226425000, 0.0527016000, 0.1281910000"); - values("0.0179051000, 0.0212868000, 0.0295467000, 0.0484753000, 0.0934514000, 0.2058531000, 0.4934511000", \ - "0.0178747000, 0.0213380000, 0.0295308000, 0.0485582000, 0.0935040000, 0.2061702000, 0.4940919000", \ - "0.0178488000, 0.0213045000, 0.0295289000, 0.0484629000, 0.0934060000, 0.2061366000, 0.4943285000", \ - "0.0180336000, 0.0214430000, 0.0295518000, 0.0485282000, 0.0935263000, 0.2062258000, 0.4942387000", \ - "0.0215657000, 0.0248419000, 0.0326888000, 0.0508680000, 0.0948468000, 0.2066540000, 0.4932553000", \ - "0.0301163000, 0.0332356000, 0.0404741000, 0.0582232000, 0.0995756000, 0.2086559000, 0.4926993000", \ - "0.0444728000, 0.0476376000, 0.0552890000, 0.0721130000, 0.1118891000, 0.2145509000, 0.4952104000"); - } - related_pin : "TE_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0032537000, 0.0040093800, 0.0059071700, 0.0106732000, 0.0226425000, 0.0527016000, 0.1281910000"); - values("0.0394356000, 0.0482064000, 0.0703239000, 0.1265016000, 0.2668533000, 0.6183522000, 1.4962874000", \ - "0.0394567000, 0.0480626000, 0.0704121000, 0.1264474000, 0.2669384000, 0.6199568000, 1.4976276000", \ - "0.0394604000, 0.0482773000, 0.0704544000, 0.1264640000, 0.2665884000, 0.6192993000, 1.4977134000", \ - "0.0430778000, 0.0510153000, 0.0720876000, 0.1269732000, 0.2669207000, 0.6179797000, 1.4978644000", \ - "0.0584946000, 0.0671806000, 0.0887161000, 0.1383082000, 0.2693220000, 0.6181413000, 1.4962555000", \ - "0.0957926000, 0.1061243000, 0.1313983000, 0.1859615000, 0.3051895000, 0.6258250000, 1.5071852000", \ - "0.1769004000, 0.1922341000, 0.2260252000, 0.2935421000, 0.4270747000, 0.7168953000, 1.5154888000"); - } - timing_sense : "negative_unate"; - timing_type : "three_state_enable"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0032537000, 0.0040093800, 0.0059071700, 0.0106732000, 0.0226425000, 0.0527016000, 0.1281910000"); - values("0.0373633000, 0.0375755000, 0.0377221000, 0.0377221000, 0.0377221000, 0.0377496000, 0.0377496000", \ - "0.0358347000, 0.0370633000, 0.0370633000, 0.0370633000, 0.0370633000, 0.0370633000, 0.0370633000", \ - "0.0352518000, 0.0382556000, 0.0382556000, 0.0385486000, 0.0385486000, 0.0385486000, 0.0385486000", \ - "0.0278589000, 0.0343726000, 0.0344490000, 0.0344490000, 0.0344490000, 0.0344490000, 0.0344490000", \ - "0.0118519000, 0.0223711000, 0.0223711000, 0.0223711000, 0.0223711000, 0.0223711000, 0.0226721000", \ - "-0.023197200, -0.002199600, -0.002191500, -0.002191500, -0.002191500, -0.002191500, -0.002191500", \ - "-0.103743100, -0.060899500, -0.060899500, -0.060899500, -0.060899500, -0.060899500, -0.060899500"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0032537000, 0.0040093800, 0.0059071700, 0.0106732000, 0.0226425000, 0.0527016000, 0.1281910000"); - values("0.0466680000, 0.0466680000, 0.0467142000, 0.0467142000, 0.0467142000, 0.0467299000, 0.0467299000", \ - "0.0519942000, 0.0519954000, 0.0520032000, 0.0520032000, 0.0520336000, 0.0520336000, 0.0520336000", \ - "0.0633918000, 0.0634192000, 0.0635058000, 0.0635254000, 0.0635970000, 0.0635970000, 0.0636611000", \ - "0.0835093000, 0.0835093000, 0.0835093000, 0.0835093000, 0.0835093000, 0.0835326000, 0.0835326000", \ - "0.1083979000, 0.1084031000, 0.1084163000, 0.1084163000, 0.1093770000, 0.1093770000, 0.1093770000", \ - "0.1370455000, 0.1370455000, 0.1370455000, 0.1370455000, 0.1370455000, 0.1370455000, 0.1370455000", \ - "0.1571110000, 0.1571540000, 0.1592801000, 0.1592801000, 0.1592801000, 0.1592801000, 0.1592801000"); - } - fall_transition ("scalar") { - values("0.0000000000"); - } - related_pin : "TE_B"; - rise_transition ("scalar") { - values("0.0000000000"); - } - timing_sense : "positive_unate"; - timing_type : "three_state_disable"; - } - } - } - - cell ("sky130_fd_sc_hd__ebufn_4") { - leakage_power () { - value : 0.0060665000; - when : "!A&TE_B"; - } - leakage_power () { - value : 0.0044055000; - when : "!A&!TE_B"; - } - leakage_power () { - value : 0.0074530000; - when : "A&TE_B"; - } - leakage_power () { - value : 0.0058304000; - when : "A&!TE_B"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__ebufn"; - cell_leakage_power : 0.0059388620; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024870000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0183840000, 0.0182409000, 0.0179110000, 0.0180675000, 0.0184281000, 0.0192595000, 0.0211757000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0095670000, 0.0094616000, 0.0092185000, 0.0093263000, 0.0095748000, 0.0101474000, 0.0114674000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026010000; - } - pin ("TE_B") { - capacitance : 0.0068680000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0062590000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0074760000; - } - pin ("Z") { - capacitance : 0.0052040000; - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000"); - values("-0.012608900, -0.012488100, -0.012195300, -0.011660300, -0.011030100, -0.010562500, -0.010300300", \ - "-0.013051100, -0.012922800, -0.012631500, -0.012109900, -0.011473900, -0.011066500, -0.010831900", \ - "-0.013649200, -0.013526300, -0.013239300, -0.012716100, -0.012075100, -0.011557700, -0.011285500", \ - "-0.014351700, -0.014241500, -0.013989600, -0.013472800, -0.012751900, -0.012122700, -0.011770600", \ - "-0.014875600, -0.014798300, -0.014607000, -0.014181800, -0.013435300, -0.012635700, -0.012111300", \ - "-0.014690200, -0.014642200, -0.014515200, -0.014220000, -0.013616100, -0.012766300, -0.011997700", \ - "-0.012728900, -0.012699400, -0.012603000, -0.012353500, -0.011901000, -0.011201300, -0.010324700"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000"); - values("0.0525960000, 0.0540240000, 0.0578881000, 0.0685844000, 0.0980748000, 0.1797182000, 0.4049193000", \ - "0.0523522000, 0.0537851000, 0.0576503000, 0.0683349000, 0.0978203000, 0.1796209000, 0.4030186000", \ - "0.0521012000, 0.0535134000, 0.0574018000, 0.0680848000, 0.0975753000, 0.1791840000, 0.4046436000", \ - "0.0520402000, 0.0533876000, 0.0572835000, 0.0679604000, 0.0974548000, 0.1791407000, 0.4029778000", \ - "0.0521022000, 0.0535573000, 0.0574402000, 0.0681269000, 0.0976100000, 0.1792284000, 0.4028349000", \ - "0.0528105000, 0.0542104000, 0.0581417000, 0.0688862000, 0.0983920000, 0.1797248000, 0.4036161000", \ - "0.0535855000, 0.0549620000, 0.0588245000, 0.0696261000, 0.1002331000, 0.1818963000, 0.4062150000"); - } - when : "A"; - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000"); - values("0.0508673000, 0.0494504000, 0.0456050000, 0.0350560000, 0.0057532000, -0.076321900, -0.303172300", \ - "0.0506009000, 0.0492147000, 0.0453546000, 0.0347980000, 0.0054835000, -0.076588700, -0.303429800", \ - "0.0503513000, 0.0489442000, 0.0451464000, 0.0345898000, 0.0052509000, -0.076818900, -0.303630200", \ - "0.0502710000, 0.0488683000, 0.0449873000, 0.0344221000, 0.0051191000, -0.076974600, -0.303775100", \ - "0.0504122000, 0.0490846000, 0.0451554000, 0.0346291000, 0.0054486000, -0.076624400, -0.303449400", \ - "0.0508420000, 0.0493212000, 0.0454537000, 0.0346760000, 0.0057662000, -0.075739400, -0.302538200", \ - "0.0533309000, 0.0516941000, 0.0478324000, 0.0370649000, 0.0074754000, -0.075047500, -0.300590900"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000"); - values("-0.012745200, -0.012801100, -0.012933300, -0.013207500, -0.013568400, -0.013873300, -0.014024700", \ - "-0.013010900, -0.013072800, -0.013219700, -0.013529300, -0.013925500, -0.014255100, -0.014427400", \ - "-0.013475600, -0.013544400, -0.013694500, -0.013983100, -0.014358400, -0.014661600, -0.014816000", \ - "-0.013943000, -0.014007100, -0.014139700, -0.014396000, -0.014725900, -0.015000000, -0.015150100", \ - "-0.014299200, -0.014348200, -0.014478500, -0.014688400, -0.014974000, -0.015222400, -0.015353800", \ - "-0.014056600, -0.014017200, -0.014131700, -0.014332000, -0.014566400, -0.014785900, -0.014957500", \ - "-0.012473200, -0.012458900, -0.012696600, -0.012824000, -0.012960700, -0.013120100, -0.013220600"); - } - when : "!A"; - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000"); - values("0.0126722000, 0.0114882000, 0.0082141000, -0.001339300, -0.029341900, -0.110517300, -0.336982700", \ - "0.0126802000, 0.0115405000, 0.0081319000, -0.001333800, -0.029321800, -0.110554300, -0.337005300", \ - "0.0127200000, 0.0115572000, 0.0082009000, -0.001243700, -0.029309400, -0.110416300, -0.336878500", \ - "0.0122655000, 0.0110616000, 0.0076811000, -0.001882700, -0.029885200, -0.110954000, -0.337262200", \ - "0.0115422000, 0.0102671000, 0.0067340000, -0.002972400, -0.030916800, -0.111784200, -0.337868200", \ - "0.0108582000, 0.0094379000, 0.0057741000, -0.004142000, -0.032024900, -0.112649900, -0.338492000", \ - "0.0130870000, 0.0116232000, 0.0080957000, -0.002214300, -0.031404300, -0.113426900, -0.338924600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000"); - values("0.0153047000, 0.0169122000, 0.0213793000, 0.0333478000, 0.0642715000, 0.1465180000, 0.3723705000", \ - "0.0153194000, 0.0169417000, 0.0214080000, 0.0333410000, 0.0642679000, 0.1465404000, 0.3729373000", \ - "0.0154033000, 0.0170095000, 0.0214138000, 0.0332491000, 0.0642061000, 0.1465423000, 0.3722662000", \ - "0.0149867000, 0.0165474000, 0.0208055000, 0.0324389000, 0.0632042000, 0.1466965000, 0.3705431000", \ - "0.0145057000, 0.0160037000, 0.0201827000, 0.0314915000, 0.0621478000, 0.1454610000, 0.3698455000", \ - "0.0149142000, 0.0163514000, 0.0202682000, 0.0310591000, 0.0610766000, 0.1437859000, 0.3690581000", \ - "0.0154784000, 0.0169214000, 0.0208134000, 0.0315001000, 0.0616066000, 0.1437341000, 0.3688011000"); - } - } - max_capacitance : 0.2197360000; - max_transition : 1.5199760000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - three_state : "(TE_B)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0057039000, 0.0065825600, 0.0090053300, 0.0156857000, 0.0341057000, 0.0848957000, 0.2249400000"); - values("0.1149255000, 0.1182594000, 0.1261999000, 0.1435066000, 0.1794014000, 0.2621976000, 0.4791252000", \ - "0.1200205000, 0.1233917000, 0.1313370000, 0.1487107000, 0.1845900000, 0.2672957000, 0.4846111000", \ - "0.1329951000, 0.1364021000, 0.1443256000, 0.1616579000, 0.1976727000, 0.2804021000, 0.4974550000", \ - "0.1638803000, 0.1671765000, 0.1750590000, 0.1922302000, 0.2282795000, 0.3110634000, 0.5286851000", \ - "0.2347749000, 0.2381224000, 0.2460856000, 0.2633813000, 0.2997506000, 0.3827799000, 0.6009486000", \ - "0.3544641000, 0.3586965000, 0.3687057000, 0.3895948000, 0.4298205000, 0.5155218000, 0.7335433000", \ - "0.5378363000, 0.5430065000, 0.5564657000, 0.5835148000, 0.6334583000, 0.7236570000, 0.9421184000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0057039000, 0.0065825600, 0.0090053300, 0.0156857000, 0.0341057000, 0.0848957000, 0.2249400000"); - values("0.0931247000, 0.0982667000, 0.1118656000, 0.1478312000, 0.2413614000, 0.4893734000, 1.1656867000", \ - "0.0978272000, 0.1030348000, 0.1166651000, 0.1527066000, 0.2463569000, 0.4941735000, 1.1801111000", \ - "0.1091550000, 0.1142340000, 0.1277920000, 0.1634938000, 0.2568692000, 0.5051237000, 1.1919775000", \ - "0.1346575000, 0.1395578000, 0.1528057000, 0.1876632000, 0.2807128000, 0.5308335000, 1.2062495000", \ - "0.1784412000, 0.1835770000, 0.1968519000, 0.2311620000, 0.3238268000, 0.5761045000, 1.2493176000", \ - "0.2357254000, 0.2415706000, 0.2556813000, 0.2899362000, 0.3806852000, 0.6278761000, 1.3052650000", \ - "0.2929643000, 0.3005136000, 0.3182493000, 0.3552434000, 0.4460424000, 0.6925852000, 1.3682133000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0057039000, 0.0065825600, 0.0090053300, 0.0156857000, 0.0341057000, 0.0848957000, 0.2249400000"); - values("0.0259198000, 0.0280775000, 0.0340358000, 0.0477852000, 0.0853510000, 0.1892935000, 0.4882839000", \ - "0.0259959000, 0.0282271000, 0.0338118000, 0.0480683000, 0.0852708000, 0.1895726000, 0.4879205000", \ - "0.0260338000, 0.0279955000, 0.0338235000, 0.0480106000, 0.0853003000, 0.1894089000, 0.4882610000", \ - "0.0260331000, 0.0280645000, 0.0338135000, 0.0478875000, 0.0852256000, 0.1896505000, 0.4862058000", \ - "0.0284393000, 0.0306005000, 0.0358212000, 0.0493556000, 0.0862938000, 0.1897059000, 0.4876610000", \ - "0.0410809000, 0.0434982000, 0.0492732000, 0.0627835000, 0.0959842000, 0.1941683000, 0.4882271000", \ - "0.0614382000, 0.0646611000, 0.0715438000, 0.0870442000, 0.1193566000, 0.2101172000, 0.4914112000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0057039000, 0.0065825600, 0.0090053300, 0.0156857000, 0.0341057000, 0.0848957000, 0.2249400000"); - values("0.0392873000, 0.0453710000, 0.0618428000, 0.1071766000, 0.2311050000, 0.5684255000, 1.5036670000", \ - "0.0393588000, 0.0453007000, 0.0618754000, 0.1072600000, 0.2308106000, 0.5686339000, 1.5126327000", \ - "0.0394082000, 0.0453082000, 0.0618193000, 0.1068964000, 0.2309538000, 0.5680670000, 1.5096161000", \ - "0.0397007000, 0.0456579000, 0.0619891000, 0.1071963000, 0.2310266000, 0.5721811000, 1.4981185000", \ - "0.0430421000, 0.0484032000, 0.0640807000, 0.1078064000, 0.2312350000, 0.5721657000, 1.4995346000", \ - "0.0511742000, 0.0565202000, 0.0709245000, 0.1115338000, 0.2322099000, 0.5684732000, 1.5023693000", \ - "0.0664883000, 0.0723523000, 0.0872889000, 0.1246317000, 0.2357960000, 0.5730348000, 1.4972052000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0057039000, 0.0065825600, 0.0090053300, 0.0156857000, 0.0341057000, 0.0848957000, 0.2249400000"); - values("0.0813905000, 0.0837478000, 0.0896998000, 0.1040223000, 0.1375861000, 0.2194636000, 0.4365363000", \ - "0.0860825000, 0.0884471000, 0.0943149000, 0.1086726000, 0.1422580000, 0.2241227000, 0.4414702000", \ - "0.0988956000, 0.1012466000, 0.1072332000, 0.1214345000, 0.1551395000, 0.2370477000, 0.4551521000", \ - "0.1299342000, 0.1322927000, 0.1382792000, 0.1526049000, 0.1861807000, 0.2681197000, 0.4862889000", \ - "0.1874616000, 0.1901358000, 0.1968910000, 0.2121533000, 0.2464834000, 0.3290135000, 0.5471897000", \ - "0.2755760000, 0.2790126000, 0.2874945000, 0.3054346000, 0.3432147000, 0.4278716000, 0.6460567000", \ - "0.4113449000, 0.4161404000, 0.4273041000, 0.4509820000, 0.4959207000, 0.5854775000, 0.8052182000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0057039000, 0.0065825600, 0.0090053300, 0.0156857000, 0.0341057000, 0.0848957000, 0.2249400000"); - values("0.0617656000, 0.0663995000, 0.0785755000, 0.1112609000, 0.2002138000, 0.4456290000, 1.1293180000", \ - "0.0674377000, 0.0721169000, 0.0843421000, 0.1170597000, 0.2059030000, 0.4510663000, 1.1307449000", \ - "0.0811675000, 0.0857948000, 0.0978643000, 0.1306635000, 0.2196403000, 0.4650992000, 1.1464052000", \ - "0.1077861000, 0.1130997000, 0.1258079000, 0.1588302000, 0.2477742000, 0.4931618000, 1.1690001000", \ - "0.1517599000, 0.1582913000, 0.1747083000, 0.2144738000, 0.3069211000, 0.5525901000, 1.2291705000", \ - "0.2186099000, 0.2291682000, 0.2548092000, 0.3119041000, 0.4310452000, 0.6878079000, 1.3647488000", \ - "0.3047341000, 0.3234915000, 0.3688720000, 0.4655376000, 0.6471896000, 0.9798612000, 1.6785845000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0057039000, 0.0065825600, 0.0090053300, 0.0156857000, 0.0341057000, 0.0848957000, 0.2249400000"); - values("0.0185657000, 0.0209026000, 0.0271353000, 0.0430676000, 0.0829317000, 0.1892509000, 0.4883497000", \ - "0.0185616000, 0.0209207000, 0.0271784000, 0.0431189000, 0.0828969000, 0.1892695000, 0.4879238000", \ - "0.0185950000, 0.0209236000, 0.0271803000, 0.0430489000, 0.0828615000, 0.1888524000, 0.4866497000", \ - "0.0187754000, 0.0210828000, 0.0272295000, 0.0431159000, 0.0829451000, 0.1889089000, 0.4869470000", \ - "0.0224449000, 0.0246207000, 0.0304355000, 0.0454768000, 0.0841061000, 0.1894090000, 0.4871054000", \ - "0.0313988000, 0.0334840000, 0.0387812000, 0.0526774000, 0.0897822000, 0.1922353000, 0.4865852000", \ - "0.0465384000, 0.0485619000, 0.0539381000, 0.0678959000, 0.1027244000, 0.1995354000, 0.4884083000"); - } - related_pin : "TE_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0057039000, 0.0065825600, 0.0090053300, 0.0156857000, 0.0341057000, 0.0848957000, 0.2249400000"); - values("0.0404073000, 0.0462863000, 0.0622998000, 0.1072643000, 0.2309012000, 0.5705887000, 1.5112268000", \ - "0.0403334000, 0.0462371000, 0.0624405000, 0.1072874000, 0.2308918000, 0.5712378000, 1.5019913000", \ - "0.0405621000, 0.0461246000, 0.0622589000, 0.1073260000, 0.2309304000, 0.5704849000, 1.5106717000", \ - "0.0439604000, 0.0492479000, 0.0646142000, 0.1080238000, 0.2309428000, 0.5707148000, 1.5067138000", \ - "0.0578448000, 0.0638715000, 0.0803653000, 0.1207746000, 0.2348869000, 0.5703728000, 1.5023632000", \ - "0.0935197000, 0.1005041000, 0.1192563000, 0.1648691000, 0.2735171000, 0.5797945000, 1.5016944000", \ - "0.1732278000, 0.1829150000, 0.2081673000, 0.2648584000, 0.3861906000, 0.6719230000, 1.5199760000"); - } - timing_sense : "negative_unate"; - timing_type : "three_state_enable"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0057039000, 0.0065825600, 0.0090053300, 0.0156857000, 0.0341057000, 0.0848957000, 0.2249400000"); - values("0.0413630000, 0.0421091000, 0.0421091000, 0.0421091000, 0.0421091000, 0.0421091000, 0.0421091000", \ - "0.0409809000, 0.0409809000, 0.0409809000, 0.0410081000, 0.0410081000, 0.0410081000, 0.0410081000", \ - "0.0413356000, 0.0418487000, 0.0428976000, 0.0428976000, 0.0429494000, 0.0429494000, 0.0429494000", \ - "0.0342400000, 0.0350030000, 0.0375927000, 0.0376196000, 0.0378868000, 0.0378868000, 0.0380626000", \ - "0.0172446000, 0.0191497000, 0.0239132000, 0.0240317000, 0.0240317000, 0.0240317000, 0.0240317000", \ - "-0.016831400, -0.014335100, -0.005711900, -0.004933200, -0.004933200, -0.004933200, -0.004933200", \ - "-0.094350600, -0.088057000, -0.070742700, -0.070742700, -0.070742700, -0.070742700, -0.070547600"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0057039000, 0.0065825600, 0.0090053300, 0.0156857000, 0.0341057000, 0.0848957000, 0.2249400000"); - values("0.0476136000, 0.0476141000, 0.0477552000, 0.0477552000, 0.0477552000, 0.0478065000, 0.0478065000", \ - "0.0529936000, 0.0529936000, 0.0529936000, 0.0529936000, 0.0529936000, 0.0529936000, 0.0529936000", \ - "0.0640902000, 0.0640902000, 0.0640902000, 0.0640902000, 0.0640979000, 0.0641154000, 0.0641154000", \ - "0.0837798000, 0.0837804000, 0.0838952000, 0.0838952000, 0.0839377000, 0.0839377000, 0.0839377000", \ - "0.1086178000, 0.1086178000, 0.1086178000, 0.1086178000, 0.1086178000, 0.1086518000, 0.1086518000", \ - "0.1352346000, 0.1352903000, 0.1354519000, 0.1354519000, 0.1354519000, 0.1358874000, 0.1358874000", \ - "0.1519787000, 0.1519823000, 0.1519900000, 0.1519900000, 0.1519900000, 0.1519900000, 0.1519900000"); - } - fall_transition ("scalar") { - values("0.0000000000"); - } - related_pin : "TE_B"; - rise_transition ("scalar") { - values("0.0000000000"); - } - timing_sense : "positive_unate"; - timing_type : "three_state_disable"; - } - } - } - - cell ("sky130_fd_sc_hd__ebufn_8") { - leakage_power () { - value : 0.0037873000; - when : "!A&TE_B"; - } - leakage_power () { - value : 0.0061811000; - when : "!A&!TE_B"; - } - leakage_power () { - value : 0.0068191000; - when : "A&TE_B"; - } - leakage_power () { - value : 0.0085232000; - when : "A&!TE_B"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__ebufn"; - cell_leakage_power : 0.0063276490; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0044740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042540000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0328745000, 0.0326427000, 0.0321084000, 0.0323713000, 0.0329773000, 0.0343741000, 0.0375938000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0142226000, 0.0140780000, 0.0137447000, 0.0139324000, 0.0143649000, 0.0153619000, 0.0176601000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046950000; - } - pin ("TE_B") { - capacitance : 0.0105390000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0094820000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0115960000; - } - pin ("Z") { - capacitance : 0.0097500000; - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015110230, 0.0045663790, 0.0137998000, 0.0417036400, 0.1260303000, 0.3808692000"); - values("0.0273263000, 0.0259589000, 0.0218524000, 0.0090837000, -0.032592000, -0.166470500, -0.578278900", \ - "0.0273730000, 0.0259707000, 0.0219099000, 0.0090903000, -0.032667200, -0.166540300, -0.578323200", \ - "0.0273753000, 0.0259738000, 0.0218215000, 0.0091400000, -0.032525900, -0.166373600, -0.578152800", \ - "0.0265457000, 0.0252114000, 0.0210435000, 0.0080080000, -0.033605000, -0.167321200, -0.578804900", \ - "0.0252813000, 0.0237974000, 0.0193754000, 0.0061631000, -0.035671800, -0.168712600, -0.579831500", \ - "0.0248968000, 0.0232910000, 0.0186400000, 0.0048116000, -0.036282900, -0.169745400, -0.580410200", \ - "0.0297953000, 0.0280170000, 0.0228034000, 0.0078417000, -0.038580800, -0.172019600, -0.581818600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015110230, 0.0045663790, 0.0137998000, 0.0417036400, 0.1260303000, 0.3808692000"); - values("0.0325657000, 0.0345456000, 0.0403295000, 0.0573337000, 0.1057614000, 0.2425720000, 0.6507960000", \ - "0.0325420000, 0.0345083000, 0.0404074000, 0.0574077000, 0.1055584000, 0.2440667000, 0.6508898000", \ - "0.0325117000, 0.0344416000, 0.0402883000, 0.0572250000, 0.1051692000, 0.2436652000, 0.6550374000", \ - "0.0319978000, 0.0338473000, 0.0393991000, 0.0558745000, 0.1034379000, 0.2424856000, 0.6507225000", \ - "0.0311997000, 0.0329375000, 0.0383230000, 0.0542450000, 0.1014222000, 0.2393511000, 0.6523242000", \ - "0.0314600000, 0.0331179000, 0.0381257000, 0.0526691000, 0.0992131000, 0.2372285000, 0.6501552000", \ - "0.0327887000, 0.0344269000, 0.0393335000, 0.0544197000, 0.0999979000, 0.2366043000, 0.6466984000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015110230, 0.0045663790, 0.0137998000, 0.0417036400, 0.1260303000, 0.3808692000"); - values("-0.024482000, -0.024337700, -0.023905200, -0.022970000, -0.021611900, -0.020473800, -0.019878900", \ - "-0.025005600, -0.024848900, -0.024424900, -0.023498400, -0.022186100, -0.021045800, -0.020450100", \ - "-0.025752000, -0.025602700, -0.025196000, -0.024271500, -0.022870300, -0.021680200, -0.021020800", \ - "-0.026837700, -0.026706400, -0.026337500, -0.025455700, -0.023959800, -0.022489400, -0.021672500", \ - "-0.027506200, -0.027409900, -0.027133700, -0.026428800, -0.025015700, -0.023259500, -0.022047200", \ - "-0.028197100, -0.028131400, -0.027936600, -0.027445700, -0.026351800, -0.024542000, -0.022816900", \ - "-0.027018500, -0.026955100, -0.026748200, -0.026325400, -0.025509100, -0.024681700, -0.022756800"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015110230, 0.0045663790, 0.0137998000, 0.0417036400, 0.1260303000, 0.3808692000"); - values("0.0953919000, 0.0970948000, 0.1022110000, 0.1171392000, 0.1618289000, 0.2968763000, 0.7042488000", \ - "0.0951609000, 0.0968782000, 0.1019531000, 0.1169236000, 0.1615454000, 0.2964408000, 0.7040799000", \ - "0.0948311000, 0.0965870000, 0.1016547000, 0.1166413000, 0.1612856000, 0.2963242000, 0.7036114000", \ - "0.0945582000, 0.0963654000, 0.1014394000, 0.1164690000, 0.1610738000, 0.2960920000, 0.7037895000", \ - "0.0945066000, 0.0962353000, 0.1014367000, 0.1164801000, 0.1611628000, 0.2961246000, 0.7036272000", \ - "0.0952546000, 0.0969571000, 0.1019329000, 0.1168792000, 0.1618665000, 0.2965438000, 0.7040271000", \ - "0.0951458000, 0.0968704000, 0.1016346000, 0.1164518000, 0.1634567000, 0.2987366000, 0.7074564000"); - } - when : "A"; - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015110230, 0.0045663790, 0.0137998000, 0.0417036400, 0.1260303000, 0.3808692000"); - values("0.0958947000, 0.0942471000, 0.0894349000, 0.0748540000, 0.0306481000, -0.105421600, -0.518124900", \ - "0.0956363000, 0.0940312000, 0.0892163000, 0.0746510000, 0.0303812000, -0.105665900, -0.518396000", \ - "0.0952386000, 0.0937123000, 0.0889172000, 0.0743517000, 0.0300717000, -0.105932900, -0.518708100", \ - "0.0951332000, 0.0935001000, 0.0887090000, 0.0741410000, 0.0298652000, -0.106144400, -0.518912000", \ - "0.0950016000, 0.0934021000, 0.0886234000, 0.0740533000, 0.0298414000, -0.106202900, -0.518971300", \ - "0.0930157000, 0.0915843000, 0.0871004000, 0.0735504000, 0.0304944000, -0.105529800, -0.518315700", \ - "0.0971523000, 0.0955060000, 0.0905482000, 0.0755963000, 0.0304097000, -0.105218400, -0.516709400"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015110230, 0.0045663790, 0.0137998000, 0.0417036400, 0.1260303000, 0.3808692000"); - values("-0.025255000, -0.025394600, -0.025550600, -0.025916400, -0.026536900, -0.027113000, -0.027444900", \ - "-0.025760900, -0.025817800, -0.025991700, -0.026390700, -0.027043500, -0.027638100, -0.027968600", \ - "-0.026157100, -0.026224200, -0.026454100, -0.026818500, -0.027495200, -0.028160300, -0.028527100", \ - "-0.026733700, -0.026804800, -0.026993700, -0.027400100, -0.028034000, -0.028628500, -0.028973500", \ - "-0.026966000, -0.027032800, -0.027205700, -0.027629300, -0.028066200, -0.028584700, -0.028904900", \ - "-0.027643500, -0.027706700, -0.027850200, -0.028163800, -0.028502600, -0.028954800, -0.029257200", \ - "-0.026257300, -0.026308700, -0.026433600, -0.026717400, -0.027097800, -0.027507800, -0.027747400"); - } - when : "!A"; - } - max_capacitance : 0.3808690000; - max_transition : 1.5205050000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - three_state : "(TE_B)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0102496000, 0.0112606000, 0.0143160000, 0.0235494000, 0.0514532000, 0.1357800000, 0.3906190000"); - values("0.1204172000, 0.1227875000, 0.1292726000, 0.1451509000, 0.1813781000, 0.2690331000, 0.5185488000", \ - "0.1257269000, 0.1279296000, 0.1344749000, 0.1504695000, 0.1866001000, 0.2743905000, 0.5233244000", \ - "0.1384981000, 0.1408446000, 0.1472812000, 0.1632946000, 0.1995359000, 0.2876089000, 0.5370390000", \ - "0.1703799000, 0.1726847000, 0.1792031000, 0.1950242000, 0.2312974000, 0.3191540000, 0.5685363000", \ - "0.2420524000, 0.2444288000, 0.2508010000, 0.2666209000, 0.3030124000, 0.3911523000, 0.6411455000", \ - "0.3673220000, 0.3702159000, 0.3780670000, 0.3966431000, 0.4357283000, 0.5266126000, 0.7760533000", \ - "0.5647163000, 0.5683731000, 0.5782642000, 0.6021039000, 0.6499651000, 0.7475661000, 0.9977651000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0102496000, 0.0112606000, 0.0143160000, 0.0235494000, 0.0514532000, 0.1357800000, 0.3906190000"); - values("0.0918757000, 0.0953930000, 0.1058788000, 0.1355275000, 0.2197681000, 0.4582282000, 1.1717322000", \ - "0.0965392000, 0.1000516000, 0.1103575000, 0.1403582000, 0.2246187000, 0.4644618000, 1.1792712000", \ - "0.1075082000, 0.1110468000, 0.1212566000, 0.1507943000, 0.2347606000, 0.4748913000, 1.1893931000", \ - "0.1322669000, 0.1356560000, 0.1455553000, 0.1743317000, 0.2572909000, 0.4992124000, 1.2077108000", \ - "0.1716515000, 0.1750293000, 0.1848907000, 0.2129493000, 0.2952328000, 0.5354925000, 1.2479309000", \ - "0.2209626000, 0.2246818000, 0.2349285000, 0.2627544000, 0.3434198000, 0.5827903000, 1.2978936000", \ - "0.2631786000, 0.2679861000, 0.2808593000, 0.3122841000, 0.3916210000, 0.6290123000, 1.3403879000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0102496000, 0.0112606000, 0.0143160000, 0.0235494000, 0.0514532000, 0.1357800000, 0.3906190000"); - values("0.0286192000, 0.0303247000, 0.0349220000, 0.0480170000, 0.0854048000, 0.1974369000, 0.5463155000", \ - "0.0286195000, 0.0302494000, 0.0350892000, 0.0481002000, 0.0852083000, 0.1977651000, 0.5470394000", \ - "0.0286239000, 0.0302343000, 0.0350796000, 0.0480212000, 0.0854013000, 0.1976993000, 0.5466466000", \ - "0.0285983000, 0.0302076000, 0.0351924000, 0.0480932000, 0.0853449000, 0.1977067000, 0.5468306000", \ - "0.0306341000, 0.0321826000, 0.0368233000, 0.0497137000, 0.0864446000, 0.1977003000, 0.5464824000", \ - "0.0425794000, 0.0443376000, 0.0490134000, 0.0608520000, 0.0959906000, 0.2018837000, 0.5467605000", \ - "0.0630570000, 0.0651177000, 0.0706672000, 0.0844930000, 0.1180011000, 0.2153521000, 0.5510397000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0102496000, 0.0112606000, 0.0143160000, 0.0235494000, 0.0514532000, 0.1357800000, 0.3906190000"); - values("0.0422406000, 0.0459658000, 0.0580660000, 0.0945990000, 0.2031696000, 0.5256226000, 1.5031085000", \ - "0.0420427000, 0.0459607000, 0.0581827000, 0.0946060000, 0.2029671000, 0.5291884000, 1.5010769000", \ - "0.0420246000, 0.0459156000, 0.0581945000, 0.0945860000, 0.2030476000, 0.5286425000, 1.5103013000", \ - "0.0424728000, 0.0462961000, 0.0582186000, 0.0945209000, 0.2033146000, 0.5292978000, 1.4994251000", \ - "0.0447870000, 0.0486678000, 0.0601289000, 0.0961576000, 0.2034014000, 0.5257194000, 1.5056419000", \ - "0.0520946000, 0.0555274000, 0.0662593000, 0.0991636000, 0.2042120000, 0.5262505000, 1.5045626000", \ - "0.0669657000, 0.0708851000, 0.0814653000, 0.1115436000, 0.2079069000, 0.5296706000, 1.4992858000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0102496000, 0.0112606000, 0.0143160000, 0.0235494000, 0.0514532000, 0.1357800000, 0.3906190000"); - values("0.1189062000, 0.1208139000, 0.1261325000, 0.1399563000, 0.1744885000, 0.2630015000, 0.5123171000", \ - "0.1234764000, 0.1254135000, 0.1306975000, 0.1445713000, 0.1791093000, 0.2676301000, 0.5169734000", \ - "0.1356297000, 0.1375429000, 0.1430336000, 0.1568785000, 0.1914215000, 0.2798964000, 0.5291669000", \ - "0.1665830000, 0.1684625000, 0.1738022000, 0.1876981000, 0.2222462000, 0.3107422000, 0.5600611000", \ - "0.2354769000, 0.2375193000, 0.2431412000, 0.2574595000, 0.2923023000, 0.3809423000, 0.6304956000", \ - "0.3490713000, 0.3517141000, 0.3586699000, 0.3760468000, 0.4146354000, 0.5065471000, 0.7566323000", \ - "0.5220401000, 0.5257039000, 0.5355615000, 0.5584914000, 0.6062080000, 0.7055356000, 0.9588793000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0102496000, 0.0112606000, 0.0143160000, 0.0235494000, 0.0514532000, 0.1357800000, 0.3906190000"); - values("0.0727643000, 0.0759409000, 0.0851992000, 0.1116186000, 0.1898807000, 0.4246217000, 1.1328487000", \ - "0.0776097000, 0.0807859000, 0.0900408000, 0.1164746000, 0.1948304000, 0.4292973000, 1.1371117000", \ - "0.0904043000, 0.0937181000, 0.1029870000, 0.1294341000, 0.2078138000, 0.4422940000, 1.1504654000", \ - "0.1174346000, 0.1207617000, 0.1304323000, 0.1574010000, 0.2358815000, 0.4704829000, 1.1781904000", \ - "0.1617965000, 0.1662739000, 0.1785135000, 0.2108924000, 0.2943521000, 0.5294237000, 1.2375584000", \ - "0.2328737000, 0.2393587000, 0.2581854000, 0.3046559000, 0.4125536000, 0.6633363000, 1.3723422000", \ - "0.3258456000, 0.3390380000, 0.3718845000, 0.4509155000, 0.6186218000, 0.9453435000, 1.6831458000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0102496000, 0.0112606000, 0.0143160000, 0.0235494000, 0.0514532000, 0.1357800000, 0.3906190000"); - values("0.0252141000, 0.0269583000, 0.0320134000, 0.0462804000, 0.0859376000, 0.1997864000, 0.5468370000", \ - "0.0252422000, 0.0269162000, 0.0319978000, 0.0463156000, 0.0859209000, 0.1995933000, 0.5469276000", \ - "0.0252084000, 0.0269061000, 0.0320323000, 0.0462994000, 0.0859208000, 0.1997862000, 0.5465731000", \ - "0.0252751000, 0.0269542000, 0.0320017000, 0.0463345000, 0.0859349000, 0.1997128000, 0.5463727000", \ - "0.0277038000, 0.0292199000, 0.0339768000, 0.0476636000, 0.0865526000, 0.1999364000, 0.5465934000", \ - "0.0387137000, 0.0401606000, 0.0443790000, 0.0567265000, 0.0935733000, 0.2034042000, 0.5469596000", \ - "0.0586960000, 0.0601396000, 0.0643253000, 0.0761723000, 0.1101700000, 0.2141150000, 0.5503721000"); - } - related_pin : "TE_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0102496000, 0.0112606000, 0.0143160000, 0.0235494000, 0.0514532000, 0.1357800000, 0.3906190000"); - values("0.0450480000, 0.0486495000, 0.0602175000, 0.0956382000, 0.2033334000, 0.5265582000, 1.5009617000", \ - "0.0450005000, 0.0486565000, 0.0602525000, 0.0954381000, 0.2031271000, 0.5266766000, 1.5011700000", \ - "0.0449539000, 0.0486869000, 0.0603341000, 0.0955500000, 0.2033497000, 0.5256968000, 1.4991928000", \ - "0.0478956000, 0.0514417000, 0.0624041000, 0.0969116000, 0.2035336000, 0.5258388000, 1.5050609000", \ - "0.0616485000, 0.0655273000, 0.0767943000, 0.1102163000, 0.2090838000, 0.5265679000, 1.5020387000", \ - "0.0952977000, 0.0998566000, 0.1133386000, 0.1503275000, 0.2484731000, 0.5387435000, 1.5001536000", \ - "0.1761174000, 0.1820524000, 0.1985246000, 0.2454359000, 0.3538895000, 0.6305567000, 1.5205048000"); - } - timing_sense : "negative_unate"; - timing_type : "three_state_enable"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0102496000, 0.0112606000, 0.0143160000, 0.0235494000, 0.0514532000, 0.1357800000, 0.3906190000"); - values("0.0515148000, 0.0515718000, 0.0515718000, 0.0515718000, 0.0515718000, 0.0515718000, 0.0515838000", \ - "0.0526181000, 0.0526492000, 0.0527089000, 0.0527167000, 0.0527167000, 0.0527167000, 0.0527167000", \ - "0.0531431000, 0.0531431000, 0.0531543000, 0.0531543000, 0.0531614000, 0.0531614000, 0.0531713000", \ - "0.0484666000, 0.0485498000, 0.0485498000, 0.0485498000, 0.0485498000, 0.0485498000, 0.0485498000", \ - "0.0319229000, 0.0319229000, 0.0319229000, 0.0319229000, 0.0319229000, 0.0319229000, 0.0319229000", \ - "-0.000497100, -0.000497100, -0.000497100, -0.000497100, -0.000497100, -0.000497100, -0.000497100", \ - "-0.068781500, -0.068189100, -0.068189100, -0.068189100, -0.068189100, -0.068189100, -0.068189100"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0102496000, 0.0112606000, 0.0143160000, 0.0235494000, 0.0514532000, 0.1357800000, 0.3906190000"); - values("0.0704614000, 0.0704614000, 0.0704614000, 0.0704980000, 0.0705402000, 0.0705970000, 0.0705970000", \ - "0.0744856000, 0.0748383000, 0.0751300000, 0.0751300000, 0.0751300000, 0.0751300000, 0.0751300000", \ - "0.0844989000, 0.0849757000, 0.0850339000, 0.0850339000, 0.0851557000, 0.0851557000, 0.0855180000", \ - "0.1100318000, 0.1102288000, 0.1104987000, 0.1104987000, 0.1104987000, 0.1104987000, 0.1105077000", \ - "0.1491201000, 0.1491201000, 0.1502300000, 0.1502300000, 0.1502300000, 0.1502300000, 0.1502300000", \ - "0.2014919000, 0.2014919000, 0.2014919000, 0.2014919000, 0.2014919000, 0.2016540000, 0.2016540000", \ - "0.2513325000, 0.2538667000, 0.2538667000, 0.2538667000, 0.2538667000, 0.2538667000, 0.2538667000"); - } - fall_transition ("scalar") { - values("0.0000000000"); - } - related_pin : "TE_B"; - rise_transition ("scalar") { - values("0.0000000000"); - } - timing_sense : "positive_unate"; - timing_type : "three_state_disable"; - } - } - } - - cell ("sky130_fd_sc_hd__edfxbp_1") { - leakage_power () { - value : 0.0127426000; - when : "CLK&!D&DE&Q&!Q_N"; - } - leakage_power () { - value : 0.0112053000; - when : "CLK&D&!DE&Q&!Q_N"; - } - leakage_power () { - value : 0.0131897000; - when : "!CLK&D&DE&Q&!Q_N"; - } - leakage_power () { - value : 0.0158255000; - when : "CLK&D&DE&!Q&Q_N"; - } - leakage_power () { - value : 0.0121153000; - when : "CLK&!D&!DE&!Q&Q_N"; - } - leakage_power () { - value : 0.0125423000; - when : "!CLK&!D&DE&!Q&Q_N"; - } - leakage_power () { - value : 0.0123302000; - when : "CLK&D&!DE&!Q&Q_N"; - } - leakage_power () { - value : 0.0128187000; - when : "CLK&!D&DE&!Q&Q_N"; - } - leakage_power () { - value : 0.0118388000; - when : "!CLK&!D&!DE&!Q&Q_N"; - } - leakage_power () { - value : 0.0120537000; - when : "!CLK&D&!DE&!Q&Q_N"; - } - leakage_power () { - value : 0.0121129000; - when : "!CLK&!D&!DE&Q&!Q_N"; - } - leakage_power () { - value : 0.0125317000; - when : "CLK&!D&!DE&Q&!Q_N"; - } - leakage_power () { - value : 0.0136084000; - when : "CLK&D&DE&Q&!Q_N"; - } - leakage_power () { - value : 0.0107865000; - when : "!CLK&D&!DE&Q&!Q_N"; - } - leakage_power () { - value : 0.0122842000; - when : "!CLK&!D&DE&Q&!Q_N"; - } - leakage_power () { - value : 0.0148037000; - when : "!CLK&D&DE&!Q&Q_N"; - } - area : 32.531200000; - cell_footprint : "sky130_fd_sc_hd__edfxbp"; - cell_leakage_power : 0.0126743400; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&DE) | (IQ&!DE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017620000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016770000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0256580000, 0.0255449000, 0.0252842000, 0.0253126000, 0.0253780000, 0.0255290000, 0.0258770000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0204122000, 0.0202897000, 0.0200073000, 0.0200111000, 0.0200198000, 0.0200401000, 0.0200868000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018480000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2686506000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3905853000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0018030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017170000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0102961000, 0.0101910000, 0.0099488000, 0.0099944000, 0.0100996000, 0.0103420000, 0.0109010000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039588000, 0.0039190000, 0.0038270000, 0.0038485000, 0.0038979000, 0.0040121000, 0.0042753000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018900000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2424785000, 0.4359518000, 0.7329896000", \ - "0.1149232000, 0.3083965000, 0.6066549000", \ - "0.0156393000, 0.2091126000, 0.5073711000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1204082000, 0.2699362000, 0.4168275000", \ - "0.0563294000, 0.2009746000, 0.3429831000", \ - "0.0290671000, 0.1724915000, 0.3132793000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.166457000, -0.357488900, -0.642319700", \ - "-0.058432900, -0.250685500, -0.540399100", \ - "0.0249818000, -0.164829400, -0.456984400"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.100539100, -0.243963600, -0.373765000", \ - "-0.037681000, -0.179884800, -0.313348300", \ - "-0.010418600, -0.152622400, -0.287306600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("DE") { - capacitance : 0.0032910000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0031750000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0112291000, 0.0110891000, 0.0107665000, 0.0108315000, 0.0109813000, 0.0113268000, 0.0121232000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0002188000, 6.8164521e-05, -0.000278900, -0.000224900, -0.000100300, 0.0001869000, 0.0008491000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0034070000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2302715000, 0.4151999000, 0.7232240000", \ - "0.1149232000, 0.3010723000, 0.6115378000", \ - "0.0241842000, 0.2115540000, 0.5232402000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2803203000, 0.3688132000, 0.4046204000", \ - "0.1527650000, 0.2412578000, 0.2770651000", \ - "0.0534811000, 0.1419740000, 0.1790020000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.161574200, -0.330633500, -0.514145800", \ - "-0.095054000, -0.264113300, -0.447625600", \ - "-0.065350300, -0.233188800, -0.416701200"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.113966800, -0.246404900, -0.383530600", \ - "-0.053550100, -0.182326200, -0.317010400", \ - "-0.026287800, -0.157505200, -0.290968800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013213260, 0.0034918040, 0.0092276230, 0.0243853900, 0.0644421000, 0.1702980000"); - values("-0.006929500, -0.002395500, 0.0059589000, 0.0146229000, 0.0081900000, -0.044226900, -0.209520900", \ - "-0.006873800, -0.002342000, 0.0059842000, 0.0146379000, 0.0081729000, -0.044209400, -0.209585400", \ - "-0.006748500, -0.002243800, 0.0060263000, 0.0146128000, 0.0080395000, -0.044387900, -0.209769200", \ - "-0.006765300, -0.002284200, 0.0059477000, 0.0144520000, 0.0077899000, -0.044697400, -0.210078700", \ - "-0.006793100, -0.002346400, 0.0058096000, 0.0142202000, 0.0074828000, -0.045066600, -0.210497500", \ - "-0.006804300, -0.002353000, 0.0058051000, 0.0142032000, 0.0074237000, -0.045109600, -0.210511500", \ - "-0.006772600, -0.002244200, 0.0060676000, 0.0147185000, 0.0082568000, -0.044470400, -0.209883000"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013213260, 0.0034918040, 0.0092276230, 0.0243853900, 0.0644421000, 0.1702980000"); - values("-0.008202400, -0.005164900, 0.0018274000, 0.0165112000, 0.0464858000, 0.1145092000, 0.2859515000", \ - "-0.008149200, -0.005107500, 0.0018584000, 0.0164942000, 0.0465310000, 0.1146136000, 0.2870988000", \ - "-0.008013500, -0.004994500, 0.0019602000, 0.0165577000, 0.0465190000, 0.1139361000, 0.2871395000", \ - "-0.008040600, -0.005041800, 0.0018421000, 0.0163479000, 0.0461837000, 0.1135227000, 0.2870661000", \ - "-0.008056600, -0.005084500, 0.0017596000, 0.0161909000, 0.0459877000, 0.1133661000, 0.2864100000", \ - "-0.008071400, -0.005106200, 0.0017427000, 0.0161758000, 0.0458874000, 0.1133689000, 0.2851168000", \ - "-0.008023200, -0.004949200, 0.0021090000, 0.0167964000, 0.0465088000, 0.1142776000, 0.2849662000"); - } - } - max_capacitance : 0.1702980000; - max_transition : 1.4984530000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.3217189000, 0.3336975000, 0.3583564000, 0.4044817000, 0.4892736000, 0.6468821000, 0.9991500000", \ - "0.3263919000, 0.3383674000, 0.3629856000, 0.4091685000, 0.4939322000, 0.6515138000, 1.0041071000", \ - "0.3373373000, 0.3493088000, 0.3739395000, 0.4201018000, 0.5048688000, 0.6624822000, 1.0148774000", \ - "0.3625368000, 0.3748140000, 0.3995834000, 0.4455818000, 0.5303082000, 0.6879329000, 1.0403499000", \ - "0.4110341000, 0.4229999000, 0.4475999000, 0.4937276000, 0.5784783000, 0.7360995000, 1.0884883000", \ - "0.4847481000, 0.4967635000, 0.5213779000, 0.5674292000, 0.6522664000, 0.8099217000, 1.1622717000", \ - "0.5826212000, 0.5946678000, 0.6192361000, 0.6654232000, 0.7501788000, 0.9078957000, 1.2601907000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.2823183000, 0.2910784000, 0.3104198000, 0.3527374000, 0.4535365000, 0.7020418000, 1.3516106000", \ - "0.2868320000, 0.2956442000, 0.3149213000, 0.3572723000, 0.4580504000, 0.7064329000, 1.3521362000", \ - "0.2981184000, 0.3068666000, 0.3261914000, 0.3685036000, 0.4693329000, 0.7177311000, 1.3637056000", \ - "0.3237113000, 0.3326306000, 0.3518872000, 0.3942083000, 0.4950327000, 0.7434782000, 1.3896153000", \ - "0.3733213000, 0.3821359000, 0.4014430000, 0.4437737000, 0.5445653000, 0.7933027000, 1.4425952000", \ - "0.4452942000, 0.4542076000, 0.4734811000, 0.5157928000, 0.6165841000, 0.8650629000, 1.5143025000", \ - "0.5371678000, 0.5460978000, 0.5654340000, 0.6077733000, 0.7085959000, 0.9570627000, 1.5999471000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0499827000, 0.0575455000, 0.0746834000, 0.1060127000, 0.1733747000, 0.3236314000, 0.7585302000", \ - "0.0500336000, 0.0575675000, 0.0747087000, 0.1059332000, 0.1733465000, 0.3238485000, 0.7575736000", \ - "0.0500101000, 0.0575530000, 0.0746939000, 0.1059576000, 0.1733518000, 0.3246101000, 0.7582023000", \ - "0.0496562000, 0.0575239000, 0.0744921000, 0.1061559000, 0.1732540000, 0.3239511000, 0.7583262000", \ - "0.0500096000, 0.0575519000, 0.0746724000, 0.1059468000, 0.1733569000, 0.3246310000, 0.7582613000", \ - "0.0502422000, 0.0583342000, 0.0736246000, 0.1062319000, 0.1731890000, 0.3239933000, 0.7585104000", \ - "0.0499849000, 0.0585182000, 0.0739026000, 0.1065920000, 0.1734083000, 0.3248802000, 0.7570495000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0312680000, 0.0388242000, 0.0578550000, 0.1070535000, 0.2374456000, 0.5777822000, 1.4973958000", \ - "0.0312399000, 0.0389251000, 0.0577928000, 0.1069214000, 0.2372066000, 0.5780185000, 1.4966571000", \ - "0.0310827000, 0.0389539000, 0.0578418000, 0.1070324000, 0.2373415000, 0.5767917000, 1.4981897000", \ - "0.0312988000, 0.0390185000, 0.0579134000, 0.1069531000, 0.2372824000, 0.5773564000, 1.4955094000", \ - "0.0312947000, 0.0388917000, 0.0577574000, 0.1069891000, 0.2372690000, 0.5766687000, 1.4984530000", \ - "0.0313153000, 0.0390150000, 0.0579253000, 0.1068882000, 0.2373339000, 0.5776462000, 1.4954221000", \ - "0.0314168000, 0.0391304000, 0.0580809000, 0.1068841000, 0.2374438000, 0.5764365000, 1.4906509000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.006741300, -0.001934100, 0.0069701000, 0.0164931000, 0.0111782000, -0.040392400, -0.203597400", \ - "-0.006684600, -0.001890500, 0.0069957000, 0.0164911000, 0.0111510000, -0.040463800, -0.203676500", \ - "-0.006551300, -0.001764300, 0.0070996000, 0.0165542000, 0.0112021000, -0.040417600, -0.203634300", \ - "-0.006576000, -0.001821300, 0.0069758000, 0.0163452000, 0.0108883000, -0.040807000, -0.204073300", \ - "-0.006594400, -0.001864000, 0.0068880000, 0.0161863000, 0.0106736000, -0.041066300, -0.204332700", \ - "-0.006608100, -0.001879500, 0.0068685000, 0.0161663000, 0.0106155000, -0.041119700, -0.204395800", \ - "-0.006569200, -0.001748900, 0.0071833000, 0.0167937000, 0.0113079000, -0.040500400, -0.203712400"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.008462600, -0.005761200, 0.0006941000, 0.0146118000, 0.0435472000, 0.1099825000, 0.2790310000", \ - "-0.008407200, -0.005710700, 0.0007187000, 0.0146358000, 0.0436505000, 0.1103800000, 0.2792140000", \ - "-0.008282100, -0.005610700, 0.0007693000, 0.0146127000, 0.0434174000, 0.1098385000, 0.2799694000", \ - "-0.008302400, -0.005653700, 0.0006785000, 0.0144514000, 0.0431886000, 0.1095296000, 0.2790957000", \ - "-0.008329400, -0.005718500, 0.0005455000, 0.0141995000, 0.0428253000, 0.1096069000, 0.2795428000", \ - "-0.008345600, -0.005737900, 0.0005159000, 0.0141565000, 0.0427845000, 0.1095918000, 0.2796186000", \ - "-0.008215500, -0.005429000, 0.0010222000, 0.0147127000, 0.0434669000, 0.1096287000, 0.2789439000"); - } - } - max_capacitance : 0.1684670000; - max_transition : 1.4949190000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3962148000, 0.4036043000, 0.4189342000, 0.4489137000, 0.5085441000, 0.6440243000, 0.9938235000", \ - "0.4007700000, 0.4081425000, 0.4234719000, 0.4534562000, 0.5130896000, 0.6486962000, 0.9983581000", \ - "0.4120232000, 0.4193934000, 0.4348019000, 0.4647019000, 0.5242884000, 0.6599433000, 1.0091261000", \ - "0.4377422000, 0.4451549000, 0.4605252000, 0.4904214000, 0.5500186000, 0.6855614000, 1.0345693000", \ - "0.4873270000, 0.4946930000, 0.5101164000, 0.5400105000, 0.5996144000, 0.7352267000, 1.0841886000", \ - "0.5593701000, 0.5667865000, 0.5821579000, 0.6120597000, 0.6717096000, 0.8073301000, 1.1569520000", \ - "0.6512507000, 0.6586519000, 0.6740239000, 0.7039246000, 0.7635196000, 0.8991364000, 1.2476274000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.4750195000, 0.4839513000, 0.5029261000, 0.5431098000, 0.6378163000, 0.8825055000, 1.5248514000", \ - "0.4796624000, 0.4885997000, 0.5075755000, 0.5477572000, 0.6425928000, 0.8872461000, 1.5303080000", \ - "0.4905997000, 0.4995415000, 0.5185148000, 0.5586994000, 0.6535339000, 0.8981914000, 1.5401714000", \ - "0.5160836000, 0.5250712000, 0.5440608000, 0.5842530000, 0.6791069000, 0.9237331000, 1.5664011000", \ - "0.5643523000, 0.5732848000, 0.5923002000, 0.6324140000, 0.7271582000, 0.9717490000, 1.6159096000", \ - "0.6380630000, 0.6470108000, 0.6660565000, 0.7061882000, 0.8009348000, 1.0455712000, 1.6898494000", \ - "0.7357803000, 0.7447108000, 0.7637376000, 0.8038459000, 0.8987081000, 1.1433521000, 1.7860948000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0283745000, 0.0330160000, 0.0440385000, 0.0692142000, 0.1294071000, 0.2971669000, 0.7547974000", \ - "0.0284135000, 0.0329843000, 0.0439664000, 0.0692081000, 0.1298256000, 0.2961581000, 0.7569029000", \ - "0.0282375000, 0.0330417000, 0.0442019000, 0.0692327000, 0.1297196000, 0.2972554000, 0.7587491000", \ - "0.0282393000, 0.0330899000, 0.0445220000, 0.0686691000, 0.1297468000, 0.2966983000, 0.7628781000", \ - "0.0282140000, 0.0330283000, 0.0440641000, 0.0691648000, 0.1298369000, 0.2960965000, 0.7533063000", \ - "0.0282135000, 0.0330943000, 0.0438858000, 0.0692673000, 0.1296146000, 0.2956163000, 0.7549782000", \ - "0.0283016000, 0.0334619000, 0.0440052000, 0.0688266000, 0.1298533000, 0.2967055000, 0.7597079000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0356551000, 0.0422072000, 0.0586686000, 0.1030157000, 0.2299886000, 0.5742322000, 1.4939970000", \ - "0.0356607000, 0.0422046000, 0.0586738000, 0.1031621000, 0.2293182000, 0.5751381000, 1.4888278000", \ - "0.0356641000, 0.0422023000, 0.0586769000, 0.1031620000, 0.2293526000, 0.5743556000, 1.4913681000", \ - "0.0356120000, 0.0423121000, 0.0585868000, 0.1031435000, 0.2296468000, 0.5749601000, 1.4895787000", \ - "0.0356337000, 0.0422124000, 0.0586957000, 0.1030394000, 0.2300129000, 0.5750660000, 1.4948914000", \ - "0.0356991000, 0.0421889000, 0.0586596000, 0.1031379000, 0.2300035000, 0.5751582000, 1.4949189000", \ - "0.0357735000, 0.0422661000, 0.0587721000, 0.1030359000, 0.2298721000, 0.5736977000, 1.4943413000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - } - - cell ("sky130_fd_sc_hd__edfxtp_1") { - leakage_power () { - value : 0.0071886000; - when : "CLK&!D&DE&Q"; - } - leakage_power () { - value : 0.0056513000; - when : "CLK&D&!DE&Q"; - } - leakage_power () { - value : 0.0078692000; - when : "!CLK&D&DE&Q"; - } - leakage_power () { - value : 0.0155372000; - when : "CLK&D&DE&!Q"; - } - leakage_power () { - value : 0.0118270000; - when : "CLK&!D&!DE&!Q"; - } - leakage_power () { - value : 0.0121521000; - when : "!CLK&!D&DE&!Q"; - } - leakage_power () { - value : 0.0120419000; - when : "CLK&D&!DE&!Q"; - } - leakage_power () { - value : 0.0125305000; - when : "CLK&!D&DE&!Q"; - } - leakage_power () { - value : 0.0114487000; - when : "!CLK&!D&!DE&!Q"; - } - leakage_power () { - value : 0.0116635000; - when : "!CLK&D&!DE&!Q"; - } - leakage_power () { - value : 0.0067925000; - when : "!CLK&!D&!DE&Q"; - } - leakage_power () { - value : 0.0069777000; - when : "CLK&!D&!DE&Q"; - } - leakage_power () { - value : 0.0080545000; - when : "CLK&D&DE&Q"; - } - leakage_power () { - value : 0.0054661000; - when : "!CLK&D&!DE&Q"; - } - leakage_power () { - value : 0.0069917000; - when : "!CLK&!D&DE&Q"; - } - leakage_power () { - value : 0.0143995000; - when : "!CLK&D&DE&!Q"; - } - area : 30.028800000; - cell_footprint : "sky130_fd_sc_hd__edfxtp"; - cell_leakage_power : 0.0097870060; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&DE) | (IQ&!DE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017580000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0256916000, 0.0255763000, 0.0253103000, 0.0253385000, 0.0254036000, 0.0255534000, 0.0258989000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0204057000, 0.0202831000, 0.0200006000, 0.0200042000, 0.0200125000, 0.0200316000, 0.0200759000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018410000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2697491000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3774032000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0018040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017180000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0103110000, 0.0102009000, 0.0099473000, 0.0100251000, 0.0102043000, 0.0106177000, 0.0115706000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039593000, 0.0039181000, 0.0038231000, 0.0038449000, 0.0038951000, 0.0040107000, 0.0042774000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018900000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2436992000, 0.4359518000, 0.7342103000", \ - "0.1161439000, 0.3096172000, 0.6078756000", \ - "0.0168600000, 0.2103333000, 0.5073711000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1204082000, 0.2699362000, 0.4168275000", \ - "0.0563294000, 0.2009746000, 0.3429831000", \ - "0.0290671000, 0.1737122000, 0.3132793000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.166457000, -0.357488900, -0.642319700", \ - "-0.058432900, -0.250685500, -0.540399100", \ - "0.0249818000, -0.166050100, -0.456984400"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.100539100, -0.243963600, -0.373765000", \ - "-0.038901700, -0.179884800, -0.313348300", \ - "-0.011639300, -0.151401700, -0.287306600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("DE") { - capacitance : 0.0032900000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0031720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0112241000, 0.0110907000, 0.0107832000, 0.0108475000, 0.0109954000, 0.0113366000, 0.0121231000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0002081000, 6.0104447e-05, -0.000281000, -0.000225100, -9.6229256e-05, 0.0002009000, 0.0008858000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0034080000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2290508000, 0.4151999000, 0.7232240000", \ - "0.1149232000, 0.3010723000, 0.6115378000", \ - "0.0241842000, 0.2115540000, 0.5232402000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2815410000, 0.3700339000, 0.4058412000", \ - "0.1539857000, 0.2424785000, 0.2782858000", \ - "0.0547018000, 0.1431947000, 0.1802227000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.162794900, -0.330633500, -0.514145800", \ - "-0.096274700, -0.264113300, -0.448846400", \ - "-0.065350300, -0.233188800, -0.417921900"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.113966800, -0.246404900, -0.383530600", \ - "-0.053550100, -0.183546900, -0.317010400", \ - "-0.027508500, -0.157505200, -0.292189500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013225070, 0.0034980500, 0.0092523930, 0.0244727100, 0.0647306700, 0.1712136000"); - values("0.0172870000, 0.0160180000, 0.0128391000, 0.0058581000, -0.017028100, -0.081619700, -0.253928900", \ - "0.0173175000, 0.0160422000, 0.0128681000, 0.0058548000, -0.016965000, -0.081592900, -0.253900200", \ - "0.0172659000, 0.0159954000, 0.0128094000, 0.0057905000, -0.017031900, -0.081647600, -0.253955000", \ - "0.0169529000, 0.0156798000, 0.0125033000, 0.0054759000, -0.017358700, -0.081978300, -0.254291300", \ - "0.0165107000, 0.0152260000, 0.0120467000, 0.0050308000, -0.017820700, -0.082426300, -0.254728000", \ - "0.0165168000, 0.0152289000, 0.0120406000, 0.0050095000, -0.017821900, -0.082429700, -0.254732900", \ - "0.0217179000, 0.0203634000, 0.0168343000, 0.0076207000, -0.016794200, -0.081791500, -0.254077800"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013225070, 0.0034980500, 0.0092523930, 0.0244727100, 0.0647306700, 0.1712136000"); - values("0.0242104000, 0.0256414000, 0.0296990000, 0.0395823000, 0.0642621000, 0.1287503000, 0.2996621000", \ - "0.0241791000, 0.0256825000, 0.0296773000, 0.0395592000, 0.0640960000, 0.1289117000, 0.2996894000", \ - "0.0242819000, 0.0257888000, 0.0297936000, 0.0397053000, 0.0642361000, 0.1294583000, 0.3000686000", \ - "0.0238707000, 0.0253661000, 0.0294077000, 0.0392642000, 0.0638206000, 0.1282804000, 0.2993990000", \ - "0.0235855000, 0.0251118000, 0.0291140000, 0.0389645000, 0.0636861000, 0.1279982000, 0.3003813000", \ - "0.0234679000, 0.0249796000, 0.0290034000, 0.0389029000, 0.0634576000, 0.1279425000, 0.2990317000", \ - "0.0254896000, 0.0268487000, 0.0304913000, 0.0399566000, 0.0643076000, 0.1290380000, 0.2991003000"); - } - } - max_capacitance : 0.1712140000; - max_transition : 1.5031540000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013225100, 0.0034980500, 0.0092523900, 0.0244727000, 0.0647307000, 0.1712140000"); - values("0.3099280000, 0.3221374000, 0.3466937000, 0.3932877000, 0.4779282000, 0.6326026000, 0.9846171000", \ - "0.3148012000, 0.3268097000, 0.3513814000, 0.3979988000, 0.4826108000, 0.6373044000, 0.9889901000", \ - "0.3257958000, 0.3377864000, 0.3623443000, 0.4089450000, 0.4936611000, 0.6482576000, 1.0000328000", \ - "0.3513822000, 0.3632530000, 0.3878383000, 0.4343881000, 0.5190627000, 0.6737094000, 1.0255232000", \ - "0.3992665000, 0.4114660000, 0.4359951000, 0.4825639000, 0.5671517000, 0.7218533000, 1.0737011000", \ - "0.4730780000, 0.4849802000, 0.5096580000, 0.5561505000, 0.6408862000, 0.7955479000, 1.1472624000", \ - "0.5707111000, 0.5825300000, 0.6072938000, 0.6537462000, 0.7384788000, 0.8932239000, 1.2449580000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013225100, 0.0034980500, 0.0092523900, 0.0244727000, 0.0647307000, 0.1712140000"); - values("0.2785875000, 0.2872594000, 0.3065269000, 0.3488984000, 0.4483747000, 0.6941020000, 1.3408512000", \ - "0.2830593000, 0.2917884000, 0.3110265000, 0.3534442000, 0.4529059000, 0.6988628000, 1.3481820000", \ - "0.2942504000, 0.3030820000, 0.3222734000, 0.3646696000, 0.4641351000, 0.7101550000, 1.3598392000", \ - "0.3199537000, 0.3287403000, 0.3479609000, 0.3903745000, 0.4898191000, 0.7355246000, 1.3822651000", \ - "0.3694574000, 0.3782727000, 0.3974567000, 0.4398711000, 0.5393059000, 0.7850510000, 1.4305418000", \ - "0.4411518000, 0.4499820000, 0.4691814000, 0.5115814000, 0.6110322000, 0.8567729000, 1.5034124000", \ - "0.5330731000, 0.5419730000, 0.5611839000, 0.6035324000, 0.7029838000, 0.9490192000, 1.5970022000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013225100, 0.0034980500, 0.0092523900, 0.0244727000, 0.0647307000, 0.1712140000"); - values("0.0487779000, 0.0566241000, 0.0732113000, 0.1066450000, 0.1712743000, 0.3213445000, 0.7573487000", \ - "0.0492711000, 0.0565550000, 0.0732603000, 0.1067845000, 0.1711078000, 0.3204375000, 0.7581102000", \ - "0.0491633000, 0.0565642000, 0.0732277000, 0.1067560000, 0.1707291000, 0.3206773000, 0.7581724000", \ - "0.0487556000, 0.0567983000, 0.0738642000, 0.1065978000, 0.1711504000, 0.3207294000, 0.7580823000", \ - "0.0487718000, 0.0565747000, 0.0731876000, 0.1067629000, 0.1711434000, 0.3215941000, 0.7578473000", \ - "0.0491616000, 0.0567660000, 0.0734814000, 0.1068278000, 0.1714701000, 0.3212108000, 0.7582407000", \ - "0.0497381000, 0.0571786000, 0.0739441000, 0.1071614000, 0.1712526000, 0.3207271000, 0.7578772000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013225100, 0.0034980500, 0.0092523900, 0.0244727000, 0.0647307000, 0.1712140000"); - values("0.0305865000, 0.0381082000, 0.0571859000, 0.1062868000, 0.2326004000, 0.5750624000, 1.5010133000", \ - "0.0306274000, 0.0381330000, 0.0572092000, 0.1063347000, 0.2328817000, 0.5747631000, 1.5021699000", \ - "0.0307567000, 0.0382994000, 0.0571682000, 0.1062032000, 0.2327943000, 0.5755469000, 1.4990825000", \ - "0.0305465000, 0.0383623000, 0.0573192000, 0.1062706000, 0.2330121000, 0.5757505000, 1.4981597000", \ - "0.0306293000, 0.0383752000, 0.0572888000, 0.1062748000, 0.2330058000, 0.5757485000, 1.5031536000", \ - "0.0308372000, 0.0383322000, 0.0570891000, 0.1061633000, 0.2330378000, 0.5758346000, 1.4983690000", \ - "0.0307581000, 0.0384567000, 0.0572184000, 0.1063175000, 0.2330270000, 0.5742254000, 1.4988364000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - } - - cell ("sky130_fd_sc_hd__einvn_0") { - leakage_power () { - value : 0.0009360000; - when : "!A&TE_B"; - } - leakage_power () { - value : 0.0007048000; - when : "!A&!TE_B"; - } - leakage_power () { - value : 0.0010045000; - when : "A&TE_B"; - } - leakage_power () { - value : 0.0021564000; - when : "A&!TE_B"; - } - area : 5.0048000000; - cell_footprint : "sky130_fd_sc_hd__einvn"; - cell_leakage_power : 0.0012004280; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0018180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0020636000, 0.0020629000, 0.0020612000, 0.0020637000, 0.0020692000, 0.0020821000, 0.0021116000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001820900, -0.001819000, -0.001814700, -0.001812100, -0.001806300, -0.001792800, -0.001761600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019040000; - } - pin ("TE_B") { - capacitance : 0.0024830000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023240000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026420000; - } - pin ("Z") { - capacitance : 0.0014550000; - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264120, 0.0050181660, 0.0108243900, 0.0233486500, 0.0503639900"); - values("0.0005489000, -0.000294300, -0.002218900, -0.006512200, -0.015879300, -0.036142200, -0.079885000", \ - "0.0004036000, -0.000412000, -0.002287600, -0.006533200, -0.015863900, -0.036111600, -0.079850600", \ - "0.0001908000, -0.000618500, -0.002454300, -0.006623500, -0.015910400, -0.036121900, -0.079847100", \ - "1.580000e-05, -0.000823700, -0.002659100, -0.006829300, -0.016037000, -0.036182000, -0.079877900", \ - "9.200000e-06, -0.000866400, -0.002844600, -0.007058700, -0.016246900, -0.036303000, -0.079930200", \ - "0.0003516000, -0.000615600, -0.002650300, -0.006923000, -0.016234200, -0.036390900, -0.079998800", \ - "0.0015872000, 0.0003353000, -0.001830300, -0.006082500, -0.015989600, -0.036368900, -0.080123100"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264120, 0.0050181660, 0.0108243900, 0.0233486500, 0.0503639900"); - values("0.0048943000, 0.0059500000, 0.0080645000, 0.0124799000, 0.0218288000, 0.0418925000, 0.0850413000", \ - "0.0046603000, 0.0056907000, 0.0078742000, 0.0123686000, 0.0217626000, 0.0418556000, 0.0855263000", \ - "0.0044658000, 0.0054888000, 0.0076483000, 0.0120894000, 0.0216029000, 0.0417735000, 0.0850524000", \ - "0.0043467000, 0.0053351000, 0.0073925000, 0.0118801000, 0.0213643000, 0.0419047000, 0.0856035000", \ - "0.0044141000, 0.0053345000, 0.0074265000, 0.0117118000, 0.0211530000, 0.0413215000, 0.0853593000", \ - "0.0047988000, 0.0057014000, 0.0078165000, 0.0119900000, 0.0213551000, 0.0413133000, 0.0844849000", \ - "0.0055782000, 0.0064299000, 0.0082382000, 0.0125762000, 0.0217115000, 0.0414263000, 0.0846002000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264120, 0.0050181660, 0.0108243900, 0.0233486500, 0.0503639900"); - values("-0.002185400, -0.002191100, -0.002143300, -0.002092600, -0.002012800, -0.001984800, -0.001970800", \ - "-0.002368800, -0.002334100, -0.002287000, -0.002235400, -0.002196000, -0.002165600, -0.002151700", \ - "-0.002621100, -0.002585900, -0.002532800, -0.002476300, -0.002423300, -0.002387100, -0.002366900", \ - "-0.002865700, -0.002835400, -0.002784200, -0.002709100, -0.002637800, -0.002584100, -0.002552400", \ - "-0.003012900, -0.002938100, -0.002896400, -0.002825000, -0.002733000, -0.002702800, -0.002646000", \ - "-0.002855000, -0.002853300, -0.002826100, -0.002794100, -0.002717700, -0.002613700, -0.002493100", \ - "-0.001967400, -0.001970700, -0.001971600, -0.001966700, -0.001936000, -0.001862300, -0.001762200"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264120, 0.0050181660, 0.0108243900, 0.0233486500, 0.0503639900"); - values("0.0144364000, 0.0153513000, 0.0173533000, 0.0216705000, 0.0310032000, 0.0511954000, 0.0941869000", \ - "0.0142334000, 0.0151533000, 0.0171580000, 0.0214696000, 0.0307716000, 0.0508064000, 0.0940388000", \ - "0.0140821000, 0.0150031000, 0.0170057000, 0.0213153000, 0.0306288000, 0.0506464000, 0.0940185000", \ - "0.0140161000, 0.0149376000, 0.0169400000, 0.0212481000, 0.0305659000, 0.0506249000, 0.0938889000", \ - "0.0140663000, 0.0149999000, 0.0169825000, 0.0212864000, 0.0306121000, 0.0506174000, 0.0944718000", \ - "0.0139676000, 0.0152121000, 0.0172781000, 0.0215913000, 0.0308411000, 0.0509774000, 0.0945896000", \ - "0.0148368000, 0.0157469000, 0.0177457000, 0.0220107000, 0.0317633000, 0.0516606000, 0.0950925000"); - } - when : "!A"; - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264120, 0.0050181660, 0.0108243900, 0.0233486500, 0.0503639900"); - values("0.0113917000, 0.0104992000, 0.0085202000, 0.0041829000, -0.005224300, -0.025509200, -0.069273800", \ - "0.0111910000, 0.0102982000, 0.0083198000, 0.0039792000, -0.005420500, -0.025706200, -0.069472900", \ - "0.0110444000, 0.0101565000, 0.0081754000, 0.0038350000, -0.005563100, -0.025847300, -0.069616800", \ - "0.0109789000, 0.0100925000, 0.0081115000, 0.0037719000, -0.005628200, -0.025915800, -0.069673600", \ - "0.0109656000, 0.0101113000, 0.0081526000, 0.0038097000, -0.005575700, -0.025870500, -0.069616200", \ - "0.0110610000, 0.0100876000, 0.0080951000, 0.0036769000, -0.005316200, -0.025613600, -0.069358400", \ - "0.0118918000, 0.0109603000, 0.0089280000, 0.0045622000, -0.004846600, -0.024871700, -0.068539000"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264120, 0.0050181660, 0.0108243900, 0.0233486500, 0.0503639900"); - values("-0.002529700, -0.002537200, -0.002553600, -0.002572100, -0.002586300, -0.002594000, -0.002598800", \ - "-0.002760100, -0.002770500, -0.002787200, -0.002803800, -0.002817300, -0.002825600, -0.002828900", \ - "-0.002989600, -0.003002200, -0.003020200, -0.003040600, -0.003057800, -0.003068000, -0.003070800", \ - "-0.003192700, -0.003205300, -0.003220600, -0.003236800, -0.003251000, -0.003261100, -0.003266400", \ - "-0.003246900, -0.003252100, -0.003283900, -0.003275700, -0.003287600, -0.003296100, -0.003301100", \ - "-0.003099300, -0.003102500, -0.003064900, -0.003084100, -0.003095500, -0.003097500, -0.003102500", \ - "-0.002207900, -0.002216100, -0.002228900, -0.002239900, -0.002286900, -0.002262600, -0.002259000"); - } - when : "A"; - } - max_capacitance : 0.0503640000; - max_transition : 1.4870300000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - three_state : "(TE_B)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0019552000, 0.0025337200, 0.0037816100, 0.0064733700, 0.0122796000, 0.0248038000, 0.0518192000"); - values("0.0284043000, 0.0338038000, 0.0449326000, 0.0685895000, 0.1188956000, 0.2272472000, 0.4597925000", \ - "0.0325230000, 0.0378693000, 0.0492588000, 0.0731798000, 0.1236699000, 0.2321799000, 0.4647751000", \ - "0.0424935000, 0.0481945000, 0.0596993000, 0.0834387000, 0.1342459000, 0.2428553000, 0.4765810000", \ - "0.0566408000, 0.0656941000, 0.0815479000, 0.1082739000, 0.1590005000, 0.2676178000, 0.5037111000", \ - "0.0724068000, 0.0860723000, 0.1108189000, 0.1517134000, 0.2161433000, 0.3229872000, 0.5564805000", \ - "0.0840767000, 0.1051971000, 0.1429858000, 0.2052297000, 0.3021403000, 0.4542202000, 0.6903698000", \ - "0.0757059000, 0.1072285000, 0.1646493000, 0.2593399000, 0.4113580000, 0.6401622000, 0.9817854000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0019552000, 0.0025337200, 0.0037816100, 0.0064733700, 0.0122796000, 0.0248038000, 0.0518192000"); - values("0.0570526000, 0.0706554000, 0.0974758000, 0.1537119000, 0.2729434000, 0.5283765000, 1.0801402000", \ - "0.0592669000, 0.0723974000, 0.1001352000, 0.1572178000, 0.2769427000, 0.5330532000, 1.0959360000", \ - "0.0691013000, 0.0819745000, 0.1095140000, 0.1659059000, 0.2867168000, 0.5435641000, 1.0940939000", \ - "0.0958521000, 0.1085197000, 0.1344850000, 0.1915003000, 0.3124355000, 0.5726017000, 1.1280177000", \ - "0.1397160000, 0.1597030000, 0.1957315000, 0.2551847000, 0.3753546000, 0.6319747000, 1.1889657000", \ - "0.2071691000, 0.2377512000, 0.2927277000, 0.3823683000, 0.5258017000, 0.7809564000, 1.3305356000", \ - "0.3165062000, 0.3599909000, 0.4392482000, 0.5763261000, 0.7942654000, 1.1282733000, 1.6792517000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0019552000, 0.0025337200, 0.0037816100, 0.0064733700, 0.0122796000, 0.0248038000, 0.0518192000"); - values("0.0206654000, 0.0274927000, 0.0421300000, 0.0734008000, 0.1410442000, 0.2854789000, 0.5988126000", \ - "0.0206597000, 0.0274914000, 0.0421063000, 0.0734224000, 0.1409522000, 0.2853747000, 0.5984890000", \ - "0.0237508000, 0.0292810000, 0.0425373000, 0.0734131000, 0.1401713000, 0.2874077000, 0.5960687000", \ - "0.0367034000, 0.0431282000, 0.0547126000, 0.0792690000, 0.1415789000, 0.2869457000, 0.5977345000", \ - "0.0600366000, 0.0697581000, 0.0850687000, 0.1130177000, 0.1633297000, 0.2905206000, 0.5957784000", \ - "0.1015202000, 0.1152239000, 0.1389446000, 0.1794399000, 0.2458808000, 0.3593310000, 0.6157063000", \ - "0.1737197000, 0.1957533000, 0.2329048000, 0.2939970000, 0.3883118000, 0.5343554000, 0.7858736000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0019552000, 0.0025337200, 0.0037816100, 0.0064733700, 0.0122796000, 0.0248038000, 0.0518192000"); - values("0.0537785000, 0.0701398000, 0.1051925000, 0.1809336000, 0.3440705000, 0.6961860000, 1.4561158000", \ - "0.0537568000, 0.0702156000, 0.1056290000, 0.1813027000, 0.3442133000, 0.6962028000, 1.4706470000", \ - "0.0534304000, 0.0700819000, 0.1055473000, 0.1808784000, 0.3444222000, 0.6978449000, 1.4562119000", \ - "0.0630141000, 0.0758470000, 0.1070816000, 0.1814687000, 0.3451506000, 0.7008551000, 1.4677298000", \ - "0.0930649000, 0.1087024000, 0.1370023000, 0.1943555000, 0.3456139000, 0.6954730000, 1.4655812000", \ - "0.1418942000, 0.1636298000, 0.2031425000, 0.2697584000, 0.3938807000, 0.7057718000, 1.4615493000", \ - "0.2207537000, 0.2508641000, 0.3108278000, 0.4107727000, 0.5673430000, 0.8289661000, 1.4870297000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0019552000, 0.0025337200, 0.0037816100, 0.0064733700, 0.0122796000, 0.0248038000, 0.0518192000"); - values("0.0854003000, 0.0911555000, 0.1029590000, 0.1270520000, 0.1774952000, 0.2855188000, 0.5199159000", \ - "0.0896729000, 0.0954188000, 0.1071940000, 0.1312931000, 0.1817314000, 0.2896807000, 0.5225500000", \ - "0.1024009000, 0.1082045000, 0.1199894000, 0.1440790000, 0.1945341000, 0.3026909000, 0.5368064000", \ - "0.1342004000, 0.1400313000, 0.1518259000, 0.1759324000, 0.2263909000, 0.3345688000, 0.5690391000", \ - "0.1916462000, 0.1980350000, 0.2104552000, 0.2352949000, 0.2861235000, 0.3940993000, 0.6285885000", \ - "0.2811113000, 0.2889275000, 0.3035532000, 0.3292124000, 0.3812491000, 0.4898076000, 0.7229173000", \ - "0.4246848000, 0.4343960000, 0.4517217000, 0.4817828000, 0.5366159000, 0.6459769000, 0.8793961000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0019552000, 0.0025337200, 0.0037816100, 0.0064733700, 0.0122796000, 0.0248038000, 0.0518192000"); - values("0.0587631000, 0.0707896000, 0.0966960000, 0.1517770000, 0.2702829000, 0.5273164000, 1.0774378000", \ - "0.0647694000, 0.0767784000, 0.1026312000, 0.1577477000, 0.2760638000, 0.5310608000, 1.0810381000", \ - "0.0780445000, 0.0900742000, 0.1159543000, 0.1710289000, 0.2892544000, 0.5443605000, 1.1039281000", \ - "0.1049192000, 0.1174116000, 0.1433455000, 0.1984739000, 0.3166971000, 0.5726088000, 1.1242609000", \ - "0.1508798000, 0.1675352000, 0.1995279000, 0.2586972000, 0.3774126000, 0.6324491000, 1.1873929000", \ - "0.2211663000, 0.2478691000, 0.2943305000, 0.3755725000, 0.5133070000, 0.7709824000, 1.3269484000", \ - "0.3112168000, 0.3566716000, 0.4361298000, 0.5646542000, 0.7622603000, 1.0784339000, 1.6415178000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0019552000, 0.0025337200, 0.0037816100, 0.0064733700, 0.0122796000, 0.0248038000, 0.0518192000"); - values("0.0251722000, 0.0316519000, 0.0455756000, 0.0753880000, 0.1413673000, 0.2862802000, 0.5971122000", \ - "0.0251575000, 0.0316371000, 0.0454687000, 0.0754338000, 0.1413296000, 0.2856581000, 0.5971549000", \ - "0.0252109000, 0.0316591000, 0.0455402000, 0.0753512000, 0.1410222000, 0.2867134000, 0.5973029000", \ - "0.0253180000, 0.0317526000, 0.0456009000, 0.0754373000, 0.1409790000, 0.2870741000, 0.5966532000", \ - "0.0286797000, 0.0348965000, 0.0481101000, 0.0771889000, 0.1420197000, 0.2857339000, 0.6050057000", \ - "0.0357935000, 0.0416465000, 0.0546346000, 0.0823018000, 0.1446664000, 0.2857844000, 0.5976213000", \ - "0.0483899000, 0.0544474000, 0.0665042000, 0.0925880000, 0.1507632000, 0.2881757000, 0.6007117000"); - } - related_pin : "TE_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0019552000, 0.0025337200, 0.0037816100, 0.0064733700, 0.0122796000, 0.0248038000, 0.0518192000"); - values("0.0543557000, 0.0708485000, 0.1060058000, 0.1812735000, 0.3447886000, 0.6987172000, 1.4584712000", \ - "0.0543882000, 0.0708201000, 0.1059487000, 0.1812379000, 0.3441647000, 0.6951593000, 1.4568634000", \ - "0.0545847000, 0.0709253000, 0.1059887000, 0.1815761000, 0.3438122000, 0.6985618000, 1.4616421000", \ - "0.0591242000, 0.0741683000, 0.1072446000, 0.1814128000, 0.3442741000, 0.6980708000, 1.4591101000", \ - "0.0799734000, 0.0946295000, 0.1239972000, 0.1902241000, 0.3454918000, 0.6981148000, 1.4668849000", \ - "0.1266352000, 0.1431645000, 0.1773417000, 0.2410972000, 0.3746941000, 0.7026754000, 1.4657763000", \ - "0.2214355000, 0.2448825000, 0.2870759000, 0.3621575000, 0.5033760000, 0.7861724000, 1.4780958000"); - } - timing_sense : "negative_unate"; - timing_type : "three_state_enable"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0019552000, 0.0025337200, 0.0037816100, 0.0064733700, 0.0122796000, 0.0248038000, 0.0518192000"); - values("0.0158969000, 0.0158979000, 0.0158979000, 0.0159332000, 0.0159742000, 0.0159742000, 0.0159742000", \ - "0.0169538000, 0.0169570000, 0.0169662000, 0.0169741000, 0.0169741000, 0.0169742000, 0.0170780000", \ - "0.0178224000, 0.0178224000, 0.0178224000, 0.0178224000, 0.0178224000, 0.0178224000, 0.0178335000", \ - "0.0147759000, 0.0147768000, 0.0147915000, 0.0147961000, 0.0147999000, 0.0147999000, 0.0147999000", \ - "0.0045613000, 0.0045613000, 0.0045626000, 0.0045626000, 0.0045626000, 0.0045626000, 0.0045626000", \ - "-0.021781800, -0.021781800, -0.021781800, -0.021781800, -0.021781800, -0.021781800, -0.021746700", \ - "-0.083428400, -0.083386800, -0.083347300, -0.083324200, -0.083324200, -0.083324200, -0.083324200"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0019552000, 0.0025337200, 0.0037816100, 0.0064733700, 0.0122796000, 0.0248038000, 0.0518192000"); - values("0.0342787000, 0.0342787000, 0.0342787000, 0.0342787000, 0.0342797000, 0.0342797000, 0.0342797000", \ - "0.0396563000, 0.0397050000, 0.0397050000, 0.0397050000, 0.0397050000, 0.0397050000, 0.0397050000", \ - "0.0508512000, 0.0508654000, 0.0508832000, 0.0508832000, 0.0509715000, 0.0509715000, 0.0509715000", \ - "0.0662884000, 0.0662884000, 0.0662884000, 0.0662884000, 0.0662884000, 0.0662884000, 0.0664515000", \ - "0.0803440000, 0.0803699000, 0.0804289000, 0.0805491000, 0.0805491000, 0.0805491000, 0.0806338000", \ - "0.0920456000, 0.0920470000, 0.0920499000, 0.0920499000, 0.0920499000, 0.0920499000, 0.0920499000", \ - "0.0843090000, 0.0843146000, 0.0843196000, 0.0843196000, 0.0843196000, 0.0843196000, 0.0843196000"); - } - fall_transition ("scalar") { - values("0.0000000000"); - } - related_pin : "TE_B"; - rise_transition ("scalar") { - values("0.0000000000"); - } - timing_sense : "positive_unate"; - timing_type : "three_state_disable"; - } - } - } - - cell ("sky130_fd_sc_hd__einvn_1") { - leakage_power () { - value : 0.0014787000; - when : "!A&TE_B"; - } - leakage_power () { - value : 0.0006894000; - when : "!A&!TE_B"; - } - leakage_power () { - value : 0.0015444000; - when : "A&TE_B"; - } - leakage_power () { - value : 0.0030638000; - when : "A&!TE_B"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__einvn"; - cell_leakage_power : 0.0016940850; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022530000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0032792000, 0.0032737000, 0.0032611000, 0.0032680000, 0.0032840000, 0.0033208000, 0.0034057000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002454400, -0.002459600, -0.002471700, -0.002469300, -0.002463700, -0.002450800, -0.002421200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025150000; - } - pin ("TE_B") { - capacitance : 0.0030030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0027780000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0032290000; - } - pin ("Z") { - capacitance : 0.0019930000; - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0011716000, 0.0002426000, -0.002019200, -0.007464500, -0.020233000, -0.049717300, -0.117632800", \ - "0.0009814000, 7.890000e-05, -0.002126400, -0.007493400, -0.020184600, -0.049651100, -0.117533800", \ - "0.0007503000, -0.000146900, -0.002335400, -0.007621100, -0.020230300, -0.049627900, -0.117473300", \ - "0.0005531000, -0.000418000, -0.002602900, -0.007890400, -0.020410900, -0.049711000, -0.117511500", \ - "0.0005602000, -0.000477800, -0.002701900, -0.008139700, -0.020676700, -0.049892300, -0.117596200", \ - "0.0013025000, 0.0001723000, -0.002347400, -0.007824200, -0.020823600, -0.049941800, -0.117646400", \ - "0.0031134000, 0.0018873000, -0.000860900, -0.006811700, -0.019991600, -0.049458300, -0.117665700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0054344000, 0.0066406000, 0.0092546000, 0.0150201000, 0.0277366000, 0.0569383000, 0.1239662000", \ - "0.0051395000, 0.0063043000, 0.0089772000, 0.0147792000, 0.0276937000, 0.0568975000, 0.1239627000", \ - "0.0049049000, 0.0060522000, 0.0086202000, 0.0144045000, 0.0275374000, 0.0569324000, 0.1238774000", \ - "0.0048318000, 0.0058964000, 0.0084085000, 0.0140309000, 0.0270268000, 0.0569005000, 0.1242502000", \ - "0.0051207000, 0.0060294000, 0.0084166000, 0.0139254000, 0.0267614000, 0.0560812000, 0.1235055000", \ - "0.0055999000, 0.0065735000, 0.0092485000, 0.0143459000, 0.0268976000, 0.0560153000, 0.1239371000", \ - "0.0072522000, 0.0080180000, 0.0103930000, 0.0156393000, 0.0281000000, 0.0568434000, 0.1241378000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("-0.003377300, -0.003320100, -0.003220000, -0.003097900, -0.002985400, -0.002916900, -0.002876500", \ - "-0.003609700, -0.003551800, -0.003456100, -0.003335900, -0.003226600, -0.003153100, -0.003113700", \ - "-0.003925600, -0.003880200, -0.003781500, -0.003654900, -0.003533400, -0.003450500, -0.003404000", \ - "-0.004262200, -0.004212600, -0.004115600, -0.003970400, -0.003842900, -0.003713400, -0.003636600", \ - "-0.004402300, -0.004347700, -0.004262800, -0.004124700, -0.003953500, -0.003804500, -0.003689300", \ - "-0.004243400, -0.004189200, -0.004184600, -0.004102900, -0.003961900, -0.003772100, -0.003601000", \ - "-0.003022200, -0.003036600, -0.003024000, -0.002997500, -0.002977500, -0.002841300, -0.002650000"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0185648000, 0.0196102000, 0.0220080000, 0.0275313000, 0.0402636000, 0.0696727000, 0.1369169000", \ - "0.0183690000, 0.0194119000, 0.0218086000, 0.0273335000, 0.0400655000, 0.0694824000, 0.1368142000", \ - "0.0182146000, 0.0192528000, 0.0216583000, 0.0271719000, 0.0399182000, 0.0690001000, 0.1360342000", \ - "0.0181461000, 0.0191983000, 0.0215952000, 0.0271121000, 0.0398559000, 0.0689333000, 0.1359885000", \ - "0.0182550000, 0.0192947000, 0.0216900000, 0.0271828000, 0.0399047000, 0.0693306000, 0.1360981000", \ - "0.0182778000, 0.0195990000, 0.0220558000, 0.0276368000, 0.0402242000, 0.0694819000, 0.1364132000", \ - "0.0193545000, 0.0203842000, 0.0228179000, 0.0283223000, 0.0415641000, 0.0706355000, 0.1380940000"); - } - when : "!A"; - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0150591000, 0.0140838000, 0.0117437000, 0.0062115000, -0.006605800, -0.036124500, -0.104079400", \ - "0.0148587000, 0.0138801000, 0.0115465000, 0.0060168000, -0.006799900, -0.036321800, -0.104251100", \ - "0.0147152000, 0.0137341000, 0.0114005000, 0.0058725000, -0.006942400, -0.036465200, -0.104387400", \ - "0.0146689000, 0.0137014000, 0.0113260000, 0.0057953000, -0.007022400, -0.036542100, -0.104471500", \ - "0.0142175000, 0.0134932000, 0.0114771000, 0.0060078000, -0.006812100, -0.036330800, -0.104256700", \ - "0.0148143000, 0.0137587000, 0.0113327000, 0.0057536000, -0.006694200, -0.036083700, -0.104002700", \ - "0.0160997000, 0.0150091000, 0.0125787000, 0.0069986000, -0.005835500, -0.035354500, -0.102789100"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("-0.003835800, -0.003852800, -0.003873800, -0.003905300, -0.003931400, -0.003947300, -0.003952500", \ - "-0.004129300, -0.004142400, -0.004163000, -0.004208900, -0.004227900, -0.004234700, -0.004242500", \ - "-0.004420500, -0.004435200, -0.004458200, -0.004486100, -0.004508400, -0.004524200, -0.004532200", \ - "-0.004677600, -0.004687900, -0.004704600, -0.004729500, -0.004755000, -0.004766300, -0.004775400", \ - "-0.004748200, -0.004760900, -0.004761500, -0.004798500, -0.004815800, -0.004826000, -0.004832000", \ - "-0.004455800, -0.004470400, -0.004517300, -0.004531500, -0.004552400, -0.004573300, -0.004583800", \ - "-0.003370500, -0.003328400, -0.003344900, -0.003360100, -0.003378100, -0.003435100, -0.003427200"); - } - when : "A"; - } - max_capacitance : 0.0741680000; - max_transition : 1.4839370000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - three_state : "(TE_B)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024934000, 0.0031437900, 0.0046401800, 0.0080830500, 0.0160043000, 0.0342294000, 0.0761611000"); - values("0.0215908000, 0.0250727000, 0.0327501000, 0.0498138000, 0.0885969000, 0.1780438000, 0.3821096000", \ - "0.0257721000, 0.0292759000, 0.0371385000, 0.0543441000, 0.0933990000, 0.1824126000, 0.3886963000", \ - "0.0343968000, 0.0388785000, 0.0473713000, 0.0648282000, 0.1038871000, 0.1931664000, 0.3999705000", \ - "0.0446204000, 0.0514689000, 0.0646395000, 0.0882195000, 0.1285851000, 0.2174961000, 0.4221736000", \ - "0.0531716000, 0.0639562000, 0.0839731000, 0.1203308000, 0.1793109000, 0.2745365000, 0.4791469000", \ - "0.0520471000, 0.0684430000, 0.1000376000, 0.1547353000, 0.2459003000, 0.3863867000, 0.6096326000", \ - "0.0174130000, 0.0422676000, 0.0906304000, 0.1753148000, 0.3154468000, 0.5329601000, 0.8672962000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024934000, 0.0031437900, 0.0046401800, 0.0080830500, 0.0160043000, 0.0342294000, 0.0761611000"); - values("0.0473367000, 0.0578424000, 0.0806448000, 0.1304658000, 0.2413876000, 0.4950347000, 1.0793877000", \ - "0.0499577000, 0.0600512000, 0.0832322000, 0.1332214000, 0.2456970000, 0.4997063000, 1.0833168000", \ - "0.0603900000, 0.0699786000, 0.0921628000, 0.1420263000, 0.2556368000, 0.5136634000, 1.0948926000", \ - "0.0867941000, 0.0976441000, 0.1189048000, 0.1673583000, 0.2791967000, 0.5383742000, 1.1232610000", \ - "0.1281422000, 0.1445708000, 0.1769399000, 0.2329424000, 0.3433210000, 0.5977965000, 1.1826933000", \ - "0.1932946000, 0.2177397000, 0.2663545000, 0.3516633000, 0.4944726000, 0.7473804000, 1.3332166000", \ - "0.3076683000, 0.3414033000, 0.4106371000, 0.5360434000, 0.7490860000, 1.0934043000, 1.6759076000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024934000, 0.0031437900, 0.0046401800, 0.0080830500, 0.0160043000, 0.0342294000, 0.0761611000"); - values("0.0144430000, 0.0187388000, 0.0286708000, 0.0514975000, 0.1033061000, 0.2219593000, 0.4958404000", \ - "0.0144644000, 0.0187222000, 0.0286206000, 0.0511950000, 0.1035423000, 0.2244237000, 0.4970239000", \ - "0.0199605000, 0.0228931000, 0.0307299000, 0.0518698000, 0.1036252000, 0.2242227000, 0.4969969000", \ - "0.0309656000, 0.0360178000, 0.0453854000, 0.0624537000, 0.1065316000, 0.2236613000, 0.4953277000", \ - "0.0519825000, 0.0596468000, 0.0726192000, 0.0956428000, 0.1369946000, 0.2341277000, 0.5001444000", \ - "0.0893467000, 0.1004961000, 0.1193038000, 0.1552739000, 0.2130447000, 0.3135638000, 0.5275430000", \ - "0.1581722000, 0.1741643000, 0.2046986000, 0.2576310000, 0.3447555000, 0.4848307000, 0.7105013000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024934000, 0.0031437900, 0.0046401800, 0.0080830500, 0.0160043000, 0.0342294000, 0.0761611000"); - values("0.0459983000, 0.0587525000, 0.0876343000, 0.1540195000, 0.3044932000, 0.6533869000, 1.4529488000", \ - "0.0458738000, 0.0585489000, 0.0877060000, 0.1540391000, 0.3045287000, 0.6527524000, 1.4543027000", \ - "0.0453807000, 0.0581083000, 0.0875436000, 0.1535158000, 0.3059170000, 0.6542114000, 1.4506647000", \ - "0.0575943000, 0.0668487000, 0.0909049000, 0.1529688000, 0.3053813000, 0.6588219000, 1.4547116000", \ - "0.0844022000, 0.0971067000, 0.1234863000, 0.1719125000, 0.3066151000, 0.6534743000, 1.4548968000", \ - "0.1269121000, 0.1463146000, 0.1810684000, 0.2476681000, 0.3622134000, 0.6613228000, 1.4592306000", \ - "0.1967449000, 0.2247447000, 0.2768650000, 0.3733261000, 0.5346080000, 0.7974106000, 1.4839374000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024934000, 0.0031437900, 0.0046401800, 0.0080830500, 0.0160043000, 0.0342294000, 0.0761611000"); - values("0.0689165000, 0.0728008000, 0.0810903000, 0.0989048000, 0.1380965000, 0.2271264000, 0.4326023000", \ - "0.0736599000, 0.0774833000, 0.0857762000, 0.1036052000, 0.1428006000, 0.2319298000, 0.4367000000", \ - "0.0866710000, 0.0904898000, 0.0987853000, 0.1165671000, 0.1557628000, 0.2447045000, 0.4502668000", \ - "0.1168731000, 0.1208311000, 0.1292064000, 0.1470883000, 0.1863201000, 0.2754714000, 0.4798770000", \ - "0.1664946000, 0.1710837000, 0.1804870000, 0.1993567000, 0.2394023000, 0.3287511000, 0.5331629000", \ - "0.2424315000, 0.2482477000, 0.2593989000, 0.2806961000, 0.3220809000, 0.4120984000, 0.6169685000", \ - "0.3613479000, 0.3690666000, 0.3834772000, 0.4092473000, 0.4550605000, 0.5468953000, 0.7522595000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024934000, 0.0031437900, 0.0046401800, 0.0080830500, 0.0160043000, 0.0342294000, 0.0761611000"); - values("0.0592691000, 0.0688119000, 0.0904106000, 0.1387448000, 0.2491466000, 0.5054502000, 1.0899840000", \ - "0.0652160000, 0.0747851000, 0.0963324000, 0.1446470000, 0.2550721000, 0.5106944000, 1.0923088000", \ - "0.0790602000, 0.0885584000, 0.1101092000, 0.1583321000, 0.2685974000, 0.5219440000, 1.1046318000", \ - "0.1070373000, 0.1170016000, 0.1387648000, 0.1871193000, 0.2974306000, 0.5506849000, 1.1333869000", \ - "0.1544451000, 0.1678639000, 0.1955190000, 0.2488997000, 0.3594232000, 0.6159221000, 1.1961836000", \ - "0.2278364000, 0.2491704000, 0.2907747000, 0.3652973000, 0.4976294000, 0.7545617000, 1.3380628000", \ - "0.3237498000, 0.3612068000, 0.4314072000, 0.5527933000, 0.7461642000, 1.0684212000, 1.6650544000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024934000, 0.0031437900, 0.0046401800, 0.0080830500, 0.0160043000, 0.0342294000, 0.0761611000"); - values("0.0187345000, 0.0228222000, 0.0322377000, 0.0538203000, 0.1042589000, 0.2232778000, 0.5025645000", \ - "0.0187227000, 0.0228361000, 0.0322137000, 0.0536839000, 0.1042479000, 0.2238968000, 0.4958098000", \ - "0.0186852000, 0.0228376000, 0.0321866000, 0.0538531000, 0.1041250000, 0.2229344000, 0.5027995000", \ - "0.0194182000, 0.0234626000, 0.0326167000, 0.0540194000, 0.1043141000, 0.2233613000, 0.4962443000", \ - "0.0229787000, 0.0268741000, 0.0358860000, 0.0565726000, 0.1057977000, 0.2233024000, 0.4970194000", \ - "0.0306398000, 0.0343565000, 0.0426150000, 0.0624050000, 0.1089903000, 0.2247536000, 0.4965577000", \ - "0.0426869000, 0.0469915000, 0.0554767000, 0.0744042000, 0.1174441000, 0.2271324000, 0.4996081000"); - } - related_pin : "TE_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024934000, 0.0031437900, 0.0046401800, 0.0080830500, 0.0160043000, 0.0342294000, 0.0761611000"); - values("0.0490631000, 0.0614552000, 0.0895843000, 0.1542445000, 0.3054325000, 0.6565218000, 1.4558087000", \ - "0.0490945000, 0.0614301000, 0.0895403000, 0.1543143000, 0.3054460000, 0.6568769000, 1.4558353000", \ - "0.0491966000, 0.0613814000, 0.0895351000, 0.1541199000, 0.3052758000, 0.6522227000, 1.4558985000", \ - "0.0530808000, 0.0644644000, 0.0908138000, 0.1545238000, 0.3050987000, 0.6521829000, 1.4547560000", \ - "0.0722530000, 0.0837621000, 0.1078218000, 0.1640299000, 0.3061997000, 0.6561386000, 1.4526954000", \ - "0.1154536000, 0.1293834000, 0.1569867000, 0.2140516000, 0.3370190000, 0.6587573000, 1.4582019000", \ - "0.2059652000, 0.2245074000, 0.2611210000, 0.3297794000, 0.4633821000, 0.7452196000, 1.4734020000"); - } - timing_sense : "negative_unate"; - timing_type : "three_state_enable"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024934000, 0.0031437900, 0.0046401800, 0.0080830500, 0.0160043000, 0.0342294000, 0.0761611000"); - values("0.0244149000, 0.0244149000, 0.0244259000, 0.0244259000, 0.0244259000, 0.0244259000, 0.0244520000", \ - "0.0237437000, 0.0237437000, 0.0237750000, 0.0237963000, 0.0237963000, 0.0237963000, 0.0238110000", \ - "0.0246551000, 0.0246551000, 0.0246781000, 0.0248589000, 0.0248589000, 0.0248589000, 0.0248865000", \ - "0.0209981000, 0.0209981000, 0.0210917000, 0.0210917000, 0.0210917000, 0.0210917000, 0.0210917000", \ - "0.0095462000, 0.0095462000, 0.0095462000, 0.0095462000, 0.0095462000, 0.0095462000, 0.0095462000", \ - "-0.020099000, -0.020099000, -0.019999000, -0.019598100, -0.019598100, -0.019598100, -0.019598100", \ - "-0.089071400, -0.089071400, -0.088617700, -0.088512800, -0.088512800, -0.088512800, -0.088512800"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024934000, 0.0031437900, 0.0046401800, 0.0080830500, 0.0160043000, 0.0342294000, 0.0761611000"); - values("0.0359098000, 0.0359098000, 0.0359098000, 0.0359749000, 0.0359749000, 0.0359749000, 0.0359934000", \ - "0.0409610000, 0.0409610000, 0.0409610000, 0.0409610000, 0.0409610000, 0.0409610000, 0.0409628000", \ - "0.0522084000, 0.0522084000, 0.0522084000, 0.0522112000, 0.0522507000, 0.0522507000, 0.0522507000", \ - "0.0678597000, 0.0678597000, 0.0678883000, 0.0678883000, 0.0679317000, 0.0679317000, 0.0679518000", \ - "0.0867253000, 0.0867253000, 0.0867272000, 0.0867272000, 0.0868781000, 0.0868781000, 0.0868781000", \ - "0.1045225000, 0.1045225000, 0.1046023000, 0.1046023000, 0.1046023000, 0.1046023000, 0.1046023000", \ - "0.1105245000, 0.1105245000, 0.1108282000, 0.1108282000, 0.1109450000, 0.1109450000, 0.1116048000"); - } - fall_transition ("scalar") { - values("0.0000000000"); - } - related_pin : "TE_B"; - rise_transition ("scalar") { - values("0.0000000000"); - } - timing_sense : "positive_unate"; - timing_type : "three_state_disable"; - } - } - } - - cell ("sky130_fd_sc_hd__einvn_2") { - leakage_power () { - value : 0.0016933000; - when : "!A&TE_B"; - } - leakage_power () { - value : 0.0009878000; - when : "!A&!TE_B"; - } - leakage_power () { - value : 0.0017688000; - when : "A&TE_B"; - } - leakage_power () { - value : 0.0081966000; - when : "A&!TE_B"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__einvn"; - cell_leakage_power : 0.0031616220; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0042870000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040440000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046013000, 0.0045887000, 0.0045596000, 0.0045594000, 0.0045589000, 0.0045577000, 0.0045549000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003481700, -0.003478500, -0.003471200, -0.003469900, -0.003466800, -0.003459700, -0.003443400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045290000; - } - pin ("TE_B") { - capacitance : 0.0040030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0036920000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0043140000; - } - pin ("Z") { - capacitance : 0.0029180000; - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0008602000, -0.000158600, -0.002936200, -0.010418600, -0.029919000, -0.079528200, -0.204763800", \ - "0.0004750000, -0.000518400, -0.003220300, -0.010534100, -0.029886800, -0.079405100, -0.204603800", \ - "1.770000e-05, -0.001012400, -0.003659700, -0.010895900, -0.030049200, -0.079426000, -0.204532700", \ - "-0.000271400, -0.001354800, -0.004191100, -0.011473100, -0.030464800, -0.079614600, -0.204585300", \ - "2.420000e-05, -0.001154100, -0.004355300, -0.011830500, -0.030926700, -0.079936300, -0.204751600", \ - "0.0016190000, 0.0002777000, -0.002962100, -0.011009800, -0.030656400, -0.080240000, -0.204972100", \ - "0.0063763000, 0.0049659000, 0.0008201000, -0.007897600, -0.028710600, -0.079075500, -0.204742500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0089183000, 0.0104056000, 0.0139253000, 0.0221046000, 0.0421088000, 0.0910660000, 0.2147868000", \ - "0.0084673000, 0.0098765000, 0.0132886000, 0.0215867000, 0.0416618000, 0.0916194000, 0.2154972000", \ - "0.0081155000, 0.0094619000, 0.0128290000, 0.0210356000, 0.0413057000, 0.0908114000, 0.2156975000", \ - "0.0081674000, 0.0094450000, 0.0126635000, 0.0205699000, 0.0404269000, 0.0902960000, 0.2144723000", \ - "0.0086428000, 0.0098350000, 0.0128719000, 0.0205874000, 0.0402105000, 0.0896963000, 0.2141066000", \ - "0.0103400000, 0.0114533000, 0.0142788000, 0.0217558000, 0.0407221000, 0.0897239000, 0.2135715000", \ - "0.0145001000, 0.0154526000, 0.0180876000, 0.0251568000, 0.0435208000, 0.0917614000, 0.2140776000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("-0.006518200, -0.006418800, -0.006247600, -0.005997100, -0.005719900, -0.005549900, -0.005469300", \ - "-0.006718900, -0.006635100, -0.006467900, -0.006217100, -0.005969000, -0.005793700, -0.005704700", \ - "-0.007044100, -0.006959100, -0.006771200, -0.006513800, -0.006255900, -0.006072600, -0.005974800", \ - "-0.007447200, -0.007377200, -0.007233700, -0.006973500, -0.006643600, -0.006406100, -0.006270700", \ - "-0.007761200, -0.007704700, -0.007578700, -0.007345800, -0.007027900, -0.006619700, -0.006410700", \ - "-0.007626200, -0.007588600, -0.007511200, -0.007343500, -0.007054800, -0.006692700, -0.006380400", \ - "-0.006496000, -0.006470400, -0.006415400, -0.006296400, -0.006137900, -0.005889700, -0.005521600"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0282145000, 0.0294442000, 0.0324951000, 0.0402265000, 0.0597328000, 0.1090179000, 0.2324764000", \ - "0.0280355000, 0.0292580000, 0.0323094000, 0.0400520000, 0.0595680000, 0.1085798000, 0.2337761000", \ - "0.0278484000, 0.0290743000, 0.0321291000, 0.0398548000, 0.0593494000, 0.1087489000, 0.2320990000", \ - "0.0277401000, 0.0289764000, 0.0320524000, 0.0397682000, 0.0592414000, 0.1086188000, 0.2320315000", \ - "0.0278059000, 0.0290488000, 0.0321179000, 0.0398114000, 0.0592983000, 0.1086734000, 0.2327436000", \ - "0.0273558000, 0.0285516000, 0.0323478000, 0.0401801000, 0.0596278000, 0.1087970000, 0.2330059000", \ - "0.0285012000, 0.0297840000, 0.0328595000, 0.0405552000, 0.0606426000, 0.1099674000, 0.2338532000"); - } - when : "!A"; - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0235179000, 0.0223973000, 0.0194637000, 0.0118119000, -0.007842300, -0.057526900, -0.182794100", \ - "0.0233464000, 0.0222187000, 0.0192741000, 0.0116392000, -0.008020500, -0.057704600, -0.182964500", \ - "0.0231440000, 0.0220165000, 0.0190992000, 0.0114417000, -0.008217600, -0.057905000, -0.183171700", \ - "0.0230471000, 0.0218963000, 0.0189995000, 0.0113413000, -0.008316300, -0.058001500, -0.183267800", \ - "0.0227309000, 0.0217632000, 0.0189646000, 0.0114026000, -0.008252600, -0.057934100, -0.183203200", \ - "0.0231875000, 0.0219611000, 0.0188567000, 0.0110295000, -0.008110800, -0.057551400, -0.182829300", \ - "0.0242325000, 0.0229996000, 0.0198919000, 0.0120617000, -0.007645600, -0.057379600, -0.181906000"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("-0.006762100, -0.006768800, -0.006791400, -0.006836400, -0.006883400, -0.006918000, -0.006931200", \ - "-0.007159300, -0.007173400, -0.007202600, -0.007251200, -0.007281200, -0.007290900, -0.007316400", \ - "-0.007470500, -0.007485200, -0.007513700, -0.007574300, -0.007623600, -0.007685400, -0.007692500", \ - "-0.007804500, -0.007829800, -0.007849900, -0.007874100, -0.007917200, -0.007957900, -0.007978800", \ - "-0.007974000, -0.007947000, -0.007974800, -0.008014900, -0.008069900, -0.008099800, -0.008117800", \ - "-0.007807400, -0.007830600, -0.007849900, -0.007909800, -0.007954700, -0.007979000, -0.007997200", \ - "-0.006783100, -0.006793000, -0.006802800, -0.006792100, -0.006792700, -0.006814000, -0.006827300"); - } - when : "A"; - } - max_capacitance : 0.1281840000; - max_transition : 1.4918400000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - three_state : "(TE_B)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0034181000, 0.0041783200, 0.0060944200, 0.0109238000, 0.0230961000, 0.0537757000, 0.1311020000"); - values("0.0190964000, 0.0215415000, 0.0273021000, 0.0411027000, 0.0750546000, 0.1605188000, 0.3766727000", \ - "0.0230844000, 0.0254642000, 0.0313423000, 0.0453231000, 0.0796809000, 0.1652987000, 0.3800304000", \ - "0.0304399000, 0.0337898000, 0.0409881000, 0.0554743000, 0.0897980000, 0.1755869000, 0.3917145000", \ - "0.0375751000, 0.0429186000, 0.0542146000, 0.0759554000, 0.1136428000, 0.1994993000, 0.4151808000", \ - "0.0411462000, 0.0493519000, 0.0668331000, 0.1002218000, 0.1583835000, 0.2549439000, 0.4703431000", \ - "0.0320563000, 0.0445358000, 0.0711772000, 0.1218623000, 0.2106694000, 0.3583474000, 0.5989224000", \ - "-0.014655400, 0.0046702000, 0.0436436000, 0.1210141000, 0.2571160000, 0.4827157000, 0.8436525000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0034181000, 0.0041783200, 0.0060944200, 0.0109238000, 0.0230961000, 0.0537757000, 0.1311020000"); - values("0.0395557000, 0.0471409000, 0.0647426000, 0.1056920000, 0.2055471000, 0.4517710000, 1.0728254000", \ - "0.0422568000, 0.0493701000, 0.0663980000, 0.1079674000, 0.2084654000, 0.4574774000, 1.0805159000", \ - "0.0526934000, 0.0592102000, 0.0758496000, 0.1168733000, 0.2177250000, 0.4685279000, 1.0883612000", \ - "0.0756349000, 0.0845132000, 0.1030670000, 0.1424835000, 0.2422321000, 0.4936198000, 1.1136866000", \ - "0.1099429000, 0.1230114000, 0.1508031000, 0.2047395000, 0.3044066000, 0.5525160000, 1.1781588000", \ - "0.1662763000, 0.1849721000, 0.2254963000, 0.3049843000, 0.4465229000, 0.6993244000, 1.3194894000", \ - "0.2709444000, 0.2962802000, 0.3514535000, 0.4639669000, 0.6730727000, 1.0324736000, 1.6622688000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0034181000, 0.0041783200, 0.0060944200, 0.0109238000, 0.0230961000, 0.0537757000, 0.1311020000"); - values("0.0113520000, 0.0142025000, 0.0215330000, 0.0399146000, 0.0863634000, 0.2026894000, 0.4965594000", \ - "0.0115160000, 0.0142416000, 0.0215183000, 0.0399803000, 0.0865714000, 0.2031270000, 0.4947053000", \ - "0.0163567000, 0.0192213000, 0.0248438000, 0.0407661000, 0.0863899000, 0.2030211000, 0.4946891000", \ - "0.0257993000, 0.0294752000, 0.0374049000, 0.0541452000, 0.0908876000, 0.2027051000, 0.4957271000", \ - "0.0436673000, 0.0493073000, 0.0607851000, 0.0832255000, 0.1255843000, 0.2166803000, 0.4955454000", \ - "0.0761174000, 0.0846890000, 0.1021864000, 0.1361258000, 0.1935961000, 0.2963202000, 0.5269911000", \ - "0.1367211000, 0.1503448000, 0.1792656000, 0.2286130000, 0.3138138000, 0.4594200000, 0.7114299000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0034181000, 0.0041783200, 0.0060944200, 0.0109238000, 0.0230961000, 0.0537757000, 0.1311020000"); - values("0.0375811000, 0.0462925000, 0.0677011000, 0.1217028000, 0.2580593000, 0.5966417000, 1.4567811000", \ - "0.0372946000, 0.0461047000, 0.0674959000, 0.1214181000, 0.2571538000, 0.6017513000, 1.4597483000", \ - "0.0382596000, 0.0459586000, 0.0673302000, 0.1217926000, 0.2576358000, 0.5982905000, 1.4620377000", \ - "0.0535732000, 0.0590363000, 0.0749746000, 0.1225392000, 0.2574152000, 0.5979156000, 1.4550671000", \ - "0.0721058000, 0.0829363000, 0.1061493000, 0.1494148000, 0.2632811000, 0.5986922000, 1.4594644000", \ - "0.1071308000, 0.1218527000, 0.1538690000, 0.2160483000, 0.3282341000, 0.6148012000, 1.4570596000", \ - "0.1679286000, 0.1878365000, 0.2320207000, 0.3227119000, 0.4832090000, 0.7703658000, 1.4918400000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0034181000, 0.0041783200, 0.0060944200, 0.0109238000, 0.0230961000, 0.0537757000, 0.1311020000"); - values("0.0764906000, 0.0795017000, 0.0863233000, 0.1017365000, 0.1371391000, 0.2229538000, 0.4388531000", \ - "0.0813235000, 0.0843372000, 0.0911900000, 0.1065435000, 0.1419968000, 0.2278315000, 0.4432929000", \ - "0.0941915000, 0.0971698000, 0.1040273000, 0.1193840000, 0.1548473000, 0.2406699000, 0.4555133000", \ - "0.1252183000, 0.1282463000, 0.1351349000, 0.1505984000, 0.1860612000, 0.2718841000, 0.4867605000", \ - "0.1803016000, 0.1839645000, 0.1918039000, 0.2086661000, 0.2451902000, 0.3315266000, 0.5475534000", \ - "0.2632423000, 0.2682400000, 0.2783459000, 0.2982954000, 0.3378774000, 0.4258054000, 0.6414517000", \ - "0.3870539000, 0.3935303000, 0.4076917000, 0.4333960000, 0.4811786000, 0.5726140000, 0.7888828000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0034181000, 0.0041783200, 0.0060944200, 0.0109238000, 0.0230961000, 0.0537757000, 0.1311020000"); - values("0.0487884000, 0.0550879000, 0.0707975000, 0.1099153000, 0.2076884000, 0.4553581000, 1.0730227000", \ - "0.0548089000, 0.0611078000, 0.0767801000, 0.1159716000, 0.2135863000, 0.4596777000, 1.0857056000", \ - "0.0681226000, 0.0744165000, 0.0901780000, 0.1292210000, 0.2269893000, 0.4736300000, 1.0964452000", \ - "0.0923036000, 0.0995178000, 0.1163516000, 0.1557036000, 0.2534346000, 0.5004204000, 1.1189882000", \ - "0.1307976000, 0.1400914000, 0.1621209000, 0.2091438000, 0.3096345000, 0.5559548000, 1.1763041000", \ - "0.1854547000, 0.2014595000, 0.2354890000, 0.3016525000, 0.4278853000, 0.6832429000, 1.3134710000", \ - "0.2409948000, 0.2687054000, 0.3293001000, 0.4422398000, 0.6305284000, 0.9559363000, 1.5985181000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0034181000, 0.0041783200, 0.0060944200, 0.0109238000, 0.0230961000, 0.0537757000, 0.1311020000"); - values("0.0174340000, 0.0202678000, 0.0272517000, 0.0446559000, 0.0889314000, 0.2035666000, 0.4947420000", \ - "0.0174350000, 0.0202601000, 0.0272549000, 0.0447764000, 0.0888018000, 0.2031225000, 0.4955934000", \ - "0.0174539000, 0.0202774000, 0.0272518000, 0.0446680000, 0.0889980000, 0.2033758000, 0.4946438000", \ - "0.0177548000, 0.0204667000, 0.0274562000, 0.0447693000, 0.0890347000, 0.2033385000, 0.4944642000", \ - "0.0216639000, 0.0243758000, 0.0309603000, 0.0477741000, 0.0905581000, 0.2033384000, 0.4971087000", \ - "0.0299747000, 0.0327231000, 0.0393695000, 0.0551638000, 0.0958400000, 0.2057661000, 0.4954824000", \ - "0.0431672000, 0.0461988000, 0.0537850000, 0.0698402000, 0.1090330000, 0.2118423000, 0.4966271000"); - } - related_pin : "TE_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0034181000, 0.0041783200, 0.0060944200, 0.0109238000, 0.0230961000, 0.0537757000, 0.1311020000"); - values("0.0389553000, 0.0473220000, 0.0685590000, 0.1221059000, 0.2572797000, 0.5991537000, 1.4569869000", \ - "0.0390410000, 0.0474514000, 0.0686561000, 0.1221583000, 0.2573367000, 0.5972688000, 1.4692336000", \ - "0.0392910000, 0.0477384000, 0.0687515000, 0.1222508000, 0.2567617000, 0.6005471000, 1.4600982000", \ - "0.0450628000, 0.0522340000, 0.0719987000, 0.1230123000, 0.2570315000, 0.6001591000, 1.4567310000", \ - "0.0620228000, 0.0702824000, 0.0898736000, 0.1363864000, 0.2611137000, 0.6002736000, 1.4607904000", \ - "0.1024700000, 0.1124519000, 0.1345854000, 0.1842822000, 0.2982145000, 0.6085578000, 1.4653788000", \ - "0.1892328000, 0.2031917000, 0.2327689000, 0.2927800000, 0.4147103000, 0.7004988000, 1.4800384000"); - } - timing_sense : "negative_unate"; - timing_type : "three_state_enable"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0034181000, 0.0041783200, 0.0060944200, 0.0109238000, 0.0230961000, 0.0537757000, 0.1311020000"); - values("0.0333788000, 0.0333788000, 0.0333788000, 0.0333788000, 0.0333788000, 0.0333788000, 0.0333788000", \ - "0.0352450000, 0.0352450000, 0.0353182000, 0.0353182000, 0.0353182000, 0.0353182000, 0.0353182000", \ - "0.0369755000, 0.0369755000, 0.0372658000, 0.0372658000, 0.0372658000, 0.0372658000, 0.0372658000", \ - "0.0321060000, 0.0321060000, 0.0321060000, 0.0321298000, 0.0321298000, 0.0321298000, 0.0321298000", \ - "0.0220828000, 0.0220828000, 0.0220828000, 0.0220828000, 0.0220948000, 0.0221487000, 0.0223110000", \ - "0.0028257000, 0.0028257000, 0.0032642000, 0.0032642000, 0.0036460000, 0.0036460000, 0.0036460000", \ - "-0.039984200, -0.039984200, -0.039984200, -0.039984200, -0.038350300, -0.038350300, -0.038350300"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0034181000, 0.0041783200, 0.0060944200, 0.0109238000, 0.0230961000, 0.0537757000, 0.1311020000"); - values("0.0458856000, 0.0460834000, 0.0460834000, 0.0460834000, 0.0460834000, 0.0460834000, 0.0460834000", \ - "0.0510883000, 0.0510883000, 0.0511656000, 0.0511656000, 0.0512302000, 0.0512302000, 0.0512302000", \ - "0.0628612000, 0.0628612000, 0.0628612000, 0.0628612000, 0.0628612000, 0.0628612000, 0.0628612000", \ - "0.0830067000, 0.0830067000, 0.0830067000, 0.0830067000, 0.0830073000, 0.0830073000, 0.0830073000", \ - "0.1107863000, 0.1107863000, 0.1113097000, 0.1113097000, 0.1113097000, 0.1118834000, 0.1118834000", \ - "0.1435534000, 0.1435534000, 0.1435534000, 0.1435534000, 0.1445746000, 0.1445746000, 0.1445746000", \ - "0.1711483000, 0.1711483000, 0.1711483000, 0.1737373000, 0.1737373000, 0.1748638000, 0.1748638000"); - } - fall_transition ("scalar") { - values("0.0000000000"); - } - related_pin : "TE_B"; - rise_transition ("scalar") { - values("0.0000000000"); - } - timing_sense : "positive_unate"; - timing_type : "three_state_disable"; - } - } - } - - cell ("sky130_fd_sc_hd__einvn_4") { - leakage_power () { - value : 0.0028026000; - when : "!A&TE_B"; - } - leakage_power () { - value : 0.0021273000; - when : "!A&!TE_B"; - } - leakage_power () { - value : 0.0027976000; - when : "A&TE_B"; - } - leakage_power () { - value : 0.0076017000; - when : "A&!TE_B"; - } - area : 13.763200000; - cell_footprint : "sky130_fd_sc_hd__einvn"; - cell_leakage_power : 0.0038323040; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0082270000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0077980000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0088256000, 0.0087986000, 0.0087365000, 0.0087481000, 0.0087749000, 0.0088367000, 0.0089791000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007159700, -0.007154800, -0.007143600, -0.007145000, -0.007148500, -0.007156400, -0.007174600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0086550000; - } - pin ("TE_B") { - capacitance : 0.0066830000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0060810000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0072840000; - } - pin ("Z") { - capacitance : 0.0046800000; - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014033790, 0.0039389460, 0.0110556700, 0.0310306000, 0.0870953900, 0.2444557000"); - values("-0.001002800, -0.002127300, -0.005560900, -0.016229900, -0.047966300, -0.138550600, -0.393394400", \ - "-0.001687800, -0.002824600, -0.006196500, -0.016551300, -0.047950800, -0.138309800, -0.393076500", \ - "-0.002405500, -0.003635400, -0.007103100, -0.017335100, -0.048274000, -0.138329200, -0.392899400", \ - "-0.002656500, -0.004148700, -0.007923400, -0.018337600, -0.049096500, -0.138674800, -0.392941600", \ - "-0.002112600, -0.003798800, -0.007808000, -0.018672400, -0.050129300, -0.139358200, -0.393260100", \ - "0.0009535000, -0.000681700, -0.005188300, -0.017213200, -0.049259000, -0.139687800, -0.393539800", \ - "0.0092843000, 0.0074045000, 0.0024722000, -0.010673200, -0.044630200, -0.137277100, -0.393194600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014033790, 0.0039389460, 0.0110556700, 0.0310306000, 0.0870953900, 0.2444557000"); - values("0.0163203000, 0.0182512000, 0.0233021000, 0.0360257000, 0.0689180000, 0.1593106000, 0.4107665000", \ - "0.0156725000, 0.0173377000, 0.0221949000, 0.0349786000, 0.0682739000, 0.1587793000, 0.4108910000", \ - "0.0153608000, 0.0169740000, 0.0213673000, 0.0337335000, 0.0674707000, 0.1586186000, 0.4107522000", \ - "0.0153387000, 0.0168207000, 0.0212045000, 0.0330650000, 0.0662264000, 0.1581765000, 0.4102156000", \ - "0.0162653000, 0.0176915000, 0.0216947000, 0.0331110000, 0.0654880000, 0.1558505000, 0.4123240000", \ - "0.0191262000, 0.0210213000, 0.0240742000, 0.0349216000, 0.0664751000, 0.1557790000, 0.4103063000", \ - "0.0273817000, 0.0282609000, 0.0317468000, 0.0418522000, 0.0718903000, 0.1590692000, 0.4093433000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014033790, 0.0039389460, 0.0110556700, 0.0310306000, 0.0870953900, 0.2444557000"); - values("-0.012451000, -0.012324600, -0.012048100, -0.011554000, -0.010974100, -0.010577400, -0.010385000", \ - "-0.012786100, -0.012669900, -0.012400300, -0.011919400, -0.011358200, -0.010986300, -0.010791500", \ - "-0.013384200, -0.013270800, -0.013004700, -0.012513600, -0.011916500, -0.011489000, -0.011260700", \ - "-0.014073800, -0.013979400, -0.013737100, -0.013256900, -0.012586800, -0.012022200, -0.011707500", \ - "-0.014622000, -0.014550700, -0.014380700, -0.013979300, -0.013295100, -0.012571800, -0.012062700", \ - "-0.014540300, -0.014491500, -0.014377000, -0.014087800, -0.013543500, -0.012716700, -0.012020200", \ - "-0.013131600, -0.013094600, -0.013001000, -0.012859800, -0.012507900, -0.011907200, -0.011110300"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014033790, 0.0039389460, 0.0110556700, 0.0310306000, 0.0870953900, 0.2444557000"); - values("0.0511272000, 0.0526115000, 0.0567618000, 0.0681150000, 0.1000499000, 0.1902101000, 0.4414740000", \ - "0.0508709000, 0.0523578000, 0.0564938000, 0.0678458000, 0.0997907000, 0.1899803000, 0.4412097000", \ - "0.0505701000, 0.0520556000, 0.0562007000, 0.0675549000, 0.0995659000, 0.1898055000, 0.4409106000", \ - "0.0503680000, 0.0518751000, 0.0560171000, 0.0674251000, 0.0993638000, 0.1894192000, 0.4407686000", \ - "0.0504661000, 0.0520102000, 0.0561384000, 0.0674953000, 0.0994241000, 0.1896092000, 0.4407437000", \ - "0.0497574000, 0.0511479000, 0.0561496000, 0.0680856000, 0.1000519000, 0.1898282000, 0.4413917000", \ - "0.0517167000, 0.0531761000, 0.0572615000, 0.0685943000, 0.1016438000, 0.1915760000, 0.4438570000"); - } - when : "!A"; - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014033790, 0.0039389460, 0.0110556700, 0.0310306000, 0.0870953900, 0.2444557000"); - values("0.0400329000, 0.0386817000, 0.0349211000, 0.0238712000, -0.008237000, -0.099005600, -0.353931300", \ - "0.0397170000, 0.0384415000, 0.0346585000, 0.0236075000, -0.008497100, -0.099259900, -0.354189600", \ - "0.0394768000, 0.0381388000, 0.0343746000, 0.0233484000, -0.008765500, -0.099533600, -0.354452400", \ - "0.0392575000, 0.0379687000, 0.0341689000, 0.0231585000, -0.008948300, -0.099722400, -0.354635800", \ - "0.0390695000, 0.0377807000, 0.0341107000, 0.0231989000, -0.008854900, -0.099642100, -0.354551300", \ - "0.0397078000, 0.0382414000, 0.0341382000, 0.0225901000, -0.008937700, -0.099049200, -0.353999400", \ - "0.0415377000, 0.0400798000, 0.0359724000, 0.0244274000, -0.007921300, -0.098727800, -0.352293700"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014033790, 0.0039389460, 0.0110556700, 0.0310306000, 0.0870953900, 0.2444557000"); - values("-0.013457400, -0.013468200, -0.013494200, -0.013542100, -0.013601200, -0.013653800, -0.013672200", \ - "-0.013932000, -0.013947000, -0.013977300, -0.014021500, -0.014086500, -0.014126900, -0.014157700", \ - "-0.014433400, -0.014445700, -0.014476200, -0.014529800, -0.014589900, -0.014644200, -0.014663300", \ - "-0.014860700, -0.014870900, -0.014892400, -0.014943600, -0.015011200, -0.015053900, -0.015079000", \ - "-0.015196900, -0.015211200, -0.015232500, -0.015253000, -0.015309500, -0.015349300, -0.015331700", \ - "-0.014985100, -0.014994300, -0.015005400, -0.015046200, -0.015076800, -0.015129000, -0.015149300", \ - "-0.013284400, -0.013298400, -0.013336600, -0.013374000, -0.013401300, -0.013431300, -0.013448200"); - } - when : "A"; - } - max_capacitance : 0.2444560000; - max_transition : 1.4982520000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - three_state : "(TE_B)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0051797000, 0.0060830800, 0.0086186500, 0.0157354000, 0.0357103000, 0.0917751000, 0.2491350000"); - values("0.0188427000, 0.0205437000, 0.0250086000, 0.0364640000, 0.0670749000, 0.1526979000, 0.3935968000", \ - "0.0226094000, 0.0242815000, 0.0287652000, 0.0404171000, 0.0713313000, 0.1569467000, 0.3964934000", \ - "0.0288689000, 0.0313934000, 0.0374412000, 0.0500404000, 0.0812750000, 0.1672205000, 0.4075284000", \ - "0.0337518000, 0.0378011000, 0.0472680000, 0.0672135000, 0.1048533000, 0.1907167000, 0.4323153000", \ - "0.0330901000, 0.0391527000, 0.0538009000, 0.0846966000, 0.1433669000, 0.2460298000, 0.4851682000", \ - "0.0154081000, 0.0246056000, 0.0470996000, 0.0942164000, 0.1838123000, 0.3414348000, 0.6126274000", \ - "-0.048815100, -0.034621400, -0.000559300, 0.0713266000, 0.2072357000, 0.4481659000, 0.8560242000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0051797000, 0.0060830800, 0.0086186500, 0.0157354000, 0.0357103000, 0.0917751000, 0.2491350000"); - values("0.0347471000, 0.0398774000, 0.0532847000, 0.0873824000, 0.1754714000, 0.4170407000, 1.0884879000", \ - "0.0379307000, 0.0424056000, 0.0552480000, 0.0892527000, 0.1783056000, 0.4201250000, 1.0925389000", \ - "0.0494907000, 0.0535230000, 0.0650115000, 0.0979003000, 0.1873653000, 0.4314314000, 1.1039789000", \ - "0.0710451000, 0.0772556000, 0.0924620000, 0.1244468000, 0.2119724000, 0.4568895000, 1.1302337000", \ - "0.1045231000, 0.1138792000, 0.1364717000, 0.1845514000, 0.2766663000, 0.5185419000, 1.2012950000", \ - "0.1625969000, 0.1758824000, 0.2076535000, 0.2784770000, 0.4156544000, 0.6684742000, 1.3450783000", \ - "0.2749445000, 0.2925980000, 0.3366220000, 0.4352827000, 0.6363272000, 1.0024245000, 1.6921246000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0051797000, 0.0060830800, 0.0086186500, 0.0157354000, 0.0357103000, 0.0917751000, 0.2491350000"); - values("0.0097052000, 0.0116053000, 0.0169363000, 0.0319519000, 0.0739059000, 0.1918306000, 0.5202859000", \ - "0.0100329000, 0.0117189000, 0.0169152000, 0.0319158000, 0.0740294000, 0.1917152000, 0.5201254000", \ - "0.0144503000, 0.0165093000, 0.0213599000, 0.0335686000, 0.0738344000, 0.1916822000, 0.5206142000", \ - "0.0231067000, 0.0257462000, 0.0321857000, 0.0470284000, 0.0806422000, 0.1910315000, 0.5213929000", \ - "0.0392729000, 0.0434584000, 0.0535646000, 0.0741825000, 0.1143624000, 0.2076956000, 0.5220347000", \ - "0.0692808000, 0.0755638000, 0.0918295000, 0.1220828000, 0.1807675000, 0.2890439000, 0.5495623000", \ - "0.1263677000, 0.1362348000, 0.1585842000, 0.2073520000, 0.2935223000, 0.4491098000, 0.7373998000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0051797000, 0.0060830800, 0.0086186500, 0.0157354000, 0.0357103000, 0.0917751000, 0.2491350000"); - values("0.0304759000, 0.0361053000, 0.0514835000, 0.0941249000, 0.2123246000, 0.5435589000, 1.4714527000", \ - "0.0300734000, 0.0357913000, 0.0513059000, 0.0938809000, 0.2120749000, 0.5426877000, 1.4704400000", \ - "0.0314073000, 0.0362865000, 0.0506288000, 0.0939373000, 0.2127722000, 0.5434400000, 1.4732061000", \ - "0.0451419000, 0.0509268000, 0.0613786000, 0.0965724000, 0.2127144000, 0.5462099000, 1.4712066000", \ - "0.0616118000, 0.0688882000, 0.0879476000, 0.1280350000, 0.2211950000, 0.5439567000, 1.4833915000", \ - "0.0933814000, 0.1037380000, 0.1292800000, 0.1843964000, 0.2948815000, 0.5614695000, 1.4765242000", \ - "0.1517428000, 0.1651949000, 0.2007605000, 0.2799893000, 0.4323776000, 0.7191306000, 1.4982519000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0051797000, 0.0060830800, 0.0086186500, 0.0157354000, 0.0357103000, 0.0917751000, 0.2491350000"); - values("0.0794324000, 0.0815883000, 0.0871631000, 0.1005741000, 0.1335497000, 0.2199074000, 0.4593974000", \ - "0.0840545000, 0.0863017000, 0.0918841000, 0.1052463000, 0.1382651000, 0.2246503000, 0.4642116000", \ - "0.0968288000, 0.0989933000, 0.1045632000, 0.1179279000, 0.1509314000, 0.2373510000, 0.4774977000", \ - "0.1278436000, 0.1301278000, 0.1357570000, 0.1491643000, 0.1821984000, 0.2686310000, 0.5088637000", \ - "0.1850354000, 0.1877338000, 0.1944905000, 0.2094018000, 0.2436469000, 0.3306546000, 0.5711983000", \ - "0.2717708000, 0.2754681000, 0.2844928000, 0.3030861000, 0.3416854000, 0.4310127000, 0.6713373000", \ - "0.4045368000, 0.4099877000, 0.4219523000, 0.4469178000, 0.4939599000, 0.5902022000, 0.8319602000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0051797000, 0.0060830800, 0.0086186500, 0.0157354000, 0.0357103000, 0.0917751000, 0.2491350000"); - values("0.0502322000, 0.0542945000, 0.0655425000, 0.0965798000, 0.1822906000, 0.4216984000, 1.0935088000", \ - "0.0560074000, 0.0600936000, 0.0713370000, 0.1023841000, 0.1880820000, 0.4274165000, 1.0993891000", \ - "0.0697306000, 0.0738581000, 0.0851914000, 0.1161294000, 0.2018281000, 0.4414721000, 1.1130258000", \ - "0.0954493000, 0.1001949000, 0.1127194000, 0.1441910000, 0.2299139000, 0.4693909000, 1.1413589000", \ - "0.1368458000, 0.1432099000, 0.1596268000, 0.1988824000, 0.2893850000, 0.5294969000, 1.2014908000", \ - "0.1977463000, 0.2080805000, 0.2348056000, 0.2927796000, 0.4107990000, 0.6638273000, 1.3384215000", \ - "0.2669949000, 0.2867811000, 0.3347234000, 0.4349095000, 0.6186977000, 0.9487154000, 1.6479996000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0051797000, 0.0060830800, 0.0086186500, 0.0157354000, 0.0357103000, 0.0917751000, 0.2491350000"); - values("0.0165836000, 0.0184561000, 0.0236565000, 0.0379219000, 0.0779748000, 0.1925766000, 0.5212845000", \ - "0.0165584000, 0.0184516000, 0.0236629000, 0.0379216000, 0.0779169000, 0.1924389000, 0.5202738000", \ - "0.0166320000, 0.0184414000, 0.0236566000, 0.0378901000, 0.0779646000, 0.1925019000, 0.5199856000", \ - "0.0168123000, 0.0186582000, 0.0238156000, 0.0379948000, 0.0779988000, 0.1925633000, 0.5199935000", \ - "0.0212257000, 0.0229003000, 0.0277861000, 0.0413294000, 0.0795684000, 0.1927839000, 0.5219920000", \ - "0.0301098000, 0.0320322000, 0.0368900000, 0.0495236000, 0.0862266000, 0.1955603000, 0.5203918000", \ - "0.0444325000, 0.0463899000, 0.0517365000, 0.0655267000, 0.0996917000, 0.2029684000, 0.5221986000"); - } - related_pin : "TE_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0051797000, 0.0060830800, 0.0086186500, 0.0157354000, 0.0357103000, 0.0917751000, 0.2491350000"); - values("0.0326816000, 0.0380278000, 0.0528769000, 0.0949499000, 0.2127001000, 0.5445365000, 1.4705417000", \ - "0.0326499000, 0.0380415000, 0.0528739000, 0.0950071000, 0.2126469000, 0.5444634000, 1.4702936000", \ - "0.0328896000, 0.0382257000, 0.0529898000, 0.0949351000, 0.2127193000, 0.5443967000, 1.4703441000", \ - "0.0381229000, 0.0428089000, 0.0563318000, 0.0962882000, 0.2125212000, 0.5439004000, 1.4721675000", \ - "0.0537071000, 0.0590121000, 0.0733323000, 0.1109946000, 0.2178862000, 0.5444000000, 1.4689073000", \ - "0.0909753000, 0.0973212000, 0.1141803000, 0.1560796000, 0.2582712000, 0.5546940000, 1.4733976000", \ - "0.1713096000, 0.1804965000, 0.2040538000, 0.2576381000, 0.3708842000, 0.6487396000, 1.4908287000"); - } - timing_sense : "negative_unate"; - timing_type : "three_state_enable"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0051797000, 0.0060830800, 0.0086186500, 0.0157354000, 0.0357103000, 0.0917751000, 0.2491350000"); - values("0.0390618000, 0.0390618000, 0.0390618000, 0.0390618000, 0.0390618000, 0.0390618000, 0.0390618000", \ - "0.0404211000, 0.0404211000, 0.0404543000, 0.0404543000, 0.0404987000, 0.0404987000, 0.0404987000", \ - "0.0410535000, 0.0421800000, 0.0421800000, 0.0421800000, 0.0421800000, 0.0421800000, 0.0421800000", \ - "0.0333756000, 0.0343068000, 0.0359228000, 0.0359228000, 0.0360499000, 0.0360499000, 0.0360499000", \ - "0.0182914000, 0.0195210000, 0.0219643000, 0.0219643000, 0.0219643000, 0.0219643000, 0.0220423000", \ - "-0.012612400, -0.010010700, -0.006449500, -0.006424700, -0.006141200, -0.006141200, -0.005339900", \ - "-0.081167100, -0.076063200, -0.065832100, -0.065831600, -0.065831600, -0.065831600, -0.065831600"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0051797000, 0.0060830800, 0.0086186500, 0.0157354000, 0.0357103000, 0.0917751000, 0.2491350000"); - values("0.0484219000, 0.0485147000, 0.0485171000, 0.0485171000, 0.0485171000, 0.0485171000, 0.0485171000", \ - "0.0533949000, 0.0533949000, 0.0534247000, 0.0534247000, 0.0534247000, 0.0534251000, 0.0534251000", \ - "0.0646496000, 0.0647647000, 0.0648978000, 0.0648978000, 0.0648978000, 0.0648978000, 0.0648978000", \ - "0.0851130000, 0.0853863000, 0.0859265000, 0.0859265000, 0.0859265000, 0.0859265000, 0.0859265000", \ - "0.1130100000, 0.1130100000, 0.1132486000, 0.1133599000, 0.1134744000, 0.1134744000, 0.1134744000", \ - "0.1453320000, 0.1460437000, 0.1463829000, 0.1463829000, 0.1465336000, 0.1465336000, 0.1465486000", \ - "0.1716185000, 0.1716185000, 0.1716185000, 0.1716185000, 0.1716185000, 0.1716185000, 0.1716185000"); - } - fall_transition ("scalar") { - values("0.0000000000"); - } - related_pin : "TE_B"; - rise_transition ("scalar") { - values("0.0000000000"); - } - timing_sense : "positive_unate"; - timing_type : "three_state_disable"; - } - } - } - - cell ("sky130_fd_sc_hd__einvn_8") { - leakage_power () { - value : 0.0026638000; - when : "!A&TE_B"; - } - leakage_power () { - value : 0.0046739000; - when : "!A&!TE_B"; - } - leakage_power () { - value : 0.0025556000; - when : "A&TE_B"; - } - leakage_power () { - value : 0.0084718000; - when : "A&!TE_B"; - } - area : 22.521600000; - cell_footprint : "sky130_fd_sc_hd__einvn"; - cell_leakage_power : 0.0045912690; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0166200000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0157440000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0163744000, 0.0163647000, 0.0163423000, 0.0163508000, 0.0163703000, 0.0164152000, 0.0165187000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.014209600, -0.014196800, -0.014167100, -0.014179100, -0.014206600, -0.014269900, -0.014416000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0174950000; - } - pin ("TE_B") { - capacitance : 0.0102150000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0091740000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0112570000; - } - pin ("Z") { - capacitance : 0.0095480000; - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015303700, 0.0046840630, 0.0143367000, 0.0438808900, 0.1343080000, 0.4110817000"); - values("-0.000542600, -0.001793800, -0.005879200, -0.019860100, -0.066268500, -0.212041800, -0.660221600", \ - "-0.001866800, -0.003184100, -0.007212300, -0.020727400, -0.066263000, -0.211621900, -0.659557400", \ - "-0.003413200, -0.004794300, -0.008956400, -0.022487600, -0.067185900, -0.211647600, -0.659250300", \ - "-0.004418600, -0.005914600, -0.010428900, -0.024601600, -0.069095500, -0.212449700, -0.659304500", \ - "-0.003510400, -0.005104300, -0.010049900, -0.024987600, -0.070854100, -0.213820800, -0.659737400", \ - "0.0013210000, -0.000477700, -0.006023000, -0.022108500, -0.069722700, -0.215430100, -0.660812100", \ - "0.0147968000, 0.0127216000, 0.0065356000, -0.011862300, -0.063097400, -0.210221100, -0.660233400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015303700, 0.0046840630, 0.0143367000, 0.0438808900, 0.1343080000, 0.4110817000"); - values("0.0343436000, 0.0366913000, 0.0434385000, 0.0616894000, 0.1113029000, 0.2564261000, 0.7029611000", \ - "0.0325324000, 0.0346132000, 0.0410233000, 0.0593957000, 0.1100794000, 0.2576834000, 0.7029788000", \ - "0.0317095000, 0.0336170000, 0.0393120000, 0.0570863000, 0.1076207000, 0.2553684000, 0.7007957000", \ - "0.0318943000, 0.0336042000, 0.0391228000, 0.0549594000, 0.1045387000, 0.2541777000, 0.7030298000", \ - "0.0328678000, 0.0344745000, 0.0394374000, 0.0549732000, 0.1028702000, 0.2500307000, 0.7008630000", \ - "0.0378642000, 0.0392593000, 0.0438688000, 0.0586630000, 0.1051469000, 0.2483303000, 0.6941527000", \ - "0.0531830000, 0.0550033000, 0.0564246000, 0.0696020000, 0.1138904000, 0.2548542000, 0.6945260000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015303700, 0.0046840630, 0.0143367000, 0.0438808900, 0.1343080000, 0.4110817000"); - values("-0.024404200, -0.024256700, -0.023854400, -0.022997600, -0.021821300, -0.020731100, -0.020265800", \ - "-0.024861200, -0.024721500, -0.024335500, -0.023488700, -0.022336200, -0.021315000, -0.020755400", \ - "-0.025552000, -0.025415300, -0.025039200, -0.024194300, -0.022979000, -0.021879800, -0.021397200", \ - "-0.026547000, -0.026427000, -0.026132100, -0.025331700, -0.024046600, -0.022762300, -0.022079400", \ - "-0.027245800, -0.027155200, -0.026895300, -0.026232600, -0.024944200, -0.023388000, -0.022338800", \ - "-0.028069900, -0.028009700, -0.027804200, -0.027342200, -0.026329000, -0.024715300, -0.023179900", \ - "-0.027167800, -0.027110900, -0.026939100, -0.026547900, -0.025745800, -0.024460900, -0.022744700"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015303700, 0.0046840630, 0.0143367000, 0.0438808900, 0.1343080000, 0.4110817000"); - values("0.0931472000, 0.0949367000, 0.1004563000, 0.1164231000, 0.1638052000, 0.3086101000, 0.7508503000", \ - "0.0928179000, 0.0946647000, 0.1001807000, 0.1161661000, 0.1635245000, 0.3082732000, 0.7507280000", \ - "0.0924680000, 0.0943794000, 0.0998929000, 0.1158473000, 0.1631844000, 0.3080272000, 0.7505776000", \ - "0.0922188000, 0.0940484000, 0.0996295000, 0.1156386000, 0.1628968000, 0.3077392000, 0.7502870000", \ - "0.0919925000, 0.0939164000, 0.0994818000, 0.1155828000, 0.1629824000, 0.3076121000, 0.7533140000", \ - "0.0929694000, 0.0947154000, 0.1000852000, 0.1158676000, 0.1636338000, 0.3080053000, 0.7505275000", \ - "0.0932103000, 0.0948711000, 0.0998412000, 0.1154130000, 0.1641014000, 0.3096952000, 0.7538047000"); - } - when : "!A"; - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015303700, 0.0046840630, 0.0143367000, 0.0438808900, 0.1343080000, 0.4110817000"); - values("0.0719402000, 0.0705341000, 0.0660170000, 0.0516651000, 0.0052045000, -0.141009200, -0.589398800", \ - "0.0717348000, 0.0702724000, 0.0657622000, 0.0514502000, 0.0049285000, -0.141267900, -0.589615500", \ - "0.0713750000, 0.0699281000, 0.0653521000, 0.0510996000, 0.0046065000, -0.141587100, -0.589865800", \ - "0.0711363000, 0.0696393000, 0.0650553000, 0.0508484000, 0.0043139000, -0.141860200, -0.590122800", \ - "0.0710117000, 0.0695306000, 0.0649531000, 0.0507096000, 0.0043133000, -0.141884200, -0.590227600", \ - "0.0699747000, 0.0683010000, 0.0631555000, 0.0473341000, 0.0046667000, -0.141405300, -0.589762700", \ - "0.0743787000, 0.0725959000, 0.0674994000, 0.0518682000, 0.0040218000, -0.141513800, -0.588323800"); - } - related_pin : "TE_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015303700, 0.0046840630, 0.0143367000, 0.0438808900, 0.1343080000, 0.4110817000"); - values("-0.026414500, -0.026422800, -0.026454300, -0.026518000, -0.026619300, -0.026687900, -0.026740200", \ - "-0.026983400, -0.026996200, -0.027021400, -0.027092500, -0.027246100, -0.027348000, -0.027378500", \ - "-0.027619700, -0.027632800, -0.027673500, -0.027754300, -0.027862000, -0.027968800, -0.028024000", \ - "-0.028243800, -0.028257400, -0.028288600, -0.028364000, -0.028487200, -0.028594100, -0.028667300", \ - "-0.028363700, -0.028374200, -0.028404400, -0.028415800, -0.028506700, -0.028615900, -0.028669800", \ - "-0.028764400, -0.028775300, -0.028863000, -0.028894200, -0.028974900, -0.029043600, -0.029105200", \ - "-0.027633800, -0.027643500, -0.027668900, -0.027735700, -0.027843900, -0.027911100, -0.027947700"); - } - when : "A"; - } - max_capacitance : 0.4110820000; - max_transition : 1.4990900000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - three_state : "(TE_B)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0100477000, 0.0110781000, 0.0142318000, 0.0238844000, 0.0534286000, 0.1438560000, 0.4206290000"); - values("0.0200785000, 0.0213269000, 0.0249244000, 0.0348871000, 0.0632746000, 0.1483306000, 0.4102300000", \ - "0.0238200000, 0.0250073000, 0.0285439000, 0.0387231000, 0.0674749000, 0.1529314000, 0.4143715000", \ - "0.0305476000, 0.0322422000, 0.0369782000, 0.0482123000, 0.0772671000, 0.1631300000, 0.4243771000", \ - "0.0359457000, 0.0385956000, 0.0458273000, 0.0635598000, 0.1002728000, 0.1863151000, 0.4487671000", \ - "0.0350484000, 0.0390967000, 0.0502813000, 0.0774414000, 0.1344316000, 0.2406000000, 0.5024188000", \ - "0.0151837000, 0.0213948000, 0.0382578000, 0.0793338000, 0.1660368000, 0.3296001000, 0.6277528000", \ - "-0.055534000, -0.045856500, -0.020442600, 0.0409927000, 0.1726441000, 0.4219640000, 0.8682309000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0100477000, 0.0110781000, 0.0142318000, 0.0238844000, 0.0534286000, 0.1438560000, 0.4206290000"); - values("0.0386891000, 0.0422485000, 0.0527742000, 0.0816134000, 0.1602431000, 0.3918656000, 1.0926131000", \ - "0.0411820000, 0.0443209000, 0.0542250000, 0.0829337000, 0.1632846000, 0.3960668000, 1.1080978000", \ - "0.0526101000, 0.0554954000, 0.0641590000, 0.0917106000, 0.1715993000, 0.4057785000, 1.1129468000", \ - "0.0767737000, 0.0808594000, 0.0921242000, 0.1179492000, 0.1953700000, 0.4313495000, 1.1410801000", \ - "0.1134927000, 0.1193417000, 0.1357567000, 0.1758650000, 0.2607324000, 0.4922853000, 1.2039170000", \ - "0.1771918000, 0.1856443000, 0.2092536000, 0.2684798000, 0.3959658000, 0.6442429000, 1.3477317000", \ - "0.3015049000, 0.3129653000, 0.3450505000, 0.4267750000, 0.6128043000, 0.9779321000, 1.6958310000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0100477000, 0.0110781000, 0.0142318000, 0.0238844000, 0.0534286000, 0.1438560000, 0.4206290000"); - values("0.0124242000, 0.0138034000, 0.0180263000, 0.0310390000, 0.0709349000, 0.1931130000, 0.5659596000", \ - "0.0124186000, 0.0137611000, 0.0179779000, 0.0310462000, 0.0708493000, 0.1930958000, 0.5660289000", \ - "0.0173610000, 0.0190448000, 0.0222803000, 0.0327330000, 0.0708054000, 0.1930828000, 0.5659435000", \ - "0.0248100000, 0.0267238000, 0.0321266000, 0.0458824000, 0.0785394000, 0.1932168000, 0.5662347000", \ - "0.0418850000, 0.0445091000, 0.0522130000, 0.0705354000, 0.1122652000, 0.2105576000, 0.5652069000", \ - "0.0721819000, 0.0764395000, 0.0880187000, 0.1160865000, 0.1736412000, 0.2904967000, 0.5936395000", \ - "0.1302762000, 0.1368934000, 0.1545725000, 0.1971347000, 0.2820989000, 0.4460610000, 0.7729616000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0100477000, 0.0110781000, 0.0142318000, 0.0238844000, 0.0534286000, 0.1438560000, 0.4206290000"); - values("0.0350564000, 0.0388117000, 0.0503451000, 0.0846449000, 0.1883690000, 0.5041829000, 1.4760169000", \ - "0.0346796000, 0.0384621000, 0.0500557000, 0.0844519000, 0.1884524000, 0.5063990000, 1.4811029000", \ - "0.0349158000, 0.0382388000, 0.0493168000, 0.0841096000, 0.1884471000, 0.5035287000, 1.4703796000", \ - "0.0501949000, 0.0522522000, 0.0598364000, 0.0874369000, 0.1880971000, 0.5060959000, 1.4798759000", \ - "0.0654756000, 0.0705499000, 0.0845356000, 0.1198305000, 0.1992677000, 0.5037492000, 1.4790756000", \ - "0.0981762000, 0.1053352000, 0.1245395000, 0.1701850000, 0.2709481000, 0.5262291000, 1.4678336000", \ - "0.1594786000, 0.1658679000, 0.1911762000, 0.2562424000, 0.4010559000, 0.6793084000, 1.4990900000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0100477000, 0.0110781000, 0.0142318000, 0.0238844000, 0.0534286000, 0.1438560000, 0.4206290000"); - values("0.1132571000, 0.1150747000, 0.1202079000, 0.1333412000, 0.1662244000, 0.2553275000, 0.5166405000", \ - "0.1176696000, 0.1194776000, 0.1247487000, 0.1378449000, 0.1707286000, 0.2597295000, 0.5214629000", \ - "0.1298186000, 0.1316282000, 0.1368030000, 0.1499121000, 0.1828489000, 0.2718765000, 0.5334425000", \ - "0.1605454000, 0.1624992000, 0.1674605000, 0.1806056000, 0.2136622000, 0.3025160000, 0.5637624000", \ - "0.2283706000, 0.2303850000, 0.2359919000, 0.2499508000, 0.2834514000, 0.3726613000, 0.6347752000", \ - "0.3382103000, 0.3409167000, 0.3482551000, 0.3660113000, 0.4054149000, 0.4977986000, 0.7601167000", \ - "0.5047454000, 0.5084328000, 0.5188618000, 0.5434107000, 0.5928868000, 0.6945608000, 0.9608808000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0100477000, 0.0110781000, 0.0142318000, 0.0238844000, 0.0534286000, 0.1438560000, 0.4206290000"); - values("0.0615433000, 0.0644310000, 0.0727848000, 0.0979924000, 0.1736542000, 0.4031527000, 1.1042974000", \ - "0.0664364000, 0.0692304000, 0.0776937000, 0.1028415000, 0.1786600000, 0.4079038000, 1.1117822000", \ - "0.0792817000, 0.0821923000, 0.0906529000, 0.1158720000, 0.1916570000, 0.4210106000, 1.1217877000", \ - "0.1056301000, 0.1088216000, 0.1180887000, 0.1439740000, 0.2199101000, 0.4492516000, 1.1500920000", \ - "0.1491474000, 0.1532846000, 0.1650890000, 0.1967720000, 0.2784279000, 0.5080976000, 1.2127463000", \ - "0.2147783000, 0.2212422000, 0.2408011000, 0.2870258000, 0.3932267000, 0.6398820000, 1.3441271000", \ - "0.2964906000, 0.3084152000, 0.3425143000, 0.4240038000, 0.5911752000, 0.9139303000, 1.6464120000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0100477000, 0.0110781000, 0.0142318000, 0.0238844000, 0.0534286000, 0.1438560000, 0.4206290000"); - values("0.0245032000, 0.0257580000, 0.0298736000, 0.0421644000, 0.0797598000, 0.1973428000, 0.5669944000", \ - "0.0245360000, 0.0258231000, 0.0298931000, 0.0422246000, 0.0796898000, 0.1973378000, 0.5666837000", \ - "0.0245235000, 0.0258493000, 0.0298058000, 0.0421791000, 0.0797414000, 0.1974448000, 0.5669637000", \ - "0.0245740000, 0.0258563000, 0.0298187000, 0.0421473000, 0.0797599000, 0.1971290000, 0.5668001000", \ - "0.0275406000, 0.0287569000, 0.0324965000, 0.0439973000, 0.0806079000, 0.1975741000, 0.5655778000", \ - "0.0383843000, 0.0395834000, 0.0433467000, 0.0544946000, 0.0893675000, 0.2018272000, 0.5666393000", \ - "0.0570147000, 0.0581179000, 0.0618696000, 0.0735970000, 0.1069795000, 0.2125176000, 0.5696068000"); - } - related_pin : "TE_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0100477000, 0.0110781000, 0.0142318000, 0.0238844000, 0.0534286000, 0.1438560000, 0.4206290000"); - values("0.0383790000, 0.0419054000, 0.0528031000, 0.0862700000, 0.1892126000, 0.5041806000, 1.4678057000", \ - "0.0383583000, 0.0419065000, 0.0528820000, 0.0862987000, 0.1892392000, 0.5036394000, 1.4707685000", \ - "0.0386120000, 0.0421465000, 0.0530026000, 0.0862713000, 0.1890251000, 0.5037910000, 1.4715893000", \ - "0.0426132000, 0.0458389000, 0.0558674000, 0.0879485000, 0.1892641000, 0.5038474000, 1.4714903000", \ - "0.0572571000, 0.0606630000, 0.0713266000, 0.1024303000, 0.1958530000, 0.5041518000, 1.4752350000", \ - "0.0932572000, 0.0974455000, 0.1094195000, 0.1433728000, 0.2364987000, 0.5173400000, 1.4699401000", \ - "0.1738269000, 0.1795826000, 0.1951380000, 0.2383064000, 0.3406264000, 0.6095058000, 1.4886078000"); - } - timing_sense : "negative_unate"; - timing_type : "three_state_enable"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0100477000, 0.0110781000, 0.0142318000, 0.0238844000, 0.0534286000, 0.1438560000, 0.4206290000"); - values("0.0493649000, 0.0493649000, 0.0494159000, 0.0494719000, 0.0494719000, 0.0494719000, 0.0494719000", \ - "0.0523882000, 0.0523882000, 0.0523882000, 0.0523882000, 0.0523882000, 0.0523882000, 0.0523882000", \ - "0.0554330000, 0.0554330000, 0.0554330000, 0.0555271000, 0.0555271000, 0.0555271000, 0.0555271000", \ - "0.0481344000, 0.0481344000, 0.0481344000, 0.0482617000, 0.0482617000, 0.0482617000, 0.0482617000", \ - "0.0323804000, 0.0323804000, 0.0323804000, 0.0327257000, 0.0327257000, 0.0327257000, 0.0327257000", \ - "0.0040551000, 0.0040551000, 0.0040551000, 0.0040551000, 0.0040551000, 0.0040551000, 0.0040551000", \ - "-0.058854800, -0.058854800, -0.058854800, -0.058854800, -0.058854800, -0.058854800, -0.058854800"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0100477000, 0.0110781000, 0.0142318000, 0.0238844000, 0.0534286000, 0.1438560000, 0.4206290000"); - values("0.0715976000, 0.0717451000, 0.0717451000, 0.0717451000, 0.0717451000, 0.0717451000, 0.0717451000", \ - "0.0761040000, 0.0761634000, 0.0761634000, 0.0761634000, 0.0761634000, 0.0761634000, 0.0761634000", \ - "0.0872207000, 0.0872207000, 0.0872207000, 0.0872207000, 0.0872207000, 0.0872207000, 0.0872207000", \ - "0.1126311000, 0.1129038000, 0.1129038000, 0.1129038000, 0.1129038000, 0.1129038000, 0.1129038000", \ - "0.1540013000, 0.1547439000, 0.1548757000, 0.1548757000, 0.1548757000, 0.1551113000, 0.1551560000", \ - "0.2121128000, 0.2121128000, 0.2121128000, 0.2121128000, 0.2121128000, 0.2121128000, 0.2121128000", \ - "0.2750643000, 0.2750643000, 0.2750643000, 0.2750643000, 0.2750643000, 0.2753209000, 0.2757243000"); - } - fall_transition ("scalar") { - values("0.0000000000"); - } - related_pin : "TE_B"; - rise_transition ("scalar") { - values("0.0000000000"); - } - timing_sense : "positive_unate"; - timing_type : "three_state_disable"; - } - } - } - - cell ("sky130_fd_sc_hd__einvp_1") { - leakage_power () { - value : 0.0011295000; - when : "!A&TE"; - } - leakage_power () { - value : 0.0004082000; - when : "!A&!TE"; - } - leakage_power () { - value : 0.0034515000; - when : "A&TE"; - } - leakage_power () { - value : 0.0004579000; - when : "A&!TE"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__einvp"; - cell_leakage_power : 0.0013617660; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022780000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0033756000, 0.0033690000, 0.0033538000, 0.0033574000, 0.0033657000, 0.0033850000, 0.0034294000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002512300, -0.002513700, -0.002516900, -0.002511300, -0.002498300, -0.002468300, -0.002399300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025280000; - } - pin ("TE") { - capacitance : 0.0025230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0025040000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025410000; - } - pin ("Z") { - capacitance : 0.0019260000; - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011573810, 0.0026790590, 0.0062013820, 0.0143547200, 0.0332277400, 0.0769142700"); - values("0.0008765000, -8.94000e-05, -0.002427100, -0.008042100, -0.021193400, -0.051738900, -0.122484200", \ - "0.0006663000, -0.000265700, -0.002542400, -0.008071900, -0.021166100, -0.051683300, -0.122425400", \ - "0.0003903000, -0.000541100, -0.002780900, -0.008220900, -0.021229000, -0.051680300, -0.122392300", \ - "0.0001374000, -0.000831000, -0.003081000, -0.008502700, -0.021413900, -0.051778100, -0.122410600", \ - "0.0001485000, -0.000849400, -0.003216300, -0.008806800, -0.021705600, -0.051946700, -0.122527700", \ - "0.0007789000, -0.000350300, -0.002891800, -0.008539900, -0.021684500, -0.052055000, -0.122628900", \ - "0.0028951000, 0.0019253000, -0.001414800, -0.007492900, -0.021098200, -0.051871700, -0.122726200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011573810, 0.0026790590, 0.0062013820, 0.0143547200, 0.0332277400, 0.0769142700"); - values("0.0057720000, 0.0069877000, 0.0096440000, 0.0154772000, 0.0286448000, 0.0588781000, 0.1287527000", \ - "0.0054773000, 0.0066455000, 0.0093804000, 0.0152440000, 0.0285351000, 0.0590695000, 0.1295636000", \ - "0.0052442000, 0.0064157000, 0.0090149000, 0.0149295000, 0.0284267000, 0.0587185000, 0.1287329000", \ - "0.0051653000, 0.0062461000, 0.0087998000, 0.0146080000, 0.0279276000, 0.0589001000, 0.1289854000", \ - "0.0054935000, 0.0065615000, 0.0090061000, 0.0146477000, 0.0277184000, 0.0580026000, 0.1291336000", \ - "0.0060624000, 0.0070333000, 0.0094520000, 0.0152577000, 0.0281691000, 0.0578583000, 0.1278804000", \ - "0.0075321000, 0.0085211000, 0.0107309000, 0.0160516000, 0.0288830000, 0.0586406000, 0.1283757000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011573810, 0.0026790590, 0.0062013820, 0.0143547200, 0.0332277400, 0.0769142700"); - values("0.0065138000, 0.0065983000, 0.0067331000, 0.0069263000, 0.0070405000, 0.0071303000, 0.0071704000", \ - "0.0063183000, 0.0064066000, 0.0065477000, 0.0067143000, 0.0068566000, 0.0069435000, 0.0069838000", \ - "0.0061028000, 0.0061883000, 0.0063270000, 0.0064986000, 0.0066418000, 0.0067303000, 0.0067769000", \ - "0.0059648000, 0.0060495000, 0.0061904000, 0.0063694000, 0.0065283000, 0.0066309000, 0.0066818000", \ - "0.0058976000, 0.0059750000, 0.0061435000, 0.0063305000, 0.0065144000, 0.0066385000, 0.0067047000", \ - "0.0059868000, 0.0060598000, 0.0061864000, 0.0063599000, 0.0066136000, 0.0067702000, 0.0068578000", \ - "0.0066937000, 0.0067613000, 0.0069150000, 0.0070846000, 0.0072738000, 0.0074346000, 0.0075237000"); - } - related_pin : "TE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011573810, 0.0026790590, 0.0062013820, 0.0143547200, 0.0332277400, 0.0769142700"); - values("0.0102566000, 0.0113193000, 0.0137528000, 0.0194259000, 0.0324071000, 0.0626120000, 0.1334510000", \ - "0.0101285000, 0.0111942000, 0.0136413000, 0.0192923000, 0.0322892000, 0.0624952000, 0.1323315000", \ - "0.0099635000, 0.0110306000, 0.0134765000, 0.0191431000, 0.0321179000, 0.0623274000, 0.1321921000", \ - "0.0098017000, 0.0108700000, 0.0133032000, 0.0189820000, 0.0319683000, 0.0621256000, 0.1319931000", \ - "0.0097311000, 0.0107954000, 0.0132354000, 0.0188414000, 0.0319537000, 0.0620809000, 0.1320816000", \ - "0.0101383000, 0.0111506000, 0.0136101000, 0.0191698000, 0.0322362000, 0.0624132000, 0.1327195000", \ - "0.0110013000, 0.0119496000, 0.0144001000, 0.0200526000, 0.0332205000, 0.0637037000, 0.1329077000"); - } - when : "!A"; - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011573810, 0.0026790590, 0.0062013820, 0.0143547200, 0.0332277400, 0.0769142700"); - values("0.0045931000, 0.0035641000, 0.0011180000, -0.004578900, -0.017779700, -0.048355400, -0.119122000", \ - "0.0044645000, 0.0034349000, 0.0009909000, -0.004705500, -0.017906500, -0.048481100, -0.119231200", \ - "0.0042897000, 0.0032635000, 0.0008211000, -0.004879600, -0.018081700, -0.048652400, -0.119427100", \ - "0.0041262000, 0.0031024000, 0.0006556000, -0.005048500, -0.018252900, -0.048825500, -0.119596400", \ - "0.0041983000, 0.0031191000, 0.0006426000, -0.005107700, -0.018312500, -0.048879500, -0.119661900", \ - "0.0044075000, 0.0033483000, 0.0008503000, -0.004843500, -0.018086700, -0.048674100, -0.119468500", \ - "0.0052520000, 0.0041767000, 0.0016894000, -0.004026600, -0.017230700, -0.047811400, -0.118646900"); - } - related_pin : "TE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011573810, 0.0026790590, 0.0062013820, 0.0143547200, 0.0332277400, 0.0769142700"); - values("0.0063737000, 0.0063654000, 0.0063534000, 0.0063362000, 0.0063208000, 0.0063110000, 0.0063054000", \ - "0.0061304000, 0.0061281000, 0.0061303000, 0.0061198000, 0.0061098000, 0.0061021000, 0.0060975000", \ - "0.0059055000, 0.0059112000, 0.0059099000, 0.0059064000, 0.0058759000, 0.0058696000, 0.0058654000", \ - "0.0058047000, 0.0058048000, 0.0057664000, 0.0057615000, 0.0057654000, 0.0057632000, 0.0057605000", \ - "0.0057972000, 0.0058020000, 0.0058059000, 0.0058075000, 0.0058096000, 0.0058098000, 0.0058081000", \ - "0.0059581000, 0.0059485000, 0.0059616000, 0.0059743000, 0.0059859000, 0.0059876000, 0.0059876000", \ - "0.0066527000, 0.0066541000, 0.0066572000, 0.0066590000, 0.0066620000, 0.0066616000, 0.0066584000"); - } - when : "A"; - } - max_capacitance : 0.0769140000; - max_transition : 1.4956790000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - three_state : "(TE')"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024256000, 0.0030829800, 0.0046046600, 0.0081269800, 0.0162803000, 0.0351533000, 0.0788399000"); - values("0.0223266000, 0.0260327000, 0.0341734000, 0.0524927000, 0.0943654000, 0.1903172000, 0.4147047000", \ - "0.0262551000, 0.0300544000, 0.0382723000, 0.0569253000, 0.0989941000, 0.1950696000, 0.4174192000", \ - "0.0349109000, 0.0395693000, 0.0483845000, 0.0669926000, 0.1092222000, 0.2057435000, 0.4298020000", \ - "0.0450880000, 0.0523065000, 0.0660931000, 0.0904723000, 0.1332810000, 0.2301472000, 0.4549277000", \ - "0.0538585000, 0.0647504000, 0.0857961000, 0.1236308000, 0.1850460000, 0.2849172000, 0.5076761000", \ - "0.0529381000, 0.0696592000, 0.1023228000, 0.1604135000, 0.2535533000, 0.4026699000, 0.6400114000", \ - "0.0203452000, 0.0457835000, 0.0942993000, 0.1828007000, 0.3288357000, 0.5562002000, 0.9063929000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024256000, 0.0030829800, 0.0046046600, 0.0081269800, 0.0162803000, 0.0351533000, 0.0788399000"); - values("0.0465366000, 0.0569142000, 0.0792561000, 0.1282820000, 0.2389581000, 0.4929033000, 1.0794897000", \ - "0.0494415000, 0.0592396000, 0.0820731000, 0.1319413000, 0.2432439000, 0.4995974000, 1.0945080000", \ - "0.0599530000, 0.0695034000, 0.0913286000, 0.1410716000, 0.2546259000, 0.5086210000, 1.0954879000", \ - "0.0866991000, 0.0971316000, 0.1183029000, 0.1663028000, 0.2785669000, 0.5373237000, 1.1279144000", \ - "0.1273004000, 0.1440078000, 0.1753482000, 0.2318366000, 0.3406466000, 0.5969679000, 1.1877952000", \ - "0.1916957000, 0.2160414000, 0.2643992000, 0.3500747000, 0.4933094000, 0.7420073000, 1.3301674000", \ - "0.3039370000, 0.3385333000, 0.4071403000, 0.5341831000, 0.7449804000, 1.0926747000, 1.6806500000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024256000, 0.0030829800, 0.0046046600, 0.0081269800, 0.0162803000, 0.0351533000, 0.0788399000"); - values("0.0154315000, 0.0198294000, 0.0302867000, 0.0543362000, 0.1095531000, 0.2381089000, 0.5386717000", \ - "0.0152704000, 0.0198008000, 0.0302069000, 0.0541818000, 0.1097448000, 0.2377966000, 0.5358289000", \ - "0.0204151000, 0.0235598000, 0.0320689000, 0.0544992000, 0.1096745000, 0.2377873000, 0.5342827000", \ - "0.0314595000, 0.0363410000, 0.0462493000, 0.0645976000, 0.1125985000, 0.2378462000, 0.5447098000", \ - "0.0525152000, 0.0605625000, 0.0739941000, 0.0979689000, 0.1425258000, 0.2483381000, 0.5418054000", \ - "0.0894961000, 0.1007286000, 0.1216853000, 0.1584162000, 0.2207041000, 0.3250878000, 0.5612714000", \ - "0.1581557000, 0.1738461000, 0.2073342000, 0.2609153000, 0.3523635000, 0.4977913000, 0.7362221000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024256000, 0.0030829800, 0.0046046600, 0.0081269800, 0.0162803000, 0.0351533000, 0.0788399000"); - values("0.0442746000, 0.0568734000, 0.0855355000, 0.1511456000, 0.3016360000, 0.6531520000, 1.4647397000", \ - "0.0442374000, 0.0567118000, 0.0854151000, 0.1510084000, 0.3021058000, 0.6541296000, 1.4775979000", \ - "0.0439356000, 0.0562309000, 0.0852262000, 0.1513740000, 0.3039994000, 0.6519797000, 1.4639673000", \ - "0.0567899000, 0.0655169000, 0.0889931000, 0.1507569000, 0.3020668000, 0.6568812000, 1.4644308000", \ - "0.0827414000, 0.0964463000, 0.1215713000, 0.1704485000, 0.3051229000, 0.6516133000, 1.4693590000", \ - "0.1258733000, 0.1444819000, 0.1803313000, 0.2439733000, 0.3615113000, 0.6642621000, 1.4628984000", \ - "0.1959032000, 0.2239057000, 0.2786789000, 0.3729352000, 0.5303680000, 0.7969249000, 1.4956791000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024256000, 0.0030829800, 0.0046046600, 0.0081269800, 0.0162803000, 0.0351533000, 0.0788399000"); - values("0.0228284000, 0.0262518000, 0.0341247000, 0.0523139000, 0.0939119000, 0.1900515000, 0.4169665000", \ - "0.0275263000, 0.0309529000, 0.0388376000, 0.0570211000, 0.0986161000, 0.1948166000, 0.4198072000", \ - "0.0359757000, 0.0399706000, 0.0483934000, 0.0666739000, 0.1083099000, 0.2048085000, 0.4285109000", \ - "0.0477014000, 0.0533803000, 0.0648173000, 0.0867600000, 0.1296300000, 0.2259272000, 0.4503113000", \ - "0.0587325000, 0.0680825000, 0.0859162000, 0.1183285000, 0.1734164000, 0.2745889000, 0.4987217000", \ - "0.0562574000, 0.0722359000, 0.1015708000, 0.1539652000, 0.2381124000, 0.3729858000, 0.6101766000", \ - "-0.001194500, 0.0258967000, 0.0783083000, 0.1664719000, 0.3048459000, 0.5131316000, 0.8351755000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024256000, 0.0030829800, 0.0046046600, 0.0081269800, 0.0162803000, 0.0351533000, 0.0788399000"); - values("0.0849459000, 0.0939274000, 0.1146115000, 0.1620553000, 0.2714891000, 0.5247028000, 1.1177302000", \ - "0.0895630000, 0.0985022000, 0.1192019000, 0.1670039000, 0.2761529000, 0.5293155000, 1.1159355000", \ - "0.1008529000, 0.1097254000, 0.1303958000, 0.1779803000, 0.2878102000, 0.5409103000, 1.1269099000", \ - "0.1206004000, 0.1295583000, 0.1501575000, 0.1978230000, 0.3071912000, 0.5610373000, 1.1481247000", \ - "0.1459842000, 0.1552759000, 0.1760220000, 0.2238060000, 0.3334603000, 0.5870056000, 1.1761182000", \ - "0.1733281000, 0.1829082000, 0.2039904000, 0.2517101000, 0.3613971000, 0.6147327000, 1.2067721000", \ - "0.1845846000, 0.1954631000, 0.2185333000, 0.2670935000, 0.3766547000, 0.6323662000, 1.2182962000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024256000, 0.0030829800, 0.0046046600, 0.0081269800, 0.0162803000, 0.0351533000, 0.0788399000"); - values("0.0157930000, 0.0202951000, 0.0306287000, 0.0545442000, 0.1098711000, 0.2380818000, 0.5379999000", \ - "0.0159918000, 0.0204176000, 0.0306730000, 0.0545545000, 0.1097931000, 0.2379805000, 0.5462570000", \ - "0.0193642000, 0.0230978000, 0.0323869000, 0.0548926000, 0.1097689000, 0.2398589000, 0.5345239000", \ - "0.0287218000, 0.0330326000, 0.0421973000, 0.0619191000, 0.1119954000, 0.2400973000, 0.5370345000", \ - "0.0479278000, 0.0535546000, 0.0651402000, 0.0869131000, 0.1319250000, 0.2440748000, 0.5351708000", \ - "0.0843481000, 0.0925576000, 0.1085410000, 0.1379907000, 0.1903953000, 0.2972216000, 0.5536734000", \ - "0.1569787000, 0.1690751000, 0.1927179000, 0.2338161000, 0.3051406000, 0.4342928000, 0.6853034000"); - } - related_pin : "TE"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024256000, 0.0030829800, 0.0046046600, 0.0081269800, 0.0162803000, 0.0351533000, 0.0788399000"); - values("0.0449534000, 0.0573005000, 0.0854690000, 0.1513441000, 0.3022954000, 0.6528392000, 1.4736837000", \ - "0.0450390000, 0.0572498000, 0.0855794000, 0.1513825000, 0.3023044000, 0.6526131000, 1.4673934000", \ - "0.0451488000, 0.0572698000, 0.0856028000, 0.1509475000, 0.3025189000, 0.6525748000, 1.4644724000", \ - "0.0455333000, 0.0576674000, 0.0856877000, 0.1510688000, 0.3022771000, 0.6516891000, 1.4620725000", \ - "0.0462289000, 0.0582763000, 0.0862043000, 0.1511837000, 0.3023785000, 0.6533124000, 1.4649320000", \ - "0.0486661000, 0.0604411000, 0.0873929000, 0.1517591000, 0.3036300000, 0.6519800000, 1.4677347000", \ - "0.0568299000, 0.0676526000, 0.0932422000, 0.1546368000, 0.3035054000, 0.6555236000, 1.4594637000"); - } - timing_sense : "positive_unate"; - timing_type : "three_state_enable"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024256000, 0.0030829800, 0.0046046600, 0.0081269800, 0.0162803000, 0.0351533000, 0.0788399000"); - values("0.0957091000, 0.0957272000, 0.0957272000, 0.0957272000, 0.0957272000, 0.0957344000, 0.0959150000", \ - "0.1008743000, 0.1008743000, 0.1008743000, 0.1008743000, 0.1008883000, 0.1008883000, 0.1008883000", \ - "0.1156881000, 0.1156902000, 0.1156902000, 0.1156902000, 0.1156902000, 0.1156902000, 0.1157016000", \ - "0.1487550000, 0.1487550000, 0.1494851000, 0.1494851000, 0.1494851000, 0.1494851000, 0.1494851000", \ - "0.2121328000, 0.2121328000, 0.2121328000, 0.2121328000, 0.2121328000, 0.2121328000, 0.2121328000", \ - "0.3090166000, 0.3090931000, 0.3090931000, 0.3090931000, 0.3090931000, 0.3092014000, 0.3092019000", \ - "0.4571019000, 0.4571019000, 0.4595216000, 0.4595216000, 0.4595216000, 0.4595216000, 0.4595216000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0024256000, 0.0030829800, 0.0046046600, 0.0081269800, 0.0162803000, 0.0351533000, 0.0788399000"); - values("0.0128623000, 0.0128646000, 0.0128646000, 0.0128646000, 0.0128646000, 0.0128646000, 0.0128646000", \ - "0.0139366000, 0.0139367000, 0.0139367000, 0.0139367000, 0.0139367000, 0.0139367000, 0.0139367000", \ - "0.0132378000, 0.0132378000, 0.0132378000, 0.0132378000, 0.0132817000, 0.0132817000, 0.0132817000", \ - "0.0164138000, 0.0164174000, 0.0164218000, 0.0164218000, 0.0164218000, 0.0164218000, 0.0164218000", \ - "0.0169801000, 0.0169801000, 0.0169810000, 0.0170204000, 0.0170204000, 0.0170204000, 0.0170352000", \ - "0.0283404000, 0.0283404000, 0.0283404000, 0.0283404000, 0.0283404000, 0.0283404000, 0.0283404000", \ - "0.0439027000, 0.0439027000, 0.0440720000, 0.0441733000, 0.0441733000, 0.0441733000, 0.0441733000"); - } - fall_transition ("scalar") { - values("0.0000000000"); - } - related_pin : "TE"; - rise_transition ("scalar") { - values("0.0000000000"); - } - timing_sense : "negative_unate"; - timing_type : "three_state_disable"; - } - } - } - - cell ("sky130_fd_sc_hd__einvp_2") { - leakage_power () { - value : 0.0055910000; - when : "!A&TE"; - } - leakage_power () { - value : 0.0004117000; - when : "!A&!TE"; - } - leakage_power () { - value : 0.0088478000; - when : "A&TE"; - } - leakage_power () { - value : 0.0004602000; - when : "A&!TE"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__einvp"; - cell_leakage_power : 0.0038276620; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0043170000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0057934000, 0.0057726000, 0.0057248000, 0.0057386000, 0.0057706000, 0.0058444000, 0.0060144000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004058100, -0.004056700, -0.004053400, -0.004062400, -0.004083000, -0.004130700, -0.004240500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045290000; - } - pin ("TE") { - capacitance : 0.0036180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0036640000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035710000; - } - pin ("Z") { - capacitance : 0.0024370000; - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012719470, 0.0032357010, 0.0082312820, 0.0209395200, 0.0532679300, 0.1355080000"); - values("-0.000322900, -0.001329900, -0.004151000, -0.011936200, -0.032353800, -0.084656300, -0.217851300", \ - "-0.000714600, -0.001694500, -0.004430200, -0.012025200, -0.032299100, -0.084520800, -0.217677400", \ - "-0.001127800, -0.002177400, -0.004918300, -0.012374500, -0.032413200, -0.084489900, -0.217582800", \ - "-0.001406100, -0.002550500, -0.005384600, -0.012880700, -0.032808100, -0.084643500, -0.217594800", \ - "-0.001127000, -0.002385800, -0.005416900, -0.013377400, -0.033276000, -0.084978200, -0.217729600", \ - "0.0001891000, -0.001132200, -0.004436400, -0.012624900, -0.033250700, -0.085276900, -0.217928800", \ - "0.0045490000, 0.0030728000, -0.001436300, -0.010328500, -0.031766100, -0.084576000, -0.217837600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012719470, 0.0032357010, 0.0082312820, 0.0209395200, 0.0532679300, 0.1355080000"); - values("0.0075217000, 0.0091433000, 0.0128335000, 0.0213456000, 0.0419105000, 0.0937692000, 0.2253420000", \ - "0.0071486000, 0.0086753000, 0.0122047000, 0.0208006000, 0.0419421000, 0.0937220000, 0.2253611000", \ - "0.0068894000, 0.0082480000, 0.0117370000, 0.0202868000, 0.0412899000, 0.0935623000, 0.2256901000", \ - "0.0068911000, 0.0082025000, 0.0115016000, 0.0197476000, 0.0406458000, 0.0930538000, 0.2251201000", \ - "0.0072237000, 0.0084369000, 0.0115942000, 0.0198447000, 0.0401071000, 0.0924914000, 0.2253551000", \ - "0.0085567000, 0.0096732000, 0.0125961000, 0.0204217000, 0.0404120000, 0.0918753000, 0.2239929000", \ - "0.0121014000, 0.0120793000, 0.0149207000, 0.0222688000, 0.0424136000, 0.0933947000, 0.2239370000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012719470, 0.0032357010, 0.0082312820, 0.0209395200, 0.0532679300, 0.1355080000"); - values("0.0080826000, 0.0082279000, 0.0084918000, 0.0088885000, 0.0092735000, 0.0095206000, 0.0096380000", \ - "0.0078811000, 0.0080202000, 0.0082923000, 0.0086855000, 0.0090690000, 0.0093195000, 0.0094436000", \ - "0.0076034000, 0.0077384000, 0.0080100000, 0.0084255000, 0.0088284000, 0.0090896000, 0.0092205000", \ - "0.0074169000, 0.0075440000, 0.0078011000, 0.0082035000, 0.0086234000, 0.0089063000, 0.0090541000", \ - "0.0072746000, 0.0074118000, 0.0076514000, 0.0080393000, 0.0084846000, 0.0088074000, 0.0089869000", \ - "0.0075315000, 0.0076313000, 0.0078242000, 0.0081619000, 0.0086585000, 0.0090140000, 0.0092527000", \ - "0.0088079000, 0.0088921000, 0.0091084000, 0.0094692000, 0.0099801000, 0.0103736000, 0.0106445000"); - } - related_pin : "TE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012719470, 0.0032357010, 0.0082312820, 0.0209395200, 0.0532679300, 0.1355080000"); - values("0.0162005000, 0.0174484000, 0.0206004000, 0.0286293000, 0.0489153000, 0.1009884000, 0.2338434000", \ - "0.0160249000, 0.0172674000, 0.0204197000, 0.0284549000, 0.0487237000, 0.1010860000, 0.2320047000", \ - "0.0157913000, 0.0170338000, 0.0201832000, 0.0281888000, 0.0484813000, 0.1001981000, 0.2320118000", \ - "0.0155788000, 0.0168212000, 0.0199646000, 0.0279767000, 0.0484515000, 0.0999918000, 0.2315303000", \ - "0.0155795000, 0.0168294000, 0.0199596000, 0.0279044000, 0.0483390000, 0.1000194000, 0.2322795000", \ - "0.0161585000, 0.0173922000, 0.0205647000, 0.0283705000, 0.0488547000, 0.1004148000, 0.2319328000", \ - "0.0176812000, 0.0189240000, 0.0221041000, 0.0302217000, 0.0506008000, 0.1022752000, 0.2335691000"); - } - when : "!A"; - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012719470, 0.0032357010, 0.0082312820, 0.0209395200, 0.0532679300, 0.1355080000"); - values("0.0077079000, 0.0067538000, 0.0040383000, -0.003878900, -0.024445100, -0.076804600, -0.210030100", \ - "0.0075103000, 0.0065773000, 0.0038539000, -0.004053900, -0.024624200, -0.076975800, -0.210235000", \ - "0.0072595000, 0.0062944000, 0.0035976000, -0.004293900, -0.024862600, -0.077223800, -0.210451300", \ - "0.0073232000, 0.0061810000, 0.0033564000, -0.004488700, -0.025063700, -0.077425000, -0.210654900", \ - "0.0080765000, 0.0068341000, 0.0036543000, -0.004535700, -0.025075900, -0.077443600, -0.210670500", \ - "0.0085588000, 0.0073178000, 0.0041207000, -0.003985500, -0.024575700, -0.077011900, -0.210242800", \ - "0.0101163000, 0.0088432000, 0.0056742000, -0.002421400, -0.023041700, -0.075420800, -0.208723900"); - } - related_pin : "TE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012719470, 0.0032357010, 0.0082312820, 0.0209395200, 0.0532679300, 0.1355080000"); - values("0.0072581000, 0.0072467000, 0.0072201000, 0.0071819000, 0.0071523000, 0.0071240000, 0.0071161000", \ - "0.0070672000, 0.0070585000, 0.0070387000, 0.0070099000, 0.0069961000, 0.0069791000, 0.0069703000", \ - "0.0068230000, 0.0068196000, 0.0068124000, 0.0068022000, 0.0067935000, 0.0067833000, 0.0067764000", \ - "0.0066693000, 0.0066666000, 0.0066633000, 0.0066532000, 0.0066430000, 0.0066326000, 0.0066252000", \ - "0.0066126000, 0.0066112000, 0.0066068000, 0.0066043000, 0.0065999000, 0.0065923000, 0.0065879000", \ - "0.0070001000, 0.0069998000, 0.0069891000, 0.0069809000, 0.0069758000, 0.0069928000, 0.0069905000", \ - "0.0083516000, 0.0083523000, 0.0083458000, 0.0083288000, 0.0083112000, 0.0083150000, 0.0083030000"); - } - when : "A"; - } - max_capacitance : 0.1355080000; - max_transition : 1.5011470000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - three_state : "(TE')"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0029373000, 0.0037092500, 0.0056730000, 0.0106686000, 0.0233768000, 0.0557052000, 0.1379450000"); - values("0.0181941000, 0.0209960000, 0.0275078000, 0.0429660000, 0.0811908000, 0.1775419000, 0.4217036000", \ - "0.0221828000, 0.0248845000, 0.0314998000, 0.0472833000, 0.0856958000, 0.1826289000, 0.4264070000", \ - "0.0291811000, 0.0331469000, 0.0414515000, 0.0575399000, 0.0960185000, 0.1927151000, 0.4369055000", \ - "0.0360491000, 0.0422184000, 0.0550245000, 0.0790308000, 0.1204351000, 0.2174841000, 0.4636624000", \ - "0.0394115000, 0.0489244000, 0.0683415000, 0.1058548000, 0.1687982000, 0.2742421000, 0.5187276000", \ - "0.0297921000, 0.0445077000, 0.0747516000, 0.1317909000, 0.2293079000, 0.3879708000, 0.6513637000", \ - "-0.017261200, 0.0052953000, 0.0504983000, 0.1371339000, 0.2885832000, 0.5329747000, 0.9230652000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0029373000, 0.0037092500, 0.0056730000, 0.0106686000, 0.0233768000, 0.0557052000, 0.1379450000"); - values("0.0327226000, 0.0402957000, 0.0578624000, 0.0989983000, 0.1989255000, 0.4497841000, 1.0868619000", \ - "0.0360859000, 0.0431028000, 0.0599749000, 0.1019566000, 0.2032949000, 0.4547398000, 1.0923489000", \ - "0.0478177000, 0.0539123000, 0.0701025000, 0.1111115000, 0.2132610000, 0.4653596000, 1.1101672000", \ - "0.0697448000, 0.0790917000, 0.0981881000, 0.1375695000, 0.2376037000, 0.4924608000, 1.1298977000", \ - "0.1028425000, 0.1169301000, 0.1461216000, 0.2017927000, 0.3007937000, 0.5543219000, 1.1950589000", \ - "0.1577438000, 0.1781110000, 0.2215438000, 0.3047332000, 0.4479132000, 0.7044040000, 1.3419988000", \ - "0.2588841000, 0.2868751000, 0.3476446000, 0.4676260000, 0.6846000000, 1.0470037000, 1.6900298000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0029373000, 0.0037092500, 0.0056730000, 0.0106686000, 0.0233768000, 0.0557052000, 0.1379450000"); - values("0.0105719000, 0.0137801000, 0.0218610000, 0.0422075000, 0.0944292000, 0.2261441000, 0.5596437000", \ - "0.0107978000, 0.0137551000, 0.0217801000, 0.0422892000, 0.0941946000, 0.2253562000, 0.5602520000", \ - "0.0155983000, 0.0187956000, 0.0250671000, 0.0429799000, 0.0940887000, 0.2255503000, 0.5587728000", \ - "0.0247949000, 0.0288416000, 0.0376240000, 0.0560946000, 0.0979532000, 0.2253548000, 0.5599562000", \ - "0.0418934000, 0.0482873000, 0.0620382000, 0.0855983000, 0.1304873000, 0.2361505000, 0.5592702000", \ - "0.0738134000, 0.0829285000, 0.1032616000, 0.1394582000, 0.2027720000, 0.3137186000, 0.5826951000", \ - "0.1318963000, 0.1471966000, 0.1796122000, 0.2344512000, 0.3266806000, 0.4834866000, 0.7573939000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0029373000, 0.0037092500, 0.0056730000, 0.0106686000, 0.0233768000, 0.0557052000, 0.1379450000"); - values("0.0296844000, 0.0384644000, 0.0601278000, 0.1145921000, 0.2501884000, 0.5957205000, 1.4743637000", \ - "0.0293006000, 0.0381019000, 0.0597855000, 0.1141359000, 0.2511573000, 0.5952609000, 1.4737657000", \ - "0.0308027000, 0.0382279000, 0.0592510000, 0.1142317000, 0.2504825000, 0.5953840000, 1.4749590000", \ - "0.0443490000, 0.0521990000, 0.0674278000, 0.1144804000, 0.2505944000, 0.5961088000, 1.4744127000", \ - "0.0637600000, 0.0743784000, 0.0975179000, 0.1429543000, 0.2564732000, 0.5960038000, 1.4748546000", \ - "0.0987328000, 0.1140662000, 0.1469550000, 0.2079914000, 0.3210110000, 0.6093605000, 1.4770597000", \ - "0.1578072000, 0.1789683000, 0.2275902000, 0.3167981000, 0.4764659000, 0.7560439000, 1.5011471000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0029373000, 0.0037092500, 0.0056730000, 0.0106686000, 0.0233768000, 0.0557052000, 0.1379450000"); - values("0.0197927000, 0.0221958000, 0.0282094000, 0.0433424000, 0.0814868000, 0.1774529000, 0.4217237000", \ - "0.0242845000, 0.0267576000, 0.0327858000, 0.0479192000, 0.0860372000, 0.1821394000, 0.4274885000", \ - "0.0313258000, 0.0343295000, 0.0412620000, 0.0568844000, 0.0950769000, 0.1911539000, 0.4353523000", \ - "0.0402988000, 0.0446275000, 0.0543458000, 0.0739006000, 0.1146235000, 0.2108312000, 0.4550539000", \ - "0.0465205000, 0.0541120000, 0.0695847000, 0.0988867000, 0.1522627000, 0.2555694000, 0.5022063000", \ - "0.0354250000, 0.0488555000, 0.0742841000, 0.1230810000, 0.2057853000, 0.3422682000, 0.6032738000", \ - "-0.038352900, -0.015109100, 0.0320137000, 0.1169310000, 0.2539864000, 0.4660895000, 0.8102914000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0029373000, 0.0037092500, 0.0056730000, 0.0106686000, 0.0233768000, 0.0557052000, 0.1379450000"); - values("0.0882589000, 0.0945938000, 0.1103112000, 0.1493278000, 0.2476391000, 0.4980681000, 1.1403243000", \ - "0.0927674000, 0.0992006000, 0.1149782000, 0.1538737000, 0.2526112000, 0.5044619000, 1.1396721000", \ - "0.1039033000, 0.1103438000, 0.1261256000, 0.1652228000, 0.2635802000, 0.5135974000, 1.1546224000", \ - "0.1273076000, 0.1337305000, 0.1494787000, 0.1885290000, 0.2877759000, 0.5371469000, 1.1740035000", \ - "0.1607936000, 0.1674194000, 0.1834920000, 0.2228396000, 0.3215619000, 0.5715073000, 1.2090495000", \ - "0.2027016000, 0.2099370000, 0.2269864000, 0.2670044000, 0.3657057000, 0.6161022000, 1.2546461000", \ - "0.2447074000, 0.2532519000, 0.2726574000, 0.3154584000, 0.4152405000, 0.6668943000, 1.3021936000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0029373000, 0.0037092500, 0.0056730000, 0.0106686000, 0.0233768000, 0.0557052000, 0.1379450000"); - values("0.0112045000, 0.0143508000, 0.0224488000, 0.0429116000, 0.0943553000, 0.2256106000, 0.5592832000", \ - "0.0116448000, 0.0145963000, 0.0225620000, 0.0429369000, 0.0943048000, 0.2253663000, 0.5593229000", \ - "0.0148876000, 0.0177002000, 0.0247165000, 0.0439809000, 0.0943717000, 0.2256441000, 0.5603498000", \ - "0.0227171000, 0.0258222000, 0.0334643000, 0.0515419000, 0.0972762000, 0.2256584000, 0.5604843000", \ - "0.0389993000, 0.0432288000, 0.0529886000, 0.0732470000, 0.1174255000, 0.2319620000, 0.5620342000", \ - "0.0716224000, 0.0777268000, 0.0910853000, 0.1180068000, 0.1698738000, 0.2794426000, 0.5737427000", \ - "0.1391306000, 0.1476065000, 0.1665553000, 0.2047681000, 0.2734971000, 0.4011959000, 0.6842755000"); - } - related_pin : "TE"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0029373000, 0.0037092500, 0.0056730000, 0.0106686000, 0.0233768000, 0.0557052000, 0.1379450000"); - values("0.0328998000, 0.0409505000, 0.0616221000, 0.1149116000, 0.2510851000, 0.5969013000, 1.4819693000", \ - "0.0328459000, 0.0408926000, 0.0617012000, 0.1148022000, 0.2506614000, 0.5988953000, 1.4718170000", \ - "0.0328470000, 0.0409138000, 0.0615061000, 0.1147375000, 0.2507840000, 0.5945498000, 1.4758333000", \ - "0.0331938000, 0.0412226000, 0.0617937000, 0.1148063000, 0.2508879000, 0.5954580000, 1.4765944000", \ - "0.0344105000, 0.0423308000, 0.0628060000, 0.1152901000, 0.2510114000, 0.5971263000, 1.4749624000", \ - "0.0376942000, 0.0453021000, 0.0651326000, 0.1166059000, 0.2513368000, 0.5953005000, 1.4738429000", \ - "0.0456294000, 0.0533345000, 0.0723654000, 0.1214890000, 0.2527930000, 0.5963772000, 1.4715660000"); - } - timing_sense : "positive_unate"; - timing_type : "three_state_enable"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0029373000, 0.0037092500, 0.0056730000, 0.0106686000, 0.0233768000, 0.0557052000, 0.1379450000"); - values("0.0982992000, 0.0982992000, 0.0982992000, 0.0983790000, 0.0983790000, 0.0983790000, 0.0983790000", \ - "0.1029321000, 0.1029321000, 0.1030107000, 0.1030136000, 0.1031264000, 0.1033322000, 0.1033322000", \ - "0.1182623000, 0.1182623000, 0.1182623000, 0.1182623000, 0.1182623000, 0.1182623000, 0.1182866000", \ - "0.1495663000, 0.1495663000, 0.1495663000, 0.1495663000, 0.1495663000, 0.1495663000, 0.1495663000", \ - "0.1996260000, 0.1996260000, 0.1996260000, 0.1996435000, 0.1996435000, 0.1996435000, 0.1996448000", \ - "0.2721437000, 0.2721437000, 0.2721437000, 0.2721689000, 0.2732844000, 0.2732844000, 0.2732844000", \ - "0.3809741000, 0.3809741000, 0.3809741000, 0.3809741000, 0.3809741000, 0.3809741000, 0.3809741000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0029373000, 0.0037092500, 0.0056730000, 0.0106686000, 0.0233768000, 0.0557052000, 0.1379450000"); - values("0.0152497000, 0.0152922000, 0.0153507000, 0.0153507000, 0.0153507000, 0.0153507000, 0.0153507000", \ - "0.0165651000, 0.0165651000, 0.0165913000, 0.0167957000, 0.0167957000, 0.0168643000, 0.0168643000", \ - "0.0144539000, 0.0144539000, 0.0144539000, 0.0146150000, 0.0146150000, 0.0146150000, 0.0146150000", \ - "0.0174756000, 0.0174756000, 0.0174756000, 0.0176771000, 0.0176841000, 0.0176841000, 0.0176841000", \ - "0.0224116000, 0.0224116000, 0.0224116000, 0.0224116000, 0.0224116000, 0.0224116000, 0.0224116000", \ - "0.0315604000, 0.0316151000, 0.0316851000, 0.0327374000, 0.0327374000, 0.0327374000, 0.0327374000", \ - "0.0555015000, 0.0555795000, 0.0556196000, 0.0556861000, 0.0557570000, 0.0558320000, 0.0558670000"); - } - fall_transition ("scalar") { - values("0.0000000000"); - } - related_pin : "TE"; - rise_transition ("scalar") { - values("0.0000000000"); - } - timing_sense : "negative_unate"; - timing_type : "three_state_disable"; - } - } - } - - cell ("sky130_fd_sc_hd__einvp_4") { - leakage_power () { - value : 0.0119876000; - when : "!A&TE"; - } - leakage_power () { - value : 0.0004927000; - when : "!A&!TE"; - } - leakage_power () { - value : 0.0146980000; - when : "A&TE"; - } - leakage_power () { - value : 0.0004683000; - when : "A&!TE"; - } - area : 13.763200000; - cell_footprint : "sky130_fd_sc_hd__einvp"; - cell_leakage_power : 0.0069116490; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0084480000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0080080000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092782000, 0.0092580000, 0.0092114000, 0.0092249000, 0.0092558000, 0.0093272000, 0.0094917000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007783600, -0.007775900, -0.007758300, -0.007761400, -0.007768400, -0.007784700, -0.007822100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0088880000; - } - pin ("TE") { - capacitance : 0.0060230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0061510000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0058960000; - } - pin ("Z") { - capacitance : 0.0048940000; - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013953820, 0.0038941840, 0.0108677500, 0.0303293300, 0.0846420200, 0.2362160000"); - values("0.0001199000, -0.000998500, -0.004410400, -0.014970600, -0.046020900, -0.133824900, -0.379303700", \ - "-0.000647600, -0.001708600, -0.005046500, -0.015265100, -0.045973200, -0.133569600, -0.378966400", \ - "-0.001424000, -0.002594300, -0.006004400, -0.016078000, -0.046343300, -0.133587900, -0.378789800", \ - "-0.001990800, -0.003282200, -0.006844600, -0.017245900, -0.047182700, -0.133941300, -0.378868000", \ - "-0.001720200, -0.003131800, -0.006969900, -0.018014200, -0.048255100, -0.134710000, -0.379193400", \ - "0.0006979000, -0.000835400, -0.005029200, -0.016692500, -0.047661300, -0.135358500, -0.379647700", \ - "0.0084532000, 0.0064386000, 0.0022166000, -0.012246000, -0.045273700, -0.133965600, -0.379748000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013953820, 0.0038941840, 0.0108677500, 0.0303293300, 0.0846420200, 0.2362160000"); - values("0.0164450000, 0.0183790000, 0.0234145000, 0.0358791000, 0.0681304000, 0.1552019000, 0.3986810000", \ - "0.0156382000, 0.0173824000, 0.0222198000, 0.0346858000, 0.0675201000, 0.1549365000, 0.3976885000", \ - "0.0152522000, 0.0168848000, 0.0213530000, 0.0333163000, 0.0660556000, 0.1547640000, 0.3975560000", \ - "0.0151443000, 0.0166405000, 0.0208102000, 0.0325982000, 0.0649564000, 0.1542511000, 0.3970874000", \ - "0.0158682000, 0.0172942000, 0.0212784000, 0.0329257000, 0.0645063000, 0.1524139000, 0.3990194000", \ - "0.0181018000, 0.0193788000, 0.0231374000, 0.0340017000, 0.0649828000, 0.1511471000, 0.3948186000", \ - "0.0258291000, 0.0284281000, 0.0287633000, 0.0389241000, 0.0685463000, 0.1541871000, 0.3937581000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013953820, 0.0038941840, 0.0108677500, 0.0303293300, 0.0846420200, 0.2362160000"); - values("0.0118598000, 0.0120076000, 0.0123455000, 0.0129822000, 0.0137604000, 0.0142743000, 0.0145621000", \ - "0.0115842000, 0.0117287000, 0.0120731000, 0.0127211000, 0.0135068000, 0.0140777000, 0.0143211000", \ - "0.0112436000, 0.0113822000, 0.0117325000, 0.0123846000, 0.0131560000, 0.0137326000, 0.0140230000", \ - "0.0108837000, 0.0110263000, 0.0113881000, 0.0120388000, 0.0128552000, 0.0134989000, 0.0138177000", \ - "0.0107872000, 0.0109166000, 0.0112328000, 0.0118948000, 0.0127451000, 0.0134607000, 0.0138426000", \ - "0.0113288000, 0.0114359000, 0.0117046000, 0.0122611000, 0.0131245000, 0.0139349000, 0.0144135000", \ - "0.0136354000, 0.0137355000, 0.0139807000, 0.0145479000, 0.0154289000, 0.0162508000, 0.0168179000"); - } - related_pin : "TE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013953820, 0.0038941840, 0.0108677500, 0.0303293300, 0.0846420200, 0.2362160000"); - values("0.0312987000, 0.0327278000, 0.0367641000, 0.0479551000, 0.0792246000, 0.1669785000, 0.4083602000", \ - "0.0310698000, 0.0325149000, 0.0365532000, 0.0477085000, 0.0789555000, 0.1656138000, 0.4110197000", \ - "0.0307560000, 0.0322079000, 0.0362197000, 0.0474446000, 0.0786518000, 0.1662903000, 0.4106263000", \ - "0.0304486000, 0.0319038000, 0.0358824000, 0.0470721000, 0.0783370000, 0.1661544000, 0.4084441000", \ - "0.0304672000, 0.0319341000, 0.0359526000, 0.0470484000, 0.0782904000, 0.1656667000, 0.4074906000", \ - "0.0314696000, 0.0328922000, 0.0369615000, 0.0479131000, 0.0792224000, 0.1659018000, 0.4083545000", \ - "0.0342422000, 0.0358083000, 0.0396186000, 0.0510368000, 0.0823845000, 0.1696934000, 0.4114242000"); - } - when : "!A"; - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013953820, 0.0038941840, 0.0108677500, 0.0303293300, 0.0846420200, 0.2362160000"); - values("0.0165995000, 0.0154673000, 0.0122211000, 0.0017421000, -0.029711200, -0.117668300, -0.363203000", \ - "0.0163493000, 0.0152346000, 0.0119781000, 0.0014956000, -0.029953300, -0.117907200, -0.363456200", \ - "0.0159429000, 0.0148421000, 0.0115660000, 0.0011706000, -0.030278600, -0.118235500, -0.363770700", \ - "0.0161576000, 0.0148501000, 0.0112775000, 0.0008538000, -0.030575900, -0.118527700, -0.364075100", \ - "0.0177750000, 0.0163313000, 0.0122907000, 0.0009495000, -0.030555900, -0.118524800, -0.364030500", \ - "0.0187030000, 0.0172484000, 0.0132019000, 0.0018881000, -0.029677500, -0.117736400, -0.363278800", \ - "0.0214855000, 0.0199860000, 0.0159104000, 0.0046220000, -0.026972400, -0.114921900, -0.360567300"); - } - related_pin : "TE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013953820, 0.0038941840, 0.0108677500, 0.0303293300, 0.0846420200, 0.2362160000"); - values("0.0103717000, 0.0103573000, 0.0103187000, 0.0102527000, 0.0101764000, 0.0101224000, 0.0100967000", \ - "0.0100928000, 0.0100809000, 0.0100527000, 0.0100051000, 0.0099422000, 0.0098997000, 0.0098782000", \ - "0.0097571000, 0.0097491000, 0.0097294000, 0.0096981000, 0.0096516000, 0.0096047000, 0.0095804000", \ - "0.0094678000, 0.0094627000, 0.0094498000, 0.0094297000, 0.0094013000, 0.0093747000, 0.0093569000", \ - "0.0094989000, 0.0095199000, 0.0095095000, 0.0094631000, 0.0094443000, 0.0094831000, 0.0094455000", \ - "0.0102095000, 0.0102018000, 0.0102025000, 0.0102037000, 0.0101924000, 0.0101701000, 0.0101962000", \ - "0.0127020000, 0.0126967000, 0.0126858000, 0.0126638000, 0.0126376000, 0.0126083000, 0.0126083000"); - } - when : "A"; - } - max_capacitance : 0.2362160000; - max_transition : 1.5003820000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - three_state : "(TE')"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0053944000, 0.0062897800, 0.0087885800, 0.0157621000, 0.0352237000, 0.0895364000, 0.2411100000"); - values("0.0181309000, 0.0199564000, 0.0246042000, 0.0364937000, 0.0679596000, 0.1545967000, 0.3954289000", \ - "0.0220647000, 0.0237791000, 0.0284909000, 0.0406481000, 0.0724538000, 0.1591525000, 0.4003394000", \ - "0.0285667000, 0.0311644000, 0.0374599000, 0.0504730000, 0.0826284000, 0.1695562000, 0.4119925000", \ - "0.0343580000, 0.0383781000, 0.0479475000, 0.0685850000, 0.1064652000, 0.1942608000, 0.4354141000", \ - "0.0349466000, 0.0411734000, 0.0559603000, 0.0876508000, 0.1469156000, 0.2496923000, 0.4917115000", \ - "0.0189218000, 0.0284695000, 0.0512523000, 0.1000384000, 0.1913216000, 0.3498485000, 0.6212166000", \ - "-0.043312600, -0.028276600, 0.0065571000, 0.0793866000, 0.2210158000, 0.4659861000, 0.8738063000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0053944000, 0.0062897800, 0.0087885800, 0.0157621000, 0.0352237000, 0.0895364000, 0.2411100000"); - values("0.0349786000, 0.0403151000, 0.0540064000, 0.0884363000, 0.1779523000, 0.4204551000, 1.1075706000", \ - "0.0382315000, 0.0428154000, 0.0560224000, 0.0904578000, 0.1814699000, 0.4252610000, 1.1017115000", \ - "0.0500432000, 0.0542708000, 0.0662178000, 0.0991588000, 0.1903220000, 0.4379013000, 1.1121308000", \ - "0.0732169000, 0.0793975000, 0.0940719000, 0.1263650000, 0.2152691000, 0.4656067000, 1.1393243000", \ - "0.1091721000, 0.1186056000, 0.1411942000, 0.1890008000, 0.2808303000, 0.5277005000, 1.2073259000", \ - "0.1699393000, 0.1835068000, 0.2165688000, 0.2874407000, 0.4245717000, 0.6775598000, 1.3537983000", \ - "0.2856660000, 0.3039635000, 0.3496787000, 0.4515162000, 0.6553251000, 1.0228449000, 1.7093884000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0053944000, 0.0062897800, 0.0087885800, 0.0157621000, 0.0352237000, 0.0895364000, 0.2411100000"); - values("0.0105827000, 0.0125672000, 0.0181473000, 0.0335396000, 0.0765558000, 0.1958868000, 0.5275461000", \ - "0.0107604000, 0.0125875000, 0.0181190000, 0.0336249000, 0.0765131000, 0.1954980000, 0.5269130000", \ - "0.0154605000, 0.0176401000, 0.0223255000, 0.0349700000, 0.0763496000, 0.1961758000, 0.5286146000", \ - "0.0239823000, 0.0267904000, 0.0335017000, 0.0489199000, 0.0826026000, 0.1955618000, 0.5289671000", \ - "0.0408161000, 0.0450667000, 0.0551874000, 0.0757526000, 0.1169662000, 0.2107892000, 0.5280163000", \ - "0.0715021000, 0.0780689000, 0.0935773000, 0.1264053000, 0.1837559000, 0.2924230000, 0.5564565000", \ - "0.1294285000, 0.1393816000, 0.1620632000, 0.2121441000, 0.2990172000, 0.4550853000, 0.7411154000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0053944000, 0.0062897800, 0.0087885800, 0.0157621000, 0.0352237000, 0.0895364000, 0.2411100000"); - values("0.0312783000, 0.0370482000, 0.0528618000, 0.0962985000, 0.2163256000, 0.5491377000, 1.4809957000", \ - "0.0308307000, 0.0366794000, 0.0525531000, 0.0961717000, 0.2167009000, 0.5481235000, 1.4755455000", \ - "0.0317519000, 0.0367541000, 0.0519084000, 0.0958749000, 0.2161165000, 0.5488303000, 1.4775230000", \ - "0.0465586000, 0.0509094000, 0.0614631000, 0.0981744000, 0.2160895000, 0.5519336000, 1.4750209000", \ - "0.0637069000, 0.0709053000, 0.0894162000, 0.1280412000, 0.2235102000, 0.5503764000, 1.4836075000", \ - "0.0976076000, 0.1082321000, 0.1335787000, 0.1873901000, 0.2943630000, 0.5638324000, 1.4808015000", \ - "0.1566212000, 0.1717757000, 0.2062415000, 0.2866256000, 0.4429180000, 0.7214939000, 1.5003824000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0053944000, 0.0062897800, 0.0087885800, 0.0157621000, 0.0352237000, 0.0895364000, 0.2411100000"); - values("0.0208127000, 0.0223276000, 0.0265102000, 0.0378933000, 0.0693814000, 0.1558810000, 0.3988749000", \ - "0.0250921000, 0.0266889000, 0.0309103000, 0.0422983000, 0.0737856000, 0.1603395000, 0.4016119000", \ - "0.0316525000, 0.0335736000, 0.0385110000, 0.0507391000, 0.0823645000, 0.1688733000, 0.4117968000", \ - "0.0391816000, 0.0421631000, 0.0490205000, 0.0648873000, 0.1002385000, 0.1872576000, 0.4287264000", \ - "0.0424827000, 0.0472568000, 0.0588408000, 0.0831019000, 0.1312897000, 0.2284548000, 0.4709150000", \ - "0.0252577000, 0.0329380000, 0.0528973000, 0.0935733000, 0.1698988000, 0.3024553000, 0.5656495000", \ - "-0.064556100, -0.049241800, -0.014094300, 0.0581255000, 0.1871518000, 0.3973473000, 0.7483222000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0053944000, 0.0062897800, 0.0087885800, 0.0157621000, 0.0352237000, 0.0895364000, 0.2411100000"); - values("0.0912272000, 0.0955188000, 0.1072967000, 0.1388266000, 0.2257037000, 0.4691288000, 1.1424880000", \ - "0.0956156000, 0.0999578000, 0.1116483000, 0.1432305000, 0.2304899000, 0.4740850000, 1.1520630000", \ - "0.1064807000, 0.1108764000, 0.1225186000, 0.1542683000, 0.2411711000, 0.4836720000, 1.1620370000", \ - "0.1292464000, 0.1335315000, 0.1453705000, 0.1769869000, 0.2642997000, 0.5073523000, 1.1887012000", \ - "0.1607305000, 0.1652623000, 0.1774117000, 0.2094619000, 0.2965315000, 0.5407427000, 1.2128223000", \ - "0.1981747000, 0.2030968000, 0.2160483000, 0.2488403000, 0.3364275000, 0.5781320000, 1.2529063000", \ - "0.2298543000, 0.2353097000, 0.2500890000, 0.2859253000, 0.3751164000, 0.6174830000, 1.2922745000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0053944000, 0.0062897800, 0.0087885800, 0.0157621000, 0.0352237000, 0.0895364000, 0.2411100000"); - values("0.0115175000, 0.0134574000, 0.0189613000, 0.0344735000, 0.0769876000, 0.1951332000, 0.5292052000", \ - "0.0119747000, 0.0138026000, 0.0191327000, 0.0345751000, 0.0769678000, 0.1956010000, 0.5279047000", \ - "0.0150564000, 0.0168442000, 0.0217840000, 0.0359812000, 0.0770860000, 0.1952288000, 0.5290189000", \ - "0.0226910000, 0.0245837000, 0.0299329000, 0.0441058000, 0.0815889000, 0.1957356000, 0.5277264000", \ - "0.0390664000, 0.0416844000, 0.0484925000, 0.0648197000, 0.1025490000, 0.2048155000, 0.5297873000", \ - "0.0717040000, 0.0753736000, 0.0850477000, 0.1071265000, 0.1522837000, 0.2536980000, 0.5438370000", \ - "0.1405902000, 0.1449958000, 0.1588235000, 0.1894892000, 0.2512147000, 0.3711166000, 0.6546798000"); - } - related_pin : "TE"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0053944000, 0.0062897800, 0.0087885800, 0.0157621000, 0.0352237000, 0.0895364000, 0.2411100000"); - values("0.0348965000, 0.0402783000, 0.0553517000, 0.0975241000, 0.2164333000, 0.5518924000, 1.4750385000", \ - "0.0348241000, 0.0401565000, 0.0551551000, 0.0973575000, 0.2166039000, 0.5485408000, 1.4826859000", \ - "0.0348750000, 0.0401733000, 0.0551695000, 0.0975321000, 0.2161039000, 0.5514275000, 1.4823278000", \ - "0.0353111000, 0.0405013000, 0.0553642000, 0.0973340000, 0.2164335000, 0.5518032000, 1.4798782000", \ - "0.0364743000, 0.0416765000, 0.0564233000, 0.0984884000, 0.2164308000, 0.5508873000, 1.4777132000", \ - "0.0395954000, 0.0449965000, 0.0594408000, 0.1001081000, 0.2171756000, 0.5476029000, 1.4783352000", \ - "0.0473692000, 0.0524394000, 0.0667597000, 0.1060618000, 0.2194403000, 0.5509526000, 1.4732542000"); - } - timing_sense : "positive_unate"; - timing_type : "three_state_enable"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0053944000, 0.0062897800, 0.0087885800, 0.0157621000, 0.0352237000, 0.0895364000, 0.2411100000"); - values("0.1077083000, 0.1082835000, 0.1082835000, 0.1082835000, 0.1082835000, 0.1082835000, 0.1082835000", \ - "0.1132482000, 0.1132689000, 0.1132689000, 0.1133536000, 0.1133536000, 0.1133536000, 0.1133536000", \ - "0.1249952000, 0.1255198000, 0.1267496000, 0.1273237000, 0.1273237000, 0.1273586000, 0.1273586000", \ - "0.1548304000, 0.1553198000, 0.1578345000, 0.1578345000, 0.1584154000, 0.1584154000, 0.1584154000", \ - "0.2076208000, 0.2078751000, 0.2112384000, 0.2112384000, 0.2112713000, 0.2112713000, 0.2112801000", \ - "0.2850996000, 0.2857049000, 0.2888941000, 0.2888941000, 0.2888941000, 0.2888941000, 0.2888941000", \ - "0.4061784000, 0.4070753000, 0.4115585000, 0.4115585000, 0.4115671000, 0.4115671000, 0.4115671000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0053944000, 0.0062897800, 0.0087885800, 0.0157621000, 0.0352237000, 0.0895364000, 0.2411100000"); - values("0.0167183000, 0.0167261000, 0.0167261000, 0.0167261000, 0.0167261000, 0.0167261000, 0.0167261000", \ - "0.0187444000, 0.0187444000, 0.0187495000, 0.0188006000, 0.0188006000, 0.0188006000, 0.0188006000", \ - "0.0168440000, 0.0168597000, 0.0171805000, 0.0171805000, 0.0171805000, 0.0171805000, 0.0171805000", \ - "0.0208172000, 0.0208799000, 0.0208799000, 0.0208799000, 0.0208799000, 0.0208799000, 0.0208799000", \ - "0.0285281000, 0.0293879000, 0.0293879000, 0.0293879000, 0.0293879000, 0.0293879000, 0.0293879000", \ - "0.0441149000, 0.0445264000, 0.0447191000, 0.0447191000, 0.0447920000, 0.0447920000, 0.0447920000", \ - "0.0837502000, 0.0837502000, 0.0837502000, 0.0853543000, 0.0853543000, 0.0853543000, 0.0853612000"); - } - fall_transition ("scalar") { - values("0.0000000000"); - } - related_pin : "TE"; - rise_transition ("scalar") { - values("0.0000000000"); - } - timing_sense : "negative_unate"; - timing_type : "three_state_disable"; - } - } - } - - cell ("sky130_fd_sc_hd__einvp_8") { - leakage_power () { - value : 0.0142955000; - when : "!A&TE"; - } - leakage_power () { - value : 0.0007094000; - when : "!A&!TE"; - } - leakage_power () { - value : 0.0158942000; - when : "A&TE"; - } - leakage_power () { - value : 0.0005421000; - when : "A&!TE"; - } - area : 22.521600000; - cell_footprint : "sky130_fd_sc_hd__einvp"; - cell_leakage_power : 0.0078603070; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0165350000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0156860000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0174220000, 0.0174025000, 0.0173576000, 0.0173758000, 0.0174177000, 0.0175144000, 0.0177373000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015257400, -0.015249500, -0.015231200, -0.015230700, -0.015229700, -0.015227200, -0.015221700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0173850000; - } - pin ("TE") { - capacitance : 0.0090710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0094200000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087230000; - } - pin ("Z") { - capacitance : 0.0094840000; - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015257910, 0.0046560770, 0.0142084000, 0.0433581100, 0.1323108000, 0.4037574000"); - values("0.0011019000, -0.000141500, -0.004187200, -0.018083700, -0.064091300, -0.207617100, -0.647185100", \ - "-0.000238100, -0.001549600, -0.005547100, -0.018918700, -0.064063700, -0.207109900, -0.646467000", \ - "-0.001860600, -0.003182700, -0.007319100, -0.020650500, -0.064973400, -0.207182400, -0.646162900", \ - "-0.003091700, -0.004522000, -0.008996200, -0.022905000, -0.066923400, -0.208066500, -0.646274600", \ - "-0.002985600, -0.004546400, -0.009295600, -0.024502400, -0.069071200, -0.209658800, -0.646838100", \ - "0.0013696000, -0.000478300, -0.005823300, -0.021588500, -0.068520800, -0.211287400, -0.648061600", \ - "0.0141448000, 0.0121063000, 0.0065178000, -0.012784700, -0.062975400, -0.209006700, -0.647968800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015257910, 0.0046560770, 0.0142084000, 0.0433581100, 0.1323108000, 0.4037574000"); - values("0.0326692000, 0.0350278000, 0.0417833000, 0.0599573000, 0.1085972000, 0.2528199000, 0.6860684000", \ - "0.0308462000, 0.0330479000, 0.0395098000, 0.0576914000, 0.1075239000, 0.2512502000, 0.6886439000", \ - "0.0301216000, 0.0320524000, 0.0380580000, 0.0553037000, 0.1053346000, 0.2503772000, 0.6865805000", \ - "0.0301769000, 0.0319695000, 0.0373335000, 0.0531789000, 0.1023173000, 0.2488205000, 0.6879927000", \ - "0.0318138000, 0.0334279000, 0.0382524000, 0.0532976000, 0.1014922000, 0.2462911000, 0.6839992000", \ - "0.0351158000, 0.0365717000, 0.0412231000, 0.0559937000, 0.1021258000, 0.2431437000, 0.6841589000", \ - "0.0492091000, 0.0511483000, 0.0559435000, 0.0653178000, 0.1088602000, 0.2477229000, 0.6773261000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015257910, 0.0046560770, 0.0142084000, 0.0433581100, 0.1323108000, 0.4037574000"); - values("0.0147225000, 0.0148746000, 0.0152959000, 0.0162915000, 0.0178985000, 0.0193295000, 0.0201026000", \ - "0.0145642000, 0.0147279000, 0.0151734000, 0.0161865000, 0.0177834000, 0.0192035000, 0.0199589000", \ - "0.0141957000, 0.0143545000, 0.0147933000, 0.0158114000, 0.0174574000, 0.0189128000, 0.0196875000", \ - "0.0138416000, 0.0139958000, 0.0144229000, 0.0154282000, 0.0170395000, 0.0185853000, 0.0194013000", \ - "0.0134893000, 0.0136335000, 0.0140355000, 0.0149921000, 0.0165996000, 0.0182303000, 0.0191440000", \ - "0.0137288000, 0.0138556000, 0.0141928000, 0.0150502000, 0.0164637000, 0.0184342000, 0.0196290000", \ - "0.0156215000, 0.0157351000, 0.0160511000, 0.0170306000, 0.0178707000, 0.0200694000, 0.0214567000"); - } - related_pin : "TE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015257910, 0.0046560770, 0.0142084000, 0.0433581100, 0.1323108000, 0.4037574000"); - values("0.0614055000, 0.0630714000, 0.0682412000, 0.0835578000, 0.1301988000, 0.2722882000, 0.7096983000", \ - "0.0612194000, 0.0628748000, 0.0679105000, 0.0833719000, 0.1299890000, 0.2721272000, 0.7062874000", \ - "0.0609321000, 0.0625977000, 0.0676242000, 0.0829971000, 0.1297058000, 0.2718361000, 0.7061431000", \ - "0.0606137000, 0.0622641000, 0.0672811000, 0.0826859000, 0.1294634000, 0.2725589000, 0.7062085000", \ - "0.0604051000, 0.0620782000, 0.0671593000, 0.0824097000, 0.1291938000, 0.2723646000, 0.7069436000", \ - "0.0612465000, 0.0628765000, 0.0678651000, 0.0830177000, 0.1298078000, 0.2719847000, 0.7059962000", \ - "0.0638222000, 0.0656473000, 0.0707328000, 0.0861212000, 0.1329596000, 0.2755346000, 0.7085904000"); - } - when : "!A"; - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015257910, 0.0046560770, 0.0142084000, 0.0433581100, 0.1323108000, 0.4037574000"); - values("0.0314963000, 0.0302809000, 0.0267189000, 0.0144470000, -0.030985800, -0.175027100, -0.614752400", \ - "0.0312800000, 0.0301083000, 0.0265049000, 0.0142529000, -0.031175300, -0.175209800, -0.614921000", \ - "0.0309303000, 0.0296974000, 0.0260460000, 0.0138669000, -0.031461900, -0.175495400, -0.615221800", \ - "0.0308703000, 0.0294720000, 0.0254142000, 0.0131020000, -0.031785000, -0.175847100, -0.615548800", \ - "0.0343366000, 0.0327465000, 0.0279280000, 0.0135541000, -0.031974800, -0.175996000, -0.615701900", \ - "0.0382330000, 0.0365448000, 0.0314748000, 0.0160083000, -0.031225700, -0.175394100, -0.615150300", \ - "0.0406129000, 0.0389648000, 0.0337882000, 0.0183706000, -0.028876900, -0.173023700, -0.612841700"); - } - related_pin : "TE"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015257910, 0.0046560770, 0.0142084000, 0.0433581100, 0.1323108000, 0.4037574000"); - values("0.0122732000, 0.0122528000, 0.0121985000, 0.0120822000, 0.0119538000, 0.0118384000, 0.0117906000", \ - "0.0120220000, 0.0120080000, 0.0119693000, 0.0118812000, 0.0117497000, 0.0116347000, 0.0115782000", \ - "0.0116267000, 0.0116128000, 0.0115861000, 0.0115310000, 0.0114372000, 0.0113482000, 0.0112976000", \ - "0.0112831000, 0.0112692000, 0.0112583000, 0.0112198000, 0.0111616000, 0.0110945000, 0.0110425000", \ - "0.0110737000, 0.0110665000, 0.0110484000, 0.0110084000, 0.0109566000, 0.0109121000, 0.0108770000", \ - "0.0118315000, 0.0118313000, 0.0118088000, 0.0117895000, 0.0117412000, 0.0117326000, 0.0117049000", \ - "0.0137194000, 0.0137118000, 0.0136981000, 0.0136575000, 0.0135972000, 0.0136095000, 0.0136085000"); - } - when : "A"; - } - max_capacitance : 0.4037570000; - max_transition : 1.4986050000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - three_state : "(TE')"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0099843000, 0.0110101000, 0.0141404000, 0.0236927000, 0.0528424000, 0.1417950000, 0.4132420000"); - values("0.0206524000, 0.0219447000, 0.0257010000, 0.0361511000, 0.0654145000, 0.1524940000, 0.4191682000", \ - "0.0244115000, 0.0256491000, 0.0294368000, 0.0399301000, 0.0696502000, 0.1568218000, 0.4211402000", \ - "0.0313836000, 0.0331229000, 0.0379301000, 0.0493939000, 0.0793780000, 0.1672848000, 0.4337297000", \ - "0.0372856000, 0.0399916000, 0.0473586000, 0.0655621000, 0.1025673000, 0.1909878000, 0.4555173000", \ - "0.0369883000, 0.0411720000, 0.0527403000, 0.0805520000, 0.1385060000, 0.2454447000, 0.5101279000", \ - "0.0180315000, 0.0244582000, 0.0419172000, 0.0840795000, 0.1724346000, 0.3375855000, 0.6377791000", \ - "-0.051779500, -0.041998800, -0.015286400, 0.0470934000, 0.1830618000, 0.4368482000, 0.8857284000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0099843000, 0.0110101000, 0.0141404000, 0.0236927000, 0.0528424000, 0.1417950000, 0.4132420000"); - values("0.0390562000, 0.0426576000, 0.0532685000, 0.0821938000, 0.1609955000, 0.3958602000, 1.1011614000", \ - "0.0415602000, 0.0448701000, 0.0549389000, 0.0838111000, 0.1645034000, 0.3985575000, 1.1095015000", \ - "0.0532955000, 0.0561636000, 0.0651985000, 0.0925057000, 0.1732709000, 0.4105247000, 1.1221357000", \ - "0.0781093000, 0.0822239000, 0.0932447000, 0.1192247000, 0.1973255000, 0.4349736000, 1.1456127000", \ - "0.1161403000, 0.1222030000, 0.1389567000, 0.1787858000, 0.2637367000, 0.4992126000, 1.2100180000", \ - "0.1816087000, 0.1901961000, 0.2146563000, 0.2747557000, 0.4021736000, 0.6514994000, 1.3634708000", \ - "0.3080360000, 0.3199750000, 0.3531775000, 0.4378245000, 0.6254391000, 0.9900069000, 1.7183927000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0099843000, 0.0110101000, 0.0141404000, 0.0236927000, 0.0528424000, 0.1417950000, 0.4132420000"); - values("0.0129006000, 0.0143063000, 0.0187338000, 0.0322509000, 0.0731263000, 0.1974024000, 0.5754721000", \ - "0.0128013000, 0.0142772000, 0.0186769000, 0.0322708000, 0.0731763000, 0.1969186000, 0.5754683000", \ - "0.0178089000, 0.0194426000, 0.0226302000, 0.0336485000, 0.0729113000, 0.1972694000, 0.5757210000", \ - "0.0251648000, 0.0272525000, 0.0326182000, 0.0468366000, 0.0800599000, 0.1970022000, 0.5748586000", \ - "0.0426214000, 0.0450157000, 0.0530113000, 0.0714171000, 0.1138119000, 0.2139260000, 0.5748382000", \ - "0.0731774000, 0.0781657000, 0.0893095000, 0.1179989000, 0.1761644000, 0.2940473000, 0.6006183000", \ - "0.1309391000, 0.1376322000, 0.1560189000, 0.2009972000, 0.2861215000, 0.4528396000, 0.7795889000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0099843000, 0.0110101000, 0.0141404000, 0.0236927000, 0.0528424000, 0.1417950000, 0.4132420000"); - values("0.0343849000, 0.0381417000, 0.0495450000, 0.0844460000, 0.1896110000, 0.5092114000, 1.4712250000", \ - "0.0340945000, 0.0378834000, 0.0492430000, 0.0843343000, 0.1894698000, 0.5058068000, 1.4751267000", \ - "0.0343188000, 0.0376443000, 0.0487975000, 0.0839911000, 0.1895091000, 0.5066157000, 1.4773817000", \ - "0.0493250000, 0.0514349000, 0.0588866000, 0.0867610000, 0.1887212000, 0.5073947000, 1.4761393000", \ - "0.0659511000, 0.0707272000, 0.0842415000, 0.1188080000, 0.1998375000, 0.5089980000, 1.4774421000", \ - "0.0996858000, 0.1068552000, 0.1262389000, 0.1723537000, 0.2710729000, 0.5267269000, 1.4806009000", \ - "0.1595332000, 0.1686229000, 0.1938255000, 0.2596469000, 0.4024385000, 0.6801782000, 1.4986048000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0099843000, 0.0110101000, 0.0141404000, 0.0236927000, 0.0528424000, 0.1417950000, 0.4132420000"); - values("0.0258970000, 0.0270213000, 0.0304308000, 0.0402467000, 0.0691255000, 0.1563273000, 0.4210529000", \ - "0.0298526000, 0.0310031000, 0.0343958000, 0.0442455000, 0.0731967000, 0.1603387000, 0.4247155000", \ - "0.0365727000, 0.0378403000, 0.0416426000, 0.0521455000, 0.0813880000, 0.1685434000, 0.4330470000", \ - "0.0440739000, 0.0459004000, 0.0509798000, 0.0641749000, 0.0969105000, 0.1850408000, 0.4493979000", \ - "0.0462771000, 0.0495082000, 0.0574028000, 0.0778155000, 0.1218414000, 0.2203240000, 0.4857365000", \ - "0.0248564000, 0.0303372000, 0.0450557000, 0.0781800000, 0.1487260000, 0.2816855000, 0.5684924000", \ - "-0.070858000, -0.061341800, -0.035868000, 0.0241186000, 0.1441871000, 0.3543905000, 0.7259378000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0099843000, 0.0110101000, 0.0141404000, 0.0236927000, 0.0528424000, 0.1417950000, 0.4132420000"); - values("0.1207545000, 0.1238139000, 0.1329899000, 0.1593760000, 0.2363824000, 0.4688174000, 1.1754546000", \ - "0.1251352000, 0.1281967000, 0.1374648000, 0.1638519000, 0.2407309000, 0.4727918000, 1.1794180000", \ - "0.1359737000, 0.1390582000, 0.1481486000, 0.1746848000, 0.2514858000, 0.4836841000, 1.1912885000", \ - "0.1611772000, 0.1642147000, 0.1735023000, 0.2000844000, 0.2770455000, 0.5091882000, 1.2149297000", \ - "0.2038661000, 0.2071174000, 0.2164263000, 0.2433402000, 0.3209871000, 0.5531162000, 1.2667726000", \ - "0.2590635000, 0.2624843000, 0.2725322000, 0.3008450000, 0.3796067000, 0.6116886000, 1.3216449000", \ - "0.3164275000, 0.3204529000, 0.3319750000, 0.3634083000, 0.4460430000, 0.6794534000, 1.3855729000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0099843000, 0.0110101000, 0.0141404000, 0.0236927000, 0.0528424000, 0.1417950000, 0.4132420000"); - values("0.0145949000, 0.0159776000, 0.0202407000, 0.0335451000, 0.0743073000, 0.1973284000, 0.5747147000", \ - "0.0148553000, 0.0162238000, 0.0204305000, 0.0336113000, 0.0743405000, 0.1971724000, 0.5742503000", \ - "0.0174632000, 0.0187445000, 0.0226002000, 0.0349859000, 0.0746738000, 0.1972445000, 0.5745397000", \ - "0.0243470000, 0.0256925000, 0.0297431000, 0.0420622000, 0.0791286000, 0.1978147000, 0.5749102000", \ - "0.0408216000, 0.0425088000, 0.0474963000, 0.0612217000, 0.0982795000, 0.2074022000, 0.5739795000", \ - "0.0748381000, 0.0765877000, 0.0836229000, 0.1018815000, 0.1447911000, 0.2527984000, 0.5896179000", \ - "0.1433703000, 0.1469086000, 0.1568563000, 0.1819666000, 0.2391488000, 0.3628506000, 0.6847435000"); - } - related_pin : "TE"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0099843000, 0.0110101000, 0.0141404000, 0.0236927000, 0.0528424000, 0.1417950000, 0.4132420000"); - values("0.0410537000, 0.0446813000, 0.0553769000, 0.0888089000, 0.1910330000, 0.5073336000, 1.4778152000", \ - "0.0410786000, 0.0446299000, 0.0556137000, 0.0888016000, 0.1911824000, 0.5075453000, 1.4729230000", \ - "0.0411236000, 0.0446037000, 0.0556435000, 0.0888060000, 0.1911799000, 0.5074479000, 1.4768730000", \ - "0.0411332000, 0.0447244000, 0.0557041000, 0.0889098000, 0.1912556000, 0.5088970000, 1.4767633000", \ - "0.0427183000, 0.0460844000, 0.0570515000, 0.0898757000, 0.1916120000, 0.5087408000, 1.4799847000", \ - "0.0463533000, 0.0498529000, 0.0605991000, 0.0931934000, 0.1935099000, 0.5069705000, 1.4742734000", \ - "0.0553913000, 0.0589449000, 0.0694277000, 0.1010763000, 0.1982656000, 0.5089411000, 1.4726091000"); - } - timing_sense : "positive_unate"; - timing_type : "three_state_enable"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0099843000, 0.0110101000, 0.0141404000, 0.0236927000, 0.0528424000, 0.1417950000, 0.4132420000"); - values("0.1494525000, 0.1494525000, 0.1501381000, 0.1501381000, 0.1501381000, 0.1503454000, 0.1503555000", \ - "0.1533327000, 0.1533327000, 0.1544686000, 0.1546557000, 0.1546557000, 0.1546557000, 0.1546557000", \ - "0.1672137000, 0.1672137000, 0.1672137000, 0.1672137000, 0.1672137000, 0.1672137000, 0.1672137000", \ - "0.1965103000, 0.1965103000, 0.1965103000, 0.1965103000, 0.1965103000, 0.1965103000, 0.1965103000", \ - "0.2624226000, 0.2624226000, 0.2624226000, 0.2624226000, 0.2627962000, 0.2627962000, 0.2628310000", \ - "0.3666536000, 0.3666536000, 0.3684283000, 0.3694490000, 0.3694490000, 0.3694490000, 0.3694490000", \ - "0.5297963000, 0.5297963000, 0.5297963000, 0.5297963000, 0.5297963000, 0.5297963000, 0.5297963000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0099843000, 0.0110101000, 0.0141404000, 0.0236927000, 0.0528424000, 0.1417950000, 0.4132420000"); - values("0.0226792000, 0.0226792000, 0.0226792000, 0.0226843000, 0.0226843000, 0.0226843000, 0.0226843000", \ - "0.0250616000, 0.0253139000, 0.0253139000, 0.0253139000, 0.0253139000, 0.0253139000, 0.0253139000", \ - "0.0241636000, 0.0247005000, 0.0247005000, 0.0247005000, 0.0247636000, 0.0247636000, 0.0247636000", \ - "0.0267054000, 0.0280029000, 0.0280029000, 0.0287756000, 0.0287756000, 0.0287756000, 0.0287756000", \ - "0.0377619000, 0.0399775000, 0.0410675000, 0.0410675000, 0.0410675000, 0.0410675000, 0.0410675000", \ - "0.0638483000, 0.0676209000, 0.0676209000, 0.0676209000, 0.0676209000, 0.0676209000, 0.0676209000", \ - "0.1160790000, 0.1233489000, 0.1233489000, 0.1233489000, 0.1236820000, 0.1244866000, 0.1244866000"); - } - fall_transition ("scalar") { - values("0.0000000000"); - } - related_pin : "TE"; - rise_transition ("scalar") { - values("0.0000000000"); - } - timing_sense : "negative_unate"; - timing_type : "three_state_disable"; - } - } - } - - cell ("sky130_fd_sc_hd__fa_1") { - leakage_power () { - value : 0.0071181000; - when : "!A&!B&CIN"; - } - leakage_power () { - value : 0.0123163000; - when : "!A&!B&!CIN"; - } - leakage_power () { - value : 0.0073626000; - when : "!A&B&CIN"; - } - leakage_power () { - value : 0.0073177000; - when : "!A&B&!CIN"; - } - leakage_power () { - value : 0.0073668000; - when : "A&!B&CIN"; - } - leakage_power () { - value : 0.0075050000; - when : "A&!B&!CIN"; - } - leakage_power () { - value : 0.0016122000; - when : "A&B&CIN"; - } - leakage_power () { - value : 0.0077956000; - when : "A&B&!CIN"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__fa"; - cell_leakage_power : 0.0072992950; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0067290000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0065610000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0068960000; - } - pin ("B") { - capacitance : 0.0060260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0058720000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0061810000; - } - pin ("CIN") { - capacitance : 0.0045230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0044630000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045830000; - } - pin ("COUT") { - direction : "output"; - function : "(A&B) | (A&CIN) | (B&CIN)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0027228000, 0.0063543000, 0.0127806000, 0.0185775000, 0.0100417000, -0.039920200, -0.191430300", \ - "0.0027117000, 0.0063219000, 0.0127014000, 0.0184549000, 0.0098859000, -0.040111400, -0.191591500", \ - "0.0026869000, 0.0062697000, 0.0125955000, 0.0182595000, 0.0096055000, -0.040400600, -0.191909900", \ - "0.0026675000, 0.0062195000, 0.0124894000, 0.0180642000, 0.0093365000, -0.040681300, -0.192229900", \ - "0.0026444000, 0.0061722000, 0.0123822000, 0.0178723000, 0.0090493000, -0.041035400, -0.192517400", \ - "0.0026495000, 0.0061586000, 0.0123802000, 0.0178569000, 0.0089925000, -0.041042300, -0.192562600", \ - "0.0026614000, 0.0062021000, 0.0124186000, 0.0178907000, 0.0089329000, -0.040939400, -0.192444100"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("9.8517168e-05, 0.0004098000, 0.0018330000, 0.0077894000, 0.0284887000, 0.0859850000, 0.2392087000", \ - "9.3668476e-05, 0.0003908000, 0.0018061000, 0.0077671000, 0.0284332000, 0.0858426000, 0.2388069000", \ - "8.4222007e-05, 0.0003738000, 0.0017666000, 0.0076751000, 0.0283175000, 0.0858265000, 0.2390000000", \ - "6.7809369e-05, 0.0003323000, 0.0016896000, 0.0075226000, 0.0280710000, 0.0855652000, 0.2387095000", \ - "5.0318791e-05, 0.0002948000, 0.0015754000, 0.0073440000, 0.0277915000, 0.0853337000, 0.2396206000", \ - "3.5245873e-05, 0.0002525000, 0.0014767000, 0.0071157000, 0.0274568000, 0.0847943000, 0.2392720000", \ - "9.7540714e-05, 0.0003921000, 0.0017746000, 0.0075621000, 0.0275492000, 0.0848219000, 0.2375927000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0026508000, 0.0061858000, 0.0124143000, 0.0179568000, 0.0091610000, -0.040871000, -0.192338900", \ - "0.0026463000, 0.0061860000, 0.0124084000, 0.0179192000, 0.0091116000, -0.040882000, -0.192373600", \ - "0.0026360000, 0.0061468000, 0.0123312000, 0.0177757000, 0.0089207000, -0.041107400, -0.192574600", \ - "0.0026159000, 0.0060950000, 0.0122250000, 0.0176154000, 0.0086669000, -0.041391800, -0.192853100", \ - "0.0025957000, 0.0060504000, 0.0121081000, 0.0173983000, 0.0083799000, -0.041715700, -0.193186200", \ - "0.0025947000, 0.0060447000, 0.0121009000, 0.0173630000, 0.0083600000, -0.041710000, -0.193173200", \ - "0.0026317000, 0.0061277000, 0.0122321000, 0.0175266000, 0.0085210000, -0.041325600, -0.192819600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("9.4600715e-05, 0.0003994000, 0.0018500000, 0.0078203000, 0.0285122000, 0.0861937000, 0.2405485000", \ - "9.4831734e-05, 0.0004000000, 0.0018464000, 0.0078274000, 0.0285213000, 0.0862132000, 0.2405910000", \ - "8.7548465e-05, 0.0003865000, 0.0018132000, 0.0077689000, 0.0284924000, 0.0860603000, 0.2388666000", \ - "7.1565812e-05, 0.0003434000, 0.0017086000, 0.0075840000, 0.0282045000, 0.0858648000, 0.2402514000", \ - "5.5395829e-05, 0.0003017000, 0.0015897000, 0.0073260000, 0.0278454000, 0.0854784000, 0.2401458000", \ - "3.376863e-05, 0.0002479000, 0.0014784000, 0.0070380000, 0.0275161000, 0.0848950000, 0.2392946000", \ - "9.1498259e-05, 0.0003815000, 0.0017565000, 0.0075421000, 0.0275931000, 0.0849245000, 0.2372588000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0025137000, 0.0058621000, 0.0117206000, 0.0167312000, 0.0076458000, -0.042325100, -0.193669900", \ - "0.0025075000, 0.0058445000, 0.0116866000, 0.0166640000, 0.0075608000, -0.042407300, -0.193755500", \ - "0.0024979000, 0.0058187000, 0.0116317000, 0.0165681000, 0.0074242000, -0.042613500, -0.193964300", \ - "0.0024850000, 0.0057910000, 0.0115644000, 0.0164414000, 0.0072363000, -0.042812600, -0.194200900", \ - "0.0024660000, 0.0057481000, 0.0114746000, 0.0162690000, 0.0069690000, -0.043140100, -0.194515600", \ - "0.0024590000, 0.0057275000, 0.0114233000, 0.0161638000, 0.0067996000, -0.043304600, -0.194614600", \ - "0.0024909000, 0.0058004000, 0.0115252000, 0.0162321000, 0.0067568000, -0.043262700, -0.194607100"); - } - related_pin : "CIN"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0002400000, 0.0007499000, 0.0025859000, 0.0091681000, 0.0304898000, 0.0885649000, 0.2430417000", \ - "0.0002399000, 0.0007498000, 0.0025874000, 0.0091655000, 0.0304834000, 0.0886760000, 0.2431004000", \ - "0.0002370000, 0.0007433000, 0.0025742000, 0.0091356000, 0.0304400000, 0.0885977000, 0.2430541000", \ - "0.0002272000, 0.0007114000, 0.0025064000, 0.0090032000, 0.0302273000, 0.0883509000, 0.2428266000", \ - "0.0002064000, 0.0006614000, 0.0023773000, 0.0087384000, 0.0298806000, 0.0876724000, 0.2410233000", \ - "0.0001920000, 0.0006243000, 0.0022862000, 0.0085808000, 0.0295661000, 0.0873732000, 0.2419935000", \ - "0.0002618000, 0.0007818000, 0.0025940000, 0.0091537000, 0.0297395000, 0.0875881000, 0.2407577000"); - } - } - max_capacitance : 0.1565650000; - max_transition : 1.4955840000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.3697110000, 0.3821291000, 0.4064834000, 0.4505107000, 0.5292539000, 0.6791032000, 1.0085125000", \ - "0.3719932000, 0.3841175000, 0.4084383000, 0.4526750000, 0.5314104000, 0.6813136000, 1.0109074000", \ - "0.3792014000, 0.3912015000, 0.4158968000, 0.4601257000, 0.5389924000, 0.6889599000, 1.0185131000", \ - "0.4003194000, 0.4123080000, 0.4369864000, 0.4811505000, 0.5600475000, 0.7097676000, 1.0397132000", \ - "0.4569636000, 0.4689289000, 0.4933796000, 0.5374934000, 0.6163763000, 0.7664933000, 1.0963733000", \ - "0.5949612000, 0.6072993000, 0.6312072000, 0.6752661000, 0.7541716000, 0.9043776000, 1.2342581000", \ - "0.8575388000, 0.8715038000, 0.8992696000, 0.9491435000, 1.0354479000, 1.1928976000, 1.5260854000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1233580000, 0.1319687000, 0.1504497000, 0.1909756000, 0.2873219000, 0.5347411000, 1.1745724000", \ - "0.1279499000, 0.1364813000, 0.1549654000, 0.1954973000, 0.2919207000, 0.5392474000, 1.1793275000", \ - "0.1376344000, 0.1461750000, 0.1646999000, 0.2052061000, 0.3015932000, 0.5490702000, 1.1889298000", \ - "0.1587946000, 0.1673710000, 0.1858725000, 0.2262856000, 0.3226828000, 0.5703567000, 1.2103419000", \ - "0.2003222000, 0.2094502000, 0.2286747000, 0.2698190000, 0.3664813000, 0.6143449000, 1.2535770000", \ - "0.2613006000, 0.2717501000, 0.2930585000, 0.3363127000, 0.4344899000, 0.6836418000, 1.3231184000", \ - "0.3241677000, 0.3378284000, 0.3646299000, 0.4128798000, 0.5135682000, 0.7627705000, 1.4043513000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0511932000, 0.0591574000, 0.0742803000, 0.1039026000, 0.1677521000, 0.3145278000, 0.7167490000", \ - "0.0512691000, 0.0589226000, 0.0743005000, 0.1038800000, 0.1677248000, 0.3144344000, 0.7157439000", \ - "0.0516731000, 0.0591442000, 0.0744220000, 0.1040973000, 0.1676281000, 0.3144721000, 0.7169683000", \ - "0.0516122000, 0.0590457000, 0.0744135000, 0.1040006000, 0.1676312000, 0.3147049000, 0.7179108000", \ - "0.0520881000, 0.0596834000, 0.0743697000, 0.1040181000, 0.1676502000, 0.3145722000, 0.7159336000", \ - "0.0519432000, 0.0594158000, 0.0751323000, 0.1045630000, 0.1678891000, 0.3150300000, 0.7178744000", \ - "0.0638079000, 0.0722192000, 0.0888189000, 0.1195394000, 0.1826556000, 0.3260739000, 0.7212288000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0288967000, 0.0364613000, 0.0548606000, 0.1028969000, 0.2338579000, 0.5840881000, 1.4902091000", \ - "0.0289706000, 0.0364366000, 0.0548181000, 0.1028554000, 0.2342291000, 0.5828681000, 1.4942312000", \ - "0.0289556000, 0.0364696000, 0.0548289000, 0.1029514000, 0.2338474000, 0.5841147000, 1.4900750000", \ - "0.0290540000, 0.0363817000, 0.0548358000, 0.1029916000, 0.2338407000, 0.5840418000, 1.4906811000", \ - "0.0313884000, 0.0390782000, 0.0571190000, 0.1041330000, 0.2343047000, 0.5825633000, 1.4945883000", \ - "0.0377170000, 0.0453841000, 0.0637608000, 0.1090725000, 0.2376277000, 0.5839453000, 1.4944895000", \ - "0.0512908000, 0.0602969000, 0.0787956000, 0.1222590000, 0.2421126000, 0.5864950000, 1.4928558000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.3404756000, 0.3524988000, 0.3769637000, 0.4211198000, 0.5002043000, 0.6503495000, 0.9801778000", \ - "0.3433348000, 0.3556426000, 0.3798627000, 0.4239534000, 0.5029080000, 0.6530538000, 0.9829935000", \ - "0.3513643000, 0.3633721000, 0.3878393000, 0.4319195000, 0.5109381000, 0.6611658000, 0.9911855000", \ - "0.3739788000, 0.3859591000, 0.4106995000, 0.4545816000, 0.5336961000, 0.6841335000, 1.0140271000", \ - "0.4381999000, 0.4502425000, 0.4741861000, 0.5184926000, 0.5976123000, 0.7479103000, 1.0780227000", \ - "0.5976993000, 0.6097984000, 0.6341097000, 0.6781723000, 0.7573414000, 0.9076040000, 1.2374853000", \ - "0.9081275000, 0.9232353000, 0.9525427000, 1.0043754000, 1.0915073000, 1.2479378000, 1.5798342000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1180304000, 0.1274550000, 0.1475776000, 0.1901270000, 0.2876224000, 0.5352770000, 1.1748305000", \ - "0.1229423000, 0.1324488000, 0.1525706000, 0.1950608000, 0.2925654000, 0.5402166000, 1.1796835000", \ - "0.1330144000, 0.1424319000, 0.1625906000, 0.2051044000, 0.3025655000, 0.5503380000, 1.1907281000", \ - "0.1541824000, 0.1636274000, 0.1836885000, 0.2260288000, 0.3237490000, 0.5714778000, 1.2109622000", \ - "0.1941855000, 0.2042094000, 0.2253355000, 0.2687902000, 0.3668405000, 0.6148230000, 1.2545848000", \ - "0.2541498000, 0.2650761000, 0.2880453000, 0.3339311000, 0.4337692000, 0.6833729000, 1.3234461000", \ - "0.3181514000, 0.3324599000, 0.3605110000, 0.4119659000, 0.5166619000, 0.7669535000, 1.4090834000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0523254000, 0.0598054000, 0.0745161000, 0.1040612000, 0.1675340000, 0.3143724000, 0.7164108000", \ - "0.0511772000, 0.0594174000, 0.0744499000, 0.1044929000, 0.1677581000, 0.3144776000, 0.7179062000", \ - "0.0511577000, 0.0587865000, 0.0743150000, 0.1042267000, 0.1676924000, 0.3143472000, 0.7170003000", \ - "0.0515044000, 0.0588800000, 0.0741881000, 0.1046393000, 0.1676918000, 0.3141142000, 0.7162446000", \ - "0.0509738000, 0.0586423000, 0.0744865000, 0.1042443000, 0.1676236000, 0.3139693000, 0.7160683000", \ - "0.0514288000, 0.0587231000, 0.0747573000, 0.1041484000, 0.1676028000, 0.3144526000, 0.7163026000", \ - "0.0714984000, 0.0795827000, 0.0951407000, 0.1242860000, 0.1840821000, 0.3249688000, 0.7187575000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0319778000, 0.0398991000, 0.0587041000, 0.1061775000, 0.2351831000, 0.5831888000, 1.4933410000", \ - "0.0321531000, 0.0399103000, 0.0586111000, 0.1061518000, 0.2351830000, 0.5845119000, 1.4943360000", \ - "0.0318791000, 0.0399765000, 0.0586371000, 0.1061687000, 0.2352124000, 0.5844739000, 1.4942269000", \ - "0.0320177000, 0.0399588000, 0.0586676000, 0.1061222000, 0.2352412000, 0.5848107000, 1.4945827000", \ - "0.0344146000, 0.0427749000, 0.0615958000, 0.1086599000, 0.2359759000, 0.5850045000, 1.4932944000", \ - "0.0400243000, 0.0481225000, 0.0675243000, 0.1147866000, 0.2405257000, 0.5852387000, 1.4918345000", \ - "0.0537899000, 0.0630973000, 0.0837380000, 0.1266061000, 0.2466445000, 0.5886104000, 1.4955839000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.3142887000, 0.3262123000, 0.3501174000, 0.3942426000, 0.4738746000, 0.6249912000, 0.9553228000", \ - "0.3173671000, 0.3292342000, 0.3532585000, 0.3974176000, 0.4771772000, 0.6282715000, 0.9589116000", \ - "0.3255445000, 0.3373526000, 0.3613433000, 0.4055155000, 0.4851441000, 0.6362472000, 0.9668307000", \ - "0.3504597000, 0.3623066000, 0.3862508000, 0.4303600000, 0.5101261000, 0.6611821000, 0.9918358000", \ - "0.4158643000, 0.4277804000, 0.4519043000, 0.4958522000, 0.5754419000, 0.7265755000, 1.0572533000", \ - "0.5763706000, 0.5881844000, 0.6122522000, 0.6563397000, 0.7359839000, 0.8870844000, 1.2178289000", \ - "0.8792226000, 0.8944478000, 0.9238068000, 0.9760841000, 1.0646952000, 1.2223476000, 1.5552110000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1127152000, 0.1222831000, 0.1424742000, 0.1851519000, 0.2826990000, 0.5303974000, 1.1705984000", \ - "0.1171133000, 0.1266871000, 0.1468987000, 0.1895485000, 0.2871272000, 0.5348413000, 1.1745728000", \ - "0.1276252000, 0.1371942000, 0.1574593000, 0.2000199000, 0.2976016000, 0.5453441000, 1.1851675000", \ - "0.1517220000, 0.1612616000, 0.1813815000, 0.2237757000, 0.3212998000, 0.5691563000, 1.2091045000", \ - "0.1986012000, 0.2088314000, 0.2298845000, 0.2731116000, 0.3709336000, 0.6189741000, 1.2594241000", \ - "0.2574836000, 0.2700069000, 0.2945927000, 0.3408851000, 0.4404420000, 0.6895059000, 1.3296927000", \ - "0.3080726000, 0.3246074000, 0.3566083000, 0.4124697000, 0.5164099000, 0.7655936000, 1.4068799000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0495271000, 0.0572260000, 0.0724024000, 0.1025337000, 0.1669524000, 0.3141055000, 0.7157069000", \ - "0.0491827000, 0.0566576000, 0.0721606000, 0.1026188000, 0.1669563000, 0.3142421000, 0.7170819000", \ - "0.0491862000, 0.0566611000, 0.0721420000, 0.1028914000, 0.1670972000, 0.3140967000, 0.7175907000", \ - "0.0491765000, 0.0567641000, 0.0721541000, 0.1029300000, 0.1668745000, 0.3141581000, 0.7168128000", \ - "0.0500207000, 0.0579778000, 0.0731080000, 0.1025614000, 0.1668014000, 0.3138833000, 0.7143717000", \ - "0.0497272000, 0.0576969000, 0.0726505000, 0.1031212000, 0.1671626000, 0.3137406000, 0.7153308000", \ - "0.0692586000, 0.0781350000, 0.0946552000, 0.1254097000, 0.1854109000, 0.3255190000, 0.7201962000"); - } - related_pin : "CIN"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0327510000, 0.0403840000, 0.0589931000, 0.1063444000, 0.2352274000, 0.5827552000, 1.4937874000", \ - "0.0327467000, 0.0403812000, 0.0590345000, 0.1064005000, 0.2352442000, 0.5832970000, 1.4936842000", \ - "0.0327461000, 0.0403625000, 0.0589843000, 0.1064081000, 0.2352450000, 0.5832329000, 1.4942818000", \ - "0.0326918000, 0.0404242000, 0.0590274000, 0.1065013000, 0.2352374000, 0.5832323000, 1.4947524000", \ - "0.0371840000, 0.0444766000, 0.0619306000, 0.1083212000, 0.2357289000, 0.5831478000, 1.4936289000", \ - "0.0481397000, 0.0553578000, 0.0719018000, 0.1154904000, 0.2396997000, 0.5846636000, 1.4913101000", \ - "0.0662747000, 0.0757968000, 0.0944721000, 0.1343917000, 0.2476722000, 0.5867068000, 1.4926482000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - pin ("SUM") { - direction : "output"; - function : "(A&!B&!CIN) | (!A&B&!CIN) | (!A&!B&CIN) | (A&B&CIN)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0014713000, 0.0033517000, 0.0064643000, 0.0077871000, -0.004599600, -0.057068900, -0.208713300", \ - "0.0014664000, 0.0033415000, 0.0064483000, 0.0077651000, -0.004638600, -0.057126000, -0.208747400", \ - "0.0014588000, 0.0033207000, 0.0064088000, 0.0076727000, -0.004760500, -0.057271700, -0.208920600", \ - "0.0014424000, 0.0032853000, 0.0063107000, 0.0075206000, -0.005008100, -0.057556800, -0.209243700", \ - "0.0014263000, 0.0032459000, 0.0062116000, 0.0073419000, -0.005248400, -0.057897800, -0.209587900", \ - "0.0014045000, 0.0031967000, 0.0060767000, 0.0071136000, -0.005587700, -0.058300200, -0.210043800", \ - "0.0015263000, 0.0034898000, 0.0065971000, 0.0075618000, -0.005461600, -0.058577700, -0.210317500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0011661000, 0.0029993000, 0.0076107000, 0.0185771000, 0.0435784000, 0.1039593000, 0.2590121000", \ - "0.0011545000, 0.0029712000, 0.0075505000, 0.0184539000, 0.0434057000, 0.1041736000, 0.2592334000", \ - "0.0011386000, 0.0029213000, 0.0074331000, 0.0182586000, 0.0431606000, 0.1033204000, 0.2575754000", \ - "0.0011122000, 0.0028712000, 0.0073249000, 0.0180633000, 0.0428468000, 0.1030737000, 0.2573633000", \ - "0.0010914000, 0.0028224000, 0.0072264000, 0.0178694000, 0.0425857000, 0.1027537000, 0.2580562000", \ - "0.0010899000, 0.0028151000, 0.0072108000, 0.0178564000, 0.0425471000, 0.1027074000, 0.2569871000", \ - "0.0010857000, 0.0027712000, 0.0070434000, 0.0178901000, 0.0426962000, 0.1028697000, 0.2569314000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0014528000, 0.0033511000, 0.0064773000, 0.0078175000, -0.004534600, -0.057009500, -0.208545300", \ - "0.0014540000, 0.0033531000, 0.0064821000, 0.0078248000, -0.004521100, -0.056979300, -0.208619600", \ - "0.0014474000, 0.0033358000, 0.0064513000, 0.0077669000, -0.004618800, -0.057074800, -0.208654800", \ - "0.0014312000, 0.0032931000, 0.0063509000, 0.0075822000, -0.004865400, -0.057359600, -0.208955900", \ - "0.0014002000, 0.0032226000, 0.0062051000, 0.0073234000, -0.005258500, -0.057839200, -0.209468800", \ - "0.0013754000, 0.0031436000, 0.0060483000, 0.0070351000, -0.005664900, -0.058354100, -0.210077100", \ - "0.0015281000, 0.0034893000, 0.0065998000, 0.0075416000, -0.005489700, -0.058566000, -0.210246900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0011006000, 0.0028389000, 0.0072560000, 0.0179565000, 0.0426913000, 0.1030177000, 0.2573601000", \ - "0.0010971000, 0.0028275000, 0.0072503000, 0.0179174000, 0.0426839000, 0.1028591000, 0.2582220000", \ - "0.0010821000, 0.0027940000, 0.0071732000, 0.0177752000, 0.0424678000, 0.1026770000, 0.2568906000", \ - "0.0010635000, 0.0027512000, 0.0070692000, 0.0176140000, 0.0422185000, 0.1021665000, 0.2564188000", \ - "0.0010420000, 0.0026966000, 0.0069498000, 0.0173973000, 0.0418901000, 0.1018641000, 0.2559707000", \ - "0.0010366000, 0.0026841000, 0.0069418000, 0.0173623000, 0.0418688000, 0.1019309000, 0.2559980000", \ - "0.0010254000, 0.0026300000, 0.0068991000, 0.0175240000, 0.0420932000, 0.1022932000, 0.2574805000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0015985000, 0.0036974000, 0.0072274000, 0.0091652000, -0.002584400, -0.054664100, -0.206067500", \ - "0.0015996000, 0.0036975000, 0.0072272000, 0.0091811000, -0.002589200, -0.054660900, -0.206051100", \ - "0.0015959000, 0.0036894000, 0.0072092000, 0.0091325000, -0.002626900, -0.054715900, -0.206125200", \ - "0.0015807000, 0.0036544000, 0.0071354000, 0.0090004000, -0.002830600, -0.054956400, -0.206391500", \ - "0.0015523000, 0.0035881000, 0.0069975000, 0.0087369000, -0.003212400, -0.055405500, -0.206915000", \ - "0.0015414000, 0.0035270000, 0.0068730000, 0.0085784000, -0.003420800, -0.055659500, -0.207184700", \ - "0.0016980000, 0.0039058000, 0.0074855000, 0.0091529000, -0.003177300, -0.055600000, -0.206959300"); - } - related_pin : "CIN"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0009756000, 0.0025377000, 0.0066133000, 0.0167295000, 0.0410035000, 0.1008994000, 0.2549404000", \ - "0.0009684000, 0.0025228000, 0.0065583000, 0.0166702000, 0.0408759000, 0.1005473000, 0.2547157000", \ - "0.0009586000, 0.0024968000, 0.0065084000, 0.0165658000, 0.0407175000, 0.1004098000, 0.2544211000", \ - "0.0009455000, 0.0024613000, 0.0064315000, 0.0164391000, 0.0405876000, 0.1001771000, 0.2543109000", \ - "0.0009245000, 0.0024148000, 0.0063454000, 0.0162681000, 0.0402857000, 0.1000145000, 0.2540709000", \ - "0.0009144000, 0.0023816000, 0.0062790000, 0.0161631000, 0.0401377000, 0.0997943000, 0.2537876000", \ - "0.0009084000, 0.0023398000, 0.0061973000, 0.0162309000, 0.0403531000, 0.1000601000, 0.2539499000"); - } - } - max_capacitance : 0.1556500000; - max_transition : 1.4982920000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.4260766000, 0.4385881000, 0.4626894000, 0.5068111000, 0.5857918000, 0.7357021000, 1.0678344000", \ - "0.4274389000, 0.4399629000, 0.4641553000, 0.5084228000, 0.5872512000, 0.7376459000, 1.0695572000", \ - "0.4356284000, 0.4476548000, 0.4720219000, 0.5162831000, 0.5947480000, 0.7453371000, 1.0771845000", \ - "0.4574336000, 0.4695214000, 0.4941028000, 0.5382301000, 0.6170983000, 0.7671914000, 1.0996366000", \ - "0.5103359000, 0.5228596000, 0.5469203000, 0.5906575000, 0.6698886000, 0.8200043000, 1.1520143000", \ - "0.6282224000, 0.6402899000, 0.6644031000, 0.7087517000, 0.7876481000, 0.9379387000, 1.2700058000", \ - "0.8524262000, 0.8658340000, 0.8919628000, 0.9397484000, 1.0235957000, 1.1787697000, 1.5138910000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1221775000, 0.1308186000, 0.1496339000, 0.1901795000, 0.2854948000, 0.5307468000, 1.1682932000", \ - "0.1264587000, 0.1350466000, 0.1537535000, 0.1943145000, 0.2899631000, 0.5341560000, 1.1739663000", \ - "0.1345994000, 0.1431260000, 0.1618694000, 0.2024200000, 0.2981427000, 0.5426224000, 1.1823346000", \ - "0.1514510000, 0.1600310000, 0.1787370000, 0.2192601000, 0.3148873000, 0.5603688000, 1.1978702000", \ - "0.1835206000, 0.1925265000, 0.2118626000, 0.2531297000, 0.3494354000, 0.5945097000, 1.2336399000", \ - "0.2317103000, 0.2418505000, 0.2626210000, 0.3056597000, 0.4031679000, 0.6490932000, 1.2872057000", \ - "0.2773318000, 0.2901435000, 0.3151719000, 0.3629662000, 0.4623378000, 0.7086414000, 1.3466283000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0579993000, 0.0652021000, 0.0796000000, 0.1093114000, 0.1734488000, 0.3224609000, 0.7246836000", \ - "0.0576257000, 0.0657441000, 0.0800773000, 0.1089979000, 0.1735305000, 0.3213520000, 0.7248199000", \ - "0.0572543000, 0.0644770000, 0.0793523000, 0.1088570000, 0.1736220000, 0.3220671000, 0.7255640000", \ - "0.0576269000, 0.0652840000, 0.0803344000, 0.1090586000, 0.1735560000, 0.3224222000, 0.7247937000", \ - "0.0572582000, 0.0650185000, 0.0797012000, 0.1101728000, 0.1728671000, 0.3220387000, 0.7255438000", \ - "0.0571148000, 0.0654420000, 0.0794557000, 0.1093367000, 0.1712910000, 0.3207950000, 0.7243538000", \ - "0.0667457000, 0.0750635000, 0.0903055000, 0.1199072000, 0.1827102000, 0.3296688000, 0.7298842000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0309098000, 0.0384883000, 0.0571857000, 0.1053659000, 0.2355865000, 0.5856517000, 1.4957366000", \ - "0.0307674000, 0.0384986000, 0.0572223000, 0.1053971000, 0.2355605000, 0.5845061000, 1.4980217000", \ - "0.0308027000, 0.0386566000, 0.0571722000, 0.1052370000, 0.2353642000, 0.5846062000, 1.4982924000", \ - "0.0307870000, 0.0383953000, 0.0571698000, 0.1051790000, 0.2355400000, 0.5856699000, 1.4954206000", \ - "0.0328277000, 0.0406458000, 0.0593248000, 0.1068687000, 0.2360193000, 0.5845626000, 1.4972266000", \ - "0.0377859000, 0.0454871000, 0.0642930000, 0.1103018000, 0.2381854000, 0.5846933000, 1.4953137000", \ - "0.0499442000, 0.0584589000, 0.0778584000, 0.1203680000, 0.2419422000, 0.5869740000, 1.4907092000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.3408776000, 0.3504909000, 0.3701108000, 0.4064606000, 0.4738336000, 0.6109917000, 0.9353631000", \ - "0.3454084000, 0.3551826000, 0.3746397000, 0.4112193000, 0.4785166000, 0.6155110000, 0.9398787000", \ - "0.3550571000, 0.3646208000, 0.3842603000, 0.4206079000, 0.4879652000, 0.6251503000, 0.9495335000", \ - "0.3749890000, 0.3846279000, 0.4041576000, 0.4405277000, 0.5079648000, 0.6450096000, 0.9696794000", \ - "0.4157815000, 0.4254491000, 0.4449242000, 0.4814745000, 0.5487637000, 0.6857647000, 1.0100160000", \ - "0.4826360000, 0.4924416000, 0.5117456000, 0.5477890000, 0.6147857000, 0.7520508000, 1.0764661000", \ - "0.5633679000, 0.5732450000, 0.5926901000, 0.6290602000, 0.6963418000, 0.8329208000, 1.1565812000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.4949451000, 0.5045389000, 0.5243310000, 0.5658496000, 0.6627745000, 0.9090774000, 1.5467657000", \ - "0.4971982000, 0.5067720000, 0.5264827000, 0.5679721000, 0.6648145000, 0.9110953000, 1.5495346000", \ - "0.5042330000, 0.5142295000, 0.5340687000, 0.5755665000, 0.6724183000, 0.9185895000, 1.5571706000", \ - "0.5256357000, 0.5352403000, 0.5550478000, 0.5965840000, 0.6934743000, 0.9398033000, 1.5773728000", \ - "0.5817865000, 0.5914179000, 0.6113557000, 0.6527585000, 0.7495461000, 0.9959644000, 1.6352842000", \ - "0.7185449000, 0.7281693000, 0.7479996000, 0.7895310000, 0.8864389000, 1.1327606000, 1.7701367000", \ - "0.9918300000, 1.0019717000, 1.0225293000, 1.0643195000, 1.1609316000, 1.4071077000, 2.0451187000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0411380000, 0.0472344000, 0.0606754000, 0.0869359000, 0.1461428000, 0.2965520000, 0.7131840000", \ - "0.0419228000, 0.0479797000, 0.0598347000, 0.0863261000, 0.1463380000, 0.2969207000, 0.7128352000", \ - "0.0415182000, 0.0482507000, 0.0602875000, 0.0867925000, 0.1461464000, 0.2964683000, 0.7129447000", \ - "0.0410172000, 0.0473043000, 0.0597182000, 0.0860409000, 0.1457439000, 0.2967233000, 0.7163169000", \ - "0.0419035000, 0.0481270000, 0.0598438000, 0.0863025000, 0.1461685000, 0.2968848000, 0.7130864000", \ - "0.0414800000, 0.0475029000, 0.0596537000, 0.0859966000, 0.1458125000, 0.2964193000, 0.7129460000", \ - "0.0418021000, 0.0477345000, 0.0599564000, 0.0861207000, 0.1460199000, 0.2958637000, 0.7064188000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0367750000, 0.0435675000, 0.0605150000, 0.1065177000, 0.2356558000, 0.5828709000, 1.4915362000", \ - "0.0364928000, 0.0435320000, 0.0604902000, 0.1064682000, 0.2354211000, 0.5827996000, 1.4934298000", \ - "0.0370197000, 0.0436626000, 0.0603856000, 0.1064057000, 0.2359326000, 0.5829360000, 1.4914125000", \ - "0.0369011000, 0.0436102000, 0.0604254000, 0.1064455000, 0.2356486000, 0.5827548000, 1.4936936000", \ - "0.0368641000, 0.0436940000, 0.0605774000, 0.1064795000, 0.2358460000, 0.5828125000, 1.4913375000", \ - "0.0369718000, 0.0436879000, 0.0606201000, 0.1065803000, 0.2355062000, 0.5827624000, 1.4938905000", \ - "0.0396878000, 0.0465785000, 0.0627424000, 0.1076927000, 0.2360350000, 0.5824933000, 1.4936904000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.4037395000, 0.4162677000, 0.4405226000, 0.4849551000, 0.5642249000, 0.7148142000, 1.0476475000", \ - "0.4065496000, 0.4186395000, 0.4431203000, 0.4874582000, 0.5662537000, 0.7174592000, 1.0501494000", \ - "0.4151356000, 0.4277415000, 0.4519937000, 0.4963084000, 0.5755044000, 0.7262411000, 1.0593568000", \ - "0.4387521000, 0.4513639000, 0.4756213000, 0.5198879000, 0.5991743000, 0.7498865000, 1.0830379000", \ - "0.4967015000, 0.5092046000, 0.5335352000, 0.5774983000, 0.6568416000, 0.8079836000, 1.1411371000", \ - "0.6332702000, 0.6463156000, 0.6707193000, 0.7151675000, 0.7947076000, 0.9460427000, 1.2792258000", \ - "0.9012590000, 0.9143790000, 0.9420930000, 0.9905210000, 1.0768137000, 1.2329623000, 1.5693166000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1154437000, 0.1241130000, 0.1429421000, 0.1835638000, 0.2793429000, 0.5253410000, 1.1636694000", \ - "0.1196064000, 0.1282048000, 0.1469378000, 0.1875850000, 0.2834607000, 0.5293066000, 1.1671696000", \ - "0.1284737000, 0.1371475000, 0.1559652000, 0.1965735000, 0.2924544000, 0.5385347000, 1.1755483000", \ - "0.1490250000, 0.1576565000, 0.1763478000, 0.2169798000, 0.3130269000, 0.5591164000, 1.1964797000", \ - "0.1889593000, 0.1980355000, 0.2173279000, 0.2584941000, 0.3549198000, 0.6012563000, 1.2391046000", \ - "0.2446483000, 0.2547243000, 0.2758354000, 0.3185482000, 0.4156422000, 0.6621320000, 1.3004516000", \ - "0.2958694000, 0.3089132000, 0.3348666000, 0.3817005000, 0.4808116000, 0.7272908000, 1.3654592000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0578207000, 0.0652368000, 0.0800962000, 0.1110080000, 0.1719996000, 0.3219497000, 0.7252840000", \ - "0.0578665000, 0.0648610000, 0.0798136000, 0.1093414000, 0.1741430000, 0.3228007000, 0.7265550000", \ - "0.0580315000, 0.0655418000, 0.0808224000, 0.1095259000, 0.1741812000, 0.3231507000, 0.7256385000", \ - "0.0580368000, 0.0655437000, 0.0809424000, 0.1097330000, 0.1741251000, 0.3232137000, 0.7255771000", \ - "0.0577545000, 0.0652185000, 0.0801605000, 0.1103973000, 0.1725982000, 0.3229652000, 0.7262018000", \ - "0.0580735000, 0.0651139000, 0.0801525000, 0.1098911000, 0.1739027000, 0.3232380000, 0.7257106000", \ - "0.0698295000, 0.0785155000, 0.0928779000, 0.1232753000, 0.1860820000, 0.3321915000, 0.7303588000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0309818000, 0.0385275000, 0.0572819000, 0.1055653000, 0.2358698000, 0.5859920000, 1.4961911000", \ - "0.0308252000, 0.0385496000, 0.0572942000, 0.1054605000, 0.2362020000, 0.5849886000, 1.4943035000", \ - "0.0309320000, 0.0385043000, 0.0573106000, 0.1055852000, 0.2361621000, 0.5857018000, 1.4929897000", \ - "0.0307424000, 0.0385372000, 0.0571956000, 0.1055952000, 0.2361786000, 0.5851104000, 1.4927450000", \ - "0.0328190000, 0.0407116000, 0.0594423000, 0.1068651000, 0.2364818000, 0.5858881000, 1.4950428000", \ - "0.0396623000, 0.0469371000, 0.0647647000, 0.1107043000, 0.2377473000, 0.5855903000, 1.4944881000", \ - "0.0529001000, 0.0610516000, 0.0798450000, 0.1218246000, 0.2415484000, 0.5878333000, 1.4942707000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.3668359000, 0.3766910000, 0.3966321000, 0.4335831000, 0.5013459000, 0.6392130000, 0.9641519000", \ - "0.3727065000, 0.3825719000, 0.4025169000, 0.4394805000, 0.5073167000, 0.6451964000, 0.9702454000", \ - "0.3826718000, 0.3927361000, 0.4125088000, 0.4495753000, 0.5176362000, 0.6552143000, 0.9804070000", \ - "0.4003996000, 0.4101628000, 0.4301141000, 0.4669435000, 0.5351128000, 0.6727572000, 0.9974803000", \ - "0.4368400000, 0.4466798000, 0.4666113000, 0.5034948000, 0.5716985000, 0.7093472000, 1.0345590000", \ - "0.5016179000, 0.5112695000, 0.5309542000, 0.5673626000, 0.6349986000, 0.7724967000, 1.0977106000", \ - "0.5796710000, 0.5893135000, 0.6089827000, 0.6454270000, 0.7128231000, 0.8499672000, 1.1744781000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.4635034000, 0.4730468000, 0.4927908000, 0.5342896000, 0.6312098000, 0.8775071000, 1.5149026000", \ - "0.4661046000, 0.4757375000, 0.4955135000, 0.5369918000, 0.6337970000, 0.8802141000, 1.5194728000", \ - "0.4741349000, 0.4837251000, 0.5035187000, 0.5449641000, 0.6418102000, 0.8882066000, 1.5262593000", \ - "0.4967671000, 0.5063196000, 0.5261532000, 0.5675485000, 0.6643494000, 0.9103427000, 1.5496007000", \ - "0.5605704000, 0.5700959000, 0.5898527000, 0.6312866000, 0.7281290000, 0.9742976000, 1.6123821000", \ - "0.7194076000, 0.7289869000, 0.7488249000, 0.7902799000, 0.8871389000, 1.1335486000, 1.7719477000", \ - "1.0443857000, 1.0546627000, 1.0751322000, 1.1171715000, 1.2141002000, 1.4604863000, 2.0994142000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0427437000, 0.0491005000, 0.0614491000, 0.0875189000, 0.1472788000, 0.2976785000, 0.7170884000", \ - "0.0427721000, 0.0491385000, 0.0614840000, 0.0875965000, 0.1472568000, 0.2976345000, 0.7125214000", \ - "0.0430603000, 0.0495769000, 0.0617752000, 0.0879417000, 0.1476107000, 0.2974743000, 0.7167725000", \ - "0.0426617000, 0.0489352000, 0.0624440000, 0.0887214000, 0.1475418000, 0.2976548000, 0.7138130000", \ - "0.0428765000, 0.0492113000, 0.0615597000, 0.0879863000, 0.1474001000, 0.2976482000, 0.7181881000", \ - "0.0425508000, 0.0482936000, 0.0605213000, 0.0871174000, 0.1464887000, 0.2972045000, 0.7170650000", \ - "0.0416653000, 0.0478448000, 0.0607617000, 0.0870362000, 0.1463698000, 0.2965910000, 0.7083781000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0362443000, 0.0432253000, 0.0603522000, 0.1064466000, 0.2357075000, 0.5828175000, 1.4938079000", \ - "0.0361090000, 0.0429489000, 0.0603917000, 0.1064116000, 0.2358689000, 0.5828498000, 1.4915229000", \ - "0.0360070000, 0.0432863000, 0.0603637000, 0.1064545000, 0.2356721000, 0.5814041000, 1.4941386000", \ - "0.0363943000, 0.0434024000, 0.0603423000, 0.1065318000, 0.2356657000, 0.5819122000, 1.4902076000", \ - "0.0362603000, 0.0432803000, 0.0602270000, 0.1064500000, 0.2359717000, 0.5830131000, 1.4928347000", \ - "0.0361125000, 0.0429853000, 0.0603009000, 0.1064832000, 0.2357304000, 0.5813819000, 1.4940309000", \ - "0.0394939000, 0.0461023000, 0.0629609000, 0.1081180000, 0.2356292000, 0.5816274000, 1.4911645000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.3939992000, 0.4062507000, 0.4302815000, 0.4746060000, 0.5535460000, 0.7044309000, 1.0369295000", \ - "0.3934876000, 0.4055179000, 0.4300529000, 0.4742012000, 0.5528713000, 0.7036064000, 1.0361825000", \ - "0.3960144000, 0.4080740000, 0.4321834000, 0.4765206000, 0.5556465000, 0.7054610000, 1.0380853000", \ - "0.4135781000, 0.4261971000, 0.4501519000, 0.4944566000, 0.5734225000, 0.7235891000, 1.0562291000", \ - "0.4730284000, 0.4851157000, 0.5093531000, 0.5538178000, 0.6325000000, 0.7831801000, 1.1154672000", \ - "0.6239024000, 0.6358322000, 0.6599224000, 0.7040091000, 0.7827853000, 0.9336391000, 1.2661059000", \ - "0.9335710000, 0.9476349000, 0.9752044000, 1.0240989000, 1.1069311000, 1.2612852000, 1.5957125000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1128877000, 0.1215451000, 0.1403321000, 0.1809772000, 0.2768346000, 0.5230038000, 1.1613235000", \ - "0.1171609000, 0.1257495000, 0.1444369000, 0.1851121000, 0.2812924000, 0.5262998000, 1.1667354000", \ - "0.1266839000, 0.1353822000, 0.1541125000, 0.1947944000, 0.2907047000, 0.5367763000, 1.1751112000", \ - "0.1491306000, 0.1577542000, 0.1765121000, 0.2171404000, 0.3133527000, 0.5595933000, 1.1998195000", \ - "0.1924346000, 0.2013168000, 0.2201066000, 0.2619333000, 0.3582863000, 0.6055835000, 1.2427409000", \ - "0.2461096000, 0.2564647000, 0.2766480000, 0.3190994000, 0.4159651000, 0.6627163000, 1.3013793000", \ - "0.2884734000, 0.3015638000, 0.3268688000, 0.3737120000, 0.4716735000, 0.7167500000, 1.3558767000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0578419000, 0.0654323000, 0.0798928000, 0.1088125000, 0.1736223000, 0.3214416000, 0.7251518000", \ - "0.0574733000, 0.0646191000, 0.0807114000, 0.1088269000, 0.1729833000, 0.3219505000, 0.7255102000", \ - "0.0577863000, 0.0649663000, 0.0796550000, 0.1104144000, 0.1732975000, 0.3221521000, 0.7245383000", \ - "0.0575889000, 0.0655192000, 0.0797809000, 0.1104807000, 0.1735725000, 0.3223620000, 0.7232079000", \ - "0.0572681000, 0.0643800000, 0.0792915000, 0.1099004000, 0.1726653000, 0.3218170000, 0.7253194000", \ - "0.0577861000, 0.0650296000, 0.0795678000, 0.1097666000, 0.1738256000, 0.3215050000, 0.7249724000", \ - "0.0735341000, 0.0813543000, 0.0963581000, 0.1231871000, 0.1839058000, 0.3293550000, 0.7275407000"); - } - related_pin : "CIN"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0306379000, 0.0384719000, 0.0572561000, 0.1052780000, 0.2357123000, 0.5858437000, 1.4962575000", \ - "0.0307961000, 0.0384991000, 0.0572892000, 0.1054466000, 0.2353924000, 0.5843075000, 1.4982323000", \ - "0.0307787000, 0.0385839000, 0.0573344000, 0.1051828000, 0.2356055000, 0.5857458000, 1.4962880000", \ - "0.0307907000, 0.0383171000, 0.0570948000, 0.1052461000, 0.2359869000, 0.5861319000, 1.4952152000", \ - "0.0328155000, 0.0404543000, 0.0593715000, 0.1067546000, 0.2362968000, 0.5851705000, 1.4976244000", \ - "0.0401729000, 0.0473471000, 0.0650280000, 0.1098636000, 0.2383473000, 0.5855049000, 1.4963988000", \ - "0.0547590000, 0.0628108000, 0.0815765000, 0.1210271000, 0.2417789000, 0.5877419000, 1.4942778000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.3617001000, 0.3715489000, 0.3914981000, 0.4284796000, 0.4963165000, 0.6342173000, 0.9592738000", \ - "0.3662484000, 0.3760692000, 0.3960051000, 0.4329966000, 0.5010570000, 0.6387623000, 0.9637671000", \ - "0.3763414000, 0.3861613000, 0.4060850000, 0.4430730000, 0.5108869000, 0.6488234000, 0.9738595000", \ - "0.3966774000, 0.4065087000, 0.4264237000, 0.4633361000, 0.5312186000, 0.6690666000, 0.9941845000", \ - "0.4395410000, 0.4496542000, 0.4694605000, 0.5064216000, 0.5744658000, 0.7120484000, 1.0371483000", \ - "0.5012490000, 0.5110659000, 0.5309702000, 0.5680121000, 0.6366702000, 0.7749615000, 1.1003001000", \ - "0.5624646000, 0.5720430000, 0.5915879000, 0.6282136000, 0.6963843000, 0.8349839000, 1.1632443000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.4423545000, 0.4508139000, 0.4692058000, 0.5090147000, 0.6055059000, 0.8520150000, 1.4903208000", \ - "0.4456424000, 0.4541693000, 0.4724431000, 0.5125362000, 0.6089892000, 0.8550146000, 1.4942342000", \ - "0.4535651000, 0.4620501000, 0.4804681000, 0.5206973000, 0.6169887000, 0.8633073000, 1.5013265000", \ - "0.4783702000, 0.4869958000, 0.5052769000, 0.5454869000, 0.6418323000, 0.8874970000, 1.5268045000", \ - "0.5433909000, 0.5520443000, 0.5704460000, 0.6103322000, 0.7068708000, 0.9532083000, 1.5907509000", \ - "0.7022589000, 0.7105795000, 0.7290757000, 0.7691603000, 0.8656417000, 1.1119662000, 1.7500323000", \ - "1.0189425000, 1.0278033000, 1.0469966000, 1.0872392000, 1.1833103000, 1.4299690000, 2.0690993000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0428382000, 0.0492033000, 0.0615534000, 0.0876431000, 0.1473950000, 0.2977930000, 0.7179623000", \ - "0.0429785000, 0.0492326000, 0.0615879000, 0.0889239000, 0.1473817000, 0.2980307000, 0.7163240000", \ - "0.0429504000, 0.0492259000, 0.0615816000, 0.0876652000, 0.1474623000, 0.2979482000, 0.7172886000", \ - "0.0428586000, 0.0492207000, 0.0615706000, 0.0877142000, 0.1472463000, 0.2976886000, 0.7181271000", \ - "0.0435149000, 0.0494456000, 0.0617491000, 0.0880709000, 0.1477309000, 0.2976686000, 0.7132864000", \ - "0.0419182000, 0.0484868000, 0.0614918000, 0.0890657000, 0.1483986000, 0.2981220000, 0.7144145000", \ - "0.0412454000, 0.0470259000, 0.0600064000, 0.0870001000, 0.1478272000, 0.3000520000, 0.7145208000"); - } - related_pin : "CIN"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0322897000, 0.0393496000, 0.0568906000, 0.1043773000, 0.2350898000, 0.5820044000, 1.4941504000", \ - "0.0321492000, 0.0392845000, 0.0571171000, 0.1043606000, 0.2355838000, 0.5825964000, 1.4898578000", \ - "0.0322196000, 0.0391513000, 0.0571637000, 0.1042591000, 0.2356743000, 0.5832093000, 1.4935877000", \ - "0.0320832000, 0.0392291000, 0.0569203000, 0.1042738000, 0.2355166000, 0.5824426000, 1.4897666000", \ - "0.0323428000, 0.0394851000, 0.0568264000, 0.1042177000, 0.2355526000, 0.5831135000, 1.4941209000", \ - "0.0322807000, 0.0395848000, 0.0571566000, 0.1044567000, 0.2357277000, 0.5832235000, 1.4935695000", \ - "0.0352902000, 0.0422961000, 0.0592910000, 0.1054753000, 0.2352206000, 0.5830439000, 1.4909113000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__fa_2") { - leakage_power () { - value : 0.0064822000; - when : "!A&!B&CIN"; - } - leakage_power () { - value : 0.0097044000; - when : "!A&!B&!CIN"; - } - leakage_power () { - value : 0.0063809000; - when : "!A&B&CIN"; - } - leakage_power () { - value : 0.0065570000; - when : "!A&B&!CIN"; - } - leakage_power () { - value : 0.0063478000; - when : "A&!B&CIN"; - } - leakage_power () { - value : 0.0067062000; - when : "A&!B&!CIN"; - } - leakage_power () { - value : 0.0029148000; - when : "A&B&CIN"; - } - leakage_power () { - value : 0.0067163000; - when : "A&B&!CIN"; - } - area : 22.521600000; - cell_footprint : "sky130_fd_sc_hd__fa"; - cell_leakage_power : 0.0064761880; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0077040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0074450000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0079620000; - } - pin ("B") { - capacitance : 0.0069540000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0067320000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0071760000; - } - pin ("CIN") { - capacitance : 0.0050900000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0050200000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0051590000; - } - pin ("COUT") { - direction : "output"; - function : "(A&B) | (A&CIN) | (B&CIN)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014463750, 0.0041840030, 0.0121032800, 0.0350117600, 0.1012803000, 0.2929786000"); - values("0.0028483000, 0.0074906000, 0.0168336000, 0.0262464000, 0.0114966000, -0.081535400, -0.385852400", \ - "0.0028424000, 0.0074585000, 0.0167853000, 0.0261922000, 0.0113760000, -0.081631100, -0.385983700", \ - "0.0028245000, 0.0074207000, 0.0166945000, 0.0260037000, 0.0110855000, -0.081948800, -0.386274900", \ - "0.0028108000, 0.0073729000, 0.0165636000, 0.0258112000, 0.0108874000, -0.082246100, -0.386596400", \ - "0.0027907000, 0.0073340000, 0.0164589000, 0.0255734000, 0.0104007000, -0.082723700, -0.387024900", \ - "0.0027845000, 0.0073047000, 0.0163842000, 0.0254924000, 0.0102571000, -0.082790700, -0.387069900", \ - "0.0028071000, 0.0073676000, 0.0164640000, 0.0257279000, 0.0103347000, -0.082622500, -0.386973600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014463750, 0.0041840030, 0.0121032800, 0.0350117600, 0.1012803000, 0.2929786000"); - values("0.0001951000, 0.0006932000, 0.0028263000, 0.0120467000, 0.0469756000, 0.1514299000, 0.4577216000", \ - "0.0001927000, 0.0006868000, 0.0028267000, 0.0119479000, 0.0469151000, 0.1514157000, 0.4567347000", \ - "0.0001863000, 0.0006664000, 0.0027529000, 0.0118632000, 0.0467811000, 0.1512727000, 0.4551846000", \ - "0.0001713000, 0.0006331000, 0.0026716000, 0.0117773000, 0.0465882000, 0.1511368000, 0.4578002000", \ - "0.0001633000, 0.0006010000, 0.0026100000, 0.0114800000, 0.0461614000, 0.1505830000, 0.4567747000", \ - "0.0001448000, 0.0005503000, 0.0024508000, 0.0112169000, 0.0456600000, 0.1501459000, 0.4577932000", \ - "0.0002596000, 0.0008663000, 0.0031903000, 0.0125958000, 0.0461986000, 0.1501591000, 0.4557986000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014463750, 0.0041840030, 0.0121032800, 0.0350117600, 0.1012803000, 0.2929786000"); - values("0.0027820000, 0.0073030000, 0.0163822000, 0.0254377000, 0.0102499000, -0.082818000, -0.387220700", \ - "0.0027774000, 0.0072894000, 0.0163462000, 0.0253748000, 0.0102056000, -0.082892300, -0.387076000", \ - "0.0027728000, 0.0072791000, 0.0163271000, 0.0253295000, 0.0100834000, -0.082995400, -0.387271400", \ - "0.0027591000, 0.0072429000, 0.0162221000, 0.0251197000, 0.0098169000, -0.083310200, -0.387533200", \ - "0.0027375000, 0.0071808000, 0.0160791000, 0.0248431000, 0.0093964000, -0.083695300, -0.387991300", \ - "0.0027364000, 0.0071766000, 0.0160730000, 0.0250668000, 0.0095978000, -0.083600900, -0.387858600", \ - "0.0028051000, 0.0073384000, 0.0163933000, 0.0252323000, 0.0095901000, -0.083467600, -0.387744000"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014463750, 0.0041840030, 0.0121032800, 0.0350117600, 0.1012803000, 0.2929786000"); - values("0.0001737000, 0.0006313000, 0.0026838000, 0.0117662000, 0.0467517000, 0.1512498000, 0.4580320000", \ - "0.0001752000, 0.0006343000, 0.0026972000, 0.0117962000, 0.0467186000, 0.1512116000, 0.4578669000", \ - "0.0001693000, 0.0006215000, 0.0026649000, 0.0117579000, 0.0466543000, 0.1511701000, 0.4567726000", \ - "0.0001565000, 0.0005907000, 0.0025867000, 0.0115629000, 0.0463540000, 0.1509209000, 0.4559671000", \ - "0.0001444000, 0.0005539000, 0.0024904000, 0.0113327000, 0.0459644000, 0.1504668000, 0.4562359000", \ - "0.0001297000, 0.0005053000, 0.0023671000, 0.0110559000, 0.0455872000, 0.1499946000, 0.4566532000", \ - "0.0002417000, 0.0008000000, 0.0030377000, 0.0125073000, 0.0461315000, 0.1500958000, 0.4550545000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014463750, 0.0041840030, 0.0121032800, 0.0350117600, 0.1012803000, 0.2929786000"); - values("0.0026526000, 0.0069503000, 0.0155398000, 0.0238826000, 0.0082017000, -0.084834900, -0.389038800", \ - "0.0026528000, 0.0069591000, 0.0155705000, 0.0238978000, 0.0081835000, -0.084845900, -0.389026600", \ - "0.0026456000, 0.0069408000, 0.0155085000, 0.0237881000, 0.0080126000, -0.085035300, -0.389208900", \ - "0.0026296000, 0.0068951000, 0.0154013000, 0.0236669000, 0.0078562000, -0.085216300, -0.389427400", \ - "0.0026158000, 0.0068488000, 0.0153274000, 0.0233985000, 0.0074734000, -0.085672000, -0.389841300", \ - "0.0026126000, 0.0068464000, 0.0152665000, 0.0232804000, 0.0072283000, -0.086023400, -0.390112200", \ - "0.0026869000, 0.0070315000, 0.0156488000, 0.0237733000, 0.0074648000, -0.085824400, -0.389934300"); - } - related_pin : "CIN"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014463750, 0.0041840030, 0.0121032800, 0.0350117600, 0.1012803000, 0.2929786000"); - values("0.0003334000, 0.0010651000, 0.0037299000, 0.0138095000, 0.0497298000, 0.1550502000, 0.4602415000", \ - "0.0003340000, 0.0010644000, 0.0037347000, 0.0138314000, 0.0497470000, 0.1549094000, 0.4605387000", \ - "0.0003329000, 0.0010628000, 0.0037332000, 0.0137723000, 0.0496742000, 0.1549550000, 0.4607699000", \ - "0.0003240000, 0.0010403000, 0.0036712000, 0.0136522000, 0.0495229000, 0.1546241000, 0.4617112000", \ - "0.0003163000, 0.0010199000, 0.0035934000, 0.0134352000, 0.0491001000, 0.1541469000, 0.4599515000", \ - "0.0003107000, 0.0009956000, 0.0035093000, 0.0132793000, 0.0486797000, 0.1537073000, 0.4597138000", \ - "0.0004328000, 0.0013135000, 0.0042433000, 0.0145836000, 0.0492084000, 0.1537097000, 0.4603890000"); - } - } - max_capacitance : 0.2929790000; - max_transition : 1.4973290000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.3338938000, 0.3429049000, 0.3627202000, 0.4013205000, 0.4725109000, 0.6138429000, 0.9439642000", \ - "0.3377826000, 0.3465959000, 0.3666735000, 0.4053072000, 0.4765040000, 0.6178393000, 0.9479770000", \ - "0.3480542000, 0.3571276000, 0.3770081000, 0.4157131000, 0.4870516000, 0.6283816000, 0.9586995000", \ - "0.3717982000, 0.3806268000, 0.4007410000, 0.4394153000, 0.5103103000, 0.6518042000, 0.9820532000", \ - "0.4299085000, 0.4389289000, 0.4587483000, 0.4972407000, 0.5684105000, 0.7099480000, 1.0403356000", \ - "0.5686161000, 0.5776459000, 0.5976493000, 0.6360275000, 0.7072440000, 0.8490190000, 1.1793824000", \ - "0.8272393000, 0.8376251000, 0.8609810000, 0.9063256000, 0.9853188000, 1.1352573000, 1.4699265000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.1513365000, 0.1586499000, 0.1756522000, 0.2125240000, 0.2991948000, 0.5354896000, 1.2112424000", \ - "0.1559275000, 0.1633366000, 0.1801656000, 0.2168930000, 0.3036458000, 0.5399883000, 1.2156440000", \ - "0.1654800000, 0.1728396000, 0.1896690000, 0.2265472000, 0.3132648000, 0.5496328000, 1.2250390000", \ - "0.1866102000, 0.1939883000, 0.2109023000, 0.2477673000, 0.3343903000, 0.5708148000, 1.2460695000", \ - "0.2323118000, 0.2398361000, 0.2569835000, 0.2940848000, 0.3807478000, 0.6172940000, 1.2932569000", \ - "0.3062651000, 0.3150586000, 0.3344018000, 0.3741544000, 0.4634115000, 0.7011710000, 1.3763952000", \ - "0.3967819000, 0.4077975000, 0.4323323000, 0.4785562000, 0.5724121000, 0.8109797000, 1.4885895000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.0478259000, 0.0533904000, 0.0651551000, 0.0894783000, 0.1430685000, 0.2806954000, 0.6861873000", \ - "0.0477631000, 0.0532638000, 0.0649254000, 0.0893048000, 0.1430858000, 0.2806923000, 0.6860201000", \ - "0.0477306000, 0.0532161000, 0.0649225000, 0.0894263000, 0.1429331000, 0.2812970000, 0.6844339000", \ - "0.0478814000, 0.0528720000, 0.0649294000, 0.0893255000, 0.1434284000, 0.2810343000, 0.6867242000", \ - "0.0477095000, 0.0530753000, 0.0650155000, 0.0897001000, 0.1430030000, 0.2808896000, 0.6864733000", \ - "0.0494187000, 0.0544662000, 0.0659576000, 0.0910164000, 0.1439236000, 0.2809732000, 0.6861919000", \ - "0.0622199000, 0.0675071000, 0.0803599000, 0.1068851000, 0.1601786000, 0.2940896000, 0.6907690000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.0293723000, 0.0353549000, 0.0495087000, 0.0864927000, 0.1958758000, 0.5286399000, 1.4920207000", \ - "0.0293148000, 0.0351029000, 0.0492566000, 0.0865307000, 0.1959025000, 0.5274793000, 1.4937559000", \ - "0.0295071000, 0.0351888000, 0.0495431000, 0.0863866000, 0.1958990000, 0.5284193000, 1.4907302000", \ - "0.0294664000, 0.0352173000, 0.0491414000, 0.0865536000, 0.1958137000, 0.5292460000, 1.4921091000", \ - "0.0308761000, 0.0363284000, 0.0502127000, 0.0871454000, 0.1959884000, 0.5282151000, 1.4915252000", \ - "0.0371414000, 0.0426279000, 0.0572897000, 0.0935160000, 0.2002033000, 0.5306341000, 1.4947490000", \ - "0.0504486000, 0.0570036000, 0.0728635000, 0.1083345000, 0.2078454000, 0.5320654000, 1.4945923000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.3065588000, 0.3155986000, 0.3355458000, 0.3740872000, 0.4454030000, 0.5869809000, 0.9174744000", \ - "0.3104574000, 0.3194645000, 0.3394517000, 0.3777553000, 0.4490203000, 0.5907078000, 0.9212473000", \ - "0.3203413000, 0.3291408000, 0.3492164000, 0.3878634000, 0.4591745000, 0.6008183000, 0.9310886000", \ - "0.3455358000, 0.3545248000, 0.3743915000, 0.4127407000, 0.4841109000, 0.6257698000, 0.9562848000", \ - "0.4099947000, 0.4189692000, 0.4387844000, 0.4774701000, 0.5485925000, 0.6903673000, 1.0207438000", \ - "0.5680698000, 0.5770453000, 0.5969529000, 0.6352036000, 0.7064533000, 0.8481862000, 1.1784240000", \ - "0.8637093000, 0.8748082000, 0.8998607000, 0.9467392000, 1.0278844000, 1.1775422000, 1.5111932000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.1433831000, 0.1515674000, 0.1699016000, 0.2091918000, 0.2984780000, 0.5352667000, 1.2112791000", \ - "0.1483126000, 0.1564997000, 0.1748474000, 0.2141522000, 0.3034148000, 0.5401922000, 1.2161719000", \ - "0.1582928000, 0.1663849000, 0.1849016000, 0.2241798000, 0.3134238000, 0.5502269000, 1.2263752000", \ - "0.1794649000, 0.1876033000, 0.2059882000, 0.2452672000, 0.3344603000, 0.5714051000, 1.2475144000", \ - "0.2238546000, 0.2322649000, 0.2511662000, 0.2908828000, 0.3802736000, 0.6172554000, 1.2926248000", \ - "0.2961866000, 0.3053063000, 0.3259187000, 0.3684240000, 0.4611312000, 0.6999703000, 1.3759629000", \ - "0.3868795000, 0.3984450000, 0.4236743000, 0.4720961000, 0.5705613000, 0.8112511000, 1.4891168000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.0475178000, 0.0530841000, 0.0652823000, 0.0895646000, 0.1430493000, 0.2805577000, 0.6866094000", \ - "0.0477393000, 0.0532549000, 0.0649477000, 0.0903654000, 0.1439074000, 0.2809326000, 0.6861235000", \ - "0.0476593000, 0.0534143000, 0.0648063000, 0.0894311000, 0.1432899000, 0.2805410000, 0.6865761000", \ - "0.0474759000, 0.0528556000, 0.0646256000, 0.0895342000, 0.1430162000, 0.2804811000, 0.6865726000", \ - "0.0478772000, 0.0533996000, 0.0650424000, 0.0897657000, 0.1431947000, 0.2806717000, 0.6862609000", \ - "0.0488563000, 0.0538587000, 0.0663195000, 0.0911793000, 0.1441079000, 0.2812172000, 0.6866207000", \ - "0.0706376000, 0.0759487000, 0.0893589000, 0.1145611000, 0.1641869000, 0.2947403000, 0.6904106000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.0324386000, 0.0384987000, 0.0532928000, 0.0909159000, 0.1990152000, 0.5300246000, 1.4952198000", \ - "0.0324372000, 0.0385441000, 0.0533319000, 0.0910177000, 0.1989760000, 0.5301312000, 1.4948938000", \ - "0.0326952000, 0.0384058000, 0.0532477000, 0.0908809000, 0.1986636000, 0.5300250000, 1.4956524000", \ - "0.0322664000, 0.0382472000, 0.0530663000, 0.0907947000, 0.1990127000, 0.5297263000, 1.4956640000", \ - "0.0338754000, 0.0398838000, 0.0548596000, 0.0920200000, 0.1993246000, 0.5298235000, 1.4936347000", \ - "0.0388710000, 0.0451642000, 0.0610656000, 0.0993505000, 0.2050184000, 0.5307502000, 1.4951667000", \ - "0.0525808000, 0.0601639000, 0.0766697000, 0.1132805000, 0.2143328000, 0.5358133000, 1.4973286000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.2877394000, 0.2965838000, 0.3162632000, 0.3544409000, 0.4256055000, 0.5675326000, 0.8983706000", \ - "0.2918317000, 0.3006855000, 0.3202809000, 0.3586051000, 0.4298057000, 0.5716438000, 0.9024744000", \ - "0.3017655000, 0.3106312000, 0.3300219000, 0.3684671000, 0.4397016000, 0.5814900000, 0.9123575000", \ - "0.3276861000, 0.3364923000, 0.3557470000, 0.3939952000, 0.4654331000, 0.6073589000, 0.9382044000", \ - "0.3933375000, 0.4021448000, 0.4215794000, 0.4599064000, 0.5308987000, 0.6728552000, 1.0034916000", \ - "0.5519306000, 0.5607122000, 0.5801205000, 0.6183662000, 0.6895051000, 0.8315615000, 1.1623533000", \ - "0.8410555000, 0.8520145000, 0.8768806000, 0.9238678000, 1.0048528000, 1.1553872000, 1.4898213000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.1386848000, 0.1468950000, 0.1654122000, 0.2048554000, 0.2941545000, 0.5309995000, 1.2071653000", \ - "0.1430873000, 0.1512930000, 0.1698478000, 0.2092891000, 0.2985911000, 0.5354188000, 1.2116073000", \ - "0.1532458000, 0.1614255000, 0.1800103000, 0.2193640000, 0.3086252000, 0.5455891000, 1.2214674000", \ - "0.1772500000, 0.1854577000, 0.2039655000, 0.2433508000, 0.3326062000, 0.5695346000, 1.2456665000", \ - "0.2307586000, 0.2391358000, 0.2578506000, 0.2973855000, 0.3867032000, 0.6236751000, 1.3000564000", \ - "0.3069184000, 0.3172188000, 0.3395159000, 0.3830808000, 0.4750850000, 0.7132008000, 1.3894039000", \ - "0.3881071000, 0.4012787000, 0.4299413000, 0.4846905000, 0.5835407000, 0.8232032000, 1.5000139000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.0461524000, 0.0510963000, 0.0637298000, 0.0878997000, 0.1418612000, 0.2807339000, 0.6858361000", \ - "0.0460928000, 0.0517396000, 0.0629299000, 0.0879592000, 0.1420389000, 0.2807129000, 0.6862170000", \ - "0.0458739000, 0.0511390000, 0.0629029000, 0.0878208000, 0.1418325000, 0.2807204000, 0.6864839000", \ - "0.0459424000, 0.0514032000, 0.0629524000, 0.0885196000, 0.1420790000, 0.2808227000, 0.6861440000", \ - "0.0458897000, 0.0511502000, 0.0631064000, 0.0881774000, 0.1420524000, 0.2806937000, 0.6858799000", \ - "0.0473380000, 0.0524218000, 0.0649428000, 0.0886474000, 0.1425118000, 0.2809121000, 0.6850672000", \ - "0.0693434000, 0.0749826000, 0.0886161000, 0.1138039000, 0.1645485000, 0.2951614000, 0.6900401000"); - } - related_pin : "CIN"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014463800, 0.0041840000, 0.0121033000, 0.0350118000, 0.1012800000, 0.2929790000"); - values("0.0330467000, 0.0390827000, 0.0536468000, 0.0910614000, 0.1991245000, 0.5292950000, 1.4957042000", \ - "0.0333134000, 0.0389631000, 0.0535510000, 0.0910661000, 0.1987577000, 0.5300381000, 1.4956845000", \ - "0.0331139000, 0.0389251000, 0.0534328000, 0.0910025000, 0.1990937000, 0.5296210000, 1.4956395000", \ - "0.0330404000, 0.0390761000, 0.0536370000, 0.0910578000, 0.1991428000, 0.5301099000, 1.4953127000", \ - "0.0352755000, 0.0408351000, 0.0550727000, 0.0920958000, 0.1994772000, 0.5301503000, 1.4956318000", \ - "0.0467082000, 0.0523903000, 0.0660789000, 0.1012855000, 0.2045237000, 0.5300659000, 1.4943587000", \ - "0.0642353000, 0.0720895000, 0.0892635000, 0.1242588000, 0.2165852000, 0.5349357000, 1.4955298000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - pin ("SUM") { - direction : "output"; - function : "(A&!B&!CIN) | (!A&B&!CIN) | (!A&!B&CIN) | (A&B&CIN)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("0.0016238000, 0.0041903000, 0.0090384000, 0.0120396000, -0.007754800, -0.102638900, -0.402979200", \ - "0.0016163000, 0.0041693000, 0.0089871000, 0.0119424000, -0.007852700, -0.102803400, -0.403103700", \ - "0.0016106000, 0.0041498000, 0.0089461000, 0.0118557000, -0.008000400, -0.102967200, -0.403263100", \ - "0.0016021000, 0.0041324000, 0.0088982000, 0.0117675000, -0.008156900, -0.103120600, -0.403460400", \ - "0.0015793000, 0.0040694000, 0.0087522000, 0.0114777000, -0.008601300, -0.103643800, -0.404036500", \ - "0.0015579000, 0.0040111000, 0.0086056000, 0.0112327000, -0.008950700, -0.104110100, -0.404548700", \ - "0.0017510000, 0.0045239000, 0.0097141000, 0.0125933000, -0.008214700, -0.104076800, -0.404507400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("0.0012893000, 0.0036334000, 0.0099658000, 0.0262426000, 0.0666041000, 0.1737660000, 0.4770308000", \ - "0.0012855000, 0.0036211000, 0.0099375000, 0.0261889000, 0.0664553000, 0.1741422000, 0.4761549000", \ - "0.0012727000, 0.0035807000, 0.0098405000, 0.0260008000, 0.0661104000, 0.1731528000, 0.4783102000", \ - "0.0012522000, 0.0035338000, 0.0097418000, 0.0258069000, 0.0658348000, 0.1727968000, 0.4759268000", \ - "0.0012332000, 0.0034825000, 0.0096211000, 0.0255698000, 0.0654616000, 0.1723623000, 0.4754397000", \ - "0.0012305000, 0.0034704000, 0.0095876000, 0.0254896000, 0.0654649000, 0.1722607000, 0.4753359000", \ - "0.0012182000, 0.0034460000, 0.0095597000, 0.0257241000, 0.0658531000, 0.1729422000, 0.4752998000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("0.0016048000, 0.0041377000, 0.0088949000, 0.0117584000, -0.008081800, -0.103005000, -0.403540300", \ - "0.0016069000, 0.0041453000, 0.0089099000, 0.0117912000, -0.008033000, -0.102987000, -0.403385600", \ - "0.0016021000, 0.0041288000, 0.0088783000, 0.0117441000, -0.008137000, -0.103074200, -0.403369600", \ - "0.0015879000, 0.0040917000, 0.0087997000, 0.0115539000, -0.008399500, -0.103428000, -0.403722300", \ - "0.0015693000, 0.0040385000, 0.0086725000, 0.0113251000, -0.008749500, -0.103843800, -0.404179200", \ - "0.0015441000, 0.0039735000, 0.0085059000, 0.0110458000, -0.009191400, -0.104365100, -0.404773700", \ - "0.0017477000, 0.0045121000, 0.0096775000, 0.0125071000, -0.008360900, -0.104236700, -0.404607100"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("0.0012200000, 0.0034504000, 0.0095518000, 0.0254322000, 0.0652745000, 0.1721104000, 0.4761892000", \ - "0.0012194000, 0.0034444000, 0.0095211000, 0.0253691000, 0.0652911000, 0.1721087000, 0.4752599000", \ - "0.0012123000, 0.0034266000, 0.0094933000, 0.0253263000, 0.0651112000, 0.1719348000, 0.4760858000", \ - "0.0011952000, 0.0033814000, 0.0093862000, 0.0251144000, 0.0648384000, 0.1715719000, 0.4759378000", \ - "0.0011758000, 0.0033267000, 0.0092436000, 0.0248394000, 0.0644311000, 0.1711040000, 0.4741205000", \ - "0.0011913000, 0.0033708000, 0.0093591000, 0.0250641000, 0.0647387000, 0.1713721000, 0.4739888000", \ - "0.0011850000, 0.0033537000, 0.0093263000, 0.0252143000, 0.0650010000, 0.1717939000, 0.4759535000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("0.0017672000, 0.0045747000, 0.0099470000, 0.0138019000, -0.005063400, -0.099440500, -0.399576700", \ - "0.0017678000, 0.0045733000, 0.0099475000, 0.0138193000, -0.005068600, -0.099422800, -0.399513100", \ - "0.0017642000, 0.0045663000, 0.0099363000, 0.0137634000, -0.005124100, -0.099523000, -0.399619800", \ - "0.0017548000, 0.0045409000, 0.0098673000, 0.0136461000, -0.005299200, -0.099729200, -0.399858100", \ - "0.0017375000, 0.0044941000, 0.0097537000, 0.0134281000, -0.005632200, -0.100125300, -0.400270800", \ - "0.0017222000, 0.0044525000, 0.0096640000, 0.0132445000, -0.005867000, -0.100402900, -0.400590200", \ - "0.0019127000, 0.0049542000, 0.0107343000, 0.0145833000, -0.005248500, -0.100297600, -0.400328200"); - } - related_pin : "CIN"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("0.0011015000, 0.0031348000, 0.0087624000, 0.0238786000, 0.0630140000, 0.1694109000, 0.4737142000", \ - "0.0011034000, 0.0031312000, 0.0087609000, 0.0238955000, 0.0630138000, 0.1693378000, 0.4720395000", \ - "0.0010943000, 0.0031065000, 0.0087148000, 0.0237855000, 0.0628984000, 0.1693056000, 0.4722488000", \ - "0.0010837000, 0.0030756000, 0.0086450000, 0.0236634000, 0.0626458000, 0.1690378000, 0.4719253000", \ - "0.0010650000, 0.0030289000, 0.0085190000, 0.0234254000, 0.0623442000, 0.1685595000, 0.4709245000", \ - "0.0010525000, 0.0029962000, 0.0084514000, 0.0232676000, 0.0621205000, 0.1683284000, 0.4729260000", \ - "0.0010708000, 0.0030414000, 0.0085862000, 0.0237613000, 0.0628462000, 0.1692341000, 0.4712840000"); - } - } - max_capacitance : 0.2884010000; - max_transition : 1.5035440000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.4141372000, 0.4234336000, 0.4441449000, 0.4847662000, 0.5592601000, 0.7027552000, 1.0294622000", \ - "0.4179037000, 0.4271631000, 0.4478773000, 0.4884184000, 0.5630549000, 0.7067392000, 1.0333229000", \ - "0.4284967000, 0.4378087000, 0.4585372000, 0.4989739000, 0.5728907000, 0.7168701000, 1.0439473000", \ - "0.4527764000, 0.4621620000, 0.4828241000, 0.5233147000, 0.5979303000, 0.7416799000, 1.0683198000", \ - "0.5073776000, 0.5166532000, 0.5373330000, 0.5776941000, 0.6517716000, 0.7955938000, 1.1227089000", \ - "0.6270763000, 0.6362438000, 0.6570947000, 0.6974921000, 0.7718869000, 0.9160269000, 1.2430414000", \ - "0.8563502000, 0.8662230000, 0.8896769000, 0.9336145000, 1.0129013000, 1.1622704000, 1.4933309000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.1623641000, 0.1700271000, 0.1876882000, 0.2256516000, 0.3133574000, 0.5470894000, 1.2183640000", \ - "0.1663564000, 0.1740358000, 0.1915507000, 0.2295530000, 0.3172449000, 0.5513582000, 1.2217969000", \ - "0.1742375000, 0.1818656000, 0.1993726000, 0.2374894000, 0.3250960000, 0.5600016000, 1.2294596000", \ - "0.1906052000, 0.1982670000, 0.2159457000, 0.2539720000, 0.3417684000, 0.5764322000, 1.2482184000", \ - "0.2258629000, 0.2336616000, 0.2514396000, 0.2896799000, 0.3775372000, 0.6118053000, 1.2832551000", \ - "0.2847861000, 0.2933367000, 0.3125899000, 0.3530659000, 0.4428776000, 0.6782649000, 1.3489101000", \ - "0.3585157000, 0.3688875000, 0.3917927000, 0.4361684000, 0.5302896000, 0.7662213000, 1.4364449000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0598099000, 0.0654940000, 0.0774794000, 0.1030276000, 0.1546862000, 0.2883055000, 0.6706835000", \ - "0.0603708000, 0.0655451000, 0.0769400000, 0.1014205000, 0.1549302000, 0.2884048000, 0.6683218000", \ - "0.0600834000, 0.0648805000, 0.0773923000, 0.1014399000, 0.1553869000, 0.2887082000, 0.6696793000", \ - "0.0598013000, 0.0655694000, 0.0769346000, 0.1013874000, 0.1548868000, 0.2884242000, 0.6683823000", \ - "0.0601926000, 0.0652509000, 0.0770332000, 0.1013886000, 0.1563494000, 0.2890564000, 0.6692564000", \ - "0.0599049000, 0.0650109000, 0.0770143000, 0.1016592000, 0.1552229000, 0.2884579000, 0.6695521000", \ - "0.0709247000, 0.0761130000, 0.0883867000, 0.1148523000, 0.1692990000, 0.2972784000, 0.6740967000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0331300000, 0.0390608000, 0.0539525000, 0.0920591000, 0.2012440000, 0.5320150000, 1.5008942000", \ - "0.0332613000, 0.0392067000, 0.0540810000, 0.0920491000, 0.2013886000, 0.5312895000, 1.5003817000", \ - "0.0333243000, 0.0393026000, 0.0542621000, 0.0920324000, 0.2015624000, 0.5308506000, 1.5001727000", \ - "0.0331829000, 0.0389982000, 0.0540268000, 0.0920107000, 0.2010524000, 0.5325111000, 1.5027708000", \ - "0.0341566000, 0.0400549000, 0.0546920000, 0.0927064000, 0.2012859000, 0.5318573000, 1.5009870000", \ - "0.0385324000, 0.0446413000, 0.0600021000, 0.0974284000, 0.2043128000, 0.5322426000, 1.5007652000", \ - "0.0498887000, 0.0567748000, 0.0724824000, 0.1100451000, 0.2111687000, 0.5351778000, 1.4992471000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.3767284000, 0.3840806000, 0.4004426000, 0.4329401000, 0.4944162000, 0.6208016000, 0.9337759000", \ - "0.3811994000, 0.3885310000, 0.4049272000, 0.4373385000, 0.4988141000, 0.6252119000, 0.9386304000", \ - "0.3907753000, 0.3980818000, 0.4145461000, 0.4469366000, 0.5085310000, 0.6348495000, 0.9480643000", \ - "0.4115657000, 0.4189386000, 0.4352851000, 0.4678146000, 0.5291295000, 0.6555614000, 0.9688784000", \ - "0.4562054000, 0.4635354000, 0.4799844000, 0.5124665000, 0.5737618000, 0.7001991000, 1.0136455000", \ - "0.5375227000, 0.5447715000, 0.5610715000, 0.5936073000, 0.6550326000, 0.7814407000, 1.0945183000", \ - "0.6480162000, 0.6554070000, 0.6719525000, 0.7045388000, 0.7658360000, 0.8921111000, 1.2047318000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.5205433000, 0.5286986000, 0.5471549000, 0.5851248000, 0.6722642000, 0.9070906000, 1.5768234000", \ - "0.5245360000, 0.5326856000, 0.5511221000, 0.5890927000, 0.6762118000, 0.9110540000, 1.5805581000", \ - "0.5347959000, 0.5432007000, 0.5614795000, 0.5995490000, 0.6866145000, 0.9210575000, 1.5933121000", \ - "0.5586542000, 0.5669142000, 0.5852299000, 0.6232198000, 0.7103030000, 0.9451788000, 1.6147733000", \ - "0.6163315000, 0.6245935000, 0.6429045000, 0.6809130000, 0.7679610000, 1.0028512000, 1.6718615000", \ - "0.7544927000, 0.7628642000, 0.7810124000, 0.8191179000, 0.9063960000, 1.1409835000, 1.8127194000", \ - "1.0338995000, 1.0424923000, 1.0615887000, 1.1001837000, 1.1875283000, 1.4217969000, 2.0922435000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0413646000, 0.0458048000, 0.0553566000, 0.0772890000, 0.1252053000, 0.2556547000, 0.6500120000", \ - "0.0413916000, 0.0457793000, 0.0562370000, 0.0772903000, 0.1256948000, 0.2556216000, 0.6515833000", \ - "0.0416211000, 0.0458496000, 0.0555056000, 0.0769081000, 0.1255415000, 0.2552657000, 0.6504752000", \ - "0.0415036000, 0.0457912000, 0.0553895000, 0.0773726000, 0.1249721000, 0.2560054000, 0.6508830000", \ - "0.0418338000, 0.0459550000, 0.0555002000, 0.0766610000, 0.1246367000, 0.2550833000, 0.6519970000", \ - "0.0413787000, 0.0457168000, 0.0559730000, 0.0766895000, 0.1260798000, 0.2561835000, 0.6493676000", \ - "0.0423449000, 0.0465634000, 0.0561274000, 0.0773145000, 0.1249024000, 0.2549251000, 0.6458915000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0383892000, 0.0437044000, 0.0567033000, 0.0921156000, 0.1995890000, 0.5295923000, 1.4961616000", \ - "0.0384004000, 0.0436831000, 0.0567005000, 0.0921092000, 0.1996354000, 0.5295919000, 1.4928069000", \ - "0.0385356000, 0.0435093000, 0.0565639000, 0.0921761000, 0.1997874000, 0.5287408000, 1.4962444000", \ - "0.0382651000, 0.0435268000, 0.0566098000, 0.0921546000, 0.1996896000, 0.5295797000, 1.4964751000", \ - "0.0383491000, 0.0435300000, 0.0566313000, 0.0921721000, 0.1997705000, 0.5293962000, 1.4970900000", \ - "0.0384576000, 0.0435356000, 0.0568930000, 0.0921338000, 0.1995531000, 0.5289688000, 1.4936143000", \ - "0.0410052000, 0.0463239000, 0.0586366000, 0.0937981000, 0.2001974000, 0.5293690000, 1.4969100000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.3935728000, 0.4028973000, 0.4237282000, 0.4643015000, 0.5391567000, 0.6832221000, 1.0104524000", \ - "0.3972005000, 0.4064468000, 0.4274773000, 0.4681020000, 0.5427827000, 0.6867987000, 1.0140895000", \ - "0.4078444000, 0.4171241000, 0.4379065000, 0.4785374000, 0.5532225000, 0.6973346000, 1.0247275000", \ - "0.4329431000, 0.4423710000, 0.4630572000, 0.5035207000, 0.5785611000, 0.7226613000, 1.0500828000", \ - "0.4916169000, 0.5008524000, 0.5216728000, 0.5624552000, 0.6371371000, 0.7812211000, 1.1087803000", \ - "0.6293129000, 0.6385942000, 0.6593113000, 0.7006166000, 0.7750154000, 0.9194890000, 1.2474163000", \ - "0.8975999000, 0.9081078000, 0.9310200000, 0.9762392000, 1.0567551000, 1.2080784000, 1.5398172000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.1556821000, 0.1632889000, 0.1809717000, 0.2191816000, 0.3070079000, 0.5424085000, 1.2123196000", \ - "0.1596765000, 0.1673468000, 0.1848500000, 0.2230049000, 0.3107988000, 0.5462004000, 1.2167576000", \ - "0.1683421000, 0.1760638000, 0.1936478000, 0.2318654000, 0.3197715000, 0.5551939000, 1.2286048000", \ - "0.1888054000, 0.1965625000, 0.2141632000, 0.2522931000, 0.3402048000, 0.5749284000, 1.2468537000", \ - "0.2338587000, 0.2416065000, 0.2592923000, 0.2977356000, 0.3858265000, 0.6210911000, 1.2935418000", \ - "0.3079158000, 0.3166104000, 0.3359255000, 0.3763519000, 0.4661025000, 0.7020887000, 1.3727673000", \ - "0.3960761000, 0.4068536000, 0.4305085000, 0.4766004000, 0.5696772000, 0.8049166000, 1.4764933000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0602100000, 0.0653934000, 0.0772959000, 0.1019205000, 0.1554959000, 0.2889341000, 0.6692960000", \ - "0.0603526000, 0.0656801000, 0.0778954000, 0.1016746000, 0.1548270000, 0.2886754000, 0.6711612000", \ - "0.0605840000, 0.0657598000, 0.0771178000, 0.1016810000, 0.1550966000, 0.2887732000, 0.6690058000", \ - "0.0603717000, 0.0657885000, 0.0777225000, 0.1022259000, 0.1550718000, 0.2889222000, 0.6714608000", \ - "0.0601514000, 0.0654009000, 0.0777091000, 0.1024587000, 0.1552980000, 0.2889896000, 0.6716025000", \ - "0.0608474000, 0.0659354000, 0.0780773000, 0.1023642000, 0.1557029000, 0.2886948000, 0.6699761000", \ - "0.0743950000, 0.0801568000, 0.0927523000, 0.1177928000, 0.1697440000, 0.2979396000, 0.6750152000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0332652000, 0.0395076000, 0.0541974000, 0.0921594000, 0.2018174000, 0.5317791000, 1.4999868000", \ - "0.0332931000, 0.0393636000, 0.0543276000, 0.0922075000, 0.2018445000, 0.5315208000, 1.5011409000", \ - "0.0332767000, 0.0394655000, 0.0540204000, 0.0922449000, 0.2016860000, 0.5319701000, 1.4998350000", \ - "0.0333691000, 0.0391160000, 0.0542428000, 0.0921110000, 0.2013158000, 0.5321583000, 1.5014696000", \ - "0.0342673000, 0.0401365000, 0.0549615000, 0.0928942000, 0.2016509000, 0.5330876000, 1.5035440000", \ - "0.0402976000, 0.0463658000, 0.0614154000, 0.0978349000, 0.2042458000, 0.5328319000, 1.4975331000", \ - "0.0542054000, 0.0609934000, 0.0761137000, 0.1113335000, 0.2117570000, 0.5349056000, 1.4991641000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.3914076000, 0.3989144000, 0.4155711000, 0.4483030000, 0.5102159000, 0.6368886000, 0.9504170000", \ - "0.3971338000, 0.4046102000, 0.4212679000, 0.4540637000, 0.5159398000, 0.6427346000, 0.9563289000", \ - "0.4074014000, 0.4148753000, 0.4315833000, 0.4643430000, 0.5261898000, 0.6531443000, 0.9667113000", \ - "0.4273457000, 0.4348462000, 0.4515479000, 0.4842331000, 0.5462355000, 0.6729599000, 0.9866282000", \ - "0.4688184000, 0.4761829000, 0.4928737000, 0.5257039000, 0.5875687000, 0.7144405000, 1.0278316000", \ - "0.5467777000, 0.5542078000, 0.5707564000, 0.6035120000, 0.6653321000, 0.7920906000, 1.1053294000", \ - "0.6557896000, 0.6631758000, 0.6798014000, 0.7123802000, 0.7740774000, 0.9004010000, 1.2133941000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.4914277000, 0.4995131000, 0.5178127000, 0.5559060000, 0.6431036000, 0.8772187000, 1.5487703000", \ - "0.4951136000, 0.5033693000, 0.5215464000, 0.5596316000, 0.6468754000, 0.8815692000, 1.5530525000", \ - "0.5050209000, 0.5131638000, 0.5314865000, 0.5695309000, 0.6566039000, 0.8909298000, 1.5623177000", \ - "0.5300049000, 0.5380787000, 0.5563725000, 0.5944696000, 0.6816465000, 0.9159284000, 1.5871010000", \ - "0.5943402000, 0.6026523000, 0.6208236000, 0.6588829000, 0.7459846000, 0.9808852000, 1.6500317000", \ - "0.7514856000, 0.7596529000, 0.7780286000, 0.8161304000, 0.9032991000, 1.1378616000, 1.8075861000", \ - "1.0754393000, 1.0840506000, 1.1030635000, 1.1419351000, 1.2292604000, 1.4636145000, 2.1342841000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0428214000, 0.0468822000, 0.0575077000, 0.0784932000, 0.1265974000, 0.2559274000, 0.6514340000", \ - "0.0428181000, 0.0472872000, 0.0577091000, 0.0785728000, 0.1265217000, 0.2557508000, 0.6515343000", \ - "0.0428597000, 0.0472293000, 0.0566591000, 0.0776086000, 0.1267436000, 0.2563243000, 0.6514824000", \ - "0.0427847000, 0.0469146000, 0.0573930000, 0.0785080000, 0.1267005000, 0.2561241000, 0.6518539000", \ - "0.0428816000, 0.0474482000, 0.0568576000, 0.0786732000, 0.1272229000, 0.2560022000, 0.6507995000", \ - "0.0426985000, 0.0468790000, 0.0564747000, 0.0775319000, 0.1269656000, 0.2565824000, 0.6501353000", \ - "0.0426611000, 0.0472363000, 0.0567239000, 0.0784613000, 0.1261770000, 0.2553963000, 0.6472529000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0378964000, 0.0431161000, 0.0564742000, 0.0920286000, 0.1995199000, 0.5295868000, 1.4939671000", \ - "0.0380827000, 0.0430499000, 0.0566094000, 0.0919545000, 0.1992982000, 0.5292597000, 1.4936865000", \ - "0.0378303000, 0.0431782000, 0.0563920000, 0.0921553000, 0.1997819000, 0.5295406000, 1.4929292000", \ - "0.0378922000, 0.0430977000, 0.0564940000, 0.0920391000, 0.1993590000, 0.5294228000, 1.4927982000", \ - "0.0379767000, 0.0431254000, 0.0566104000, 0.0920341000, 0.1997734000, 0.5293448000, 1.4969322000", \ - "0.0379757000, 0.0433820000, 0.0565358000, 0.0921678000, 0.1993887000, 0.5285538000, 1.4971688000", \ - "0.0410041000, 0.0460190000, 0.0588536000, 0.0938821000, 0.2003898000, 0.5295286000, 1.4963811000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.3717646000, 0.3810061000, 0.4019706000, 0.4425741000, 0.5171937000, 0.6610811000, 0.9882980000", \ - "0.3739638000, 0.3832987000, 0.4040748000, 0.4445067000, 0.5186293000, 0.6628382000, 0.9902582000", \ - "0.3798525000, 0.3887800000, 0.4096969000, 0.4508106000, 0.5244306000, 0.6687914000, 0.9962958000", \ - "0.3996204000, 0.4090717000, 0.4298852000, 0.4698162000, 0.5448222000, 0.6889489000, 1.0159281000", \ - "0.4580234000, 0.4672732000, 0.4880182000, 0.5285478000, 0.6028917000, 0.7468542000, 1.0745705000", \ - "0.6074987000, 0.6168188000, 0.6372976000, 0.6770492000, 0.7520035000, 0.8964287000, 1.2234472000", \ - "0.9076819000, 0.9184397000, 0.9423797000, 0.9885307000, 1.0681450000, 1.2163531000, 1.5462867000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.1552180000, 0.1628881000, 0.1804181000, 0.2184431000, 0.3062176000, 0.5414176000, 1.2121913000", \ - "0.1594137000, 0.1670375000, 0.1846661000, 0.2228109000, 0.3105717000, 0.5457383000, 1.2189277000", \ - "0.1688674000, 0.1765214000, 0.1941018000, 0.2322472000, 0.3199821000, 0.5552963000, 1.2250596000", \ - "0.1903711000, 0.1981598000, 0.2157540000, 0.2538002000, 0.3416165000, 0.5759380000, 1.2476735000", \ - "0.2417104000, 0.2493863000, 0.2666856000, 0.3052361000, 0.3929546000, 0.6275985000, 1.2990360000", \ - "0.3193442000, 0.3281624000, 0.3476678000, 0.3874247000, 0.4768276000, 0.7120014000, 1.3830600000", \ - "0.4023753000, 0.4136530000, 0.4379138000, 0.4847233000, 0.5765983000, 0.8124849000, 1.4819312000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0600891000, 0.0654050000, 0.0776911000, 0.1032423000, 0.1547315000, 0.2883600000, 0.6708930000", \ - "0.0600881000, 0.0648874000, 0.0773942000, 0.1014310000, 0.1572679000, 0.2885958000, 0.6696266000", \ - "0.0600973000, 0.0651886000, 0.0768236000, 0.1014245000, 0.1561932000, 0.2886921000, 0.6694904000", \ - "0.0602451000, 0.0652415000, 0.0773462000, 0.1010841000, 0.1553102000, 0.2885168000, 0.6690107000", \ - "0.0600418000, 0.0650451000, 0.0772119000, 0.1018027000, 0.1566758000, 0.2885127000, 0.6693999000", \ - "0.0598588000, 0.0648041000, 0.0772119000, 0.1036505000, 0.1564652000, 0.2878002000, 0.6683366000", \ - "0.0805910000, 0.0853855000, 0.0977571000, 0.1210751000, 0.1708319000, 0.2967452000, 0.6734162000"); - } - related_pin : "CIN"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0332632000, 0.0392924000, 0.0541054000, 0.0921339000, 0.2016073000, 0.5316061000, 1.5011580000", \ - "0.0331902000, 0.0394771000, 0.0541249000, 0.0920468000, 0.2014817000, 0.5316545000, 1.4997844000", \ - "0.0331834000, 0.0390523000, 0.0541569000, 0.0920096000, 0.2015413000, 0.5309418000, 1.4994697000", \ - "0.0331953000, 0.0390702000, 0.0540662000, 0.0918759000, 0.2010191000, 0.5320882000, 1.5010209000", \ - "0.0341322000, 0.0399161000, 0.0549000000, 0.0927871000, 0.2016377000, 0.5314684000, 1.5005168000", \ - "0.0421585000, 0.0476400000, 0.0617758000, 0.0987295000, 0.2054148000, 0.5327097000, 1.4990178000", \ - "0.0589752000, 0.0659152000, 0.0805135000, 0.1138219000, 0.2131951000, 0.5354053000, 1.4982182000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.3874264000, 0.3949182000, 0.4115925000, 0.4443683000, 0.5063110000, 0.6330785000, 0.9467168000", \ - "0.3919084000, 0.3993869000, 0.4161252000, 0.4488711000, 0.5107181000, 0.6376476000, 0.9511758000", \ - "0.4021184000, 0.4096098000, 0.4263255000, 0.4590243000, 0.5210428000, 0.6477279000, 0.9613289000", \ - "0.4248595000, 0.4323534000, 0.4490101000, 0.4817595000, 0.5436872000, 0.6704611000, 0.9841048000", \ - "0.4747991000, 0.4822934000, 0.4989443000, 0.5317005000, 0.5936646000, 0.7204022000, 1.0340461000", \ - "0.5587080000, 0.5661504000, 0.5829939000, 0.6159276000, 0.6777472000, 0.8046498000, 1.1180868000", \ - "0.6587100000, 0.6659822000, 0.6824696000, 0.7150264000, 0.7769137000, 0.9043345000, 1.2196099000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.4838137000, 0.4912062000, 0.5082950000, 0.5448945000, 0.6308946000, 0.8654409000, 1.5368573000", \ - "0.4877854000, 0.4952926000, 0.5121678000, 0.5488278000, 0.6349533000, 0.8697766000, 1.5393961000", \ - "0.4977413000, 0.5051817000, 0.5221373000, 0.5586580000, 0.6447853000, 0.8796092000, 1.5494231000", \ - "0.5236225000, 0.5310463000, 0.5477913000, 0.5844367000, 0.6705319000, 0.9056324000, 1.5756465000", \ - "0.5886454000, 0.5961890000, 0.6130434000, 0.6497526000, 0.7358934000, 0.9706507000, 1.6419507000", \ - "0.7451966000, 0.7527525000, 0.7698052000, 0.8064189000, 0.8925651000, 1.1266436000, 1.7988314000", \ - "1.0612851000, 1.0690934000, 1.0868433000, 1.1241283000, 1.2104098000, 1.4448560000, 2.1159914000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0428739000, 0.0473857000, 0.0576589000, 0.0786181000, 0.1266905000, 0.2560625000, 0.6517143000", \ - "0.0429204000, 0.0472491000, 0.0567267000, 0.0776755000, 0.1268264000, 0.2564137000, 0.6514926000", \ - "0.0427578000, 0.0469814000, 0.0572093000, 0.0783783000, 0.1266839000, 0.2561538000, 0.6515743000", \ - "0.0428831000, 0.0473902000, 0.0577130000, 0.0786415000, 0.1267036000, 0.2560547000, 0.6517403000", \ - "0.0429659000, 0.0474614000, 0.0578285000, 0.0786909000, 0.1267841000, 0.2561617000, 0.6517991000", \ - "0.0433893000, 0.0473031000, 0.0573769000, 0.0778601000, 0.1263082000, 0.2564330000, 0.6504681000", \ - "0.0422274000, 0.0465859000, 0.0567682000, 0.0784682000, 0.1268892000, 0.2574922000, 0.6499847000"); - } - related_pin : "CIN"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0344701000, 0.0401133000, 0.0532100000, 0.0894596000, 0.1986036000, 0.5298243000, 1.4936373000", \ - "0.0345033000, 0.0397989000, 0.0534214000, 0.0893600000, 0.1985102000, 0.5298365000, 1.4974639000", \ - "0.0345220000, 0.0399371000, 0.0533315000, 0.0893607000, 0.1983694000, 0.5299128000, 1.4969924000", \ - "0.0344607000, 0.0399226000, 0.0532644000, 0.0893488000, 0.1986024000, 0.5299365000, 1.4964930000", \ - "0.0345611000, 0.0398109000, 0.0534213000, 0.0893566000, 0.1983238000, 0.5298954000, 1.4957886000", \ - "0.0349959000, 0.0398256000, 0.0533756000, 0.0894180000, 0.1984255000, 0.5299674000, 1.4965288000", \ - "0.0374759000, 0.0428703000, 0.0556563000, 0.0911467000, 0.1992273000, 0.5299642000, 1.4971258000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__fa_4") { - leakage_power () { - value : 0.0081707000; - when : "!A&!B&CIN"; - } - leakage_power () { - value : 0.0105142000; - when : "!A&!B&!CIN"; - } - leakage_power () { - value : 0.0078087000; - when : "!A&B&CIN"; - } - leakage_power () { - value : 0.0082912000; - when : "!A&B&!CIN"; - } - leakage_power () { - value : 0.0077645000; - when : "A&!B&CIN"; - } - leakage_power () { - value : 0.0084257000; - when : "A&!B&!CIN"; - } - leakage_power () { - value : 0.0050748000; - when : "A&B&CIN"; - } - leakage_power () { - value : 0.0084930000; - when : "A&B&!CIN"; - } - area : 27.526400000; - cell_footprint : "sky130_fd_sc_hd__fa"; - cell_leakage_power : 0.0080678640; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0077000000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0074500000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0079510000; - } - pin ("B") { - capacitance : 0.0069770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0067560000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0071990000; - } - pin ("CIN") { - capacitance : 0.0051040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0050330000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0051750000; - } - pin ("COUT") { - direction : "output"; - function : "(A&B) | (A&CIN) | (B&CIN)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0030931000, 0.0090778000, 0.0226717000, 0.0381432000, 0.0134788000, -0.151403900, -0.736163800", \ - "0.0030849000, 0.0090650000, 0.0226002000, 0.0379120000, 0.0133786000, -0.151507600, -0.736395400", \ - "0.0030783000, 0.0090363000, 0.0225822000, 0.0377180000, 0.0128952000, -0.151919400, -0.736736200", \ - "0.0030708000, 0.0089984000, 0.0224846000, 0.0377422000, 0.0129034000, -0.152077500, -0.736949700", \ - "0.0030555000, 0.0089604000, 0.0223704000, 0.0373147000, 0.0123350000, -0.152603300, -0.737411000", \ - "0.0030444000, 0.0089356000, 0.0222848000, 0.0372038000, 0.0119946000, -0.153033500, -0.737462200", \ - "0.0030896000, 0.0090549000, 0.0225169000, 0.0374211000, 0.0120077000, -0.153087000, -0.737459700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0005458000, 0.0017951000, 0.0061606000, 0.0221681000, 0.0777845000, 0.2671443000, 0.8499414000", \ - "0.0005479000, 0.0017820000, 0.0061895000, 0.0220058000, 0.0777239000, 0.2669313000, 0.8488787000", \ - "0.0005423000, 0.0017932000, 0.0060869000, 0.0219407000, 0.0777397000, 0.2669154000, 0.8497334000", \ - "0.0005306000, 0.0017476000, 0.0060399000, 0.0218785000, 0.0774521000, 0.2662946000, 0.8477472000", \ - "0.0005295000, 0.0017381000, 0.0060490000, 0.0219141000, 0.0772153000, 0.2665190000, 0.8494146000", \ - "0.0005259000, 0.0017384000, 0.0059510000, 0.0215194000, 0.0766452000, 0.2658778000, 0.8489221000", \ - "0.0006098000, 0.0019719000, 0.0065870000, 0.0227582000, 0.0783212000, 0.2652900000, 0.8475604000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0030461000, 0.0089382000, 0.0222777000, 0.0370537000, 0.0119797000, -0.152925400, -0.737563900", \ - "0.0030425000, 0.0089276000, 0.0222654000, 0.0372470000, 0.0121836000, -0.152731100, -0.737556900", \ - "0.0030444000, 0.0089409000, 0.0223133000, 0.0371235000, 0.0119537000, -0.153030900, -0.737677800", \ - "0.0030316000, 0.0088865000, 0.0221721000, 0.0369642000, 0.0116816000, -0.153119700, -0.737955300", \ - "0.0030201000, 0.0088477000, 0.0220531000, 0.0366393000, 0.0113336000, -0.153723700, -0.738341100", \ - "0.0030150000, 0.0088445000, 0.0220269000, 0.0366503000, 0.0111185000, -0.153928300, -0.738500500", \ - "0.0031258000, 0.0091543000, 0.0227730000, 0.0377573000, 0.0122397000, -0.153146900, -0.737993200"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0005337000, 0.0017470000, 0.0060679000, 0.0218907000, 0.0775237000, 0.2664391000, 0.8494201000", \ - "0.0005370000, 0.0017588000, 0.0060590000, 0.0219207000, 0.0775975000, 0.2665378000, 0.8497534000", \ - "0.0005244000, 0.0017519000, 0.0060764000, 0.0218654000, 0.0776089000, 0.2669526000, 0.8487116000", \ - "0.0005250000, 0.0017360000, 0.0059822000, 0.0217691000, 0.0775286000, 0.2664195000, 0.8496363000", \ - "0.0005224000, 0.0017236000, 0.0059455000, 0.0216825000, 0.0772841000, 0.2660865000, 0.8492019000", \ - "0.0005169000, 0.0017053000, 0.0058805000, 0.0214141000, 0.0762881000, 0.2654078000, 0.8475260000", \ - "0.0005794000, 0.0018809000, 0.0063205000, 0.0221437000, 0.0771797000, 0.2646198000, 0.8485113000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0029425000, 0.0086577000, 0.0215712000, 0.0354896000, 0.0099887000, -0.154788200, -0.738955600", \ - "0.0029360000, 0.0085996000, 0.0214559000, 0.0354539000, 0.0100595000, -0.154740500, -0.738765100", \ - "0.0029288000, 0.0086203000, 0.0214596000, 0.0354961000, 0.0099080000, -0.154955500, -0.739114400", \ - "0.0029303000, 0.0086034000, 0.0214971000, 0.0353835000, 0.0096245000, -0.155062600, -0.739464700", \ - "0.0029158000, 0.0085593000, 0.0212702000, 0.0351700000, 0.0092835000, -0.155633000, -0.739737200", \ - "0.0029472000, 0.0086551000, 0.0214708000, 0.0354597000, 0.0095666000, -0.155622500, -0.739850300", \ - "0.0029871000, 0.0087620000, 0.0217259000, 0.0356405000, 0.0094279000, -0.155961800, -0.740365700"); - } - related_pin : "CIN"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0006453000, 0.0021238000, 0.0070121000, 0.0239786000, 0.0807219000, 0.2706894000, 0.8519469000", \ - "0.0006556000, 0.0021295000, 0.0070190000, 0.0239942000, 0.0807459000, 0.2707005000, 0.8519906000", \ - "0.0006563000, 0.0021189000, 0.0070044000, 0.0239234000, 0.0808669000, 0.2703594000, 0.8538346000", \ - "0.0006506000, 0.0020959000, 0.0069916000, 0.0238306000, 0.0806429000, 0.2701225000, 0.8536142000", \ - "0.0006462000, 0.0021015000, 0.0069528000, 0.0237697000, 0.0804387000, 0.2701776000, 0.8529209000", \ - "0.0006535000, 0.0021112000, 0.0069438000, 0.0236987000, 0.0796799000, 0.2689274000, 0.8528371000", \ - "0.0007144000, 0.0022812000, 0.0073328000, 0.0242629000, 0.0808371000, 0.2691608000, 0.8521972000"); - } - } - max_capacitance : 0.5328470000; - max_transition : 1.4974510000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.4557165000, 0.4627956000, 0.4814853000, 0.5227298000, 0.6027241000, 0.7575376000, 1.1123690000", \ - "0.4602259000, 0.4673159000, 0.4860113000, 0.5273182000, 0.6073054000, 0.7621558000, 1.1169992000", \ - "0.4714627000, 0.4787152000, 0.4972647000, 0.5386941000, 0.6185677000, 0.7737029000, 1.1286354000", \ - "0.4959486000, 0.5030173000, 0.5216994000, 0.5629456000, 0.6431227000, 0.7979709000, 1.1528474000", \ - "0.5537693000, 0.5610327000, 0.5791783000, 0.6206737000, 0.7003793000, 0.8551294000, 1.2100627000", \ - "0.6944468000, 0.7015067000, 0.7200792000, 0.7613670000, 0.8412642000, 0.9962576000, 1.3513767000", \ - "0.9904716000, 0.9983020000, 1.0179230000, 1.0630942000, 1.1478672000, 1.3081690000, 1.6649531000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.1993675000, 0.2053757000, 0.2210166000, 0.2571332000, 0.3407867000, 0.5686733000, 1.2822498000", \ - "0.2040550000, 0.2100749000, 0.2257335000, 0.2616610000, 0.3451745000, 0.5731696000, 1.2867978000", \ - "0.2137722000, 0.2197557000, 0.2354936000, 0.2713345000, 0.3548527000, 0.5829136000, 1.2966123000", \ - "0.2350410000, 0.2410660000, 0.2567343000, 0.2929294000, 0.3764630000, 0.6043632000, 1.3181799000", \ - "0.2835976000, 0.2896077000, 0.3052861000, 0.3412146000, 0.4245658000, 0.6524809000, 1.3663449000", \ - "0.3725254000, 0.3792892000, 0.3961868000, 0.4348925000, 0.5209504000, 0.7499196000, 1.4639374000", \ - "0.4961482000, 0.5041197000, 0.5248003000, 0.5699551000, 0.6621898000, 0.8944274000, 1.6096778000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0717933000, 0.0755576000, 0.0863444000, 0.1106760000, 0.1617343000, 0.2911693000, 0.6928780000", \ - "0.0718461000, 0.0756208000, 0.0863526000, 0.1104531000, 0.1617075000, 0.2911691000, 0.6926995000", \ - "0.0720301000, 0.0759579000, 0.0864242000, 0.1108966000, 0.1620519000, 0.2909385000, 0.6928597000", \ - "0.0720629000, 0.0755620000, 0.0863095000, 0.1104119000, 0.1618369000, 0.2909759000, 0.6929206000", \ - "0.0719429000, 0.0763464000, 0.0861818000, 0.1103330000, 0.1627031000, 0.2920046000, 0.6930108000", \ - "0.0721132000, 0.0759294000, 0.0859640000, 0.1099134000, 0.1618686000, 0.2910768000, 0.6937300000", \ - "0.0848750000, 0.0886108000, 0.0991366000, 0.1243734000, 0.1744988000, 0.2995285000, 0.6956313000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0383652000, 0.0425564000, 0.0546846000, 0.0852102000, 0.1767856000, 0.4855400000, 1.4935776000", \ - "0.0386898000, 0.0425118000, 0.0543177000, 0.0855364000, 0.1767808000, 0.4859109000, 1.4946089000", \ - "0.0382374000, 0.0426013000, 0.0543965000, 0.0853183000, 0.1767247000, 0.4852001000, 1.4937105000", \ - "0.0382404000, 0.0424849000, 0.0546860000, 0.0854502000, 0.1764966000, 0.4850988000, 1.4926133000", \ - "0.0382492000, 0.0426014000, 0.0542122000, 0.0851773000, 0.1768805000, 0.4852767000, 1.4938788000", \ - "0.0443299000, 0.0490699000, 0.0610846000, 0.0917976000, 0.1810490000, 0.4863227000, 1.4938817000", \ - "0.0585865000, 0.0637566000, 0.0774026000, 0.1080255000, 0.1936027000, 0.4926488000, 1.4970030000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.4277878000, 0.4350693000, 0.4537365000, 0.4948164000, 0.5748542000, 0.7300578000, 1.0850488000", \ - "0.4322964000, 0.4393809000, 0.4580815000, 0.4994123000, 0.5796141000, 0.7345834000, 1.0894253000", \ - "0.4428061000, 0.4500663000, 0.4687120000, 0.5099230000, 0.5900705000, 0.7450167000, 1.0999962000", \ - "0.4687050000, 0.4758164000, 0.4939542000, 0.5354473000, 0.6151355000, 0.7700365000, 1.1250343000", \ - "0.5327123000, 0.5396872000, 0.5582035000, 0.5993540000, 0.6795391000, 0.8345379000, 1.1895755000", \ - "0.6894235000, 0.6964392000, 0.7152286000, 0.7563044000, 0.8367824000, 0.9913536000, 1.3464239000", \ - "1.0342406000, 1.0421657000, 1.0627147000, 1.1075971000, 1.1928654000, 1.3520850000, 1.7082544000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.1944082000, 0.2009323000, 0.2178167000, 0.2565664000, 0.3438783000, 0.5740424000, 1.2884042000", \ - "0.1995131000, 0.2060356000, 0.2229058000, 0.2617139000, 0.3489398000, 0.5790898000, 1.2934115000", \ - "0.2096801000, 0.2161341000, 0.2330608000, 0.2718673000, 0.3589923000, 0.5892914000, 1.3036071000", \ - "0.2310671000, 0.2375699000, 0.2545344000, 0.2931378000, 0.3805315000, 0.6107469000, 1.3250789000", \ - "0.2793717000, 0.2859258000, 0.3027575000, 0.3413829000, 0.4286459000, 0.6588239000, 1.3732525000", \ - "0.3670754000, 0.3739978000, 0.3920829000, 0.4333292000, 0.5236803000, 0.7553353000, 1.4697981000", \ - "0.4937704000, 0.5020902000, 0.5235424000, 0.5700675000, 0.6664775000, 0.9030500000, 1.6190771000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0721067000, 0.0762627000, 0.0864590000, 0.1103197000, 0.1616914000, 0.2909807000, 0.6929107000", \ - "0.0718283000, 0.0755573000, 0.0862938000, 0.1103609000, 0.1618996000, 0.2912141000, 0.6933040000", \ - "0.0720825000, 0.0759792000, 0.0867294000, 0.1117728000, 0.1618948000, 0.2910181000, 0.6931103000", \ - "0.0723764000, 0.0763439000, 0.0862065000, 0.1103326000, 0.1628794000, 0.2921782000, 0.6933294000", \ - "0.0718590000, 0.0756922000, 0.0869788000, 0.1105653000, 0.1628916000, 0.2911095000, 0.6931434000", \ - "0.0718899000, 0.0755682000, 0.0862653000, 0.1101390000, 0.1617031000, 0.2909928000, 0.6928835000", \ - "0.0900358000, 0.0937340000, 0.1047611000, 0.1284687000, 0.1771962000, 0.2995997000, 0.6955689000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0420154000, 0.0462398000, 0.0587962000, 0.0907492000, 0.1822132000, 0.4879293000, 1.4965998000", \ - "0.0420145000, 0.0462162000, 0.0584740000, 0.0907315000, 0.1823249000, 0.4877947000, 1.4966803000", \ - "0.0416985000, 0.0460760000, 0.0589085000, 0.0907022000, 0.1823975000, 0.4879712000, 1.4961540000", \ - "0.0415393000, 0.0461398000, 0.0588577000, 0.0906572000, 0.1825058000, 0.4876167000, 1.4960412000", \ - "0.0423438000, 0.0465504000, 0.0586759000, 0.0906595000, 0.1824982000, 0.4878146000, 1.4966239000", \ - "0.0466553000, 0.0514373000, 0.0647607000, 0.0973460000, 0.1876657000, 0.4886711000, 1.4974506000", \ - "0.0612289000, 0.0671774000, 0.0798807000, 0.1124799000, 0.2018068000, 0.4973399000, 1.4970133000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.3894766000, 0.3962786000, 0.4143256000, 0.4540165000, 0.5316110000, 0.6824711000, 1.0333839000", \ - "0.3938811000, 0.4008630000, 0.4188362000, 0.4583977000, 0.5351410000, 0.6866172000, 1.0376063000", \ - "0.4045493000, 0.4113451000, 0.4290135000, 0.4689544000, 0.5463728000, 0.6974404000, 1.0484148000", \ - "0.4310239000, 0.4378156000, 0.4556771000, 0.4955992000, 0.5733782000, 0.7240538000, 1.0750788000", \ - "0.4961075000, 0.5028600000, 0.5208794000, 0.5608377000, 0.6379449000, 0.7890104000, 1.1399688000", \ - "0.6540569000, 0.6610279000, 0.6789301000, 0.7184305000, 0.7959257000, 0.9465749000, 1.2974821000", \ - "0.9863783000, 0.9940008000, 1.0140964000, 1.0590560000, 1.1431581000, 1.3004866000, 1.6528582000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.1899553000, 0.1964575000, 0.2134509000, 0.2523470000, 0.3396451000, 0.5699206000, 1.2843678000", \ - "0.1944210000, 0.2009095000, 0.2179033000, 0.2568089000, 0.3440846000, 0.5743868000, 1.2888324000", \ - "0.2046806000, 0.2112528000, 0.2282347000, 0.2669383000, 0.3544177000, 0.5846617000, 1.2990693000", \ - "0.2285426000, 0.2350520000, 0.2520446000, 0.2909196000, 0.3781838000, 0.6084820000, 1.3227951000", \ - "0.2847425000, 0.2912613000, 0.3082104000, 0.3470244000, 0.4340719000, 0.6643673000, 1.3789535000", \ - "0.3860974000, 0.3934955000, 0.4127303000, 0.4544186000, 0.5440454000, 0.7751543000, 1.4896661000", \ - "0.5090444000, 0.5179633000, 0.5417985000, 0.5932309000, 0.6933433000, 0.9281779000, 1.6433187000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0669595000, 0.0706575000, 0.0814547000, 0.1059528000, 0.1559156000, 0.2833851000, 0.6875259000", \ - "0.0673093000, 0.0709584000, 0.0812240000, 0.1045242000, 0.1561283000, 0.2834141000, 0.6874045000", \ - "0.0670738000, 0.0707664000, 0.0814025000, 0.1049184000, 0.1556642000, 0.2837468000, 0.6875231000", \ - "0.0670779000, 0.0708841000, 0.0813476000, 0.1042375000, 0.1551348000, 0.2833573000, 0.6869606000", \ - "0.0672130000, 0.0705648000, 0.0810812000, 0.1053118000, 0.1552444000, 0.2831456000, 0.6866148000", \ - "0.0672107000, 0.0708525000, 0.0810329000, 0.1043816000, 0.1566775000, 0.2835819000, 0.6871167000", \ - "0.0876880000, 0.0911635000, 0.1025640000, 0.1254950000, 0.1737254000, 0.2939724000, 0.6899348000"); - } - related_pin : "CIN"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0422167000, 0.0473151000, 0.0588333000, 0.0908655000, 0.1824271000, 0.4879261000, 1.4944893000", \ - "0.0422372000, 0.0473462000, 0.0588311000, 0.0908925000, 0.1824564000, 0.4879726000, 1.4955325000", \ - "0.0427192000, 0.0466423000, 0.0592587000, 0.0910006000, 0.1826134000, 0.4876667000, 1.4966357000", \ - "0.0421577000, 0.0471817000, 0.0588427000, 0.0908049000, 0.1824464000, 0.4871720000, 1.4932557000", \ - "0.0421689000, 0.0470850000, 0.0589650000, 0.0910585000, 0.1825876000, 0.4880837000, 1.4938061000", \ - "0.0536473000, 0.0574241000, 0.0693060000, 0.1002047000, 0.1869996000, 0.4889048000, 1.4941202000", \ - "0.0731537000, 0.0784764000, 0.0939448000, 0.1252651000, 0.2054638000, 0.4955155000, 1.4944660000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - pin ("SUM") { - direction : "output"; - function : "(A&!B&!CIN) | (!A&B&!CIN) | (!A&!B&CIN) | (A&B&CIN)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015910560, 0.0050629180, 0.0161107700, 0.0512662700, 0.1631350000, 0.5191138000"); - values("0.0020852000, 0.0060336000, 0.0145713000, 0.0221453000, -0.006959100, -0.169914900, -0.739327300", \ - "0.0020778000, 0.0060198000, 0.0145276000, 0.0219966000, -0.007181800, -0.170149800, -0.739184800", \ - "0.0020748000, 0.0060090000, 0.0144976000, 0.0219203000, -0.007152800, -0.170294100, -0.739534000", \ - "0.0020709000, 0.0059908000, 0.0144573000, 0.0218593000, -0.007415900, -0.170491600, -0.739837900", \ - "0.0020700000, 0.0059973000, 0.0144720000, 0.0218925000, -0.007319600, -0.170364500, -0.739825700", \ - "0.0020538000, 0.0059385000, 0.0143104000, 0.0214797000, -0.007769200, -0.170907600, -0.740286400", \ - "0.0021877000, 0.0063362000, 0.0153342000, 0.0227607000, -0.007580600, -0.170846200, -0.740120400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015910560, 0.0050629180, 0.0161107700, 0.0512662700, 0.1631350000, 0.5191138000"); - values("0.0015025000, 0.0046400000, 0.0136968000, 0.0381335000, 0.1025208000, 0.2856893000, 0.8573001000", \ - "0.0014860000, 0.0046229000, 0.0135840000, 0.0379041000, 0.1019662000, 0.2866808000, 0.8566052000", \ - "0.0014825000, 0.0045591000, 0.0134994000, 0.0377100000, 0.1020408000, 0.2855726000, 0.8602203000", \ - "0.0014757000, 0.0045585000, 0.0135052000, 0.0376990000, 0.1016932000, 0.2854377000, 0.8577738000", \ - "0.0014527000, 0.0044936000, 0.0133091000, 0.0373713000, 0.1010438000, 0.2846359000, 0.8576876000", \ - "0.0014406000, 0.0044601000, 0.0132360000, 0.0371258000, 0.1008728000, 0.2843911000, 0.8549586000", \ - "0.0014576000, 0.0045098000, 0.0133571000, 0.0374192000, 0.1012959000, 0.2849225000, 0.8550821000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015910560, 0.0050629180, 0.0161107700, 0.0512662700, 0.1631350000, 0.5191138000"); - values("0.0020696000, 0.0060045000, 0.0144764000, 0.0218811000, -0.007478400, -0.170617600, -0.740136500", \ - "0.0020730000, 0.0060040000, 0.0144969000, 0.0218992000, -0.007393400, -0.170526700, -0.739956300", \ - "0.0020702000, 0.0060006000, 0.0144678000, 0.0218479000, -0.007454500, -0.170570700, -0.739846300", \ - "0.0020672000, 0.0059828000, 0.0144255000, 0.0217529000, -0.007487900, -0.170648200, -0.739844300", \ - "0.0020624000, 0.0059657000, 0.0143767000, 0.0216697000, -0.007591600, -0.170846200, -0.739888600", \ - "0.0020467000, 0.0059241000, 0.0142599000, 0.0214048000, -0.008112300, -0.171328500, -0.740542700", \ - "0.0021537000, 0.0062341000, 0.0150534000, 0.0221436000, -0.008168300, -0.171517300, -0.740771100"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015910560, 0.0050629180, 0.0161107700, 0.0512662700, 0.1631350000, 0.5191138000"); - values("0.0014388000, 0.0044544000, 0.0131991000, 0.0370542000, 0.1008069000, 0.2840426000, 0.8550039000", \ - "0.0014442000, 0.0044736000, 0.0132625000, 0.0372811000, 0.1009250000, 0.2846506000, 0.8551750000", \ - "0.0014397000, 0.0044545000, 0.0132215000, 0.0371797000, 0.1007764000, 0.2840594000, 0.8555227000", \ - "0.0014258000, 0.0044137000, 0.0131046000, 0.0369053000, 0.1004662000, 0.2840024000, 0.8539967000", \ - "0.0014104000, 0.0043689000, 0.0129990000, 0.0367079000, 0.1000412000, 0.2835115000, 0.8532635000", \ - "0.0014070000, 0.0043585000, 0.0129690000, 0.0366217000, 0.0999253000, 0.2832647000, 0.8549123000", \ - "0.0014783000, 0.0045696000, 0.0135103000, 0.0377803000, 0.1017522000, 0.2853954000, 0.8602289000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015910560, 0.0050629180, 0.0161107700, 0.0512662700, 0.1631350000, 0.5191138000"); - values("0.0021988000, 0.0063694000, 0.0154570000, 0.0239587000, -0.004230100, -0.166654000, -0.735930700", \ - "0.0022003000, 0.0063736000, 0.0154686000, 0.0239746000, -0.004206100, -0.166617600, -0.735942300", \ - "0.0021961000, 0.0063715000, 0.0154536000, 0.0239160000, -0.004289700, -0.166751200, -0.735717300", \ - "0.0021957000, 0.0063620000, 0.0154238000, 0.0238146000, -0.004278100, -0.166736900, -0.735988000", \ - "0.0021863000, 0.0063327000, 0.0153584000, 0.0237497000, -0.004560300, -0.167047600, -0.736397200", \ - "0.0021802000, 0.0063230000, 0.0153494000, 0.0236931000, -0.004668900, -0.167269300, -0.736319800", \ - "0.0022818000, 0.0066173000, 0.0160617000, 0.0242643000, -0.004359200, -0.166784700, -0.735694700"); - } - related_pin : "CIN"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015910560, 0.0050629180, 0.0161107700, 0.0512662700, 0.1631350000, 0.5191138000"); - values("0.0013491000, 0.0041869000, 0.0125089000, 0.0354757000, 0.0983445000, 0.2810598000, 0.8522919000", \ - "0.0013455000, 0.0041753000, 0.0124733000, 0.0354576000, 0.0981996000, 0.2811884000, 0.8524453000", \ - "0.0013507000, 0.0041916000, 0.0125229000, 0.0354916000, 0.0982699000, 0.2812814000, 0.8526278000", \ - "0.0013399000, 0.0041514000, 0.0124244000, 0.0353771000, 0.0980510000, 0.2813309000, 0.8519903000", \ - "0.0013229000, 0.0041010000, 0.0122825000, 0.0351634000, 0.0976580000, 0.2806646000, 0.8519394000", \ - "0.0013446000, 0.0041684000, 0.0124482000, 0.0354582000, 0.0981680000, 0.2809940000, 0.8525302000", \ - "0.0013491000, 0.0041930000, 0.0125215000, 0.0356368000, 0.0984210000, 0.2815758000, 0.8562267000"); - } - } - max_capacitance : 0.5191140000; - max_transition : 1.5068080000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.5920060000, 0.5993943000, 0.6194621000, 0.6644354000, 0.7522442000, 0.9172348000, 1.2771959000", \ - "0.5963902000, 0.6037978000, 0.6236325000, 0.6690513000, 0.7566381000, 0.9221952000, 1.2820529000", \ - "0.6078403000, 0.6152570000, 0.6354427000, 0.6803967000, 0.7679340000, 0.9335350000, 1.2933583000", \ - "0.6330764000, 0.6404799000, 0.6603296000, 0.7055346000, 0.7931579000, 0.9587268000, 1.3187825000", \ - "0.6875727000, 0.6950069000, 0.7146083000, 0.7598908000, 0.8474267000, 1.0129997000, 1.3727839000", \ - "0.8081648000, 0.8155900000, 0.8354946000, 0.8806946000, 0.9678262000, 1.1338439000, 1.4941062000", \ - "1.0692819000, 1.0769128000, 1.0976469000, 1.1437699000, 1.2333552000, 1.4001622000, 1.7619294000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.2242955000, 0.2307733000, 0.2476690000, 0.2863092000, 0.3738727000, 0.6036070000, 1.3120058000", \ - "0.2282326000, 0.2346777000, 0.2515244000, 0.2902442000, 0.3777760000, 0.6075163000, 1.3160421000", \ - "0.2361753000, 0.2426184000, 0.2595390000, 0.2982129000, 0.3857358000, 0.6155642000, 1.3239631000", \ - "0.2526690000, 0.2592170000, 0.2762240000, 0.3147722000, 0.4023102000, 0.6319133000, 1.3401350000", \ - "0.2895749000, 0.2960412000, 0.3129603000, 0.3517233000, 0.4390936000, 0.6686248000, 1.3761172000", \ - "0.3599226000, 0.3668547000, 0.3845790000, 0.4251164000, 0.5147965000, 0.7455126000, 1.4542646000", \ - "0.4623265000, 0.4700497000, 0.4904708000, 0.5352242000, 0.6300372000, 0.8638222000, 1.5722899000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.0973854000, 0.1010454000, 0.1111900000, 0.1366643000, 0.1897777000, 0.3188292000, 0.6965389000", \ - "0.0972938000, 0.1007274000, 0.1112893000, 0.1371212000, 0.1887297000, 0.3187576000, 0.6964722000", \ - "0.0974967000, 0.1011216000, 0.1113648000, 0.1359700000, 0.1889180000, 0.3189175000, 0.6974565000", \ - "0.0973919000, 0.1008503000, 0.1112759000, 0.1369901000, 0.1922449000, 0.3185649000, 0.6962834000", \ - "0.0973129000, 0.1008793000, 0.1113472000, 0.1359861000, 0.1882871000, 0.3189297000, 0.6972040000", \ - "0.0972344000, 0.1009661000, 0.1112518000, 0.1364609000, 0.1890433000, 0.3188192000, 0.6968260000", \ - "0.1054869000, 0.1091903000, 0.1191718000, 0.1437803000, 0.1949434000, 0.3245476000, 0.6981211000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.0449769000, 0.0493587000, 0.0621164000, 0.0950005000, 0.1874404000, 0.4931365000, 1.5064323000", \ - "0.0447587000, 0.0493104000, 0.0623631000, 0.0946816000, 0.1872562000, 0.4927947000, 1.5025762000", \ - "0.0447357000, 0.0492127000, 0.0624160000, 0.0946764000, 0.1872181000, 0.4929402000, 1.5019483000", \ - "0.0447755000, 0.0493331000, 0.0621735000, 0.0949161000, 0.1874236000, 0.4921258000, 1.5017034000", \ - "0.0452621000, 0.0498191000, 0.0623017000, 0.0946016000, 0.1873516000, 0.4924769000, 1.5040241000", \ - "0.0489805000, 0.0540020000, 0.0672033000, 0.0999028000, 0.1902615000, 0.4940966000, 1.5047109000", \ - "0.0602407000, 0.0651567000, 0.0797745000, 0.1114231000, 0.2008992000, 0.4984306000, 1.5027060000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.5593748000, 0.5654228000, 0.5815096000, 0.6172621000, 0.6873588000, 0.8261706000, 1.1581872000", \ - "0.5639460000, 0.5700953000, 0.5860568000, 0.6218594000, 0.6921455000, 0.8312621000, 1.1627274000", \ - "0.5737124000, 0.5799092000, 0.5958588000, 0.6315404000, 0.7020166000, 0.8410609000, 1.1727004000", \ - "0.5950256000, 0.6010941000, 0.6171930000, 0.6530148000, 0.7230174000, 0.8621521000, 1.1938980000", \ - "0.6422690000, 0.6483191000, 0.6644326000, 0.7001394000, 0.7701794000, 0.9090491000, 1.2410150000", \ - "0.7381312000, 0.7441966000, 0.7602165000, 0.7960080000, 0.8660232000, 1.0049823000, 1.3367809000", \ - "0.8854995000, 0.8916126000, 0.9074191000, 0.9435239000, 1.0135239000, 1.1523472000, 1.4836123000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.7791559000, 0.7859320000, 0.8035329000, 0.8421722000, 0.9276987000, 1.1555389000, 1.8630069000", \ - "0.7838557000, 0.7905104000, 0.8081161000, 0.8467372000, 0.9322119000, 1.1605114000, 1.8675250000", \ - "0.7950298000, 0.8019265000, 0.8193493000, 0.8579911000, 0.9434042000, 1.1712771000, 1.8785513000", \ - "0.8193769000, 0.8261437000, 0.8436732000, 0.8821761000, 0.9677393000, 1.1960689000, 1.9038995000", \ - "0.8772028000, 0.8838971000, 0.9014642000, 0.9400186000, 1.0255148000, 1.2537951000, 1.9616913000", \ - "1.0172410000, 1.0240345000, 1.0415061000, 1.0800986000, 1.1658253000, 1.3940389000, 2.1018500000", \ - "1.3299292000, 1.3368007000, 1.3544302000, 1.3935922000, 1.4792202000, 1.7072502000, 2.4150155000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.0644367000, 0.0675665000, 0.0766142000, 0.0988086000, 0.1438881000, 0.2669450000, 0.6539013000", \ - "0.0645424000, 0.0678837000, 0.0768309000, 0.0975454000, 0.1446134000, 0.2664701000, 0.6532064000", \ - "0.0646677000, 0.0679446000, 0.0768795000, 0.0974515000, 0.1445067000, 0.2668234000, 0.6532116000", \ - "0.0647143000, 0.0676032000, 0.0766293000, 0.0985155000, 0.1447923000, 0.2663839000, 0.6538791000", \ - "0.0647118000, 0.0675932000, 0.0766133000, 0.0987656000, 0.1439840000, 0.2664085000, 0.6539663000", \ - "0.0646933000, 0.0678414000, 0.0767627000, 0.0987539000, 0.1441415000, 0.2667118000, 0.6540517000", \ - "0.0652035000, 0.0683260000, 0.0773354000, 0.0975762000, 0.1442859000, 0.2647858000, 0.6541748000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.0505142000, 0.0543615000, 0.0654654000, 0.0951359000, 0.1840108000, 0.4883446000, 1.4976552000", \ - "0.0509186000, 0.0544100000, 0.0656069000, 0.0953263000, 0.1837304000, 0.4892560000, 1.4979283000", \ - "0.0504833000, 0.0546873000, 0.0656037000, 0.0952481000, 0.1838891000, 0.4892235000, 1.4977904000", \ - "0.0507086000, 0.0544298000, 0.0656611000, 0.0951754000, 0.1840671000, 0.4887000000, 1.4945499000", \ - "0.0505408000, 0.0543381000, 0.0654053000, 0.0949167000, 0.1837334000, 0.4891320000, 1.4940614000", \ - "0.0502266000, 0.0542820000, 0.0659750000, 0.0952028000, 0.1839325000, 0.4883398000, 1.4939412000", \ - "0.0511634000, 0.0553051000, 0.0667430000, 0.0960880000, 0.1845532000, 0.4893103000, 1.4967627000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.5715451000, 0.5789778000, 0.5986445000, 0.6441203000, 0.7316371000, 0.8976243000, 1.2576631000", \ - "0.5754981000, 0.5829329000, 0.6030206000, 0.6480761000, 0.7360157000, 0.9013411000, 1.2618133000", \ - "0.5866189000, 0.5940493000, 0.6139043000, 0.6593093000, 0.7471536000, 0.9128350000, 1.2733165000", \ - "0.6122922000, 0.6197265000, 0.6398965000, 0.6847825000, 0.7724341000, 0.9384354000, 1.2986325000", \ - "0.6708676000, 0.6783014000, 0.6983436000, 0.7434100000, 0.8314387000, 0.9965823000, 1.3572213000", \ - "0.8097023000, 0.8171138000, 0.8372826000, 0.8823166000, 0.9698352000, 1.1365194000, 1.4972187000", \ - "1.1171751000, 1.1248259000, 1.1457512000, 1.1921446000, 1.2819779000, 1.4495176000, 1.8118428000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.2178745000, 0.2243371000, 0.2412250000, 0.2801392000, 0.3676135000, 0.5979318000, 1.3082946000", \ - "0.2216023000, 0.2281450000, 0.2451879000, 0.2837950000, 0.3714717000, 0.6015263000, 1.3099072000", \ - "0.2304792000, 0.2370019000, 0.2538464000, 0.2925963000, 0.3802739000, 0.6105391000, 1.3197662000", \ - "0.2511759000, 0.2576321000, 0.2745582000, 0.3132556000, 0.4009152000, 0.6312474000, 1.3404379000", \ - "0.2986363000, 0.3050839000, 0.3219538000, 0.3609267000, 0.4482248000, 0.6787729000, 1.3884160000", \ - "0.3895321000, 0.3965299000, 0.4145194000, 0.4547018000, 0.5442935000, 0.7751988000, 1.4852227000", \ - "0.5165780000, 0.5246404000, 0.5456818000, 0.5914281000, 0.6860819000, 0.9193966000, 1.6288469000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.0977088000, 0.1012704000, 0.1118234000, 0.1364863000, 0.1891030000, 0.3175757000, 0.6980905000", \ - "0.0977261000, 0.1013681000, 0.1114978000, 0.1370038000, 0.1901012000, 0.3192924000, 0.6970801000", \ - "0.0975366000, 0.1011179000, 0.1116432000, 0.1375669000, 0.1892029000, 0.3190802000, 0.6975248000", \ - "0.0979467000, 0.1010336000, 0.1120018000, 0.1363452000, 0.1887979000, 0.3174739000, 0.6979251000", \ - "0.0977747000, 0.1014172000, 0.1114809000, 0.1370330000, 0.1905810000, 0.3202043000, 0.6963133000", \ - "0.0977775000, 0.1013665000, 0.1112104000, 0.1374276000, 0.1897139000, 0.3193122000, 0.6974529000", \ - "0.1074248000, 0.1109028000, 0.1211389000, 0.1449363000, 0.1965132000, 0.3231065000, 0.6975044000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.0454494000, 0.0495440000, 0.0619244000, 0.0947756000, 0.1876279000, 0.4935001000, 1.5068075000", \ - "0.0448545000, 0.0494403000, 0.0622784000, 0.0951159000, 0.1876574000, 0.4931097000, 1.5014624000", \ - "0.0447920000, 0.0495503000, 0.0619331000, 0.0947766000, 0.1874256000, 0.4934086000, 1.5034817000", \ - "0.0447581000, 0.0493750000, 0.0625571000, 0.0947819000, 0.1874127000, 0.4934277000, 1.5032118000", \ - "0.0447358000, 0.0492657000, 0.0619326000, 0.0947978000, 0.1875143000, 0.4935337000, 1.5052996000", \ - "0.0501074000, 0.0552398000, 0.0679683000, 0.1002655000, 0.1905088000, 0.4945145000, 1.5053837000", \ - "0.0656248000, 0.0714099000, 0.0835264000, 0.1157804000, 0.2015593000, 0.4977032000, 1.5050524000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.5791106000, 0.5853976000, 0.6015847000, 0.6379838000, 0.7083452000, 0.8475562000, 1.1790148000", \ - "0.5850358000, 0.5913295000, 0.6076640000, 0.6438473000, 0.7142675000, 0.8535486000, 1.1850554000", \ - "0.5957316000, 0.6020162000, 0.6182138000, 0.6545844000, 0.7250200000, 0.8643251000, 1.1958959000", \ - "0.6167857000, 0.6229450000, 0.6393783000, 0.6754263000, 0.7463128000, 0.8856113000, 1.2172091000", \ - "0.6620521000, 0.6683576000, 0.6843416000, 0.7207536000, 0.7916169000, 0.9309063000, 1.2622969000", \ - "0.7547345000, 0.7610344000, 0.7772022000, 0.8135450000, 0.8839677000, 1.0233021000, 1.3549096000", \ - "0.9045729000, 0.9107230000, 0.9265871000, 0.9627852000, 1.0329109000, 1.1715939000, 1.5028943000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.7493993000, 0.7561340000, 0.7737341000, 0.8121996000, 0.8978788000, 1.1261834000, 1.8340414000", \ - "0.7538365000, 0.7607203000, 0.7780504000, 0.8167298000, 0.9022771000, 1.1306448000, 1.8384730000", \ - "0.7642927000, 0.7710925000, 0.7885283000, 0.8272230000, 0.9127112000, 1.1411539000, 1.8477556000", \ - "0.7899681000, 0.7967625000, 0.8142281000, 0.8529875000, 0.9384444000, 1.1667028000, 1.8745585000", \ - "0.8538098000, 0.8606065000, 0.8780595000, 0.9167793000, 1.0022471000, 1.2305617000, 1.9384245000", \ - "1.0102704000, 1.0170654000, 1.0345473000, 1.0731521000, 1.1588858000, 1.3870695000, 2.0932653000", \ - "1.3732204000, 1.3800729000, 1.3977671000, 1.4365510000, 1.5223306000, 1.7507272000, 2.4582580000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.0663234000, 0.0694801000, 0.0786057000, 0.0997530000, 0.1459559000, 0.2669731000, 0.6524086000", \ - "0.0664383000, 0.0695623000, 0.0779919000, 0.1000873000, 0.1462341000, 0.2671254000, 0.6527578000", \ - "0.0663917000, 0.0695451000, 0.0786923000, 0.0999823000, 0.1460733000, 0.2671408000, 0.6528124000", \ - "0.0665363000, 0.0697754000, 0.0787501000, 0.0990589000, 0.1459238000, 0.2671724000, 0.6523188000", \ - "0.0665786000, 0.0695881000, 0.0784971000, 0.0990445000, 0.1461763000, 0.2672957000, 0.6531289000", \ - "0.0664665000, 0.0697241000, 0.0787995000, 0.0998813000, 0.1462351000, 0.2671669000, 0.6528077000", \ - "0.0660282000, 0.0691601000, 0.0775335000, 0.0982234000, 0.1447855000, 0.2644559000, 0.6540556000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.0501647000, 0.0541041000, 0.0656523000, 0.0951271000, 0.1840300000, 0.4890948000, 1.4944095000", \ - "0.0500136000, 0.0546670000, 0.0655181000, 0.0948903000, 0.1840071000, 0.4887602000, 1.4945404000", \ - "0.0499687000, 0.0541177000, 0.0660003000, 0.0950219000, 0.1838554000, 0.4890139000, 1.4963125000", \ - "0.0499609000, 0.0542896000, 0.0657838000, 0.0949721000, 0.1838652000, 0.4891621000, 1.4952205000", \ - "0.0499401000, 0.0540684000, 0.0659754000, 0.0949978000, 0.1837866000, 0.4890948000, 1.4941436000", \ - "0.0499736000, 0.0540502000, 0.0658789000, 0.0949457000, 0.1839272000, 0.4893245000, 1.4965672000", \ - "0.0508261000, 0.0551452000, 0.0662204000, 0.0957645000, 0.1845317000, 0.4885101000, 1.4976438000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.5497081000, 0.5571313000, 0.5769804000, 0.6223139000, 0.7100840000, 0.8751617000, 1.2355710000", \ - "0.5528929000, 0.5603333000, 0.5802434000, 0.6254814000, 0.7136447000, 0.8785202000, 1.2388098000", \ - "0.5605184000, 0.5679233000, 0.5881096000, 0.6330299000, 0.7199810000, 0.8862803000, 1.2468658000", \ - "0.5806735000, 0.5880814000, 0.6080650000, 0.6530834000, 0.7408834000, 0.9067287000, 1.2669897000", \ - "0.6387412000, 0.6461567000, 0.6662436000, 0.7096970000, 0.7971217000, 0.9640653000, 1.3244111000", \ - "0.7832259000, 0.7906496000, 0.8106387000, 0.8556371000, 0.9433418000, 1.1096849000, 1.4697418000", \ - "1.1246702000, 1.1323071000, 1.1533658000, 1.1994855000, 1.2879928000, 1.4546170000, 1.8159979000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.2171727000, 0.2237011000, 0.2405218000, 0.2792470000, 0.3668207000, 0.5969454000, 1.3058037000", \ - "0.2215815000, 0.2280796000, 0.2449221000, 0.2836483000, 0.3711979000, 0.6012528000, 1.3100996000", \ - "0.2313850000, 0.2378590000, 0.2547354000, 0.2934056000, 0.3809627000, 0.6109039000, 1.3184978000", \ - "0.2532181000, 0.2596697000, 0.2766358000, 0.3152984000, 0.4028185000, 0.6328491000, 1.3413840000", \ - "0.3054771000, 0.3119415000, 0.3288631000, 0.3675450000, 0.4549592000, 0.6853586000, 1.3940045000", \ - "0.4075131000, 0.4145045000, 0.4325477000, 0.4726025000, 0.5614269000, 0.7913579000, 1.5021238000", \ - "0.5350154000, 0.5434395000, 0.5653931000, 0.6134742000, 0.7074291000, 0.9399954000, 1.6499826000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.0972710000, 0.1007772000, 0.1113194000, 0.1371552000, 0.1921703000, 0.3202635000, 0.6955648000", \ - "0.0973931000, 0.1008314000, 0.1112466000, 0.1368387000, 0.1907667000, 0.3193769000, 0.6959524000", \ - "0.0974488000, 0.1011074000, 0.1113734000, 0.1357634000, 0.1906343000, 0.3189863000, 0.6973734000", \ - "0.0974311000, 0.1010534000, 0.1110652000, 0.1359370000, 0.1920586000, 0.3184467000, 0.6968530000", \ - "0.0976105000, 0.1010127000, 0.1112803000, 0.1360862000, 0.1888749000, 0.3194298000, 0.6958815000", \ - "0.0974629000, 0.1009423000, 0.1109092000, 0.1373194000, 0.1896091000, 0.3177844000, 0.6959866000", \ - "0.1094973000, 0.1126507000, 0.1218328000, 0.1450919000, 0.1956736000, 0.3215571000, 0.6981291000"); - } - related_pin : "CIN"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.0453656000, 0.0495153000, 0.0622144000, 0.0947444000, 0.1873530000, 0.4931683000, 1.5022107000", \ - "0.0453562000, 0.0493875000, 0.0623162000, 0.0947119000, 0.1873211000, 0.4930276000, 1.5022007000", \ - "0.0452196000, 0.0498300000, 0.0622030000, 0.0949818000, 0.1873613000, 0.4930591000, 1.5031002000", \ - "0.0446697000, 0.0492190000, 0.0622889000, 0.0949066000, 0.1874835000, 0.4931342000, 1.5059529000", \ - "0.0446633000, 0.0492638000, 0.0619303000, 0.0943541000, 0.1870779000, 0.4918393000, 1.5049079000", \ - "0.0527086000, 0.0566680000, 0.0692972000, 0.1002002000, 0.1909652000, 0.4937855000, 1.5051371000", \ - "0.0719130000, 0.0772401000, 0.0900979000, 0.1217189000, 0.2051124000, 0.4988112000, 1.5033891000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.5757159000, 0.5818859000, 0.5982468000, 0.6343794000, 0.7049025000, 0.8439972000, 1.1757220000", \ - "0.5802832000, 0.5864541000, 0.6028223000, 0.6389553000, 0.7094693000, 0.8485646000, 1.1803223000", \ - "0.5906707000, 0.5970014000, 0.6131350000, 0.6493205000, 0.7200854000, 0.8594583000, 1.1906173000", \ - "0.6142239000, 0.6204074000, 0.6368748000, 0.6728520000, 0.7433203000, 0.8827800000, 1.2140481000", \ - "0.6676812000, 0.6738451000, 0.6902007000, 0.7263019000, 0.7967860000, 0.9358962000, 1.2676629000", \ - "0.7744378000, 0.7807450000, 0.7970070000, 0.8331617000, 0.9038560000, 1.0432090000, 1.3744500000", \ - "0.9256814000, 0.9318205000, 0.9473411000, 0.9831616000, 1.0538024000, 1.1935690000, 1.5263072000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.7132905000, 0.7197782000, 0.7364135000, 0.7731916000, 0.8575204000, 1.0852751000, 1.7921954000", \ - "0.7179383000, 0.7243748000, 0.7408983000, 0.7777611000, 0.8620216000, 1.0899475000, 1.7971453000", \ - "0.7282885000, 0.7347380000, 0.7512712000, 0.7881086000, 0.8723795000, 1.1003405000, 1.8068714000", \ - "0.7548790000, 0.7612833000, 0.7778926000, 0.8149666000, 0.8991630000, 1.1264646000, 1.8346382000", \ - "0.8198383000, 0.8262378000, 0.8428759000, 0.8798416000, 0.9640709000, 1.1916471000, 1.8986230000", \ - "0.9762386000, 0.9826981000, 0.9992666000, 1.0361392000, 1.1204893000, 1.3481727000, 2.0557309000", \ - "1.3316929000, 1.3382518000, 1.3550046000, 1.3922801000, 1.4767040000, 1.7045710000, 2.4127085000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.0660729000, 0.0693149000, 0.0784583000, 0.1005694000, 0.1452113000, 0.2671172000, 0.6536571000", \ - "0.0660771000, 0.0693216000, 0.0784804000, 0.1005758000, 0.1452149000, 0.2671988000, 0.6535610000", \ - "0.0663956000, 0.0696887000, 0.0786480000, 0.0990745000, 0.1460460000, 0.2669532000, 0.6535693000", \ - "0.0663688000, 0.0695518000, 0.0780444000, 0.1005656000, 0.1450906000, 0.2672777000, 0.6536263000", \ - "0.0661449000, 0.0693400000, 0.0784705000, 0.1005842000, 0.1451497000, 0.2670907000, 0.6535962000", \ - "0.0664774000, 0.0697609000, 0.0786400000, 0.1005102000, 0.1459719000, 0.2669881000, 0.6526812000", \ - "0.0655997000, 0.0686526000, 0.0772243000, 0.0980790000, 0.1460540000, 0.2657513000, 0.6544850000"); - } - related_pin : "CIN"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015910600, 0.0050629200, 0.0161108000, 0.0512663000, 0.1631350000, 0.5191140000"); - values("0.0466465000, 0.0507144000, 0.0619355000, 0.0922186000, 0.1820384000, 0.4888116000, 1.4986873000", \ - "0.0469411000, 0.0509159000, 0.0619554000, 0.0918142000, 0.1823927000, 0.4893086000, 1.4988216000", \ - "0.0471260000, 0.0506361000, 0.0619739000, 0.0920414000, 0.1819798000, 0.4891787000, 1.4989033000", \ - "0.0467444000, 0.0508224000, 0.0624342000, 0.0918145000, 0.1818725000, 0.4889343000, 1.4992010000", \ - "0.0467966000, 0.0508915000, 0.0623822000, 0.0919229000, 0.1819789000, 0.4886940000, 1.4962477000", \ - "0.0467582000, 0.0509405000, 0.0617954000, 0.0920258000, 0.1824613000, 0.4892295000, 1.4986385000", \ - "0.0477262000, 0.0516826000, 0.0631281000, 0.0923922000, 0.1822358000, 0.4878856000, 1.4990719000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__fah_1") { - leakage_power () { - value : 0.0171775000; - when : "!A&!B&CI"; - } - leakage_power () { - value : 0.0203413000; - when : "!A&!B&!CI"; - } - leakage_power () { - value : 0.0193215000; - when : "!A&B&CI"; - } - leakage_power () { - value : 0.0215092000; - when : "!A&B&!CI"; - } - leakage_power () { - value : 0.0194900000; - when : "A&!B&CI"; - } - leakage_power () { - value : 0.0195829000; - when : "A&!B&!CI"; - } - leakage_power () { - value : 0.0193396000; - when : "A&B&CI"; - } - leakage_power () { - value : 0.0240735000; - when : "A&B&!CI"; - } - area : 33.782400000; - cell_footprint : "sky130_fd_sc_hd__fah"; - cell_leakage_power : 0.0201044300; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0046980000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0044730000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0049230000; - } - pin ("B") { - capacitance : 0.0067030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0064500000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0069560000; - } - pin ("CI") { - capacitance : 0.0023950000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022640000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025260000; - } - pin ("COUT") { - direction : "output"; - function : "(A&B) | (A&CI) | (B&CI)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621240600, 0.1629738000"); - values("0.0050274000, 0.0119927000, 0.0251749000, 0.0412402000, 0.0427843000, -0.002371600, -0.157271700", \ - "0.0050066000, 0.0119433000, 0.0250786000, 0.0410655000, 0.0425110000, -0.002715400, -0.157680700", \ - "0.0049854000, 0.0118923000, 0.0249675000, 0.0408670000, 0.0422203000, -0.003086100, -0.158061700", \ - "0.0049750000, 0.0118699000, 0.0249027000, 0.0407551000, 0.0420725000, -0.003262800, -0.158274000", \ - "0.0049682000, 0.0118461000, 0.0248628000, 0.0406565000, 0.0419343000, -0.003439200, -0.158448800", \ - "0.0050519000, 0.0120542000, 0.0253051000, 0.0414105000, 0.0429391000, -0.002082000, -0.157007300", \ - "0.0052674000, 0.0125742000, 0.0264077000, 0.0433920000, 0.0457816000, 0.0015403000, -0.153022000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621240600, 0.1629738000"); - values("0.0026235000, 0.0065101000, 0.0154022000, 0.0328123000, 0.0646454000, 0.1316146000, 0.2973145000", \ - "0.0026045000, 0.0064633000, 0.0152984000, 0.0326422000, 0.0643572000, 0.1319448000, 0.2961653000", \ - "0.0025811000, 0.0064052000, 0.0151898000, 0.0324497000, 0.0640872000, 0.1309265000, 0.2953931000", \ - "0.0025538000, 0.0063415000, 0.0150168000, 0.0321037000, 0.0636934000, 0.1302509000, 0.2948729000", \ - "0.0025324000, 0.0062935000, 0.0147908000, 0.0318119000, 0.0632647000, 0.1305892000, 0.2950775000", \ - "0.0025597000, 0.0063567000, 0.0147631000, 0.0319109000, 0.0633971000, 0.1307125000, 0.2936507000", \ - "0.0027709000, 0.0068598000, 0.0159286000, 0.0334169000, 0.0654390000, 0.1325751000, 0.2960756000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621240600, 0.1629738000"); - values("0.0043921000, 0.0104525000, 0.0218230000, 0.0351381000, 0.0340176000, -0.012978100, -0.168688300", \ - "0.0043676000, 0.0103937000, 0.0217042000, 0.0348819000, 0.0336647000, -0.013409700, -0.169253600", \ - "0.0043407000, 0.0103301000, 0.0215594000, 0.0346162000, 0.0333139000, -0.013847800, -0.169736300", \ - "0.0043351000, 0.0103188000, 0.0215206000, 0.0345561000, 0.0332341000, -0.013931400, -0.169827900", \ - "0.0043474000, 0.0103572000, 0.0216170000, 0.0346847000, 0.0334298000, -0.013704800, -0.169593000", \ - "0.0044414000, 0.0105762000, 0.0220648000, 0.0354868000, 0.0346691000, -0.012217600, -0.167972200", \ - "0.0046516000, 0.0110769000, 0.0231375000, 0.0373687000, 0.0368814000, -0.008814400, -0.164313600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621240600, 0.1629738000"); - values("0.0016723000, 0.0042131000, 0.0102186000, 0.0236297000, 0.0514019000, 0.1157526000, 0.2791352000", \ - "0.0016652000, 0.0041961000, 0.0101875000, 0.0235797000, 0.0513336000, 0.1162040000, 0.2790945000", \ - "0.0016528000, 0.0041643000, 0.0101234000, 0.0234756000, 0.0512093000, 0.1159469000, 0.2786152000", \ - "0.0016409000, 0.0041341000, 0.0100687000, 0.0233507000, 0.0509959000, 0.1158412000, 0.2783591000", \ - "0.0016522000, 0.0041658000, 0.0101787000, 0.0235123000, 0.0512174000, 0.1155427000, 0.2790463000", \ - "0.0017527000, 0.0044029000, 0.0106811000, 0.0243161000, 0.0522822000, 0.1173285000, 0.2816097000", \ - "0.0020136000, 0.0050299000, 0.0119275000, 0.0260767000, 0.0547567000, 0.1198348000, 0.2820534000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621240600, 0.1629738000"); - values("0.0031865000, 0.0075294000, 0.0154451000, 0.0235479000, 0.0170564000, -0.033412900, -0.190972600", \ - "0.0031790000, 0.0075115000, 0.0154066000, 0.0234813000, 0.0169583000, -0.033524200, -0.191097200", \ - "0.0031651000, 0.0074858000, 0.0153410000, 0.0233502000, 0.0167765000, -0.033756900, -0.191348600", \ - "0.0031548000, 0.0074583000, 0.0152801000, 0.0232432000, 0.0166406000, -0.033920700, -0.191505800", \ - "0.0031420000, 0.0074258000, 0.0151980000, 0.0230809000, 0.0163753000, -0.034207600, -0.191775400", \ - "0.0031814000, 0.0075119000, 0.0153517000, 0.0232936000, 0.0166702000, -0.033849600, -0.191374900", \ - "0.0032509000, 0.0076730000, 0.0156615000, 0.0237513000, 0.0170528000, -0.033144000, -0.190608400"); - } - related_pin : "CI"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621240600, 0.1629738000"); - values("0.0012675000, 0.0032332000, 0.0079567000, 0.0190971000, 0.0449122000, 0.1077638000, 0.2697947000", \ - "0.0012627000, 0.0032226000, 0.0079376000, 0.0190556000, 0.0448913000, 0.1075519000, 0.2700182000", \ - "0.0012537000, 0.0032003000, 0.0078872000, 0.0189733000, 0.0447182000, 0.1074403000, 0.2698143000", \ - "0.0012437000, 0.0031654000, 0.0078288000, 0.0188321000, 0.0445727000, 0.1073368000, 0.2708884000", \ - "0.0012340000, 0.0031411000, 0.0077608000, 0.0187134000, 0.0443914000, 0.1070100000, 0.2705151000", \ - "0.0012195000, 0.0031125000, 0.0076935000, 0.0185901000, 0.0441116000, 0.1066441000, 0.2707750000", \ - "0.0012999000, 0.0033092000, 0.0081104000, 0.0191735000, 0.0447616000, 0.1076833000, 0.2690519000"); - } - } - max_capacitance : 0.1629740000; - max_transition : 1.4968590000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.2945737000, 0.3017945000, 0.3169597000, 0.3470688000, 0.4073135000, 0.5392827000, 0.8647374000", \ - "0.2994545000, 0.3066951000, 0.3218806000, 0.3519441000, 0.4122456000, 0.5441539000, 0.8693951000", \ - "0.3124526000, 0.3196819000, 0.3348643000, 0.3649218000, 0.4252237000, 0.5571197000, 0.8823607000", \ - "0.3427301000, 0.3500124000, 0.3651876000, 0.3952487000, 0.4555368000, 0.5874791000, 0.9129820000", \ - "0.4002657000, 0.4075727000, 0.4227491000, 0.4529620000, 0.5133772000, 0.6453451000, 0.9705754000", \ - "0.4829576000, 0.4902708000, 0.5059952000, 0.5372584000, 0.5987529000, 0.7313387000, 1.0568275000", \ - "0.6109606000, 0.6180512000, 0.6329777000, 0.6631200000, 0.7242749000, 0.8589014000, 1.1850624000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.2840097000, 0.2918432000, 0.3095374000, 0.3492960000, 0.4454712000, 0.6907493000, 1.3350581000", \ - "0.2887213000, 0.2965424000, 0.3142173000, 0.3541320000, 0.4502206000, 0.6957739000, 1.3367405000", \ - "0.2995864000, 0.3075233000, 0.3252731000, 0.3650045000, 0.4610186000, 0.7068265000, 1.3491332000", \ - "0.3203002000, 0.3282171000, 0.3459057000, 0.3856666000, 0.4818250000, 0.7274020000, 1.3699927000", \ - "0.3515266000, 0.3594526000, 0.3772481000, 0.4172822000, 0.5137178000, 0.7591728000, 1.4025339000", \ - "0.3912939000, 0.3991847000, 0.4170422000, 0.4575743000, 0.5545581000, 0.8003496000, 1.4437512000", \ - "0.4233677000, 0.4310686000, 0.4485788000, 0.4883646000, 0.5857558000, 0.8320911000, 1.4730867000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0294644000, 0.0344609000, 0.0454468000, 0.0710414000, 0.1314474000, 0.2859115000, 0.7117754000", \ - "0.0294620000, 0.0343399000, 0.0459714000, 0.0716273000, 0.1318265000, 0.2854033000, 0.7107812000", \ - "0.0295109000, 0.0343494000, 0.0459772000, 0.0716093000, 0.1318020000, 0.2856233000, 0.7107994000", \ - "0.0295405000, 0.0342215000, 0.0453855000, 0.0713922000, 0.1316648000, 0.2862486000, 0.7149840000", \ - "0.0295440000, 0.0347510000, 0.0456923000, 0.0711761000, 0.1316089000, 0.2859415000, 0.7108494000", \ - "0.0290558000, 0.0349078000, 0.0466338000, 0.0724903000, 0.1327303000, 0.2862633000, 0.7109885000", \ - "0.0280794000, 0.0330288000, 0.0444494000, 0.0709508000, 0.1333437000, 0.2886757000, 0.7078171000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0316228000, 0.0386117000, 0.0569530000, 0.1044815000, 0.2331068000, 0.5773541000, 1.4968592000", \ - "0.0316846000, 0.0386835000, 0.0568037000, 0.1044857000, 0.2326274000, 0.5784634000, 1.4913112000", \ - "0.0318084000, 0.0387015000, 0.0568908000, 0.1042968000, 0.2328503000, 0.5777988000, 1.4931636000", \ - "0.0315766000, 0.0386378000, 0.0566921000, 0.1043719000, 0.2329103000, 0.5770331000, 1.4944098000", \ - "0.0312576000, 0.0384118000, 0.0568280000, 0.1044328000, 0.2332099000, 0.5784831000, 1.4891310000", \ - "0.0304634000, 0.0377264000, 0.0563685000, 0.1046238000, 0.2334957000, 0.5784593000, 1.4877167000", \ - "0.0295721000, 0.0367484000, 0.0551641000, 0.1041013000, 0.2336886000, 0.5780217000, 1.4897173000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.2774696000, 0.2846036000, 0.2996196000, 0.3296468000, 0.3898036000, 0.5216343000, 0.8471920000", \ - "0.2812595000, 0.2883982000, 0.3034335000, 0.3334533000, 0.3936054000, 0.5254488000, 0.8509516000", \ - "0.2925285000, 0.2996233000, 0.3147194000, 0.3445788000, 0.4047689000, 0.5366882000, 0.8621331000", \ - "0.3219848000, 0.3291057000, 0.3441595000, 0.3740807000, 0.4342822000, 0.5661704000, 0.8912555000", \ - "0.3918516000, 0.3989722000, 0.4139685000, 0.4438779000, 0.5040626000, 0.6359959000, 0.9610153000", \ - "0.5270330000, 0.5343800000, 0.5497049000, 0.5800604000, 0.6407435000, 0.7728849000, 1.0981599000", \ - "0.7564852000, 0.7647162000, 0.7819110000, 0.8154140000, 0.8809893000, 1.0183598000, 1.3460749000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.2160915000, 0.2239383000, 0.2416700000, 0.2813791000, 0.3775518000, 0.6234502000, 1.2658823000", \ - "0.2210349000, 0.2288762000, 0.2466064000, 0.2863067000, 0.3824482000, 0.6282320000, 1.2694701000", \ - "0.2324076000, 0.2402290000, 0.2579252000, 0.2977791000, 0.3939227000, 0.6394490000, 1.2806038000", \ - "0.2588301000, 0.2666904000, 0.2843764000, 0.3241741000, 0.4202746000, 0.6660803000, 1.3080835000", \ - "0.3111172000, 0.3188426000, 0.3364868000, 0.3762009000, 0.4724022000, 0.7182945000, 1.3601502000", \ - "0.3774528000, 0.3856255000, 0.4039204000, 0.4445900000, 0.5414265000, 0.7872642000, 1.4303866000", \ - "0.4494283000, 0.4586049000, 0.4791018000, 0.5226271000, 0.6211741000, 0.8678151000, 1.5095430000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0292223000, 0.0341930000, 0.0459491000, 0.0712762000, 0.1314427000, 0.2866371000, 0.7115994000", \ - "0.0292454000, 0.0346473000, 0.0459848000, 0.0712762000, 0.1314489000, 0.2866924000, 0.7110157000", \ - "0.0293770000, 0.0342708000, 0.0454600000, 0.0709077000, 0.1314572000, 0.2861792000, 0.7153720000", \ - "0.0293406000, 0.0342289000, 0.0454084000, 0.0711886000, 0.1315761000, 0.2862208000, 0.7120168000", \ - "0.0293885000, 0.0348953000, 0.0461322000, 0.0714563000, 0.1315881000, 0.2860533000, 0.7129152000", \ - "0.0307474000, 0.0358084000, 0.0470725000, 0.0727857000, 0.1326133000, 0.2874430000, 0.7160306000", \ - "0.0358621000, 0.0413422000, 0.0540732000, 0.0810028000, 0.1422028000, 0.2949332000, 0.7138592000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0306753000, 0.0377190000, 0.0560879000, 0.1039378000, 0.2325074000, 0.5769290000, 1.4891728000", \ - "0.0306907000, 0.0377150000, 0.0560947000, 0.1039614000, 0.2327777000, 0.5778932000, 1.4961122000", \ - "0.0308543000, 0.0378601000, 0.0559814000, 0.1038110000, 0.2328379000, 0.5783509000, 1.4916119000", \ - "0.0308625000, 0.0378234000, 0.0559222000, 0.1038909000, 0.2326928000, 0.5773259000, 1.4919271000", \ - "0.0304696000, 0.0376900000, 0.0559429000, 0.1035524000, 0.2328391000, 0.5768396000, 1.4964714000", \ - "0.0319171000, 0.0392883000, 0.0578589000, 0.1053636000, 0.2335957000, 0.5787290000, 1.4958711000", \ - "0.0361532000, 0.0444041000, 0.0636481000, 0.1109072000, 0.2365982000, 0.5804295000, 1.4888181000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.1948453000, 0.2053915000, 0.2260506000, 0.2627595000, 0.3284120000, 0.4633700000, 0.7894111000", \ - "0.2001393000, 0.2106932000, 0.2313659000, 0.2680747000, 0.3337434000, 0.4687048000, 0.7947456000", \ - "0.2128602000, 0.2234426000, 0.2441500000, 0.2807493000, 0.3465079000, 0.4814849000, 0.8075131000", \ - "0.2442329000, 0.2548581000, 0.2755255000, 0.3121097000, 0.3778945000, 0.5128183000, 0.8388770000", \ - "0.3195187000, 0.3299440000, 0.3504800000, 0.3868839000, 0.4527448000, 0.5877721000, 0.9139013000", \ - "0.4698936000, 0.4818453000, 0.5047942000, 0.5437877000, 0.6111919000, 0.7468463000, 1.0730684000", \ - "0.7129969000, 0.7297599000, 0.7604013000, 0.8085490000, 0.8845399000, 1.0272957000, 1.3557943000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.1240302000, 0.1317831000, 0.1493761000, 0.1895707000, 0.2870579000, 0.5334003000, 1.1748479000", \ - "0.1287535000, 0.1365008000, 0.1540904000, 0.1942761000, 0.2917828000, 0.5380666000, 1.1790178000", \ - "0.1398966000, 0.1476458000, 0.1652306000, 0.2054018000, 0.3029197000, 0.5493186000, 1.1915878000", \ - "0.1663156000, 0.1740395000, 0.1916334000, 0.2317407000, 0.3292199000, 0.5757366000, 1.2180115000", \ - "0.2174907000, 0.2255224000, 0.2434922000, 0.2838598000, 0.3814493000, 0.6279672000, 1.2719189000", \ - "0.2921486000, 0.3015440000, 0.3218125000, 0.3649358000, 0.4641842000, 0.7112758000, 1.3550638000", \ - "0.3802789000, 0.3928622000, 0.4191363000, 0.4694178000, 0.5733003000, 0.8211049000, 1.4627257000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0483439000, 0.0530530000, 0.0633478000, 0.0853303000, 0.1409722000, 0.2902341000, 0.7137650000", \ - "0.0483633000, 0.0530677000, 0.0633504000, 0.0853491000, 0.1409764000, 0.2902358000, 0.7137570000", \ - "0.0483013000, 0.0529957000, 0.0634829000, 0.0854521000, 0.1409819000, 0.2902069000, 0.7160506000", \ - "0.0482271000, 0.0530290000, 0.0633139000, 0.0855628000, 0.1409956000, 0.2902509000, 0.7135995000", \ - "0.0481505000, 0.0530453000, 0.0633873000, 0.0857788000, 0.1405646000, 0.2901948000, 0.7134499000", \ - "0.0611165000, 0.0648732000, 0.0734331000, 0.0925979000, 0.1443621000, 0.2912265000, 0.7155828000", \ - "0.0949579000, 0.0986706000, 0.1045505000, 0.1169128000, 0.1628863000, 0.3028518000, 0.7141756000"); - } - related_pin : "CI"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0285445000, 0.0360027000, 0.0546900000, 0.1040592000, 0.2347647000, 0.5774806000, 1.4924024000", \ - "0.0286298000, 0.0359883000, 0.0547943000, 0.1040604000, 0.2341838000, 0.5777707000, 1.4882825000", \ - "0.0286046000, 0.0359753000, 0.0547800000, 0.1040668000, 0.2347973000, 0.5785951000, 1.4919285000", \ - "0.0285457000, 0.0359564000, 0.0548217000, 0.1041225000, 0.2341963000, 0.5772973000, 1.4924950000", \ - "0.0302669000, 0.0375186000, 0.0561732000, 0.1048096000, 0.2343693000, 0.5777996000, 1.4937416000", \ - "0.0370816000, 0.0446982000, 0.0630697000, 0.1103932000, 0.2377379000, 0.5791326000, 1.4947159000", \ - "0.0510446000, 0.0606574000, 0.0803071000, 0.1250347000, 0.2446942000, 0.5809639000, 1.4888593000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - pin ("SUM") { - direction : "output"; - function : "(A&!B&!CI) | (!A&B&!CI) | (!A&!B&CI) | (A&B&CI)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0041664000, 0.0098889000, 0.0205648000, 0.0328099000, 0.0306399000, -0.016416400, -0.170594400", \ - "0.0041514000, 0.0098547000, 0.0204756000, 0.0326395000, 0.0304166000, -0.016673400, -0.170836900", \ - "0.0041278000, 0.0097973000, 0.0203662000, 0.0324483000, 0.0300792000, -0.017096100, -0.171305000", \ - "0.0040924000, 0.0097136000, 0.0201859000, 0.0321066000, 0.0296003000, -0.017648300, -0.171952000", \ - "0.0040577000, 0.0096336000, 0.0199979000, 0.0318099000, 0.0291416000, -0.018201300, -0.172513900", \ - "0.0040733000, 0.0096580000, 0.0200502000, 0.0319066000, 0.0293497000, -0.017975800, -0.172266700", \ - "0.0042312000, 0.0100462000, 0.0209114000, 0.0334149000, 0.0314327000, -0.015830000, -0.169995600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0035581000, 0.0087527000, 0.0199550000, 0.0412256000, 0.0769155000, 0.1460213000, 0.3110054000", \ - "0.0035342000, 0.0086904000, 0.0198360000, 0.0410593000, 0.0766261000, 0.1454962000, 0.3104126000", \ - "0.0035136000, 0.0086422000, 0.0197260000, 0.0408606000, 0.0763360000, 0.1451567000, 0.3100491000", \ - "0.0035046000, 0.0086160000, 0.0196835000, 0.0407495000, 0.0762401000, 0.1449017000, 0.3100079000", \ - "0.0034888000, 0.0085980000, 0.0196092000, 0.0406530000, 0.0760080000, 0.1453734000, 0.3085161000", \ - "0.0035484000, 0.0087225000, 0.0200158000, 0.0414084000, 0.0770116000, 0.1460592000, 0.3113003000", \ - "0.0038703000, 0.0095048000, 0.0215591000, 0.0433915000, 0.0799219000, 0.1491380000, 0.3128446000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0031929000, 0.0075508000, 0.0154739000, 0.0236254000, 0.0172802000, -0.032464300, -0.188014500", \ - "0.0031859000, 0.0075350000, 0.0154467000, 0.0235800000, 0.0171803000, -0.032541900, -0.188119500", \ - "0.0031741000, 0.0075064000, 0.0153881000, 0.0234759000, 0.0170365000, -0.032757000, -0.188327800", \ - "0.0031630000, 0.0074735000, 0.0153299000, 0.0233483000, 0.0168692000, -0.032923500, -0.188508200", \ - "0.0031822000, 0.0075170000, 0.0154255000, 0.0235103000, 0.0171134000, -0.032673300, -0.188233200", \ - "0.0032688000, 0.0077266000, 0.0158744000, 0.0243120000, 0.0183257000, -0.031282600, -0.186702200", \ - "0.0034609000, 0.0081911000, 0.0168551000, 0.0260725000, 0.0209293000, -0.028450100, -0.183920200"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0029184000, 0.0072134000, 0.0166141000, 0.0351325000, 0.0681155000, 0.1354768000, 0.2994498000", \ - "0.0028913000, 0.0071451000, 0.0164756000, 0.0348763000, 0.0677115000, 0.1353165000, 0.2972250000", \ - "0.0028648000, 0.0070844000, 0.0163413000, 0.0346117000, 0.0673725000, 0.1345086000, 0.2969706000", \ - "0.0028628000, 0.0070746000, 0.0163138000, 0.0345521000, 0.0673562000, 0.1348095000, 0.2967212000", \ - "0.0028772000, 0.0071108000, 0.0164223000, 0.0346796000, 0.0675342000, 0.1344946000, 0.2976040000", \ - "0.0029729000, 0.0073409000, 0.0169061000, 0.0354775000, 0.0687667000, 0.1363962000, 0.3004095000", \ - "0.0032237000, 0.0079394000, 0.0181613000, 0.0373682000, 0.0715863000, 0.1396177000, 0.3022499000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0027392000, 0.0064394000, 0.0130368000, 0.0191041000, 0.0109071000, -0.040484600, -0.196764400", \ - "0.0027323000, 0.0064283000, 0.0130217000, 0.0190492000, 0.0108309000, -0.040533200, -0.196810200", \ - "0.0027232000, 0.0064052000, 0.0129707000, 0.0189682000, 0.0107057000, -0.040720600, -0.197003600", \ - "0.0027115000, 0.0063751000, 0.0128987000, 0.0188291000, 0.0104963000, -0.040945800, -0.197260700", \ - "0.0026984000, 0.0063420000, 0.0128243000, 0.0187082000, 0.0103333000, -0.041171100, -0.197506000", \ - "0.0026867000, 0.0063130000, 0.0127645000, 0.0185860000, 0.0101588000, -0.041376800, -0.197713400", \ - "0.0027435000, 0.0064508000, 0.0130680000, 0.0191741000, 0.0107061000, -0.040877300, -0.197190800"); - } - related_pin : "CI"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0016950000, 0.0042592000, 0.0102404000, 0.0235453000, 0.0510604000, 0.1145247000, 0.2769765000", \ - "0.0016875000, 0.0042416000, 0.0102026000, 0.0234786000, 0.0509641000, 0.1143840000, 0.2768862000", \ - "0.0016775000, 0.0042159000, 0.0101391000, 0.0233477000, 0.0507557000, 0.1142483000, 0.2765230000", \ - "0.0016635000, 0.0041835000, 0.0100704000, 0.0232407000, 0.0505980000, 0.1140789000, 0.2761286000", \ - "0.0016455000, 0.0041414000, 0.0099866000, 0.0230787000, 0.0503535000, 0.1137006000, 0.2746963000", \ - "0.0016689000, 0.0041939000, 0.0100696000, 0.0232917000, 0.0506730000, 0.1141364000, 0.2751261000", \ - "0.0017782000, 0.0044603000, 0.0106446000, 0.0237520000, 0.0516138000, 0.1150503000, 0.2773362000"); - } - } - max_capacitance : 0.1611430000; - max_transition : 1.5012090000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2702396000, 0.2771109000, 0.2913574000, 0.3198568000, 0.3773000000, 0.5047683000, 0.8209084000", \ - "0.2750705000, 0.2818685000, 0.2962351000, 0.3246711000, 0.3821849000, 0.5096495000, 0.8257942000", \ - "0.2880708000, 0.2948729000, 0.3092354000, 0.3376782000, 0.3951885000, 0.5226530000, 0.8387995000", \ - "0.3184288000, 0.3253024000, 0.3395663000, 0.3680236000, 0.4255133000, 0.5529877000, 0.8690970000", \ - "0.3755441000, 0.3823050000, 0.3966355000, 0.4250174000, 0.4824650000, 0.6098433000, 0.9259090000", \ - "0.4614753000, 0.4682479000, 0.4824732000, 0.5105357000, 0.5675380000, 0.6943381000, 1.0102257000", \ - "0.5924807000, 0.5992767000, 0.6135832000, 0.6417276000, 0.6984625000, 0.8242437000, 1.1389556000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2799725000, 0.2877954000, 0.3051779000, 0.3438410000, 0.4390376000, 0.6846730000, 1.3263270000", \ - "0.2847654000, 0.2927080000, 0.3099744000, 0.3485481000, 0.4439845000, 0.6895556000, 1.3310394000", \ - "0.2958162000, 0.3037565000, 0.3209744000, 0.3595542000, 0.4549357000, 0.7001426000, 1.3420561000", \ - "0.3165764000, 0.3243221000, 0.3416363000, 0.3804989000, 0.4755691000, 0.7212865000, 1.3626895000", \ - "0.3484624000, 0.3560730000, 0.3734654000, 0.4122399000, 0.5074554000, 0.7531630000, 1.3945007000", \ - "0.3895975000, 0.3972879000, 0.4145502000, 0.4535484000, 0.5486636000, 0.7942377000, 1.4372832000", \ - "0.4226120000, 0.4302875000, 0.4473085000, 0.4862183000, 0.5811441000, 0.8265402000, 1.4670426000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0254746000, 0.0301720000, 0.0414399000, 0.0653144000, 0.1244207000, 0.2754358000, 0.6882058000", \ - "0.0256669000, 0.0301769000, 0.0410967000, 0.0661314000, 0.1245646000, 0.2756396000, 0.6807185000", \ - "0.0256600000, 0.0301805000, 0.0411359000, 0.0661531000, 0.1245619000, 0.2756274000, 0.6806217000", \ - "0.0253340000, 0.0300630000, 0.0414026000, 0.0662576000, 0.1243639000, 0.2755095000, 0.6817387000", \ - "0.0254238000, 0.0299656000, 0.0408831000, 0.0659229000, 0.1244852000, 0.2754235000, 0.6855627000", \ - "0.0249007000, 0.0295323000, 0.0405423000, 0.0649023000, 0.1233063000, 0.2742686000, 0.6871234000", \ - "0.0251872000, 0.0298417000, 0.0406466000, 0.0652037000, 0.1229491000, 0.2718617000, 0.6812444000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0298432000, 0.0366214000, 0.0539370000, 0.1012363000, 0.2317816000, 0.5790272000, 1.4949508000", \ - "0.0298536000, 0.0364565000, 0.0539382000, 0.1012560000, 0.2319184000, 0.5794122000, 1.4985019000", \ - "0.0298347000, 0.0364976000, 0.0540742000, 0.1014283000, 0.2318684000, 0.5795120000, 1.5012093000", \ - "0.0295922000, 0.0367996000, 0.0539190000, 0.1013529000, 0.2312249000, 0.5794409000, 1.4941285000", \ - "0.0292330000, 0.0362216000, 0.0537524000, 0.1011194000, 0.2315101000, 0.5793337000, 1.4937843000", \ - "0.0288482000, 0.0355295000, 0.0532323000, 0.1009192000, 0.2308430000, 0.5789473000, 1.4982463000", \ - "0.0278633000, 0.0346522000, 0.0528732000, 0.1006491000, 0.2315569000, 0.5797550000, 1.4933231000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2264463000, 0.2336452000, 0.2488322000, 0.2787842000, 0.3378993000, 0.4641423000, 0.7789631000", \ - "0.2312304000, 0.2384539000, 0.2535577000, 0.2835956000, 0.3426427000, 0.4688639000, 0.7838987000", \ - "0.2421666000, 0.2492938000, 0.2644466000, 0.2944715000, 0.3535531000, 0.4797923000, 0.7947998000", \ - "0.2673979000, 0.2746293000, 0.2898847000, 0.3199358000, 0.3789316000, 0.5051013000, 0.8200469000", \ - "0.3177931000, 0.3251600000, 0.3406443000, 0.3707005000, 0.4292849000, 0.5552449000, 0.8703449000", \ - "0.3946272000, 0.4019909000, 0.4171866000, 0.4465496000, 0.5041934000, 0.6300663000, 0.9457120000", \ - "0.4747459000, 0.4819730000, 0.4967309000, 0.5255864000, 0.5828618000, 0.7091129000, 1.0244806000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2733325000, 0.2812661000, 0.2990831000, 0.3394724000, 0.4359026000, 0.6821158000, 1.3241298000", \ - "0.2782132000, 0.2861421000, 0.3041147000, 0.3443758000, 0.4410254000, 0.6871221000, 1.3313242000", \ - "0.2910849000, 0.2990787000, 0.3169883000, 0.3572603000, 0.4539119000, 0.7000427000, 1.3440918000", \ - "0.3215766000, 0.3294794000, 0.3473158000, 0.3873782000, 0.4840626000, 0.7302592000, 1.3703982000", \ - "0.3895920000, 0.3975108000, 0.4153570000, 0.4553697000, 0.5519057000, 0.7979857000, 1.4401023000", \ - "0.5214839000, 0.5294370000, 0.5472243000, 0.5870799000, 0.6832977000, 0.9294500000, 1.5727810000", \ - "0.7384445000, 0.7466388000, 0.7645081000, 0.8037954000, 0.8988413000, 1.1435529000, 1.7853554000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0266496000, 0.0321443000, 0.0434426000, 0.0690135000, 0.1256493000, 0.2720970000, 0.6856982000", \ - "0.0269253000, 0.0320501000, 0.0433096000, 0.0687696000, 0.1257079000, 0.2720775000, 0.6846647000", \ - "0.0265452000, 0.0317970000, 0.0435955000, 0.0687559000, 0.1257088000, 0.2721373000, 0.6847131000", \ - "0.0267632000, 0.0322922000, 0.0436711000, 0.0689376000, 0.1253520000, 0.2720758000, 0.6834353000", \ - "0.0274460000, 0.0328601000, 0.0444254000, 0.0689220000, 0.1250027000, 0.2721145000, 0.6860240000", \ - "0.0282509000, 0.0334161000, 0.0439843000, 0.0679195000, 0.1233831000, 0.2724739000, 0.6810603000", \ - "0.0284778000, 0.0331430000, 0.0441296000, 0.0675022000, 0.1241324000, 0.2736652000, 0.6811267000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0288748000, 0.0360845000, 0.0547998000, 0.1033629000, 0.2330201000, 0.5796800000, 1.4970315000", \ - "0.0289288000, 0.0361809000, 0.0548350000, 0.1033032000, 0.2330500000, 0.5799422000, 1.4982755000", \ - "0.0289767000, 0.0362467000, 0.0548144000, 0.1033177000, 0.2330811000, 0.5800024000, 1.4982864000", \ - "0.0288409000, 0.0360771000, 0.0547428000, 0.1033004000, 0.2329517000, 0.5788938000, 1.4935525000", \ - "0.0290519000, 0.0362391000, 0.0547923000, 0.1032335000, 0.2328096000, 0.5796999000, 1.4957724000", \ - "0.0302827000, 0.0370789000, 0.0552032000, 0.1032318000, 0.2326067000, 0.5791217000, 1.4981181000", \ - "0.0332461000, 0.0401713000, 0.0571502000, 0.1042515000, 0.2336391000, 0.5781802000, 1.4967555000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2576722000, 0.2646620000, 0.2793211000, 0.3080522000, 0.3653458000, 0.4917954000, 0.8072191000", \ - "0.2616179000, 0.2686660000, 0.2833576000, 0.3120590000, 0.3693072000, 0.4957051000, 0.8114669000", \ - "0.2727185000, 0.2797518000, 0.2943907000, 0.3232015000, 0.3804398000, 0.5069349000, 0.8227262000", \ - "0.3022837000, 0.3093278000, 0.3240202000, 0.3527437000, 0.4100115000, 0.5364383000, 0.8522293000", \ - "0.3750046000, 0.3820096000, 0.3966915000, 0.4254180000, 0.4828004000, 0.6092232000, 0.9247702000", \ - "0.5085085000, 0.5155334000, 0.5302065000, 0.5587368000, 0.6160664000, 0.7426061000, 1.0585396000", \ - "0.7197842000, 0.7268187000, 0.7414550000, 0.7701034000, 0.8273083000, 0.9538627000, 1.2691606000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2148892000, 0.2228252000, 0.2400865000, 0.2787410000, 0.3743651000, 0.6199922000, 1.2632209000", \ - "0.2202041000, 0.2281348000, 0.2454085000, 0.2841300000, 0.3796921000, 0.6253648000, 1.2666284000", \ - "0.2320799000, 0.2398870000, 0.2572685000, 0.2960984000, 0.3913080000, 0.6370632000, 1.2780732000", \ - "0.2583562000, 0.2661374000, 0.2834315000, 0.3224085000, 0.4177666000, 0.6631460000, 1.3037391000", \ - "0.3114467000, 0.3193223000, 0.3367325000, 0.3754684000, 0.4707159000, 0.7163042000, 1.3574070000", \ - "0.3798793000, 0.3877106000, 0.4050026000, 0.4434973000, 0.5385858000, 0.7843646000, 1.4287763000", \ - "0.4462778000, 0.4540853000, 0.4713517000, 0.5102941000, 0.6051771000, 0.8504012000, 1.4909225000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0266926000, 0.0313588000, 0.0423262000, 0.0669598000, 0.1238425000, 0.2738500000, 0.6857198000", \ - "0.0265837000, 0.0313758000, 0.0423608000, 0.0665425000, 0.1239569000, 0.2739600000, 0.6879349000", \ - "0.0265990000, 0.0314251000, 0.0422636000, 0.0665156000, 0.1240950000, 0.2740634000, 0.6865021000", \ - "0.0266764000, 0.0314719000, 0.0424029000, 0.0668348000, 0.1239865000, 0.2740272000, 0.6875693000", \ - "0.0269554000, 0.0315899000, 0.0425322000, 0.0670312000, 0.1239193000, 0.2740250000, 0.6885330000", \ - "0.0267837000, 0.0315525000, 0.0423116000, 0.0667098000, 0.1237368000, 0.2741088000, 0.6882339000", \ - "0.0267577000, 0.0315418000, 0.0425367000, 0.0667646000, 0.1243283000, 0.2741961000, 0.6828780000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0293631000, 0.0361860000, 0.0537753000, 0.1012686000, 0.2318941000, 0.5798504000, 1.4980821000", \ - "0.0294147000, 0.0361653000, 0.0537886000, 0.1013591000, 0.2320417000, 0.5791823000, 1.4983995000", \ - "0.0297527000, 0.0362946000, 0.0538712000, 0.1012560000, 0.2313672000, 0.5797866000, 1.4954386000", \ - "0.0294904000, 0.0361419000, 0.0538831000, 0.1014282000, 0.2318128000, 0.5799803000, 1.4990222000", \ - "0.0296119000, 0.0362637000, 0.0537917000, 0.1013537000, 0.2315473000, 0.5798305000, 1.4940750000", \ - "0.0293014000, 0.0362109000, 0.0537384000, 0.1013850000, 0.2314507000, 0.5797302000, 1.4989803000", \ - "0.0292419000, 0.0361922000, 0.0538834000, 0.1014427000, 0.2318254000, 0.5799791000, 1.4936656000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1946602000, 0.2018575000, 0.2168331000, 0.2461418000, 0.3043430000, 0.4317986000, 0.7479191000", \ - "0.1988106000, 0.2060022000, 0.2209820000, 0.2503105000, 0.3085111000, 0.4359687000, 0.7521193000", \ - "0.2087830000, 0.2159984000, 0.2309408000, 0.2603615000, 0.3185369000, 0.4459367000, 0.7620231000", \ - "0.2326915000, 0.2399140000, 0.2549506000, 0.2843585000, 0.3425193000, 0.4699764000, 0.7860588000", \ - "0.2774515000, 0.2846033000, 0.2996751000, 0.3291138000, 0.3873894000, 0.5148307000, 0.8308928000", \ - "0.3349927000, 0.3420847000, 0.3569617000, 0.3864218000, 0.4449505000, 0.5726188000, 0.8887313000", \ - "0.3989540000, 0.4060471000, 0.4208609000, 0.4502191000, 0.5089970000, 0.6370244000, 0.9530864000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2353939000, 0.2432864000, 0.2611231000, 0.3013105000, 0.3982361000, 0.6445575000, 1.2884395000", \ - "0.2383805000, 0.2462778000, 0.2641335000, 0.3044175000, 0.4013620000, 0.6477166000, 1.2914171000", \ - "0.2475301000, 0.2554647000, 0.2732224000, 0.3134027000, 0.4103539000, 0.6565973000, 1.2977700000", \ - "0.2728249000, 0.2807046000, 0.2983790000, 0.3388408000, 0.4358408000, 0.6822128000, 1.3259067000", \ - "0.3361760000, 0.3440460000, 0.3618362000, 0.4021170000, 0.4991536000, 0.7452949000, 1.3857639000", \ - "0.4577045000, 0.4656379000, 0.4834477000, 0.5235801000, 0.6207894000, 0.8674643000, 1.5101076000", \ - "0.6470818000, 0.6554910000, 0.6735752000, 0.7138339000, 0.8112258000, 1.0585836000, 1.7000401000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0265974000, 0.0319125000, 0.0429745000, 0.0677058000, 0.1250934000, 0.2739514000, 0.6874737000", \ - "0.0265874000, 0.0318819000, 0.0429551000, 0.0677152000, 0.1251265000, 0.2741018000, 0.6876123000", \ - "0.0265048000, 0.0319192000, 0.0431388000, 0.0676013000, 0.1250092000, 0.2736912000, 0.6875814000", \ - "0.0263785000, 0.0316961000, 0.0431426000, 0.0676151000, 0.1250996000, 0.2738953000, 0.6877515000", \ - "0.0263460000, 0.0312700000, 0.0425112000, 0.0675446000, 0.1248791000, 0.2737669000, 0.6872699000", \ - "0.0260602000, 0.0313905000, 0.0427286000, 0.0674828000, 0.1254203000, 0.2742827000, 0.6865297000", \ - "0.0268472000, 0.0317734000, 0.0431054000, 0.0680941000, 0.1258688000, 0.2743810000, 0.6817616000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0282271000, 0.0356377000, 0.0544556000, 0.1033506000, 0.2330499000, 0.5791400000, 1.4985748000", \ - "0.0284258000, 0.0357094000, 0.0545315000, 0.1034046000, 0.2331298000, 0.5796755000, 1.4978766000", \ - "0.0281629000, 0.0355830000, 0.0543967000, 0.1032648000, 0.2329325000, 0.5792182000, 1.4950249000", \ - "0.0281712000, 0.0354364000, 0.0543096000, 0.1033306000, 0.2331811000, 0.5800159000, 1.4977284000", \ - "0.0281970000, 0.0354165000, 0.0542548000, 0.1031834000, 0.2332818000, 0.5789529000, 1.4964729000", \ - "0.0290072000, 0.0361287000, 0.0545337000, 0.1034083000, 0.2335658000, 0.5795573000, 1.4961411000", \ - "0.0317630000, 0.0385811000, 0.0560324000, 0.1040933000, 0.2341816000, 0.5804081000, 1.4929390000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1950637000, 0.2043715000, 0.2223318000, 0.2545851000, 0.3148578000, 0.4438505000, 0.7601402000", \ - "0.2003440000, 0.2097232000, 0.2276402000, 0.2598698000, 0.3202079000, 0.4491515000, 0.7655438000", \ - "0.2132037000, 0.2225357000, 0.2404252000, 0.2726271000, 0.3330631000, 0.4620239000, 0.7778025000", \ - "0.2447296000, 0.2540514000, 0.2719346000, 0.3041006000, 0.3644263000, 0.4934144000, 0.8097981000", \ - "0.3197405000, 0.3289397000, 0.3467300000, 0.3787919000, 0.4392673000, 0.5683272000, 0.8843610000", \ - "0.4724164000, 0.4826791000, 0.5022676000, 0.5363493000, 0.5983382000, 0.7282072000, 1.0445911000", \ - "0.7182315000, 0.7327000000, 0.7583510000, 0.7999698000, 0.8700554000, 1.0068810000, 1.3254684000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1247380000, 0.1329502000, 0.1514736000, 0.1923236000, 0.2882358000, 0.5340854000, 1.1745590000", \ - "0.1294665000, 0.1376816000, 0.1562025000, 0.1970522000, 0.2929396000, 0.5388809000, 1.1787938000", \ - "0.1404944000, 0.1487147000, 0.1672406000, 0.2080859000, 0.3041018000, 0.5496799000, 1.1911186000", \ - "0.1667898000, 0.1750152000, 0.1934845000, 0.2343205000, 0.3303486000, 0.5759893000, 1.2181649000", \ - "0.2176684000, 0.2262107000, 0.2450990000, 0.2862639000, 0.3822945000, 0.6281879000, 1.2696084000", \ - "0.2925137000, 0.3025615000, 0.3239400000, 0.3678244000, 0.4653125000, 0.7109016000, 1.3523114000", \ - "0.3812401000, 0.3948162000, 0.4223102000, 0.4736888000, 0.5748705000, 0.8215817000, 1.4614024000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0417858000, 0.0448097000, 0.0529580000, 0.0745643000, 0.1302060000, 0.2777844000, 0.6833480000", \ - "0.0419716000, 0.0447669000, 0.0531431000, 0.0744472000, 0.1301072000, 0.2774204000, 0.6893515000", \ - "0.0415597000, 0.0447578000, 0.0533595000, 0.0748801000, 0.1298895000, 0.2773987000, 0.6857795000", \ - "0.0418185000, 0.0447106000, 0.0529617000, 0.0744980000, 0.1301231000, 0.2775905000, 0.6813487000", \ - "0.0415920000, 0.0448725000, 0.0530530000, 0.0742935000, 0.1297158000, 0.2772085000, 0.6862074000", \ - "0.0536505000, 0.0552868000, 0.0609968000, 0.0800325000, 0.1332975000, 0.2784668000, 0.6877032000", \ - "0.0893717000, 0.0880352000, 0.0874449000, 0.1003682000, 0.1503789000, 0.2897110000, 0.6898217000"); - } - related_pin : "CI"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0284793000, 0.0363223000, 0.0557249000, 0.1036118000, 0.2326025000, 0.5800286000, 1.4999264000", \ - "0.0284659000, 0.0363275000, 0.0557474000, 0.1036425000, 0.2326055000, 0.5805254000, 1.5002211000", \ - "0.0285149000, 0.0364199000, 0.0556813000, 0.1038016000, 0.2324434000, 0.5795596000, 1.4990720000", \ - "0.0284903000, 0.0364496000, 0.0556669000, 0.1038779000, 0.2325246000, 0.5800391000, 1.4976503000", \ - "0.0301967000, 0.0379266000, 0.0572358000, 0.1045337000, 0.2327675000, 0.5802576000, 1.4994500000", \ - "0.0371443000, 0.0454290000, 0.0641315000, 0.1098295000, 0.2343849000, 0.5801038000, 1.4942982000", \ - "0.0510816000, 0.0618093000, 0.0818513000, 0.1238275000, 0.2407270000, 0.5820404000, 1.4960514000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2210752000, 0.2283849000, 0.2434517000, 0.2724010000, 0.3298120000, 0.4563088000, 0.7716783000", \ - "0.2258528000, 0.2331479000, 0.2481967000, 0.2771832000, 0.3346224000, 0.4611024000, 0.7764016000", \ - "0.2371868000, 0.2444596000, 0.2595101000, 0.2884847000, 0.3459009000, 0.4724030000, 0.7875718000", \ - "0.2639862000, 0.2713935000, 0.2863938000, 0.3154156000, 0.3728565000, 0.4992502000, 0.8150432000", \ - "0.3167716000, 0.3241612000, 0.3392407000, 0.3681791000, 0.4256297000, 0.5521534000, 0.8675116000", \ - "0.3971725000, 0.4046700000, 0.4199310000, 0.4490798000, 0.5066959000, 0.6333154000, 0.9491109000", \ - "0.4992449000, 0.5069742000, 0.5226196000, 0.5523427000, 0.6105519000, 0.7373740000, 1.0531696000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2447323000, 0.2524574000, 0.2697139000, 0.3084391000, 0.4034056000, 0.6487823000, 1.2914297000", \ - "0.2501226000, 0.2578450000, 0.2751018000, 0.3138259000, 0.4087917000, 0.6541667000, 1.2968691000", \ - "0.2631291000, 0.2708317000, 0.2879935000, 0.3267608000, 0.4217312000, 0.6670448000, 1.3077799000", \ - "0.2945092000, 0.3022459000, 0.3194645000, 0.3581831000, 0.4531484000, 0.6985197000, 1.3406868000", \ - "0.3693403000, 0.3770469000, 0.3942402000, 0.4329930000, 0.5279626000, 0.7732428000, 1.4135185000", \ - "0.5211435000, 0.5289935000, 0.5463299000, 0.5853043000, 0.6803128000, 0.9258093000, 1.5660689000", \ - "0.7700026000, 0.7782811000, 0.7962522000, 0.8357712000, 0.9310896000, 1.1768917000, 1.8182406000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0299136000, 0.0342121000, 0.0447199000, 0.0680310000, 0.1247565000, 0.2740987000, 0.6878909000", \ - "0.0301090000, 0.0342691000, 0.0449395000, 0.0677627000, 0.1249221000, 0.2735351000, 0.6867088000", \ - "0.0300013000, 0.0342231000, 0.0448616000, 0.0677330000, 0.1245462000, 0.2736554000, 0.6882043000", \ - "0.0296825000, 0.0342092000, 0.0445290000, 0.0682555000, 0.1251384000, 0.2745782000, 0.6874849000", \ - "0.0298138000, 0.0343620000, 0.0448254000, 0.0680041000, 0.1246971000, 0.2738505000, 0.6869973000", \ - "0.0304550000, 0.0349535000, 0.0454638000, 0.0692026000, 0.1244362000, 0.2748811000, 0.6882769000", \ - "0.0323415000, 0.0366727000, 0.0470255000, 0.0700260000, 0.1262816000, 0.2751237000, 0.6796310000"); - } - related_pin : "CI"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0273694000, 0.0347571000, 0.0528205000, 0.1006629000, 0.2314410000, 0.5795808000, 1.4974066000", \ - "0.0273688000, 0.0347548000, 0.0528258000, 0.1006596000, 0.2314143000, 0.5796185000, 1.4975248000", \ - "0.0273468000, 0.0346663000, 0.0529399000, 0.1008129000, 0.2316190000, 0.5787208000, 1.4986949000", \ - "0.0272904000, 0.0347626000, 0.0527988000, 0.1006956000, 0.2314881000, 0.5792926000, 1.4988787000", \ - "0.0274560000, 0.0346836000, 0.0529534000, 0.1008621000, 0.2315553000, 0.5792914000, 1.4929621000", \ - "0.0280490000, 0.0351954000, 0.0532655000, 0.1012057000, 0.2317124000, 0.5787171000, 1.4964961000", \ - "0.0300656000, 0.0372115000, 0.0552129000, 0.1024028000, 0.2321732000, 0.5787447000, 1.4954034000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__fahcin_1") { - leakage_power () { - value : 0.0222784000; - when : "!A&!B&CIN"; - } - leakage_power () { - value : 0.0142747000; - when : "!A&!B&!CIN"; - } - leakage_power () { - value : 0.0201665000; - when : "!A&B&CIN"; - } - leakage_power () { - value : 0.0172217000; - when : "!A&B&!CIN"; - } - leakage_power () { - value : 0.0182823000; - when : "A&!B&CIN"; - } - leakage_power () { - value : 0.0166736000; - when : "A&!B&!CIN"; - } - leakage_power () { - value : 0.0237029000; - when : "A&B&CIN"; - } - leakage_power () { - value : 0.0142838000; - when : "A&B&!CIN"; - } - area : 33.782400000; - cell_footprint : "sky130_fd_sc_hd__fahcin"; - cell_leakage_power : 0.0183604900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024400000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023020000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025790000; - } - pin ("B") { - capacitance : 0.0064330000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0061760000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0066890000; - } - pin ("CIN") { - capacitance : 0.0047150000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0044700000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0049600000; - } - pin ("COUT") { - direction : "output"; - function : "(A&!CIN) | (A&B) | (B&!CIN)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0055675000, 0.0114178000, 0.0209417000, 0.0317423000, 0.0360750000, 0.0199052000, -0.038082700", \ - "0.0055563000, 0.0113918000, 0.0208792000, 0.0316558000, 0.0359653000, 0.0198115000, -0.038171100", \ - "0.0055384000, 0.0113557000, 0.0208150000, 0.0315408000, 0.0358000000, 0.0196204000, -0.038351600", \ - "0.0055247000, 0.0113251000, 0.0207503000, 0.0314462000, 0.0356746000, 0.0194688000, -0.038538900", \ - "0.0055039000, 0.0112844000, 0.0206733000, 0.0313041000, 0.0354869000, 0.0192449000, -0.038775700", \ - "0.0055352000, 0.0113404000, 0.0208948000, 0.0316251000, 0.0356374000, 0.0194716000, -0.038470400", \ - "0.0057553000, 0.0117970000, 0.0216065000, 0.0327531000, 0.0372392000, 0.0215644000, -0.036148800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0030802000, 0.0065716000, 0.0138161000, 0.0257224000, 0.0444567000, 0.0772045000, 0.1440451000", \ - "0.0030721000, 0.0065624000, 0.0137952000, 0.0257002000, 0.0444401000, 0.0774257000, 0.1442573000", \ - "0.0030615000, 0.0065279000, 0.0137343000, 0.0256114000, 0.0444405000, 0.0774057000, 0.1447082000", \ - "0.0030351000, 0.0064782000, 0.0136383000, 0.0254442000, 0.0441857000, 0.0770202000, 0.1444180000", \ - "0.0030153000, 0.0064311000, 0.0135433000, 0.0252565000, 0.0439239000, 0.0768671000, 0.1441221000", \ - "0.0030286000, 0.0064541000, 0.0135711000, 0.0252418000, 0.0437998000, 0.0766630000, 0.1437982000", \ - "0.0033154000, 0.0070702000, 0.0141308000, 0.0259488000, 0.0445820000, 0.0776111000, 0.1447604000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0059004000, 0.0121069000, 0.0222226000, 0.0338032000, 0.0387738000, 0.0230573000, -0.034710100", \ - "0.0058652000, 0.0120379000, 0.0220958000, 0.0336138000, 0.0385196000, 0.0227048000, -0.035090900", \ - "0.0058272000, 0.0119588000, 0.0219490000, 0.0333628000, 0.0381860000, 0.0222994000, -0.035547800", \ - "0.0057938000, 0.0118838000, 0.0218126000, 0.0331442000, 0.0379188000, 0.0220185000, -0.035808800", \ - "0.0057956000, 0.0118884000, 0.0218043000, 0.0331482000, 0.0379415000, 0.0221231000, -0.035660600", \ - "0.0059031000, 0.0121007000, 0.0222160000, 0.0337808000, 0.0388914000, 0.0233080000, -0.034287600", \ - "0.0062129000, 0.0127456000, 0.0234317000, 0.0357744000, 0.0411106000, 0.0267140000, -0.030557400"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0026462000, 0.0057743000, 0.0118210000, 0.0222639000, 0.0395809000, 0.0713824000, 0.1377442000", \ - "0.0026403000, 0.0057513000, 0.0117801000, 0.0222219000, 0.0395361000, 0.0714129000, 0.1376527000", \ - "0.0026165000, 0.0057047000, 0.0116975000, 0.0220748000, 0.0393427000, 0.0711159000, 0.1374165000", \ - "0.0025864000, 0.0056361000, 0.0115597000, 0.0218190000, 0.0389998000, 0.0708562000, 0.1368714000", \ - "0.0025622000, 0.0055885000, 0.0114580000, 0.0216257000, 0.0388288000, 0.0705993000, 0.1370737000", \ - "0.0026543000, 0.0056921000, 0.0115278000, 0.0217519000, 0.0389315000, 0.0708067000, 0.1373402000", \ - "0.0029865000, 0.0063865000, 0.0128517000, 0.0238755000, 0.0415203000, 0.0740022000, 0.1407636000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0029798000, 0.0060291000, 0.0106938000, 0.0148031000, 0.0120943000, -0.009224500, -0.070190100", \ - "0.0029589000, 0.0059833000, 0.0106212000, 0.0146582000, 0.0119058000, -0.009452500, -0.070441300", \ - "0.0029278000, 0.0059196000, 0.0104960000, 0.0144881000, 0.0116607000, -0.009745400, -0.070759200", \ - "0.0028924000, 0.0058506000, 0.0103674000, 0.0142923000, 0.0114429000, -0.009977600, -0.071055100", \ - "0.0028810000, 0.0058257000, 0.0103135000, 0.0142144000, 0.0113842000, -0.009967700, -0.070980800", \ - "0.0029397000, 0.0059495000, 0.0105352000, 0.0145807000, 0.0116505000, -0.009682500, -0.070596100", \ - "0.0031584000, 0.0063998000, 0.0113766000, 0.0158986000, 0.0133803000, -0.007990800, -0.068776500"); - } - related_pin : "CIN"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0027334000, 0.0058974000, 0.0120564000, 0.0226068000, 0.0399283000, 0.0717082000, 0.1381574000", \ - "0.0027019000, 0.0058346000, 0.0119527000, 0.0224430000, 0.0397361000, 0.0714968000, 0.1378658000", \ - "0.0026667000, 0.0057607000, 0.0118207000, 0.0222196000, 0.0395002000, 0.0712181000, 0.1375865000", \ - "0.0026267000, 0.0056804000, 0.0116621000, 0.0219135000, 0.0391539000, 0.0708904000, 0.1371279000", \ - "0.0026167000, 0.0056495000, 0.0116261000, 0.0218966000, 0.0390190000, 0.0706951000, 0.1371749000", \ - "0.0026923000, 0.0058167000, 0.0119257000, 0.0223955000, 0.0396660000, 0.0713744000, 0.1378665000", \ - "0.0030861000, 0.0065922000, 0.0132735000, 0.0243807000, 0.0424594000, 0.0747554000, 0.1411834000"); - } - } - max_capacitance : 0.0714210000; - max_transition : 1.5153480000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.2282841000, 0.2394125000, 0.2609073000, 0.2993147000, 0.3610704000, 0.4702885000, 0.6990835000", \ - "0.2334306000, 0.2444304000, 0.2659618000, 0.3044458000, 0.3661306000, 0.4754077000, 0.7041799000", \ - "0.2457166000, 0.2567692000, 0.2782742000, 0.3167864000, 0.3784922000, 0.4877852000, 0.7166046000", \ - "0.2760357000, 0.2870245000, 0.3085475000, 0.3471005000, 0.4088412000, 0.5181730000, 0.7469780000", \ - "0.3480476000, 0.3589511000, 0.3803510000, 0.4190776000, 0.4812660000, 0.5907682000, 0.8196638000", \ - "0.4933058000, 0.5052357000, 0.5280846000, 0.5684535000, 0.6315611000, 0.7413015000, 0.9699945000", \ - "0.7297438000, 0.7446918000, 0.7728188000, 0.8199537000, 0.8885793000, 1.0023120000, 1.2320945000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.1459818000, 0.1581706000, 0.1851215000, 0.2442464000, 0.3719952000, 0.5699305000, 0.9826571000", \ - "0.1504620000, 0.1626134000, 0.1896093000, 0.2487463000, 0.3765099000, 0.5746983000, 0.9875747000", \ - "0.1613700000, 0.1735794000, 0.2005151000, 0.2595744000, 0.3874326000, 0.5858762000, 0.9987188000", \ - "0.1861434000, 0.1982480000, 0.2249640000, 0.2838325000, 0.4119090000, 0.6110524000, 1.0241831000", \ - "0.2341468000, 0.2462206000, 0.2728291000, 0.3312746000, 0.4595527000, 0.6598475000, 1.0726898000", \ - "0.3024326000, 0.3154945000, 0.3431300000, 0.4020673000, 0.5306387000, 0.7315314000, 1.1448794000", \ - "0.3769298000, 0.3928275000, 0.4241072000, 0.4857599000, 0.6148451000, 0.8151817000, 1.2280273000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0531407000, 0.0610477000, 0.0772319000, 0.1096696000, 0.1710524000, 0.3057422000, 0.6258923000", \ - "0.0532727000, 0.0607929000, 0.0769602000, 0.1099500000, 0.1710167000, 0.3057261000, 0.6256369000", \ - "0.0533746000, 0.0609764000, 0.0771456000, 0.1099591000, 0.1709851000, 0.3057454000, 0.6257444000", \ - "0.0533140000, 0.0607874000, 0.0769847000, 0.1097414000, 0.1710470000, 0.3057179000, 0.6256474000", \ - "0.0534289000, 0.0612319000, 0.0775437000, 0.1099554000, 0.1712452000, 0.3057296000, 0.6256780000", \ - "0.0616509000, 0.0687692000, 0.0840138000, 0.1154912000, 0.1744595000, 0.3069951000, 0.6249489000", \ - "0.0853438000, 0.0917107000, 0.1064696000, 0.1362914000, 0.1923398000, 0.3214320000, 0.6318305000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0546314000, 0.0690501000, 0.1020112000, 0.1805354000, 0.3573387000, 0.7035963000, 1.5125746000", \ - "0.0546898000, 0.0691910000, 0.1020523000, 0.1805699000, 0.3572810000, 0.7044683000, 1.5122116000", \ - "0.0544770000, 0.0690407000, 0.1020089000, 0.1805948000, 0.3572098000, 0.7043634000, 1.5131016000", \ - "0.0545199000, 0.0690804000, 0.1020202000, 0.1805740000, 0.3575382000, 0.7041295000, 1.5137166000", \ - "0.0561824000, 0.0700896000, 0.1027854000, 0.1806098000, 0.3577373000, 0.7044177000, 1.5105370000", \ - "0.0625458000, 0.0762415000, 0.1073996000, 0.1834165000, 0.3591656000, 0.7038820000, 1.5145732000", \ - "0.0787388000, 0.0919880000, 0.1205659000, 0.1920537000, 0.3621109000, 0.7048193000, 1.5132691000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.1864316000, 0.1947843000, 0.2109817000, 0.2412439000, 0.2977893000, 0.4054866000, 0.6336385000", \ - "0.1903460000, 0.1987155000, 0.2149272000, 0.2452245000, 0.3017790000, 0.4095233000, 0.6377599000", \ - "0.2009947000, 0.2093543000, 0.2256432000, 0.2560562000, 0.3126327000, 0.4204019000, 0.6486585000", \ - "0.2285739000, 0.2369497000, 0.2534076000, 0.2838514000, 0.3405254000, 0.4483380000, 0.6767614000", \ - "0.2961784000, 0.3046638000, 0.3210928000, 0.3517191000, 0.4085290000, 0.5164245000, 0.7450390000", \ - "0.4299375000, 0.4393615000, 0.4569105000, 0.4883913000, 0.5461923000, 0.6546151000, 0.8826351000", \ - "0.6380635000, 0.6497493000, 0.6708333000, 0.7071477000, 0.7696939000, 0.8811701000, 1.1103910000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.1434981000, 0.1543762000, 0.1775327000, 0.2250196000, 0.3150908000, 0.4979243000, 0.9130389000", \ - "0.1481412000, 0.1589898000, 0.1822815000, 0.2299929000, 0.3202088000, 0.5031472000, 0.9188827000", \ - "0.1589221000, 0.1697797000, 0.1930672000, 0.2408835000, 0.3312390000, 0.5142707000, 0.9301607000", \ - "0.1825710000, 0.1933038000, 0.2164898000, 0.2643433000, 0.3548724000, 0.5383666000, 0.9543235000", \ - "0.2222977000, 0.2333573000, 0.2574546000, 0.3063687000, 0.3975606000, 0.5812323000, 0.9971061000", \ - "0.2722538000, 0.2835767000, 0.3079850000, 0.3578975000, 0.4495356000, 0.6323578000, 1.0480390000", \ - "0.3229990000, 0.3350105000, 0.3599219000, 0.4092978000, 0.5003669000, 0.6830401000, 1.0972508000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0530048000, 0.0609300000, 0.0768736000, 0.1086958000, 0.1711186000, 0.3061314000, 0.6262330000", \ - "0.0524937000, 0.0606197000, 0.0771046000, 0.1083673000, 0.1709641000, 0.3063557000, 0.6254932000", \ - "0.0516551000, 0.0601935000, 0.0761165000, 0.1076992000, 0.1704450000, 0.3061478000, 0.6261075000", \ - "0.0501110000, 0.0579406000, 0.0745943000, 0.1064088000, 0.1694889000, 0.3051363000, 0.6256518000", \ - "0.0477132000, 0.0561825000, 0.0729024000, 0.1050982000, 0.1684968000, 0.3046207000, 0.6255339000", \ - "0.0600341000, 0.0689225000, 0.0840688000, 0.1146100000, 0.1757238000, 0.3087923000, 0.6256142000", \ - "0.0849533000, 0.0937086000, 0.1116070000, 0.1427370000, 0.2000830000, 0.3269921000, 0.6353229000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0579466000, 0.0728473000, 0.1075383000, 0.1842121000, 0.3457414000, 0.6989271000, 1.5144450000", \ - "0.0577755000, 0.0727299000, 0.1073580000, 0.1844964000, 0.3458875000, 0.6998186000, 1.5142936000", \ - "0.0576512000, 0.0726250000, 0.1073219000, 0.1844793000, 0.3459286000, 0.6997939000, 1.5145999000", \ - "0.0574501000, 0.0725151000, 0.1071111000, 0.1845171000, 0.3457862000, 0.6990745000, 1.5149286000", \ - "0.0564311000, 0.0715778000, 0.1065967000, 0.1844832000, 0.3460194000, 0.6996065000, 1.5115235000", \ - "0.0564602000, 0.0716839000, 0.1070630000, 0.1853876000, 0.3485551000, 0.6998260000, 1.5135501000", \ - "0.0612658000, 0.0763553000, 0.1112831000, 0.1881366000, 0.3489353000, 0.7020181000, 1.5153476000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0479778000, 0.0522014000, 0.0615771000, 0.0824648000, 0.1294333000, 0.2303452000, 0.4519474000", \ - "0.0526198000, 0.0568657000, 0.0662873000, 0.0872014000, 0.1341295000, 0.2350526000, 0.4566771000", \ - "0.0638204000, 0.0680359000, 0.0773546000, 0.0981890000, 0.1451088000, 0.2460200000, 0.4676217000", \ - "0.0870825000, 0.0917468000, 0.1017664000, 0.1227676000, 0.1695921000, 0.2704960000, 0.4920465000", \ - "0.1203870000, 0.1269463000, 0.1402836000, 0.1666361000, 0.2190088000, 0.3219279000, 0.5449465000", \ - "0.1597506000, 0.1695358000, 0.1898813000, 0.2285735000, 0.3000821000, 0.4261312000, 0.6615214000", \ - "0.1953003000, 0.2098137000, 0.2401063000, 0.2994454000, 0.4085871000, 0.5925618000, 0.8975905000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0646230000, 0.0714589000, 0.0853597000, 0.1173631000, 0.1877325000, 0.3503720000, 0.7298871000", \ - "0.0698421000, 0.0762893000, 0.0909826000, 0.1226885000, 0.1931846000, 0.3558127000, 0.7353704000", \ - "0.0827463000, 0.0895803000, 0.1040214000, 0.1357382000, 0.2062162000, 0.3689270000, 0.7485673000", \ - "0.1151672000, 0.1217635000, 0.1357104000, 0.1664427000, 0.2367610000, 0.3994020000, 0.7786123000", \ - "0.1741303000, 0.1834178000, 0.2019159000, 0.2386635000, 0.3101980000, 0.4729775000, 0.8519367000", \ - "0.2651978000, 0.2790161000, 0.3079024000, 0.3620310000, 0.4581394000, 0.6344578000, 1.0173205000", \ - "0.4041980000, 0.4246843000, 0.4681988000, 0.5523776000, 0.6963913000, 0.9379194000, 1.3721837000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0323242000, 0.0369279000, 0.0485809000, 0.0774060000, 0.1418646000, 0.2817717000, 0.5995217000", \ - "0.0326083000, 0.0370947000, 0.0486629000, 0.0774452000, 0.1418788000, 0.2817660000, 0.5992570000", \ - "0.0343441000, 0.0384680000, 0.0492941000, 0.0775743000, 0.1418997000, 0.2818803000, 0.5995574000", \ - "0.0458484000, 0.0491872000, 0.0581726000, 0.0812496000, 0.1420274000, 0.2819637000, 0.6000809000", \ - "0.0723575000, 0.0758610000, 0.0845755000, 0.1043601000, 0.1535294000, 0.2837594000, 0.5994295000", \ - "0.1214955000, 0.1262300000, 0.1376651000, 0.1615145000, 0.2090852000, 0.3210534000, 0.6110555000", \ - "0.2111590000, 0.2193162000, 0.2363424000, 0.2714995000, 0.3373071000, 0.4553058000, 0.7206712000"); - } - related_pin : "CIN"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0836400000, 0.0950385000, 0.1200957000, 0.1780679000, 0.3176804000, 0.6454339000, 1.4058220000", \ - "0.0838343000, 0.0950797000, 0.1200743000, 0.1781377000, 0.3179200000, 0.6452388000, 1.4068797000", \ - "0.0838680000, 0.0949875000, 0.1201633000, 0.1782256000, 0.3179766000, 0.6455388000, 1.4066818000", \ - "0.0925971000, 0.1027469000, 0.1254971000, 0.1807136000, 0.3187448000, 0.6458103000, 1.4079613000", \ - "0.1273324000, 0.1366034000, 0.1572849000, 0.2060294000, 0.3320627000, 0.6469089000, 1.4070262000", \ - "0.1919119000, 0.2035994000, 0.2282030000, 0.2795629000, 0.3964170000, 0.6836528000, 1.4087089000", \ - "0.2990291000, 0.3140736000, 0.3467358000, 0.4104485000, 0.5465543000, 0.8230743000, 1.4956235000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - pin ("SUM") { - direction : "output"; - function : "(!A&!B&!CIN) | (A&B&!CIN) | (A&!B&CIN) | (!A&B&CIN)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0594742000, 0.0585771000, 0.0563289000, 0.0488798000, 0.0263388000, -0.034989800, -0.196254000", \ - "0.0592667000, 0.0583994000, 0.0561333000, 0.0486566000, 0.0261182000, -0.035183400, -0.196423800", \ - "0.0590747000, 0.0581965000, 0.0559172000, 0.0484528000, 0.0259145000, -0.035387900, -0.196631800", \ - "0.0589434000, 0.0580474000, 0.0557961000, 0.0483470000, 0.0258050000, -0.035524900, -0.196794100", \ - "0.0588078000, 0.0579459000, 0.0556575000, 0.0481931000, 0.0256488000, -0.035642400, -0.196884200", \ - "0.0594324000, 0.0585591000, 0.0563234000, 0.0489138000, 0.0263760000, -0.034914100, -0.196173200", \ - "0.0648039000, 0.0635381000, 0.0602296000, 0.0514709000, 0.0282772000, -0.032750500, -0.193988900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0535314000, 0.0551691000, 0.0592307000, 0.0687090000, 0.0920945000, 0.1530389000, 0.3128492000", \ - "0.0534582000, 0.0551291000, 0.0592005000, 0.0686277000, 0.0920777000, 0.1535069000, 0.3142393000", \ - "0.0533234000, 0.0549568000, 0.0590205000, 0.0685030000, 0.0918834000, 0.1528137000, 0.3126354000", \ - "0.0531561000, 0.0548317000, 0.0588901000, 0.0683248000, 0.0917447000, 0.1532244000, 0.3140011000", \ - "0.0531851000, 0.0548561000, 0.0588886000, 0.0683738000, 0.0917273000, 0.1533210000, 0.3128677000", \ - "0.0535050000, 0.0552070000, 0.0593211000, 0.0687118000, 0.0921055000, 0.1530744000, 0.3127920000", \ - "0.0568644000, 0.0582013000, 0.0617046000, 0.0706977000, 0.0938230000, 0.1549715000, 0.3140276000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0586467000, 0.0578484000, 0.0555804000, 0.0482025000, 0.0257249000, -0.035620700, -0.196834000", \ - "0.0582841000, 0.0574341000, 0.0551701000, 0.0478069000, 0.0253025000, -0.036053900, -0.197375700", \ - "0.0579576000, 0.0571006000, 0.0548388000, 0.0474884000, 0.0249499000, -0.036398500, -0.197673700", \ - "0.0579985000, 0.0571636000, 0.0548738000, 0.0474885000, 0.0249639000, -0.036370300, -0.197654000", \ - "0.0587185000, 0.0578353000, 0.0555965000, 0.0481952000, 0.0256390000, -0.035763800, -0.197019400", \ - "0.0607157000, 0.0599235000, 0.0577238000, 0.0504351000, 0.0279483000, -0.033375800, -0.194618000", \ - "0.0687328000, 0.0674862000, 0.0641893000, 0.0554018000, 0.0320904000, -0.028542000, -0.189790800"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0412471000, 0.0430395000, 0.0473394000, 0.0571173000, 0.0806806000, 0.1421856000, 0.3015574000", \ - "0.0411234000, 0.0429087000, 0.0472122000, 0.0570272000, 0.0805819000, 0.1413971000, 0.3011907000", \ - "0.0409794000, 0.0427740000, 0.0470332000, 0.0568727000, 0.0804450000, 0.1417982000, 0.3010732000", \ - "0.0410503000, 0.0428360000, 0.0471311000, 0.0569273000, 0.0804882000, 0.1412793000, 0.3010629000", \ - "0.0418995000, 0.0436576000, 0.0479268000, 0.0576105000, 0.0811205000, 0.1420384000, 0.3013988000", \ - "0.0452984000, 0.0466024000, 0.0499517000, 0.0593335000, 0.0826943000, 0.1437264000, 0.3046245000", \ - "0.0506319000, 0.0519665000, 0.0554902000, 0.0644227000, 0.0878614000, 0.1485726000, 0.3071332000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0031111000, 0.0073399000, 0.0149777000, 0.0225695000, 0.0155144000, -0.035124700, -0.190954600", \ - "0.0030924000, 0.0072951000, 0.0148818000, 0.0223947000, 0.0152816000, -0.035407400, -0.191269300", \ - "0.0030724000, 0.0072464000, 0.0147742000, 0.0222082000, 0.0150200000, -0.035712600, -0.191604000", \ - "0.0030447000, 0.0071790000, 0.0146274000, 0.0219517000, 0.0146707000, -0.036115400, -0.192031700", \ - "0.0030365000, 0.0071562000, 0.0145786000, 0.0218767000, 0.0145853000, -0.036206200, -0.192122300", \ - "0.0030940000, 0.0072883000, 0.0148532000, 0.0223512000, 0.0152595000, -0.035412400, -0.191298000", \ - "0.0033190000, 0.0078242000, 0.0159922000, 0.0243615000, 0.0181137000, -0.031988700, -0.187664000"); - } - related_pin : "CIN"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0008504000, 0.0022191000, 0.0057584000, 0.0147600000, 0.0377126000, 0.0978935000, 0.2585028000", \ - "0.0008353000, 0.0021853000, 0.0056794000, 0.0146233000, 0.0375455000, 0.0977406000, 0.2566669000", \ - "0.0008166000, 0.0021395000, 0.0055802000, 0.0144364000, 0.0373811000, 0.0980203000, 0.2581241000", \ - "0.0007972000, 0.0020932000, 0.0054798000, 0.0142982000, 0.0372427000, 0.0979938000, 0.2568522000", \ - "0.0007901000, 0.0020747000, 0.0054425000, 0.0142538000, 0.0373063000, 0.0981128000, 0.2582345000", \ - "0.0008171000, 0.0021369000, 0.0055886000, 0.0144375000, 0.0377643000, 0.0986248000, 0.2587162000", \ - "0.0009588000, 0.0024769000, 0.0063031000, 0.0158736000, 0.0397862000, 0.1007275000, 0.2589850000"); - } - } - max_capacitance : 0.1611430000; - max_transition : 1.5038680000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2215216000, 0.2283470000, 0.2426869000, 0.2710173000, 0.3289755000, 0.4596282000, 0.7894486000", \ - "0.2259713000, 0.2328140000, 0.2471008000, 0.2755184000, 0.3334514000, 0.4640513000, 0.7938328000", \ - "0.2367541000, 0.2436128000, 0.2579370000, 0.2863201000, 0.3442222000, 0.4747887000, 0.8045161000", \ - "0.2607881000, 0.2676336000, 0.2819205000, 0.3103220000, 0.3682286000, 0.4988304000, 0.8286092000", \ - "0.3068027000, 0.3136150000, 0.3279088000, 0.3563233000, 0.4141909000, 0.5447794000, 0.8745786000", \ - "0.3755075000, 0.3823609000, 0.3967776000, 0.4251563000, 0.4831018000, 0.6135901000, 0.9431640000", \ - "0.4557280000, 0.4626160000, 0.4771847000, 0.5057870000, 0.5639562000, 0.6947395000, 1.0245995000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.3049101000, 0.3126487000, 0.3299183000, 0.3689684000, 0.4646446000, 0.7104446000, 1.3533011000", \ - "0.3098828000, 0.3177862000, 0.3351152000, 0.3741704000, 0.4697187000, 0.7154359000, 1.3582916000", \ - "0.3222083000, 0.3300477000, 0.3474350000, 0.3863200000, 0.4820620000, 0.7276922000, 1.3707077000", \ - "0.3526822000, 0.3603667000, 0.3776995000, 0.4167393000, 0.5124201000, 0.7581786000, 1.4011079000", \ - "0.4245861000, 0.4323586000, 0.4496977000, 0.4887323000, 0.5844009000, 0.8301883000, 1.4730546000", \ - "0.5737285000, 0.5816320000, 0.5989400000, 0.6380847000, 0.7337521000, 0.9794793000, 1.6224040000", \ - "0.8232429000, 0.8315323000, 0.8494305000, 0.8888186000, 0.9843374000, 1.2304469000, 1.8717170000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0248236000, 0.0299916000, 0.0406539000, 0.0659481000, 0.1259488000, 0.2844492000, 0.7161663000", \ - "0.0247579000, 0.0298670000, 0.0410856000, 0.0661994000, 0.1264747000, 0.2841489000, 0.7161066000", \ - "0.0248558000, 0.0295703000, 0.0406616000, 0.0656402000, 0.1263715000, 0.2843923000, 0.7157429000", \ - "0.0247699000, 0.0298796000, 0.0410875000, 0.0661412000, 0.1263909000, 0.2840740000, 0.7160654000", \ - "0.0248866000, 0.0296580000, 0.0408358000, 0.0661709000, 0.1262986000, 0.2837038000, 0.7161489000", \ - "0.0253100000, 0.0300519000, 0.0411563000, 0.0657892000, 0.1255113000, 0.2838846000, 0.7148068000", \ - "0.0266626000, 0.0317022000, 0.0422985000, 0.0671744000, 0.1272408000, 0.2845250000, 0.7121531000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0281583000, 0.0350233000, 0.0528197000, 0.1007698000, 0.2313278000, 0.5794967000, 1.4968357000", \ - "0.0284002000, 0.0350330000, 0.0528751000, 0.1010452000, 0.2316215000, 0.5781564000, 1.4946988000", \ - "0.0284137000, 0.0350395000, 0.0528676000, 0.1009707000, 0.2314287000, 0.5787386000, 1.4967297000", \ - "0.0282313000, 0.0351007000, 0.0528376000, 0.1007332000, 0.2312471000, 0.5793993000, 1.4968927000", \ - "0.0283937000, 0.0351123000, 0.0528195000, 0.1007618000, 0.2312710000, 0.5795147000, 1.4968047000", \ - "0.0289967000, 0.0355897000, 0.0531997000, 0.1009702000, 0.2313473000, 0.5787788000, 1.4966204000", \ - "0.0318242000, 0.0380844000, 0.0549212000, 0.1019581000, 0.2318487000, 0.5789471000, 1.4928688000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.3448028000, 0.3519009000, 0.3666835000, 0.3956903000, 0.4539944000, 0.5849484000, 0.9153324000", \ - "0.3497277000, 0.3567844000, 0.3715158000, 0.4005734000, 0.4589344000, 0.5898246000, 0.9197044000", \ - "0.3623286000, 0.3693845000, 0.3841203000, 0.4131807000, 0.4715287000, 0.6024340000, 0.9323953000", \ - "0.3929147000, 0.4000179000, 0.4148006000, 0.4438070000, 0.5021119000, 0.6330665000, 0.9634501000", \ - "0.4657334000, 0.4728550000, 0.4876249000, 0.5166207000, 0.5749611000, 0.7059053000, 1.0360683000", \ - "0.6114140000, 0.6185157000, 0.6333066000, 0.6622400000, 0.7205375000, 0.8514465000, 1.1819061000", \ - "0.8493381000, 0.8563280000, 0.8710096000, 0.8998467000, 0.9582293000, 1.0889863000, 1.4186685000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.3130251000, 0.3207614000, 0.3379592000, 0.3766059000, 0.4715403000, 0.7173279000, 1.3593481000", \ - "0.3176188000, 0.3255256000, 0.3426052000, 0.3810079000, 0.4761269000, 0.7212192000, 1.3631816000", \ - "0.3289301000, 0.3366577000, 0.3538574000, 0.3925198000, 0.4874642000, 0.7332636000, 1.3752766000", \ - "0.3544134000, 0.3622723000, 0.3793717000, 0.4177864000, 0.5128593000, 0.7580254000, 1.3993700000", \ - "0.4030263000, 0.4108179000, 0.4280578000, 0.4665695000, 0.5614240000, 0.8070378000, 1.4480454000", \ - "0.4731870000, 0.4809646000, 0.4982129000, 0.5366577000, 0.6319560000, 0.8773564000, 1.5188492000", \ - "0.5523715000, 0.5601237000, 0.5773828000, 0.6158651000, 0.7108711000, 0.9565103000, 1.5976535000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0266011000, 0.0313865000, 0.0425224000, 0.0674138000, 0.1266849000, 0.2844020000, 0.7222836000", \ - "0.0264525000, 0.0313802000, 0.0422927000, 0.0673461000, 0.1267270000, 0.2851069000, 0.7178619000", \ - "0.0264510000, 0.0313731000, 0.0422956000, 0.0672753000, 0.1266815000, 0.2850698000, 0.7171552000", \ - "0.0266056000, 0.0313868000, 0.0425266000, 0.0674163000, 0.1266637000, 0.2843964000, 0.7223731000", \ - "0.0266260000, 0.0313499000, 0.0424043000, 0.0672020000, 0.1266994000, 0.2847990000, 0.7141369000", \ - "0.0263423000, 0.0311934000, 0.0422943000, 0.0672811000, 0.1272278000, 0.2848099000, 0.7210049000", \ - "0.0264863000, 0.0312029000, 0.0423776000, 0.0670689000, 0.1266585000, 0.2843104000, 0.7181387000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0290659000, 0.0363018000, 0.0533973000, 0.1006277000, 0.2313558000, 0.5778991000, 1.4961821000", \ - "0.0294935000, 0.0359385000, 0.0534303000, 0.1007052000, 0.2314124000, 0.5794193000, 1.5009423000", \ - "0.0290703000, 0.0362802000, 0.0533797000, 0.1006372000, 0.2313268000, 0.5777649000, 1.4960514000", \ - "0.0293568000, 0.0359925000, 0.0533931000, 0.1006695000, 0.2313441000, 0.5794875000, 1.5015087000", \ - "0.0293463000, 0.0359346000, 0.0534008000, 0.1006389000, 0.2309423000, 0.5791658000, 1.4966121000", \ - "0.0292886000, 0.0359117000, 0.0532380000, 0.1004071000, 0.2310503000, 0.5794221000, 1.4982989000", \ - "0.0291253000, 0.0358474000, 0.0532106000, 0.1006655000, 0.2314259000, 0.5778885000, 1.4953105000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1994312000, 0.2063196000, 0.2208740000, 0.2497538000, 0.3085824000, 0.4401637000, 0.7702982000", \ - "0.2043681000, 0.2113002000, 0.2258634000, 0.2547147000, 0.3135575000, 0.4451171000, 0.7752441000", \ - "0.2154458000, 0.2223757000, 0.2369359000, 0.2657826000, 0.3246192000, 0.4561708000, 0.7862898000", \ - "0.2385302000, 0.2454493000, 0.2599570000, 0.2888725000, 0.3476391000, 0.4792065000, 0.8093217000", \ - "0.2846584000, 0.2916100000, 0.3061799000, 0.3350857000, 0.3938506000, 0.5253984000, 0.8554851000", \ - "0.3487663000, 0.3557087000, 0.3703144000, 0.3992162000, 0.4581510000, 0.5898170000, 0.9201109000", \ - "0.4212329000, 0.4283952000, 0.4431312000, 0.4723981000, 0.5316647000, 0.6634187000, 0.9934294000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2538863000, 0.2616095000, 0.2787513000, 0.3178183000, 0.4131552000, 0.6589874000, 1.3011260000", \ - "0.2575514000, 0.2653363000, 0.2826046000, 0.3214934000, 0.4170501000, 0.6631216000, 1.3048409000", \ - "0.2679061000, 0.2756463000, 0.2927606000, 0.3318281000, 0.4274462000, 0.6733230000, 1.3158026000", \ - "0.2943942000, 0.3021037000, 0.3192900000, 0.3582421000, 0.4535946000, 0.6991195000, 1.3419105000", \ - "0.3607920000, 0.3684891000, 0.3856517000, 0.4246036000, 0.5199937000, 0.7655608000, 1.4085517000", \ - "0.4991672000, 0.5070883000, 0.5243055000, 0.5631071000, 0.6587322000, 0.9049066000, 1.5460326000", \ - "0.7181259000, 0.7264179000, 0.7441140000, 0.7834564000, 0.8792275000, 1.1250492000, 1.7666981000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0256509000, 0.0305197000, 0.0416698000, 0.0675590000, 0.1279799000, 0.2860034000, 0.7163707000", \ - "0.0259292000, 0.0305186000, 0.0416834000, 0.0674721000, 0.1280045000, 0.2858823000, 0.7166250000", \ - "0.0259114000, 0.0305012000, 0.0416660000, 0.0674558000, 0.1279911000, 0.2858522000, 0.7166508000", \ - "0.0256658000, 0.0305553000, 0.0419606000, 0.0676626000, 0.1277553000, 0.2859358000, 0.7165789000", \ - "0.0254689000, 0.0306959000, 0.0414971000, 0.0675074000, 0.1278515000, 0.2856718000, 0.7168935000", \ - "0.0260386000, 0.0308051000, 0.0419334000, 0.0675612000, 0.1278462000, 0.2864242000, 0.7165604000", \ - "0.0274186000, 0.0321186000, 0.0436986000, 0.0678832000, 0.1284000000, 0.2866865000, 0.7132152000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0279097000, 0.0347844000, 0.0528198000, 0.1009206000, 0.2314189000, 0.5789221000, 1.4968963000", \ - "0.0279734000, 0.0349814000, 0.0526819000, 0.1007825000, 0.2311284000, 0.5795546000, 1.4983088000", \ - "0.0279731000, 0.0347711000, 0.0526810000, 0.1007759000, 0.2314101000, 0.5787552000, 1.4951069000", \ - "0.0278036000, 0.0347356000, 0.0525343000, 0.1008181000, 0.2314350000, 0.5793159000, 1.4968624000", \ - "0.0276861000, 0.0346235000, 0.0524690000, 0.1007363000, 0.2315361000, 0.5788517000, 1.4971396000", \ - "0.0285587000, 0.0353305000, 0.0530608000, 0.1008551000, 0.2315811000, 0.5794857000, 1.4976059000", \ - "0.0319379000, 0.0385091000, 0.0553058000, 0.1019596000, 0.2316581000, 0.5783996000, 1.4943915000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2573223000, 0.2644298000, 0.2790977000, 0.3081433000, 0.3664161000, 0.4972689000, 0.8274414000", \ - "0.2615591000, 0.2686016000, 0.2832713000, 0.3122712000, 0.3706175000, 0.5014691000, 0.8315231000", \ - "0.2732944000, 0.2803340000, 0.2950508000, 0.3240544000, 0.3824152000, 0.5132353000, 0.8430711000", \ - "0.3030104000, 0.3100542000, 0.3247608000, 0.3537794000, 0.4121433000, 0.5430136000, 0.8730442000", \ - "0.3741351000, 0.3812227000, 0.3960126000, 0.4249303000, 0.4833854000, 0.6142264000, 0.9445819000", \ - "0.5020198000, 0.5091242000, 0.5238842000, 0.5526997000, 0.6110312000, 0.7418590000, 1.0723564000", \ - "0.7001160000, 0.7071994000, 0.7219091000, 0.7508729000, 0.8091326000, 0.9399729000, 1.2697713000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2091025000, 0.2168337000, 0.2339654000, 0.2724621000, 0.3674112000, 0.6130866000, 1.2537914000", \ - "0.2144330000, 0.2221160000, 0.2392906000, 0.2780002000, 0.3732588000, 0.6186830000, 1.2603660000", \ - "0.2256392000, 0.2333561000, 0.2504621000, 0.2892402000, 0.3843238000, 0.6297147000, 1.2713258000", \ - "0.2495403000, 0.2572067000, 0.2743779000, 0.3130992000, 0.4083667000, 0.6537759000, 1.2953920000", \ - "0.2980267000, 0.3057514000, 0.3229269000, 0.3613451000, 0.4567190000, 0.7020219000, 1.3466805000", \ - "0.3545028000, 0.3620666000, 0.3792906000, 0.4179643000, 0.5129842000, 0.7582043000, 1.4032182000", \ - "0.4061132000, 0.4139481000, 0.4310054000, 0.4692819000, 0.5645776000, 0.8099034000, 1.4495117000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0262341000, 0.0311965000, 0.0421132000, 0.0669800000, 0.1264482000, 0.2844452000, 0.7214445000", \ - "0.0263865000, 0.0314785000, 0.0426060000, 0.0666437000, 0.1264281000, 0.2846429000, 0.7145124000", \ - "0.0263065000, 0.0313036000, 0.0424634000, 0.0675600000, 0.1265638000, 0.2850330000, 0.7176740000", \ - "0.0266932000, 0.0316937000, 0.0427200000, 0.0666833000, 0.1265372000, 0.2847679000, 0.7149676000", \ - "0.0265862000, 0.0313793000, 0.0424143000, 0.0673942000, 0.1268607000, 0.2852688000, 0.7216130000", \ - "0.0263789000, 0.0311098000, 0.0421893000, 0.0669002000, 0.1269553000, 0.2856177000, 0.7194315000", \ - "0.0261945000, 0.0309695000, 0.0423440000, 0.0671040000, 0.1270191000, 0.2845162000, 0.7162468000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0285451000, 0.0352950000, 0.0530185000, 0.1004264000, 0.2310232000, 0.5785626000, 1.4970204000", \ - "0.0284480000, 0.0355703000, 0.0529160000, 0.1005373000, 0.2313972000, 0.5788784000, 1.4969065000", \ - "0.0285572000, 0.0352458000, 0.0528860000, 0.1004669000, 0.2312525000, 0.5778018000, 1.4937388000", \ - "0.0283852000, 0.0355250000, 0.0528882000, 0.1005226000, 0.2313752000, 0.5790187000, 1.4972090000", \ - "0.0283373000, 0.0351385000, 0.0527001000, 0.1005193000, 0.2307269000, 0.5794271000, 1.4983581000", \ - "0.0284900000, 0.0352530000, 0.0528978000, 0.1005238000, 0.2306196000, 0.5791489000, 1.4987515000", \ - "0.0282968000, 0.0351998000, 0.0527638000, 0.1004738000, 0.2309984000, 0.5795788000, 1.4932565000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1812918000, 0.1888957000, 0.2045697000, 0.2342581000, 0.2930936000, 0.4242387000, 0.7546737000", \ - "0.1858253000, 0.1935320000, 0.2091626000, 0.2388106000, 0.2977315000, 0.4289476000, 0.7594847000", \ - "0.1968618000, 0.2045441000, 0.2201735000, 0.2499024000, 0.3087655000, 0.4399973000, 0.7705571000", \ - "0.2191345000, 0.2267367000, 0.2423836000, 0.2721079000, 0.3310091000, 0.4621811000, 0.7926028000", \ - "0.2503060000, 0.2580647000, 0.2736369000, 0.3034305000, 0.3623641000, 0.4935262000, 0.8238752000", \ - "0.2896067000, 0.2972698000, 0.3128814000, 0.3426514000, 0.4015666000, 0.5325418000, 0.8624852000", \ - "0.3232399000, 0.3310823000, 0.3466259000, 0.3765562000, 0.4355889000, 0.5668326000, 0.8967837000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1753481000, 0.1827063000, 0.1994190000, 0.2376899000, 0.3326504000, 0.5783513000, 1.2186446000", \ - "0.1801033000, 0.1874508000, 0.2041657000, 0.2424551000, 0.3373452000, 0.5831998000, 1.2250227000", \ - "0.1927838000, 0.2002206000, 0.2169677000, 0.2552024000, 0.3498675000, 0.5947585000, 1.2361698000", \ - "0.2239782000, 0.2313343000, 0.2480749000, 0.2863058000, 0.3810051000, 0.6259894000, 1.2690642000", \ - "0.2894660000, 0.2968661000, 0.3136229000, 0.3518714000, 0.4465464000, 0.6913520000, 1.3318943000", \ - "0.3957205000, 0.4032538000, 0.4201261000, 0.4584388000, 0.5531827000, 0.7994779000, 1.4399851000", \ - "0.5644460000, 0.5722857000, 0.5896174000, 0.6282558000, 0.7233949000, 0.9688921000, 1.6095022000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0292407000, 0.0339947000, 0.0443665000, 0.0690992000, 0.1275564000, 0.2853740000, 0.7210691000", \ - "0.0294585000, 0.0339083000, 0.0444574000, 0.0685574000, 0.1281373000, 0.2859812000, 0.7202944000", \ - "0.0295160000, 0.0339850000, 0.0445357000, 0.0690520000, 0.1279880000, 0.2859744000, 0.7206268000", \ - "0.0292253000, 0.0342685000, 0.0443907000, 0.0684616000, 0.1277095000, 0.2855840000, 0.7209221000", \ - "0.0292958000, 0.0339888000, 0.0445531000, 0.0691674000, 0.1278175000, 0.2855689000, 0.7205311000", \ - "0.0294935000, 0.0342229000, 0.0446796000, 0.0684902000, 0.1277461000, 0.2849750000, 0.7184555000", \ - "0.0301063000, 0.0347347000, 0.0458261000, 0.0695094000, 0.1284851000, 0.2855981000, 0.7135997000"); - } - related_pin : "CIN"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0252058000, 0.0323478000, 0.0505340000, 0.0990205000, 0.2305069000, 0.5802772000, 1.4994296000", \ - "0.0251680000, 0.0323160000, 0.0504892000, 0.0989822000, 0.2307935000, 0.5790632000, 1.4968561000", \ - "0.0251990000, 0.0323614000, 0.0505924000, 0.0990492000, 0.2303620000, 0.5795657000, 1.5038679000", \ - "0.0251872000, 0.0323661000, 0.0505965000, 0.0990809000, 0.2304077000, 0.5800782000, 1.4979763000", \ - "0.0253466000, 0.0324952000, 0.0506852000, 0.0990795000, 0.2302111000, 0.5794920000, 1.4965681000", \ - "0.0258429000, 0.0329870000, 0.0512118000, 0.0996207000, 0.2299812000, 0.5804821000, 1.5032912000", \ - "0.0275010000, 0.0345015000, 0.0525815000, 0.1002952000, 0.2308501000, 0.5784986000, 1.4915745000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1522528000, 0.1618742000, 0.1801170000, 0.2123391000, 0.2732998000, 0.4058489000, 0.7360748000", \ - "0.1571818000, 0.1667827000, 0.1850424000, 0.2173340000, 0.2782553000, 0.4108453000, 0.7410463000", \ - "0.1697479000, 0.1793643000, 0.1975454000, 0.2298896000, 0.2909383000, 0.4234630000, 0.7537172000", \ - "0.2001277000, 0.2097220000, 0.2278558000, 0.2602072000, 0.3212928000, 0.4538140000, 0.7840769000", \ - "0.2733222000, 0.2830672000, 0.3013342000, 0.3338345000, 0.3950457000, 0.5275617000, 0.8578054000", \ - "0.4027657000, 0.4148160000, 0.4367937000, 0.4729679000, 0.5374625000, 0.6716004000, 1.0016387000", \ - "0.6021532000, 0.6192236000, 0.6492215000, 0.6946415000, 0.7676630000, 0.9086055000, 1.2408211000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1076979000, 0.1152496000, 0.1322416000, 0.1702359000, 0.2625022000, 0.5054071000, 1.1474052000", \ - "0.1123449000, 0.1198928000, 0.1368704000, 0.1748929000, 0.2672340000, 0.5101659000, 1.1525010000", \ - "0.1231752000, 0.1307277000, 0.1477011000, 0.1857636000, 0.2783097000, 0.5206952000, 1.1608429000", \ - "0.1478655000, 0.1554230000, 0.1723691000, 0.2106662000, 0.3038470000, 0.5470411000, 1.1853023000", \ - "0.1910480000, 0.1990955000, 0.2168848000, 0.2562486000, 0.3500412000, 0.5934420000, 1.2320204000", \ - "0.2499047000, 0.2595419000, 0.2798351000, 0.3218847000, 0.4170966000, 0.6608443000, 1.3007140000", \ - "0.3097163000, 0.3229263000, 0.3492924000, 0.3986483000, 0.4972588000, 0.7414231000, 1.3801285000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0393047000, 0.0439866000, 0.0536064000, 0.0764748000, 0.1331991000, 0.2883142000, 0.7154509000", \ - "0.0393086000, 0.0440073000, 0.0536782000, 0.0763749000, 0.1330182000, 0.2883212000, 0.7157464000", \ - "0.0393010000, 0.0439905000, 0.0535639000, 0.0757025000, 0.1332763000, 0.2882548000, 0.7162823000", \ - "0.0392388000, 0.0438945000, 0.0534375000, 0.0755753000, 0.1332044000, 0.2881990000, 0.7167608000", \ - "0.0407710000, 0.0454451000, 0.0541393000, 0.0766235000, 0.1333137000, 0.2881422000, 0.7176333000", \ - "0.0549161000, 0.0589745000, 0.0661531000, 0.0846457000, 0.1388948000, 0.2897063000, 0.7181734000", \ - "0.0813592000, 0.0869349000, 0.0942373000, 0.1074142000, 0.1565503000, 0.3011446000, 0.7194533000"); - } - related_pin : "CIN"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0265230000, 0.0341353000, 0.0531840000, 0.1014689000, 0.2307662000, 0.5792008000, 1.4977137000", \ - "0.0265655000, 0.0341838000, 0.0531724000, 0.1014828000, 0.2308258000, 0.5792062000, 1.4976936000", \ - "0.0265123000, 0.0341912000, 0.0531655000, 0.1016185000, 0.2310459000, 0.5788413000, 1.5000519000", \ - "0.0266593000, 0.0342588000, 0.0532709000, 0.1013877000, 0.2311549000, 0.5794401000, 1.4971511000", \ - "0.0288140000, 0.0364006000, 0.0551680000, 0.1027646000, 0.2310013000, 0.5795490000, 1.5005238000", \ - "0.0358842000, 0.0437512000, 0.0619405000, 0.1074923000, 0.2329753000, 0.5796517000, 1.4995321000", \ - "0.0494226000, 0.0590114000, 0.0789944000, 0.1208218000, 0.2376472000, 0.5792913000, 1.4920116000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__fahcon_1") { - leakage_power () { - value : 0.0206453000; - when : "!A&!B&CI"; - } - leakage_power () { - value : 0.0181386000; - when : "!A&!B&!CI"; - } - leakage_power () { - value : 0.0257888000; - when : "!A&B&CI"; - } - leakage_power () { - value : 0.0182928000; - when : "!A&B&!CI"; - } - leakage_power () { - value : 0.0231269000; - when : "A&!B&CI"; - } - leakage_power () { - value : 0.0142202000; - when : "A&!B&!CI"; - } - leakage_power () { - value : 0.0224823000; - when : "A&B&CI"; - } - leakage_power () { - value : 0.0214740000; - when : "A&B&!CI"; - } - area : 33.782400000; - cell_footprint : "sky130_fd_sc_hd__fahcon"; - cell_leakage_power : 0.0205211100; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023010000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025830000; - } - pin ("B") { - capacitance : 0.0084420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0080720000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0088120000; - } - pin ("CI") { - capacitance : 0.0047060000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0044720000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0049400000; - } - pin ("COUT_N") { - direction : "output"; - function : "(!A&!CI) | (!A&!B) | (!B&!CI)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011357280, 0.0025797560, 0.0058598010, 0.0133102800, 0.0302337100, 0.0686745300"); - values("0.0036774000, 0.0074351000, 0.0133350000, 0.0193058000, 0.0184672000, -0.000943800, -0.058681000", \ - "0.0036670000, 0.0074076000, 0.0132972000, 0.0192590000, 0.0184151000, -0.000961100, -0.058695900", \ - "0.0036579000, 0.0073922000, 0.0132592000, 0.0191937000, 0.0182989000, -0.001140500, -0.058876200", \ - "0.0036386000, 0.0073572000, 0.0131897000, 0.0190975000, 0.0181305000, -0.001351000, -0.059154000", \ - "0.0036350000, 0.0073435000, 0.0131723000, 0.0190652000, 0.0180941000, -0.001408000, -0.059225400", \ - "0.0036610000, 0.0073966000, 0.0132624000, 0.0192284000, 0.0182908000, -0.001241000, -0.059079700", \ - "0.0039381000, 0.0079503000, 0.0141853000, 0.0203713000, 0.0196336000, 0.0001236000, -0.057675600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011357280, 0.0025797560, 0.0058598010, 0.0133102800, 0.0302337100, 0.0686745300"); - values("0.0059768000, 0.0125083000, 0.0243200000, 0.0423211000, 0.0667363000, 0.1028123000, 0.1693296000", \ - "0.0059593000, 0.0124752000, 0.0242644000, 0.0422294000, 0.0666269000, 0.1027020000, 0.1691979000", \ - "0.0059420000, 0.0124394000, 0.0241962000, 0.0421177000, 0.0664706000, 0.1025022000, 0.1689917000", \ - "0.0059323000, 0.0124128000, 0.0241461000, 0.0420348000, 0.0663697000, 0.1023615000, 0.1688373000", \ - "0.0059148000, 0.0123855000, 0.0240920000, 0.0419592000, 0.0662452000, 0.1022225000, 0.1686583000", \ - "0.0059686000, 0.0124883000, 0.0242985000, 0.0423025000, 0.0667409000, 0.1028249000, 0.1692883000", \ - "0.0062242000, 0.0130110000, 0.0251597000, 0.0439042000, 0.0690555000, 0.1054969000, 0.1720851000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011357280, 0.0025797560, 0.0058598010, 0.0133102800, 0.0302337100, 0.0686745300"); - values("0.0020157000, 0.0039971000, 0.0069689000, 0.0092223000, 0.0047513000, -0.017217900, -0.076343700", \ - "0.0019891000, 0.0039498000, 0.0068625000, 0.0090233000, 0.0045120000, -0.017504100, -0.076648700", \ - "0.0019439000, 0.0038578000, 0.0066874000, 0.0087183000, 0.0040929000, -0.017995300, -0.077234200", \ - "0.0018917000, 0.0037369000, 0.0064647000, 0.0083426000, 0.0034692000, -0.018791200, -0.078047100", \ - "0.0019243000, 0.0038247000, 0.0066193000, 0.0085262000, 0.0036264000, -0.018748800, -0.078146600", \ - "0.0022142000, 0.0043887000, 0.0074819000, 0.0093614000, 0.0044195000, -0.017902700, -0.077267400", \ - "0.0026326000, 0.0052801000, 0.0091157000, 0.0121654000, 0.0081931000, -0.013974500, -0.073043200"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011357280, 0.0025797560, 0.0058598010, 0.0133102800, 0.0302337100, 0.0686745300"); - values("0.0059974000, 0.0125360000, 0.0243996000, 0.0425619000, 0.0672958000, 0.1038466000, 0.1709385000", \ - "0.0059426000, 0.0124236000, 0.0241877000, 0.0422096000, 0.0667914000, 0.1032405000, 0.1702370000", \ - "0.0058996000, 0.0123375000, 0.0240261000, 0.0419249000, 0.0663811000, 0.1026987000, 0.1696855000", \ - "0.0058903000, 0.0123114000, 0.0239755000, 0.0418686000, 0.0662782000, 0.1025750000, 0.1695350000", \ - "0.0059386000, 0.0124213000, 0.0241815000, 0.0421871000, 0.0667341000, 0.1030181000, 0.1698907000", \ - "0.0060677000, 0.0126924000, 0.0247192000, 0.0430407000, 0.0679519000, 0.1044951000, 0.1713645000", \ - "0.0064511000, 0.0134836000, 0.0260805000, 0.0457346000, 0.0718341000, 0.1090620000, 0.1764182000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011357280, 0.0025797560, 0.0058598010, 0.0133102800, 0.0302337100, 0.0686745300"); - values("0.0016389000, 0.0032201000, 0.0053395000, 0.0061966000, 0.0006106000, -0.022109700, -0.081708400", \ - "0.0016164000, 0.0031717000, 0.0052475000, 0.0060528000, 0.0004300000, -0.022358800, -0.081859600", \ - "0.0015778000, 0.0030924000, 0.0051158000, 0.0058269000, 0.0001343000, -0.022647900, -0.082340700", \ - "0.0015312000, 0.0029977000, 0.0049409000, 0.0055757000, -0.000186900, -0.023072800, -0.082672500", \ - "0.0015142000, 0.0029655000, 0.0048859000, 0.0054791000, -0.000264800, -0.023122500, -0.082728600", \ - "0.0016393000, 0.0032186000, 0.0053605000, 0.0060982000, 8.8897326e-05, -0.022711700, -0.082220200", \ - "0.0019080000, 0.0037720000, 0.0063696000, 0.0077069000, 0.0021806000, -0.020553500, -0.079934300"); - } - related_pin : "CI"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011357280, 0.0025797560, 0.0058598010, 0.0133102800, 0.0302337100, 0.0686745300"); - values("0.0046293000, 0.0097245000, 0.0190618000, 0.0338546000, 0.0552417000, 0.0892332000, 0.1548322000", \ - "0.0045914000, 0.0096560000, 0.0189272000, 0.0336552000, 0.0550033000, 0.0889487000, 0.1544991000", \ - "0.0045565000, 0.0095808000, 0.0188021000, 0.0334622000, 0.0547306000, 0.0886689000, 0.1541164000", \ - "0.0045383000, 0.0095397000, 0.0187199000, 0.0333349000, 0.0545906000, 0.0885251000, 0.1540281000", \ - "0.0045271000, 0.0095174000, 0.0186632000, 0.0332142000, 0.0544372000, 0.0884135000, 0.1539318000", \ - "0.0046245000, 0.0097260000, 0.0190390000, 0.0337886000, 0.0551477000, 0.0892246000, 0.1548787000", \ - "0.0048527000, 0.0101806000, 0.0198546000, 0.0350054000, 0.0571805000, 0.0913092000, 0.1568943000"); - } - } - max_capacitance : 0.0686750000; - max_transition : 1.4934410000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.2340280000, 0.2402926000, 0.2528744000, 0.2775087000, 0.3236240000, 0.4160003000, 0.6206529000", \ - "0.2387260000, 0.2448733000, 0.2574487000, 0.2819349000, 0.3282566000, 0.4205588000, 0.6251646000", \ - "0.2498854000, 0.2562300000, 0.2687550000, 0.2933473000, 0.3393979000, 0.4317670000, 0.6362784000", \ - "0.2752533000, 0.2815291000, 0.2942504000, 0.3186567000, 0.3646250000, 0.4569276000, 0.6612357000", \ - "0.3236549000, 0.3297906000, 0.3424337000, 0.3668836000, 0.4127260000, 0.5049230000, 0.7093118000", \ - "0.3952041000, 0.4015963000, 0.4143547000, 0.4389888000, 0.4846635000, 0.5769738000, 0.7813048000", \ - "0.4782671000, 0.4849776000, 0.4983148000, 0.5234043000, 0.5689592000, 0.6616443000, 0.8661078000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.2873398000, 0.2960358000, 0.3147364000, 0.3547916000, 0.4387008000, 0.6123290000, 0.9989410000", \ - "0.2923723000, 0.3011678000, 0.3198247000, 0.3598882000, 0.4437967000, 0.6173874000, 1.0053396000", \ - "0.3048149000, 0.3136159000, 0.3322570000, 0.3722982000, 0.4561821000, 0.6297566000, 1.0176914000", \ - "0.3354521000, 0.3440868000, 0.3628239000, 0.4028279000, 0.4866580000, 0.6601607000, 1.0481130000", \ - "0.4084939000, 0.4172877000, 0.4359032000, 0.4758551000, 0.5596157000, 0.7331312000, 1.1209839000", \ - "0.5557650000, 0.5647678000, 0.5840160000, 0.6250859000, 0.7103283000, 0.8843444000, 1.2721362000", \ - "0.7970622000, 0.8068736000, 0.8276706000, 0.8722725000, 0.9661850000, 1.1479293000, 1.5352134000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.1162238000, 0.1217979000, 0.1322824000, 0.1509841000, 0.1892028000, 0.2968306000, 0.5851988000", \ - "0.1162557000, 0.1224617000, 0.1325492000, 0.1511049000, 0.1892407000, 0.2967503000, 0.5848977000", \ - "0.1163869000, 0.1219780000, 0.1324516000, 0.1511068000, 0.1892155000, 0.2967377000, 0.5851992000", \ - "0.1174900000, 0.1225176000, 0.1331860000, 0.1515636000, 0.1895703000, 0.2967008000, 0.5854096000", \ - "0.1169062000, 0.1224220000, 0.1329597000, 0.1512643000, 0.1896013000, 0.2968711000, 0.5854648000", \ - "0.1151228000, 0.1209080000, 0.1309112000, 0.1491699000, 0.1876904000, 0.2963368000, 0.5854610000", \ - "0.1107787000, 0.1166113000, 0.1261937000, 0.1441681000, 0.1843752000, 0.2954376000, 0.5854150000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0743338000, 0.0878925000, 0.1182003000, 0.1878976000, 0.3421352000, 0.6807410000, 1.4492025000", \ - "0.0743011000, 0.0877286000, 0.1184222000, 0.1878994000, 0.3423777000, 0.6804662000, 1.4499055000", \ - "0.0743274000, 0.0877518000, 0.1184565000, 0.1879205000, 0.3423786000, 0.6804776000, 1.4499093000", \ - "0.0742303000, 0.0880187000, 0.1184713000, 0.1879847000, 0.3424384000, 0.6800511000, 1.4487549000", \ - "0.0745153000, 0.0879979000, 0.1186184000, 0.1879201000, 0.3423133000, 0.6805341000, 1.4499091000", \ - "0.0725804000, 0.0862372000, 0.1169567000, 0.1872379000, 0.3426569000, 0.6806775000, 1.4494985000", \ - "0.0694721000, 0.0837078000, 0.1146216000, 0.1861777000, 0.3463107000, 0.6887924000, 1.4526760000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.1364786000, 0.1433228000, 0.1568022000, 0.1825235000, 0.2318457000, 0.3302832000, 0.5446090000", \ - "0.1416707000, 0.1482369000, 0.1617044000, 0.1874907000, 0.2367639000, 0.3351468000, 0.5494045000", \ - "0.1520998000, 0.1587351000, 0.1721931000, 0.1979297000, 0.2472131000, 0.3455909000, 0.5598325000", \ - "0.1733337000, 0.1800360000, 0.1935029000, 0.2192882000, 0.2685452000, 0.3669981000, 0.5812491000", \ - "0.2182348000, 0.2244064000, 0.2371014000, 0.2615428000, 0.3098736000, 0.4076724000, 0.6215203000", \ - "0.2637650000, 0.2707907000, 0.2850584000, 0.3132750000, 0.3683732000, 0.4759221000, 0.6968167000", \ - "0.3026049000, 0.3118306000, 0.3318814000, 0.3756463000, 0.4657364000, 0.6313611000, 0.9205241000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.1805508000, 0.1900386000, 0.2100461000, 0.2529900000, 0.3418972000, 0.5198426000, 0.9101266000", \ - "0.1844892000, 0.1939699000, 0.2139164000, 0.2567562000, 0.3455006000, 0.5233379000, 0.9137290000", \ - "0.1962228000, 0.2055618000, 0.2253358000, 0.2678159000, 0.3560171000, 0.5335172000, 0.9237361000", \ - "0.2260888000, 0.2351175000, 0.2545547000, 0.2963897000, 0.3835729000, 0.5604578000, 0.9508999000", \ - "0.2931511000, 0.3023007000, 0.3216665000, 0.3633892000, 0.4501483000, 0.6264639000, 1.0172401000", \ - "0.4111628000, 0.4222625000, 0.4461552000, 0.4959169000, 0.5903347000, 0.7699033000, 1.1607797000", \ - "0.5988988000, 0.6157445000, 0.6534486000, 0.7314158000, 0.8689896000, 1.0971830000, 1.5309117000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0846198000, 0.0893060000, 0.1006395000, 0.1220715000, 0.1714652000, 0.2948297000, 0.5990837000", \ - "0.0847454000, 0.0900721000, 0.1010525000, 0.1225279000, 0.1715845000, 0.2946577000, 0.5987876000", \ - "0.0841902000, 0.0896904000, 0.1007093000, 0.1224521000, 0.1716282000, 0.2947214000, 0.5992177000", \ - "0.0785025000, 0.0849812000, 0.0963023000, 0.1191603000, 0.1703814000, 0.2942770000, 0.5991838000", \ - "0.0787302000, 0.0840008000, 0.0948526000, 0.1173363000, 0.1683197000, 0.2934943000, 0.5989916000", \ - "0.0769744000, 0.0837082000, 0.0978686000, 0.1250510000, 0.1823211000, 0.3044076000, 0.6020204000", \ - "0.0801904000, 0.0914378000, 0.1164484000, 0.1671331000, 0.2588079000, 0.4121047000, 0.6946310000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0674124000, 0.0813448000, 0.1123726000, 0.1835344000, 0.3414867000, 0.6804123000, 1.4497832000", \ - "0.0676236000, 0.0815541000, 0.1125543000, 0.1836644000, 0.3414978000, 0.6804234000, 1.4496467000", \ - "0.0684432000, 0.0822832000, 0.1131385000, 0.1840908000, 0.3415233000, 0.6803847000, 1.4486033000", \ - "0.0698391000, 0.0835859000, 0.1144675000, 0.1849296000, 0.3416712000, 0.6804481000, 1.4486066000", \ - "0.0699727000, 0.0837199000, 0.1147204000, 0.1850477000, 0.3415758000, 0.6786776000, 1.4477349000", \ - "0.0748714000, 0.0909837000, 0.1246608000, 0.1967728000, 0.3512197000, 0.6829595000, 1.4494227000", \ - "0.0999845000, 0.1219032000, 0.1690836000, 0.2646949000, 0.4413691000, 0.7778889000, 1.4934407000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0465629000, 0.0505899000, 0.0594506000, 0.0785224000, 0.1195334000, 0.2127618000, 0.4262476000", \ - "0.0512217000, 0.0552658000, 0.0641322000, 0.0832158000, 0.1242353000, 0.2174813000, 0.4309427000", \ - "0.0624236000, 0.0664532000, 0.0752285000, 0.0942319000, 0.1352105000, 0.2284328000, 0.4419104000", \ - "0.0854986000, 0.0900019000, 0.0994847000, 0.1188512000, 0.1597921000, 0.2532613000, 0.4667880000", \ - "0.1179072000, 0.1242335000, 0.1373216000, 0.1625832000, 0.2106345000, 0.3090717000, 0.5232428000", \ - "0.1564304000, 0.1661745000, 0.1857044000, 0.2238783000, 0.2951791000, 0.4217796000, 0.6480513000", \ - "0.1898545000, 0.2045412000, 0.2343941000, 0.2948779000, 0.4143617000, 0.5917455000, 0.8852203000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0670574000, 0.0741303000, 0.0893107000, 0.1228974000, 0.2007259000, 0.3668857000, 0.7213656000", \ - "0.0722432000, 0.0792468000, 0.0944714000, 0.1281838000, 0.2061288000, 0.3722198000, 0.7271412000", \ - "0.0853439000, 0.0925155000, 0.1075616000, 0.1414227000, 0.2194940000, 0.3855984000, 0.7401312000", \ - "0.1172955000, 0.1241673000, 0.1387973000, 0.1723289000, 0.2501917000, 0.4163377000, 0.7709688000", \ - "0.1762276000, 0.1863447000, 0.2054649000, 0.2439577000, 0.3231050000, 0.4879750000, 0.8424352000", \ - "0.2674685000, 0.2821430000, 0.3125425000, 0.3693225000, 0.4724802000, 0.6423322000, 1.0042089000", \ - "0.4047892000, 0.4281802000, 0.4738037000, 0.5666856000, 0.7129421000, 0.9421237000, 1.3547184000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0309225000, 0.0351401000, 0.0457852000, 0.0707278000, 0.1292252000, 0.2652705000, 0.5766331000", \ - "0.0311463000, 0.0353509000, 0.0458869000, 0.0706662000, 0.1292390000, 0.2652086000, 0.5760423000", \ - "0.0329621000, 0.0366254000, 0.0464279000, 0.0708765000, 0.1292221000, 0.2656839000, 0.5766131000", \ - "0.0449821000, 0.0480633000, 0.0548580000, 0.0744885000, 0.1296878000, 0.2654219000, 0.5754475000", \ - "0.0718799000, 0.0755219000, 0.0830977000, 0.1000531000, 0.1455405000, 0.2703588000, 0.5770112000", \ - "0.1240322000, 0.1292168000, 0.1396927000, 0.1626506000, 0.2102733000, 0.3166656000, 0.5903382000", \ - "0.2159856000, 0.2237892000, 0.2442698000, 0.2855622000, 0.3446544000, 0.4503872000, 0.7018931000"); - } - related_pin : "CI"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0834861000, 0.0943942000, 0.1194660000, 0.1832598000, 0.3273278000, 0.6417640000, 1.3410633000", \ - "0.0835213000, 0.0943959000, 0.1195155000, 0.1832850000, 0.3278478000, 0.6417319000, 1.3449873000", \ - "0.0835954000, 0.0945865000, 0.1195388000, 0.1834582000, 0.3278152000, 0.6398193000, 1.3440853000", \ - "0.0925724000, 0.1024170000, 0.1248614000, 0.1857629000, 0.3279744000, 0.6403262000, 1.3436957000", \ - "0.1275004000, 0.1362818000, 0.1569167000, 0.2119274000, 0.3414981000, 0.6415934000, 1.3423521000", \ - "0.1937309000, 0.2049536000, 0.2313079000, 0.2897250000, 0.4034411000, 0.6704223000, 1.3405335000", \ - "0.3048251000, 0.3220963000, 0.3590783000, 0.4273389000, 0.5534732000, 0.8006202000, 1.4220358000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - pin ("SUM") { - direction : "output"; - function : "(A&!B&!CI) | (!A&B&!CI) | (!A&!B&CI) | (A&B&CI)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0381707000, 0.0371323000, 0.0345584000, 0.0268214000, 0.0042439000, -0.056029000, -0.213384700", \ - "0.0377278000, 0.0367004000, 0.0341123000, 0.0263855000, 0.0037529000, -0.056516700, -0.213867200", \ - "0.0372587000, 0.0362576000, 0.0336690000, 0.0259545000, 0.0033435000, -0.056917600, -0.214267300", \ - "0.0368339000, 0.0357961000, 0.0332345000, 0.0255158000, 0.0029658000, -0.057269100, -0.214617200", \ - "0.0369016000, 0.0358448000, 0.0332657000, 0.0255559000, 0.0030897000, -0.057119100, -0.214457100", \ - "0.0382259000, 0.0368628000, 0.0337843000, 0.0268162000, 0.0044690000, -0.055745600, -0.213087900", \ - "0.0452600000, 0.0438043000, 0.0402658000, 0.0312188000, 0.0079971000, -0.051728000, -0.209145900"); - } - related_pin : "CI"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0250403000, 0.0265996000, 0.0303605000, 0.0392478000, 0.0617347000, 0.1206736000, 0.2760021000", \ - "0.0246792000, 0.0262461000, 0.0299976000, 0.0388952000, 0.0613822000, 0.1203645000, 0.2757039000", \ - "0.0241779000, 0.0257464000, 0.0294927000, 0.0384206000, 0.0609429000, 0.1205040000, 0.2766855000", \ - "0.0236805000, 0.0252285000, 0.0289611000, 0.0378876000, 0.0605268000, 0.1197459000, 0.2750638000", \ - "0.0236951000, 0.0252337000, 0.0289152000, 0.0379018000, 0.0605974000, 0.1199417000, 0.2755544000", \ - "0.0253554000, 0.0266796000, 0.0300591000, 0.0385829000, 0.0614418000, 0.1206009000, 0.2775347000", \ - "0.0288281000, 0.0301155000, 0.0335076000, 0.0423043000, 0.0651368000, 0.1245494000, 0.2786142000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0022466000, 0.0052358000, 0.0104264000, 0.0145435000, 0.0045079000, -0.046815000, -0.199690500", \ - "0.0022401000, 0.0052269000, 0.0104060000, 0.0144993000, 0.0044437000, -0.046893400, -0.199776000", \ - "0.0022302000, 0.0052045000, 0.0103713000, 0.0144224000, 0.0043334000, -0.047018700, -0.199929300", \ - "0.0022199000, 0.0051732000, 0.0103038000, 0.0142963000, 0.0041688000, -0.047253700, -0.200134500", \ - "0.0022179000, 0.0051698000, 0.0102799000, 0.0142727000, 0.0041328000, -0.047293300, -0.200180200", \ - "0.0022363000, 0.0052112000, 0.0103749000, 0.0144329000, 0.0043607000, -0.046985300, -0.199858100", \ - "0.0023496000, 0.0054853000, 0.0109591000, 0.0154259000, 0.0058041000, -0.045524200, -0.198294800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0042857000, 0.0104724000, 0.0236157000, 0.0471082000, 0.0847237000, 0.1542073000, 0.3138867000", \ - "0.0042774000, 0.0104500000, 0.0235653000, 0.0470138000, 0.0845935000, 0.1539325000, 0.3140363000", \ - "0.0042654000, 0.0104211000, 0.0235031000, 0.0469005000, 0.0844300000, 0.1537321000, 0.3138185000", \ - "0.0042567000, 0.0104004000, 0.0234656000, 0.0468282000, 0.0843126000, 0.1537314000, 0.3149816000", \ - "0.0042478000, 0.0103804000, 0.0234117000, 0.0467391000, 0.0841824000, 0.1534711000, 0.3134953000", \ - "0.0042871000, 0.0104741000, 0.0236148000, 0.0470907000, 0.0846973000, 0.1541828000, 0.3155768000", \ - "0.0044675000, 0.0108993000, 0.0245318000, 0.0487485000, 0.0871247000, 0.1570165000, 0.3187551000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0013561000, 0.0031040000, 0.0058240000, 0.0062025000, -0.007415200, -0.061102600, -0.215246100", \ - "0.0013475000, 0.0030785000, 0.0057663000, 0.0061302000, -0.007527900, -0.061315900, -0.215416600", \ - "0.0013311000, 0.0030410000, 0.0056918000, 0.0059899000, -0.007744600, -0.061557000, -0.215684300", \ - "0.0013067000, 0.0029861000, 0.0055537000, 0.0057471000, -0.008083300, -0.061965800, -0.216123100", \ - "0.0013020000, 0.0029730000, 0.0055338000, 0.0056931000, -0.008151300, -0.062043000, -0.216167900", \ - "0.0013446000, 0.0030701000, 0.0057507000, 0.0060931000, -0.007573200, -0.061404800, -0.215474300", \ - "0.0016179000, 0.0037241000, 0.0071403000, 0.0086349000, -0.004053400, -0.057354500, -0.211674200"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0043182000, 0.0105438000, 0.0237749000, 0.0474387000, 0.0851648000, 0.1548311000, 0.3150340000", \ - "0.0042780000, 0.0104551000, 0.0235774000, 0.0470780000, 0.0846394000, 0.1542427000, 0.3143525000", \ - "0.0042497000, 0.0103812000, 0.0234153000, 0.0467879000, 0.0842204000, 0.1537243000, 0.3152325000", \ - "0.0042412000, 0.0103605000, 0.0233795000, 0.0467144000, 0.0841493000, 0.1534694000, 0.3135974000", \ - "0.0042777000, 0.0104475000, 0.0235661000, 0.0470420000, 0.0846378000, 0.1540600000, 0.3142851000", \ - "0.0043710000, 0.0106715000, 0.0240449000, 0.0478826000, 0.0858943000, 0.1556159000, 0.3169024000", \ - "0.0046726000, 0.0113930000, 0.0255993000, 0.0506280000, 0.0901397000, 0.1606615000, 0.3210566000"); - } - } - max_capacitance : 0.1574810000; - max_transition : 1.4685170000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.3455678000, 0.3525018000, 0.3667810000, 0.3952965000, 0.4530932000, 0.5820909000, 0.9051728000", \ - "0.3507591000, 0.3576849000, 0.3719436000, 0.4004619000, 0.4582531000, 0.5872567000, 0.9097780000", \ - "0.3630752000, 0.3699607000, 0.3843848000, 0.4127922000, 0.4706119000, 0.5997528000, 0.9228251000", \ - "0.3936038000, 0.4005290000, 0.4149599000, 0.4434565000, 0.5011398000, 0.6302884000, 0.9531991000", \ - "0.4666525000, 0.4735359000, 0.4879605000, 0.5163708000, 0.5741905000, 0.7033352000, 1.0264237000", \ - "0.6146088000, 0.6215481000, 0.6358659000, 0.6643219000, 0.7220217000, 0.8511526000, 1.1740969000", \ - "0.8574834000, 0.8644042000, 0.8787241000, 0.9069681000, 0.9645754000, 1.0935002000, 1.4162436000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.3034914000, 0.3111695000, 0.3281878000, 0.3665726000, 0.4598943000, 0.7005564000, 1.3265500000", \ - "0.3081266000, 0.3159449000, 0.3328300000, 0.3709965000, 0.4647526000, 0.7051938000, 1.3307292000", \ - "0.3192157000, 0.3270288000, 0.3440740000, 0.3823140000, 0.4757210000, 0.7165597000, 1.3425620000", \ - "0.3449238000, 0.3525941000, 0.3696073000, 0.4079823000, 0.5012040000, 0.7422873000, 1.3683269000", \ - "0.3939924000, 0.4016452000, 0.4186962000, 0.4570285000, 0.5504891000, 0.7912077000, 1.4173023000", \ - "0.4643441000, 0.4720451000, 0.4890719000, 0.5274825000, 0.6208035000, 0.8611671000, 1.4862699000", \ - "0.5437291000, 0.5514613000, 0.5685871000, 0.6068162000, 0.7003792000, 0.9409531000, 1.5649055000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0256180000, 0.0303684000, 0.0416871000, 0.0665973000, 0.1257210000, 0.2809434000, 0.7037672000", \ - "0.0258485000, 0.0305430000, 0.0418022000, 0.0657809000, 0.1257601000, 0.2809931000, 0.7034431000", \ - "0.0258497000, 0.0305253000, 0.0413975000, 0.0662990000, 0.1260307000, 0.2810915000, 0.7068374000", \ - "0.0257902000, 0.0305010000, 0.0414888000, 0.0664854000, 0.1255166000, 0.2806336000, 0.7070592000", \ - "0.0258729000, 0.0305317000, 0.0413978000, 0.0662980000, 0.1260349000, 0.2811431000, 0.7067829000", \ - "0.0258266000, 0.0304725000, 0.0416542000, 0.0656587000, 0.1254172000, 0.2804852000, 0.7068158000", \ - "0.0256097000, 0.0300065000, 0.0410439000, 0.0662396000, 0.1254680000, 0.2797883000, 0.7064910000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0289959000, 0.0356639000, 0.0530313000, 0.0999785000, 0.2279000000, 0.5678431000, 1.4610269000", \ - "0.0293930000, 0.0357122000, 0.0533525000, 0.1001401000, 0.2281869000, 0.5677435000, 1.4655527000", \ - "0.0291949000, 0.0360823000, 0.0531382000, 0.0999712000, 0.2277747000, 0.5672169000, 1.4619435000", \ - "0.0289942000, 0.0356569000, 0.0530726000, 0.0999886000, 0.2276673000, 0.5675797000, 1.4626987000", \ - "0.0289220000, 0.0360856000, 0.0531432000, 0.1000428000, 0.2276975000, 0.5675228000, 1.4625828000", \ - "0.0289386000, 0.0356290000, 0.0530684000, 0.0999063000, 0.2281670000, 0.5684402000, 1.4603806000", \ - "0.0290601000, 0.0356780000, 0.0530747000, 0.0999766000, 0.2281017000, 0.5667809000, 1.4607792000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.2199660000, 0.2268620000, 0.2411852000, 0.2696609000, 0.3273470000, 0.4566209000, 0.7789150000", \ - "0.2245079000, 0.2314075000, 0.2457420000, 0.2742240000, 0.3319048000, 0.4611735000, 0.7834961000", \ - "0.2352259000, 0.2421650000, 0.2565023000, 0.2849133000, 0.3426076000, 0.4718933000, 0.7940481000", \ - "0.2593175000, 0.2661377000, 0.2805977000, 0.3089629000, 0.3666745000, 0.4959489000, 0.8180561000", \ - "0.3050221000, 0.3119326000, 0.3263970000, 0.3548987000, 0.4124400000, 0.5417656000, 0.8640968000", \ - "0.3726361000, 0.3795083000, 0.3939833000, 0.4224060000, 0.4801838000, 0.6096766000, 0.9320855000", \ - "0.4501216000, 0.4572145000, 0.4717486000, 0.5005745000, 0.5585489000, 0.6883626000, 1.0107494000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.2909434000, 0.2986145000, 0.3155736000, 0.3540629000, 0.4477699000, 0.6879718000, 1.3128875000", \ - "0.2961455000, 0.3036888000, 0.3206672000, 0.3589129000, 0.4530087000, 0.6929503000, 1.3173512000", \ - "0.3084535000, 0.3159878000, 0.3329666000, 0.3712117000, 0.4653955000, 0.7052547000, 1.3296686000", \ - "0.3386625000, 0.3462707000, 0.3632621000, 0.4015750000, 0.4954288000, 0.7355196000, 1.3593592000", \ - "0.4109824000, 0.4185276000, 0.4355200000, 0.4738532000, 0.5679252000, 0.8078546000, 1.4324991000", \ - "0.5587699000, 0.5664539000, 0.5835213000, 0.6219418000, 0.7158348000, 0.9560152000, 1.5802520000", \ - "0.8036533000, 0.8118080000, 0.8291827000, 0.8678846000, 0.9617257000, 1.2022140000, 1.8268737000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0245910000, 0.0294147000, 0.0409575000, 0.0660991000, 0.1258574000, 0.2800968000, 0.7026933000", \ - "0.0246103000, 0.0294260000, 0.0410015000, 0.0661256000, 0.1257859000, 0.2801265000, 0.7024682000", \ - "0.0249626000, 0.0298669000, 0.0406429000, 0.0659148000, 0.1259900000, 0.2801307000, 0.7029785000", \ - "0.0247460000, 0.0295242000, 0.0406674000, 0.0659977000, 0.1259148000, 0.2800855000, 0.7059552000", \ - "0.0246422000, 0.0294976000, 0.0406664000, 0.0659601000, 0.1257123000, 0.2797057000, 0.7019478000", \ - "0.0253442000, 0.0299935000, 0.0410306000, 0.0663191000, 0.1258086000, 0.2802023000, 0.7016704000", \ - "0.0265050000, 0.0311106000, 0.0421436000, 0.0672559000, 0.1270014000, 0.2806576000, 0.6978977000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0271761000, 0.0341175000, 0.0517797000, 0.0992581000, 0.2282838000, 0.5674002000, 1.4566776000", \ - "0.0272111000, 0.0340706000, 0.0518078000, 0.0992762000, 0.2282155000, 0.5668583000, 1.4586864000", \ - "0.0272227000, 0.0340701000, 0.0518120000, 0.0992880000, 0.2280534000, 0.5668324000, 1.4588188000", \ - "0.0272854000, 0.0339805000, 0.0517429000, 0.0994047000, 0.2279374000, 0.5668356000, 1.4598258000", \ - "0.0272779000, 0.0340726000, 0.0518727000, 0.0994155000, 0.2276884000, 0.5665879000, 1.4597530000", \ - "0.0278121000, 0.0346814000, 0.0521974000, 0.0997710000, 0.2280263000, 0.5665468000, 1.4594781000", \ - "0.0307007000, 0.0369850000, 0.0537646000, 0.1002583000, 0.2287210000, 0.5673840000, 1.4565159000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.2395787000, 0.2464872000, 0.2607528000, 0.2892459000, 0.3469516000, 0.4758350000, 0.7984017000", \ - "0.2434757000, 0.2504305000, 0.2646836000, 0.2931585000, 0.3508927000, 0.4798077000, 0.8024258000", \ - "0.2549372000, 0.2618853000, 0.2761562000, 0.3046601000, 0.3623866000, 0.4913217000, 0.8137831000", \ - "0.2842818000, 0.2912048000, 0.3054932000, 0.3340136000, 0.3917670000, 0.5207013000, 0.8431202000", \ - "0.3505608000, 0.3574805000, 0.3719252000, 0.4003004000, 0.4581246000, 0.5871488000, 0.9101356000", \ - "0.4621652000, 0.4690498000, 0.4834053000, 0.5116840000, 0.5693774000, 0.6983872000, 1.0211274000", \ - "0.6332516000, 0.6401598000, 0.6543380000, 0.6826831000, 0.7401856000, 0.8690868000, 1.1918131000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.2074102000, 0.2150101000, 0.2320610000, 0.2704969000, 0.3643227000, 0.6049358000, 1.2299459000", \ - "0.2123926000, 0.2201047000, 0.2371477000, 0.2754380000, 0.3693260000, 0.6099103000, 1.2349418000", \ - "0.2227955000, 0.2305898000, 0.2475824000, 0.2858189000, 0.3798166000, 0.6203301000, 1.2475532000", \ - "0.2438007000, 0.2515498000, 0.2685370000, 0.3067296000, 0.4007383000, 0.6410911000, 1.2669241000", \ - "0.2858691000, 0.2935316000, 0.3105894000, 0.3489012000, 0.4429198000, 0.6832386000, 1.3087612000", \ - "0.3278645000, 0.3355338000, 0.3524877000, 0.3906087000, 0.4843774000, 0.7251504000, 1.3522877000", \ - "0.3575384000, 0.3651612000, 0.3821600000, 0.4202555000, 0.5139262000, 0.7549331000, 1.3787080000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0252935000, 0.0300457000, 0.0415038000, 0.0664666000, 0.1256783000, 0.2807483000, 0.7018133000", \ - "0.0256752000, 0.0304790000, 0.0412813000, 0.0662964000, 0.1256023000, 0.2809693000, 0.7082329000", \ - "0.0257197000, 0.0301040000, 0.0414562000, 0.0664372000, 0.1255994000, 0.2809725000, 0.7026560000", \ - "0.0254408000, 0.0301911000, 0.0415669000, 0.0665236000, 0.1256457000, 0.2809945000, 0.7030938000", \ - "0.0256012000, 0.0303495000, 0.0413110000, 0.0662084000, 0.1257719000, 0.2813347000, 0.7063146000", \ - "0.0252136000, 0.0298924000, 0.0410388000, 0.0656183000, 0.1256629000, 0.2801259000, 0.7006548000", \ - "0.0248141000, 0.0299395000, 0.0407033000, 0.0658155000, 0.1251558000, 0.2805204000, 0.6979232000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0283331000, 0.0355170000, 0.0527644000, 0.0997793000, 0.2281459000, 0.5677466000, 1.4635998000", \ - "0.0287940000, 0.0354720000, 0.0527193000, 0.0998132000, 0.2281571000, 0.5677009000, 1.4633974000", \ - "0.0285261000, 0.0352170000, 0.0527798000, 0.0998208000, 0.2280812000, 0.5684059000, 1.4632856000", \ - "0.0286043000, 0.0351618000, 0.0527064000, 0.0999003000, 0.2278138000, 0.5672145000, 1.4655565000", \ - "0.0282172000, 0.0348140000, 0.0524030000, 0.0996942000, 0.2278319000, 0.5672613000, 1.4656218000", \ - "0.0279902000, 0.0348935000, 0.0524157000, 0.0996817000, 0.2275265000, 0.5679304000, 1.4634555000", \ - "0.0279343000, 0.0347075000, 0.0523080000, 0.0997950000, 0.2276129000, 0.5679856000, 1.4583623000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1926087000, 0.1996062000, 0.2141371000, 0.2432325000, 0.3013219000, 0.4298857000, 0.7524872000", \ - "0.1973168000, 0.2043312000, 0.2189408000, 0.2477920000, 0.3061194000, 0.4346968000, 0.7569434000", \ - "0.2073761000, 0.2143386000, 0.2289962000, 0.2579610000, 0.3162000000, 0.4448386000, 0.7670770000", \ - "0.2282190000, 0.2352051000, 0.2497610000, 0.2788283000, 0.3369662000, 0.4655091000, 0.7880285000", \ - "0.2664969000, 0.2735478000, 0.2880406000, 0.3169985000, 0.3751994000, 0.5037890000, 0.8262933000", \ - "0.3179254000, 0.3249557000, 0.3396077000, 0.3687036000, 0.4269491000, 0.5555238000, 0.8785894000", \ - "0.3704714000, 0.3776112000, 0.3925140000, 0.4218427000, 0.4802378000, 0.6089027000, 0.9316473000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.2148381000, 0.2223570000, 0.2393618000, 0.2778227000, 0.3718643000, 0.6122267000, 1.2374156000", \ - "0.2181726000, 0.2257106000, 0.2427079000, 0.2811712000, 0.3753649000, 0.6155389000, 1.2406883000", \ - "0.2278434000, 0.2353467000, 0.2523523000, 0.2909801000, 0.3849589000, 0.6251826000, 1.2501338000", \ - "0.2535188000, 0.2610067000, 0.2779894000, 0.3164388000, 0.4102454000, 0.6504939000, 1.2751352000", \ - "0.3183779000, 0.3259101000, 0.3428287000, 0.3812724000, 0.4751163000, 0.7153024000, 1.3399166000", \ - "0.4380647000, 0.4457314000, 0.4628527000, 0.5013183000, 0.5953578000, 0.8357527000, 1.4601484000", \ - "0.6236195000, 0.6318202000, 0.6493912000, 0.6881343000, 0.7825619000, 1.0230625000, 1.6476743000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0250633000, 0.0300277000, 0.0415275000, 0.0672407000, 0.1259754000, 0.2806041000, 0.7057783000", \ - "0.0251433000, 0.0305359000, 0.0417691000, 0.0671715000, 0.1259182000, 0.2804005000, 0.7042184000", \ - "0.0255474000, 0.0304151000, 0.0417983000, 0.0672326000, 0.1259945000, 0.2796793000, 0.7026282000", \ - "0.0251313000, 0.0300686000, 0.0415100000, 0.0672026000, 0.1258344000, 0.2801430000, 0.7055118000", \ - "0.0251741000, 0.0302735000, 0.0416020000, 0.0674941000, 0.1261432000, 0.2803479000, 0.7049369000", \ - "0.0258459000, 0.0307833000, 0.0423820000, 0.0670561000, 0.1260657000, 0.2802482000, 0.7070843000", \ - "0.0266626000, 0.0318143000, 0.0431402000, 0.0683056000, 0.1264529000, 0.2805114000, 0.6985041000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0266444000, 0.0336121000, 0.0516122000, 0.0992924000, 0.2281137000, 0.5666935000, 1.4571833000", \ - "0.0266460000, 0.0335664000, 0.0515810000, 0.0993015000, 0.2281462000, 0.5664420000, 1.4581378000", \ - "0.0266043000, 0.0335789000, 0.0515784000, 0.0992333000, 0.2281915000, 0.5673022000, 1.4594510000", \ - "0.0263600000, 0.0334961000, 0.0514738000, 0.0991865000, 0.2276002000, 0.5672152000, 1.4596544000", \ - "0.0263350000, 0.0334832000, 0.0514608000, 0.0991380000, 0.2278792000, 0.5671967000, 1.4597301000", \ - "0.0278433000, 0.0346523000, 0.0523016000, 0.0994824000, 0.2282361000, 0.5672606000, 1.4568374000", \ - "0.0313821000, 0.0378286000, 0.0545106000, 0.1009296000, 0.2287935000, 0.5670917000, 1.4581476000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1522323000, 0.1609242000, 0.1777141000, 0.2082388000, 0.2671890000, 0.3965017000, 0.7193768000", \ - "0.1569790000, 0.1656637000, 0.1825093000, 0.2130656000, 0.2720207000, 0.4013667000, 0.7237530000", \ - "0.1696303000, 0.1783198000, 0.1951633000, 0.2257527000, 0.2847466000, 0.4141005000, 0.7364758000", \ - "0.2004841000, 0.2090816000, 0.2259398000, 0.2565856000, 0.3155424000, 0.4450117000, 0.7674179000", \ - "0.2716414000, 0.2804539000, 0.2973829000, 0.3282325000, 0.3874104000, 0.5169306000, 0.8397459000", \ - "0.3970738000, 0.4080788000, 0.4283015000, 0.4628622000, 0.5253831000, 0.6567945000, 0.9792263000", \ - "0.5903362000, 0.6060266000, 0.6334463000, 0.6764228000, 0.7475283000, 0.8855054000, 1.2105476000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1036420000, 0.1112574000, 0.1283404000, 0.1662024000, 0.2574331000, 0.4957095000, 1.1197003000", \ - "0.1082240000, 0.1158411000, 0.1329226000, 0.1707993000, 0.2620909000, 0.5005065000, 1.1244131000", \ - "0.1189592000, 0.1265712000, 0.1436310000, 0.1815502000, 0.2730546000, 0.5118382000, 1.1344959000", \ - "0.1433844000, 0.1509633000, 0.1679931000, 0.2060906000, 0.2979667000, 0.5362527000, 1.1611337000", \ - "0.1850624000, 0.1931992000, 0.2110421000, 0.2501193000, 0.3427403000, 0.5811341000, 1.2060488000", \ - "0.2399913000, 0.2498770000, 0.2702036000, 0.3119378000, 0.4060468000, 0.6450515000, 1.2677965000", \ - "0.2923286000, 0.3059099000, 0.3326696000, 0.3816068000, 0.4790740000, 0.7185060000, 1.3415681000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0358338000, 0.0400438000, 0.0493809000, 0.0726896000, 0.1294523000, 0.2825823000, 0.7063212000", \ - "0.0359891000, 0.0402396000, 0.0493164000, 0.0725408000, 0.1293260000, 0.2823903000, 0.7061730000", \ - "0.0359631000, 0.0402104000, 0.0493031000, 0.0725093000, 0.1293141000, 0.2823783000, 0.7061245000", \ - "0.0358514000, 0.0398215000, 0.0494573000, 0.0724016000, 0.1289915000, 0.2819368000, 0.7032170000", \ - "0.0376192000, 0.0413648000, 0.0504220000, 0.0730460000, 0.1294896000, 0.2825149000, 0.7063562000", \ - "0.0523286000, 0.0553758000, 0.0617522000, 0.0815951000, 0.1351110000, 0.2849546000, 0.7052005000", \ - "0.0822567000, 0.0849511000, 0.0891724000, 0.1028032000, 0.1524285000, 0.2951701000, 0.7038052000"); - } - related_pin : "CI"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0258561000, 0.0333446000, 0.0520224000, 0.0997255000, 0.2278077000, 0.5687247000, 1.4635556000", \ - "0.0257954000, 0.0333118000, 0.0519524000, 0.0997840000, 0.2278235000, 0.5686000000, 1.4636576000", \ - "0.0257683000, 0.0333531000, 0.0519797000, 0.0997035000, 0.2276110000, 0.5683686000, 1.4649821000", \ - "0.0259030000, 0.0334135000, 0.0520789000, 0.0998322000, 0.2278154000, 0.5691144000, 1.4619849000", \ - "0.0286426000, 0.0360188000, 0.0544596000, 0.1010955000, 0.2280027000, 0.5689989000, 1.4630096000", \ - "0.0359004000, 0.0437598000, 0.0614385000, 0.1060647000, 0.2298421000, 0.5689524000, 1.4685170000", \ - "0.0502344000, 0.0596752000, 0.0792921000, 0.1198371000, 0.2345358000, 0.5698861000, 1.4573953000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1784942000, 0.1858704000, 0.2008874000, 0.2300484000, 0.2877782000, 0.4164818000, 0.7394407000", \ - "0.1831579000, 0.1904501000, 0.2055741000, 0.2346384000, 0.2924448000, 0.4211308000, 0.7438625000", \ - "0.1941397000, 0.2015302000, 0.2166045000, 0.2456282000, 0.3035212000, 0.4322454000, 0.7552333000", \ - "0.2162214000, 0.2236126000, 0.2386095000, 0.2677655000, 0.3255249000, 0.4542706000, 0.7771915000", \ - "0.2472717000, 0.2546374000, 0.2696514000, 0.2988775000, 0.3567060000, 0.4854144000, 0.8085037000", \ - "0.2834157000, 0.2910187000, 0.3064765000, 0.3363599000, 0.3949408000, 0.5239179000, 0.8461267000", \ - "0.3124877000, 0.3201572000, 0.3355878000, 0.3653387000, 0.4245483000, 0.5556773000, 0.8787581000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1699434000, 0.1772287000, 0.1939194000, 0.2323800000, 0.3272922000, 0.5691799000, 1.1952405000", \ - "0.1746802000, 0.1820449000, 0.1986102000, 0.2370682000, 0.3322076000, 0.5739972000, 1.1998588000", \ - "0.1873870000, 0.1947836000, 0.2113395000, 0.2497654000, 0.3449120000, 0.5866859000, 1.2122724000", \ - "0.2184793000, 0.2258268000, 0.2423700000, 0.2808089000, 0.3759138000, 0.6176256000, 1.2429262000", \ - "0.2835322000, 0.2909154000, 0.3075478000, 0.3460262000, 0.4412506000, 0.6830920000, 1.3087882000", \ - "0.3882387000, 0.3957430000, 0.4126047000, 0.4512230000, 0.5468460000, 0.7897868000, 1.4150481000", \ - "0.5531978000, 0.5610092000, 0.5781688000, 0.6170700000, 0.7127214000, 0.9574889000, 1.5836631000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0273298000, 0.0321476000, 0.0426612000, 0.0673035000, 0.1260166000, 0.2806647000, 0.7068812000", \ - "0.0277799000, 0.0320823000, 0.0427971000, 0.0674502000, 0.1254776000, 0.2805625000, 0.6998086000", \ - "0.0274381000, 0.0320435000, 0.0427057000, 0.0672949000, 0.1260509000, 0.2807594000, 0.7068546000", \ - "0.0273856000, 0.0326201000, 0.0432833000, 0.0673518000, 0.1256589000, 0.2800255000, 0.7070484000", \ - "0.0274496000, 0.0326721000, 0.0428838000, 0.0678225000, 0.1260688000, 0.2813645000, 0.7058192000", \ - "0.0285262000, 0.0333873000, 0.0442527000, 0.0691885000, 0.1270756000, 0.2802686000, 0.7036063000", \ - "0.0292531000, 0.0340088000, 0.0453747000, 0.0695088000, 0.1294239000, 0.2831937000, 0.7021579000"); - } - related_pin : "CI"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0249220000, 0.0322714000, 0.0509266000, 0.1003403000, 0.2315960000, 0.5700238000, 1.4614693000", \ - "0.0248628000, 0.0321615000, 0.0509145000, 0.1004241000, 0.2315697000, 0.5697430000, 1.4588110000", \ - "0.0249149000, 0.0321750000, 0.0508591000, 0.1002712000, 0.2315715000, 0.5694348000, 1.4574376000", \ - "0.0248208000, 0.0321870000, 0.0508030000, 0.1004631000, 0.2317755000, 0.5698936000, 1.4594029000", \ - "0.0250354000, 0.0323285000, 0.0510410000, 0.1005917000, 0.2319882000, 0.5696503000, 1.4571981000", \ - "0.0258233000, 0.0332241000, 0.0517987000, 0.1013952000, 0.2324557000, 0.5713073000, 1.4605784000", \ - "0.0273338000, 0.0346547000, 0.0528526000, 0.1016536000, 0.2335213000, 0.5736113000, 1.4599589000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__ha_1") { - leakage_power () { - value : 0.0065943000; - when : "!A&B"; - } - leakage_power () { - value : 0.0115678000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0065599000; - when : "A&B"; - } - leakage_power () { - value : 0.0065369000; - when : "A&!B"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__ha"; - cell_leakage_power : 0.0078147000; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0030260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0029470000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0031050000; - } - pin ("B") { - capacitance : 0.0028380000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0028440000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0028320000; - } - pin ("COUT") { - direction : "output"; - function : "(A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0021934000, 0.0051054000, 0.0101257000, 0.0138491000, 0.0032477000, -0.048577600, -0.201638900", \ - "0.0021872000, 0.0050918000, 0.0100885000, 0.0137961000, 0.0031838000, -0.048652900, -0.201723900", \ - "0.0021765000, 0.0050677000, 0.0100375000, 0.0137016000, 0.0030342000, -0.048799700, -0.201881800", \ - "0.0021651000, 0.0050393000, 0.0099706000, 0.0135722000, 0.0029114000, -0.048870500, -0.202017000", \ - "0.0021590000, 0.0050285000, 0.0099474000, 0.0135125000, 0.0028421000, -0.048935000, -0.202093200", \ - "0.0021620000, 0.0050286000, 0.0099350000, 0.0134730000, 0.0027701000, -0.049016500, -0.202069100", \ - "0.0021858000, 0.0050783000, 0.0100108000, 0.0135782000, 0.0028631000, -0.048792000, -0.201871400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0001112000, 0.0004379000, 0.0019029000, 0.0079014000, 0.0278064000, 0.0850035000, 0.2408568000", \ - "0.0001084000, 0.0004323000, 0.0018811000, 0.0078697000, 0.0278188000, 0.0855791000, 0.2408220000", \ - "9.9547097e-05, 0.0004109000, 0.0018369000, 0.0077952000, 0.0276854000, 0.0849918000, 0.2416187000", \ - "8.8265739e-05, 0.0003859000, 0.0017873000, 0.0077083000, 0.0276340000, 0.0847781000, 0.2411612000", \ - "8.0189429e-05, 0.0003607000, 0.0017043000, 0.0075864000, 0.0274261000, 0.0848093000, 0.2410328000", \ - "7.8357423e-05, 0.0003534000, 0.0017202000, 0.0074748000, 0.0273394000, 0.0847585000, 0.2406350000", \ - "0.0001024000, 0.0004165000, 0.0018235000, 0.0077952000, 0.0277698000, 0.0853001000, 0.2387863000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0021599000, 0.0050317000, 0.0099806000, 0.0137272000, 0.0033779000, -0.048194400, -0.201222900", \ - "0.0021519000, 0.0050138000, 0.0099443000, 0.0137132000, 0.0032691000, -0.048336400, -0.201333800", \ - "0.0021414000, 0.0049909000, 0.0098891000, 0.0136034000, 0.0031153000, -0.048502000, -0.201527800", \ - "0.0021234000, 0.0049535000, 0.0097964000, 0.0134402000, 0.0028777000, -0.048782800, -0.201778800", \ - "0.0021111000, 0.0049083000, 0.0097058000, 0.0132176000, 0.0025611000, -0.049065800, -0.202096400", \ - "0.0021165000, 0.0049170000, 0.0096931000, 0.0130960000, 0.0023530000, -0.049340100, -0.202304400", \ - "0.0021275000, 0.0049321000, 0.0097059000, 0.0130766000, 0.0023270000, -0.049257900, -0.202152900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013042060, 0.0034019070, 0.0088735750, 0.0231459400, 0.0603741400, 0.1574807000"); - values("0.0001376000, 0.0005024000, 0.0020377000, 0.0081299000, 0.0282495000, 0.0861941000, 0.2417861000", \ - "0.0001329000, 0.0004929000, 0.0020250000, 0.0081294000, 0.0282094000, 0.0862476000, 0.2416215000", \ - "0.0001276000, 0.0004753000, 0.0019889000, 0.0080775000, 0.0281448000, 0.0861951000, 0.2415490000", \ - "0.0001177000, 0.0004560000, 0.0019470000, 0.0079810000, 0.0280481000, 0.0855806000, 0.2404296000", \ - "0.0001045000, 0.0004169000, 0.0018555000, 0.0078468000, 0.0278352000, 0.0858933000, 0.2403046000", \ - "0.0001201000, 0.0004512000, 0.0019326000, 0.0078285000, 0.0278395000, 0.0853763000, 0.2407443000", \ - "0.0001501000, 0.0005314000, 0.0020859000, 0.0081807000, 0.0283542000, 0.0861084000, 0.2403704000"); - } - } - max_capacitance : 0.1574810000; - max_transition : 1.5037590000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1549832000, 0.1627848000, 0.1785946000, 0.2087596000, 0.2688784000, 0.3991691000, 0.7242471000", \ - "0.1600278000, 0.1678440000, 0.1834550000, 0.2136422000, 0.2738020000, 0.4041045000, 0.7292165000", \ - "0.1725391000, 0.1803245000, 0.1961487000, 0.2263652000, 0.2865650000, 0.4169173000, 0.7420423000", \ - "0.2040680000, 0.2118226000, 0.2276058000, 0.2578846000, 0.3181668000, 0.4485591000, 0.7736959000", \ - "0.2793187000, 0.2870854000, 0.3028210000, 0.3330111000, 0.3933484000, 0.5237925000, 0.8489587000", \ - "0.4349105000, 0.4442477000, 0.4627871000, 0.4965206000, 0.5596268000, 0.6908424000, 1.0159698000", \ - "0.6858491000, 0.6989569000, 0.7237966000, 0.7674738000, 0.8418767000, 0.9801676000, 1.3065526000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1013402000, 0.1095157000, 0.1274868000, 0.1670913000, 0.2635304000, 0.5099701000, 1.1541945000", \ - "0.1057889000, 0.1139350000, 0.1318732000, 0.1715464000, 0.2679175000, 0.5144485000, 1.1583031000", \ - "0.1148968000, 0.1230916000, 0.1409413000, 0.1806865000, 0.2771956000, 0.5236623000, 1.1690274000", \ - "0.1353730000, 0.1434604000, 0.1614256000, 0.2011404000, 0.2978424000, 0.5445611000, 1.1900897000", \ - "0.1730582000, 0.1817884000, 0.2005880000, 0.2411762000, 0.3383957000, 0.5853024000, 1.2282885000", \ - "0.2250436000, 0.2353564000, 0.2563681000, 0.2993803000, 0.3979343000, 0.6451575000, 1.2939243000", \ - "0.2738685000, 0.2874568000, 0.3142879000, 0.3633110000, 0.4642857000, 0.7122969000, 1.3550376000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0292531000, 0.0344553000, 0.0459727000, 0.0707862000, 0.1304828000, 0.2831624000, 0.7083690000", \ - "0.0295790000, 0.0344553000, 0.0465824000, 0.0709772000, 0.1305536000, 0.2831448000, 0.7135907000", \ - "0.0292450000, 0.0344622000, 0.0458542000, 0.0708102000, 0.1304657000, 0.2830993000, 0.7077964000", \ - "0.0292992000, 0.0345083000, 0.0458304000, 0.0708980000, 0.1304490000, 0.2828441000, 0.7083934000", \ - "0.0294966000, 0.0348123000, 0.0459342000, 0.0710009000, 0.1304927000, 0.2828260000, 0.7149474000", \ - "0.0389859000, 0.0443408000, 0.0556738000, 0.0793755000, 0.1352479000, 0.2845620000, 0.7136925000", \ - "0.0580452000, 0.0649127000, 0.0791567000, 0.1042055000, 0.1567682000, 0.2965211000, 0.7109212000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0279331000, 0.0356174000, 0.0542485000, 0.1030722000, 0.2350012000, 0.5818220000, 1.5012748000", \ - "0.0280634000, 0.0355221000, 0.0542959000, 0.1030920000, 0.2345085000, 0.5826190000, 1.5013206000", \ - "0.0279837000, 0.0354722000, 0.0541700000, 0.1030559000, 0.2344961000, 0.5829878000, 1.5029774000", \ - "0.0282444000, 0.0356733000, 0.0542415000, 0.1030660000, 0.2351435000, 0.5830856000, 1.5007086000", \ - "0.0313223000, 0.0388119000, 0.0573696000, 0.1047838000, 0.2348672000, 0.5831782000, 1.5030533000", \ - "0.0388201000, 0.0459658000, 0.0638261000, 0.1093467000, 0.2374000000, 0.5836967000, 1.5037593000", \ - "0.0533014000, 0.0621307000, 0.0810154000, 0.1218366000, 0.2426742000, 0.5844596000, 1.4966590000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.1392698000, 0.1469119000, 0.1623040000, 0.1920989000, 0.2518514000, 0.3827056000, 0.7078814000", \ - "0.1439873000, 0.1516319000, 0.1671993000, 0.1971112000, 0.2568254000, 0.3876725000, 0.7128437000", \ - "0.1567850000, 0.1644319000, 0.1799406000, 0.2096474000, 0.2694479000, 0.4003049000, 0.7254924000", \ - "0.1883025000, 0.1959074000, 0.2108623000, 0.2406589000, 0.3004124000, 0.4313134000, 0.7564837000", \ - "0.2643404000, 0.2719369000, 0.2873287000, 0.3170761000, 0.3769301000, 0.5079193000, 0.8331825000", \ - "0.4117009000, 0.4211182000, 0.4397794000, 0.4737277000, 0.5372325000, 0.6693215000, 0.9945044000", \ - "0.6504126000, 0.6628850000, 0.6878049000, 0.7323991000, 0.8084807000, 0.9484155000, 1.2750626000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0957512000, 0.1039727000, 0.1218422000, 0.1616094000, 0.2583253000, 0.5052723000, 1.1488807000", \ - "0.0999485000, 0.1081362000, 0.1261123000, 0.1658934000, 0.2626470000, 0.5095671000, 1.1535912000", \ - "0.1105340000, 0.1186243000, 0.1366161000, 0.1764206000, 0.2732711000, 0.5202536000, 1.1643011000", \ - "0.1352014000, 0.1433891000, 0.1612878000, 0.2010764000, 0.2979730000, 0.5450051000, 1.1872999000", \ - "0.1792083000, 0.1877874000, 0.2067343000, 0.2477074000, 0.3449731000, 0.5921632000, 1.2352956000", \ - "0.2338227000, 0.2446635000, 0.2666237000, 0.3097089000, 0.4081622000, 0.6561595000, 1.3037827000", \ - "0.2826784000, 0.2975116000, 0.3263647000, 0.3774469000, 0.4784901000, 0.7262410000, 1.3693877000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0277313000, 0.0324479000, 0.0442777000, 0.0685601000, 0.1287192000, 0.2834877000, 0.7142034000", \ - "0.0274776000, 0.0327147000, 0.0437787000, 0.0685803000, 0.1286203000, 0.2835238000, 0.7139448000", \ - "0.0276748000, 0.0326562000, 0.0435363000, 0.0682076000, 0.1286160000, 0.2835356000, 0.7077254000", \ - "0.0276486000, 0.0326834000, 0.0436223000, 0.0685244000, 0.1288805000, 0.2836807000, 0.7126584000", \ - "0.0281586000, 0.0332032000, 0.0445055000, 0.0686301000, 0.1289519000, 0.2834697000, 0.7126082000", \ - "0.0386099000, 0.0442613000, 0.0558452000, 0.0792426000, 0.1359168000, 0.2849002000, 0.7132795000", \ - "0.0578453000, 0.0645598000, 0.0811258000, 0.1058393000, 0.1593024000, 0.2978073000, 0.7107176000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013042100, 0.0034019100, 0.0088735700, 0.0231459000, 0.0603741000, 0.1574810000"); - values("0.0280545000, 0.0355961000, 0.0542876000, 0.1030503000, 0.2348428000, 0.5825218000, 1.4998658000", \ - "0.0281110000, 0.0355793000, 0.0542633000, 0.1030018000, 0.2347085000, 0.5828810000, 1.5012810000", \ - "0.0280319000, 0.0355744000, 0.0542705000, 0.1029936000, 0.2347210000, 0.5828767000, 1.5013546000", \ - "0.0282345000, 0.0357830000, 0.0543651000, 0.1029601000, 0.2344082000, 0.5824409000, 1.4962519000", \ - "0.0324017000, 0.0401477000, 0.0577053000, 0.1051404000, 0.2353572000, 0.5829975000, 1.4971022000", \ - "0.0426886000, 0.0497590000, 0.0667170000, 0.1104727000, 0.2378106000, 0.5830296000, 1.5012489000", \ - "0.0594059000, 0.0685281000, 0.0873623000, 0.1265234000, 0.2440916000, 0.5861326000, 1.4957371000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - pin ("SUM") { - direction : "output"; - function : "(A&!B) | (!A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013294860, 0.0035350670, 0.0093996470, 0.0249934000, 0.0664567700, 0.1767067000"); - values("0.0015052000, 0.0035356000, 0.0068681000, 0.0079053000, -0.006599400, -0.066712800, -0.241859800", \ - "0.0014995000, 0.0035260000, 0.0068496000, 0.0078797000, -0.006655200, -0.066764000, -0.241883200", \ - "0.0014907000, 0.0035047000, 0.0067996000, 0.0078038000, -0.006785100, -0.066921600, -0.242087600", \ - "0.0014842000, 0.0034806000, 0.0067511000, 0.0077136000, -0.006898500, -0.067085800, -0.242269300", \ - "0.0014724000, 0.0034518000, 0.0066858000, 0.0075938000, -0.007118400, -0.067304300, -0.242479100", \ - "0.0014620000, 0.0034272000, 0.0066191000, 0.0074695000, -0.007234100, -0.067442700, -0.242680200", \ - "0.0014915000, 0.0035098000, 0.0067635000, 0.0078050000, -0.006915000, -0.067180000, -0.242390700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013294860, 0.0035350670, 0.0093996470, 0.0249934000, 0.0664567700, 0.1767067000"); - values("0.0006329000, 0.0017196000, 0.0048944000, 0.0138427000, 0.0384376000, 0.1045502000, 0.2824911000", \ - "0.0006279000, 0.0017073000, 0.0048673000, 0.0137913000, 0.0383649000, 0.1044832000, 0.2823510000", \ - "0.0006170000, 0.0016825000, 0.0048113000, 0.0136915000, 0.0382172000, 0.1043098000, 0.2821225000", \ - "0.0006086000, 0.0016579000, 0.0047513000, 0.0135784000, 0.0380605000, 0.1046641000, 0.2819065000", \ - "0.0006009000, 0.0016436000, 0.0047208000, 0.0135280000, 0.0379779000, 0.1040665000, 0.2811193000", \ - "0.0005999000, 0.0016390000, 0.0046914000, 0.0134707000, 0.0378595000, 0.1038693000, 0.2805689000", \ - "0.0006390000, 0.0017420000, 0.0048166000, 0.0135707000, 0.0381933000, 0.1040556000, 0.2811873000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013294860, 0.0035350670, 0.0093996470, 0.0249934000, 0.0664567700, 0.1767067000"); - values("0.0015280000, 0.0035923000, 0.0069937000, 0.0081469000, -0.006265800, -0.066330900, -0.241419500", \ - "0.0015252000, 0.0035877000, 0.0069862000, 0.0081294000, -0.006287100, -0.066334400, -0.241468000", \ - "0.0015200000, 0.0035750000, 0.0069586000, 0.0080776000, -0.006363100, -0.066447100, -0.241548000", \ - "0.0015099000, 0.0035513000, 0.0069036000, 0.0079847000, -0.006536000, -0.066579900, -0.241725800", \ - "0.0014993000, 0.0035151000, 0.0068368000, 0.0078487000, -0.006723800, -0.066835100, -0.241992600", \ - "0.0014979000, 0.0035161000, 0.0068214000, 0.0078246000, -0.006703300, -0.066825600, -0.241989500", \ - "0.0015319000, 0.0035995000, 0.0069998000, 0.0081644000, -0.006333700, -0.066413700, -0.241592200"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013294860, 0.0035350670, 0.0093996470, 0.0249934000, 0.0664567700, 0.1767067000"); - values("0.0006206000, 0.0017108000, 0.0048558000, 0.0137468000, 0.0382557000, 0.1044048000, 0.2809818000", \ - "0.0006134000, 0.0016938000, 0.0048234000, 0.0137148000, 0.0382403000, 0.1043893000, 0.2823555000", \ - "0.0006030000, 0.0016660000, 0.0047697000, 0.0136008000, 0.0381104000, 0.1041871000, 0.2807145000", \ - "0.0005882000, 0.0016243000, 0.0046695000, 0.0134561000, 0.0378728000, 0.1038664000, 0.2805651000", \ - "0.0005662000, 0.0015756000, 0.0045568000, 0.0132093000, 0.0375166000, 0.1035198000, 0.2802653000", \ - "0.0005569000, 0.0015427000, 0.0044978000, 0.0131040000, 0.0373588000, 0.1037401000, 0.2812444000", \ - "0.0005867000, 0.0016062000, 0.0045436000, 0.0130816000, 0.0373738000, 0.1030322000, 0.2804703000"); - } - } - max_capacitance : 0.1767070000; - max_transition : 1.5026780000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.2469392000, 0.2563823000, 0.2757736000, 0.3120057000, 0.3809705000, 0.5292639000, 0.9003345000", \ - "0.2518240000, 0.2612968000, 0.2806018000, 0.3169046000, 0.3860882000, 0.5345586000, 0.9054418000", \ - "0.2643002000, 0.2736755000, 0.2929253000, 0.3289861000, 0.3983299000, 0.5470109000, 0.9180389000", \ - "0.2918870000, 0.3013325000, 0.3206451000, 0.3569334000, 0.4259687000, 0.5743211000, 0.9452626000", \ - "0.3541113000, 0.3635343000, 0.3828198000, 0.4190909000, 0.4885120000, 0.6369448000, 1.0079355000", \ - "0.4905446000, 0.5003802000, 0.5204656000, 0.5575569000, 0.6274766000, 0.7762008000, 1.1468655000", \ - "0.7352982000, 0.7468992000, 0.7699464000, 0.8124127000, 0.8890893000, 1.0433723000, 1.4151996000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0895449000, 0.0969240000, 0.1135635000, 0.1512231000, 0.2445625000, 0.4886562000, 1.1373812000", \ - "0.0948890000, 0.1023803000, 0.1189114000, 0.1566521000, 0.2501693000, 0.4953934000, 1.1430029000", \ - "0.1054418000, 0.1128775000, 0.1295127000, 0.1672374000, 0.2604695000, 0.5048978000, 1.1526199000", \ - "0.1265947000, 0.1340006000, 0.1505236000, 0.1881745000, 0.2813781000, 0.5260088000, 1.1739301000", \ - "0.1642254000, 0.1721149000, 0.1893901000, 0.2275421000, 0.3213136000, 0.5659200000, 1.2146996000", \ - "0.2162208000, 0.2254650000, 0.2443076000, 0.2845539000, 0.3785497000, 0.6233365000, 1.2734418000", \ - "0.2641012000, 0.2764714000, 0.3007160000, 0.3460902000, 0.4417929000, 0.6873932000, 1.3345197000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0387571000, 0.0441704000, 0.0573117000, 0.0848503000, 0.1480774000, 0.3194633000, 0.7952215000", \ - "0.0385800000, 0.0446500000, 0.0577713000, 0.0847189000, 0.1487230000, 0.3195833000, 0.7963740000", \ - "0.0386580000, 0.0442508000, 0.0567754000, 0.0850722000, 0.1484398000, 0.3188903000, 0.8019458000", \ - "0.0387985000, 0.0449786000, 0.0570280000, 0.0848835000, 0.1481403000, 0.3192097000, 0.8038433000", \ - "0.0383118000, 0.0442492000, 0.0566531000, 0.0836356000, 0.1481727000, 0.3196399000, 0.7948582000", \ - "0.0413041000, 0.0473821000, 0.0597821000, 0.0868448000, 0.1497321000, 0.3194898000, 0.8048425000", \ - "0.0525217000, 0.0601447000, 0.0731373000, 0.1004156000, 0.1640027000, 0.3269551000, 0.8035484000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0239404000, 0.0307609000, 0.0482705000, 0.0949736000, 0.2235524000, 0.5711612000, 1.4993259000", \ - "0.0239887000, 0.0309003000, 0.0482447000, 0.0949175000, 0.2236634000, 0.5714432000, 1.4992344000", \ - "0.0240403000, 0.0309413000, 0.0482515000, 0.0948142000, 0.2235309000, 0.5711737000, 1.4967539000", \ - "0.0242034000, 0.0309903000, 0.0483383000, 0.0949840000, 0.2239320000, 0.5710169000, 1.4980193000", \ - "0.0268768000, 0.0337612000, 0.0505595000, 0.0959484000, 0.2242098000, 0.5722546000, 1.4997277000", \ - "0.0334547000, 0.0400024000, 0.0567460000, 0.1000391000, 0.2256469000, 0.5712481000, 1.4998016000", \ - "0.0467217000, 0.0546607000, 0.0715621000, 0.1112693000, 0.2292443000, 0.5740079000, 1.4912712000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.1930486000, 0.1995213000, 0.2130739000, 0.2400950000, 0.2974002000, 0.4372238000, 0.8055790000", \ - "0.1974861000, 0.2039657000, 0.2175475000, 0.2445270000, 0.3018732000, 0.4417841000, 0.8111432000", \ - "0.2064830000, 0.2129979000, 0.2265742000, 0.2535936000, 0.3108439000, 0.4505950000, 0.8199024000", \ - "0.2267392000, 0.2332307000, 0.2467627000, 0.2736907000, 0.3310877000, 0.4708723000, 0.8401534000", \ - "0.2672025000, 0.2737076000, 0.2872887000, 0.3143276000, 0.3716064000, 0.5114829000, 0.8805848000", \ - "0.3263328000, 0.3329256000, 0.3465742000, 0.3737334000, 0.4311747000, 0.5710815000, 0.9395487000", \ - "0.3934023000, 0.4001890000, 0.4141160000, 0.4414314000, 0.4990919000, 0.6391363000, 1.0077063000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.2367835000, 0.2442152000, 0.2607158000, 0.2981553000, 0.3914454000, 0.6361062000, 1.2879474000", \ - "0.2416428000, 0.2490753000, 0.2655742000, 0.3030227000, 0.3962513000, 0.6409704000, 1.2925784000", \ - "0.2544168000, 0.2617742000, 0.2782790000, 0.3157112000, 0.4090449000, 0.6534419000, 1.3037452000", \ - "0.2859057000, 0.2932171000, 0.3096779000, 0.3471414000, 0.4404302000, 0.6851490000, 1.3374322000", \ - "0.3606848000, 0.3680357000, 0.3845112000, 0.4219594000, 0.5152991000, 0.7598726000, 1.4107929000", \ - "0.5231443000, 0.5306232000, 0.5471606000, 0.5845132000, 0.6780801000, 0.9223370000, 1.5700284000", \ - "0.7931665000, 0.8011561000, 0.8180394000, 0.8559128000, 0.9499390000, 1.1938593000, 1.8446941000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0229335000, 0.0271432000, 0.0375298000, 0.0620024000, 0.1259658000, 0.3043464000, 0.7907729000", \ - "0.0227540000, 0.0271302000, 0.0374417000, 0.0618521000, 0.1259942000, 0.3045735000, 0.7961763000", \ - "0.0226965000, 0.0271318000, 0.0376902000, 0.0619685000, 0.1260086000, 0.3055403000, 0.7958542000", \ - "0.0229766000, 0.0274990000, 0.0378025000, 0.0622386000, 0.1261348000, 0.3059876000, 0.7957911000", \ - "0.0230345000, 0.0272467000, 0.0378981000, 0.0621096000, 0.1257853000, 0.3052858000, 0.7945865000", \ - "0.0237294000, 0.0278824000, 0.0383120000, 0.0623552000, 0.1261054000, 0.3055366000, 0.7917920000", \ - "0.0243842000, 0.0293873000, 0.0390174000, 0.0633542000, 0.1266622000, 0.3056873000, 0.7900817000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0248083000, 0.0313920000, 0.0483314000, 0.0947133000, 0.2230807000, 0.5705355000, 1.4999733000", \ - "0.0248950000, 0.0314267000, 0.0483582000, 0.0947331000, 0.2232595000, 0.5703400000, 1.4990643000", \ - "0.0249148000, 0.0313350000, 0.0483246000, 0.0946831000, 0.2232469000, 0.5697955000, 1.5024234000", \ - "0.0248666000, 0.0314336000, 0.0483924000, 0.0947188000, 0.2229261000, 0.5711682000, 1.5014446000", \ - "0.0249807000, 0.0314311000, 0.0483844000, 0.0947409000, 0.2230749000, 0.5702815000, 1.5000829000", \ - "0.0257613000, 0.0320476000, 0.0488448000, 0.0950613000, 0.2235561000, 0.5710122000, 1.5012953000", \ - "0.0291309000, 0.0351902000, 0.0515088000, 0.0963038000, 0.2235515000, 0.5696264000, 1.4974034000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.2329602000, 0.2425288000, 0.2616621000, 0.2977268000, 0.3670780000, 0.5157886000, 0.8868091000", \ - "0.2356508000, 0.2451034000, 0.2644735000, 0.3007466000, 0.3698159000, 0.5181595000, 0.8891410000", \ - "0.2451413000, 0.2546769000, 0.2739683000, 0.3103276000, 0.3793409000, 0.5277606000, 0.8988822000", \ - "0.2733652000, 0.2828606000, 0.3022004000, 0.3384530000, 0.4075578000, 0.5559481000, 0.9268344000", \ - "0.3427324000, 0.3521928000, 0.3713801000, 0.4077677000, 0.4768689000, 0.6255841000, 0.9967683000", \ - "0.5005219000, 0.5105146000, 0.5304067000, 0.5673124000, 0.6372160000, 0.7861264000, 1.1566467000", \ - "0.7709091000, 0.7837392000, 0.8088576000, 0.8530399000, 0.9289570000, 1.0830191000, 1.4551080000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0803997000, 0.0875159000, 0.1035729000, 0.1406980000, 0.2338133000, 0.4783257000, 1.1271656000", \ - "0.0858460000, 0.0929694000, 0.1090495000, 0.1461823000, 0.2393451000, 0.4834829000, 1.1314981000", \ - "0.0961899000, 0.1033422000, 0.1194618000, 0.1565216000, 0.2493873000, 0.4936360000, 1.1408854000", \ - "0.1161605000, 0.1233078000, 0.1394259000, 0.1764272000, 0.2695369000, 0.5132605000, 1.1630580000", \ - "0.1491754000, 0.1569396000, 0.1739104000, 0.2118227000, 0.3051416000, 0.5503946000, 1.2002327000", \ - "0.1903095000, 0.1996514000, 0.2190723000, 0.2587278000, 0.3522314000, 0.5968074000, 1.2455798000", \ - "0.2169479000, 0.2299510000, 0.2550622000, 0.3008135000, 0.3965315000, 0.6411804000, 1.2881486000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0387773000, 0.0445996000, 0.0567490000, 0.0851224000, 0.1484048000, 0.3187053000, 0.8017262000", \ - "0.0387029000, 0.0441560000, 0.0574409000, 0.0848332000, 0.1480306000, 0.3194914000, 0.7969211000", \ - "0.0384342000, 0.0442140000, 0.0574681000, 0.0836486000, 0.1486774000, 0.3192568000, 0.8029634000", \ - "0.0386611000, 0.0446615000, 0.0574966000, 0.0848542000, 0.1482081000, 0.3192908000, 0.7982320000", \ - "0.0389050000, 0.0444583000, 0.0568002000, 0.0838448000, 0.1485754000, 0.3189868000, 0.8029542000", \ - "0.0426897000, 0.0482570000, 0.0604130000, 0.0871358000, 0.1493509000, 0.3192348000, 0.8022638000", \ - "0.0611821000, 0.0677009000, 0.0809946000, 0.1062947000, 0.1644155000, 0.3267761000, 0.8079126000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0227005000, 0.0293324000, 0.0466407000, 0.0935155000, 0.2230374000, 0.5716080000, 1.4986952000", \ - "0.0227376000, 0.0293883000, 0.0466479000, 0.0935745000, 0.2229490000, 0.5719121000, 1.5022952000", \ - "0.0227103000, 0.0293789000, 0.0467404000, 0.0937018000, 0.2226058000, 0.5718400000, 1.5012713000", \ - "0.0232563000, 0.0298452000, 0.0470018000, 0.0936557000, 0.2233723000, 0.5702749000, 1.5026780000", \ - "0.0265452000, 0.0330276000, 0.0496982000, 0.0953080000, 0.2233924000, 0.5713351000, 1.4996681000", \ - "0.0342483000, 0.0406505000, 0.0568958000, 0.0996081000, 0.2249854000, 0.5698030000, 1.4962527000", \ - "0.0488386000, 0.0568649000, 0.0732062000, 0.1128697000, 0.2288947000, 0.5731469000, 1.4900331000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.1871968000, 0.1936892000, 0.2072697000, 0.2342384000, 0.2916392000, 0.4314752000, 0.8001513000", \ - "0.1915794000, 0.1980332000, 0.2115756000, 0.2385420000, 0.2959340000, 0.4357838000, 0.8047940000", \ - "0.2019948000, 0.2084668000, 0.2219819000, 0.2489352000, 0.3063223000, 0.4461728000, 0.8150945000", \ - "0.2261146000, 0.2326248000, 0.2462163000, 0.2731204000, 0.3303942000, 0.4703642000, 0.8391710000", \ - "0.2731593000, 0.2797090000, 0.2933317000, 0.3203116000, 0.3776962000, 0.5174199000, 0.8857235000", \ - "0.3369473000, 0.3434894000, 0.3572160000, 0.3843621000, 0.4417437000, 0.5813961000, 0.9508151000", \ - "0.4082716000, 0.4150816000, 0.4291645000, 0.4564905000, 0.5142905000, 0.6543390000, 1.0232504000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.2321827000, 0.2398592000, 0.2568507000, 0.2947655000, 0.3885391000, 0.6327402000, 1.2818723000", \ - "0.2371912000, 0.2448128000, 0.2618728000, 0.2998473000, 0.3936712000, 0.6384526000, 1.2873387000", \ - "0.2498254000, 0.2574944000, 0.2743858000, 0.3122544000, 0.4058877000, 0.6507709000, 1.3001762000", \ - "0.2806968000, 0.2882820000, 0.3052336000, 0.3432691000, 0.4371027000, 0.6818506000, 1.3305274000", \ - "0.3564240000, 0.3640515000, 0.3809134000, 0.4187301000, 0.5124927000, 0.7567825000, 1.4054696000", \ - "0.5118205000, 0.5195142000, 0.5365606000, 0.5745848000, 0.6684686000, 0.9136724000, 1.5622816000", \ - "0.7705979000, 0.7783963000, 0.7960302000, 0.8346638000, 0.9289772000, 1.1736141000, 1.8221278000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0229453000, 0.0271511000, 0.0376211000, 0.0618937000, 0.1259818000, 0.3044104000, 0.8004458000", \ - "0.0226955000, 0.0272247000, 0.0377117000, 0.0620031000, 0.1260280000, 0.3056666000, 0.7949211000", \ - "0.0227015000, 0.0272855000, 0.0377805000, 0.0620463000, 0.1260257000, 0.3052391000, 0.7944557000", \ - "0.0227154000, 0.0271556000, 0.0375747000, 0.0620380000, 0.1258600000, 0.3052696000, 0.8002394000", \ - "0.0231056000, 0.0274698000, 0.0378811000, 0.0622184000, 0.1257095000, 0.3056042000, 0.7903424000", \ - "0.0233958000, 0.0278258000, 0.0382326000, 0.0624349000, 0.1262654000, 0.3049863000, 0.7960287000", \ - "0.0246944000, 0.0291944000, 0.0394442000, 0.0641327000, 0.1269437000, 0.3049085000, 0.7868471000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013294900, 0.0035350700, 0.0093996500, 0.0249934000, 0.0664568000, 0.1767070000"); - values("0.0258370000, 0.0324538000, 0.0496658000, 0.0958268000, 0.2239182000, 0.5718870000, 1.4949597000", \ - "0.0258360000, 0.0324920000, 0.0496104000, 0.0959117000, 0.2240404000, 0.5699366000, 1.5014796000", \ - "0.0256245000, 0.0323806000, 0.0496259000, 0.0959598000, 0.2241529000, 0.5722910000, 1.5002759000", \ - "0.0257456000, 0.0326016000, 0.0495360000, 0.0959109000, 0.2241090000, 0.5699951000, 1.5013164000", \ - "0.0256470000, 0.0324945000, 0.0497374000, 0.0959822000, 0.2238529000, 0.5719527000, 1.4963178000", \ - "0.0266902000, 0.0331438000, 0.0501197000, 0.0964344000, 0.2244898000, 0.5711691000, 1.5008667000", \ - "0.0295406000, 0.0363098000, 0.0524767000, 0.0974012000, 0.2248529000, 0.5704255000, 1.4954329000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__ha_2") { - leakage_power () { - value : 0.0054882000; - when : "!A&B"; - } - leakage_power () { - value : 0.0083985000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0055881000; - when : "A&B"; - } - leakage_power () { - value : 0.0053729000; - when : "A&!B"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__ha"; - cell_leakage_power : 0.0062119190; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0034790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033550000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0036040000; - } - pin ("B") { - capacitance : 0.0032770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0032720000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0032820000; - } - pin ("COUT") { - direction : "output"; - function : "(A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014486260, 0.0041970370, 0.0121598800, 0.0352302400, 0.1020709000, 0.2957252000"); - values("0.0022962000, 0.0060327000, 0.0134369000, 0.0198270000, 0.0018707000, -0.094264700, -0.402505300", \ - "0.0022964000, 0.0060272000, 0.0134222000, 0.0198223000, 0.0017883000, -0.094345600, -0.402646200", \ - "0.0022872000, 0.0060058000, 0.0133737000, 0.0196883000, 0.0017094000, -0.094443000, -0.402702700", \ - "0.0022812000, 0.0059808000, 0.0133215000, 0.0196272000, 0.0015345000, -0.094596200, -0.402902800", \ - "0.0022796000, 0.0059748000, 0.0132794000, 0.0194927000, 0.0014456000, -0.094742800, -0.403003100", \ - "0.0022945000, 0.0060187000, 0.0133211000, 0.0195179000, 0.0013739000, -0.094716900, -0.402989200", \ - "0.0023255000, 0.0060964000, 0.0134473000, 0.0196274000, 0.0015146000, -0.094539500, -0.402795900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014486260, 0.0041970370, 0.0121598800, 0.0352302400, 0.1020709000, 0.2957252000"); - values("0.0002562000, 0.0008566000, 0.0032198000, 0.0127988000, 0.0470200000, 0.1519640000, 0.4624377000", \ - "0.0002498000, 0.0008472000, 0.0031979000, 0.0128066000, 0.0469075000, 0.1510781000, 0.4594262000", \ - "0.0002476000, 0.0008349000, 0.0031610000, 0.0126589000, 0.0470084000, 0.1516799000, 0.4630064000", \ - "0.0002355000, 0.0007968000, 0.0030820000, 0.0126045000, 0.0467475000, 0.1515834000, 0.4611638000", \ - "0.0002356000, 0.0007951000, 0.0030617000, 0.0124278000, 0.0465408000, 0.1511917000, 0.4606815000", \ - "0.0002325000, 0.0007871000, 0.0030852000, 0.0124857000, 0.0462185000, 0.1510787000, 0.4611646000", \ - "0.0002864000, 0.0009129000, 0.0033099000, 0.0130336000, 0.0468019000, 0.1516190000, 0.4608241000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014486260, 0.0041970370, 0.0121598800, 0.0352302400, 0.1020709000, 0.2957252000"); - values("0.0022858000, 0.0059973000, 0.0133913000, 0.0198908000, 0.0022245000, -0.093547200, -0.401638700", \ - "0.0022827000, 0.0059906000, 0.0133635000, 0.0198739000, 0.0022273000, -0.093605800, -0.401682400", \ - "0.0022742000, 0.0059649000, 0.0133027000, 0.0197373000, 0.0019993000, -0.093814300, -0.401922800", \ - "0.0022606000, 0.0059339000, 0.0132122000, 0.0195328000, 0.0017187000, -0.094126800, -0.402237400", \ - "0.0022487000, 0.0058940000, 0.0131002000, 0.0192871000, 0.0013031000, -0.094527400, -0.402616900", \ - "0.0022511000, 0.0058923000, 0.0130512000, 0.0191369000, 0.0009327000, -0.094934100, -0.403015800", \ - "0.0022813000, 0.0059633000, 0.0131627000, 0.0191077000, 0.0009025000, -0.094962300, -0.402856200"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014486260, 0.0041970370, 0.0121598800, 0.0352302400, 0.1020709000, 0.2957252000"); - values("0.0002960000, 0.0009713000, 0.0034974000, 0.0133936000, 0.0478724000, 0.1522088000, 0.4607072000", \ - "0.0002959000, 0.0009632000, 0.0034659000, 0.0133311000, 0.0477178000, 0.1529674000, 0.4637584000", \ - "0.0002912000, 0.0009541000, 0.0034481000, 0.0132269000, 0.0478703000, 0.1527483000, 0.4620326000", \ - "0.0002792000, 0.0009142000, 0.0033648000, 0.0131407000, 0.0474500000, 0.1527309000, 0.4635426000", \ - "0.0002783000, 0.0009116000, 0.0033182000, 0.0129299000, 0.0473349000, 0.1524448000, 0.4633218000", \ - "0.0002782000, 0.0009089000, 0.0032997000, 0.0129425000, 0.0472653000, 0.1522060000, 0.4617817000", \ - "0.0003483000, 0.0010895000, 0.0037768000, 0.0138357000, 0.0478732000, 0.1531105000, 0.4612217000"); - } - } - max_capacitance : 0.2957250000; - max_transition : 1.5019860000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.1427091000, 0.1487013000, 0.1618252000, 0.1876937000, 0.2389345000, 0.3560010000, 0.6670897000", \ - "0.1481905000, 0.1541272000, 0.1672818000, 0.1931531000, 0.2444563000, 0.3614813000, 0.6725490000", \ - "0.1615659000, 0.1675259000, 0.1806438000, 0.2065357000, 0.2578343000, 0.3749210000, 0.6859844000", \ - "0.1934243000, 0.1993026000, 0.2124445000, 0.2382835000, 0.2896154000, 0.4066927000, 0.7177945000", \ - "0.2694804000, 0.2754060000, 0.2884533000, 0.3142930000, 0.3656263000, 0.4828049000, 0.7939943000", \ - "0.4196434000, 0.4270323000, 0.4428687000, 0.4727583000, 0.5279243000, 0.6467412000, 0.9577429000", \ - "0.6619722000, 0.6717479000, 0.6929605000, 0.7330723000, 0.8015407000, 0.9305531000, 1.2441009000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.1241079000, 0.1314257000, 0.1480819000, 0.1843537000, 0.2708165000, 0.5063102000, 1.1827520000", \ - "0.1283303000, 0.1356391000, 0.1523003000, 0.1887182000, 0.2751357000, 0.5107968000, 1.1866119000", \ - "0.1375148000, 0.1448166000, 0.1614025000, 0.1976129000, 0.2842118000, 0.5198697000, 1.1960735000", \ - "0.1580132000, 0.1653405000, 0.1820191000, 0.2183372000, 0.3048291000, 0.5405688000, 1.2178621000", \ - "0.2004757000, 0.2081204000, 0.2254692000, 0.2622642000, 0.3491155000, 0.5850581000, 1.2657512000", \ - "0.2654015000, 0.2744215000, 0.2939835000, 0.3339691000, 0.4229959000, 0.6599051000, 1.3368644000", \ - "0.3393545000, 0.3510330000, 0.3760462000, 0.4234420000, 0.5180397000, 0.7554549000, 1.4305847000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.0253827000, 0.0288372000, 0.0372146000, 0.0564369000, 0.1030059000, 0.2351812000, 0.6430242000", \ - "0.0251100000, 0.0288312000, 0.0372666000, 0.0560692000, 0.1029518000, 0.2353887000, 0.6400788000", \ - "0.0250983000, 0.0288266000, 0.0372085000, 0.0565758000, 0.1029665000, 0.2351047000, 0.6427435000", \ - "0.0250748000, 0.0292065000, 0.0372734000, 0.0561501000, 0.1029725000, 0.2353945000, 0.6398321000", \ - "0.0254751000, 0.0292373000, 0.0375880000, 0.0566142000, 0.1030460000, 0.2353753000, 0.6437943000", \ - "0.0350837000, 0.0392883000, 0.0482481000, 0.0662009000, 0.1095748000, 0.2372078000, 0.6403451000", \ - "0.0540313000, 0.0599087000, 0.0708003000, 0.0921556000, 0.1345238000, 0.2528950000, 0.6410656000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.0273987000, 0.0332149000, 0.0475801000, 0.0852209000, 0.1959728000, 0.5261275000, 1.4947235000", \ - "0.0277828000, 0.0334629000, 0.0475277000, 0.0852178000, 0.1961257000, 0.5269502000, 1.4976855000", \ - "0.0274171000, 0.0332722000, 0.0475298000, 0.0852630000, 0.1959742000, 0.5270063000, 1.5008409000", \ - "0.0274672000, 0.0330084000, 0.0475715000, 0.0849773000, 0.1959850000, 0.5254409000, 1.4966784000", \ - "0.0296428000, 0.0355875000, 0.0493489000, 0.0867066000, 0.1965219000, 0.5270521000, 1.4997976000", \ - "0.0364563000, 0.0424381000, 0.0572686000, 0.0930668000, 0.2005540000, 0.5280579000, 1.5019862000", \ - "0.0499090000, 0.0574493000, 0.0736869000, 0.1086901000, 0.2087267000, 0.5302618000, 1.4934199000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.1323193000, 0.1380986000, 0.1508758000, 0.1759637000, 0.2263210000, 0.3426986000, 0.6539126000", \ - "0.1376323000, 0.1434760000, 0.1562585000, 0.1817372000, 0.2319399000, 0.3483371000, 0.6594866000", \ - "0.1509226000, 0.1566758000, 0.1694539000, 0.1947686000, 0.2449258000, 0.3613271000, 0.6725518000", \ - "0.1823661000, 0.1881195000, 0.2008309000, 0.2257962000, 0.2761882000, 0.3926118000, 0.7038528000", \ - "0.2580594000, 0.2638144000, 0.2764730000, 0.3017887000, 0.3521217000, 0.4686777000, 0.7800640000", \ - "0.4023941000, 0.4098009000, 0.4255743000, 0.4554608000, 0.5103910000, 0.6293006000, 0.9404716000", \ - "0.6339165000, 0.6435730000, 0.6646663000, 0.7045980000, 0.7736232000, 0.9033284000, 1.2173860000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.1202579000, 0.1275625000, 0.1442316000, 0.1806516000, 0.2671314000, 0.5029919000, 1.1790394000", \ - "0.1245078000, 0.1317663000, 0.1483691000, 0.1848071000, 0.2713178000, 0.5070573000, 1.1831930000", \ - "0.1348994000, 0.1421988000, 0.1587720000, 0.1949823000, 0.2816567000, 0.5175495000, 1.1980154000", \ - "0.1593081000, 0.1666297000, 0.1833343000, 0.2189168000, 0.3054258000, 0.5420855000, 1.2191421000", \ - "0.2117522000, 0.2194408000, 0.2366977000, 0.2732337000, 0.3602102000, 0.5960056000, 1.2734886000", \ - "0.2839416000, 0.2936133000, 0.3137925000, 0.3547073000, 0.4442101000, 0.6812956000, 1.3618301000", \ - "0.3636118000, 0.3762346000, 0.4031241000, 0.4544858000, 0.5504559000, 0.7883644000, 1.4642221000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.0235491000, 0.0272691000, 0.0357790000, 0.0539985000, 0.1005778000, 0.2341743000, 0.6389546000", \ - "0.0236712000, 0.0271033000, 0.0353993000, 0.0541634000, 0.1004338000, 0.2343927000, 0.6424634000", \ - "0.0236479000, 0.0273446000, 0.0356644000, 0.0538931000, 0.1006409000, 0.2341395000, 0.6388303000", \ - "0.0237753000, 0.0273142000, 0.0354730000, 0.0542122000, 0.1005890000, 0.2341208000, 0.6388407000", \ - "0.0240391000, 0.0274424000, 0.0356512000, 0.0541468000, 0.1007071000, 0.2338990000, 0.6387846000", \ - "0.0345960000, 0.0390913000, 0.0475428000, 0.0660578000, 0.1088924000, 0.2372747000, 0.6384843000", \ - "0.0529847000, 0.0586611000, 0.0702872000, 0.0921755000, 0.1348364000, 0.2534087000, 0.6407658000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.0277854000, 0.0334650000, 0.0475437000, 0.0852143000, 0.1961237000, 0.5269555000, 1.4977510000", \ - "0.0276409000, 0.0333930000, 0.0475977000, 0.0851901000, 0.1958950000, 0.5268706000, 1.4953359000", \ - "0.0274113000, 0.0332548000, 0.0475261000, 0.0852706000, 0.1959613000, 0.5269145000, 1.4995476000", \ - "0.0274870000, 0.0330243000, 0.0475696000, 0.0850282000, 0.1960100000, 0.5261196000, 1.4977508000", \ - "0.0305335000, 0.0360334000, 0.0498456000, 0.0869429000, 0.1964400000, 0.5258604000, 1.4987636000", \ - "0.0408716000, 0.0469701000, 0.0611211000, 0.0957181000, 0.2012396000, 0.5275660000, 1.4996894000", \ - "0.0571350000, 0.0651089000, 0.0830146000, 0.1164560000, 0.2117735000, 0.5304153000, 1.4956978000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - pin ("SUM") { - direction : "output"; - function : "(A&!B) | (!A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0017111000, 0.0045074000, 0.0098514000, 0.0128306000, -0.009082000, -0.112451700, -0.440839500", \ - "0.0017122000, 0.0045023000, 0.0098540000, 0.0128375000, -0.009057900, -0.112469900, -0.440719900", \ - "0.0017041000, 0.0044802000, 0.0097919000, 0.0126977000, -0.009313600, -0.112712900, -0.440894300", \ - "0.0016960000, 0.0044678000, 0.0097560000, 0.0126386000, -0.009375200, -0.112790700, -0.441052000", \ - "0.0016824000, 0.0044256000, 0.0096718000, 0.0124639000, -0.009661900, -0.113122200, -0.441346300", \ - "0.0016793000, 0.0044147000, 0.0096329000, 0.0123660000, -0.009761000, -0.113297200, -0.441512600", \ - "0.0017154000, 0.0045131000, 0.0099112000, 0.0130399000, -0.009287300, -0.112842700, -0.441161500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0007409000, 0.0021935000, 0.0065784000, 0.0198154000, 0.0585999000, 0.1713119000, 0.5030451000", \ - "0.0007374000, 0.0021848000, 0.0065755000, 0.0198309000, 0.0585455000, 0.1714271000, 0.5030091000", \ - "0.0007323000, 0.0021683000, 0.0065256000, 0.0197244000, 0.0584146000, 0.1713827000, 0.5003777000", \ - "0.0007226000, 0.0021438000, 0.0064790000, 0.0196352000, 0.0583136000, 0.1709447000, 0.5004103000", \ - "0.0007148000, 0.0021228000, 0.0064084000, 0.0194819000, 0.0580990000, 0.1706179000, 0.5000944000", \ - "0.0007150000, 0.0021222000, 0.0064241000, 0.0195334000, 0.0580838000, 0.1707965000, 0.5028886000", \ - "0.0007559000, 0.0022206000, 0.0065892000, 0.0196244000, 0.0584534000, 0.1707010000, 0.4998814000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0017529000, 0.0046264000, 0.0101461000, 0.0134244000, -0.008181800, -0.111458600, -0.439577200", \ - "0.0017557000, 0.0046185000, 0.0101439000, 0.0133842000, -0.008251800, -0.111519900, -0.439675500", \ - "0.0017475000, 0.0046032000, 0.0100803000, 0.0132641000, -0.008429500, -0.111694800, -0.439803000", \ - "0.0017390000, 0.0045809000, 0.0100394000, 0.0131731000, -0.008548000, -0.111836200, -0.439976900", \ - "0.0017214000, 0.0045382000, 0.0099354000, 0.0129623000, -0.008780000, -0.112102400, -0.440257000", \ - "0.0017214000, 0.0045433000, 0.0099367000, 0.0129739000, -0.008939100, -0.112245100, -0.440373000", \ - "0.0017931000, 0.0047120000, 0.0103950000, 0.0138216000, -0.008288500, -0.111668600, -0.439883000"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0007462000, 0.0022074000, 0.0066248000, 0.0198997000, 0.0587394000, 0.1716542000, 0.5032289000", \ - "0.0007448000, 0.0021971000, 0.0065988000, 0.0198912000, 0.0586423000, 0.1715530000, 0.5030513000", \ - "0.0007344000, 0.0021751000, 0.0065465000, 0.0197632000, 0.0585073000, 0.1713957000, 0.5001833000", \ - "0.0007185000, 0.0021317000, 0.0064441000, 0.0195451000, 0.0582199000, 0.1709260000, 0.5025091000", \ - "0.0006980000, 0.0020773000, 0.0062937000, 0.0192819000, 0.0577372000, 0.1710917000, 0.5016522000", \ - "0.0006868000, 0.0020443000, 0.0062227000, 0.0191192000, 0.0575299000, 0.1702481000, 0.5018118000", \ - "0.0007165000, 0.0021117000, 0.0063150000, 0.0191065000, 0.0576071000, 0.1698188000, 0.5011128000"); - } - } - max_capacitance : 0.3122050000; - max_transition : 1.5029310000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.2339329000, 0.2413089000, 0.2576254000, 0.2893273000, 0.3498506000, 0.4789804000, 0.8122244000", \ - "0.2394018000, 0.2467881000, 0.2631061000, 0.2948500000, 0.3554533000, 0.4844242000, 0.8178567000", \ - "0.2526595000, 0.2600362000, 0.2763269000, 0.3080700000, 0.3685195000, 0.4976866000, 0.8310634000", \ - "0.2807905000, 0.2881849000, 0.3043772000, 0.3361435000, 0.3967074000, 0.5257670000, 0.8590847000", \ - "0.3434763000, 0.3509064000, 0.3670927000, 0.3988417000, 0.4596292000, 0.5887932000, 0.9226808000", \ - "0.4795159000, 0.4872770000, 0.5042949000, 0.5370655000, 0.5987182000, 0.7287302000, 1.0623115000", \ - "0.7225180000, 0.7316780000, 0.7517184000, 0.7899534000, 0.8593713000, 0.9965367000, 1.3327577000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.1203246000, 0.1271447000, 0.1427928000, 0.1777726000, 0.2622640000, 0.4968873000, 1.1801039000", \ - "0.1254271000, 0.1322732000, 0.1480910000, 0.1828662000, 0.2675488000, 0.5035017000, 1.1877330000", \ - "0.1359974000, 0.1428425000, 0.1585757000, 0.1935300000, 0.2781100000, 0.5129591000, 1.1963607000", \ - "0.1575508000, 0.1644230000, 0.1801330000, 0.2150337000, 0.2995850000, 0.5345090000, 1.2166330000", \ - "0.2012547000, 0.2084285000, 0.2246122000, 0.2598005000, 0.3444842000, 0.5804222000, 1.2651281000", \ - "0.2700665000, 0.2781704000, 0.2963509000, 0.3341019000, 0.4205969000, 0.6557778000, 1.3379806000", \ - "0.3520254000, 0.3626353000, 0.3852301000, 0.4292685000, 0.5195930000, 0.7551328000, 1.4375500000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0357243000, 0.0402232000, 0.0504276000, 0.0708782000, 0.1213884000, 0.2575907000, 0.6839334000", \ - "0.0360002000, 0.0402822000, 0.0500830000, 0.0708066000, 0.1214208000, 0.2579720000, 0.6839140000", \ - "0.0357077000, 0.0402020000, 0.0504972000, 0.0708944000, 0.1212592000, 0.2574966000, 0.6835981000", \ - "0.0358537000, 0.0402283000, 0.0498419000, 0.0719132000, 0.1203041000, 0.2581009000, 0.6830465000", \ - "0.0360828000, 0.0403405000, 0.0498857000, 0.0718060000, 0.1210071000, 0.2567937000, 0.6871620000", \ - "0.0395166000, 0.0442334000, 0.0536132000, 0.0745459000, 0.1235933000, 0.2589578000, 0.6834451000", \ - "0.0518128000, 0.0562670000, 0.0671714000, 0.0894441000, 0.1381110000, 0.2703184000, 0.6882598000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0255872000, 0.0309146000, 0.0445102000, 0.0808927000, 0.1897045000, 0.5210427000, 1.4953098000", \ - "0.0253781000, 0.0307860000, 0.0444488000, 0.0808028000, 0.1895415000, 0.5221834000, 1.5004202000", \ - "0.0254741000, 0.0310114000, 0.0444554000, 0.0808245000, 0.1897848000, 0.5207764000, 1.4973786000", \ - "0.0254554000, 0.0308868000, 0.0443870000, 0.0807820000, 0.1896073000, 0.5217494000, 1.4961778000", \ - "0.0272107000, 0.0327120000, 0.0458709000, 0.0818062000, 0.1898709000, 0.5223010000, 1.5005625000", \ - "0.0331276000, 0.0387914000, 0.0524699000, 0.0871612000, 0.1926886000, 0.5211096000, 1.4947807000", \ - "0.0455808000, 0.0523319000, 0.0669870000, 0.1010239000, 0.1994445000, 0.5237858000, 1.4960313000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.2355338000, 0.2408163000, 0.2525211000, 0.2761283000, 0.3245444000, 0.4412484000, 0.7707413000", \ - "0.2399884000, 0.2452886000, 0.2569698000, 0.2806032000, 0.3289155000, 0.4457061000, 0.7753123000", \ - "0.2489128000, 0.2542358000, 0.2659347000, 0.2895846000, 0.3379320000, 0.4545491000, 0.7847373000", \ - "0.2694256000, 0.2747808000, 0.2864597000, 0.3100377000, 0.3584589000, 0.4751672000, 0.8047802000", \ - "0.3137125000, 0.3190230000, 0.3307733000, 0.3543776000, 0.4027642000, 0.5193895000, 0.8496384000", \ - "0.3872157000, 0.3926113000, 0.4044261000, 0.4282164000, 0.4768134000, 0.5935546000, 0.9239039000", \ - "0.4816954000, 0.4872880000, 0.4995213000, 0.5237357000, 0.5729240000, 0.6899798000, 1.0198579000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.2706231000, 0.2775017000, 0.2930991000, 0.3273382000, 0.4111617000, 0.6459497000, 1.3306363000", \ - "0.2761001000, 0.2830164000, 0.2984942000, 0.3328376000, 0.4165915000, 0.6515828000, 1.3380484000", \ - "0.2895248000, 0.2963579000, 0.3119222000, 0.3461692000, 0.4299807000, 0.6648884000, 1.3471290000", \ - "0.3211267000, 0.3279965000, 0.3435384000, 0.3778569000, 0.4615597000, 0.6966080000, 1.3792362000", \ - "0.3968258000, 0.4037051000, 0.4192896000, 0.4535451000, 0.5373615000, 0.7720164000, 1.4544935000", \ - "0.5590670000, 0.5660275000, 0.5817569000, 0.6161723000, 0.6999439000, 0.9349733000, 1.6176628000", \ - "0.8359362000, 0.8433119000, 0.8598783000, 0.8950060000, 0.9790765000, 1.2138812000, 1.8956292000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0220822000, 0.0255462000, 0.0330176000, 0.0509023000, 0.0979578000, 0.2402777000, 0.6740414000", \ - "0.0221557000, 0.0256175000, 0.0330159000, 0.0507849000, 0.0981407000, 0.2407257000, 0.6794003000", \ - "0.0222855000, 0.0254676000, 0.0330062000, 0.0513104000, 0.0980617000, 0.2397639000, 0.6777338000", \ - "0.0221226000, 0.0255649000, 0.0330196000, 0.0508174000, 0.0979789000, 0.2395455000, 0.6763137000", \ - "0.0222982000, 0.0256383000, 0.0331114000, 0.0514486000, 0.0981033000, 0.2397407000, 0.6778197000", \ - "0.0227414000, 0.0261047000, 0.0344764000, 0.0519932000, 0.0984300000, 0.2401170000, 0.6782123000", \ - "0.0241436000, 0.0276115000, 0.0354306000, 0.0536102000, 0.0993285000, 0.2407861000, 0.6728518000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0264057000, 0.0315251000, 0.0443768000, 0.0798704000, 0.1881625000, 0.5193442000, 1.4962617000", \ - "0.0263941000, 0.0314086000, 0.0443435000, 0.0800550000, 0.1886446000, 0.5207382000, 1.5018882000", \ - "0.0263740000, 0.0315093000, 0.0443122000, 0.0799194000, 0.1883948000, 0.5201789000, 1.4988984000", \ - "0.0263476000, 0.0314264000, 0.0443399000, 0.0799842000, 0.1886237000, 0.5202822000, 1.5027583000", \ - "0.0265131000, 0.0315524000, 0.0444335000, 0.0799186000, 0.1882340000, 0.5192931000, 1.5002574000", \ - "0.0270097000, 0.0320937000, 0.0449239000, 0.0804170000, 0.1887867000, 0.5206537000, 1.4995239000", \ - "0.0304571000, 0.0352219000, 0.0478823000, 0.0822687000, 0.1894405000, 0.5178802000, 1.4951401000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.2181416000, 0.2255585000, 0.2417657000, 0.2733303000, 0.3341710000, 0.4632294000, 0.7968423000", \ - "0.2219283000, 0.2293356000, 0.2455627000, 0.2775086000, 0.3381394000, 0.4669394000, 0.8006202000", \ - "0.2325705000, 0.2399430000, 0.2561625000, 0.2880715000, 0.3485421000, 0.4776298000, 0.8113329000", \ - "0.2608044000, 0.2681744000, 0.2843726000, 0.3160343000, 0.3767291000, 0.5058475000, 0.8395598000", \ - "0.3294136000, 0.3368192000, 0.3516306000, 0.3834555000, 0.4442426000, 0.5732861000, 0.9070543000", \ - "0.4842328000, 0.4921684000, 0.5093804000, 0.5422298000, 0.6036088000, 0.7333807000, 1.0665698000", \ - "0.7425140000, 0.7528602000, 0.7750161000, 0.8165160000, 0.8878661000, 1.0243166000, 1.3610126000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.1040067000, 0.1104782000, 0.1255631000, 0.1592561000, 0.2428613000, 0.4779745000, 1.1603563000", \ - "0.1096820000, 0.1161690000, 0.1311747000, 0.1649533000, 0.2485074000, 0.4828541000, 1.1661970000", \ - "0.1203441000, 0.1267531000, 0.1417810000, 0.1756765000, 0.2591850000, 0.4936568000, 1.1767199000", \ - "0.1414897000, 0.1479680000, 0.1630209000, 0.1967399000, 0.2800824000, 0.5148358000, 1.1977142000", \ - "0.1817214000, 0.1886410000, 0.2044961000, 0.2390779000, 0.3229003000, 0.5580866000, 1.2398752000", \ - "0.2397363000, 0.2480824000, 0.2655752000, 0.3029698000, 0.3888757000, 0.6234557000, 1.3054328000", \ - "0.2990738000, 0.3100331000, 0.3337078000, 0.3781045000, 0.4682543000, 0.7029420000, 1.3848112000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0360900000, 0.0401826000, 0.0498279000, 0.0720777000, 0.1218271000, 0.2570817000, 0.6830587000", \ - "0.0358121000, 0.0401767000, 0.0504233000, 0.0712059000, 0.1210462000, 0.2573649000, 0.6876091000", \ - "0.0358101000, 0.0402977000, 0.0499470000, 0.0713007000, 0.1214178000, 0.2573036000, 0.6867343000", \ - "0.0357972000, 0.0402698000, 0.0503902000, 0.0720437000, 0.1212727000, 0.2573598000, 0.6868427000", \ - "0.0359581000, 0.0400280000, 0.0498423000, 0.0713829000, 0.1210536000, 0.2570087000, 0.6866526000", \ - "0.0416702000, 0.0455681000, 0.0558744000, 0.0747629000, 0.1238563000, 0.2589694000, 0.6839566000", \ - "0.0616243000, 0.0669424000, 0.0775819000, 0.0992624000, 0.1430739000, 0.2709963000, 0.6862305000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0237536000, 0.0288664000, 0.0421252000, 0.0784491000, 0.1875107000, 0.5206318000, 1.5009898000", \ - "0.0238427000, 0.0289286000, 0.0421925000, 0.0783175000, 0.1879641000, 0.5206147000, 1.5029309000", \ - "0.0238837000, 0.0291286000, 0.0422666000, 0.0785176000, 0.1879202000, 0.5208058000, 1.5027459000", \ - "0.0239072000, 0.0290744000, 0.0421683000, 0.0783393000, 0.1875387000, 0.5209498000, 1.5026285000", \ - "0.0266164000, 0.0318328000, 0.0447575000, 0.0801239000, 0.1883790000, 0.5214218000, 1.5000610000", \ - "0.0336667000, 0.0390473000, 0.0529181000, 0.0864328000, 0.1916489000, 0.5198804000, 1.4976094000", \ - "0.0481259000, 0.0546394000, 0.0692623000, 0.1029660000, 0.1987000000, 0.5225543000, 1.4927999000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.2318079000, 0.2370951000, 0.2488132000, 0.2724617000, 0.3207792000, 0.4375708000, 0.7673385000", \ - "0.2360240000, 0.2412827000, 0.2530014000, 0.2766445000, 0.3249420000, 0.4417098000, 0.7718331000", \ - "0.2461798000, 0.2514767000, 0.2632057000, 0.2868606000, 0.3352315000, 0.4518402000, 0.7820777000", \ - "0.2697552000, 0.2750512000, 0.2867692000, 0.3103325000, 0.3594214000, 0.4761234000, 0.8058697000", \ - "0.3243602000, 0.3296547000, 0.3413785000, 0.3649528000, 0.4137556000, 0.5304623000, 0.8603717000", \ - "0.4084898000, 0.4139801000, 0.4258187000, 0.4496430000, 0.4985493000, 0.6153005000, 0.9454407000", \ - "0.5149059000, 0.5205564000, 0.5329708000, 0.5573444000, 0.6060680000, 0.7232218000, 1.0531725000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.2756571000, 0.2827544000, 0.2987862000, 0.3339840000, 0.4186706000, 0.6533943000, 1.3375381000", \ - "0.2813114000, 0.2882757000, 0.3043608000, 0.3395942000, 0.4243506000, 0.6599556000, 1.3438428000", \ - "0.2944893000, 0.3015834000, 0.3176161000, 0.3528032000, 0.4375035000, 0.6722642000, 1.3556032000", \ - "0.3253513000, 0.3324351000, 0.3484942000, 0.3836538000, 0.4684140000, 0.7031944000, 1.3873156000", \ - "0.4005381000, 0.4075438000, 0.4236481000, 0.4588598000, 0.5435871000, 0.7793543000, 1.4641260000", \ - "0.5583042000, 0.5654689000, 0.5816941000, 0.6170837000, 0.7019688000, 0.9374620000, 1.6200755000", \ - "0.8250371000, 0.8325906000, 0.8493854000, 0.8854000000, 0.9707034000, 1.2056415000, 1.8903117000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0220765000, 0.0255543000, 0.0330322000, 0.0507723000, 0.0981528000, 0.2410294000, 0.6794840000", \ - "0.0220102000, 0.0255059000, 0.0331048000, 0.0507392000, 0.0978914000, 0.2409538000, 0.6748745000", \ - "0.0220584000, 0.0256667000, 0.0330003000, 0.0513346000, 0.0980658000, 0.2397346000, 0.6777727000", \ - "0.0219769000, 0.0253084000, 0.0334919000, 0.0510163000, 0.0979560000, 0.2396942000, 0.6765786000", \ - "0.0221115000, 0.0255466000, 0.0335496000, 0.0508831000, 0.0980060000, 0.2398099000, 0.6768388000", \ - "0.0231106000, 0.0262178000, 0.0340200000, 0.0521696000, 0.0978775000, 0.2400562000, 0.6762003000", \ - "0.0247653000, 0.0281066000, 0.0363580000, 0.0535303000, 0.1001918000, 0.2401548000, 0.6703845000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0271323000, 0.0324581000, 0.0457659000, 0.0817579000, 0.1899942000, 0.5215898000, 1.4978976000", \ - "0.0271296000, 0.0323630000, 0.0458384000, 0.0818937000, 0.1898689000, 0.5220772000, 1.5023017000", \ - "0.0271236000, 0.0324482000, 0.0457583000, 0.0817644000, 0.1900209000, 0.5215977000, 1.4942773000", \ - "0.0271082000, 0.0324000000, 0.0457477000, 0.0818001000, 0.1902022000, 0.5213193000, 1.4975514000", \ - "0.0272950000, 0.0326217000, 0.0459658000, 0.0819400000, 0.1901277000, 0.5208660000, 1.4998517000", \ - "0.0277571000, 0.0330634000, 0.0463641000, 0.0821718000, 0.1900566000, 0.5219084000, 1.5014177000", \ - "0.0307343000, 0.0359746000, 0.0490063000, 0.0837791000, 0.1911654000, 0.5208626000, 1.4984831000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__ha_4") { - leakage_power () { - value : 0.0074616000; - when : "!A&B"; - } - leakage_power () { - value : 0.0085120000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0078947000; - when : "A&B"; - } - leakage_power () { - value : 0.0074734000; - when : "A&!B"; - } - area : 25.024000000; - cell_footprint : "sky130_fd_sc_hd__ha"; - cell_leakage_power : 0.0078354190; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0093100000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0089140000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0097050000; - } - pin ("B") { - capacitance : 0.0080620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0080240000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0081000000; - } - pin ("COUT") { - direction : "output"; - function : "(A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015933860, 0.0050777560, 0.0161816500, 0.0515672100, 0.1643329000, 0.5236914000"); - values("0.0034147000, 0.0100799000, 0.0255603000, 0.0448372000, 0.0250003000, -0.135546600, -0.708782200", \ - "0.0034068000, 0.0100360000, 0.0254758000, 0.0446615000, 0.0248901000, -0.135846300, -0.709117200", \ - "0.0033914000, 0.0099874000, 0.0253436000, 0.0443817000, 0.0244952000, -0.136307300, -0.709613100", \ - "0.0033705000, 0.0099371000, 0.0251662000, 0.0440047000, 0.0238721000, -0.136826400, -0.710177300", \ - "0.0033561000, 0.0098804000, 0.0250272000, 0.0435872000, 0.0232450000, -0.137411900, -0.710672400", \ - "0.0033677000, 0.0099174000, 0.0252324000, 0.0438319000, 0.0233732000, -0.137273200, -0.710525600", \ - "0.0034583000, 0.0101612000, 0.0255704000, 0.0443998000, 0.0242109000, -0.136135900, -0.708944500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015933860, 0.0050777560, 0.0161816500, 0.0515672100, 0.1643329000, 0.5236914000"); - values("0.0007238000, 0.0023338000, 0.0075933000, 0.0255174000, 0.0828417000, 0.2622702000, 0.8388538000", \ - "0.0007156000, 0.0023013000, 0.0075222000, 0.0253762000, 0.0827240000, 0.2621106000, 0.8368042000", \ - "0.0006985000, 0.0022580000, 0.0073990000, 0.0251527000, 0.0822478000, 0.2625178000, 0.8360046000", \ - "0.0006792000, 0.0021942000, 0.0072258000, 0.0247495000, 0.0816684000, 0.2613410000, 0.8375493000", \ - "0.0006671000, 0.0021638000, 0.0071059000, 0.0244774000, 0.0813223000, 0.2617072000, 0.8414172000", \ - "0.0007176000, 0.0023026000, 0.0074927000, 0.0249538000, 0.0809799000, 0.2606140000, 0.8415008000", \ - "0.0007643000, 0.0024469000, 0.0078960000, 0.0253753000, 0.0821434000, 0.2618919000, 0.8356368000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015933860, 0.0050777560, 0.0161816500, 0.0515672100, 0.1643329000, 0.5236914000"); - values("0.0032883000, 0.0096959000, 0.0245715000, 0.0428710000, 0.0225541000, -0.138163900, -0.711584800", \ - "0.0032833000, 0.0096614000, 0.0245084000, 0.0427075000, 0.0223555000, -0.138405800, -0.711667900", \ - "0.0032675000, 0.0096258000, 0.0243951000, 0.0424911000, 0.0219209000, -0.138839100, -0.712143100", \ - "0.0032491000, 0.0095607000, 0.0242053000, 0.0420680000, 0.0213466000, -0.139630800, -0.712638100", \ - "0.0032277000, 0.0094928000, 0.0240108000, 0.0415302000, 0.0203544000, -0.140531200, -0.713782800", \ - "0.0032326000, 0.0095014000, 0.0239065000, 0.0412059000, 0.0196264000, -0.141064300, -0.714109900", \ - "0.0033047000, 0.0096960000, 0.0243819000, 0.0419722000, 0.0204562000, -0.140063900, -0.712629300"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015933860, 0.0050777560, 0.0161816500, 0.0515672100, 0.1643329000, 0.5236914000"); - values("0.0008346000, 0.0026651000, 0.0085122000, 0.0273882000, 0.0857244000, 0.2662589000, 0.8416844000", \ - "0.0008313000, 0.0026524000, 0.0084762000, 0.0273026000, 0.0856670000, 0.2661638000, 0.8425154000", \ - "0.0008179000, 0.0026138000, 0.0083598000, 0.0271789000, 0.0855728000, 0.2666207000, 0.8400551000", \ - "0.0008039000, 0.0025790000, 0.0082427000, 0.0269004000, 0.0849753000, 0.2655530000, 0.8423959000", \ - "0.0007986000, 0.0025540000, 0.0081184000, 0.0265017000, 0.0845076000, 0.2651700000, 0.8420390000", \ - "0.0008780000, 0.0027787000, 0.0087480000, 0.0274558000, 0.0845481000, 0.2649339000, 0.8424842000", \ - "0.0009363000, 0.0029512000, 0.0093016000, 0.0282268000, 0.0867003000, 0.2668530000, 0.8393991000"); - } - } - max_capacitance : 0.5236910000; - max_transition : 1.5048930000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015933900, 0.0050777600, 0.0161816000, 0.0515672000, 0.1643330000, 0.5236910000"); - values("0.1251041000, 0.1285670000, 0.1375253000, 0.1573149000, 0.1997528000, 0.3044713000, 0.6083545000", \ - "0.1302771000, 0.1337473000, 0.1427030000, 0.1625061000, 0.2049306000, 0.3096481000, 0.6135326000", \ - "0.1431926000, 0.1467234000, 0.1556134000, 0.1754243000, 0.2178826000, 0.3226251000, 0.6265237000", \ - "0.1749728000, 0.1784837000, 0.1874240000, 0.2071492000, 0.2497024000, 0.3544313000, 0.6583421000", \ - "0.2499897000, 0.2535023000, 0.2624674000, 0.2822031000, 0.3248811000, 0.4297505000, 0.7335287000", \ - "0.3911764000, 0.3958860000, 0.4080118000, 0.4323362000, 0.4799581000, 0.5878676000, 0.8918878000", \ - "0.6199890000, 0.6263064000, 0.6421880000, 0.6757641000, 0.7369111000, 0.8552302000, 1.1619691000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015933900, 0.0050777600, 0.0161816000, 0.0515672000, 0.1643330000, 0.5236910000"); - values("0.0929949000, 0.0971950000, 0.1083309000, 0.1364828000, 0.2117817000, 0.4378119000, 1.1497178000", \ - "0.0972138000, 0.1012618000, 0.1125263000, 0.1406755000, 0.2160106000, 0.4421381000, 1.1552402000", \ - "0.1061559000, 0.1103504000, 0.1215468000, 0.1496483000, 0.2250477000, 0.4513088000, 1.1650331000", \ - "0.1261427000, 0.1303016000, 0.1414677000, 0.1695557000, 0.2450469000, 0.4715770000, 1.1836718000", \ - "0.1605039000, 0.1650457000, 0.1770947000, 0.2064248000, 0.2829424000, 0.5096047000, 1.2224887000", \ - "0.2029594000, 0.2084995000, 0.2224524000, 0.2543836000, 0.3328182000, 0.5608406000, 1.2780823000", \ - "0.2293716000, 0.2367482000, 0.2550859000, 0.2943799000, 0.3780211000, 0.6071811000, 1.3205556000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015933900, 0.0050777600, 0.0161816000, 0.0515672000, 0.1643330000, 0.5236910000"); - values("0.0210843000, 0.0231337000, 0.0287292000, 0.0433258000, 0.0828307000, 0.2037826000, 0.6048163000", \ - "0.0210672000, 0.0231338000, 0.0287068000, 0.0433473000, 0.0828549000, 0.2037837000, 0.6047338000", \ - "0.0208951000, 0.0230305000, 0.0287453000, 0.0433132000, 0.0828265000, 0.2037681000, 0.6044665000", \ - "0.0208886000, 0.0230613000, 0.0286818000, 0.0433419000, 0.0827280000, 0.2037590000, 0.6048120000", \ - "0.0219553000, 0.0239251000, 0.0294550000, 0.0436366000, 0.0829635000, 0.2036401000, 0.6042958000", \ - "0.0323052000, 0.0348346000, 0.0410223000, 0.0552067000, 0.0914657000, 0.2072024000, 0.6050453000", \ - "0.0495905000, 0.0532692000, 0.0617020000, 0.0788809000, 0.1151006000, 0.2204844000, 0.6056705000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015933900, 0.0050777600, 0.0161816000, 0.0515672000, 0.1643330000, 0.5236910000"); - values("0.0221426000, 0.0256245000, 0.0358499000, 0.0661962000, 0.1650658000, 0.4807090000, 1.5006626000", \ - "0.0221599000, 0.0256674000, 0.0358955000, 0.0662054000, 0.1650691000, 0.4810954000, 1.4973467000", \ - "0.0220948000, 0.0255858000, 0.0357628000, 0.0661919000, 0.1647106000, 0.4797123000, 1.4957504000", \ - "0.0224122000, 0.0257720000, 0.0359978000, 0.0662907000, 0.1650347000, 0.4806947000, 1.5005261000", \ - "0.0255077000, 0.0291087000, 0.0393529000, 0.0689925000, 0.1661766000, 0.4800505000, 1.5005451000", \ - "0.0325652000, 0.0361723000, 0.0464512000, 0.0747573000, 0.1690789000, 0.4825285000, 1.5048931000", \ - "0.0455560000, 0.0502624000, 0.0619427000, 0.0895118000, 0.1768003000, 0.4839029000, 1.4955998000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015933900, 0.0050777600, 0.0161816000, 0.0515672000, 0.1643330000, 0.5236910000"); - values("0.1227338000, 0.1263522000, 0.1356594000, 0.1562691000, 0.2001318000, 0.3066704000, 0.6110467000", \ - "0.1277147000, 0.1313342000, 0.1406153000, 0.1612974000, 0.2052976000, 0.3118080000, 0.6161419000", \ - "0.1405826000, 0.1441816000, 0.1534565000, 0.1741131000, 0.2179814000, 0.3246489000, 0.6289976000", \ - "0.1716745000, 0.1752704000, 0.1844885000, 0.2050825000, 0.2490729000, 0.3556129000, 0.6598741000", \ - "0.2461951000, 0.2498829000, 0.2591197000, 0.2797755000, 0.3238027000, 0.4305089000, 0.7346702000", \ - "0.3821792000, 0.3870052000, 0.3990570000, 0.4246595000, 0.4744333000, 0.5846650000, 0.8888940000", \ - "0.6040778000, 0.6103585000, 0.6259513000, 0.6603555000, 0.7246997000, 0.8464761000, 1.1537803000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015933900, 0.0050777600, 0.0161816000, 0.0515672000, 0.1643330000, 0.5236910000"); - values("0.0864283000, 0.0906222000, 0.1018697000, 0.1299328000, 0.2054614000, 0.4320228000, 1.1451552000", \ - "0.0904506000, 0.0946472000, 0.1058822000, 0.1339475000, 0.2095157000, 0.4361143000, 1.1486146000", \ - "0.1002746000, 0.1044550000, 0.1157191000, 0.1438553000, 0.2194352000, 0.4461690000, 1.1587515000", \ - "0.1232159000, 0.1273794000, 0.1385831000, 0.1666326000, 0.2422565000, 0.4691080000, 1.1812648000", \ - "0.1598174000, 0.1644453000, 0.1761023000, 0.2053578000, 0.2820521000, 0.5090051000, 1.2241379000", \ - "0.1998151000, 0.2056945000, 0.2201023000, 0.2522291000, 0.3303952000, 0.5586559000, 1.2746069000", \ - "0.2187519000, 0.2264835000, 0.2460513000, 0.2862353000, 0.3700074000, 0.5984599000, 1.3118505000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015933900, 0.0050777600, 0.0161816000, 0.0515672000, 0.1643330000, 0.5236910000"); - values("0.0213927000, 0.0236464000, 0.0296068000, 0.0450647000, 0.0847047000, 0.2058898000, 0.6051153000", \ - "0.0217395000, 0.0239761000, 0.0299313000, 0.0449174000, 0.0846818000, 0.2058660000, 0.6045833000", \ - "0.0216072000, 0.0238298000, 0.0297856000, 0.0449217000, 0.0846481000, 0.2058647000, 0.6047179000", \ - "0.0214025000, 0.0237025000, 0.0299221000, 0.0446599000, 0.0846647000, 0.2053105000, 0.6058355000", \ - "0.0226696000, 0.0248252000, 0.0306430000, 0.0453282000, 0.0850671000, 0.2053494000, 0.6037431000", \ - "0.0334100000, 0.0361630000, 0.0426292000, 0.0576831000, 0.0947964000, 0.2094670000, 0.6036416000", \ - "0.0506633000, 0.0542603000, 0.0632455000, 0.0822818000, 0.1205016000, 0.2247892000, 0.6072511000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015933900, 0.0050777600, 0.0161816000, 0.0515672000, 0.1643330000, 0.5236910000"); - values("0.0220977000, 0.0255933000, 0.0358762000, 0.0661151000, 0.1650203000, 0.4808993000, 1.4982922000", \ - "0.0221026000, 0.0255718000, 0.0357853000, 0.0662161000, 0.1647215000, 0.4807445000, 1.5000539000", \ - "0.0221433000, 0.0256541000, 0.0358751000, 0.0661749000, 0.1649188000, 0.4804616000, 1.5003887000", \ - "0.0226408000, 0.0260042000, 0.0361477000, 0.0662923000, 0.1650395000, 0.4807253000, 1.5008010000", \ - "0.0262098000, 0.0297702000, 0.0400112000, 0.0692980000, 0.1665620000, 0.4807522000, 1.5029900000", \ - "0.0353084000, 0.0389174000, 0.0485076000, 0.0758672000, 0.1692661000, 0.4816477000, 1.5041181000", \ - "0.0500833000, 0.0544647000, 0.0666002000, 0.0932234000, 0.1777080000, 0.4832439000, 1.4991896000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - pin ("SUM") { - direction : "output"; - function : "(A&!B) | (!A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016083510, 0.0051735840, 0.0166418700, 0.0535319300, 0.1721962000, 0.5539039000"); - values("0.0022145000, 0.0065486000, 0.0163493000, 0.0255615000, -0.005230300, -0.180748600, -0.792653400", \ - "0.0022070000, 0.0065235000, 0.0162850000, 0.0254227000, -0.005437500, -0.180992700, -0.792810200", \ - "0.0021894000, 0.0064720000, 0.0161500000, 0.0252046000, -0.005795400, -0.181437300, -0.793241300", \ - "0.0021685000, 0.0064103000, 0.0159823000, 0.0247980000, -0.006363700, -0.182126700, -0.794014400", \ - "0.0021515000, 0.0063638000, 0.0158500000, 0.0245320000, -0.006846300, -0.182635300, -0.794470300", \ - "0.0021797000, 0.0064365000, 0.0160636000, 0.0249604000, -0.006905900, -0.183048900, -0.794916800", \ - "0.0022136000, 0.0065447000, 0.0163126000, 0.0253690000, -0.005897500, -0.181808900, -0.794207700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016083510, 0.0051735840, 0.0166418700, 0.0535319300, 0.1721962000, 0.5539039000"); - values("0.0017956000, 0.0055941000, 0.0165832000, 0.0448620000, 0.1142344000, 0.3105621000, 0.9260821000", \ - "0.0017856000, 0.0055634000, 0.0165016000, 0.0446894000, 0.1139859000, 0.3102572000, 0.9257371000", \ - "0.0017696000, 0.0055142000, 0.0163691000, 0.0444080000, 0.1135425000, 0.3097423000, 0.9251477000", \ - "0.0017472000, 0.0054486000, 0.0161930000, 0.0440220000, 0.1130474000, 0.3091079000, 0.9240998000", \ - "0.0017221000, 0.0053819000, 0.0159909000, 0.0436153000, 0.1124059000, 0.3080526000, 0.9227033000", \ - "0.0017371000, 0.0054268000, 0.0161276000, 0.0438738000, 0.1128543000, 0.3085634000, 0.9269993000", \ - "0.0018123000, 0.0056414000, 0.0165978000, 0.0444303000, 0.1136984000, 0.3098557000, 0.9229774000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016083510, 0.0051735840, 0.0166418700, 0.0535319300, 0.1721962000, 0.5539039000"); - values("0.0023215000, 0.0068686000, 0.0172105000, 0.0274453000, -0.002386000, -0.177388500, -0.788973800", \ - "0.0023157000, 0.0068544000, 0.0171706000, 0.0273544000, -0.002526700, -0.177548400, -0.789139000", \ - "0.0023066000, 0.0068323000, 0.0171186000, 0.0272357000, -0.002731200, -0.177802200, -0.789412600", \ - "0.0022917000, 0.0067811000, 0.0169817000, 0.0269533000, -0.003124700, -0.178280300, -0.789917000", \ - "0.0022723000, 0.0067221000, 0.0168153000, 0.0265429000, -0.003793100, -0.179010200, -0.790650700", \ - "0.0023209000, 0.0068692000, 0.0172321000, 0.0274638000, -0.003108400, -0.178452900, -0.790083300", \ - "0.0023771000, 0.0070242000, 0.0176998000, 0.0282847000, -0.001507900, -0.176313600, -0.788133300"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016083510, 0.0051735840, 0.0166418700, 0.0535319300, 0.1721962000, 0.5539039000"); - values("0.0016799000, 0.0052553000, 0.0156580000, 0.0428838000, 0.1112988000, 0.3065742000, 0.9252285000", \ - "0.0016748000, 0.0052400000, 0.0155942000, 0.0427386000, 0.1109590000, 0.3064653000, 0.9196801000", \ - "0.0016568000, 0.0051823000, 0.0154405000, 0.0424756000, 0.1105877000, 0.3059652000, 0.9195634000", \ - "0.0016314000, 0.0051004000, 0.0152605000, 0.0420955000, 0.1100371000, 0.3054273000, 0.9189822000", \ - "0.0015999000, 0.0050088000, 0.0150142000, 0.0415589000, 0.1092272000, 0.3042214000, 0.9219321000", \ - "0.0015772000, 0.0049420000, 0.0148553000, 0.0412309000, 0.1088816000, 0.3040206000, 0.9175828000", \ - "0.0016720000, 0.0052137000, 0.0154417000, 0.0419918000, 0.1097462000, 0.3048610000, 0.9225881000"); - } - } - max_capacitance : 0.5539040000; - max_transition : 1.5041970000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.2019689000, 0.2062367000, 0.2171258000, 0.2409919000, 0.2903694000, 0.4027092000, 0.7206771000", \ - "0.2067313000, 0.2109986000, 0.2217557000, 0.2455868000, 0.2947181000, 0.4073451000, 0.7250259000", \ - "0.2193375000, 0.2235837000, 0.2344725000, 0.2583396000, 0.3077338000, 0.4200935000, 0.7380764000", \ - "0.2478029000, 0.2520536000, 0.2629117000, 0.2867101000, 0.3357948000, 0.4484342000, 0.7661461000", \ - "0.3119799000, 0.3162428000, 0.3271036000, 0.3509327000, 0.4002502000, 0.5128705000, 0.8307134000", \ - "0.4452543000, 0.4499163000, 0.4617377000, 0.4871830000, 0.5386787000, 0.6525864000, 0.9705442000", \ - "0.6813278000, 0.6870103000, 0.7012894000, 0.7316824000, 0.7902941000, 0.9124523000, 1.2341987000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.0934753000, 0.0974124000, 0.1080377000, 0.1348859000, 0.2077958000, 0.4324704000, 1.1515204000", \ - "0.0983264000, 0.1022664000, 0.1128793000, 0.1397365000, 0.2126724000, 0.4370541000, 1.1589665000", \ - "0.1081126000, 0.1120456000, 0.1227331000, 0.1495817000, 0.2225279000, 0.4471967000, 1.1663068000", \ - "0.1285614000, 0.1324775000, 0.1430698000, 0.1698754000, 0.2429113000, 0.4682789000, 1.1854665000", \ - "0.1642478000, 0.1685039000, 0.1797162000, 0.2073902000, 0.2810814000, 0.5060261000, 1.2250599000", \ - "0.2105066000, 0.2155170000, 0.2283959000, 0.2579585000, 0.3328488000, 0.5582011000, 1.2791221000", \ - "0.2433280000, 0.2499579000, 0.2666343000, 0.3025995000, 0.3810365000, 0.6063176000, 1.3251088000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.0284817000, 0.0309773000, 0.0375444000, 0.0532187000, 0.0941376000, 0.2143859000, 0.6230649000", \ - "0.0284297000, 0.0309361000, 0.0377521000, 0.0532465000, 0.0939919000, 0.2147924000, 0.6228368000", \ - "0.0284502000, 0.0309918000, 0.0375431000, 0.0532188000, 0.0941343000, 0.2143578000, 0.6228888000", \ - "0.0287155000, 0.0312203000, 0.0373153000, 0.0535001000, 0.0943052000, 0.2145948000, 0.6228404000", \ - "0.0284444000, 0.0309602000, 0.0375286000, 0.0532121000, 0.0940407000, 0.2143367000, 0.6261352000", \ - "0.0333725000, 0.0355884000, 0.0422963000, 0.0586367000, 0.0976126000, 0.2163478000, 0.6233493000", \ - "0.0448107000, 0.0482054000, 0.0552044000, 0.0718556000, 0.1117089000, 0.2274670000, 0.6242570000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.0203108000, 0.0236197000, 0.0333004000, 0.0624241000, 0.1582383000, 0.4759289000, 1.5008174000", \ - "0.0204120000, 0.0236625000, 0.0333268000, 0.0623901000, 0.1578780000, 0.4743527000, 1.5004959000", \ - "0.0204182000, 0.0237236000, 0.0332849000, 0.0624360000, 0.1582476000, 0.4754740000, 1.5013947000", \ - "0.0204552000, 0.0236416000, 0.0332884000, 0.0623820000, 0.1581298000, 0.4757572000, 1.4975453000", \ - "0.0226864000, 0.0261679000, 0.0356637000, 0.0642630000, 0.1585491000, 0.4765044000, 1.5014180000", \ - "0.0285887000, 0.0319112000, 0.0415503000, 0.0690166000, 0.1610737000, 0.4742063000, 1.5017458000", \ - "0.0405097000, 0.0446154000, 0.0551424000, 0.0816649000, 0.1664154000, 0.4773776000, 1.4970841000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.1713114000, 0.1742742000, 0.1818674000, 0.1991118000, 0.2376831000, 0.3388305000, 0.6528029000", \ - "0.1756360000, 0.1785965000, 0.1861827000, 0.2034470000, 0.2420274000, 0.3431941000, 0.6572443000", \ - "0.1846014000, 0.1875759000, 0.1951723000, 0.2124422000, 0.2509893000, 0.3522214000, 0.6668925000", \ - "0.2046595000, 0.2075957000, 0.2152067000, 0.2324511000, 0.2710306000, 0.3722116000, 0.6861139000", \ - "0.2423370000, 0.2453157000, 0.2529914000, 0.2702745000, 0.3089409000, 0.4101451000, 0.7239169000", \ - "0.2918306000, 0.2948055000, 0.3025415000, 0.3200064000, 0.3588660000, 0.4602856000, 0.7743529000", \ - "0.3353640000, 0.3385358000, 0.3466580000, 0.3646554000, 0.4038013000, 0.5055300000, 0.8200866000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.2237373000, 0.2279101000, 0.2391205000, 0.2670026000, 0.3408030000, 0.5657826000, 1.2851967000", \ - "0.2289346000, 0.2331071000, 0.2443178000, 0.2722081000, 0.3460199000, 0.5709544000, 1.2904994000", \ - "0.2418305000, 0.2460081000, 0.2572181000, 0.2851020000, 0.3589020000, 0.5838711000, 1.3033085000", \ - "0.2734983000, 0.2776742000, 0.2888839000, 0.3167498000, 0.3905039000, 0.6155583000, 1.3348244000", \ - "0.3482633000, 0.3524742000, 0.3637122000, 0.3916396000, 0.4654879000, 0.6901129000, 1.4101352000", \ - "0.5032844000, 0.5075743000, 0.5189041000, 0.5468588000, 0.6209356000, 0.8460054000, 1.5655769000", \ - "0.7612587000, 0.7657975000, 0.7776423000, 0.8063205000, 0.8808773000, 1.1059420000, 1.8252644000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.0168048000, 0.0186841000, 0.0237991000, 0.0370371000, 0.0750700000, 0.1991356000, 0.6227429000", \ - "0.0168124000, 0.0186899000, 0.0237892000, 0.0370685000, 0.0750723000, 0.1991409000, 0.6228827000", \ - "0.0169025000, 0.0187965000, 0.0239630000, 0.0370821000, 0.0749205000, 0.1989489000, 0.6175433000", \ - "0.0168840000, 0.0186853000, 0.0239733000, 0.0371798000, 0.0750954000, 0.1992176000, 0.6225517000", \ - "0.0171642000, 0.0189576000, 0.0240608000, 0.0372527000, 0.0751858000, 0.1989997000, 0.6207772000", \ - "0.0176106000, 0.0196282000, 0.0249409000, 0.0378458000, 0.0755902000, 0.1981590000, 0.6167813000", \ - "0.0191473000, 0.0210586000, 0.0260723000, 0.0394020000, 0.0765999000, 0.1997121000, 0.6190651000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.0225734000, 0.0259759000, 0.0355899000, 0.0646727000, 0.1593139000, 0.4748880000, 1.5001462000", \ - "0.0225696000, 0.0259938000, 0.0355982000, 0.0646665000, 0.1593336000, 0.4747216000, 1.4997227000", \ - "0.0225375000, 0.0259809000, 0.0355938000, 0.0646749000, 0.1593132000, 0.4748623000, 1.5002264000", \ - "0.0225777000, 0.0259702000, 0.0355898000, 0.0646916000, 0.1591608000, 0.4751343000, 1.5006201000", \ - "0.0226437000, 0.0258075000, 0.0357436000, 0.0647105000, 0.1593076000, 0.4742046000, 1.4979430000", \ - "0.0233404000, 0.0265239000, 0.0363249000, 0.0649504000, 0.1595533000, 0.4751003000, 1.5041969000", \ - "0.0264892000, 0.0293896000, 0.0388405000, 0.0670584000, 0.1604791000, 0.4749005000, 1.4994783000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.1809019000, 0.1851603000, 0.1960117000, 0.2198143000, 0.2690045000, 0.3817816000, 0.6993603000", \ - "0.1846485000, 0.1889091000, 0.1997602000, 0.2235810000, 0.2727814000, 0.3854387000, 0.7031452000", \ - "0.1953180000, 0.1995863000, 0.2104300000, 0.2342413000, 0.2835776000, 0.3959762000, 0.7140046000", \ - "0.2229602000, 0.2272294000, 0.2380771000, 0.2620091000, 0.3111057000, 0.4237552000, 0.7413402000", \ - "0.2916542000, 0.2958924000, 0.3066661000, 0.3305179000, 0.3797984000, 0.4924233000, 0.8101179000", \ - "0.4380198000, 0.4429395000, 0.4552521000, 0.4810475000, 0.5323208000, 0.6466753000, 0.9647422000", \ - "0.6769643000, 0.6835058000, 0.6997528000, 0.7331961000, 0.7939961000, 0.9143882000, 1.2358558000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.0897904000, 0.0938348000, 0.1048259000, 0.1322758000, 0.2056940000, 0.4299693000, 1.1479450000", \ - "0.0948372000, 0.0989187000, 0.1098826000, 0.1374171000, 0.2107645000, 0.4351912000, 1.1540994000", \ - "0.1042738000, 0.1083595000, 0.1192626000, 0.1467996000, 0.2203506000, 0.4452791000, 1.1647456000", \ - "0.1223968000, 0.1264038000, 0.1373417000, 0.1647912000, 0.2383768000, 0.4635280000, 1.1821369000", \ - "0.1529015000, 0.1573148000, 0.1690367000, 0.1974433000, 0.2716972000, 0.4971104000, 1.2155930000", \ - "0.1905708000, 0.1959659000, 0.2095361000, 0.2402149000, 0.3159004000, 0.5412495000, 1.2609133000", \ - "0.2100522000, 0.2171229000, 0.2348609000, 0.2730546000, 0.3533598000, 0.5791843000, 1.2970179000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.0284910000, 0.0309755000, 0.0373720000, 0.0536416000, 0.0941927000, 0.2144831000, 0.6230098000", \ - "0.0284739000, 0.0309770000, 0.0373363000, 0.0535747000, 0.0942362000, 0.2145412000, 0.6231073000", \ - "0.0284054000, 0.0308876000, 0.0378360000, 0.0530380000, 0.0940990000, 0.2146502000, 0.6248375000", \ - "0.0285295000, 0.0309967000, 0.0377328000, 0.0532772000, 0.0945184000, 0.2146479000, 0.6241453000", \ - "0.0286552000, 0.0310012000, 0.0377448000, 0.0533325000, 0.0941656000, 0.2145452000, 0.6233258000", \ - "0.0366723000, 0.0387180000, 0.0450369000, 0.0594748000, 0.0984440000, 0.2162314000, 0.6237501000", \ - "0.0543404000, 0.0578267000, 0.0651348000, 0.0819853000, 0.1164126000, 0.2277605000, 0.6249415000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.0209042000, 0.0242610000, 0.0339999000, 0.0634155000, 0.1586626000, 0.4763001000, 1.4978410000", \ - "0.0209027000, 0.0242285000, 0.0340489000, 0.0634102000, 0.1585618000, 0.4757927000, 1.5017448000", \ - "0.0209283000, 0.0243344000, 0.0340979000, 0.0633414000, 0.1583200000, 0.4759552000, 1.5029621000", \ - "0.0212817000, 0.0245687000, 0.0344080000, 0.0635576000, 0.1584938000, 0.4757548000, 1.5040498000", \ - "0.0237012000, 0.0271556000, 0.0370486000, 0.0656930000, 0.1594654000, 0.4758448000, 1.5008091000", \ - "0.0304472000, 0.0339504000, 0.0434966000, 0.0714766000, 0.1621830000, 0.4740163000, 1.4975686000", \ - "0.0439198000, 0.0484689000, 0.0591592000, 0.0852895000, 0.1692258000, 0.4772223000, 1.4944176000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.1648585000, 0.1678007000, 0.1754038000, 0.1926623000, 0.2312123000, 0.3324422000, 0.6473972000", \ - "0.1688290000, 0.1717998000, 0.1794027000, 0.1966888000, 0.2352281000, 0.3364420000, 0.6511247000", \ - "0.1786845000, 0.1816694000, 0.1892876000, 0.2065541000, 0.2450911000, 0.3462748000, 0.6599060000", \ - "0.2015064000, 0.2044788000, 0.2120699000, 0.2293173000, 0.2678573000, 0.3690845000, 0.6839571000", \ - "0.2410046000, 0.2439695000, 0.2514679000, 0.2687887000, 0.3074240000, 0.4086694000, 0.7229383000", \ - "0.2894114000, 0.2924445000, 0.3001503000, 0.3176498000, 0.3565285000, 0.4578141000, 0.7717999000", \ - "0.3277665000, 0.3309741000, 0.3391691000, 0.3572771000, 0.3968214000, 0.4982232000, 0.8125076000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.2286952000, 0.2327548000, 0.2435753000, 0.2707447000, 0.3439611000, 0.5690038000, 1.2878841000", \ - "0.2337693000, 0.2378484000, 0.2486805000, 0.2758699000, 0.3490782000, 0.5743450000, 1.2938015000", \ - "0.2464902000, 0.2506066000, 0.2614152000, 0.2886388000, 0.3618539000, 0.5869993000, 1.3054398000", \ - "0.2772799000, 0.2813192000, 0.2921850000, 0.3194137000, 0.3927271000, 0.6171828000, 1.3361094000", \ - "0.3511605000, 0.3552290000, 0.3661153000, 0.3933686000, 0.4666956000, 0.6911922000, 1.4129060000", \ - "0.5005926000, 0.5047209000, 0.5157305000, 0.5431761000, 0.6168554000, 0.8421460000, 1.5613832000", \ - "0.7503879000, 0.7548389000, 0.7664626000, 0.7944377000, 0.8684045000, 1.0937904000, 1.8135967000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.0168962000, 0.0187476000, 0.0239946000, 0.0371983000, 0.0751020000, 0.1991576000, 0.6174668000", \ - "0.0169501000, 0.0187613000, 0.0239573000, 0.0370391000, 0.0749425000, 0.1990404000, 0.6175594000", \ - "0.0168760000, 0.0187445000, 0.0237396000, 0.0373133000, 0.0749473000, 0.1990778000, 0.6222260000", \ - "0.0169128000, 0.0188003000, 0.0239807000, 0.0371296000, 0.0749953000, 0.1990112000, 0.6175538000", \ - "0.0170786000, 0.0189971000, 0.0243086000, 0.0375952000, 0.0752149000, 0.1999101000, 0.6155740000", \ - "0.0176691000, 0.0195215000, 0.0247213000, 0.0379218000, 0.0757323000, 0.1983436000, 0.6168423000", \ - "0.0196717000, 0.0216300000, 0.0263395000, 0.0394049000, 0.0768313000, 0.2000519000, 0.6202244000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016083500, 0.0051735800, 0.0166419000, 0.0535319000, 0.1721960000, 0.5539040000"); - values("0.0217860000, 0.0250487000, 0.0347132000, 0.0635778000, 0.1585524000, 0.4737863000, 1.5021629000", \ - "0.0217374000, 0.0250729000, 0.0345886000, 0.0636121000, 0.1587565000, 0.4752119000, 1.4980114000", \ - "0.0218542000, 0.0250632000, 0.0346501000, 0.0635695000, 0.1585075000, 0.4742857000, 1.4949946000", \ - "0.0218819000, 0.0252049000, 0.0346374000, 0.0635907000, 0.1588077000, 0.4753473000, 1.4980285000", \ - "0.0219703000, 0.0251988000, 0.0347743000, 0.0637071000, 0.1586343000, 0.4753813000, 1.5015497000", \ - "0.0226254000, 0.0259678000, 0.0353481000, 0.0640350000, 0.1592399000, 0.4750744000, 1.5017813000", \ - "0.0256956000, 0.0289269000, 0.0378467000, 0.0658126000, 0.1597834000, 0.4751843000, 1.4965075000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__inv_1") { - leakage_power () { - value : 0.0104575000; - when : "A"; - } - leakage_power () { - value : 0.0001958000; - when : "!A"; - } - area : 3.7536000000; - cell_footprint : "sky130_fd_sc_hd__inv"; - cell_leakage_power : 0.0053266820; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023020000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022140000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023900000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013351650, 0.0035653330, 0.0095206180, 0.0254232000, 0.0678883500, 0.1812843000"); - values("-0.002015300, -0.003233700, -0.006682600, -0.016215100, -0.041923500, -0.110694300, -0.294385400", \ - "-0.002291600, -0.003484300, -0.006864100, -0.016312600, -0.041961800, -0.110705600, -0.294392800", \ - "-0.002504200, -0.003754200, -0.007122300, -0.016492800, -0.042058000, -0.110745100, -0.294413600", \ - "-0.002471200, -0.003758100, -0.007306000, -0.016724000, -0.042216900, -0.110798800, -0.294434500", \ - "-0.002055900, -0.003439600, -0.007209200, -0.016769900, -0.042305000, -0.110917500, -0.294457000", \ - "-0.001022000, -0.002580100, -0.006400700, -0.016127500, -0.042162700, -0.110909400, -0.294507900", \ - "0.0018716000, 0.0002414000, -0.004081800, -0.014581800, -0.040851400, -0.110170100, -0.294159900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013351650, 0.0035653330, 0.0095206180, 0.0254232000, 0.0678883500, 0.1812843000"); - values("0.0077341000, 0.0092285000, 0.0130076000, 0.0228389000, 0.0486009000, 0.1169039000, 0.2980101000", \ - "0.0075137000, 0.0089827000, 0.0128048000, 0.0225722000, 0.0483903000, 0.1157698000, 0.2983583000", \ - "0.0074664000, 0.0088070000, 0.0125411000, 0.0222793000, 0.0479465000, 0.1162597000, 0.2988481000", \ - "0.0074628000, 0.0088101000, 0.0124018000, 0.0220691000, 0.0478142000, 0.1160948000, 0.2978862000", \ - "0.0078037000, 0.0090867000, 0.0126015000, 0.0221454000, 0.0471764000, 0.1154567000, 0.2971936000", \ - "0.0085703000, 0.0097756000, 0.0131398000, 0.0225755000, 0.0477140000, 0.1159043000, 0.2976869000", \ - "0.0117396000, 0.0133470000, 0.0162555000, 0.0258334000, 0.0499281000, 0.1175191000, 0.2963656000"); - } - } - max_capacitance : 0.1812840000; - max_transition : 1.4983500000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013351700, 0.0035653300, 0.0095206200, 0.0254232000, 0.0678883000, 0.1812840000"); - values("0.0143656000, 0.0174314000, 0.0252454000, 0.0454996000, 0.0982781000, 0.2396302000, 0.6168033000", \ - "0.0188850000, 0.0219910000, 0.0299354000, 0.0501644000, 0.1030737000, 0.2443058000, 0.6211737000", \ - "0.0258174000, 0.0306806000, 0.0410519000, 0.0615784000, 0.1142486000, 0.2560606000, 0.6330331000", \ - "0.0343699000, 0.0422631000, 0.0579953000, 0.0872580000, 0.1417882000, 0.2823734000, 0.6608606000", \ - "0.0429306000, 0.0551406000, 0.0803595000, 0.1251743000, 0.2024078000, 0.3451943000, 0.7237922000", \ - "0.0467306000, 0.0653220000, 0.1038849000, 0.1743242000, 0.2939730000, 0.4885973000, 0.8661273000", \ - "0.0317479000, 0.0590882000, 0.1188517000, 0.2277923000, 0.4124719000, 0.7155372000, 1.2016104000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013351700, 0.0035653300, 0.0095206200, 0.0254232000, 0.0678883000, 0.1812840000"); - values("0.0203433000, 0.0255806000, 0.0388749000, 0.0728467000, 0.1628902000, 0.4016502000, 1.0383745000", \ - "0.0255253000, 0.0306373000, 0.0439316000, 0.0783240000, 0.1679452000, 0.4092315000, 1.0428830000", \ - "0.0373555000, 0.0435741000, 0.0566328000, 0.0903158000, 0.1807958000, 0.4194971000, 1.0619717000", \ - "0.0547083000, 0.0647747000, 0.0847049000, 0.1211221000, 0.2113354000, 0.4503315000, 1.0860455000", \ - "0.0801236000, 0.0963068000, 0.1281064000, 0.1863159000, 0.2799442000, 0.5189765000, 1.1578426000", \ - "0.1184431000, 0.1426164000, 0.1928426000, 0.2835618000, 0.4327621000, 0.6847846000, 1.3109622000", \ - "0.1833476000, 0.2165725000, 0.2904738000, 0.4311227000, 0.6701159000, 1.0433531000, 1.6968695000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013351700, 0.0035653300, 0.0095206200, 0.0254232000, 0.0678883000, 0.1812840000"); - values("0.0078064000, 0.0114862000, 0.0214097000, 0.0477227000, 0.1186008000, 0.3072657000, 0.8034127000", \ - "0.0090602000, 0.0121604000, 0.0214004000, 0.0478255000, 0.1185653000, 0.3042409000, 0.8075141000", \ - "0.0149965000, 0.0184620000, 0.0253538000, 0.0485230000, 0.1183136000, 0.3050132000, 0.8077053000", \ - "0.0252848000, 0.0304682000, 0.0408323000, 0.0598601000, 0.1207760000, 0.3047933000, 0.8058169000", \ - "0.0433758000, 0.0513324000, 0.0671383000, 0.0963828000, 0.1477217000, 0.3113176000, 0.8041146000", \ - "0.0756267000, 0.0875932000, 0.1123155000, 0.1572394000, 0.2319551000, 0.3682797000, 0.8125686000", \ - "0.1370231000, 0.1548396000, 0.1933634000, 0.2609415000, 0.3750985000, 0.5666359000, 0.9242953000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013351700, 0.0035653300, 0.0095206200, 0.0254232000, 0.0678883000, 0.1812840000"); - values("0.0145424000, 0.0213070000, 0.0395425000, 0.0876798000, 0.2171014000, 0.5586131000, 1.4687663000", \ - "0.0146713000, 0.0213043000, 0.0393699000, 0.0877615000, 0.2159054000, 0.5600529000, 1.4722740000", \ - "0.0211790000, 0.0256255000, 0.0404175000, 0.0878470000, 0.2163130000, 0.5577243000, 1.4753007000", \ - "0.0345207000, 0.0410610000, 0.0542730000, 0.0916769000, 0.2161580000, 0.5606987000, 1.4678798000", \ - "0.0568227000, 0.0674569000, 0.0881982000, 0.1265939000, 0.2258740000, 0.5582781000, 1.4769377000", \ - "0.0919248000, 0.1090963000, 0.1442140000, 0.2030622000, 0.2988102000, 0.5742777000, 1.4743026000", \ - "0.1521643000, 0.1785044000, 0.2319170000, 0.3280231000, 0.4842423000, 0.7386280000, 1.4983498000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__inv_12") { - leakage_power () { - value : 0.0080708000; - when : "A"; - } - leakage_power () { - value : 0.0065197000; - when : "!A"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__inv"; - cell_leakage_power : 0.0072952340; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0260110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0247960000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0272270000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0018809730, 0.0070761180, 0.0266199700, 0.1001429000, 0.3767321000, 1.4172460000"); - values("-0.022522000, -0.024231300, -0.031107900, -0.060176000, -0.177584000, -0.625069100, -2.310563600", \ - "-0.025421700, -0.027147000, -0.034024700, -0.062323500, -0.178422900, -0.625365700, -2.310629300", \ - "-0.027654600, -0.029567500, -0.036898100, -0.065311000, -0.180118400, -0.625786900, -2.310727800", \ - "-0.028510200, -0.030618000, -0.038151700, -0.068039100, -0.182832800, -0.626978000, -2.310986300", \ - "-0.025363700, -0.027544400, -0.035064700, -0.067351900, -0.184622400, -0.628597200, -2.311583700", \ - "-0.016717500, -0.016977400, -0.028630100, -0.060955900, -0.181597300, -0.628639400, -2.312055500", \ - "0.0116536000, 0.0055242000, -0.002296800, -0.043058400, -0.170615100, -0.623382700, -2.311704000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0018809730, 0.0070761180, 0.0266199700, 0.1001429000, 0.3767321000, 1.4172460000"); - values("0.0742482000, 0.0771417000, 0.0875553000, 0.1228342000, 0.2448619000, 0.6860297000, 2.3578084000", \ - "0.0724747000, 0.0750598000, 0.0846380000, 0.1196370000, 0.2417134000, 0.6860361000, 2.3494230000", \ - "0.0724948000, 0.0747711000, 0.0835678000, 0.1169637000, 0.2382113000, 0.6836688000, 2.3452061000", \ - "0.0735167000, 0.0757829000, 0.0844056000, 0.1157342000, 0.2350232000, 0.6810167000, 2.3444703000", \ - "0.0756336000, 0.0778235000, 0.0857701000, 0.1169748000, 0.2351647000, 0.6793571000, 2.3414699000", \ - "0.0857049000, 0.0875782000, 0.0947952000, 0.1236911000, 0.2386874000, 0.6773138000, 2.3459190000", \ - "0.1061441000, 0.1077911000, 0.1141072000, 0.1410738000, 0.2511837000, 0.6877010000, 2.3439793000"); - } - } - max_capacitance : 1.4172460000; - max_transition : 1.4997670000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018809700, 0.0070761200, 0.0266200000, 0.1001430000, 0.3767320000, 1.4172500000"); - values("0.0134568000, 0.0140150000, 0.0160170000, 0.0226690000, 0.0459600000, 0.1323039000, 0.4544274000", \ - "0.0170655000, 0.0177550000, 0.0200684000, 0.0269260000, 0.0503345000, 0.1370679000, 0.4591227000", \ - "0.0209872000, 0.0220936000, 0.0257524000, 0.0360351000, 0.0608447000, 0.1469974000, 0.4698110000", \ - "0.0234171000, 0.0251621000, 0.0308065000, 0.0472022000, 0.0842554000, 0.1725364000, 0.4939870000", \ - "0.0189496000, 0.0218966000, 0.0310262000, 0.0564721000, 0.1145687000, 0.2306673000, 0.5539201000", \ - "-0.005217100, -0.000922800, 0.0134875000, 0.0532908000, 0.1448801000, 0.3250146000, 0.6880480000", \ - "-0.081672400, -0.075048500, -0.053420200, 0.0073152000, 0.1501198000, 0.4354746000, 0.9750045000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018809700, 0.0070761200, 0.0266200000, 0.1001430000, 0.3767320000, 1.4172500000"); - values("0.0222009000, 0.0235264000, 0.0282525000, 0.0442345000, 0.0996235000, 0.2999233000, 1.0548541000", \ - "0.0274482000, 0.0286550000, 0.0330422000, 0.0488355000, 0.1043226000, 0.3061772000, 1.0690973000", \ - "0.0403636000, 0.0418538000, 0.0466903000, 0.0617842000, 0.1168165000, 0.3183527000, 1.0720190000", \ - "0.0603263000, 0.0627427000, 0.0707800000, 0.0927495000, 0.1483001000, 0.3501730000, 1.1048581000", \ - "0.0930741000, 0.0968540000, 0.1095235000, 0.1446591000, 0.2227919000, 0.4236666000, 1.1779668000", \ - "0.1518632000, 0.1574219000, 0.1761302000, 0.2305127000, 0.3543489000, 0.5973493000, 1.3502187000", \ - "0.2671627000, 0.2749497000, 0.3018162000, 0.3804795000, 0.5717922000, 0.9568082000, 1.7508425000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018809700, 0.0070761200, 0.0266200000, 0.1001430000, 0.3767320000, 1.4172500000"); - values("0.0054999000, 0.0060540000, 0.0082469000, 0.0165709000, 0.0481191000, 0.1667122000, 0.6099141000", \ - "0.0072262000, 0.0076467000, 0.0093223000, 0.0167010000, 0.0480989000, 0.1678896000, 0.6106698000", \ - "0.0117843000, 0.0126030000, 0.0150497000, 0.0223123000, 0.0489808000, 0.1661177000, 0.6109002000", \ - "0.0203622000, 0.0214711000, 0.0253095000, 0.0356660000, 0.0616239000, 0.1672489000, 0.6103792000", \ - "0.0362073000, 0.0377888000, 0.0431167000, 0.0596909000, 0.0961814000, 0.1879626000, 0.6101499000", \ - "0.0642847000, 0.0673080000, 0.0762185000, 0.1023528000, 0.1569489000, 0.2765521000, 0.6332964000", \ - "0.1181866000, 0.1223390000, 0.1359254000, 0.1773315000, 0.2620997000, 0.4363181000, 0.8019156000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018809700, 0.0070761200, 0.0266200000, 0.1001430000, 0.3767320000, 1.4172500000"); - values("0.0129195000, 0.0143484000, 0.0197363000, 0.0401105000, 0.1169362000, 0.4035376000, 1.4836571000", \ - "0.0130600000, 0.0144668000, 0.0197984000, 0.0401591000, 0.1165690000, 0.4025310000, 1.4839162000", \ - "0.0183877000, 0.0191611000, 0.0229456000, 0.0403582000, 0.1166603000, 0.4032047000, 1.4833866000", \ - "0.0300137000, 0.0316774000, 0.0372553000, 0.0521322000, 0.1171256000, 0.4032374000, 1.4801944000", \ - "0.0495353000, 0.0521707000, 0.0605320000, 0.0838027000, 0.1400626000, 0.4036818000, 1.4779666000", \ - "0.0800196000, 0.0840082000, 0.0978460000, 0.1356194000, 0.2172222000, 0.4331897000, 1.4861427000", \ - "0.1363140000, 0.1419993000, 0.1605072000, 0.2178177000, 0.3508469000, 0.6035469000, 1.4997668000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__inv_16") { - leakage_power () { - value : 0.0106779000; - when : "A"; - } - leakage_power () { - value : 0.0088949000; - when : "!A"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__inv"; - cell_leakage_power : 0.0097863830; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0334420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0318840000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0349990000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0019354060, 0.0074915960, 0.0289985600, 0.1122480000, 0.4344910000, 1.6818330000"); - values("-0.030674500, -0.032467200, -0.039748000, -0.071664200, -0.204577500, -0.725957200, -2.746443500", \ - "-0.034291900, -0.036135800, -0.043434800, -0.074455100, -0.205774200, -0.726450400, -2.746769000", \ - "-0.037187700, -0.039198400, -0.047016800, -0.078200400, -0.207941200, -0.727231500, -2.747056700", \ - "-0.038362800, -0.040592900, -0.049030100, -0.081728000, -0.211029500, -0.728448800, -2.747455000", \ - "-0.033762100, -0.036185600, -0.045457900, -0.079643200, -0.213383200, -0.730580500, -2.748166300", \ - "-0.022081300, -0.024792500, -0.035079300, -0.072970700, -0.210198800, -0.728775500, -2.748363600", \ - "0.0097426000, 0.0063139000, -0.005425700, -0.046842300, -0.193580100, -0.723880000, -2.746717800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0019354060, 0.0074915960, 0.0289985600, 0.1122480000, 0.4344910000, 1.6818330000"); - values("0.0967107000, 0.0997483000, 0.1109936000, 0.1501386000, 0.2871024000, 0.8057040000, 2.7963814000", \ - "0.0944329000, 0.0971734000, 0.1076123000, 0.1461634000, 0.2850891000, 0.8039616000, 2.8025622000", \ - "0.0936338000, 0.0960910000, 0.1057220000, 0.1422643000, 0.2814786000, 0.8028242000, 2.8037671000", \ - "0.0941157000, 0.0965133000, 0.1071366000, 0.1409863000, 0.2776375000, 0.7989318000, 2.7958338000", \ - "0.1002031000, 0.1024628000, 0.1110491000, 0.1432086000, 0.2768670000, 0.7948048000, 2.7899073000", \ - "0.1095516000, 0.1114562000, 0.1191311000, 0.1511014000, 0.2812488000, 0.7933454000, 2.7910548000", \ - "0.1374594000, 0.1389749000, 0.1452969000, 0.1735626000, 0.2969403000, 0.8050621000, 2.7883007000"); - } - } - max_capacitance : 1.6818330000; - max_transition : 1.5007520000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000"); - values("0.0152930000, 0.0158333000, 0.0177892000, 0.0242292000, 0.0463429000, 0.1299108000, 0.4538259000", \ - "0.0188253000, 0.0194521000, 0.0215532000, 0.0281749000, 0.0504815000, 0.1343457000, 0.4582893000", \ - "0.0229632000, 0.0239117000, 0.0272025000, 0.0368235000, 0.0608603000, 0.1450231000, 0.4687391000", \ - "0.0254070000, 0.0268786000, 0.0320302000, 0.0471170000, 0.0826403000, 0.1696619000, 0.4924210000", \ - "0.0210408000, 0.0233437000, 0.0313762000, 0.0549018000, 0.1106650000, 0.2270754000, 0.5504865000", \ - "-0.003563900, 1.840000e-05, 0.0123568000, 0.0487574000, 0.1365364000, 0.3142955000, 0.6832507000", \ - "-0.080721300, -0.075057500, -0.056605800, -0.000913900, 0.1341887000, 0.4148222000, 0.9557204000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000"); - values("0.0244637000, 0.0256173000, 0.0298987000, 0.0444630000, 0.0949800000, 0.2856741000, 1.0256670000", \ - "0.0293181000, 0.0304239000, 0.0344107000, 0.0487690000, 0.0998363000, 0.2905712000, 1.0239755000", \ - "0.0418382000, 0.0431627000, 0.0475056000, 0.0612770000, 0.1121823000, 0.3035129000, 1.0346417000", \ - "0.0616400000, 0.0637383000, 0.0709355000, 0.0910300000, 0.1431699000, 0.3341031000, 1.0729195000", \ - "0.0944502000, 0.0976167000, 0.1086020000, 0.1408403000, 0.2159773000, 0.4070581000, 1.1408607000", \ - "0.1531090000, 0.1576815000, 0.1737020000, 0.2227999000, 0.3401355000, 0.5801024000, 1.3090837000", \ - "0.2686322000, 0.2748245000, 0.2970122000, 0.3678749000, 0.5477521000, 0.9217622000, 1.7041840000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000"); - values("0.0065605000, 0.0070460000, 0.0090156000, 0.0170993000, 0.0492442000, 0.1747627000, 0.6592694000", \ - "0.0077545000, 0.0081687000, 0.0098562000, 0.0173326000, 0.0493403000, 0.1748288000, 0.6599624000", \ - "0.0122431000, 0.0128359000, 0.0151293000, 0.0225164000, 0.0503217000, 0.1746397000, 0.6601223000", \ - "0.0208532000, 0.0216998000, 0.0249784000, 0.0349794000, 0.0630429000, 0.1749580000, 0.6604945000", \ - "0.0364075000, 0.0379101000, 0.0430022000, 0.0577685000, 0.0953186000, 0.1974999000, 0.6590105000", \ - "0.0650616000, 0.0669402000, 0.0747888000, 0.0981152000, 0.1536593000, 0.2800197000, 0.6833182000", \ - "0.1193746000, 0.1228139000, 0.1347092000, 0.1710026000, 0.2540403000, 0.4320174000, 0.8453999000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019354100, 0.0074916000, 0.0289986000, 0.1122480000, 0.4344910000, 1.6818300000"); - values("0.0138044000, 0.0150460000, 0.0198161000, 0.0386202000, 0.1116631000, 0.3939241000, 1.4836817000", \ - "0.0140210000, 0.0152017000, 0.0199031000, 0.0385668000, 0.1113631000, 0.3935619000, 1.4872183000", \ - "0.0188524000, 0.0196174000, 0.0230981000, 0.0391955000, 0.1115320000, 0.3936547000, 1.4838160000", \ - "0.0307897000, 0.0320439000, 0.0370288000, 0.0515338000, 0.1128347000, 0.3925901000, 1.4850964000", \ - "0.0495808000, 0.0517571000, 0.0593213000, 0.0811927000, 0.1383305000, 0.3924309000, 1.4797776000", \ - "0.0802118000, 0.0836347000, 0.0956465000, 0.1303082000, 0.2111467000, 0.4273958000, 1.4814652000", \ - "0.1361709000, 0.1405824000, 0.1566964000, 0.2082857000, 0.3366694000, 0.5981944000, 1.5007523000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__inv_2") { - leakage_power () { - value : 0.0079423000; - when : "A"; - } - leakage_power () { - value : 0.0005535000; - when : "!A"; - } - area : 3.7536000000; - cell_footprint : "sky130_fd_sc_hd__inv"; - cell_leakage_power : 0.0042479070; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0044590000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042760000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046420000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014764110, 0.0043595770, 0.0128730500, 0.0380118100, 0.1122421000, 0.3314308000"); - values("-0.004872900, -0.006180700, -0.010453400, -0.023931400, -0.064515700, -0.184718100, -0.539778600", \ - "-0.005354600, -0.006681800, -0.010863900, -0.024165200, -0.064604400, -0.184753500, -0.539797800", \ - "-0.005688900, -0.007092100, -0.011387800, -0.024547400, -0.064787200, -0.184817000, -0.539825700", \ - "-0.005417200, -0.007053000, -0.011521900, -0.024937800, -0.065092600, -0.184962400, -0.539885700", \ - "-0.004931500, -0.006566900, -0.011345100, -0.024948000, -0.065357200, -0.185164900, -0.539963400", \ - "-0.002729700, -0.004576800, -0.009821000, -0.023764500, -0.064850300, -0.185216200, -0.540020800", \ - "0.0026942000, 0.0005644000, -0.005112800, -0.020675300, -0.062857500, -0.184263200, -0.539774700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014764110, 0.0043595770, 0.0128730500, 0.0380118100, 0.1122421000, 0.3314308000"); - values("0.0129073000, 0.0147627000, 0.0198879000, 0.0340719000, 0.0747268000, 0.1938930000, 0.5478985000", \ - "0.0126104000, 0.0143517000, 0.0194124000, 0.0336506000, 0.0744926000, 0.1940106000, 0.5436985000", \ - "0.0125047000, 0.0143132000, 0.0190767000, 0.0331759000, 0.0742976000, 0.1924800000, 0.5446534000", \ - "0.0126884000, 0.0144153000, 0.0189569000, 0.0328509000, 0.0734457000, 0.1937375000, 0.5453426000", \ - "0.0135492000, 0.0149941000, 0.0194349000, 0.0329725000, 0.0731844000, 0.1931974000, 0.5464750000", \ - "0.0149532000, 0.0163193000, 0.0205688000, 0.0337550000, 0.0737759000, 0.1921072000, 0.5425809000", \ - "0.0206515000, 0.0217040000, 0.0253143000, 0.0377926000, 0.0767143000, 0.1949605000, 0.5439724000"); - } - } - max_capacitance : 0.3314310000; - max_transition : 1.4978170000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000"); - values("0.0119446000, 0.0137840000, 0.0188149000, 0.0327326000, 0.0729366000, 0.1922578000, 0.5454940000", \ - "0.0157429000, 0.0180991000, 0.0233701000, 0.0374622000, 0.0781416000, 0.1961942000, 0.5456924000", \ - "0.0203785000, 0.0240707000, 0.0324561000, 0.0487044000, 0.0892794000, 0.2076327000, 0.5571236000", \ - "0.0248258000, 0.0307580000, 0.0439276000, 0.0689207000, 0.1156458000, 0.2359077000, 0.5860208000", \ - "0.0262075000, 0.0354142000, 0.0559354000, 0.0961932000, 0.1673476000, 0.2955090000, 0.6455342000", \ - "0.0157468000, 0.0301166000, 0.0619237000, 0.1246900000, 0.2371069000, 0.4263973000, 0.7871234000", \ - "-0.027559700, -0.005392600, 0.0434940000, 0.1403033000, 0.3151930000, 0.6122458000, 1.1101468000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000"); - values("0.0175587000, 0.0211484000, 0.0310262000, 0.0584472000, 0.1371815000, 0.3662591000, 1.0435811000", \ - "0.0230691000, 0.0264576000, 0.0360719000, 0.0633862000, 0.1425054000, 0.3734522000, 1.0627602000", \ - "0.0339028000, 0.0388338000, 0.0493056000, 0.0760130000, 0.1545360000, 0.3864530000, 1.0598706000", \ - "0.0498930000, 0.0577606000, 0.0750599000, 0.1075488000, 0.1862726000, 0.4152755000, 1.0963705000", \ - "0.0744968000, 0.0868541000, 0.1145327000, 0.1673453000, 0.2583118000, 0.4867961000, 1.1619753000", \ - "0.1156072000, 0.1340389000, 0.1760385000, 0.2596266000, 0.4057179000, 0.6556388000, 1.3298844000", \ - "0.1928740000, 0.2183706000, 0.2783548000, 0.4048308000, 0.6381117000, 1.0233501000, 1.7170592000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000"); - values("0.0048909000, 0.0069497000, 0.0130525000, 0.0311683000, 0.0847074000, 0.2419201000, 0.7066229000", \ - "0.0069554000, 0.0084546000, 0.0135709000, 0.0312350000, 0.0846748000, 0.2428864000, 0.7101457000", \ - "0.0116927000, 0.0141965000, 0.0197198000, 0.0335758000, 0.0847194000, 0.2435099000, 0.7091378000", \ - "0.0203289000, 0.0240677000, 0.0323348000, 0.0495335000, 0.0902360000, 0.2418217000, 0.7064893000", \ - "0.0354595000, 0.0413981000, 0.0546071000, 0.0793592000, 0.1253289000, 0.2517686000, 0.7084765000", \ - "0.0637251000, 0.0731900000, 0.0941919000, 0.1324136000, 0.2017282000, 0.3250714000, 0.7157009000", \ - "0.1183897000, 0.1329738000, 0.1635937000, 0.2237756000, 0.3292207000, 0.5112171000, 0.8526758000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014764100, 0.0043595800, 0.0128730000, 0.0380118000, 0.1122420000, 0.3314310000"); - values("0.0102030000, 0.0145665000, 0.0274391000, 0.0655113000, 0.1778623000, 0.5106880000, 1.4869649000", \ - "0.0107758000, 0.0146772000, 0.0273936000, 0.0654823000, 0.1774442000, 0.5091666000, 1.4832895000", \ - "0.0176433000, 0.0206470000, 0.0298357000, 0.0653682000, 0.1774688000, 0.5082211000, 1.4799179000", \ - "0.0287666000, 0.0338285000, 0.0452178000, 0.0722948000, 0.1771081000, 0.5095251000, 1.4848178000", \ - "0.0472331000, 0.0557641000, 0.0742180000, 0.1079812000, 0.1903953000, 0.5072639000, 1.4842672000", \ - "0.0774171000, 0.0903774000, 0.1203311000, 0.1758978000, 0.2700296000, 0.5253227000, 1.4794522000", \ - "0.1325493000, 0.1519492000, 0.1976181000, 0.2847110000, 0.4388531000, 0.6966839000, 1.4978170000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__inv_4") { - leakage_power () { - value : 0.0074091000; - when : "A"; - } - leakage_power () { - value : 0.0015164000; - when : "!A"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__inv"; - cell_leakage_power : 0.0044627330; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0090040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0086000000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0094080000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016127510, 0.0052019320, 0.0167788400, 0.0541201900, 0.1745648000, 0.5630591000"); - values("-0.008451400, -0.009880900, -0.014971700, -0.032921400, -0.093053200, -0.288042800, -0.917349100", \ - "-0.009451600, -0.010960200, -0.015935200, -0.033523000, -0.093247600, -0.288115500, -0.917391700", \ - "-0.010269900, -0.011829900, -0.016978200, -0.034467100, -0.093737800, -0.288264700, -0.917419800", \ - "-0.010436900, -0.012138800, -0.017483800, -0.035422700, -0.094399700, -0.288565100, -0.917547500", \ - "-0.009055600, -0.010931200, -0.016856100, -0.035390000, -0.095277800, -0.289054500, -0.917716900", \ - "-0.005590600, -0.006977500, -0.013778700, -0.032831400, -0.094059800, -0.289007700, -0.917863100", \ - "0.0043734000, 0.0017246000, -0.005377400, -0.027012600, -0.090442300, -0.284430300, -0.916375900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016127510, 0.0052019320, 0.0167788400, 0.0541201900, 0.1745648000, 0.5630591000"); - values("0.0256205000, 0.0278349000, 0.0345681000, 0.0543527000, 0.1148598000, 0.3082690000, 0.9322412000", \ - "0.0250545000, 0.0271237000, 0.0334835000, 0.0534180000, 0.1143068000, 0.3084980000, 0.9313100000", \ - "0.0247994000, 0.0267260000, 0.0328323000, 0.0520222000, 0.1131029000, 0.3078423000, 0.9258184000", \ - "0.0250401000, 0.0268387000, 0.0326378000, 0.0519108000, 0.1121986000, 0.3066267000, 0.9276555000", \ - "0.0263479000, 0.0280637000, 0.0335432000, 0.0519775000, 0.1113991000, 0.3069891000, 0.9282885000", \ - "0.0290579000, 0.0307895000, 0.0351982000, 0.0532784000, 0.1129382000, 0.3043172000, 0.9301420000", \ - "0.0402571000, 0.0406549000, 0.0445595000, 0.0624209000, 0.1186249000, 0.3124213000, 0.9257100000"); - } - } - max_capacitance : 0.5630590000; - max_transition : 1.5002550000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000"); - values("0.0119441000, 0.0131118000, 0.0165791000, 0.0269376000, 0.0588054000, 0.1629306000, 0.4909586000", \ - "0.0156473000, 0.0171343000, 0.0209993000, 0.0315480000, 0.0634309000, 0.1663003000, 0.4954380000", \ - "0.0198136000, 0.0221854000, 0.0283758000, 0.0422551000, 0.0746385000, 0.1770034000, 0.5087731000", \ - "0.0232107000, 0.0269733000, 0.0367368000, 0.0585419000, 0.1002393000, 0.2026346000, 0.5338917000", \ - "0.0216104000, 0.0276301000, 0.0429641000, 0.0770100000, 0.1427411000, 0.2629926000, 0.5917846000", \ - "0.0048442000, 0.0139726000, 0.0377789000, 0.0904055000, 0.1929853000, 0.3781642000, 0.7304123000", \ - "-0.053742200, -0.039839200, -0.003689300, 0.0783581000, 0.2392779000, 0.5265917000, 1.0342573000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000"); - values("0.0190114000, 0.0215393000, 0.0291288000, 0.0516131000, 0.1202000000, 0.3388957000, 1.0502127000", \ - "0.0245228000, 0.0268413000, 0.0340168000, 0.0565974000, 0.1254323000, 0.3475673000, 1.0493975000", \ - "0.0363076000, 0.0395220000, 0.0475006000, 0.0689426000, 0.1381457000, 0.3582837000, 1.0632979000", \ - "0.0540201000, 0.0592453000, 0.0722161000, 0.1008018000, 0.1697215000, 0.3898457000, 1.1047234000", \ - "0.0821914000, 0.0901583000, 0.1112681000, 0.1572504000, 0.2433978000, 0.4624623000, 1.1637457000", \ - "0.1312726000, 0.1430227000, 0.1746365000, 0.2465241000, 0.3849189000, 0.6321969000, 1.3318200000", \ - "0.2255666000, 0.2420417000, 0.2873321000, 0.3934108000, 0.6110205000, 1.0017863000, 1.7277610000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000"); - values("0.0049571000, 0.0062375000, 0.0103719000, 0.0238937000, 0.0668871000, 0.2087285000, 0.6548602000", \ - "0.0070977000, 0.0080130000, 0.0112236000, 0.0238611000, 0.0670169000, 0.2058758000, 0.6553406000", \ - "0.0116617000, 0.0131789000, 0.0173638000, 0.0276296000, 0.0669909000, 0.2060657000, 0.6575888000", \ - "0.0202925000, 0.0226678000, 0.0287989000, 0.0429853000, 0.0756389000, 0.2062924000, 0.6550083000", \ - "0.0354624000, 0.0390051000, 0.0489234000, 0.0703691000, 0.1125576000, 0.2207891000, 0.6543583000", \ - "0.0636220000, 0.0696130000, 0.0849741000, 0.1179729000, 0.1810032000, 0.3041805000, 0.6730234000", \ - "0.1177379000, 0.1271844000, 0.1500653000, 0.2014630000, 0.2996650000, 0.4817202000, 0.8318283000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016127500, 0.0052019300, 0.0167788000, 0.0541202000, 0.1745650000, 0.5630590000"); - values("0.0114302000, 0.0142771000, 0.0237116000, 0.0540961000, 0.1514573000, 0.4651357000, 1.4865850000", \ - "0.0115673000, 0.0143379000, 0.0237317000, 0.0540688000, 0.1513773000, 0.4700103000, 1.4776085000", \ - "0.0182523000, 0.0199466000, 0.0265154000, 0.0540666000, 0.1514956000, 0.4667554000, 1.4746315000", \ - "0.0294702000, 0.0329037000, 0.0417888000, 0.0631231000, 0.1514760000, 0.4667907000, 1.4800738000", \ - "0.0482627000, 0.0545717000, 0.0680175000, 0.0974604000, 0.1686737000, 0.4681324000, 1.4801701000", \ - "0.0791388000, 0.0869239000, 0.1099131000, 0.1596422000, 0.2484881000, 0.4876861000, 1.4865859000", \ - "0.1335121000, 0.1448872000, 0.1779738000, 0.2570885000, 0.4026016000, 0.6618689000, 1.5002554000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__inv_6") { - leakage_power () { - value : 0.0063222000; - when : "A"; - } - leakage_power () { - value : 0.0027400000; - when : "!A"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__inv"; - cell_leakage_power : 0.0045311230; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0132720000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0126560000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0138880000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017037880, 0.0058057850, 0.0197836500, 0.0674142800, 0.2297192000, 0.7827856000"); - values("-0.012040500, -0.013536200, -0.019185500, -0.040635500, -0.117175700, -0.379877800, -1.275807700", \ - "-0.013435100, -0.015051500, -0.020647400, -0.041555200, -0.117520200, -0.380020900, -1.275826900", \ - "-0.014580100, -0.016273400, -0.022209600, -0.042960600, -0.118205000, -0.380211700, -1.275896400", \ - "-0.014917600, -0.016753300, -0.023091900, -0.044367900, -0.119257600, -0.380758200, -1.276055300", \ - "-0.012989400, -0.015027900, -0.021817900, -0.044217800, -0.120608700, -0.381304300, -1.276281600", \ - "-0.007528300, -0.010157500, -0.017823000, -0.041501400, -0.119599100, -0.381384900, -1.276548400", \ - "0.0053734000, 0.0025006000, -0.005930900, -0.032119700, -0.113754600, -0.378985900, -1.276226700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017037880, 0.0058057850, 0.0197836500, 0.0674142800, 0.2297192000, 0.7827856000"); - values("0.0372289000, 0.0396745000, 0.0475425000, 0.0717774000, 0.1486724000, 0.4106380000, 1.2969808000", \ - "0.0363842000, 0.0386355000, 0.0460013000, 0.0702128000, 0.1488077000, 0.4105570000, 1.2915005000", \ - "0.0363549000, 0.0383815000, 0.0451807000, 0.0689245000, 0.1472120000, 0.4067674000, 1.2961825000", \ - "0.0363385000, 0.0383716000, 0.0450749000, 0.0678419000, 0.1456459000, 0.4070054000, 1.2904290000", \ - "0.0379655000, 0.0397982000, 0.0461793000, 0.0683600000, 0.1444188000, 0.4050739000, 1.2852116000", \ - "0.0412776000, 0.0429827000, 0.0489436000, 0.0707427000, 0.1469712000, 0.4047435000, 1.2909248000", \ - "0.0545098000, 0.0557124000, 0.0607111000, 0.0806870000, 0.1535036000, 0.4113238000, 1.2919484000"); - } - } - max_capacitance : 0.7827860000; - max_transition : 1.4987990000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017037900, 0.0058057800, 0.0197837000, 0.0674143000, 0.2297190000, 0.7827860000"); - values("0.0121626000, 0.0130390000, 0.0158050000, 0.0243453000, 0.0520500000, 0.1460250000, 0.4636161000", \ - "0.0157169000, 0.0168518000, 0.0201011000, 0.0288332000, 0.0567592000, 0.1501568000, 0.4744309000", \ - "0.0194953000, 0.0213527000, 0.0265780000, 0.0389163000, 0.0674634000, 0.1612821000, 0.4794447000", \ - "0.0221779000, 0.0250280000, 0.0331905000, 0.0526953000, 0.0923631000, 0.1868675000, 0.5067819000", \ - "0.0188301000, 0.0233264000, 0.0361217000, 0.0669581000, 0.1293595000, 0.2459305000, 0.5634868000", \ - "-0.002575600, 0.0043257000, 0.0242317000, 0.0724837000, 0.1705254000, 0.3520171000, 0.7008762000", \ - "-0.071733700, -0.060923200, -0.030404600, 0.0434575000, 0.1969213000, 0.4830984000, 0.9949482000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017037900, 0.0058057800, 0.0197837000, 0.0674143000, 0.2297190000, 0.7827860000"); - values("0.0198874000, 0.0219117000, 0.0283486000, 0.0482353000, 0.1114341000, 0.3257839000, 1.0487834000", \ - "0.0254196000, 0.0272530000, 0.0332581000, 0.0529931000, 0.1173929000, 0.3319309000, 1.0567558000", \ - "0.0377313000, 0.0402749000, 0.0469218000, 0.0660069000, 0.1302518000, 0.3433506000, 1.0672999000", \ - "0.0563277000, 0.0603888000, 0.0715674000, 0.0978348000, 0.1609684000, 0.3756943000, 1.1008856000", \ - "0.0866407000, 0.0929499000, 0.1107897000, 0.1527173000, 0.2361139000, 0.4464785000, 1.1692750000", \ - "0.1405014000, 0.1496439000, 0.1765140000, 0.2420710000, 0.3759929000, 0.6214167000, 1.3457259000", \ - "0.2459307000, 0.2585686000, 0.2961936000, 0.3933225000, 0.6009813000, 0.9883367000, 1.7423613000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017037900, 0.0058057800, 0.0197837000, 0.0674143000, 0.2297190000, 0.7827860000"); - values("0.0049390000, 0.0058765000, 0.0090927000, 0.0202020000, 0.0582046000, 0.1879998000, 0.6266480000", \ - "0.0070209000, 0.0077683000, 0.0101933000, 0.0203458000, 0.0585240000, 0.1871754000, 0.6311019000", \ - "0.0115069000, 0.0126549000, 0.0161598000, 0.0251246000, 0.0585647000, 0.1874807000, 0.6277085000", \ - "0.0198473000, 0.0216621000, 0.0267878000, 0.0396990000, 0.0695373000, 0.1878979000, 0.6270980000", \ - "0.0348922000, 0.0379268000, 0.0466255000, 0.0649322000, 0.1054989000, 0.2055238000, 0.6276912000", \ - "0.0627123000, 0.0672251000, 0.0802171000, 0.1100470000, 0.1698476000, 0.2924350000, 0.6482923000", \ - "0.1172122000, 0.1235793000, 0.1429264000, 0.1895538000, 0.2832351000, 0.4582241000, 0.8137574000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017037900, 0.0058057800, 0.0197837000, 0.0674143000, 0.2297190000, 0.7827860000"); - values("0.0117548000, 0.0139830000, 0.0217198000, 0.0481809000, 0.1376070000, 0.4453319000, 1.4887607000", \ - "0.0118519000, 0.0140570000, 0.0217247000, 0.0481707000, 0.1385627000, 0.4457909000, 1.4845526000", \ - "0.0181901000, 0.0195191000, 0.0247008000, 0.0481613000, 0.1382910000, 0.4431177000, 1.4838308000", \ - "0.0294000000, 0.0321571000, 0.0396593000, 0.0583166000, 0.1380307000, 0.4454077000, 1.4806307000", \ - "0.0481114000, 0.0523180000, 0.0645136000, 0.0918628000, 0.1567508000, 0.4437891000, 1.4848648000", \ - "0.0786950000, 0.0853608000, 0.1043235000, 0.1497862000, 0.2361527000, 0.4681922000, 1.4838263000", \ - "0.1337186000, 0.1428984000, 0.1703253000, 0.2400066000, 0.3819317000, 0.6393036000, 1.4987989000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__inv_8") { - leakage_power () { - value : 0.0082791000; - when : "A"; - } - leakage_power () { - value : 0.0038210000; - when : "!A"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__inv"; - cell_leakage_power : 0.0060500540; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0176530000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0168400000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0184670000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017851090, 0.0063732260, 0.0227538000, 0.0812360200, 0.2900303000, 1.0354710000"); - values("-0.015426100, -0.017031700, -0.023203100, -0.048025700, -0.141756500, -0.479709900, -1.687156600", \ - "-0.017287400, -0.019023500, -0.025157200, -0.049371900, -0.142235500, -0.479822600, -1.687276100", \ - "-0.018889200, -0.020716100, -0.027260400, -0.051365100, -0.143363300, -0.480162500, -1.687365700", \ - "-0.019349100, -0.021354700, -0.028201800, -0.053315000, -0.145097800, -0.480914900, -1.687397500", \ - "-0.016866600, -0.019047500, -0.026670600, -0.052967000, -0.146290000, -0.481858200, -1.687955100", \ - "-0.009756300, -0.012544400, -0.021248200, -0.049267200, -0.143573400, -0.482199100, -1.688358100", \ - "0.0074301000, 0.0042855000, -0.005131200, -0.036199500, -0.136855400, -0.478916000, -1.688094700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017851090, 0.0063732260, 0.0227538000, 0.0812360200, 0.2900303000, 1.0354710000"); - values("0.0500517000, 0.0526781000, 0.0616626000, 0.0907907000, 0.1858872000, 0.5229385000, 1.7159675000", \ - "0.0489046000, 0.0513336000, 0.0597713000, 0.0884790000, 0.1843756000, 0.5199276000, 1.7200452000", \ - "0.0488000000, 0.0510048000, 0.0586787000, 0.0865749000, 0.1839729000, 0.5171257000, 1.7152928000", \ - "0.0488373000, 0.0510046000, 0.0583381000, 0.0856850000, 0.1809917000, 0.5195810000, 1.7148138000", \ - "0.0509897000, 0.0529823000, 0.0600315000, 0.0859068000, 0.1795073000, 0.5171847000, 1.7103024000", \ - "0.0552576000, 0.0570631000, 0.0636992000, 0.0889754000, 0.1826954000, 0.5123181000, 1.7093391000", \ - "0.0728096000, 0.0741087000, 0.0795625000, 0.1022556000, 0.1917460000, 0.5219979000, 1.7044405000"); - } - } - max_capacitance : 1.0354710000; - max_transition : 1.4996250000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000"); - values("0.0123297000, 0.0130319000, 0.0154135000, 0.0230701000, 0.0489012000, 0.1402316000, 0.4666703000", \ - "0.0159471000, 0.0168723000, 0.0196942000, 0.0275204000, 0.0536900000, 0.1451606000, 0.4703811000", \ - "0.0197728000, 0.0212245000, 0.0258394000, 0.0373346000, 0.0644274000, 0.1556234000, 0.4815072000", \ - "0.0224926000, 0.0248146000, 0.0318879000, 0.0500402000, 0.0889616000, 0.1814948000, 0.5052737000", \ - "0.0191159000, 0.0227360000, 0.0337779000, 0.0625044000, 0.1231077000, 0.2404573000, 0.5653590000", \ - "-0.002589400, 0.0030309000, 0.0201839000, 0.0651178000, 0.1601613000, 0.3434292000, 0.7014345000", \ - "-0.072666000, -0.063999000, -0.037823900, 0.0310945000, 0.1805251000, 0.4688840000, 0.9955849000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000"); - values("0.0202742000, 0.0219295000, 0.0275017000, 0.0455936000, 0.1049458000, 0.3147736000, 1.0550638000", \ - "0.0257929000, 0.0273028000, 0.0325167000, 0.0502622000, 0.1101536000, 0.3218706000, 1.0694470000", \ - "0.0382296000, 0.0403048000, 0.0461477000, 0.0631958000, 0.1234292000, 0.3310772000, 1.0747949000", \ - "0.0571632000, 0.0604406000, 0.0699357000, 0.0942658000, 0.1538116000, 0.3634873000, 1.1030601000", \ - "0.0880401000, 0.0931328000, 0.1086796000, 0.1474176000, 0.2291143000, 0.4343165000, 1.1747938000", \ - "0.1428338000, 0.1501958000, 0.1732611000, 0.2337166000, 0.3640337000, 0.6095962000, 1.3501105000", \ - "0.2501082000, 0.2603140000, 0.2927534000, 0.3813830000, 0.5841018000, 0.9721643000, 1.7479136000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000"); - values("0.0050158000, 0.0057564000, 0.0084430000, 0.0181318000, 0.0525400000, 0.1754386000, 0.6195076000", \ - "0.0070648000, 0.0076214000, 0.0095686000, 0.0181883000, 0.0527483000, 0.1765888000, 0.6151475000", \ - "0.0116018000, 0.0125604000, 0.0153961000, 0.0233952000, 0.0533055000, 0.1758861000, 0.6146265000", \ - "0.0200380000, 0.0216953000, 0.0260132000, 0.0374396000, 0.0647711000, 0.1753940000, 0.6144052000", \ - "0.0352642000, 0.0377053000, 0.0447282000, 0.0622156000, 0.1008997000, 0.1941964000, 0.6150727000", \ - "0.0632523000, 0.0669490000, 0.0782894000, 0.1059187000, 0.1652254000, 0.2829769000, 0.6345332000", \ - "0.1174102000, 0.1230575000, 0.1396005000, 0.1834808000, 0.2740662000, 0.4483394000, 0.8027686000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017851100, 0.0063732300, 0.0227538000, 0.0812360000, 0.2900300000, 1.0354700000"); - values("0.0119599000, 0.0138121000, 0.0203450000, 0.0438593000, 0.1268351000, 0.4257829000, 1.4839129000", \ - "0.0120751000, 0.0138756000, 0.0203696000, 0.0437900000, 0.1265724000, 0.4254328000, 1.4898222000", \ - "0.0180851000, 0.0192025000, 0.0235640000, 0.0438997000, 0.1280050000, 0.4225611000, 1.4858990000", \ - "0.0296468000, 0.0318590000, 0.0383461000, 0.0549473000, 0.1276238000, 0.4272980000, 1.4821471000", \ - "0.0485468000, 0.0519465000, 0.0622100000, 0.0877744000, 0.1483328000, 0.4255445000, 1.4844611000", \ - "0.0794384000, 0.0848726000, 0.1020007000, 0.1425726000, 0.2278606000, 0.4510004000, 1.4871820000", \ - "0.1345213000, 0.1419485000, 0.1656099000, 0.2296689000, 0.3676179000, 0.6189584000, 1.4996250000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_bleeder_1") { - leakage_power () { - value : 101865.71000; - when : "SHORT"; - } - leakage_power () { - value : 1.5808252e-05; - when : "!SHORT"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__bleeder"; - cell_leakage_power : 50932.860000; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("SHORT") { - always_on : "true"; - capacitance : 0.0021860000; - direction : "input"; - fall_capacitance : 0.0022330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.048912400, -0.167236200, -0.284881900, -0.401285200, -0.514504300, -0.620383500, -0.709343600"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0407359000, 0.0391999000, 0.0356593000, 0.0575931000, 0.1081521000, 0.2246933000, 0.4933273000"); - } - } - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0021380000; - } - } - - cell ("sky130_fd_sc_hd__lpflow_clkbufkapwr_1") { - leakage_power () { - value : 0.0011810000; - when : "A"; - } - leakage_power () { - value : 0.0011810000; - when : "!A"; - } - always_on : "true"; - area : 3.7536000000; - cell_footprint : "sky130_fd_sc_hd__clkbufkapwr"; - cell_leakage_power : 0.0011810180; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0020970000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020100000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - rise_capacitance : 0.0021850000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012646830, 0.0031988470, 0.0080910560, 0.0204652400, 0.0517641000, 0.1309304000"); - values("0.0109236000, 0.0099024000, 0.0070514000, -0.000731900, -0.020752800, -0.071473500, -0.199782000", \ - "0.0106888000, 0.0096613000, 0.0068073000, -0.000956100, -0.020934500, -0.071686500, -0.199930100", \ - "0.0104505000, 0.0094218000, 0.0065835000, -0.001160600, -0.021160000, -0.071841300, -0.200099300", \ - "0.0103318000, 0.0092847000, 0.0064471000, -0.001265200, -0.021185900, -0.071876900, -0.200108200", \ - "0.0101754000, 0.0089676000, 0.0062834000, -0.001240300, -0.021140000, -0.071773000, -0.200025400", \ - "0.0110656000, 0.0098791000, 0.0069820000, -0.000960100, -0.020529800, -0.071153300, -0.199357100", \ - "0.0131194000, 0.0118824000, 0.0086705000, 0.0007827000, -0.019035200, -0.069529700, -0.197628100"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012646830, 0.0031988470, 0.0080910560, 0.0204652400, 0.0517641000, 0.1309304000"); - values("0.0056625000, 0.0069714000, 0.0101718000, 0.0180150000, 0.0378571000, 0.0877095000, 0.2146676000", \ - "0.0054706000, 0.0067828000, 0.0099809000, 0.0178435000, 0.0376617000, 0.0878595000, 0.2144578000", \ - "0.0051964000, 0.0064945000, 0.0096761000, 0.0176208000, 0.0374958000, 0.0880417000, 0.2151342000", \ - "0.0049778000, 0.0062629000, 0.0094336000, 0.0173357000, 0.0372716000, 0.0876729000, 0.2145099000", \ - "0.0050756000, 0.0063516000, 0.0094068000, 0.0173055000, 0.0371718000, 0.0879282000, 0.2139725000", \ - "0.0057466000, 0.0069988000, 0.0101452000, 0.0179191000, 0.0378803000, 0.0878130000, 0.2151992000", \ - "0.0077405000, 0.0088582000, 0.0119881000, 0.0198483000, 0.0396653000, 0.0899139000, 0.2163168000"); - } - } - max_capacitance : 0.1309300000; - max_transition : 1.5048300000; - power_down_function : "(!KAPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012646800, 0.0031988500, 0.0080910600, 0.0204652000, 0.0517641000, 0.1309300000"); - values("0.0597752000, 0.0648087000, 0.0754631000, 0.0979458000, 0.1501913000, 0.2806566000, 0.6104133000", \ - "0.0647452000, 0.0697583000, 0.0803774000, 0.1030746000, 0.1553850000, 0.2860113000, 0.6150983000", \ - "0.0780199000, 0.0830714000, 0.0937246000, 0.1163172000, 0.1685797000, 0.2992097000, 0.6290619000", \ - "0.1083181000, 0.1134532000, 0.1243078000, 0.1471400000, 0.1994631000, 0.3299487000, 0.6604344000", \ - "0.1586997000, 0.1648189000, 0.1771293000, 0.2017393000, 0.2554418000, 0.3849019000, 0.7154178000", \ - "0.2355097000, 0.2435301000, 0.2588723000, 0.2863268000, 0.3414032000, 0.4724898000, 0.8020601000", \ - "0.3576046000, 0.3679077000, 0.3875696000, 0.4216440000, 0.4813723000, 0.6134638000, 0.9441941000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012646800, 0.0031988500, 0.0080910600, 0.0204652000, 0.0517641000, 0.1309300000"); - values("0.0495450000, 0.0562766000, 0.0721427000, 0.1108310000, 0.2080660000, 0.4524981000, 1.0712415000", \ - "0.0541153000, 0.0608004000, 0.0766816000, 0.1153471000, 0.2120808000, 0.4593231000, 1.0764903000", \ - "0.0646658000, 0.0713398000, 0.0870399000, 0.1259932000, 0.2238772000, 0.4691099000, 1.0885717000", \ - "0.0825729000, 0.0894519000, 0.1055740000, 0.1445091000, 0.2431764000, 0.4894891000, 1.1082103000", \ - "0.1050800000, 0.1123840000, 0.1288357000, 0.1677908000, 0.2654047000, 0.5109333000, 1.1299768000", \ - "0.1271945000, 0.1360945000, 0.1538811000, 0.1927381000, 0.2910673000, 0.5360059000, 1.1556306000", \ - "0.1311566000, 0.1430638000, 0.1655261000, 0.2078080000, 0.3048816000, 0.5506122000, 1.1706536000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012646800, 0.0031988500, 0.0080910600, 0.0204652000, 0.0517641000, 0.1309300000"); - values("0.0151864000, 0.0195771000, 0.0298734000, 0.0554573000, 0.1229030000, 0.2970159000, 0.7358885000", \ - "0.0151386000, 0.0195753000, 0.0298881000, 0.0554187000, 0.1224622000, 0.2961846000, 0.7351432000", \ - "0.0151571000, 0.0195948000, 0.0299025000, 0.0555874000, 0.1223156000, 0.2973758000, 0.7316103000", \ - "0.0162744000, 0.0205511000, 0.0305954000, 0.0558095000, 0.1222031000, 0.2954658000, 0.7360981000", \ - "0.0213685000, 0.0254291000, 0.0352512000, 0.0595608000, 0.1240195000, 0.2964936000, 0.7339139000", \ - "0.0304840000, 0.0350455000, 0.0448111000, 0.0672711000, 0.1286269000, 0.2960232000, 0.7386328000", \ - "0.0441702000, 0.0501058000, 0.0607775000, 0.0826757000, 0.1383536000, 0.3005787000, 0.7335437000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012646800, 0.0031988500, 0.0080910600, 0.0204652000, 0.0517641000, 0.1309300000"); - values("0.0203281000, 0.0287410000, 0.0503554000, 0.1056378000, 0.2466223000, 0.6019099000, 1.5017801000", \ - "0.0203546000, 0.0287441000, 0.0503524000, 0.1057716000, 0.2469060000, 0.6045270000, 1.5012597000", \ - "0.0204572000, 0.0287914000, 0.0502751000, 0.1058520000, 0.2473959000, 0.6058691000, 1.5013557000", \ - "0.0219079000, 0.0300867000, 0.0510608000, 0.1058554000, 0.2470916000, 0.6055951000, 1.5017220000", \ - "0.0252875000, 0.0326643000, 0.0526388000, 0.1066835000, 0.2460179000, 0.6037190000, 1.5046464000", \ - "0.0330773000, 0.0399602000, 0.0573576000, 0.1082203000, 0.2479077000, 0.6006848000, 1.5048297000", \ - "0.0472400000, 0.0547569000, 0.0713040000, 0.1153719000, 0.2487775000, 0.6043901000, 1.4975244000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_clkbufkapwr_16") { - leakage_power () { - value : 0.0141674000; - when : "A"; - } - leakage_power () { - value : 0.0104788000; - when : "!A"; - } - always_on : "true"; - area : 25.024000000; - cell_footprint : "sky130_fd_sc_hd__clkbufkapwr"; - cell_leakage_power : 0.0123231100; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0074310000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0069550000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - rise_capacitance : 0.0079060000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0019468260, 0.0075802600, 0.0295148900, 0.1149207000, 0.4474612000, 1.7422580000"); - values("0.0907350000, 0.0886142000, 0.0807989000, 0.0501683000, -0.079933800, -0.614897100, -2.711300200", \ - "0.0904772000, 0.0884468000, 0.0805530000, 0.0498039000, -0.080373400, -0.615148300, -2.711219300", \ - "0.0898615000, 0.0878674000, 0.0797941000, 0.0491688000, -0.080979700, -0.615670900, -2.712350300", \ - "0.0891777000, 0.0871684000, 0.0790765000, 0.0481610000, -0.082260400, -0.616574400, -2.712481100", \ - "0.0901622000, 0.0878292000, 0.0795600000, 0.0471332000, -0.084375400, -0.618037600, -2.713380200", \ - "0.0901195000, 0.0877702000, 0.0786716000, 0.0440546000, -0.086203600, -0.618980200, -2.713363300", \ - "0.1001273000, 0.0974900000, 0.0876856000, 0.0517808000, -0.084548600, -0.617549500, -2.710766800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0019468260, 0.0075802600, 0.0295148900, 0.1149207000, 0.4474612000, 1.7422580000"); - values("0.0780847000, 0.0806054000, 0.0905175000, 0.1285558000, 0.2699064000, 0.8045055000, 2.8716680000", \ - "0.0777424000, 0.0802616000, 0.0901722000, 0.1282609000, 0.2695022000, 0.8041840000, 2.8691197000", \ - "0.0771485000, 0.0796884000, 0.0895942000, 0.1277346000, 0.2688092000, 0.8036420000, 2.8701199000", \ - "0.0768122000, 0.0793332000, 0.0891322000, 0.1266626000, 0.2674782000, 0.8020854000, 2.8777096000", \ - "0.0765402000, 0.0789161000, 0.0885485000, 0.1249918000, 0.2652665000, 0.8009476000, 2.8805126000", \ - "0.0803735000, 0.0826751000, 0.0917929000, 0.1275942000, 0.2638350000, 0.7976006000, 2.8760626000", \ - "0.0862546000, 0.0883846000, 0.0970767000, 0.1317861000, 0.2707791000, 0.7995469000, 2.8702862000"); - } - } - max_capacitance : 1.7422580000; - max_transition : 1.5106520000; - power_down_function : "(!KAPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019468300, 0.0075802600, 0.0295149000, 0.1149210000, 0.4474610000, 1.7422600000"); - values("0.1098075000, 0.1114814000, 0.1172031000, 0.1342470000, 0.1795411000, 0.3196717000, 0.8501815000", \ - "0.1153706000, 0.1170161000, 0.1227730000, 0.1396507000, 0.1852784000, 0.3257315000, 0.8544599000", \ - "0.1292180000, 0.1308642000, 0.1365658000, 0.1535479000, 0.1990041000, 0.3391851000, 0.8696274000", \ - "0.1616169000, 0.1632963000, 0.1689825000, 0.1858519000, 0.2312506000, 0.3716021000, 0.9013890000", \ - "0.2354309000, 0.2371197000, 0.2428947000, 0.2597832000, 0.3052948000, 0.4459131000, 0.9751886000", \ - "0.3634298000, 0.3656225000, 0.3730861000, 0.3941073000, 0.4445274000, 0.5875524000, 1.1164865000", \ - "0.5657298000, 0.5686396000, 0.5784484000, 0.6062861000, 0.6689772000, 0.8190893000, 1.3463756000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019468300, 0.0075802600, 0.0295149000, 0.1149210000, 0.4474610000, 1.7422600000"); - values("0.0915560000, 0.0933430000, 0.0995655000, 0.1187422000, 0.1751587000, 0.3720053000, 1.1314958000", \ - "0.0959647000, 0.0977521000, 0.1039825000, 0.1231534000, 0.1796037000, 0.3764609000, 1.1356135000", \ - "0.1068713000, 0.1086614000, 0.1148995000, 0.1340674000, 0.1904654000, 0.3872610000, 1.1469773000", \ - "0.1326075000, 0.1343766000, 0.1406171000, 0.1595690000, 0.2158874000, 0.4132878000, 1.1787691000", \ - "0.1796844000, 0.1816868000, 0.1884660000, 0.2083562000, 0.2656564000, 0.4632844000, 1.2275639000", \ - "0.2405209000, 0.2430168000, 0.2515957000, 0.2754810000, 0.3357479000, 0.5332779000, 1.2930331000", \ - "0.3014802000, 0.3047942000, 0.3160983000, 0.3471669000, 0.4179085000, 0.6163238000, 1.3744784000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019468300, 0.0075802600, 0.0295149000, 0.1149210000, 0.4474610000, 1.7422600000"); - values("0.0226507000, 0.0237630000, 0.0276984000, 0.0411025000, 0.0882766000, 0.2768437000, 1.0356588000", \ - "0.0225277000, 0.0236625000, 0.0277247000, 0.0409836000, 0.0881419000, 0.2765859000, 1.0374283000", \ - "0.0226632000, 0.0238039000, 0.0277491000, 0.0409752000, 0.0882770000, 0.2770919000, 1.0356664000", \ - "0.0225310000, 0.0236548000, 0.0277649000, 0.0408984000, 0.0883930000, 0.2771604000, 1.0358154000", \ - "0.0248039000, 0.0259019000, 0.0296810000, 0.0422732000, 0.0890698000, 0.2767855000, 1.0361536000", \ - "0.0364293000, 0.0376695000, 0.0418211000, 0.0548461000, 0.0975751000, 0.2789966000, 1.0377544000", \ - "0.0555926000, 0.0572457000, 0.0628691000, 0.0783069000, 0.1191547000, 0.2888653000, 1.0360349000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019468300, 0.0075802600, 0.0295149000, 0.1149210000, 0.4474610000, 1.7422600000"); - values("0.0233967000, 0.0247502000, 0.0298535000, 0.0480987000, 0.1175131000, 0.3993638000, 1.5023566000", \ - "0.0233692000, 0.0247547000, 0.0298504000, 0.0480325000, 0.1176042000, 0.3997687000, 1.5034607000", \ - "0.0233504000, 0.0247536000, 0.0298466000, 0.0480955000, 0.1176595000, 0.3997869000, 1.5036801000", \ - "0.0234868000, 0.0248720000, 0.0299134000, 0.0481167000, 0.1176764000, 0.3982417000, 1.5106522000", \ - "0.0284844000, 0.0297353000, 0.0347438000, 0.0519602000, 0.1193753000, 0.3988267000, 1.5066615000", \ - "0.0399487000, 0.0413988000, 0.0462700000, 0.0620486000, 0.1250167000, 0.3987129000, 1.5050353000", \ - "0.0584196000, 0.0604183000, 0.0664954000, 0.0844219000, 0.1411082000, 0.4036162000, 1.5032577000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_clkbufkapwr_2") { - leakage_power () { - value : 0.0036588000; - when : "A"; - } - leakage_power () { - value : 0.0046480000; - when : "!A"; - } - always_on : "true"; - area : 5.0048000000; - cell_footprint : "sky130_fd_sc_hd__clkbufkapwr"; - cell_leakage_power : 0.0041533960; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0021700000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020560000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - rise_capacitance : 0.0022840000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014371910, 0.0041310370, 0.0118741800, 0.0341309400, 0.0981053700, 0.2819923000"); - values("0.0149480000, 0.0137264000, 0.0100690000, -0.001910500, -0.037757600, -0.141371400, -0.439175100", \ - "0.0147776000, 0.0135816000, 0.0099112000, -0.002046700, -0.037865400, -0.141493900, -0.439305200", \ - "0.0145575000, 0.0133209000, 0.0096118000, -0.002315000, -0.038100400, -0.141704700, -0.439516200", \ - "0.0143100000, 0.0130473000, 0.0092708000, -0.002629600, -0.038291100, -0.141819200, -0.439669400", \ - "0.0138930000, 0.0126396000, 0.0089878000, -0.002885200, -0.038460100, -0.141837200, -0.439674400", \ - "0.0148944000, 0.0134218000, 0.0091893000, -0.003080900, -0.038358200, -0.141579700, -0.439333400", \ - "0.0169452000, 0.0153506000, 0.0109920000, -0.001323200, -0.037037000, -0.140180100, -0.437916000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014371910, 0.0041310370, 0.0118741800, 0.0341309400, 0.0981053700, 0.2819923000"); - values("0.0108906000, 0.0125750000, 0.0172167000, 0.0298965000, 0.0655883000, 0.1682550000, 0.4631459000", \ - "0.0107314000, 0.0123810000, 0.0170042000, 0.0297221000, 0.0654124000, 0.1681365000, 0.4630987000", \ - "0.0104116000, 0.0120801000, 0.0166892000, 0.0293955000, 0.0652064000, 0.1677237000, 0.4624808000", \ - "0.0101228000, 0.0117652000, 0.0162778000, 0.0289309000, 0.0648771000, 0.1674748000, 0.4606905000", \ - "0.0101814000, 0.0117355000, 0.0161918000, 0.0286899000, 0.0645870000, 0.1672115000, 0.4620762000", \ - "0.0107187000, 0.0122525000, 0.0166821000, 0.0293118000, 0.0646639000, 0.1670730000, 0.4619782000", \ - "0.0121638000, 0.0136357000, 0.0179275000, 0.0305832000, 0.0661600000, 0.1686653000, 0.4611023000"); - } - } - max_capacitance : 0.2819920000; - max_transition : 1.5053100000; - power_down_function : "(!KAPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014371900, 0.0041310400, 0.0118742000, 0.0341309000, 0.0981054000, 0.2819920000"); - values("0.0716015000, 0.0763899000, 0.0875026000, 0.1121150000, 0.1733989000, 0.3446792000, 0.8352690000", \ - "0.0770339000, 0.0818664000, 0.0928715000, 0.1176101000, 0.1788931000, 0.3502003000, 0.8414902000", \ - "0.0900543000, 0.0947134000, 0.1060304000, 0.1308139000, 0.1921727000, 0.3633843000, 0.8553820000", \ - "0.1214394000, 0.1262080000, 0.1371910000, 0.1616705000, 0.2231092000, 0.3949447000, 0.8859570000", \ - "0.1799247000, 0.1854615000, 0.1977499000, 0.2241256000, 0.2865788000, 0.4566277000, 0.9480640000", \ - "0.2682520000, 0.2755393000, 0.2910240000, 0.3212137000, 0.3863144000, 0.5576798000, 1.0507897000", \ - "0.3997725000, 0.4094347000, 0.4301978000, 0.4689681000, 0.5404556000, 0.7102394000, 1.2015631000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014371900, 0.0041310400, 0.0118742000, 0.0341309000, 0.0981054000, 0.2819920000"); - values("0.0664325000, 0.0716545000, 0.0839958000, 0.1137973000, 0.1937473000, 0.4229374000, 1.0810592000", \ - "0.0708009000, 0.0760067000, 0.0884353000, 0.1182771000, 0.1983222000, 0.4270950000, 1.0833632000", \ - "0.0818250000, 0.0870103000, 0.0993395000, 0.1290311000, 0.2093633000, 0.4385086000, 1.0980891000", \ - "0.1067796000, 0.1120560000, 0.1244518000, 0.1542440000, 0.2346855000, 0.4652857000, 1.1329345000", \ - "0.1451136000, 0.1514265000, 0.1651397000, 0.1959693000, 0.2766981000, 0.5067403000, 1.1670902000", \ - "0.1928313000, 0.2009778000, 0.2185236000, 0.2524978000, 0.3335347000, 0.5625999000, 1.2197580000", \ - "0.2406162000, 0.2517711000, 0.2749023000, 0.3177367000, 0.4014026000, 0.6303757000, 1.2853871000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014371900, 0.0041310400, 0.0118742000, 0.0341309000, 0.0981054000, 0.2819920000"); - values("0.0154727000, 0.0190965000, 0.0288345000, 0.0548727000, 0.1315777000, 0.3611575000, 1.0276668000", \ - "0.0155387000, 0.0192055000, 0.0288962000, 0.0547451000, 0.1317135000, 0.3604947000, 1.0229553000", \ - "0.0154951000, 0.0191583000, 0.0287407000, 0.0548265000, 0.1317595000, 0.3614254000, 1.0292400000", \ - "0.0157024000, 0.0194707000, 0.0290058000, 0.0549435000, 0.1314923000, 0.3601779000, 1.0168784000", \ - "0.0206613000, 0.0244101000, 0.0335030000, 0.0578767000, 0.1325533000, 0.3623940000, 1.0186327000", \ - "0.0303782000, 0.0346623000, 0.0437421000, 0.0669924000, 0.1375045000, 0.3603161000, 1.0205781000", \ - "0.0451225000, 0.0508914000, 0.0624974000, 0.0858820000, 0.1491341000, 0.3645286000, 1.0152580000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014371900, 0.0041310400, 0.0118742000, 0.0341309000, 0.0981054000, 0.2819920000"); - values("0.0175101000, 0.0222663000, 0.0355695000, 0.0747582000, 0.1907974000, 0.5299221000, 1.5042528000", \ - "0.0174792000, 0.0222443000, 0.0355332000, 0.0747596000, 0.1906620000, 0.5296232000, 1.5037815000", \ - "0.0174987000, 0.0222571000, 0.0356249000, 0.0747588000, 0.1912370000, 0.5284123000, 1.4986067000", \ - "0.0186565000, 0.0232087000, 0.0362998000, 0.0748493000, 0.1912039000, 0.5311085000, 1.5023579000", \ - "0.0241601000, 0.0286673000, 0.0405582000, 0.0774415000, 0.1915891000, 0.5304538000, 1.5053102000", \ - "0.0340562000, 0.0388462000, 0.0507461000, 0.0839073000, 0.1934370000, 0.5291393000, 1.5000503000", \ - "0.0487707000, 0.0553912000, 0.0687994000, 0.1009371000, 0.1994565000, 0.5309626000, 1.4990383000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_clkbufkapwr_4") { - leakage_power () { - value : 0.0043253000; - when : "A"; - } - leakage_power () { - value : 0.0048763000; - when : "!A"; - } - always_on : "true"; - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__clkbufkapwr"; - cell_leakage_power : 0.0046007900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0021140000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0019930000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - rise_capacitance : 0.0022360000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015924560, 0.0050718310, 0.0161533300, 0.0514469400, 0.1638540000, 0.5218604000"); - values("0.0227218000, 0.0211209000, 0.0163197000, 0.0002744000, -0.055498400, -0.237090100, -0.816809300", \ - "0.0226501000, 0.0210223000, 0.0161997000, 0.0002190000, -0.055618000, -0.237205300, -0.816911000", \ - "0.0224144000, 0.0208132000, 0.0160349000, -5.8202923e-07, -0.055753800, -0.237344000, -0.817255200", \ - "0.0221670000, 0.0206529000, 0.0157418000, -0.000427500, -0.056138600, -0.237612000, -0.817337000", \ - "0.0219884000, 0.0203310000, 0.0152809000, -0.001037300, -0.056464400, -0.237760700, -0.817545600", \ - "0.0234020000, 0.0216240000, 0.0160188000, -0.001612600, -0.057014000, -0.237766600, -0.817390800", \ - "0.0266701000, 0.0247235000, 0.0187445000, 0.0002609000, -0.056200000, -0.237219800, -0.816499200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015924560, 0.0050718310, 0.0161533300, 0.0514469400, 0.1638540000, 0.5218604000"); - values("0.0209838000, 0.0228714000, 0.0288493000, 0.0474913000, 0.1046484000, 0.2851754000, 0.8602389000", \ - "0.0208133000, 0.0227085000, 0.0287421000, 0.0473559000, 0.1044901000, 0.2849028000, 0.8597446000", \ - "0.0206205000, 0.0225130000, 0.0284962000, 0.0471255000, 0.1043088000, 0.2847886000, 0.8595466000", \ - "0.0204896000, 0.0223460000, 0.0282911000, 0.0467910000, 0.1039400000, 0.2845523000, 0.8543201000", \ - "0.0202914000, 0.0221731000, 0.0280857000, 0.0462192000, 0.1030655000, 0.2830347000, 0.8543872000", \ - "0.0215338000, 0.0232776000, 0.0288500000, 0.0466759000, 0.1032640000, 0.2829184000, 0.8546065000", \ - "0.0231181000, 0.0247665000, 0.0302712000, 0.0479219000, 0.1043759000, 0.2848145000, 0.8562945000"); - } - } - max_capacitance : 0.5218600000; - max_transition : 1.5052410000; - power_down_function : "(!KAPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015924600, 0.0050718300, 0.0161533000, 0.0514469000, 0.1638540000, 0.5218600000"); - values("0.1029407000, 0.1068293000, 0.1169257000, 0.1399582000, 0.1946097000, 0.3480062000, 0.8314640000", \ - "0.1083590000, 0.1122250000, 0.1222222000, 0.1455032000, 0.2000269000, 0.3532680000, 0.8368945000", \ - "0.1217727000, 0.1256701000, 0.1356996000, 0.1588750000, 0.2132953000, 0.3668353000, 0.8515296000", \ - "0.1530289000, 0.1569707000, 0.1670128000, 0.1901291000, 0.2447907000, 0.3982817000, 0.8831493000", \ - "0.2240703000, 0.2281864000, 0.2385982000, 0.2620027000, 0.3166120000, 0.4707482000, 0.9571055000", \ - "0.3404380000, 0.3458024000, 0.3591798000, 0.3877559000, 0.4469242000, 0.6010370000, 1.0858067000", \ - "0.5174792000, 0.5245335000, 0.5423504000, 0.5796040000, 0.6502185000, 0.8093084000, 1.2918642000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015924600, 0.0050718300, 0.0161533000, 0.0514469000, 0.1638540000, 0.5218600000"); - values("0.0921705000, 0.0964791000, 0.1076417000, 0.1350409000, 0.2078056000, 0.4304283000, 1.1414697000", \ - "0.0967452000, 0.1010759000, 0.1123087000, 0.1397388000, 0.2123764000, 0.4355046000, 1.1443033000", \ - "0.1077503000, 0.1120475000, 0.1232342000, 0.1505975000, 0.2233643000, 0.4468787000, 1.1556638000", \ - "0.1341268000, 0.1384031000, 0.1495488000, 0.1768264000, 0.2495753000, 0.4723100000, 1.1779877000", \ - "0.1849779000, 0.1897966000, 0.2020786000, 0.2303702000, 0.3036555000, 0.5258730000, 1.2323782000", \ - "0.2522736000, 0.2587439000, 0.2742280000, 0.3072672000, 0.3830168000, 0.6051735000, 1.3119125000", \ - "0.3304782000, 0.3391125000, 0.3597742000, 0.4028640000, 0.4856547000, 0.7099246000, 1.4138083000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015924600, 0.0050718300, 0.0161533000, 0.0514469000, 0.1638540000, 0.5218600000"); - values("0.0208185000, 0.0235855000, 0.0306000000, 0.0504471000, 0.1099397000, 0.3087383000, 0.9641764000", \ - "0.0208385000, 0.0234530000, 0.0308813000, 0.0503840000, 0.1099363000, 0.3092835000, 0.9633780000", \ - "0.0208548000, 0.0236002000, 0.0307291000, 0.0501635000, 0.1097371000, 0.3091165000, 0.9556228000", \ - "0.0210073000, 0.0236984000, 0.0306613000, 0.0503490000, 0.1098613000, 0.3085732000, 0.9559968000", \ - "0.0237869000, 0.0263275000, 0.0331452000, 0.0519016000, 0.1105009000, 0.3096477000, 0.9590055000", \ - "0.0354954000, 0.0381983000, 0.0457658000, 0.0642597000, 0.1183977000, 0.3109031000, 0.9563712000", \ - "0.0535571000, 0.0576547000, 0.0673204000, 0.0883647000, 0.1388192000, 0.3182807000, 0.9574493000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015924600, 0.0050718300, 0.0161533000, 0.0514469000, 0.1638540000, 0.5218600000"); - values("0.0223859000, 0.0256516000, 0.0354692000, 0.0645708000, 0.1613494000, 0.4799571000, 1.5052407000", \ - "0.0224636000, 0.0257845000, 0.0354012000, 0.0646665000, 0.1611811000, 0.4811350000, 1.5022226000", \ - "0.0226018000, 0.0257964000, 0.0355117000, 0.0646406000, 0.1612172000, 0.4814492000, 1.5041358000", \ - "0.0224732000, 0.0257078000, 0.0355565000, 0.0646605000, 0.1613200000, 0.4798568000, 1.4995193000", \ - "0.0281675000, 0.0311113000, 0.0401525000, 0.0676458000, 0.1619486000, 0.4801196000, 1.4966732000", \ - "0.0398743000, 0.0434136000, 0.0528185000, 0.0783672000, 0.1665721000, 0.4797778000, 1.5012368000", \ - "0.0573634000, 0.0630655000, 0.0741172000, 0.0997281000, 0.1788339000, 0.4829168000, 1.4976320000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_clkbufkapwr_8") { - leakage_power () { - value : 0.0075141000; - when : "A"; - } - leakage_power () { - value : 0.0068657000; - when : "!A"; - } - always_on : "true"; - area : 13.763200000; - cell_footprint : "sky130_fd_sc_hd__clkbufkapwr"; - cell_leakage_power : 0.0071899220; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0039180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0036800000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - rise_capacitance : 0.0041560000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017597620, 0.0061935220, 0.0217982500, 0.0767194300, 0.2700158000, 0.9503271000"); - values("0.0444445000, 0.0426729000, 0.0363845000, 0.0145242000, -0.070483700, -0.382095300, -1.483571900", \ - "0.0442839000, 0.0424075000, 0.0363170000, 0.0144030000, -0.070690200, -0.382263800, -1.483772700", \ - "0.0439548000, 0.0422465000, 0.0359425000, 0.0139431000, -0.071058400, -0.382558700, -1.484096900", \ - "0.0435454000, 0.0417553000, 0.0354318000, 0.0132864000, -0.071893000, -0.383056000, -1.484466200", \ - "0.0442333000, 0.0424312000, 0.0356956000, 0.0128483000, -0.072737200, -0.383692300, -1.484797100", \ - "0.0466014000, 0.0448035000, 0.0375269000, 0.0124551000, -0.073231400, -0.383806500, -1.484657300", \ - "0.0505011000, 0.0482541000, 0.0407632000, 0.0167136000, -0.071450200, -0.382645700, -1.482847200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017597620, 0.0061935220, 0.0217982500, 0.0767194300, 0.2700158000, 0.9503271000"); - values("0.0393387000, 0.0415884000, 0.0492281000, 0.0760327000, 0.1660545000, 0.4767200000, 1.5606844000", \ - "0.0390829000, 0.0413273000, 0.0490611000, 0.0757225000, 0.1659548000, 0.4767027000, 1.5685044000", \ - "0.0387474000, 0.0409712000, 0.0487033000, 0.0754647000, 0.1654400000, 0.4761884000, 1.5602840000", \ - "0.0383755000, 0.0405633000, 0.0481742000, 0.0747874000, 0.1646048000, 0.4737417000, 1.5594985000", \ - "0.0381925000, 0.0403720000, 0.0479524000, 0.0739865000, 0.1626980000, 0.4749914000, 1.5689261000", \ - "0.0405609000, 0.0425870000, 0.0497722000, 0.0754991000, 0.1624261000, 0.4728247000, 1.5669254000", \ - "0.0433357000, 0.0452635000, 0.0522252000, 0.0772538000, 0.1656127000, 0.4751880000, 1.5623793000"); - } - } - max_capacitance : 0.9503270000; - max_transition : 1.5109380000; - power_down_function : "(!KAPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017597600, 0.0061935200, 0.0217982000, 0.0767194000, 0.2700160000, 0.9503270000"); - values("0.1033417000, 0.1058321000, 0.1132616000, 0.1324803000, 0.1802305000, 0.3194127000, 0.7992142000", \ - "0.1089445000, 0.1114343000, 0.1188957000, 0.1382283000, 0.1857591000, 0.3251060000, 0.8043545000", \ - "0.1220712000, 0.1245935000, 0.1324879000, 0.1517162000, 0.1992436000, 0.3386494000, 0.8177071000", \ - "0.1546570000, 0.1571277000, 0.1645650000, 0.1837420000, 0.2314453000, 0.3709406000, 0.8493982000", \ - "0.2266703000, 0.2292676000, 0.2369169000, 0.2562290000, 0.3041476000, 0.4438804000, 0.9228473000", \ - "0.3481482000, 0.3515179000, 0.3613508000, 0.3851386000, 0.4374874000, 0.5795195000, 1.0608566000", \ - "0.5367142000, 0.5411135000, 0.5542778000, 0.5859004000, 0.6504215000, 0.7977785000, 1.2761818000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017597600, 0.0061935200, 0.0217982000, 0.0767194000, 0.2700160000, 0.9503270000"); - values("0.0873268000, 0.0900734000, 0.0983150000, 0.1210155000, 0.1852843000, 0.3981862000, 1.1413898000", \ - "0.0917932000, 0.0945332000, 0.1027819000, 0.1254847000, 0.1897472000, 0.4024029000, 1.1507136000", \ - "0.1028520000, 0.1055883000, 0.1138978000, 0.1365168000, 0.2007753000, 0.4141271000, 1.1568686000", \ - "0.1287976000, 0.1315208000, 0.1397794000, 0.1622637000, 0.2263710000, 0.4389906000, 1.1830330000", \ - "0.1766482000, 0.1797558000, 0.1889084000, 0.2126374000, 0.2772364000, 0.4898476000, 1.2385152000", \ - "0.2383033000, 0.2423734000, 0.2540644000, 0.2822032000, 0.3491996000, 0.5621771000, 1.3064084000", \ - "0.3036578000, 0.3090339000, 0.3246291000, 0.3612352000, 0.4375046000, 0.6495622000, 1.3916343000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017597600, 0.0061935200, 0.0217982000, 0.0767194000, 0.2700160000, 0.9503270000"); - values("0.0209768000, 0.0226156000, 0.0279088000, 0.0431894000, 0.0920562000, 0.2704433000, 0.9238166000", \ - "0.0212033000, 0.0226038000, 0.0279333000, 0.0430956000, 0.0920605000, 0.2702371000, 0.9252100000", \ - "0.0211863000, 0.0226181000, 0.0277883000, 0.0431059000, 0.0921277000, 0.2710011000, 0.9255365000", \ - "0.0209579000, 0.0225551000, 0.0279252000, 0.0431758000, 0.0918912000, 0.2708710000, 0.9183651000", \ - "0.0237913000, 0.0253370000, 0.0302916000, 0.0448106000, 0.0926574000, 0.2713314000, 0.9166100000", \ - "0.0352162000, 0.0371292000, 0.0428772000, 0.0569923000, 0.1018994000, 0.2729647000, 0.9198131000", \ - "0.0547408000, 0.0572751000, 0.0640105000, 0.0814890000, 0.1237897000, 0.2815433000, 0.9191168000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017597600, 0.0061935200, 0.0217982000, 0.0767194000, 0.2700160000, 0.9503270000"); - values("0.0218934000, 0.0239846000, 0.0310631000, 0.0540276000, 0.1363078000, 0.4388064000, 1.5043430000", \ - "0.0219932000, 0.0241362000, 0.0310186000, 0.0540038000, 0.1364439000, 0.4390943000, 1.5109375000", \ - "0.0219234000, 0.0240701000, 0.0310798000, 0.0540058000, 0.1362725000, 0.4379833000, 1.5040965000", \ - "0.0221951000, 0.0242742000, 0.0312277000, 0.0542128000, 0.1364970000, 0.4375885000, 1.4991152000", \ - "0.0277340000, 0.0296951000, 0.0361074000, 0.0576236000, 0.1375860000, 0.4378644000, 1.5089693000", \ - "0.0393767000, 0.0413607000, 0.0484591000, 0.0682411000, 0.1426410000, 0.4374353000, 1.5037278000", \ - "0.0570687000, 0.0599508000, 0.0690395000, 0.0899178000, 0.1569612000, 0.4408681000, 1.4987550000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_clkinvkapwr_1") { - leakage_power () { - value : 0.0028987000; - when : "A"; - } - leakage_power () { - value : 0.0002364000; - when : "!A"; - } - always_on : "true"; - area : 3.7536000000; - cell_footprint : "sky130_fd_sc_hd__clkinvkapwr"; - cell_leakage_power : 0.0015675510; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0030170000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0028420000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - rise_capacitance : 0.0031910000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013472500, 0.0036301680, 0.0097814920, 0.0263562400, 0.0710169200, 0.1913552000"); - values("-0.004006600, -0.005138500, -0.008556300, -0.018304600, -0.045062400, -0.117372800, -0.312351300", \ - "-0.004436300, -0.005581900, -0.008893700, -0.018503500, -0.045176000, -0.117428500, -0.312314200", \ - "-0.004782400, -0.005979700, -0.009348200, -0.018846300, -0.045336100, -0.117485100, -0.312340700", \ - "-0.004882200, -0.006181000, -0.009684600, -0.019220000, -0.045632600, -0.117640000, -0.312419000", \ - "-0.004557800, -0.005933000, -0.009714700, -0.019582100, -0.045997300, -0.117887400, -0.312554000", \ - "-0.003641100, -0.005144200, -0.009071100, -0.019079400, -0.046064200, -0.118175100, -0.312728100", \ - "-0.000876000, -0.003083200, -0.007258100, -0.017843800, -0.045170600, -0.117796100, -0.312760200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013472500, 0.0036301680, 0.0097814920, 0.0263562400, 0.0710169200, 0.1913552000"); - values("0.0104118000, 0.0119367000, 0.0157916000, 0.0258087000, 0.0524635000, 0.1237749000, 0.3183787000", \ - "0.0101763000, 0.0117164000, 0.0155944000, 0.0256867000, 0.0525163000, 0.1247438000, 0.3182940000", \ - "0.0100491000, 0.0115303000, 0.0153535000, 0.0254586000, 0.0522182000, 0.1239225000, 0.3154604000", \ - "0.0100502000, 0.0114830000, 0.0152005000, 0.0252613000, 0.0518025000, 0.1238772000, 0.3169946000", \ - "0.0102825000, 0.0116066000, 0.0152497000, 0.0252668000, 0.0520071000, 0.1233907000, 0.3165488000", \ - "0.0107101000, 0.0119201000, 0.0153640000, 0.0249953000, 0.0518661000, 0.1236526000, 0.3175409000", \ - "0.0132617000, 0.0145871000, 0.0180146000, 0.0268068000, 0.0529618000, 0.1235340000, 0.3176702000"); - } - } - max_capacitance : 0.1913550000; - max_transition : 1.4906250000; - power_down_function : "(!KAPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013472500, 0.0036301700, 0.0097814900, 0.0263562000, 0.0710169000, 0.1913550000"); - values("0.0237124000, 0.0292626000, 0.0433504000, 0.0789214000, 0.1733448000, 0.4277163000, 1.1113881000", \ - "0.0274632000, 0.0330534000, 0.0472594000, 0.0834094000, 0.1785193000, 0.4333084000, 1.1171853000", \ - "0.0371965000, 0.0435942000, 0.0574400000, 0.0941923000, 0.1891194000, 0.4465403000, 1.1305535000", \ - "0.0515708000, 0.0613952000, 0.0810863000, 0.1200983000, 0.2152257000, 0.4697361000, 1.1498205000", \ - "0.0702921000, 0.0854167000, 0.1165861000, 0.1752731000, 0.2780086000, 0.5330519000, 1.2141342000", \ - "0.0929725000, 0.1156355000, 0.1636557000, 0.2535047000, 0.4080796000, 0.6778176000, 1.3626974000", \ - "0.1174110000, 0.1515030000, 0.2227677000, 0.3592048000, 0.5976672000, 0.9911025000, 1.6982613000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013472500, 0.0036301700, 0.0097814900, 0.0263562000, 0.0710169000, 0.1913550000"); - values("0.0169834000, 0.0205999000, 0.0297679000, 0.0534838000, 0.1157393000, 0.2838584000, 0.7379880000", \ - "0.0225298000, 0.0260832000, 0.0351523000, 0.0587587000, 0.1218576000, 0.2917306000, 0.7424600000", \ - "0.0328563000, 0.0380462000, 0.0484487000, 0.0716990000, 0.1351039000, 0.3024985000, 0.7630830000", \ - "0.0475501000, 0.0560356000, 0.0732203000, 0.1032352000, 0.1655691000, 0.3345172000, 0.7890826000", \ - "0.0670335000, 0.0813651000, 0.1098933000, 0.1591729000, 0.2381949000, 0.4058876000, 0.8600468000", \ - "0.0929297000, 0.1149713000, 0.1603808000, 0.2422866000, 0.3712071000, 0.5715927000, 1.0207341000", \ - "0.1248887000, 0.1594783000, 0.2292515000, 0.3574086000, 0.5722873000, 0.8977825000, 1.4069715000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013472500, 0.0036301700, 0.0097814900, 0.0263562000, 0.0710169000, 0.1913550000"); - values("0.0136695000, 0.0203052000, 0.0374007000, 0.0841127000, 0.2096932000, 0.5460887000, 1.4593917000", \ - "0.0136637000, 0.0201681000, 0.0373738000, 0.0841145000, 0.2090024000, 0.5463680000, 1.4589672000", \ - "0.0184921000, 0.0229985000, 0.0382391000, 0.0840756000, 0.2094994000, 0.5464017000, 1.4549416000", \ - "0.0305477000, 0.0369708000, 0.0515444000, 0.0880745000, 0.2101453000, 0.5491018000, 1.4621381000", \ - "0.0507394000, 0.0610759000, 0.0823719000, 0.1227583000, 0.2196550000, 0.5501704000, 1.4630858000", \ - "0.0850476000, 0.1012117000, 0.1355656000, 0.1932318000, 0.2987724000, 0.5669027000, 1.4598556000", \ - "0.1463707000, 0.1709414000, 0.2228897000, 0.3133294000, 0.4677402000, 0.7306161000, 1.4906253000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013472500, 0.0036301700, 0.0097814900, 0.0263562000, 0.0710169000, 0.1913550000"); - values("0.0103790000, 0.0150336000, 0.0276414000, 0.0615167000, 0.1523303000, 0.3973395000, 1.0569939000", \ - "0.0110960000, 0.0151688000, 0.0275674000, 0.0615592000, 0.1528645000, 0.3992133000, 1.0560823000", \ - "0.0180804000, 0.0212493000, 0.0302139000, 0.0612692000, 0.1525483000, 0.3970627000, 1.0549960000", \ - "0.0303208000, 0.0354580000, 0.0462025000, 0.0697502000, 0.1523940000, 0.3976289000, 1.0562460000", \ - "0.0524308000, 0.0605262000, 0.0771620000, 0.1085013000, 0.1713465000, 0.3962098000, 1.0562808000", \ - "0.0886534000, 0.1037551000, 0.1309662000, 0.1781846000, 0.2571610000, 0.4347607000, 1.0542378000", \ - "0.1541611000, 0.1757458000, 0.2208851000, 0.3002485000, 0.4260781000, 0.6233877000, 1.1170552000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_clkinvkapwr_16") { - leakage_power () { - value : 0.0128005000; - when : "A"; - } - leakage_power () { - value : 0.0100213000; - when : "!A"; - } - always_on : "true"; - area : 30.028800000; - cell_footprint : "sky130_fd_sc_hd__clkinvkapwr"; - cell_leakage_power : 0.0114109000; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0382660000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0358100000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - rise_capacitance : 0.0407230000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0020465370, 0.0083766310, 0.0342861800, 0.1403359000, 0.5744052000, 2.3510840000"); - values("-0.043998000, -0.045817800, -0.053891200, -0.091229500, -0.259525600, -0.961453800, -3.840052000", \ - "-0.049113800, -0.051026600, -0.059171600, -0.095487500, -0.261438400, -0.962294700, -3.839720600", \ - "-0.052810200, -0.055079500, -0.063901000, -0.101169200, -0.264834600, -0.963454900, -3.840163900", \ - "-0.054115800, -0.056480700, -0.066098700, -0.105390200, -0.269733300, -0.965598200, -3.840642600", \ - "-0.052860500, -0.055408900, -0.065812900, -0.105908900, -0.274472000, -0.969305400, -3.842090700", \ - "-0.037706900, -0.041309300, -0.053825500, -0.099568000, -0.270403900, -0.972896100, -3.843840700", \ - "-0.009677500, -0.011536800, -0.027743700, -0.078908500, -0.259159900, -0.970352900, -3.845072800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0020465370, 0.0083766310, 0.0342861800, 0.1403359000, 0.5744052000, 2.3510840000"); - values("0.1194603000, 0.1227308000, 0.1354584000, 0.1819108000, 0.3552043000, 1.0525768000, 3.9051084000", \ - "0.1175437000, 0.1204943000, 0.1324985000, 0.1788567000, 0.3539240000, 1.0521254000, 3.9027037000", \ - "0.1163957000, 0.1192305000, 0.1305305000, 0.1752731000, 0.3507338000, 1.0508156000, 3.9030732000", \ - "0.1175096000, 0.1201514000, 0.1303529000, 0.1734377000, 0.3464611000, 1.0446545000, 3.8879932000", \ - "0.1199298000, 0.1223381000, 0.1322911000, 0.1735075000, 0.3444870000, 1.0451721000, 3.9004059000", \ - "0.1290276000, 0.1294679000, 0.1362042000, 0.1750457000, 0.3487453000, 1.0412102000, 3.8893844000", \ - "0.1586429000, 0.1604518000, 0.1678598000, 0.2022775000, 0.3606813000, 1.0507131000, 3.8818808000"); - } - } - max_capacitance : 2.3510840000; - max_transition : 1.5012540000; - power_down_function : "(!KAPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0020465400, 0.0083766300, 0.0342862000, 0.1403360000, 0.5744050000, 2.3510800000"); - values("0.0245963000, 0.0255219000, 0.0291455000, 0.0421643000, 0.0908962000, 0.2846919000, 1.0774144000", \ - "0.0276317000, 0.0285485000, 0.0321258000, 0.0453541000, 0.0943668000, 0.2887227000, 1.0810846000", \ - "0.0353267000, 0.0364864000, 0.0408586000, 0.0547061000, 0.1045392000, 0.2990875000, 1.0993972000", \ - "0.0444362000, 0.0462418000, 0.0529583000, 0.0741344000, 0.1284442000, 0.3236657000, 1.1173715000", \ - "0.0514737000, 0.0543357000, 0.0648444000, 0.0977566000, 0.1795523000, 0.3828018000, 1.1780940000", \ - "0.0488783000, 0.0533754000, 0.0692762000, 0.1197208000, 0.2465531000, 0.5189353000, 1.3127198000", \ - "0.0178258000, 0.0242860000, 0.0478525000, 0.1236058000, 0.3179620000, 0.7384903000, 1.6274959000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0020465400, 0.0083766300, 0.0342862000, 0.1403360000, 0.5744050000, 2.3510800000"); - values("0.0196403000, 0.0204266000, 0.0234776000, 0.0340721000, 0.0726361000, 0.2273734000, 0.8602651000", \ - "0.0248958000, 0.0256518000, 0.0285777000, 0.0391596000, 0.0778883000, 0.2328296000, 0.8633555000", \ - "0.0360856000, 0.0371736000, 0.0411321000, 0.0524848000, 0.0913710000, 0.2461153000, 0.8746665000", \ - "0.0531933000, 0.0548753000, 0.0612224000, 0.0796709000, 0.1235318000, 0.2783006000, 0.9159892000", \ - "0.0799136000, 0.0826900000, 0.0928280000, 0.1229054000, 0.1927258000, 0.3526754000, 0.9809341000", \ - "0.1243720000, 0.1285382000, 0.1443978000, 0.1920039000, 0.3056031000, 0.5288300000, 1.1565899000", \ - "0.2038394000, 0.2100303000, 0.2328986000, 0.3059399000, 0.4871616000, 0.8486639000, 1.5620473000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0020465400, 0.0083766300, 0.0342862000, 0.1403360000, 0.5744050000, 2.3510800000"); - values("0.0109797000, 0.0118832000, 0.0156919000, 0.0315328000, 0.0970806000, 0.3637064000, 1.4587480000", \ - "0.0111050000, 0.0120304000, 0.0158075000, 0.0316188000, 0.0969622000, 0.3649278000, 1.4577964000", \ - "0.0158537000, 0.0168027000, 0.0196670000, 0.0328496000, 0.0973163000, 0.3639389000, 1.4593610000", \ - "0.0259166000, 0.0271533000, 0.0316947000, 0.0476161000, 0.1002623000, 0.3639881000, 1.4606569000", \ - "0.0437713000, 0.0457271000, 0.0530168000, 0.0752035000, 0.1323873000, 0.3659891000, 1.4624062000", \ - "0.0741648000, 0.0776710000, 0.0888103000, 0.1234480000, 0.2086041000, 0.4177576000, 1.4576085000", \ - "0.1300033000, 0.1334541000, 0.1501590000, 0.2043670000, 0.3349726000, 0.6095252000, 1.5012536000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0020465400, 0.0083766300, 0.0342862000, 0.1403360000, 0.5744050000, 2.3510800000"); - values("0.0097289000, 0.0104871000, 0.0135962000, 0.0268221000, 0.0818530000, 0.3067094000, 1.2266487000", \ - "0.0101117000, 0.0108454000, 0.0138932000, 0.0269422000, 0.0818750000, 0.3065007000, 1.2291955000", \ - "0.0162356000, 0.0168277000, 0.0189044000, 0.0288537000, 0.0817615000, 0.3073118000, 1.2303062000", \ - "0.0267470000, 0.0277551000, 0.0315169000, 0.0434040000, 0.0854704000, 0.3067880000, 1.2280541000", \ - "0.0461767000, 0.0478101000, 0.0538674000, 0.0718713000, 0.1161758000, 0.3077608000, 1.2295093000", \ - "0.0792713000, 0.0817626000, 0.0916283000, 0.1214162000, 0.1886892000, 0.3534292000, 1.2255744000", \ - "0.1389616000, 0.1428683000, 0.1573289000, 0.2049131000, 0.3164933000, 0.5374240000, 1.2611502000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_clkinvkapwr_2") { - leakage_power () { - value : 0.0071803000; - when : "A"; - } - leakage_power () { - value : 0.0006400000; - when : "!A"; - } - always_on : "true"; - area : 5.0048000000; - cell_footprint : "sky130_fd_sc_hd__clkinvkapwr"; - cell_leakage_power : 0.0039101540; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0051870000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0048490000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - rise_capacitance : 0.0055260000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015205570, 0.0046241860, 0.0140626800, 0.0427662000, 0.1300569000, 0.3955177000"); - values("-0.005292800, -0.006624300, -0.011107300, -0.025922200, -0.072242200, -0.213539600, -0.643617400", \ - "-0.006031000, -0.007371500, -0.011797200, -0.026343300, -0.072374200, -0.213619100, -0.643569700", \ - "-0.006648100, -0.008096700, -0.012586100, -0.026980900, -0.072676400, -0.213739500, -0.643649800", \ - "-0.006886500, -0.008431700, -0.013105100, -0.027732400, -0.073222800, -0.213973100, -0.643686700", \ - "-0.006291300, -0.007952000, -0.012925600, -0.028258000, -0.073853200, -0.214365900, -0.643899300", \ - "-0.004466600, -0.006443600, -0.011859400, -0.027224200, -0.073891300, -0.214824200, -0.644176700", \ - "-9.4888262e-05, -0.002971500, -0.008758800, -0.025166100, -0.072504800, -0.213975100, -0.644020600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015205570, 0.0046241860, 0.0140626800, 0.0427662000, 0.1300569000, 0.3955177000"); - values("0.0165900000, 0.0185196000, 0.0239074000, 0.0393757000, 0.0856538000, 0.2243117000, 0.6486487000", \ - "0.0162131000, 0.0180635000, 0.0234879000, 0.0390978000, 0.0854978000, 0.2259640000, 0.6521066000", \ - "0.0160106000, 0.0177864000, 0.0230182000, 0.0387004000, 0.0850588000, 0.2256083000, 0.6508945000", \ - "0.0160222000, 0.0178592000, 0.0229912000, 0.0382005000, 0.0845637000, 0.2249372000, 0.6485456000", \ - "0.0164380000, 0.0180348000, 0.0229236000, 0.0381899000, 0.0843850000, 0.2246677000, 0.6489693000", \ - "0.0171089000, 0.0185730000, 0.0232250000, 0.0378605000, 0.0847293000, 0.2233410000, 0.6470476000", \ - "0.0214806000, 0.0227664000, 0.0277707000, 0.0412183000, 0.0858706000, 0.2244208000, 0.6456816000"); - } - } - max_capacitance : 0.3955180000; - max_transition : 1.4985760000; - power_down_function : "(!KAPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015205600, 0.0046241900, 0.0140627000, 0.0427662000, 0.1300570000, 0.3955180000"); - values("0.0198380000, 0.0232077000, 0.0326596000, 0.0596757000, 0.1388195000, 0.3780019000, 1.1064915000", \ - "0.0237280000, 0.0270274000, 0.0365355000, 0.0637754000, 0.1431778000, 0.3827313000, 1.1106570000", \ - "0.0323502000, 0.0366826000, 0.0471105000, 0.0741045000, 0.1547120000, 0.3940910000, 1.1218380000", \ - "0.0435519000, 0.0503142000, 0.0665581000, 0.1004400000, 0.1808231000, 0.4204944000, 1.1493172000", \ - "0.0564549000, 0.0669825000, 0.0921374000, 0.1448714000, 0.2424037000, 0.4815574000, 1.2098951000", \ - "0.0674115000, 0.0833974000, 0.1221172000, 0.2036075000, 0.3538766000, 0.6249887000, 1.3520816000", \ - "0.0676527000, 0.0913606000, 0.1493216000, 0.2732014000, 0.5051696000, 0.9160503000, 1.6820830000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015205600, 0.0046241900, 0.0140627000, 0.0427662000, 0.1300570000, 0.3955180000"); - values("0.0169584000, 0.0197855000, 0.0277185000, 0.0504586000, 0.1180257000, 0.3214952000, 0.9509649000", \ - "0.0225739000, 0.0252705000, 0.0331366000, 0.0559070000, 0.1240782000, 0.3294249000, 0.9535863000", \ - "0.0332447000, 0.0372350000, 0.0465666000, 0.0690138000, 0.1368740000, 0.3424190000, 0.9611287000", \ - "0.0484179000, 0.0550930000, 0.0703935000, 0.1007663000, 0.1682728000, 0.3729350000, 0.9993603000", \ - "0.0703157000, 0.0812531000, 0.1064719000, 0.1559558000, 0.2408563000, 0.4444043000, 1.0646681000", \ - "0.1029050000, 0.1194771000, 0.1593250000, 0.2396037000, 0.3807946000, 0.6142933000, 1.2287485000", \ - "0.1545884000, 0.1787635000, 0.2385414000, 0.3637645000, 0.5911123000, 0.9658444000, 1.6126586000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015205600, 0.0046241900, 0.0140627000, 0.0427662000, 0.1300570000, 0.3955180000"); - values("0.0105300000, 0.0144186000, 0.0256471000, 0.0614530000, 0.1658932000, 0.4877126000, 1.4653825000", \ - "0.0106703000, 0.0143467000, 0.0257204000, 0.0606026000, 0.1661000000, 0.4858942000, 1.4625869000", \ - "0.0162031000, 0.0191732000, 0.0278840000, 0.0609676000, 0.1661226000, 0.4867231000, 1.4597940000", \ - "0.0269296000, 0.0314293000, 0.0425058000, 0.0681734000, 0.1667581000, 0.4868315000, 1.4657027000", \ - "0.0453424000, 0.0525820000, 0.0695579000, 0.1042287000, 0.1834353000, 0.4866951000, 1.4639556000", \ - "0.0770422000, 0.0882702000, 0.1167448000, 0.1682220000, 0.2678499000, 0.5174228000, 1.4734247000", \ - "0.1349465000, 0.1513047000, 0.1926260000, 0.2753375000, 0.4254571000, 0.6963537000, 1.4985762000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015205600, 0.0046241900, 0.0140627000, 0.0427662000, 0.1300570000, 0.3955180000"); - values("0.0101933000, 0.0136712000, 0.0242121000, 0.0562108000, 0.1536378000, 0.4467417000, 1.3401876000", \ - "0.0108472000, 0.0137628000, 0.0241848000, 0.0563300000, 0.1531031000, 0.4500783000, 1.3508222000", \ - "0.0178755000, 0.0202151000, 0.0272481000, 0.0561188000, 0.1533130000, 0.4486774000, 1.3411178000", \ - "0.0294987000, 0.0334982000, 0.0430148000, 0.0652008000, 0.1529663000, 0.4472960000, 1.3404494000", \ - "0.0501051000, 0.0566910000, 0.0714709000, 0.1019428000, 0.1713453000, 0.4467684000, 1.3456941000", \ - "0.0851050000, 0.0957357000, 0.1203941000, 0.1679249000, 0.2556980000, 0.4740033000, 1.3433712000", \ - "0.1464551000, 0.1622056000, 0.2014182000, 0.2820162000, 0.4177800000, 0.6520569000, 1.3734933000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_clkinvkapwr_4") { - leakage_power () { - value : 0.0063418000; - when : "A"; - } - leakage_power () { - value : 0.0018947000; - when : "!A"; - } - always_on : "true"; - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__clkinvkapwr"; - cell_leakage_power : 0.0041182940; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0102490000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0095760000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - rise_capacitance : 0.0109240000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017044510, 0.0058103080, 0.0198067700, 0.0675193700, 0.2301669000, 0.7846167000"); - values("-0.011183100, -0.012660400, -0.018299900, -0.039896600, -0.116723800, -0.379980900, -1.278204000", \ - "-0.012591500, -0.014167600, -0.019801800, -0.040823800, -0.117062900, -0.380134400, -1.278143000", \ - "-0.013749800, -0.015462200, -0.021275300, -0.042083000, -0.117706700, -0.380318700, -1.278312900", \ - "-0.014209100, -0.016029100, -0.022185900, -0.043673900, -0.118838400, -0.380764800, -1.278476300", \ - "-0.013045100, -0.015018800, -0.021628400, -0.044489000, -0.119912400, -0.381482000, -1.278688700", \ - "-0.009714500, -0.011761000, -0.019626100, -0.042598400, -0.120166700, -0.382547300, -1.279217800", \ - "-0.001624900, -0.003813300, -0.013689000, -0.038192900, -0.117353600, -0.381401300, -1.279236000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017044510, 0.0058103080, 0.0198067700, 0.0675193700, 0.2301669000, 0.7846167000"); - values("0.0326694000, 0.0350701000, 0.0425406000, 0.0659138000, 0.1423205000, 0.4043543000, 1.2950186000", \ - "0.0319526000, 0.0342203000, 0.0416030000, 0.0650477000, 0.1426648000, 0.4036675000, 1.2953251000", \ - "0.0315645000, 0.0336819000, 0.0408285000, 0.0643276000, 0.1422117000, 0.4014288000, 1.2921909000", \ - "0.0316298000, 0.0339499000, 0.0406596000, 0.0634828000, 0.1407430000, 0.4018130000, 1.2928566000", \ - "0.0330031000, 0.0351842000, 0.0415655000, 0.0642078000, 0.1403442000, 0.4016056000, 1.2906759000", \ - "0.0343593000, 0.0363541000, 0.0426315000, 0.0646596000, 0.1416929000, 0.4012496000, 1.2902225000", \ - "0.0413165000, 0.0427726000, 0.0485005000, 0.0691570000, 0.1436238000, 0.4064570000, 1.2887131000"); - } - } - max_capacitance : 0.7846170000; - max_transition : 1.5030680000; - power_down_function : "(!KAPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017044500, 0.0058103100, 0.0198068000, 0.0675194000, 0.2301670000, 0.7846170000"); - values("0.0188051000, 0.0208469000, 0.0273894000, 0.0477081000, 0.1137228000, 0.3362283000, 1.0982375000", \ - "0.0226481000, 0.0246546000, 0.0310971000, 0.0516144000, 0.1178742000, 0.3405175000, 1.1009939000", \ - "0.0303519000, 0.0331703000, 0.0412571000, 0.0620280000, 0.1286799000, 0.3522097000, 1.1109375000", \ - "0.0395051000, 0.0439411000, 0.0563863000, 0.0861118000, 0.1542749000, 0.3785292000, 1.1374323000", \ - "0.0482222000, 0.0551179000, 0.0745692000, 0.1204105000, 0.2132588000, 0.4381372000, 1.1960923000", \ - "0.0501404000, 0.0607461000, 0.0906161000, 0.1616620000, 0.3059291000, 0.5787735000, 1.3356221000", \ - "0.0305296000, 0.0460764000, 0.0902600000, 0.1992069000, 0.4234132000, 0.8417857000, 1.6597590000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017044500, 0.0058103100, 0.0198068000, 0.0675194000, 0.2301670000, 0.7846170000"); - values("0.0170766000, 0.0189975000, 0.0249465000, 0.0436712000, 0.1043577000, 0.3130713000, 1.0196877000", \ - "0.0227946000, 0.0245729000, 0.0304275000, 0.0489633000, 0.1107510000, 0.3179879000, 1.0291428000", \ - "0.0338500000, 0.0366269000, 0.0440727000, 0.0626647000, 0.1238806000, 0.3299655000, 1.0325211000", \ - "0.0502997000, 0.0546374000, 0.0669221000, 0.0938804000, 0.1562658000, 0.3623935000, 1.0675513000", \ - "0.0752296000, 0.0825200000, 0.1020722000, 0.1471211000, 0.2308494000, 0.4366244000, 1.1390431000", \ - "0.1148712000, 0.1259255000, 0.1571951000, 0.2283072000, 0.3647897000, 0.6091102000, 1.3108880000", \ - "0.1824524000, 0.1992357000, 0.2455475000, 0.3569380000, 0.5790946000, 0.9704909000, 1.7110561000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017044500, 0.0058103100, 0.0198068000, 0.0675194000, 0.2301670000, 0.7846170000"); - values("0.0092860000, 0.0115755000, 0.0190915000, 0.0449754000, 0.1336259000, 0.4324962000, 1.4562428000", \ - "0.0095676000, 0.0115506000, 0.0190392000, 0.0448336000, 0.1327545000, 0.4347207000, 1.4528928000", \ - "0.0151296000, 0.0171970000, 0.0226978000, 0.0454950000, 0.1338016000, 0.4321677000, 1.4534302000", \ - "0.0252893000, 0.0283466000, 0.0366265000, 0.0574582000, 0.1341269000, 0.4391150000, 1.4703992000", \ - "0.0428790000, 0.0476337000, 0.0606862000, 0.0909183000, 0.1582172000, 0.4377077000, 1.4697294000", \ - "0.0734596000, 0.0813534000, 0.1017076000, 0.1483969000, 0.2420880000, 0.4710340000, 1.4666476000", \ - "0.1303158000, 0.1403746000, 0.1718475000, 0.2446308000, 0.3899860000, 0.6643567000, 1.5030679000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017044500, 0.0058103100, 0.0198068000, 0.0675194000, 0.2301670000, 0.7846170000"); - values("0.0096732000, 0.0118904000, 0.0194291000, 0.0453326000, 0.1325172000, 0.4328923000, 1.4567056000", \ - "0.0101322000, 0.0120655000, 0.0194835000, 0.0452297000, 0.1333029000, 0.4322318000, 1.4570806000", \ - "0.0170402000, 0.0186787000, 0.0233784000, 0.0456020000, 0.1333430000, 0.4314936000, 1.4466766000", \ - "0.0282002000, 0.0308114000, 0.0382711000, 0.0572432000, 0.1332605000, 0.4333672000, 1.4544808000", \ - "0.0481773000, 0.0527266000, 0.0642888000, 0.0923020000, 0.1541309000, 0.4304841000, 1.4467153000", \ - "0.0819002000, 0.0889293000, 0.1082921000, 0.1519352000, 0.2363468000, 0.4581813000, 1.4500590000", \ - "0.1417728000, 0.1523887000, 0.1861903000, 0.2540771000, 0.3897232000, 0.6411242000, 1.4729566000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_clkinvkapwr_8") { - leakage_power () { - value : 0.0094544000; - when : "A"; - } - leakage_power () { - value : 0.0043300000; - when : "!A"; - } - always_on : "true"; - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__clkinvkapwr"; - cell_leakage_power : 0.0068922350; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0203390000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0190330000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - rise_capacitance : 0.0216450000; - } - pin ("Y") { - direction : "output"; - function : "(!A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0018825900, 0.0070882870, 0.0266886700, 0.1004876000, 0.3783539000, 1.4245700000"); - values("-0.022081500, -0.023711000, -0.030545000, -0.060078800, -0.178526100, -0.628268900, -2.322397300", \ - "-0.024801000, -0.026595800, -0.033441600, -0.062085500, -0.179256800, -0.628564600, -2.323251200", \ - "-0.027059900, -0.028831100, -0.036298400, -0.064973700, -0.180766500, -0.629102800, -2.323407700", \ - "-0.027991300, -0.030018100, -0.037867100, -0.067632800, -0.183120500, -0.630069900, -2.323523500", \ - "-0.025714800, -0.027956000, -0.036416400, -0.068691200, -0.185298200, -0.631458500, -2.323682900", \ - "-0.020416100, -0.022925000, -0.032658000, -0.065251600, -0.185987300, -0.633412600, -2.325122700", \ - "-0.004877200, -0.007421800, -0.020389800, -0.055800600, -0.179490500, -0.632522000, -2.325557100"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0018825900, 0.0070882870, 0.0266886700, 0.1004876000, 0.3783539000, 1.4245700000"); - values("0.0633334000, 0.0662089000, 0.0762066000, 0.1094801000, 0.2290858000, 0.6719642000, 2.3539577000", \ - "0.0620795000, 0.0647239000, 0.0743751000, 0.1081931000, 0.2284264000, 0.6721732000, 2.3426430000", \ - "0.0614100000, 0.0638451000, 0.0729869000, 0.1062608000, 0.2269629000, 0.6745931000, 2.3412151000", \ - "0.0615316000, 0.0638533000, 0.0723942000, 0.1046516000, 0.2242668000, 0.6724300000, 2.3519385000", \ - "0.0634681000, 0.0654705000, 0.0736780000, 0.1050393000, 0.2236238000, 0.6699369000, 2.3493622000", \ - "0.0652564000, 0.0672612000, 0.0749300000, 0.1048718000, 0.2253579000, 0.6679996000, 2.3452486000", \ - "0.0796299000, 0.0811616000, 0.0878826000, 0.1163692000, 0.2293606000, 0.6735835000, 2.3441046000"); - } - } - max_capacitance : 1.4245700000; - max_transition : 1.4975290000; - power_down_function : "(!KAPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018825900, 0.0070882900, 0.0266887000, 0.1004880000, 0.3783540000, 1.4245700000"); - values("0.0194371000, 0.0207884000, 0.0254488000, 0.0411578000, 0.0961184000, 0.3013675000, 1.0768618000", \ - "0.0231533000, 0.0244444000, 0.0290811000, 0.0452818000, 0.1004676000, 0.3075666000, 1.0763989000", \ - "0.0306570000, 0.0324502000, 0.0384030000, 0.0552250000, 0.1115428000, 0.3167251000, 1.0877312000", \ - "0.0392520000, 0.0420869000, 0.0513641000, 0.0766408000, 0.1363640000, 0.3433898000, 1.1139356000", \ - "0.0465814000, 0.0509822000, 0.0653124000, 0.1043565000, 0.1914433000, 0.4014769000, 1.1767786000", \ - "0.0455983000, 0.0523282000, 0.0742575000, 0.1347046000, 0.2697183000, 0.5418798000, 1.3111925000", \ - "0.0189856000, 0.0286479000, 0.0616196000, 0.1524281000, 0.3620259000, 0.7817139000, 1.6332131000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018825900, 0.0070882900, 0.0266887000, 0.1004880000, 0.3783540000, 1.4245700000"); - values("0.0171969000, 0.0184510000, 0.0227580000, 0.0372353000, 0.0879004000, 0.2750790000, 0.9825432000", \ - "0.0229673000, 0.0241188000, 0.0282702000, 0.0425161000, 0.0936514000, 0.2809795000, 0.9925919000", \ - "0.0341136000, 0.0358999000, 0.0414277000, 0.0561734000, 0.1070440000, 0.2963655000, 1.0005976000", \ - "0.0507562000, 0.0536299000, 0.0625263000, 0.0857169000, 0.1390317000, 0.3273898000, 1.0360698000", \ - "0.0765883000, 0.0811762000, 0.0958401000, 0.1336106000, 0.2121491000, 0.4028477000, 1.1087901000", \ - "0.1186034000, 0.1256690000, 0.1483116000, 0.2087546000, 0.3371490000, 0.5760867000, 1.2829199000", \ - "0.1926338000, 0.2024886000, 0.2361291000, 0.3292292000, 0.5355268000, 0.9220743000, 1.6831847000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018825900, 0.0070882900, 0.0266887000, 0.1004880000, 0.3783540000, 1.4245700000"); - values("0.0093945000, 0.0107982000, 0.0159857000, 0.0358328000, 0.1109081000, 0.3941220000, 1.4602687000", \ - "0.0095650000, 0.0108494000, 0.0160140000, 0.0359480000, 0.1109203000, 0.3948858000, 1.4572307000", \ - "0.0150115000, 0.0163768000, 0.0206456000, 0.0370188000, 0.1111785000, 0.3952005000, 1.4576464000", \ - "0.0250023000, 0.0269179000, 0.0331691000, 0.0510293000, 0.1134118000, 0.3932518000, 1.4585272000", \ - "0.0426768000, 0.0457546000, 0.0554146000, 0.0813336000, 0.1428259000, 0.3945846000, 1.4633078000", \ - "0.0730827000, 0.0778227000, 0.0924199000, 0.1326328000, 0.2224115000, 0.4396250000, 1.4605402000", \ - "0.1284171000, 0.1360226000, 0.1585892000, 0.2197291000, 0.3568676000, 0.6325549000, 1.4975294000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0018825900, 0.0070882900, 0.0266887000, 0.1004880000, 0.3783540000, 1.4245700000"); - values("0.0094415000, 0.0107665000, 0.0159359000, 0.0354194000, 0.1089663000, 0.3843392000, 1.4298891000", \ - "0.0098716000, 0.0110438000, 0.0159836000, 0.0354793000, 0.1087899000, 0.3844154000, 1.4241727000", \ - "0.0167201000, 0.0178347000, 0.0208408000, 0.0363169000, 0.1088108000, 0.3850114000, 1.4226782000", \ - "0.0275710000, 0.0292442000, 0.0345698000, 0.0496871000, 0.1099616000, 0.3838287000, 1.4266837000", \ - "0.0477960000, 0.0503067000, 0.0586340000, 0.0811577000, 0.1359035000, 0.3837974000, 1.4257850000", \ - "0.0807835000, 0.0854963000, 0.0991053000, 0.1357758000, 0.2142764000, 0.4195403000, 1.4248770000", \ - "0.1415403000, 0.1488555000, 0.1683489000, 0.2289422000, 0.3547744000, 0.5967419000, 1.4461506000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_decapkapwr_12") { - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__decap"; - cell_leakage_power : 0.0032400940; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - } - - cell ("sky130_fd_sc_hd__lpflow_decapkapwr_3") { - area : 3.7536000000; - cell_footprint : "sky130_fd_sc_hd__decap"; - cell_leakage_power : 0.0032400370; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - } - - cell ("sky130_fd_sc_hd__lpflow_decapkapwr_4") { - area : 5.0048000000; - cell_footprint : "sky130_fd_sc_hd__decap"; - cell_leakage_power : 0.0032400440; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - } - - cell ("sky130_fd_sc_hd__lpflow_decapkapwr_6") { - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__decap"; - cell_leakage_power : 0.0032400560; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - } - - cell ("sky130_fd_sc_hd__lpflow_decapkapwr_8") { - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__decap"; - cell_leakage_power : 0.0032400690; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - } - - cell ("sky130_fd_sc_hd__lpflow_inputiso0n_1") { - leakage_power () { - value : 0.0031700000; - when : "!SLEEP_B&A"; - } - leakage_power () { - value : 0.0028440000; - when : "!SLEEP_B&!A"; - } - leakage_power () { - value : 0.0014741000; - when : "SLEEP_B&A"; - } - leakage_power () { - value : 0.0031719000; - when : "SLEEP_B&!A"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__inputiso0n"; - cell_leakage_power : 0.0026650060; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_isolation_cell : "true"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014310000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025379000, 0.0025400000, 0.0025448000, 0.0025448000, 0.0025447000, 0.0025445000, 0.0025440000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001956100, -0.001957000, -0.001959300, -0.001955200, -0.001945900, -0.001924400, -0.001874800"); - } - } - isolation_cell_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014920000; - } - pin ("SLEEP_B") { - capacitance : 0.0014960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014310000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022875000, 0.0022876000, 0.0022879000, 0.0022886000, 0.0022901000, 0.0022938000, 0.0023021000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002284200, -0.002283900, -0.002283100, -0.002283200, -0.002283500, -0.002284000, -0.002285200"); - } - } - isolation_cell_enable_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015600000; - } - pin ("X") { - direction : "output"; - function : "(SLEEP_B&A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0085240000, 0.0074664000, 0.0046369000, -0.003676900, -0.026596400, -0.087127000, -0.245422400", \ - "0.0083931000, 0.0073403000, 0.0045021000, -0.003805000, -0.026716700, -0.087233700, -0.245554800", \ - "0.0082197000, 0.0071245000, 0.0042612000, -0.004033200, -0.026937400, -0.087455000, -0.245748300", \ - "0.0079991000, 0.0069151000, 0.0040167000, -0.004303500, -0.027186600, -0.087673800, -0.245952000", \ - "0.0080176000, 0.0068765000, 0.0039774000, -0.004402800, -0.027255800, -0.087711400, -0.245954800", \ - "0.0088907000, 0.0075031000, 0.0041860000, -0.004514700, -0.027130700, -0.087510900, -0.245699200", \ - "0.0097210000, 0.0083623000, 0.0048614000, -0.003959300, -0.026952200, -0.087195000, -0.245292000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0094704000, 0.0108522000, 0.0143551000, 0.0232112000, 0.0462251000, 0.1062811000, 0.2614212000", \ - "0.0093983000, 0.0107825000, 0.0142948000, 0.0232034000, 0.0462224000, 0.1062042000, 0.2627313000", \ - "0.0092865000, 0.0106624000, 0.0141663000, 0.0231015000, 0.0461635000, 0.1061495000, 0.2630470000", \ - "0.0091534000, 0.0105032000, 0.0139926000, 0.0228474000, 0.0459524000, 0.1059391000, 0.2624917000", \ - "0.0090617000, 0.0104091000, 0.0138378000, 0.0227020000, 0.0458110000, 0.1059986000, 0.2611088000", \ - "0.0093870000, 0.0107110000, 0.0141771000, 0.0227496000, 0.0458959000, 0.1060684000, 0.2626684000", \ - "0.0102133000, 0.0114561000, 0.0148248000, 0.0237647000, 0.0465589000, 0.1068110000, 0.2629192000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0101951000, 0.0090964000, 0.0061835000, -0.002195800, -0.025150600, -0.085714800, -0.243986000", \ - "0.0100591000, 0.0089721000, 0.0060136000, -0.002323200, -0.025284600, -0.085834800, -0.244153700", \ - "0.0099392000, 0.0088303000, 0.0058713000, -0.002460900, -0.025428100, -0.085962400, -0.244240000", \ - "0.0097425000, 0.0086176000, 0.0056732000, -0.002675700, -0.025604700, -0.086115800, -0.244393500", \ - "0.0096279000, 0.0084970000, 0.0055326000, -0.002829200, -0.025731000, -0.086200000, -0.244446800", \ - "0.0101414000, 0.0088554000, 0.0057637000, -0.002614100, -0.025418700, -0.085861200, -0.244071200", \ - "0.0115284000, 0.0101688000, 0.0071464000, -0.002204000, -0.025332000, -0.085656700, -0.243846800"); - } - related_pin : "SLEEP_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013054670, 0.0034084860, 0.0088993300, 0.0232355600, 0.0606665000, 0.1583962000"); - values("0.0099540000, 0.0113288000, 0.0148601000, 0.0237230000, 0.0466245000, 0.1064193000, 0.2628997000", \ - "0.0099071000, 0.0112947000, 0.0147963000, 0.0235943000, 0.0465181000, 0.1070239000, 0.2636375000", \ - "0.0097941000, 0.0111693000, 0.0146685000, 0.0235634000, 0.0465247000, 0.1069565000, 0.2629234000", \ - "0.0096171000, 0.0109888000, 0.0144687000, 0.0233895000, 0.0463804000, 0.1063675000, 0.2643670000", \ - "0.0095336000, 0.0108720000, 0.0143180000, 0.0231603000, 0.0462240000, 0.1062808000, 0.2632289000", \ - "0.0097039000, 0.0110450000, 0.0144742000, 0.0232250000, 0.0463406000, 0.1059751000, 0.2629322000", \ - "0.0100696000, 0.0112933000, 0.0147459000, 0.0236581000, 0.0468086000, 0.1071174000, 0.2634866000"); - } - } - max_capacitance : 0.1583960000; - max_transition : 1.5104930000; - power_down_function : "(!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.1031383000, 0.1090369000, 0.1213519000, 0.1458819000, 0.1983965000, 0.3245319000, 0.6507022000", \ - "0.1078665000, 0.1139983000, 0.1263610000, 0.1508638000, 0.2034434000, 0.3294200000, 0.6551888000", \ - "0.1206382000, 0.1264383000, 0.1387166000, 0.1632862000, 0.2158505000, 0.3420344000, 0.6674351000", \ - "0.1518939000, 0.1576136000, 0.1699008000, 0.1946328000, 0.2471551000, 0.3730970000, 0.6997410000", \ - "0.2217431000, 0.2278479000, 0.2405078000, 0.2657816000, 0.3188938000, 0.4447553000, 0.7715348000", \ - "0.3381809000, 0.3460206000, 0.3619098000, 0.3912823000, 0.4477396000, 0.5756008000, 0.8998989000", \ - "0.5209776000, 0.5311577000, 0.5518847000, 0.5890423000, 0.6537268000, 0.7846471000, 1.1087463000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0794242000, 0.0864667000, 0.1026603000, 0.1403230000, 0.2350631000, 0.4803423000, 1.1196789000", \ - "0.0835845000, 0.0906672000, 0.1068781000, 0.1445428000, 0.2394144000, 0.4849028000, 1.1245087000", \ - "0.0941941000, 0.1012077000, 0.1174051000, 0.1552419000, 0.2499132000, 0.4948990000, 1.1391017000", \ - "0.1176439000, 0.1247226000, 0.1408894000, 0.1787277000, 0.2738498000, 0.5216426000, 1.1591080000", \ - "0.1531869000, 0.1607167000, 0.1775795000, 0.2162935000, 0.3111585000, 0.5577310000, 1.1975008000", \ - "0.1957092000, 0.2050777000, 0.2236305000, 0.2628502000, 0.3577440000, 0.6035356000, 1.2459085000", \ - "0.2281206000, 0.2408570000, 0.2647271000, 0.3094159000, 0.4035527000, 0.6494337000, 1.2897596000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0234153000, 0.0276024000, 0.0374098000, 0.0604597000, 0.1196515000, 0.2827106000, 0.7157019000", \ - "0.0233323000, 0.0278020000, 0.0374386000, 0.0605734000, 0.1193361000, 0.2823191000, 0.7152689000", \ - "0.0236597000, 0.0276025000, 0.0373997000, 0.0604277000, 0.1196194000, 0.2826888000, 0.7158011000", \ - "0.0234196000, 0.0278806000, 0.0376073000, 0.0605204000, 0.1195282000, 0.2822352000, 0.7153240000", \ - "0.0272264000, 0.0310681000, 0.0402238000, 0.0623276000, 0.1203398000, 0.2819205000, 0.7129985000", \ - "0.0383181000, 0.0427394000, 0.0521954000, 0.0735420000, 0.1279992000, 0.2841739000, 0.7151715000", \ - "0.0565871000, 0.0622415000, 0.0731304000, 0.0952605000, 0.1458810000, 0.2922328000, 0.7139613000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0273098000, 0.0343713000, 0.0528321000, 0.1021536000, 0.2350815000, 0.5873246000, 1.4968455000", \ - "0.0273840000, 0.0345314000, 0.0528703000, 0.1020276000, 0.2352878000, 0.5844607000, 1.5043444000", \ - "0.0272861000, 0.0344569000, 0.0528450000, 0.1020791000, 0.2354850000, 0.5860426000, 1.5063638000", \ - "0.0281736000, 0.0351018000, 0.0533746000, 0.1020422000, 0.2353452000, 0.5880494000, 1.4962932000", \ - "0.0322034000, 0.0387938000, 0.0565736000, 0.1041765000, 0.2354808000, 0.5855712000, 1.5004152000", \ - "0.0416308000, 0.0483148000, 0.0637518000, 0.1082114000, 0.2369543000, 0.5852857000, 1.5016702000", \ - "0.0592551000, 0.0662234000, 0.0807632000, 0.1200596000, 0.2402845000, 0.5869897000, 1.4960598000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.1209156000, 0.1267669000, 0.1392474000, 0.1641813000, 0.2170752000, 0.3433146000, 0.6697209000", \ - "0.1256830000, 0.1315514000, 0.1440896000, 0.1689204000, 0.2217300000, 0.3480779000, 0.6741255000", \ - "0.1389034000, 0.1447728000, 0.1571298000, 0.1822106000, 0.2351275000, 0.3612713000, 0.6883352000", \ - "0.1707242000, 0.1765896000, 0.1891511000, 0.2141875000, 0.2671949000, 0.3935670000, 0.7206127000", \ - "0.2458760000, 0.2518757000, 0.2643657000, 0.2895802000, 0.3426674000, 0.4691481000, 0.7961913000", \ - "0.3811535000, 0.3887241000, 0.4037608000, 0.4324255000, 0.4886735000, 0.6161632000, 0.9428820000", \ - "0.5985440000, 0.6084658000, 0.6283749000, 0.6649080000, 0.7281128000, 0.8587321000, 1.1855078000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0850944000, 0.0921667000, 0.1083601000, 0.1458898000, 0.2402782000, 0.4848659000, 1.1236686000", \ - "0.0895209000, 0.0965853000, 0.1127460000, 0.1502857000, 0.2446479000, 0.4893743000, 1.1342738000", \ - "0.0986120000, 0.1056416000, 0.1217442000, 0.1594235000, 0.2536776000, 0.4999385000, 1.1385588000", \ - "0.1181221000, 0.1252344000, 0.1413930000, 0.1790957000, 0.2739872000, 0.5188981000, 1.1647858000", \ - "0.1507703000, 0.1583374000, 0.1753158000, 0.2136513000, 0.3088211000, 0.5540685000, 1.1962887000", \ - "0.1919068000, 0.2007971000, 0.2194776000, 0.2593621000, 0.3543002000, 0.6003611000, 1.2398564000", \ - "0.2215551000, 0.2331939000, 0.2570880000, 0.3014003000, 0.3978440000, 0.6441577000, 1.2831414000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0247853000, 0.0292438000, 0.0387901000, 0.0620392000, 0.1209422000, 0.2836178000, 0.7178857000", \ - "0.0248114000, 0.0293590000, 0.0386992000, 0.0620453000, 0.1208171000, 0.2830563000, 0.7159824000", \ - "0.0251101000, 0.0293904000, 0.0389104000, 0.0620770000, 0.1207057000, 0.2830010000, 0.7188303000", \ - "0.0248417000, 0.0293683000, 0.0387121000, 0.0619855000, 0.1210290000, 0.2832596000, 0.7174237000", \ - "0.0263278000, 0.0301791000, 0.0395620000, 0.0626238000, 0.1210035000, 0.2826644000, 0.7209016000", \ - "0.0372131000, 0.0416577000, 0.0506625000, 0.0722733000, 0.1267676000, 0.2838049000, 0.7191795000", \ - "0.0552276000, 0.0607121000, 0.0714424000, 0.0921616000, 0.1430103000, 0.2911559000, 0.7147608000"); - } - related_pin : "SLEEP_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013054700, 0.0034084900, 0.0088993300, 0.0232356000, 0.0606665000, 0.1583960000"); - values("0.0273552000, 0.0344671000, 0.0528882000, 0.1019307000, 0.2352126000, 0.5862400000, 1.5035762000", \ - "0.0273356000, 0.0344320000, 0.0528311000, 0.1021694000, 0.2347287000, 0.5867600000, 1.5065106000", \ - "0.0274144000, 0.0344779000, 0.0527378000, 0.1020965000, 0.2352505000, 0.5875913000, 1.5000867000", \ - "0.0280319000, 0.0350671000, 0.0532333000, 0.1021433000, 0.2352442000, 0.5851101000, 1.5104931000", \ - "0.0312514000, 0.0380700000, 0.0560639000, 0.1036146000, 0.2350764000, 0.5868111000, 1.5016938000", \ - "0.0386276000, 0.0457767000, 0.0620114000, 0.1072882000, 0.2371053000, 0.5845895000, 1.5012384000", \ - "0.0545211000, 0.0615401000, 0.0773975000, 0.1178962000, 0.2399373000, 0.5878344000, 1.4954710000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_inputiso0p_1") { - leakage_power () { - value : 0.0011725000; - when : "!SLEEP&A"; - } - leakage_power () { - value : 0.0064238000; - when : "!SLEEP&!A"; - } - leakage_power () { - value : 0.0069574000; - when : "SLEEP&A"; - } - leakage_power () { - value : 0.0066454000; - when : "SLEEP&!A"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__inputiso0p"; - cell_leakage_power : 0.0052998180; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_isolation_cell : "true"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0016410000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027312000, 0.0027277000, 0.0027197000, 0.0027198000, 0.0027198000, 0.0027200000, 0.0027203000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002728500, -0.002722700, -0.002709400, -0.002709600, -0.002710100, -0.002711200, -0.002713800"); - } - } - isolation_cell_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017100000; - } - pin ("SLEEP") { - capacitance : 0.0015580000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014960000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0064953000, 0.0063858000, 0.0061335000, 0.0061711000, 0.0062576000, 0.0064572000, 0.0069170000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022895000, 0.0022114000, 0.0020313000, 0.0020622000, 0.0021334000, 0.0022975000, 0.0026757000"); - } - } - isolation_cell_enable_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016200000; - } - pin ("X") { - direction : "output"; - function : "(!SLEEP&A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0079535000, 0.0068127000, 0.0037296000, -0.005028500, -0.029246400, -0.093751500, -0.264195900", \ - "0.0078302000, 0.0066852000, 0.0036332000, -0.005133400, -0.029355600, -0.093849300, -0.264271400", \ - "0.0076646000, 0.0065152000, 0.0034400000, -0.005323900, -0.029505300, -0.094004600, -0.264458400", \ - "0.0075132000, 0.0063450000, 0.0032648000, -0.005498800, -0.029690700, -0.094144300, -0.264577000", \ - "0.0075533000, 0.0063529000, 0.0032511000, -0.005557400, -0.029729100, -0.094161200, -0.264560000", \ - "0.0079112000, 0.0065782000, 0.0030516000, -0.005624900, -0.029706400, -0.094081200, -0.264467000", \ - "0.0090901000, 0.0077078000, 0.0040932000, -0.005091400, -0.029483800, -0.093712200, -0.264085800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0110563000, 0.0125044000, 0.0161606000, 0.0253488000, 0.0494525000, 0.1132007000, 0.2816314000", \ - "0.0109835000, 0.0124374000, 0.0160377000, 0.0253371000, 0.0494307000, 0.1138509000, 0.2834915000", \ - "0.0108426000, 0.0122828000, 0.0159265000, 0.0251935000, 0.0493201000, 0.1131013000, 0.2831438000", \ - "0.0106853000, 0.0120959000, 0.0157381000, 0.0250403000, 0.0492392000, 0.1130062000, 0.2820709000", \ - "0.0106267000, 0.0119908000, 0.0155867000, 0.0248663000, 0.0490749000, 0.1136625000, 0.2830712000", \ - "0.0109476000, 0.0122623000, 0.0157790000, 0.0248220000, 0.0491127000, 0.1131103000, 0.2814059000", \ - "0.0113153000, 0.0126001000, 0.0161412000, 0.0253813000, 0.0496870000, 0.1136278000, 0.2821418000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0074935000, 0.0063767000, 0.0034907000, -0.005056500, -0.029153200, -0.093596400, -0.263855300", \ - "0.0074615000, 0.0063473000, 0.0034617000, -0.005088800, -0.029187500, -0.093631300, -0.264031400", \ - "0.0074080000, 0.0063151000, 0.0034000000, -0.005160700, -0.029252100, -0.093707900, -0.264134800", \ - "0.0070621000, 0.0059710000, 0.0030545000, -0.005501000, -0.029594100, -0.094028400, -0.264467200", \ - "0.0067670000, 0.0056730000, 0.0027625000, -0.005808100, -0.029885500, -0.094320200, -0.264753400", \ - "0.0077758000, 0.0066284000, 0.0032824000, -0.005677700, -0.029961900, -0.094385000, -0.264800500", \ - "0.0080097000, 0.0067373000, 0.0033607000, -0.005765000, -0.029866000, -0.094216000, -0.264709000"); - } - related_pin : "SLEEP"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0107229000, 0.0121567000, 0.0157871000, 0.0250888000, 0.0492976000, 0.1130790000, 0.2833514000", \ - "0.0106409000, 0.0120710000, 0.0156960000, 0.0250075000, 0.0492152000, 0.1136795000, 0.2834403000", \ - "0.0107082000, 0.0121188000, 0.0157694000, 0.0250929000, 0.0493041000, 0.1138411000, 0.2817097000", \ - "0.0105537000, 0.0119603000, 0.0156124000, 0.0249333000, 0.0491499000, 0.1136807000, 0.2814867000", \ - "0.0103154000, 0.0117464000, 0.0154094000, 0.0246977000, 0.0488982000, 0.1134589000, 0.2815859000", \ - "0.0102670000, 0.0116193000, 0.0151769000, 0.0246308000, 0.0487984000, 0.1125745000, 0.2815379000", \ - "0.0104464000, 0.0118109000, 0.0153750000, 0.0246923000, 0.0486490000, 0.1129486000, 0.2819707000"); - } - } - max_capacitance : 0.1693830000; - max_transition : 1.5054720000; - power_down_function : "(!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.1199863000, 0.1265781000, 0.1400131000, 0.1666531000, 0.2224236000, 0.3570231000, 0.7099723000", \ - "0.1250548000, 0.1316339000, 0.1452184000, 0.1716032000, 0.2274099000, 0.3621982000, 0.7145364000", \ - "0.1379036000, 0.1444814000, 0.1580019000, 0.1845344000, 0.2403661000, 0.3751293000, 0.7278572000", \ - "0.1694781000, 0.1760865000, 0.1896301000, 0.2161382000, 0.2720285000, 0.4068058000, 0.7591652000", \ - "0.2458634000, 0.2524315000, 0.2658675000, 0.2925810000, 0.3485419000, 0.4834041000, 0.8373479000", \ - "0.3838306000, 0.3921876000, 0.4088603000, 0.4390783000, 0.4983279000, 0.6343951000, 0.9872323000", \ - "0.6065288000, 0.6179148000, 0.6395095000, 0.6781659000, 0.7451771000, 0.8840089000, 1.2363512000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0838781000, 0.0911042000, 0.1072763000, 0.1442256000, 0.2366408000, 0.4805009000, 1.1243945000", \ - "0.0882505000, 0.0954667000, 0.1115282000, 0.1487114000, 0.2411155000, 0.4848837000, 1.1286794000", \ - "0.0967619000, 0.1039781000, 0.1201182000, 0.1572448000, 0.2501456000, 0.4934158000, 1.1372914000", \ - "0.1150863000, 0.1223202000, 0.1385309000, 0.1757465000, 0.2687521000, 0.5120033000, 1.1563656000", \ - "0.1460576000, 0.1538838000, 0.1709790000, 0.2088468000, 0.3023193000, 0.5465656000, 1.1936251000", \ - "0.1858267000, 0.1949655000, 0.2141958000, 0.2538680000, 0.3476875000, 0.5917902000, 1.2352768000", \ - "0.2123323000, 0.2249135000, 0.2497402000, 0.2948591000, 0.3904713000, 0.6353933000, 1.2774820000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0234037000, 0.0278373000, 0.0380796000, 0.0620759000, 0.1241979000, 0.2967690000, 0.7654454000", \ - "0.0236030000, 0.0279404000, 0.0381719000, 0.0621983000, 0.1240991000, 0.2979788000, 0.7661394000", \ - "0.0234166000, 0.0277736000, 0.0379419000, 0.0621270000, 0.1239001000, 0.2991705000, 0.7670851000", \ - "0.0237789000, 0.0280063000, 0.0381488000, 0.0621028000, 0.1242366000, 0.2974057000, 0.7646313000", \ - "0.0246207000, 0.0288136000, 0.0387680000, 0.0626422000, 0.1240993000, 0.2971583000, 0.7674734000", \ - "0.0349228000, 0.0397025000, 0.0493984000, 0.0722056000, 0.1296210000, 0.2985638000, 0.7692271000", \ - "0.0518292000, 0.0580550000, 0.0698601000, 0.0927064000, 0.1459282000, 0.3053101000, 0.7645866000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0237516000, 0.0306288000, 0.0484043000, 0.0960915000, 0.2264680000, 0.5761326000, 1.4949072000", \ - "0.0237342000, 0.0306288000, 0.0484193000, 0.0960477000, 0.2265569000, 0.5785052000, 1.5040148000", \ - "0.0237889000, 0.0306684000, 0.0483784000, 0.0960552000, 0.2265904000, 0.5765163000, 1.5020080000", \ - "0.0243544000, 0.0312152000, 0.0486778000, 0.0960202000, 0.2264808000, 0.5765746000, 1.5013124000", \ - "0.0273969000, 0.0342241000, 0.0515200000, 0.0979892000, 0.2265500000, 0.5788929000, 1.5054720000", \ - "0.0349308000, 0.0413823000, 0.0576584000, 0.1019669000, 0.2287239000, 0.5751755000, 1.5006382000", \ - "0.0493190000, 0.0568586000, 0.0746315000, 0.1130123000, 0.2315859000, 0.5774929000, 1.4931757000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.1392650000, 0.1458357000, 0.1593139000, 0.1860438000, 0.2419807000, 0.3768078000, 0.7286305000", \ - "0.1439327000, 0.1504916000, 0.1639517000, 0.1906770000, 0.2466204000, 0.3814537000, 0.7333245000", \ - "0.1545366000, 0.1611028000, 0.1746691000, 0.2012972000, 0.2572374000, 0.3919094000, 0.7436498000", \ - "0.1746736000, 0.1812186000, 0.1947530000, 0.2214205000, 0.2773547000, 0.4121894000, 0.7641930000", \ - "0.2036706000, 0.2102273000, 0.2238358000, 0.2504734000, 0.3064524000, 0.4414209000, 0.7934335000", \ - "0.2392959000, 0.2455047000, 0.2592673000, 0.2861039000, 0.3422300000, 0.4769604000, 0.8288117000", \ - "0.2733526000, 0.2799868000, 0.2935377000, 0.3203983000, 0.3766646000, 0.5115428000, 0.8643506000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.1492003000, 0.1564235000, 0.1725819000, 0.2099364000, 0.3030909000, 0.5486901000, 1.1914337000", \ - "0.1536454000, 0.1609205000, 0.1770845000, 0.2142684000, 0.3072854000, 0.5510123000, 1.1956970000", \ - "0.1664387000, 0.1736225000, 0.1899007000, 0.2272088000, 0.3200731000, 0.5638116000, 1.2061662000", \ - "0.1981163000, 0.2052915000, 0.2215710000, 0.2588745000, 0.3518001000, 0.5950800000, 1.2392886000", \ - "0.2639613000, 0.2712435000, 0.2875205000, 0.3248054000, 0.4179941000, 0.6613859000, 1.3083492000", \ - "0.3708452000, 0.3782895000, 0.3947194000, 0.4321646000, 0.5255128000, 0.7693361000, 1.4148568000", \ - "0.5401635000, 0.5480243000, 0.5649956000, 0.6030036000, 0.6965747000, 0.9404294000, 1.5831167000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0229365000, 0.0271649000, 0.0375263000, 0.0616576000, 0.1235068000, 0.2968437000, 0.7630824000", \ - "0.0229216000, 0.0274942000, 0.0375277000, 0.0616572000, 0.1235014000, 0.2969376000, 0.7718066000", \ - "0.0229698000, 0.0270342000, 0.0374335000, 0.0618211000, 0.1237793000, 0.2987758000, 0.7640988000", \ - "0.0227934000, 0.0271371000, 0.0375491000, 0.0616255000, 0.1237057000, 0.2969390000, 0.7695316000", \ - "0.0228061000, 0.0273095000, 0.0374318000, 0.0617115000, 0.1238234000, 0.2988951000, 0.7665937000", \ - "0.0230600000, 0.0279017000, 0.0380791000, 0.0619374000, 0.1239544000, 0.2943655000, 0.7700216000", \ - "0.0238065000, 0.0281861000, 0.0389182000, 0.0624980000, 0.1242564000, 0.2972474000, 0.7656155000"); - } - related_pin : "SLEEP"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0241662000, 0.0310191000, 0.0485768000, 0.0960339000, 0.2266130000, 0.5766232000, 1.5031136000", \ - "0.0240961000, 0.0309602000, 0.0487198000, 0.0961521000, 0.2262074000, 0.5774111000, 1.5046057000", \ - "0.0241192000, 0.0310659000, 0.0486482000, 0.0960338000, 0.2265092000, 0.5784240000, 1.4968609000", \ - "0.0241428000, 0.0310903000, 0.0486816000, 0.0960221000, 0.2264206000, 0.5782594000, 1.4972334000", \ - "0.0245398000, 0.0313107000, 0.0488383000, 0.0962749000, 0.2264389000, 0.5780660000, 1.5047629000", \ - "0.0254376000, 0.0322740000, 0.0496234000, 0.0969410000, 0.2259456000, 0.5752397000, 1.5001612000", \ - "0.0281758000, 0.0347108000, 0.0514864000, 0.0978821000, 0.2269993000, 0.5736586000, 1.4927060000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_inputiso1n_1") { - leakage_power () { - value : 0.0091109000; - when : "!A&SLEEP_B"; - } - leakage_power () { - value : 0.0015600000; - when : "!A&!SLEEP_B"; - } - leakage_power () { - value : 0.0028829000; - when : "A&SLEEP_B"; - } - leakage_power () { - value : 0.0007476000; - when : "A&!SLEEP_B"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__inputiso1n"; - cell_leakage_power : 0.0035753310; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_isolation_cell : "true"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0017300000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016620000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030986000, 0.0030802000, 0.0030378000, 0.0030361000, 0.0030321000, 0.0030229000, 0.0030018000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002892600, -0.002921200, -0.002987000, -0.002988100, -0.002990500, -0.002996000, -0.003008700"); - } - } - isolation_cell_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017970000; - } - pin ("SLEEP_B") { - capacitance : 0.0014180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013680000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0072289000, 0.0071462000, 0.0069556000, 0.0070287000, 0.0071970000, 0.0075849000, 0.0084791000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0005812000, 0.0005066000, 0.0003346000, 0.0004029000, 0.0005605000, 0.0009238000, 0.0017612000"); - } - } - isolation_cell_enable_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014690000; - } - pin ("X") { - direction : "output"; - function : "(A) | (!SLEEP_B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0076180000, 0.0065644000, 0.0036783000, -0.004730600, -0.028640600, -0.093126300, -0.263501600", \ - "0.0075492000, 0.0064520000, 0.0035634000, -0.004807800, -0.028767000, -0.093274500, -0.263641300", \ - "0.0074577000, 0.0063172000, 0.0034369000, -0.004929500, -0.028893800, -0.093353500, -0.263852700", \ - "0.0072979000, 0.0061682000, 0.0032709000, -0.005111400, -0.029031000, -0.093479300, -0.263946500", \ - "0.0072299000, 0.0060920000, 0.0031856000, -0.005237800, -0.029134000, -0.093558500, -0.263981800", \ - "0.0075168000, 0.0061471000, 0.0028717000, -0.005319500, -0.029189100, -0.093540800, -0.263974500", \ - "0.0095286000, 0.0081187000, 0.0045382000, -0.004726700, -0.029101000, -0.093305800, -0.263689900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0095030000, 0.0109069000, 0.0144974000, 0.0237296000, 0.0478593000, 0.1115219000, 0.2813646000", \ - "0.0094728000, 0.0108774000, 0.0144655000, 0.0236944000, 0.0478711000, 0.1116195000, 0.2799064000", \ - "0.0094586000, 0.0108466000, 0.0144320000, 0.0236781000, 0.0478981000, 0.1116467000, 0.2799695000", \ - "0.0093251000, 0.0106589000, 0.0142070000, 0.0235141000, 0.0477664000, 0.1115009000, 0.2800344000", \ - "0.0093600000, 0.0106786000, 0.0140599000, 0.0233778000, 0.0475156000, 0.1114427000, 0.2796804000", \ - "0.0096198000, 0.0109857000, 0.0145118000, 0.0235838000, 0.0478353000, 0.1118081000, 0.2799276000", \ - "0.0102905000, 0.0116153000, 0.0150946000, 0.0240904000, 0.0485779000, 0.1126945000, 0.2808741000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0074098000, 0.0062858000, 0.0034083000, -0.004978000, -0.028847400, -0.093226900, -0.263622500", \ - "0.0073547000, 0.0063104000, 0.0033599000, -0.005000500, -0.028891200, -0.093270000, -0.263746600", \ - "0.0073624000, 0.0062445000, 0.0033665000, -0.005023800, -0.028915200, -0.093294900, -0.263726400", \ - "0.0070871000, 0.0059602000, 0.0030945000, -0.005270700, -0.029172500, -0.093563700, -0.263997900", \ - "0.0068940000, 0.0057571000, 0.0028711000, -0.005501000, -0.029389900, -0.093778900, -0.264197800", \ - "0.0086772000, 0.0073721000, 0.0039743000, -0.005152200, -0.029347300, -0.093736000, -0.264182500", \ - "0.0089561000, 0.0076160000, 0.0043330000, -0.004779600, -0.029089600, -0.093564700, -0.264022100"); - } - related_pin : "SLEEP_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0093548000, 0.0107950000, 0.0144553000, 0.0238651000, 0.0481652000, 0.1120690000, 0.2809578000", \ - "0.0092565000, 0.0107078000, 0.0144086000, 0.0238011000, 0.0481284000, 0.1121327000, 0.2807638000", \ - "0.0093118000, 0.0107609000, 0.0144095000, 0.0238243000, 0.0483840000, 0.1121537000, 0.2809352000", \ - "0.0091643000, 0.0105987000, 0.0142781000, 0.0236601000, 0.0480205000, 0.1118368000, 0.2808248000", \ - "0.0088637000, 0.0103019000, 0.0139771000, 0.0233688000, 0.0477459000, 0.1123822000, 0.2798486000", \ - "0.0086897000, 0.0100467000, 0.0136463000, 0.0231494000, 0.0475367000, 0.1112927000, 0.2818293000", \ - "0.0087999000, 0.0102002000, 0.0138217000, 0.0231717000, 0.0474091000, 0.1119591000, 0.2811932000"); - } - } - max_capacitance : 0.1693830000; - max_transition : 1.5052250000; - power_down_function : "(!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.1827175000, 0.1911866000, 0.2085506000, 0.2416795000, 0.3054609000, 0.4450723000, 0.7958804000", \ - "0.1863574000, 0.1948635000, 0.2122326000, 0.2452678000, 0.3091268000, 0.4486202000, 0.7996058000", \ - "0.1971171000, 0.2055551000, 0.2228775000, 0.2559461000, 0.3198181000, 0.4593202000, 0.8102953000", \ - "0.2228332000, 0.2311077000, 0.2486548000, 0.2816886000, 0.3456467000, 0.4850919000, 0.8362598000", \ - "0.2828147000, 0.2912530000, 0.3084875000, 0.3415973000, 0.4056804000, 0.5452236000, 0.8954111000", \ - "0.3989890000, 0.4084370000, 0.4275713000, 0.4635761000, 0.5308664000, 0.6720228000, 1.0227215000", \ - "0.5992223000, 0.6105448000, 0.6333423000, 0.6753255000, 0.7502746000, 0.8981512000, 1.2515036000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0578320000, 0.0638828000, 0.0782267000, 0.1137355000, 0.2062375000, 0.4506019000, 1.0957251000", \ - "0.0625460000, 0.0685856000, 0.0829339000, 0.1185949000, 0.2112872000, 0.4556407000, 1.1007854000", \ - "0.0739084000, 0.0798609000, 0.0942023000, 0.1299553000, 0.2227480000, 0.4671682000, 1.1123603000", \ - "0.0964967000, 0.1024277000, 0.1170532000, 0.1528964000, 0.2458775000, 0.4898994000, 1.1341750000", \ - "0.1272872000, 0.1338854000, 0.1491276000, 0.1850319000, 0.2781454000, 0.5226916000, 1.1666138000", \ - "0.1595451000, 0.1685168000, 0.1856449000, 0.2223179000, 0.3157267000, 0.5599682000, 1.2054252000", \ - "0.1726502000, 0.1843029000, 0.2073468000, 0.2476004000, 0.3405360000, 0.5854370000, 1.2294677000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0323800000, 0.0380363000, 0.0507963000, 0.0769428000, 0.1394173000, 0.3043676000, 0.7674248000", \ - "0.0325215000, 0.0380841000, 0.0506920000, 0.0764608000, 0.1393533000, 0.3047012000, 0.7674419000", \ - "0.0323191000, 0.0382675000, 0.0507411000, 0.0764162000, 0.1393972000, 0.3047077000, 0.7674262000", \ - "0.0327794000, 0.0380180000, 0.0502647000, 0.0765583000, 0.1391639000, 0.3045051000, 0.7686498000", \ - "0.0325755000, 0.0382426000, 0.0502809000, 0.0769086000, 0.1390998000, 0.3046123000, 0.7669475000", \ - "0.0388489000, 0.0447816000, 0.0575329000, 0.0839786000, 0.1440897000, 0.3072923000, 0.7672585000", \ - "0.0516863000, 0.0584792000, 0.0727906000, 0.1000341000, 0.1614214000, 0.3175368000, 0.7682240000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0189567000, 0.0256974000, 0.0438822000, 0.0934332000, 0.2254962000, 0.5753925000, 1.5003612000", \ - "0.0189016000, 0.0256782000, 0.0439069000, 0.0935912000, 0.2262504000, 0.5764313000, 1.5008208000", \ - "0.0189155000, 0.0256468000, 0.0438242000, 0.0935747000, 0.2262818000, 0.5764854000, 1.5012058000", \ - "0.0201868000, 0.0268045000, 0.0445116000, 0.0935837000, 0.2259902000, 0.5749565000, 1.4972388000", \ - "0.0241727000, 0.0302117000, 0.0467765000, 0.0944311000, 0.2259048000, 0.5749293000, 1.4962236000", \ - "0.0324831000, 0.0384576000, 0.0530740000, 0.0972637000, 0.2270195000, 0.5743536000, 1.5012053000", \ - "0.0461616000, 0.0531077000, 0.0684881000, 0.1070996000, 0.2288073000, 0.5794231000, 1.4968247000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.1855699000, 0.1940474000, 0.2114429000, 0.2446774000, 0.3084756000, 0.4482210000, 0.7990666000", \ - "0.1901692000, 0.1986433000, 0.2159866000, 0.2487961000, 0.3129121000, 0.4526511000, 0.8030037000", \ - "0.1999247000, 0.2084395000, 0.2258464000, 0.2590083000, 0.3228526000, 0.4625804000, 0.8134340000", \ - "0.2157333000, 0.2241877000, 0.2416480000, 0.2748325000, 0.3387490000, 0.4782040000, 0.8294266000", \ - "0.2378082000, 0.2461970000, 0.2635533000, 0.2967134000, 0.3605831000, 0.5001640000, 0.8507047000", \ - "0.2610976000, 0.2695559000, 0.2868423000, 0.3199851000, 0.3841904000, 0.5239105000, 0.8751936000", \ - "0.2749161000, 0.2834088000, 0.3007491000, 0.3339582000, 0.3982462000, 0.5380760000, 0.8890909000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.1143938000, 0.1205169000, 0.1351134000, 0.1713965000, 0.2646251000, 0.5120384000, 1.1565232000", \ - "0.1192435000, 0.1254001000, 0.1400493000, 0.1763133000, 0.2696009000, 0.5149999000, 1.1583901000", \ - "0.1317683000, 0.1379338000, 0.1524701000, 0.1887489000, 0.2821667000, 0.5275232000, 1.1747425000", \ - "0.1626610000, 0.1687685000, 0.1834178000, 0.2197681000, 0.3131095000, 0.5599241000, 1.2023558000", \ - "0.2212063000, 0.2273899000, 0.2420483000, 0.2781404000, 0.3714221000, 0.6166982000, 1.2722515000", \ - "0.3122213000, 0.3184332000, 0.3331819000, 0.3692582000, 0.4625626000, 0.7070304000, 1.3536171000", \ - "0.4553272000, 0.4621084000, 0.4771966000, 0.5136137000, 0.6069091000, 0.8520279000, 1.4960123000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0323302000, 0.0378943000, 0.0506948000, 0.0767050000, 0.1392018000, 0.3043340000, 0.7691875000", \ - "0.0323776000, 0.0382254000, 0.0506333000, 0.0770539000, 0.1393459000, 0.3043999000, 0.7670736000", \ - "0.0324982000, 0.0382359000, 0.0502082000, 0.0773185000, 0.1391087000, 0.3046761000, 0.7701900000", \ - "0.0327475000, 0.0384722000, 0.0502035000, 0.0772753000, 0.1388963000, 0.3043907000, 0.7680859000", \ - "0.0322880000, 0.0381690000, 0.0499745000, 0.0765653000, 0.1390371000, 0.3047242000, 0.7727575000", \ - "0.0326593000, 0.0385160000, 0.0509282000, 0.0764213000, 0.1393230000, 0.3038034000, 0.7693918000", \ - "0.0329987000, 0.0385869000, 0.0503368000, 0.0766206000, 0.1394895000, 0.3053660000, 0.7627707000"); - } - related_pin : "SLEEP_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0191016000, 0.0257904000, 0.0437899000, 0.0931943000, 0.2253136000, 0.5777535000, 1.5048444000", \ - "0.0191504000, 0.0258120000, 0.0438557000, 0.0933141000, 0.2253088000, 0.5772061000, 1.4995182000", \ - "0.0191371000, 0.0257920000, 0.0438041000, 0.0932245000, 0.2259144000, 0.5765231000, 1.5011506000", \ - "0.0191570000, 0.0257634000, 0.0438816000, 0.0932944000, 0.2257061000, 0.5777849000, 1.5049165000", \ - "0.0196569000, 0.0262558000, 0.0441719000, 0.0932970000, 0.2256878000, 0.5776513000, 1.5017404000", \ - "0.0207691000, 0.0272501000, 0.0447707000, 0.0934164000, 0.2254542000, 0.5743687000, 1.5052248000", \ - "0.0235383000, 0.0295345000, 0.0460928000, 0.0941077000, 0.2255391000, 0.5748724000, 1.4996832000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_inputiso1p_1") { - leakage_power () { - value : 0.0006548000; - when : "!A&SLEEP"; - } - leakage_power () { - value : 0.0052491000; - when : "!A&!SLEEP"; - } - leakage_power () { - value : 0.0003472000; - when : "A&SLEEP"; - } - leakage_power () { - value : 0.0011452000; - when : "A&!SLEEP"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__inputiso1p"; - cell_leakage_power : 0.0018491060; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_isolation_cell : "true"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0011586000, 0.0011575000, 0.0011549000, 0.0011581000, 0.0011656000, 0.0011828000, 0.0012224000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000796100, -0.000793100, -0.000786000, -0.000786200, -0.000786600, -0.000787600, -0.000789700"); - } - } - isolation_cell_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015470000; - } - pin ("SLEEP") { - capacitance : 0.0014710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013990000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0016996000, 0.0016953000, 0.0016852000, 0.0016858000, 0.0016871000, 0.0016901000, 0.0016970000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001538500, -0.001566500, -0.001630900, -0.001632800, -0.001637300, -0.001647600, -0.001671200"); - } - } - isolation_cell_enable_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015430000; - } - pin ("X") { - direction : "output"; - function : "(A) | (SLEEP)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013141250, 0.0034538490, 0.0090775780, 0.0238581500, 0.0627051700, 0.1648049000"); - values("0.0082542000, 0.0071384000, 0.0042447000, -0.004001400, -0.027349500, -0.090080400, -0.255470700", \ - "0.0081001000, 0.0069920000, 0.0040722000, -0.004175700, -0.027469300, -0.090244400, -0.255595000", \ - "0.0078551000, 0.0067518000, 0.0039350000, -0.004333000, -0.027650200, -0.090342600, -0.255730000", \ - "0.0078118000, 0.0066864000, 0.0037999000, -0.004476400, -0.027804700, -0.090482100, -0.255841300", \ - "0.0077405000, 0.0066067000, 0.0037098000, -0.004541800, -0.027858100, -0.090553000, -0.255874400", \ - "0.0079367000, 0.0065724000, 0.0036538000, -0.004351200, -0.027676000, -0.090320100, -0.255609200", \ - "0.0107681000, 0.0093063000, 0.0057204000, -0.003410800, -0.027077500, -0.089782600, -0.255107000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013141250, 0.0034538490, 0.0090775780, 0.0238581500, 0.0627051700, 0.1648049000"); - values("0.0084911000, 0.0099422000, 0.0136350000, 0.0229121000, 0.0467644000, 0.1090685000, 0.2728353000", \ - "0.0084730000, 0.0099184000, 0.0135909000, 0.0228780000, 0.0467011000, 0.1096846000, 0.2728155000", \ - "0.0083554000, 0.0097747000, 0.0134219000, 0.0226985000, 0.0466430000, 0.1090396000, 0.2723552000", \ - "0.0081585000, 0.0095423000, 0.0131350000, 0.0223343000, 0.0461053000, 0.1094534000, 0.2732780000", \ - "0.0080779000, 0.0094086000, 0.0128871000, 0.0220449000, 0.0458669000, 0.1084553000, 0.2723879000", \ - "0.0083008000, 0.0096282000, 0.0131395000, 0.0220997000, 0.0460528000, 0.1081646000, 0.2734355000", \ - "0.0089789000, 0.0102682000, 0.0136983000, 0.0225984000, 0.0465765000, 0.1090971000, 0.2708041000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013141250, 0.0034538490, 0.0090775780, 0.0238581500, 0.0627051700, 0.1648049000"); - values("0.0087496000, 0.0076222000, 0.0047719000, -0.003440000, -0.026862200, -0.089675200, -0.255093400", \ - "0.0085985000, 0.0074763000, 0.0046093000, -0.003592600, -0.027003300, -0.089815300, -0.255222500", \ - "0.0084328000, 0.0073186000, 0.0044639000, -0.003774900, -0.027163400, -0.089943800, -0.255395100", \ - "0.0082965000, 0.0071986000, 0.0043375000, -0.003932200, -0.027314100, -0.090092100, -0.255538500", \ - "0.0082384000, 0.0071116000, 0.0042094000, -0.004056400, -0.027414400, -0.090201300, -0.255546600", \ - "0.0082975000, 0.0069281000, 0.0039140000, -0.004187700, -0.027494700, -0.090209700, -0.255565800", \ - "0.0103520000, 0.0089754000, 0.0054510000, -0.003625500, -0.027455100, -0.090054300, -0.255339400"); - } - related_pin : "SLEEP"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013141250, 0.0034538490, 0.0090775780, 0.0238581500, 0.0627051700, 0.1648049000"); - values("0.0097395000, 0.0111229000, 0.0146617000, 0.0237150000, 0.0473419000, 0.1094527000, 0.2742520000", \ - "0.0097054000, 0.0110896000, 0.0146276000, 0.0237119000, 0.0473279000, 0.1094209000, 0.2728241000", \ - "0.0096768000, 0.0110598000, 0.0146009000, 0.0237030000, 0.0474050000, 0.1095138000, 0.2728375000", \ - "0.0094897000, 0.0108583000, 0.0143975000, 0.0235174000, 0.0472964000, 0.1094396000, 0.2727237000", \ - "0.0095685000, 0.0108714000, 0.0142818000, 0.0233859000, 0.0471188000, 0.1099142000, 0.2740395000", \ - "0.0097238000, 0.0110239000, 0.0144237000, 0.0234218000, 0.0472594000, 0.1091029000, 0.2737052000", \ - "0.0104243000, 0.0117175000, 0.0151188000, 0.0240722000, 0.0479246000, 0.1105488000, 0.2737236000"); - } - } - max_capacitance : 0.1648050000; - max_transition : 1.5039020000; - power_down_function : "(!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.1725031000, 0.1807181000, 0.1975736000, 0.2300184000, 0.2936754000, 0.4313663000, 0.7740169000", \ - "0.1747533000, 0.1829721000, 0.1999481000, 0.2327820000, 0.2960763000, 0.4337455000, 0.7767721000", \ - "0.1838108000, 0.1919689000, 0.2089423000, 0.2422758000, 0.3055627000, 0.4432234000, 0.7861942000", \ - "0.2113854000, 0.2195175000, 0.2364662000, 0.2690460000, 0.3325148000, 0.4702345000, 0.8134618000", \ - "0.2781245000, 0.2862324000, 0.3030400000, 0.3354571000, 0.3987519000, 0.5362343000, 0.8795532000", \ - "0.4083673000, 0.4177363000, 0.4367286000, 0.4726639000, 0.5391279000, 0.6767730000, 1.0169202000", \ - "0.6129212000, 0.6246662000, 0.6487311000, 0.6917876000, 0.7654749000, 0.9085679000, 1.2559802000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.0579334000, 0.0641360000, 0.0791173000, 0.1158324000, 0.2095510000, 0.4545797000, 1.0982594000", \ - "0.0629155000, 0.0690748000, 0.0840058000, 0.1207220000, 0.2150236000, 0.4594673000, 1.1033542000", \ - "0.0744534000, 0.0805720000, 0.0953857000, 0.1320271000, 0.2266472000, 0.4719370000, 1.1128860000", \ - "0.0968577000, 0.1030780000, 0.1179823000, 0.1543663000, 0.2484135000, 0.4961346000, 1.1420953000", \ - "0.1275259000, 0.1342911000, 0.1497404000, 0.1863441000, 0.2804570000, 0.5262206000, 1.1706781000", \ - "0.1621944000, 0.1709396000, 0.1883554000, 0.2254937000, 0.3196119000, 0.5643043000, 1.2108842000", \ - "0.1816322000, 0.1935230000, 0.2165707000, 0.2582129000, 0.3517420000, 0.5972302000, 1.2386342000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.0352630000, 0.0404178000, 0.0531126000, 0.0795559000, 0.1398494000, 0.3010868000, 0.7545574000", \ - "0.0355952000, 0.0404739000, 0.0529724000, 0.0784269000, 0.1397764000, 0.3010788000, 0.7526275000", \ - "0.0355331000, 0.0404537000, 0.0529697000, 0.0784214000, 0.1397831000, 0.3014247000, 0.7513733000", \ - "0.0350985000, 0.0404364000, 0.0522709000, 0.0784450000, 0.1396806000, 0.3008159000, 0.7521222000", \ - "0.0354493000, 0.0408259000, 0.0525385000, 0.0793345000, 0.1400791000, 0.3012809000, 0.7522023000", \ - "0.0457423000, 0.0513731000, 0.0632017000, 0.0873335000, 0.1458167000, 0.3042553000, 0.7513327000", \ - "0.0647676000, 0.0713591000, 0.0845465000, 0.1100236000, 0.1649603000, 0.3164807000, 0.7508070000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.0210835000, 0.0278963000, 0.0464762000, 0.0964323000, 0.2300657000, 0.5814482000, 1.5016379000", \ - "0.0210332000, 0.0278706000, 0.0464922000, 0.0966929000, 0.2303184000, 0.5824398000, 1.5037742000", \ - "0.0210793000, 0.0279788000, 0.0464721000, 0.0965122000, 0.2311161000, 0.5833692000, 1.4967140000", \ - "0.0226080000, 0.0291012000, 0.0471065000, 0.0966833000, 0.2295669000, 0.5851005000, 1.5039017000", \ - "0.0269303000, 0.0329646000, 0.0497410000, 0.0978490000, 0.2291641000, 0.5811172000, 1.5037807000", \ - "0.0363485000, 0.0422441000, 0.0569226000, 0.1009871000, 0.2307264000, 0.5784990000, 1.5020282000", \ - "0.0525431000, 0.0593908000, 0.0741068000, 0.1126656000, 0.2328990000, 0.5806248000, 1.4941075000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.1885577000, 0.1967326000, 0.2137617000, 0.2465743000, 0.3096562000, 0.4472914000, 0.7900766000", \ - "0.1921723000, 0.2002397000, 0.2173924000, 0.2502309000, 0.3133294000, 0.4509870000, 0.7938080000", \ - "0.2029727000, 0.2111408000, 0.2281235000, 0.2605030000, 0.3241254000, 0.4616264000, 0.8043228000", \ - "0.2288259000, 0.2369837000, 0.2537757000, 0.2864606000, 0.3498702000, 0.4875050000, 0.8303263000", \ - "0.2891506000, 0.2972977000, 0.3141020000, 0.3466948000, 0.4101577000, 0.5478141000, 0.8909675000", \ - "0.4071243000, 0.4161204000, 0.4348515000, 0.4704009000, 0.5367395000, 0.6759895000, 1.0189605000", \ - "0.6096482000, 0.6206529000, 0.6430004000, 0.6841634000, 0.7580208000, 0.9036409000, 1.2482992000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.0606585000, 0.0667302000, 0.0812067000, 0.1170958000, 0.2100632000, 0.4544044000, 1.0969076000", \ - "0.0654409000, 0.0714631000, 0.0859661000, 0.1219468000, 0.2154804000, 0.4592337000, 1.1014820000", \ - "0.0768177000, 0.0828247000, 0.0972699000, 0.1333200000, 0.2266215000, 0.4710050000, 1.1131603000", \ - "0.1000566000, 0.1061861000, 0.1209522000, 0.1571110000, 0.2505223000, 0.4949947000, 1.1370738000", \ - "0.1324595000, 0.1391738000, 0.1542603000, 0.1905405000, 0.2840864000, 0.5291527000, 1.1725215000", \ - "0.1693712000, 0.1774268000, 0.1942095000, 0.2313390000, 0.3249926000, 0.5693109000, 1.2141221000", \ - "0.1898178000, 0.2009420000, 0.2229220000, 0.2639137000, 0.3565405000, 0.6012132000, 1.2422180000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.0351224000, 0.0404105000, 0.0529540000, 0.0782356000, 0.1402009000, 0.3012660000, 0.7500792000", \ - "0.0354755000, 0.0403485000, 0.0523148000, 0.0782772000, 0.1402669000, 0.3012869000, 0.7490112000", \ - "0.0354876000, 0.0404145000, 0.0529525000, 0.0795539000, 0.1396803000, 0.3014555000, 0.7518672000", \ - "0.0351640000, 0.0404601000, 0.0531878000, 0.0783763000, 0.1400421000, 0.3012069000, 0.7526780000", \ - "0.0352467000, 0.0407376000, 0.0531529000, 0.0792173000, 0.1394190000, 0.3018020000, 0.7518697000", \ - "0.0424553000, 0.0476687000, 0.0606733000, 0.0858415000, 0.1450907000, 0.3037533000, 0.7481801000", \ - "0.0564137000, 0.0631384000, 0.0754753000, 0.1032245000, 0.1624607000, 0.3150284000, 0.7526586000"); - } - related_pin : "SLEEP"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.0213342000, 0.0282605000, 0.0467499000, 0.0967831000, 0.2293849000, 0.5790901000, 1.4998035000", \ - "0.0212964000, 0.0282208000, 0.0467237000, 0.0966054000, 0.2296960000, 0.5797392000, 1.5007627000", \ - "0.0212893000, 0.0282452000, 0.0466744000, 0.0967729000, 0.2300473000, 0.5799007000, 1.4995626000", \ - "0.0225485000, 0.0291812000, 0.0472478000, 0.0966989000, 0.2301138000, 0.5799035000, 1.4988603000", \ - "0.0262901000, 0.0325093000, 0.0495758000, 0.0978608000, 0.2292492000, 0.5801697000, 1.5010683000", \ - "0.0350460000, 0.0405292000, 0.0560401000, 0.1004511000, 0.2306336000, 0.5786151000, 1.4964698000", \ - "0.0498138000, 0.0562451000, 0.0709953000, 0.1105112000, 0.2325122000, 0.5810069000, 1.4965966000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_inputisolatch_1") { - leakage_power () { - value : 0.0040774000; - when : "D&SLEEP_B&Q"; - } - leakage_power () { - value : 0.0101694000; - when : "!D&!SLEEP_B&!Q"; - } - leakage_power () { - value : 0.0102055000; - when : "!D&SLEEP_B&!Q"; - } - leakage_power () { - value : 0.0032340000; - when : "D&!SLEEP_B&Q"; - } - leakage_power () { - value : 0.0105234000; - when : "D&!SLEEP_B&!Q"; - } - leakage_power () { - value : 0.0034794000; - when : "!D&!SLEEP_B&Q"; - } - area : 13.763200000; - cell_footprint : "sky130_fd_sc_hd__inputisolatch"; - cell_leakage_power : 0.0069481680; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_isolation_cell : "true"; - latch ("IQ","IQ_N") { - data_in : "D"; - enable : "SLEEP_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("D") { - capacitance : 0.0016200000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015640000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0028493000, 0.0028727000, 0.0029266000, 0.0029296000, 0.0029366000, 0.0029527000, 0.0029898000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002765200, -0.002766400, -0.002769200, -0.002780000, -0.002804700, -0.002861800, -0.002993500"); - } - } - isolation_cell_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016760000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3035137000, 0.4359518000, 0.6096986000", \ - "0.2186829000, 0.3621074000, 0.5834616000", \ - "0.1511374000, 0.2884583000, 0.5122539000"); - } - related_pin : "SLEEP_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0007793000, 0.1210104000, 0.2202943000", \ - "-0.170721400, -0.060255900, 0.0329245000", \ - "-0.342026700, -0.247430300, -0.165236300"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.199416000, -0.352606100, -0.576401700", \ - "-0.097495400, -0.248244100, -0.462274100", \ - "-0.011639300, -0.151401700, -0.360548800"); - } - related_pin : "SLEEP_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0129863000, -0.106024100, -0.208970000", \ - "0.1857077000, 0.0752422000, -0.024041700", \ - "0.3618958000, 0.2648581000, 0.1765605000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0147106000, 0.0134772000, 0.0107830000, 0.0031675000, -0.019285400, -0.080787300, -0.243000200", \ - "0.0145810000, 0.0133411000, 0.0106603000, 0.0030493000, -0.019404400, -0.080905800, -0.243116500", \ - "0.0145655000, 0.0133278000, 0.0106309000, 0.0030470000, -0.019424700, -0.080919400, -0.243134400", \ - "0.0142508000, 0.0130287000, 0.0102973000, 0.0027264000, -0.019741400, -0.081254600, -0.243458100", \ - "0.0139388000, 0.0126697000, 0.0099841000, 0.0023649000, -0.020099000, -0.081597200, -0.243808100", \ - "0.0140021000, 0.0127493000, 0.0099905000, 0.0023828000, -0.020107700, -0.081598300, -0.243798900", \ - "0.0176330000, 0.0162845000, 0.0128472000, 0.0039812000, -0.019264800, -0.081062500, -0.243243200"); - } - related_pin : "SLEEP_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0202684000, 0.0217043000, 0.0254182000, 0.0346631000, 0.0584661000, 0.1197658000, 0.2807771000", \ - "0.0202721000, 0.0216895000, 0.0253930000, 0.0346920000, 0.0582642000, 0.1205940000, 0.2816093000", \ - "0.0201363000, 0.0215682000, 0.0252718000, 0.0345234000, 0.0581217000, 0.1198938000, 0.2816587000", \ - "0.0198250000, 0.0212436000, 0.0249466000, 0.0342440000, 0.0578174000, 0.1201490000, 0.2800504000", \ - "0.0196035000, 0.0210277000, 0.0247687000, 0.0340021000, 0.0576073000, 0.1199730000, 0.2802009000", \ - "0.0199798000, 0.0213192000, 0.0247657000, 0.0340634000, 0.0575678000, 0.1190489000, 0.2800021000", \ - "0.0208031000, 0.0221717000, 0.0257427000, 0.0349544000, 0.0584721000, 0.1204678000, 0.2814100000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0159321000, 0.0146882000, 0.0119619000, 0.0043584000, -0.018106900, -0.079590600, -0.241711700", \ - "0.0158975000, 0.0146408000, 0.0119216000, 0.0042971000, -0.018181800, -0.079662700, -0.241852200", \ - "0.0158656000, 0.0146065000, 0.0118964000, 0.0042811000, -0.018207300, -0.079686800, -0.241847500", \ - "0.0157642000, 0.0144760000, 0.0117986000, 0.0041542000, -0.018306300, -0.079790900, -0.241987000", \ - "0.0156618000, 0.0143868000, 0.0116969000, 0.0040353000, -0.018434000, -0.079902400, -0.242086900", \ - "0.0158490000, 0.0145718000, 0.0118400000, 0.0041144000, -0.018392200, -0.079851800, -0.242007400", \ - "0.0201855000, 0.0187408000, 0.0151271000, 0.0059922000, -0.017655300, -0.079090200, -0.241247300"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0204856000, 0.0218859000, 0.0256177000, 0.0349311000, 0.0586199000, 0.1206285000, 0.2817391000", \ - "0.0204453000, 0.0219002000, 0.0256190000, 0.0348988000, 0.0585633000, 0.1199212000, 0.2806091000", \ - "0.0204246000, 0.0218414000, 0.0255582000, 0.0348346000, 0.0584994000, 0.1205247000, 0.2822090000", \ - "0.0201753000, 0.0215885000, 0.0253006000, 0.0345631000, 0.0582227000, 0.1202624000, 0.2802180000", \ - "0.0201368000, 0.0215530000, 0.0252112000, 0.0344095000, 0.0580751000, 0.1202032000, 0.2813047000", \ - "0.0208043000, 0.0221236000, 0.0255479000, 0.0344466000, 0.0580953000, 0.1194351000, 0.2801452000", \ - "0.0218830000, 0.0231572000, 0.0265645000, 0.0355827000, 0.0590200000, 0.1206933000, 0.2802624000"); - } - } - max_capacitance : 0.1620580000; - max_transition : 1.5029160000; - power_down_function : "(!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.2577084000, 0.2703502000, 0.2962813000, 0.3423517000, 0.4169054000, 0.5614972000, 0.9000666000", \ - "0.2628777000, 0.2755144000, 0.3014101000, 0.3475066000, 0.4221762000, 0.5666295000, 0.9059217000", \ - "0.2740280000, 0.2864905000, 0.3125004000, 0.3586806000, 0.4332982000, 0.5777311000, 0.9170401000", \ - "0.2964534000, 0.3090303000, 0.3349560000, 0.3810279000, 0.4554760000, 0.6001896000, 0.9390566000", \ - "0.3459024000, 0.3584332000, 0.3843204000, 0.4303518000, 0.5048433000, 0.6495475000, 0.9885774000", \ - "0.4508345000, 0.4641330000, 0.4912919000, 0.5389302000, 0.6143704000, 0.7596881000, 1.0991137000", \ - "0.6331023000, 0.6485080000, 0.6795961000, 0.7338155000, 0.8168379000, 0.9682447000, 1.3096556000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.1207077000, 0.1303909000, 0.1517406000, 0.1966027000, 0.2942917000, 0.5404242000, 1.1855530000", \ - "0.1250332000, 0.1348051000, 0.1561123000, 0.2009680000, 0.2988429000, 0.5459224000, 1.1877502000", \ - "0.1342096000, 0.1439073000, 0.1652364000, 0.2100750000, 0.3078544000, 0.5545400000, 1.1966611000", \ - "0.1550733000, 0.1647538000, 0.1860624000, 0.2308453000, 0.3286207000, 0.5754265000, 1.2175879000", \ - "0.1984569000, 0.2086653000, 0.2304127000, 0.2754632000, 0.3731407000, 0.6194560000, 1.2648262000", \ - "0.2663846000, 0.2781179000, 0.3021663000, 0.3497278000, 0.4487641000, 0.6955928000, 1.3380851000", \ - "0.3493174000, 0.3646704000, 0.3946459000, 0.4485377000, 0.5507815000, 0.7975847000, 1.4394427000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0482014000, 0.0567451000, 0.0733406000, 0.0999944000, 0.1558765000, 0.3068695000, 0.7376660000", \ - "0.0479475000, 0.0568918000, 0.0735237000, 0.1003071000, 0.1556116000, 0.3069774000, 0.7442696000", \ - "0.0479318000, 0.0564657000, 0.0735486000, 0.0999161000, 0.1556761000, 0.3068850000, 0.7445896000", \ - "0.0481562000, 0.0569569000, 0.0734787000, 0.1005567000, 0.1554858000, 0.3064584000, 0.7394139000", \ - "0.0478152000, 0.0565909000, 0.0734653000, 0.1004346000, 0.1554816000, 0.3070260000, 0.7382173000", \ - "0.0525802000, 0.0613800000, 0.0782296000, 0.1041630000, 0.1577878000, 0.3082900000, 0.7451783000", \ - "0.0633622000, 0.0737445000, 0.0931326000, 0.1200992000, 0.1732325000, 0.3179312000, 0.7409218000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0323402000, 0.0407252000, 0.0608580000, 0.1077608000, 0.2331260000, 0.5817499000, 1.4971172000", \ - "0.0320488000, 0.0407207000, 0.0608997000, 0.1078279000, 0.2330518000, 0.5809632000, 1.4977078000", \ - "0.0321364000, 0.0406507000, 0.0608964000, 0.1078144000, 0.2328359000, 0.5809660000, 1.4997655000", \ - "0.0321587000, 0.0406489000, 0.0608940000, 0.1078605000, 0.2328007000, 0.5807437000, 1.4997864000", \ - "0.0344193000, 0.0426684000, 0.0624972000, 0.1087678000, 0.2333274000, 0.5817992000, 1.4986641000", \ - "0.0409442000, 0.0500016000, 0.0692889000, 0.1139162000, 0.2352009000, 0.5802454000, 1.4978762000", \ - "0.0555899000, 0.0661191000, 0.0861031000, 0.1268416000, 0.2408908000, 0.5814942000, 1.4963025000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.2685465000, 0.2811388000, 0.3072152000, 0.3531043000, 0.4275866000, 0.5720099000, 0.9113212000", \ - "0.2732197000, 0.2858480000, 0.3118938000, 0.3578943000, 0.4325872000, 0.5768596000, 0.9157622000", \ - "0.2838765000, 0.2965647000, 0.3225908000, 0.3685361000, 0.4431809000, 0.5874913000, 0.9267442000", \ - "0.3074713000, 0.3200952000, 0.3461154000, 0.3920811000, 0.4666857000, 0.6110557000, 0.9507586000", \ - "0.3454945000, 0.3581065000, 0.3841294000, 0.4301227000, 0.5046609000, 0.6490913000, 0.9880110000", \ - "0.3974850000, 0.4100978000, 0.4361454000, 0.4821465000, 0.5567237000, 0.7011320000, 1.0403968000", \ - "0.4601237000, 0.4727369000, 0.4987146000, 0.5445868000, 0.6193044000, 0.7637651000, 1.1028637000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.2205510000, 0.2302959000, 0.2515777000, 0.2964065000, 0.3942687000, 0.6416866000, 1.2833293000", \ - "0.2252874000, 0.2350597000, 0.2563166000, 0.3011514000, 0.3989800000, 0.6451671000, 1.2875864000", \ - "0.2365271000, 0.2462986000, 0.2675561000, 0.3123894000, 0.4102806000, 0.6577291000, 1.2978697000", \ - "0.2600971000, 0.2698690000, 0.2911256000, 0.3359599000, 0.4337867000, 0.6799645000, 1.3261570000", \ - "0.2975514000, 0.3073181000, 0.3286123000, 0.3734678000, 0.4716340000, 0.7176100000, 1.3606809000", \ - "0.3461142000, 0.3558369000, 0.3771347000, 0.4219874000, 0.5199605000, 0.7673720000, 1.4088454000", \ - "0.3984779000, 0.4081140000, 0.4294279000, 0.4742676000, 0.5722089000, 0.8189718000, 1.4590749000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0477086000, 0.0563225000, 0.0732186000, 0.0993418000, 0.1551248000, 0.3063161000, 0.7394141000", \ - "0.0476230000, 0.0563485000, 0.0731586000, 0.0996830000, 0.1551597000, 0.3066953000, 0.7392866000", \ - "0.0476028000, 0.0562551000, 0.0731141000, 0.0997120000, 0.1554079000, 0.3063462000, 0.7364250000", \ - "0.0475127000, 0.0563117000, 0.0731780000, 0.0995029000, 0.1551014000, 0.3064138000, 0.7405013000", \ - "0.0476434000, 0.0563132000, 0.0732089000, 0.0996560000, 0.1549519000, 0.3066892000, 0.7373323000", \ - "0.0479049000, 0.0564456000, 0.0733987000, 0.0998037000, 0.1545815000, 0.3067616000, 0.7415098000", \ - "0.0479841000, 0.0565106000, 0.0734594000, 0.0994881000, 0.1555894000, 0.3068217000, 0.7338340000"); - } - related_pin : "SLEEP_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0321794000, 0.0406142000, 0.0608073000, 0.1080131000, 0.2332823000, 0.5817820000, 1.5005993000", \ - "0.0320864000, 0.0406259000, 0.0608572000, 0.1078541000, 0.2333876000, 0.5823349000, 1.5029161000", \ - "0.0320857000, 0.0406262000, 0.0608574000, 0.1078490000, 0.2333056000, 0.5815025000, 1.5007124000", \ - "0.0320991000, 0.0406276000, 0.0608597000, 0.1078991000, 0.2333846000, 0.5823622000, 1.5012948000", \ - "0.0322787000, 0.0406463000, 0.0608217000, 0.1081928000, 0.2332599000, 0.5816413000, 1.4986566000", \ - "0.0323004000, 0.0407424000, 0.0608416000, 0.1079176000, 0.2326694000, 0.5819378000, 1.4954239000", \ - "0.0323212000, 0.0407590000, 0.0609046000, 0.1079535000, 0.2329614000, 0.5802995000, 1.4936823000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("SLEEP_B") { - capacitance : 0.0016520000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0015730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0163493000, 0.0162352000, 0.0159720000, 0.0160438000, 0.0162095000, 0.0165915000, 0.0174718000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092671000, 0.0091843000, 0.0089935000, 0.0090208000, 0.0090838000, 0.0092288000, 0.0095632000"); - } - } - isolation_cell_enable_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017310000; - timing () { - related_output_pin : "Q"; - related_pin : "SLEEP_B"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3114925000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_isobufsrc_1") { - leakage_power () { - value : 0.0005469000; - when : "!A&SLEEP"; - } - leakage_power () { - value : 0.0039870000; - when : "!A&!SLEEP"; - } - leakage_power () { - value : 0.0026465000; - when : "A&SLEEP"; - } - leakage_power () { - value : 0.0015386000; - when : "A&!SLEEP"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__isobufsrc"; - cell_leakage_power : 0.0021797410; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_isolation_cell : "true"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014900000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0084048000, 0.0083113000, 0.0080958000, 0.0081437000, 0.0082541000, 0.0085086000, 0.0090953000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0021945000, 0.0021390000, 0.0020109000, 0.0020536000, 0.0021519000, 0.0023787000, 0.0029014000"); - } - } - isolation_cell_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015460000; - } - pin ("SLEEP") { - capacitance : 0.0023670000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044630000, 0.0044628000, 0.0044622000, 0.0044611000, 0.0044586000, 0.0044528000, 0.0044395000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004251300, -0.004299700, -0.004411300, -0.004412800, -0.004416300, -0.004424300, -0.004442800"); - } - } - isolation_cell_enable_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024610000; - } - pin ("X") { - direction : "output"; - function : "(A&!SLEEP)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0019959000, 0.0011170000, -0.000964600, -0.006432700, -0.020072500, -0.052620600, -0.129005000", \ - "0.0019420000, 0.0010652000, -0.001015900, -0.006480800, -0.020112100, -0.052657700, -0.129129300", \ - "0.0019951000, 0.0011313000, -0.000983700, -0.006429700, -0.020051800, -0.052584200, -0.129022700", \ - "0.0017984000, 0.0009013000, -0.001231800, -0.006668500, -0.020270200, -0.052736300, -0.129201300", \ - "0.0015340000, 0.0005998000, -0.001549700, -0.006885200, -0.020452900, -0.052901700, -0.129325000", \ - "0.0020690000, 0.0013346000, -0.001238400, -0.007006800, -0.020474000, -0.052843600, -0.129192800", \ - "0.0024784000, 0.0013661000, -0.001154700, -0.006988800, -0.020591500, -0.053028500, -0.128991900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0062081000, 0.0073988000, 0.0100980000, 0.0162584000, 0.0303019000, 0.0626561000, 0.1378758000", \ - "0.0061980000, 0.0073807000, 0.0100781000, 0.0161569000, 0.0302823000, 0.0626414000, 0.1386791000", \ - "0.0061758000, 0.0073419000, 0.0100109000, 0.0160928000, 0.0300649000, 0.0623920000, 0.1389796000", \ - "0.0058988000, 0.0069830000, 0.0096201000, 0.0157711000, 0.0298534000, 0.0621237000, 0.1376398000", \ - "0.0057037000, 0.0068282000, 0.0094304000, 0.0153823000, 0.0294037000, 0.0622404000, 0.1382294000", \ - "0.0057327000, 0.0068651000, 0.0094263000, 0.0152857000, 0.0291681000, 0.0616371000, 0.1372207000", \ - "0.0060595000, 0.0071433000, 0.0097275000, 0.0156200000, 0.0293949000, 0.0622398000, 0.1372300000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0043467000, 0.0032616000, 0.0007570000, -0.005155400, -0.019060100, -0.051674600, -0.128102900", \ - "0.0042298000, 0.0031698000, 0.0006738000, -0.005222400, -0.019080500, -0.051711300, -0.128122600", \ - "0.0041597000, 0.0031213000, 0.0006207000, -0.005225500, -0.019070700, -0.051654000, -0.128072100", \ - "0.0040279000, 0.0029579000, 0.0004872000, -0.005365000, -0.019160400, -0.051691600, -0.128092700", \ - "0.0041591000, 0.0030989000, 0.0006581000, -0.005295200, -0.019209700, -0.051750000, -0.128136900", \ - "0.0048380000, 0.0037489000, 0.0009825000, -0.005040200, -0.019010900, -0.051578800, -0.128010300", \ - "0.0065513000, 0.0053689000, 0.0026485000, -0.003573200, -0.017716500, -0.050744800, -0.127681400"); - } - related_pin : "SLEEP"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0066008000, 0.0077505000, 0.0103407000, 0.0164114000, 0.0301479000, 0.0624061000, 0.1388924000", \ - "0.0064208000, 0.0075552000, 0.0101613000, 0.0161884000, 0.0301438000, 0.0623883000, 0.1378779000", \ - "0.0063009000, 0.0074306000, 0.0100108000, 0.0160060000, 0.0299740000, 0.0623264000, 0.1388762000", \ - "0.0062060000, 0.0073141000, 0.0098810000, 0.0158368000, 0.0297455000, 0.0626333000, 0.1384202000", \ - "0.0061486000, 0.0072566000, 0.0098213000, 0.0157594000, 0.0297279000, 0.0623760000, 0.1382774000", \ - "0.0059391000, 0.0070962000, 0.0098080000, 0.0157697000, 0.0297043000, 0.0622016000, 0.1375295000", \ - "0.0071455000, 0.0081129000, 0.0104538000, 0.0161583000, 0.0299922000, 0.0623359000, 0.1380559000"); - } - } - max_capacitance : 0.0824070000; - max_transition : 1.4969190000; - power_down_function : "(!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0964098000, 0.1010860000, 0.1102523000, 0.1273195000, 0.1600110000, 0.2280251000, 0.3827862000", \ - "0.1012241000, 0.1058767000, 0.1152085000, 0.1322784000, 0.1650034000, 0.2330573000, 0.3878352000", \ - "0.1142060000, 0.1188111000, 0.1279525000, 0.1451122000, 0.1778948000, 0.2459654000, 0.4008484000", \ - "0.1460100000, 0.1506360000, 0.1597965000, 0.1770128000, 0.2097790000, 0.2780579000, 0.4326710000", \ - "0.2146767000, 0.2196822000, 0.2294603000, 0.2469999000, 0.2804278000, 0.3492035000, 0.5036980000", \ - "0.3266986000, 0.3331350000, 0.3453720000, 0.3666815000, 0.4035157000, 0.4744856000, 0.6297366000", \ - "0.5031695000, 0.5116762000, 0.5271391000, 0.5547521000, 0.5997640000, 0.6754318000, 0.8304952000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0739826000, 0.0835647000, 0.1051338000, 0.1539338000, 0.2665917000, 0.5243608000, 1.1219606000", \ - "0.0788807000, 0.0883915000, 0.1099354000, 0.1587790000, 0.2706097000, 0.5276034000, 1.1328408000", \ - "0.0899662000, 0.0993038000, 0.1205752000, 0.1688996000, 0.2799708000, 0.5374332000, 1.1435738000", \ - "0.1110085000, 0.1198304000, 0.1409138000, 0.1895600000, 0.3036836000, 0.5581809000, 1.1587908000", \ - "0.1402031000, 0.1492857000, 0.1701274000, 0.2181786000, 0.3293248000, 0.5890536000, 1.1930470000", \ - "0.1748854000, 0.1844042000, 0.2052351000, 0.2524772000, 0.3625855000, 0.6200887000, 1.2236121000", \ - "0.1987370000, 0.2104141000, 0.2338083000, 0.2808738000, 0.3899273000, 0.6477113000, 1.2476300000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0228015000, 0.0257814000, 0.0330566000, 0.0485313000, 0.0830718000, 0.1671984000, 0.3719465000", \ - "0.0225309000, 0.0257804000, 0.0331338000, 0.0485782000, 0.0834598000, 0.1667683000, 0.3712204000", \ - "0.0225978000, 0.0258449000, 0.0330889000, 0.0485857000, 0.0833986000, 0.1671392000, 0.3725490000", \ - "0.0226441000, 0.0262253000, 0.0330380000, 0.0487171000, 0.0832926000, 0.1672811000, 0.3748068000", \ - "0.0269756000, 0.0299821000, 0.0365149000, 0.0514093000, 0.0847900000, 0.1677483000, 0.3732379000", \ - "0.0384616000, 0.0416982000, 0.0488214000, 0.0624021000, 0.0944999000, 0.1725085000, 0.3726053000", \ - "0.0557503000, 0.0608906000, 0.0693901000, 0.0842106000, 0.1141633000, 0.1857527000, 0.3789422000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0439679000, 0.0559289000, 0.0835110000, 0.1485700000, 0.3012280000, 0.6534101000, 1.4775490000", \ - "0.0439710000, 0.0557057000, 0.0835164000, 0.1481422000, 0.3014541000, 0.6529548000, 1.4901750000", \ - "0.0440178000, 0.0559424000, 0.0836399000, 0.1483531000, 0.2986190000, 0.6515350000, 1.4881395000", \ - "0.0445554000, 0.0562340000, 0.0836712000, 0.1485765000, 0.3010883000, 0.6526127000, 1.4787215000", \ - "0.0463223000, 0.0575298000, 0.0845476000, 0.1484604000, 0.2992479000, 0.6551769000, 1.4857059000", \ - "0.0527390000, 0.0625363000, 0.0872049000, 0.1496528000, 0.3006978000, 0.6504319000, 1.4771152000", \ - "0.0674572000, 0.0768115000, 0.0985232000, 0.1542040000, 0.3015296000, 0.6537398000, 1.4738359000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0183489000, 0.0207866000, 0.0262815000, 0.0385245000, 0.0660583000, 0.1295483000, 0.2774052000", \ - "0.0231083000, 0.0255211000, 0.0309801000, 0.0431542000, 0.0707510000, 0.1342357000, 0.2820796000", \ - "0.0331671000, 0.0362230000, 0.0421659000, 0.0543237000, 0.0817818000, 0.1452322000, 0.2932948000", \ - "0.0461717000, 0.0509465000, 0.0604657000, 0.0780251000, 0.1083926000, 0.1717014000, 0.3195342000", \ - "0.0604451000, 0.0671588000, 0.0821356000, 0.1097163000, 0.1567125000, 0.2325757000, 0.3795523000", \ - "0.0680601000, 0.0791785000, 0.1018504000, 0.1447535000, 0.2186241000, 0.3350328000, 0.5197788000", \ - "0.0465838000, 0.0639966000, 0.0993808000, 0.1664107000, 0.2814258000, 0.4674255000, 0.7530149000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0585989000, 0.0678814000, 0.0885699000, 0.1367331000, 0.2464649000, 0.5022917000, 1.1080010000", \ - "0.0634510000, 0.0725376000, 0.0935227000, 0.1412510000, 0.2535409000, 0.5082342000, 1.1080564000", \ - "0.0754452000, 0.0844244000, 0.1052602000, 0.1528361000, 0.2633951000, 0.5203508000, 1.1302007000", \ - "0.1004400000, 0.1100178000, 0.1308328000, 0.1785383000, 0.2889456000, 0.5488303000, 1.1496514000", \ - "0.1424253000, 0.1557518000, 0.1835740000, 0.2374200000, 0.3482676000, 0.6076660000, 1.2075241000", \ - "0.2079334000, 0.2288835000, 0.2704523000, 0.3456357000, 0.4844529000, 0.7447173000, 1.3459400000", \ - "0.3192730000, 0.3507592000, 0.4131745000, 0.5271756000, 0.7205905000, 1.0537584000, 1.6667543000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0134957000, 0.0163520000, 0.0229247000, 0.0380548000, 0.0737932000, 0.1573593000, 0.3520182000", \ - "0.0135807000, 0.0163069000, 0.0226884000, 0.0379357000, 0.0736306000, 0.1572279000, 0.3521806000", \ - "0.0190673000, 0.0212445000, 0.0261168000, 0.0392940000, 0.0735478000, 0.1571358000, 0.3520781000", \ - "0.0311575000, 0.0339252000, 0.0410443000, 0.0529005000, 0.0800221000, 0.1572172000, 0.3524833000", \ - "0.0504336000, 0.0558982000, 0.0669238000, 0.0843911000, 0.1166909000, 0.1772618000, 0.3544365000", \ - "0.0855817000, 0.0940684000, 0.1103094000, 0.1390548000, 0.1865902000, 0.2669858000, 0.4050399000", \ - "0.1489061000, 0.1623999000, 0.1897607000, 0.2345995000, 0.3089887000, 0.4266958000, 0.6085345000"); - } - related_pin : "SLEEP"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0439501000, 0.0558995000, 0.0836571000, 0.1488991000, 0.2984583000, 0.6524895000, 1.4865060000", \ - "0.0440366000, 0.0559742000, 0.0835085000, 0.1479901000, 0.2999269000, 0.6506792000, 1.4751167000", \ - "0.0442104000, 0.0559832000, 0.0837208000, 0.1479644000, 0.2996045000, 0.6523395000, 1.4889139000", \ - "0.0491786000, 0.0598096000, 0.0853109000, 0.1483279000, 0.2989474000, 0.6557169000, 1.4807034000", \ - "0.0696060000, 0.0806421000, 0.1053322000, 0.1591477000, 0.3010932000, 0.6547448000, 1.4788468000", \ - "0.1129302000, 0.1258505000, 0.1541389000, 0.2119272000, 0.3378151000, 0.6581760000, 1.4835150000", \ - "0.1903240000, 0.2085342000, 0.2462656000, 0.3197626000, 0.4621437000, 0.7558062000, 1.4969191000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_isobufsrc_16") { - leakage_power () { - value : 0.0722837000; - when : "!A&SLEEP"; - } - leakage_power () { - value : 0.0069600000; - when : "!A&!SLEEP"; - } - leakage_power () { - value : 0.0147678000; - when : "A&SLEEP"; - } - leakage_power () { - value : 0.0273559000; - when : "A&!SLEEP"; - } - area : 45.043200000; - cell_footprint : "sky130_fd_sc_hd__isobufsrc"; - cell_leakage_power : 0.0303418800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_isolation_cell : "true"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0087530000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0083040000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0749865000, 0.0746861000, 0.0739937000, 0.0743815000, 0.0752757000, 0.0773369000, 0.0820881000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0600982000, 0.0598271000, 0.0592023000, 0.0594977000, 0.0601787000, 0.0617486000, 0.0653675000"); - } - } - isolation_cell_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092030000; - } - pin ("SLEEP") { - capacitance : 0.0323230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0299990000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0316257000, 0.0315764000, 0.0314630000, 0.0316032000, 0.0319267000, 0.0326723000, 0.0343911000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.028057600, -0.028045300, -0.028017000, -0.028027200, -0.028050700, -0.028104900, -0.028229900"); - } - } - isolation_cell_enable_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0346470000; - } - pin ("X") { - direction : "output"; - function : "(A&!SLEEP)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016192410, 0.0052438840, 0.0169822300, 0.0549966400, 0.1781057000, 0.5767920000"); - values("0.0695820000, 0.0678177000, 0.0623785000, 0.0439268000, -0.015978000, -0.213266800, -0.857525500", \ - "0.0693421000, 0.0675760000, 0.0620927000, 0.0437789000, -0.016205300, -0.213488300, -0.857751000", \ - "0.0695742000, 0.0678491000, 0.0622331000, 0.0440679000, -0.015961300, -0.213291200, -0.857427900", \ - "0.0679270000, 0.0661857000, 0.0605137000, 0.0424321000, -0.017554400, -0.214564500, -0.857893500", \ - "0.0663085000, 0.0645962000, 0.0588246000, 0.0406173000, -0.019150700, -0.216271600, -0.860019400", \ - "0.0635521000, 0.0618183000, 0.0561624000, 0.0380224000, -0.021532000, -0.217701100, -0.860697400", \ - "0.0665169000, 0.0647031000, 0.0588148000, 0.0401277000, -0.020845100, -0.217338200, -0.859767300"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016192410, 0.0052438840, 0.0169822300, 0.0549966400, 0.1781057000, 0.5767920000"); - values("0.0916799000, 0.0935915000, 0.0997514000, 0.1191619000, 0.1807177000, 0.3794335000, 1.0157415000", \ - "0.0916260000, 0.0935210000, 0.0996524000, 0.1190902000, 0.1807589000, 0.3793891000, 1.0154350000", \ - "0.0915520000, 0.0934401000, 0.0995188000, 0.1189664000, 0.1805611000, 0.3776501000, 1.0158186000", \ - "0.0899524000, 0.0918100000, 0.0978539000, 0.1174444000, 0.1794858000, 0.3766360000, 1.0141100000", \ - "0.0883930000, 0.0902499000, 0.0962665000, 0.1155396000, 0.1776884000, 0.3767272000, 1.0147835000", \ - "0.0873287000, 0.0891523000, 0.0951839000, 0.1146003000, 0.1763520000, 0.3745035000, 1.0126199000", \ - "0.0901867000, 0.0920266000, 0.0979351000, 0.1172623000, 0.1791467000, 0.3772340000, 1.0141209000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016192410, 0.0052438840, 0.0169822300, 0.0549966400, 0.1781057000, 0.5767920000"); - values("0.0065022000, 0.0048572000, -0.000549000, -0.018841500, -0.079696000, -0.278323500, -0.923875700", \ - "0.0047452000, 0.0031324000, -0.002212900, -0.020029000, -0.079672900, -0.277365900, -0.922477000", \ - "0.0016622000, -0.000101100, -0.005331300, -0.022757200, -0.081096200, -0.277207200, -0.921522300", \ - "-0.002969200, -0.004560700, -0.009778100, -0.026705800, -0.084082800, -0.278533900, -0.921498300", \ - "-0.004454400, -0.006130600, -0.011728900, -0.029677900, -0.087568600, -0.281486000, -0.922500200", \ - "0.0017727000, -0.000139900, -0.006321900, -0.025610300, -0.085686100, -0.283982700, -0.924033300", \ - "0.0220554000, 0.0199116000, 0.0131331000, -0.008393500, -0.074297900, -0.277310600, -0.923468300"); - } - related_pin : "SLEEP"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016192410, 0.0052438840, 0.0169822300, 0.0549966400, 0.1781057000, 0.5767920000"); - values("0.0924340000, 0.0948064000, 0.1020013000, 0.1238127000, 0.1880153000, 0.3883843000, 1.0248540000", \ - "0.0885415000, 0.0907501000, 0.0976766000, 0.1194475000, 0.1849379000, 0.3851062000, 1.0246468000", \ - "0.0857895000, 0.0877355000, 0.0942343000, 0.1149645000, 0.1801199000, 0.3836683000, 1.0232117000", \ - "0.0846450000, 0.0865056000, 0.0924711000, 0.1122887000, 0.1758497000, 0.3777191000, 1.0205847000", \ - "0.0857395000, 0.0875043000, 0.0932753000, 0.1121341000, 0.1732608000, 0.3735878000, 1.0159804000", \ - "0.0923697000, 0.0940190000, 0.0994308000, 0.1176378000, 0.1770442000, 0.3728858000, 1.0130118000", \ - "0.1116951000, 0.1133223000, 0.1183656000, 0.1350728000, 0.1916843000, 0.3833666000, 1.0142066000"); - } - } - max_capacitance : 0.5767920000; - max_transition : 1.5038860000; - power_down_function : "(!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016192400, 0.0052438800, 0.0169822000, 0.0549966000, 0.1781060000, 0.5767920000"); - values("0.1446968000, 0.1456491000, 0.1487949000, 0.1579676000, 0.1842385000, 0.2578618000, 0.4808803000", \ - "0.1498669000, 0.1508225000, 0.1539705000, 0.1631562000, 0.1894112000, 0.2630478000, 0.4860430000", \ - "0.1630255000, 0.1639888000, 0.1670451000, 0.1762732000, 0.2024337000, 0.2761575000, 0.4984852000", \ - "0.1947871000, 0.1957542000, 0.1988175000, 0.2080565000, 0.2342732000, 0.3081523000, 0.5314315000", \ - "0.2665664000, 0.2676409000, 0.2705539000, 0.2798911000, 0.3061252000, 0.3806320000, 0.6028347000", \ - "0.3977356000, 0.3988063000, 0.4020434000, 0.4122074000, 0.4399510000, 0.5160743000, 0.7400217000", \ - "0.6056527000, 0.6070456000, 0.6113282000, 0.6231924000, 0.6557592000, 0.7359547000, 0.9634167000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016192400, 0.0052438800, 0.0169822000, 0.0549966000, 0.1781060000, 0.5767920000"); - values("0.1462669000, 0.1486647000, 0.1561552000, 0.1786613000, 0.2481326000, 0.4661822000, 1.1692326000", \ - "0.1508071000, 0.1531803000, 0.1605811000, 0.1830769000, 0.2524464000, 0.4714415000, 1.1732562000", \ - "0.1615886000, 0.1639367000, 0.1714286000, 0.1938026000, 0.2632079000, 0.4816553000, 1.1823684000", \ - "0.1851604000, 0.1875944000, 0.1948307000, 0.2176153000, 0.2868089000, 0.5044511000, 1.2054255000", \ - "0.2216230000, 0.2239557000, 0.2312250000, 0.2539192000, 0.3238755000, 0.5432886000, 1.2503852000", \ - "0.2651904000, 0.2675884000, 0.2751988000, 0.2983185000, 0.3686089000, 0.5866617000, 1.2877449000", \ - "0.2976239000, 0.3002449000, 0.3084712000, 0.3327583000, 0.4045677000, 0.6232559000, 1.3239980000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016192400, 0.0052438800, 0.0169822000, 0.0549966000, 0.1781060000, 0.5767920000"); - values("0.0448278000, 0.0459953000, 0.0497975000, 0.0612827000, 0.0985720000, 0.2224086000, 0.6351299000", \ - "0.0448779000, 0.0460378000, 0.0498262000, 0.0612789000, 0.0986205000, 0.2224245000, 0.6350748000", \ - "0.0450261000, 0.0461365000, 0.0497276000, 0.0615330000, 0.0986906000, 0.2221747000, 0.6340379000", \ - "0.0450466000, 0.0461492000, 0.0497559000, 0.0615599000, 0.0986810000, 0.2220353000, 0.6349741000", \ - "0.0457099000, 0.0468905000, 0.0503614000, 0.0620945000, 0.0991271000, 0.2224741000, 0.6360333000", \ - "0.0537073000, 0.0548962000, 0.0585998000, 0.0702448000, 0.1055762000, 0.2259368000, 0.6346416000", \ - "0.0706609000, 0.0719278000, 0.0760205000, 0.0877418000, 0.1222223000, 0.2365023000, 0.6405494000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016192400, 0.0052438800, 0.0169822000, 0.0549966000, 0.1781060000, 0.5767920000"); - values("0.0727802000, 0.0753309000, 0.0837727000, 0.1117405000, 0.2039368000, 0.5059259000, 1.4811730000", \ - "0.0728560000, 0.0754454000, 0.0838382000, 0.1117411000, 0.2036438000, 0.5059181000, 1.4794840000", \ - "0.0728990000, 0.0754896000, 0.0838838000, 0.1117375000, 0.2037493000, 0.5041603000, 1.4786402000", \ - "0.0729980000, 0.0755982000, 0.0841417000, 0.1117189000, 0.2040676000, 0.5049015000, 1.4765656000", \ - "0.0738957000, 0.0766012000, 0.0848444000, 0.1123915000, 0.2043735000, 0.5054133000, 1.4835470000", \ - "0.0764581000, 0.0789803000, 0.0872638000, 0.1145202000, 0.2051114000, 0.5036686000, 1.4760860000", \ - "0.0834975000, 0.0859637000, 0.0939673000, 0.1204006000, 0.2084918000, 0.5047209000, 1.4746270000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016192400, 0.0052438800, 0.0169822000, 0.0549966000, 0.1781060000, 0.5767920000"); - values("0.0192414000, 0.0197617000, 0.0213544000, 0.0260653000, 0.0393986000, 0.0801068000, 0.2095880000", \ - "0.0233402000, 0.0238613000, 0.0254824000, 0.0302300000, 0.0437605000, 0.0846733000, 0.2146233000", \ - "0.0305079000, 0.0312403000, 0.0335124000, 0.0396116000, 0.0540811000, 0.0950484000, 0.2247531000", \ - "0.0367529000, 0.0378868000, 0.0413386000, 0.0508240000, 0.0731516000, 0.1194286000, 0.2497349000", \ - "0.0364040000, 0.0381216000, 0.0434559000, 0.0582941000, 0.0936514000, 0.1648537000, 0.3062400000", \ - "0.0143228000, 0.0170337000, 0.0253278000, 0.0484718000, 0.1038399000, 0.2171107000, 0.4236159000", \ - "-0.066967200, -0.062734300, -0.049775800, -0.013577200, 0.0728021000, 0.2502205000, 0.5754723000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016192400, 0.0052438800, 0.0169822000, 0.0549966000, 0.1781060000, 0.5767920000"); - values("0.0636056000, 0.0664750000, 0.0744361000, 0.0989850000, 0.1704120000, 0.3903290000, 1.0891683000", \ - "0.0644826000, 0.0669135000, 0.0747749000, 0.0989886000, 0.1717418000, 0.3924009000, 1.0930966000", \ - "0.0739286000, 0.0760725000, 0.0834316000, 0.1062800000, 0.1786221000, 0.4027367000, 1.1037410000", \ - "0.1029064000, 0.1049294000, 0.1113810000, 0.1328363000, 0.2028936000, 0.4242057000, 1.1290989000", \ - "0.1542739000, 0.1575780000, 0.1677506000, 0.1966528000, 0.2684448000, 0.4870367000, 1.1918990000", \ - "0.2399972000, 0.2448534000, 0.2594538000, 0.3018649000, 0.4078657000, 0.6414154000, 1.3453400000", \ - "0.3988961000, 0.4054133000, 0.4257771000, 0.4847733000, 0.6381816000, 0.9812603000, 1.6992620000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016192400, 0.0052438800, 0.0169822000, 0.0549966000, 0.1781060000, 0.5767920000"); - values("0.0102197000, 0.0107166000, 0.0123837000, 0.0178461000, 0.0361651000, 0.0959033000, 0.2895865000", \ - "0.0104956000, 0.0109472000, 0.0125540000, 0.0180158000, 0.0362329000, 0.0957871000, 0.2896110000", \ - "0.0154872000, 0.0159654000, 0.0176030000, 0.0220917000, 0.0376714000, 0.0959060000, 0.2897643000", \ - "0.0248166000, 0.0254783000, 0.0277736000, 0.0339632000, 0.0513107000, 0.1007475000, 0.2891562000", \ - "0.0430049000, 0.0442395000, 0.0474770000, 0.0566162000, 0.0796595000, 0.1335142000, 0.2969439000", \ - "0.0776010000, 0.0792910000, 0.0847423000, 0.0981781000, 0.1316419000, 0.2055078000, 0.3674177000", \ - "0.1427702000, 0.1455222000, 0.1522143000, 0.1740569000, 0.2269341000, 0.3345183000, 0.5441344000"); - } - related_pin : "SLEEP"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016192400, 0.0052438800, 0.0169822000, 0.0549966000, 0.1781060000, 0.5767920000"); - values("0.0686153000, 0.0712955000, 0.0804490000, 0.1092822000, 0.2029655000, 0.5061426000, 1.4755812000", \ - "0.0681910000, 0.0709948000, 0.0800989000, 0.1091745000, 0.2032386000, 0.5046243000, 1.4772117000", \ - "0.0672699000, 0.0699829000, 0.0792003000, 0.1086239000, 0.2026020000, 0.5057115000, 1.4752589000", \ - "0.0737691000, 0.0759648000, 0.0833026000, 0.1091716000, 0.2024075000, 0.5048877000, 1.4778613000", \ - "0.1012711000, 0.1044448000, 0.1145300000, 0.1368052000, 0.2116914000, 0.5056101000, 1.4784628000", \ - "0.1365480000, 0.1408653000, 0.1527414000, 0.1883787000, 0.2803610000, 0.5241289000, 1.4767287000", \ - "0.2028318000, 0.2080519000, 0.2242502000, 0.2723957000, 0.3985406000, 0.6817770000, 1.5038859000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_isobufsrc_2") { - leakage_power () { - value : 0.0004672000; - when : "!A&SLEEP"; - } - leakage_power () { - value : 0.0039075000; - when : "!A&!SLEEP"; - } - leakage_power () { - value : 0.0045917000; - when : "A&SLEEP"; - } - leakage_power () { - value : 0.0038997000; - when : "A&!SLEEP"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__isobufsrc"; - cell_leakage_power : 0.0032165400; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_isolation_cell : "true"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014500000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014020000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0113286000, 0.0112418000, 0.0110416000, 0.0111014000, 0.0112392000, 0.0115568000, 0.0122889000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0036756000, 0.0036283000, 0.0035192000, 0.0035750000, 0.0037036000, 0.0040001000, 0.0046834000"); - } - } - isolation_cell_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014970000; - } - pin ("SLEEP") { - capacitance : 0.0043250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082382000, 0.0082360000, 0.0082309000, 0.0082275000, 0.0082195000, 0.0082011000, 0.0081586000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007666700, -0.007719800, -0.007842100, -0.007845700, -0.007853900, -0.007873100, -0.007917100"); - } - } - isolation_cell_enable_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045380000; - } - pin ("X") { - direction : "output"; - function : "(A&!SLEEP)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0019773000, 0.0008894000, -0.001835900, -0.008938900, -0.029202900, -0.083576500, -0.224554800", \ - "0.0019623000, 0.0008846000, -0.001777100, -0.008930100, -0.029187400, -0.083564600, -0.224571000", \ - "0.0020112000, 0.0008920000, -0.001767100, -0.008899500, -0.029142100, -0.083537900, -0.224508400", \ - "0.0017734000, 0.0006720000, -0.002021200, -0.009227300, -0.029497000, -0.083781400, -0.224757200", \ - "0.0015652000, 0.0004042000, -0.002363300, -0.009645800, -0.029881400, -0.084131400, -0.224998300", \ - "0.0023957000, 0.0011162000, -0.002091500, -0.010279400, -0.030470000, -0.084525700, -0.225249200", \ - "0.0041358000, 0.0027719000, -9.86000e-05, -0.008704900, -0.030326700, -0.084775000, -0.225317200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0110047000, 0.0123598000, 0.0158202000, 0.0244993000, 0.0461387000, 0.1015427000, 0.2404454000", \ - "0.0110199000, 0.0123639000, 0.0158282000, 0.0244937000, 0.0461430000, 0.1008110000, 0.2407682000", \ - "0.0110383000, 0.0123733000, 0.0158046000, 0.0244559000, 0.0462560000, 0.1014970000, 0.2406209000", \ - "0.0108948000, 0.0122061000, 0.0155791000, 0.0240453000, 0.0459114000, 0.1005815000, 0.2401687000", \ - "0.0105477000, 0.0118392000, 0.0151950000, 0.0235755000, 0.0452509000, 0.0999360000, 0.2398213000", \ - "0.0108543000, 0.0121172000, 0.0153525000, 0.0235553000, 0.0448561000, 0.0996035000, 0.2393984000", \ - "0.0110891000, 0.0123294000, 0.0155321000, 0.0238938000, 0.0448434000, 0.0999790000, 0.2392051000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0079985000, 0.0067413000, 0.0035105000, -0.004818600, -0.026161600, -0.081013200, -0.222111600", \ - "0.0076934000, 0.0064538000, 0.0032741000, -0.005016300, -0.026298700, -0.081160800, -0.222173900", \ - "0.0074126000, 0.0061968000, 0.0030406000, -0.005207400, -0.026411200, -0.081198900, -0.222252100", \ - "0.0068614000, 0.0056505000, 0.0025710000, -0.005512100, -0.026628800, -0.081363300, -0.222334600", \ - "0.0071687000, 0.0058998000, 0.0027311000, -0.005388100, -0.026913900, -0.081520900, -0.222399200", \ - "0.0082961000, 0.0070587000, 0.0037754000, -0.004638900, -0.026120400, -0.081381400, -0.222407000", \ - "0.0115054000, 0.0100427000, 0.0065630000, -0.002314800, -0.024369600, -0.080051200, -0.221917600"); - } - related_pin : "SLEEP"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0125463000, 0.0139197000, 0.0173578000, 0.0258754000, 0.0472672000, 0.1014963000, 0.2410120000", \ - "0.0122337000, 0.0135644000, 0.0169977000, 0.0255709000, 0.0471821000, 0.1014746000, 0.2410179000", \ - "0.0120044000, 0.0133202000, 0.0166693000, 0.0251792000, 0.0466831000, 0.1016121000, 0.2428835000", \ - "0.0118549000, 0.0131609000, 0.0164847000, 0.0249056000, 0.0464890000, 0.1013547000, 0.2406958000", \ - "0.0116996000, 0.0130082000, 0.0163068000, 0.0246357000, 0.0460334000, 0.1006361000, 0.2403290000", \ - "0.0117938000, 0.0130777000, 0.0163125000, 0.0247038000, 0.0460421000, 0.1008531000, 0.2400270000", \ - "0.0128783000, 0.0139969000, 0.0170514000, 0.0250311000, 0.0464620000, 0.1008679000, 0.2415851000"); - } - } - max_capacitance : 0.1428320000; - max_transition : 1.4931760000; - power_down_function : "(!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.1191507000, 0.1230982000, 0.1316109000, 0.1481851000, 0.1787895000, 0.2404221000, 0.3824831000", \ - "0.1241789000, 0.1280997000, 0.1365535000, 0.1529632000, 0.1837590000, 0.2456539000, 0.3876908000", \ - "0.1366735000, 0.1405968000, 0.1490155000, 0.1654451000, 0.1961940000, 0.2579473000, 0.3997786000", \ - "0.1672347000, 0.1711899000, 0.1796397000, 0.1961668000, 0.2271464000, 0.2889988000, 0.4307835000", \ - "0.2383217000, 0.2422754000, 0.2507481000, 0.2674242000, 0.2986436000, 0.3605578000, 0.5020364000", \ - "0.3603219000, 0.3652304000, 0.3761966000, 0.3962687000, 0.4318882000, 0.4976035000, 0.6402731000", \ - "0.5448403000, 0.5513525000, 0.5652900000, 0.5914524000, 0.6362436000, 0.7113335000, 0.8591082000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0850827000, 0.0915806000, 0.1077065000, 0.1477067000, 0.2471145000, 0.4983962000, 1.1352976000", \ - "0.0900440000, 0.0965464000, 0.1126415000, 0.1525025000, 0.2520509000, 0.5025037000, 1.1440479000", \ - "0.1017131000, 0.1082521000, 0.1241953000, 0.1639636000, 0.2639431000, 0.5156037000, 1.1547951000", \ - "0.1279568000, 0.1342575000, 0.1500619000, 0.1891261000, 0.2889944000, 0.5417804000, 1.1783942000", \ - "0.1717177000, 0.1782563000, 0.1941255000, 0.2332152000, 0.3318474000, 0.5829571000, 1.2209209000", \ - "0.2310062000, 0.2382372000, 0.2549623000, 0.2940025000, 0.3913674000, 0.6406796000, 1.2824904000", \ - "0.2973325000, 0.3069641000, 0.3274714000, 0.3695982000, 0.4649781000, 0.7149331000, 1.3520109000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0254083000, 0.0276955000, 0.0333064000, 0.0450796000, 0.0720788000, 0.1388267000, 0.3183009000", \ - "0.0254099000, 0.0280855000, 0.0332918000, 0.0453896000, 0.0723231000, 0.1389905000, 0.3192530000", \ - "0.0256039000, 0.0276651000, 0.0330740000, 0.0456818000, 0.0720294000, 0.1390372000, 0.3184676000", \ - "0.0254888000, 0.0278462000, 0.0333572000, 0.0453043000, 0.0719044000, 0.1390676000, 0.3184578000", \ - "0.0273382000, 0.0294373000, 0.0346769000, 0.0460064000, 0.0730921000, 0.1388552000, 0.3186862000", \ - "0.0394351000, 0.0427221000, 0.0478363000, 0.0592400000, 0.0846775000, 0.1455955000, 0.3208909000", \ - "0.0586966000, 0.0621029000, 0.0694690000, 0.0840776000, 0.1085627000, 0.1665664000, 0.3295225000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0381011000, 0.0460886000, 0.0664559000, 0.1192002000, 0.2536772000, 0.6001803000, 1.4758188000", \ - "0.0380606000, 0.0460790000, 0.0663492000, 0.1190981000, 0.2537064000, 0.5975983000, 1.4793547000", \ - "0.0381432000, 0.0459972000, 0.0665279000, 0.1191819000, 0.2537545000, 0.5994495000, 1.4808624000", \ - "0.0383746000, 0.0464138000, 0.0666500000, 0.1190637000, 0.2545955000, 0.5979719000, 1.4765567000", \ - "0.0414338000, 0.0490315000, 0.0684923000, 0.1197716000, 0.2534887000, 0.5975594000, 1.4768212000", \ - "0.0494856000, 0.0566454000, 0.0740001000, 0.1223709000, 0.2546289000, 0.5966870000, 1.4775206000", \ - "0.0646317000, 0.0718417000, 0.0892738000, 0.1323175000, 0.2569990000, 0.6002753000, 1.4740861000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0159532000, 0.0176173000, 0.0216005000, 0.0309414000, 0.0531194000, 0.1078442000, 0.2462899000", \ - "0.0210041000, 0.0225194000, 0.0263262000, 0.0355495000, 0.0577057000, 0.1124223000, 0.2509850000", \ - "0.0305058000, 0.0325879000, 0.0373189000, 0.0469902000, 0.0686917000, 0.1233813000, 0.2619028000", \ - "0.0425369000, 0.0457016000, 0.0525812000, 0.0675312000, 0.0948940000, 0.1496354000, 0.2875701000", \ - "0.0548513000, 0.0594791000, 0.0700069000, 0.0929645000, 0.1356883000, 0.2085364000, 0.3476981000", \ - "0.0581121000, 0.0655313000, 0.0820781000, 0.1167581000, 0.1838952000, 0.2981516000, 0.4853830000", \ - "0.0276354000, 0.0389158000, 0.0654221000, 0.1208359000, 0.2254197000, 0.4057636000, 0.6965175000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0629015000, 0.0693818000, 0.0853190000, 0.1247011000, 0.2232979000, 0.4745219000, 1.1094585000", \ - "0.0674587000, 0.0739285000, 0.0897569000, 0.1292312000, 0.2286494000, 0.4773527000, 1.1145312000", \ - "0.0800053000, 0.0863115000, 0.1020384000, 0.1409270000, 0.2393839000, 0.4951237000, 1.1348560000", \ - "0.1061866000, 0.1126919000, 0.1285296000, 0.1673577000, 0.2659028000, 0.5164865000, 1.1546973000", \ - "0.1482228000, 0.1573663000, 0.1785699000, 0.2249118000, 0.3247594000, 0.5746900000, 1.2141327000", \ - "0.2147495000, 0.2301026000, 0.2617924000, 0.3272468000, 0.4548423000, 0.7127467000, 1.3517981000", \ - "0.3211601000, 0.3438257000, 0.3946903000, 0.4967494000, 0.6826497000, 1.0133467000, 1.6755601000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0121092000, 0.0140031000, 0.0185590000, 0.0296002000, 0.0572151000, 0.1284871000, 0.3130052000", \ - "0.0125308000, 0.0141061000, 0.0183185000, 0.0293277000, 0.0572436000, 0.1284171000, 0.3119147000", \ - "0.0183850000, 0.0198361000, 0.0229534000, 0.0316193000, 0.0572586000, 0.1283941000, 0.3122562000", \ - "0.0290410000, 0.0312239000, 0.0363334000, 0.0468043000, 0.0664812000, 0.1298479000, 0.3119242000", \ - "0.0478155000, 0.0515389000, 0.0586214000, 0.0749412000, 0.1026737000, 0.1550897000, 0.3153853000", \ - "0.0800461000, 0.0857045000, 0.0979205000, 0.1227889000, 0.1666031000, 0.2410588000, 0.3738677000", \ - "0.1388318000, 0.1477538000, 0.1676557000, 0.2070200000, 0.2755252000, 0.3882712000, 0.5762861000"); - } - related_pin : "SLEEP"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0375697000, 0.0457917000, 0.0664683000, 0.1192484000, 0.2543825000, 0.5982128000, 1.4769065000", \ - "0.0377768000, 0.0457266000, 0.0663373000, 0.1192721000, 0.2540506000, 0.5960867000, 1.4718062000", \ - "0.0379283000, 0.0458727000, 0.0666592000, 0.1191320000, 0.2533675000, 0.5994884000, 1.4898314000", \ - "0.0413939000, 0.0488491000, 0.0679864000, 0.1196340000, 0.2540320000, 0.5974135000, 1.4805017000", \ - "0.0580488000, 0.0662753000, 0.0863986000, 0.1316793000, 0.2566153000, 0.5976237000, 1.4757716000", \ - "0.0951699000, 0.1050091000, 0.1280694000, 0.1795429000, 0.2949418000, 0.6059665000, 1.4804326000", \ - "0.1737441000, 0.1855470000, 0.2145073000, 0.2788963000, 0.4124565000, 0.6982971000, 1.4931761000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_isobufsrc_4") { - leakage_power () { - value : 0.0004812000; - when : "!A&SLEEP"; - } - leakage_power () { - value : 0.0041047000; - when : "!A&!SLEEP"; - } - leakage_power () { - value : 0.0115579000; - when : "A&SLEEP"; - } - leakage_power () { - value : 0.0128825000; - when : "A&!SLEEP"; - } - area : 13.763200000; - cell_footprint : "sky130_fd_sc_hd__isobufsrc"; - cell_leakage_power : 0.0072565730; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_isolation_cell : "true"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023750000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0213266000, 0.0211943000, 0.0208892000, 0.0210859000, 0.0215390000, 0.0225837000, 0.0249919000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0072082000, 0.0071060000, 0.0068704000, 0.0070089000, 0.0073282000, 0.0080641000, 0.0097604000"); - } - } - isolation_cell_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024760000; - } - pin ("SLEEP") { - capacitance : 0.0086810000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082550000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0163411000, 0.0163396000, 0.0163361000, 0.0163320000, 0.0163224000, 0.0163003000, 0.0162493000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015076600, -0.015208700, -0.015513300, -0.015529200, -0.015565800, -0.015650100, -0.015844500"); - } - } - isolation_cell_enable_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091070000; - } - pin ("X") { - direction : "output"; - function : "(A&!SLEEP)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014128540, 0.0039923110, 0.0112811000, 0.0318770900, 0.0900753300, 0.2545265000"); - values("0.0065992000, 0.0053411000, 0.0018174000, -0.008193900, -0.039279600, -0.132432400, -0.398443500", \ - "0.0064967000, 0.0052380000, 0.0018190000, -0.008172400, -0.039459700, -0.132465900, -0.398516900", \ - "0.0065978000, 0.0053514000, 0.0018233000, -0.008160900, -0.039349800, -0.132365000, -0.398401000", \ - "0.0060898000, 0.0048298000, 0.0012016000, -0.008870400, -0.040103800, -0.132964200, -0.398832900", \ - "0.0053391000, 0.0039615000, 0.0002152000, -0.010052000, -0.041066500, -0.133678300, -0.399286600", \ - "0.0065224000, 0.0050538000, 0.0011078000, -0.010305300, -0.042868900, -0.134747000, -0.400086500", \ - "0.0081061000, 0.0064968000, 0.0026280000, -0.010261200, -0.043053300, -0.136051600, -0.400735000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014128540, 0.0039923110, 0.0112811000, 0.0318770900, 0.0900753300, 0.2545265000"); - values("0.0217529000, 0.0233573000, 0.0276966000, 0.0402582000, 0.0742913000, 0.1683187000, 0.4325166000", \ - "0.0217986000, 0.0232972000, 0.0277789000, 0.0402427000, 0.0742300000, 0.1683183000, 0.4352205000", \ - "0.0217274000, 0.0232999000, 0.0277585000, 0.0401276000, 0.0741001000, 0.1687842000, 0.4329226000", \ - "0.0213503000, 0.0227112000, 0.0270785000, 0.0394302000, 0.0732445000, 0.1674657000, 0.4325612000", \ - "0.0210015000, 0.0225164000, 0.0268145000, 0.0387879000, 0.0724291000, 0.1665589000, 0.4319569000", \ - "0.0212032000, 0.0226972000, 0.0268484000, 0.0383998000, 0.0715490000, 0.1657788000, 0.4296247000", \ - "0.0216327000, 0.0230409000, 0.0273182000, 0.0389346000, 0.0720717000, 0.1661579000, 0.4293406000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014128540, 0.0039923110, 0.0112811000, 0.0318770900, 0.0900753300, 0.2545265000"); - values("0.0156603000, 0.0141994000, 0.0100369000, -0.001723400, -0.034930800, -0.128921500, -0.394981000", \ - "0.0150968000, 0.0136758000, 0.0095519000, -0.002137600, -0.035224200, -0.129173400, -0.395237300", \ - "0.0145217000, 0.0131042000, 0.0091203000, -0.002464400, -0.035385500, -0.129164200, -0.395250200", \ - "0.0136036000, 0.0121971000, 0.0081973000, -0.003204400, -0.035903400, -0.129505700, -0.395382200", \ - "0.0140303000, 0.0125554000, 0.0085893000, -0.002827200, -0.036379300, -0.129800900, -0.395641900", \ - "0.0160356000, 0.0145337000, 0.0106329000, -0.001923400, -0.034878600, -0.129446000, -0.395321400", \ - "0.0218320000, 0.0201055000, 0.0156098000, 0.0031652000, -0.031595100, -0.127120800, -0.394530900"); - } - related_pin : "SLEEP"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014128540, 0.0039923110, 0.0112811000, 0.0318770900, 0.0900753300, 0.2545265000"); - values("0.0247316000, 0.0263646000, 0.0308575000, 0.0430409000, 0.0764052000, 0.1701704000, 0.4328045000", \ - "0.0240669000, 0.0256314000, 0.0300764000, 0.0423153000, 0.0759472000, 0.1698013000, 0.4325925000", \ - "0.0235703000, 0.0251247000, 0.0294647000, 0.0415909000, 0.0754951000, 0.1690164000, 0.4325206000", \ - "0.0233160000, 0.0248267000, 0.0290888000, 0.0410531000, 0.0747278000, 0.1683379000, 0.4354658000", \ - "0.0230170000, 0.0245450000, 0.0287602000, 0.0405454000, 0.0738977000, 0.1675413000, 0.4313818000", \ - "0.0231342000, 0.0245850000, 0.0287752000, 0.0406781000, 0.0741695000, 0.1676460000, 0.4305988000", \ - "0.0248213000, 0.0260731000, 0.0299101000, 0.0411290000, 0.0747645000, 0.1683236000, 0.4330686000"); - } - } - max_capacitance : 0.2545270000; - max_transition : 1.4979180000; - power_down_function : "(!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0977795000, 0.1000745000, 0.1057360000, 0.1182200000, 0.1435915000, 0.1995470000, 0.3430505000", \ - "0.1029064000, 0.1051694000, 0.1107779000, 0.1232238000, 0.1487210000, 0.2046316000, 0.3481125000", \ - "0.1157972000, 0.1180654000, 0.1236615000, 0.1362116000, 0.1617395000, 0.2175533000, 0.3610767000", \ - "0.1460184000, 0.1483136000, 0.1539129000, 0.1662587000, 0.1918519000, 0.2483772000, 0.3919360000", \ - "0.2128946000, 0.2153297000, 0.2213365000, 0.2344356000, 0.2606241000, 0.3172042000, 0.4610178000", \ - "0.3175447000, 0.3206632000, 0.3284230000, 0.3448395000, 0.3762611000, 0.4372571000, 0.5819330000", \ - "0.4716567000, 0.4757089000, 0.4859146000, 0.5065637000, 0.5473381000, 0.6187582000, 0.7663011000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0928147000, 0.0971228000, 0.1088444000, 0.1413558000, 0.2293673000, 0.4721448000, 1.1573766000", \ - "0.0976597000, 0.1019375000, 0.1137729000, 0.1461654000, 0.2341311000, 0.4770579000, 1.1617509000", \ - "0.1089983000, 0.1133625000, 0.1252057000, 0.1574394000, 0.2453100000, 0.4886567000, 1.1716412000", \ - "0.1352985000, 0.1391838000, 0.1508148000, 0.1827285000, 0.2705369000, 0.5132372000, 1.2069526000", \ - "0.1804233000, 0.1847746000, 0.1965307000, 0.2280231000, 0.3147629000, 0.5573966000, 1.2497489000", \ - "0.2421779000, 0.2471262000, 0.2597159000, 0.2914139000, 0.3774774000, 0.6194486000, 1.3003699000", \ - "0.3121997000, 0.3183856000, 0.3343887000, 0.3694187000, 0.4550140000, 0.6956424000, 1.3740475000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0217630000, 0.0232819000, 0.0267479000, 0.0357588000, 0.0586312000, 0.1217876000, 0.3098992000", \ - "0.0218615000, 0.0231300000, 0.0268550000, 0.0357496000, 0.0586032000, 0.1214610000, 0.3095133000", \ - "0.0217502000, 0.0230926000, 0.0266367000, 0.0357751000, 0.0585859000, 0.1217457000, 0.3095334000", \ - "0.0217920000, 0.0231543000, 0.0266908000, 0.0358855000, 0.0586044000, 0.1215928000, 0.3100314000", \ - "0.0258091000, 0.0271669000, 0.0306292000, 0.0387756000, 0.0606655000, 0.1224058000, 0.3099714000", \ - "0.0382268000, 0.0398160000, 0.0441935000, 0.0525366000, 0.0732338000, 0.1311745000, 0.3119316000", \ - "0.0578787000, 0.0600356000, 0.0652876000, 0.0765388000, 0.0978922000, 0.1513007000, 0.3202323000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0402938000, 0.0455372000, 0.0601489000, 0.1019518000, 0.2194860000, 0.5491805000, 1.4829701000", \ - "0.0404023000, 0.0455187000, 0.0603164000, 0.1019973000, 0.2189524000, 0.5492034000, 1.4915129000", \ - "0.0404294000, 0.0454191000, 0.0600965000, 0.1019582000, 0.2189981000, 0.5497766000, 1.4835964000", \ - "0.0405595000, 0.0457808000, 0.0604103000, 0.1019422000, 0.2195089000, 0.5481779000, 1.4865011000", \ - "0.0436174000, 0.0485572000, 0.0624350000, 0.1026194000, 0.2193180000, 0.5492477000, 1.4870921000", \ - "0.0517941000, 0.0564574000, 0.0690430000, 0.1063531000, 0.2205822000, 0.5498084000, 1.4836515000", \ - "0.0678176000, 0.0723064000, 0.0851565000, 0.1187569000, 0.2242531000, 0.5530017000, 1.4771380000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0160142000, 0.0170734000, 0.0198829000, 0.0271018000, 0.0457914000, 0.0958116000, 0.2345839000", \ - "0.0209866000, 0.0219348000, 0.0245740000, 0.0316841000, 0.0503207000, 0.1003642000, 0.2391566000", \ - "0.0299907000, 0.0313558000, 0.0347635000, 0.0428326000, 0.0611732000, 0.1111690000, 0.2499775000", \ - "0.0412602000, 0.0432970000, 0.0485171000, 0.0606468000, 0.0855117000, 0.1368408000, 0.2754638000", \ - "0.0515882000, 0.0546736000, 0.0622945000, 0.0810431000, 0.1200626000, 0.1913945000, 0.3346948000", \ - "0.0502625000, 0.0544404000, 0.0668979000, 0.0957900000, 0.1564998000, 0.2696998000, 0.4662067000", \ - "0.0087570000, 0.0159149000, 0.0348840000, 0.0803524000, 0.1754637000, 0.3539455000, 0.6623609000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0662193000, 0.0705136000, 0.0824626000, 0.1142396000, 0.2004072000, 0.4450964000, 1.1203567000", \ - "0.0706108000, 0.0749167000, 0.0866971000, 0.1184609000, 0.2053472000, 0.4494732000, 1.1264516000", \ - "0.0832835000, 0.0875039000, 0.0989490000, 0.1304218000, 0.2186063000, 0.4599167000, 1.1387854000", \ - "0.1095241000, 0.1138829000, 0.1255076000, 0.1571845000, 0.2438716000, 0.4859879000, 1.1722459000", \ - "0.1533716000, 0.1594285000, 0.1747303000, 0.2127773000, 0.3023857000, 0.5442786000, 1.2249649000", \ - "0.2256687000, 0.2348646000, 0.2580656000, 0.3130748000, 0.4290167000, 0.6817599000, 1.3626476000", \ - "0.3433862000, 0.3580201000, 0.3950045000, 0.4795025000, 0.6499844000, 0.9812183000, 1.6839738000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0123489000, 0.0135213000, 0.0166915000, 0.0253564000, 0.0486799000, 0.1150753000, 0.3039048000", \ - "0.0127588000, 0.0137470000, 0.0166011000, 0.0249156000, 0.0486199000, 0.1146939000, 0.3035438000", \ - "0.0185441000, 0.0194579000, 0.0220905000, 0.0282152000, 0.0490440000, 0.1147665000, 0.3031523000", \ - "0.0293116000, 0.0307264000, 0.0343030000, 0.0425244000, 0.0606824000, 0.1171715000, 0.3027833000", \ - "0.0474978000, 0.0496781000, 0.0551350000, 0.0682077000, 0.0943336000, 0.1473979000, 0.3071406000", \ - "0.0790182000, 0.0829210000, 0.0916790000, 0.1126393000, 0.1538581000, 0.2288781000, 0.3717098000", \ - "0.1367200000, 0.1423041000, 0.1572647000, 0.1905674000, 0.2545258000, 0.3673546000, 0.5672125000"); - } - related_pin : "SLEEP"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0398143000, 0.0450898000, 0.0601129000, 0.1017104000, 0.2191035000, 0.5514366000, 1.4809522000", \ - "0.0399204000, 0.0451002000, 0.0601251000, 0.1017833000, 0.2195716000, 0.5508926000, 1.4813050000", \ - "0.0399267000, 0.0453411000, 0.0601783000, 0.1017358000, 0.2198251000, 0.5492258000, 1.4773405000", \ - "0.0431195000, 0.0480103000, 0.0619661000, 0.1022664000, 0.2199237000, 0.5492981000, 1.4906449000", \ - "0.0588935000, 0.0643565000, 0.0789295000, 0.1159391000, 0.2236749000, 0.5507776000, 1.4812200000", \ - "0.0942630000, 0.1003789000, 0.1170014000, 0.1601011000, 0.2638580000, 0.5587811000, 1.4859255000", \ - "0.1712996000, 0.1784710000, 0.1994217000, 0.2516639000, 0.3731783000, 0.6569621000, 1.4979178000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_isobufsrc_8") { - leakage_power () { - value : 0.0049245000; - when : "!A&SLEEP"; - } - leakage_power () { - value : 0.0041854000; - when : "!A&!SLEEP"; - } - leakage_power () { - value : 0.0127058000; - when : "A&SLEEP"; - } - leakage_power () { - value : 0.0168970000; - when : "A&!SLEEP"; - } - area : 23.772800000; - cell_footprint : "sky130_fd_sc_hd__isobufsrc"; - cell_leakage_power : 0.0096781860; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_isolation_cell : "true"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0046180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0044010000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0382978000, 0.0381008000, 0.0376467000, 0.0378678000, 0.0383776000, 0.0395529000, 0.0422619000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0302519000, 0.0300983000, 0.0297441000, 0.0299797000, 0.0305228000, 0.0317745000, 0.0346597000"); - } - } - isolation_cell_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0048350000; - } - pin ("SLEEP") { - capacitance : 0.0167970000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0156170000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0160546000, 0.0160248000, 0.0159561000, 0.0160232000, 0.0161779000, 0.0165345000, 0.0173566000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.014123200, -0.014115100, -0.014096300, -0.014088500, -0.014070500, -0.014029100, -0.013933600"); - } - } - isolation_cell_enable_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0179770000; - } - pin ("X") { - direction : "output"; - function : "(A&!SLEEP)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015359980, 0.0047185810, 0.0144954600, 0.0445300100, 0.1367960000, 0.4202369000"); - values("0.0337480000, 0.0321832000, 0.0273051000, 0.0121875000, -0.034692200, -0.182926500, -0.641206600", \ - "0.0337420000, 0.0322256000, 0.0273648000, 0.0120648000, -0.034713400, -0.183050600, -0.641258200", \ - "0.0337034000, 0.0321166000, 0.0273087000, 0.0121292000, -0.034888400, -0.183016800, -0.641155400", \ - "0.0328236000, 0.0312166000, 0.0264338000, 0.0112595000, -0.035515500, -0.183622100, -0.641707200", \ - "0.0311123000, 0.0295228000, 0.0246253000, 0.0095634000, -0.037212900, -0.184657000, -0.642532100", \ - "0.0309026000, 0.0292176000, 0.0241558000, 0.0086936000, -0.037339300, -0.184830100, -0.642575400", \ - "0.0341630000, 0.0324795000, 0.0273940000, 0.0117540000, -0.036225200, -0.185122900, -0.642021000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015359980, 0.0047185810, 0.0144954600, 0.0445300100, 0.1367960000, 0.4202369000"); - values("0.0461754000, 0.0479447000, 0.0533242000, 0.0695244000, 0.1186223000, 0.2676013000, 0.7249494000", \ - "0.0461239000, 0.0479093000, 0.0532836000, 0.0696576000, 0.1186956000, 0.2677917000, 0.7247716000", \ - "0.0461459000, 0.0479169000, 0.0532104000, 0.0695773000, 0.1186607000, 0.2678766000, 0.7249223000", \ - "0.0451994000, 0.0469556000, 0.0523289000, 0.0685553000, 0.1172383000, 0.2655969000, 0.7192469000", \ - "0.0442806000, 0.0460138000, 0.0512968000, 0.0672807000, 0.1163190000, 0.2645719000, 0.7205497000", \ - "0.0440535000, 0.0457291000, 0.0509005000, 0.0665223000, 0.1155539000, 0.2636452000, 0.7215739000", \ - "0.0454567000, 0.0472381000, 0.0524960000, 0.0685433000, 0.1175174000, 0.2654450000, 0.7196445000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015359980, 0.0047185810, 0.0144954600, 0.0445300100, 0.1367960000, 0.4202369000"); - values("0.0028373000, 0.0012880000, -0.003543400, -0.018950000, -0.067157700, -0.216257000, -0.675307200", \ - "0.0015635000, -8.50000e-06, -0.004696700, -0.019637300, -0.067174900, -0.215864500, -0.674652200", \ - "-0.000707800, -0.002224600, -0.006687900, -0.021218900, -0.067959400, -0.215815000, -0.674281800", \ - "-0.003190400, -0.004692700, -0.009307900, -0.023526200, -0.069642700, -0.216556900, -0.674444000", \ - "-0.001978300, -0.003619200, -0.008638400, -0.023802900, -0.070814300, -0.217802700, -0.674876200", \ - "0.0013109000, -0.000466500, -0.005934100, -0.022209500, -0.070049800, -0.217804500, -0.675271400", \ - "0.0138124000, 0.0117969000, 0.0059985000, -0.011959800, -0.063356800, -0.214678000, -0.674940200"); - } - related_pin : "SLEEP"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015359980, 0.0047185810, 0.0144954600, 0.0445300100, 0.1367960000, 0.4202369000"); - values("0.0456867000, 0.0477243000, 0.0538087000, 0.0709549000, 0.1206855000, 0.2699608000, 0.7227420000", \ - "0.0437861000, 0.0456725000, 0.0514086000, 0.0687612000, 0.1190046000, 0.2684465000, 0.7282821000", \ - "0.0429544000, 0.0447224000, 0.0500525000, 0.0667254000, 0.1169412000, 0.2686868000, 0.7272739000", \ - "0.0430245000, 0.0446574000, 0.0498077000, 0.0657284000, 0.1151485000, 0.2652976000, 0.7208653000", \ - "0.0432539000, 0.0448880000, 0.0499724000, 0.0656177000, 0.1148745000, 0.2623938000, 0.7188082000", \ - "0.0478262000, 0.0493768000, 0.0541872000, 0.0691898000, 0.1159982000, 0.2629109000, 0.7206038000", \ - "0.0598252000, 0.0611911000, 0.0655340000, 0.0797276000, 0.1255247000, 0.2703213000, 0.7174285000"); - } - } - max_capacitance : 0.4202370000; - max_transition : 1.4997680000; - power_down_function : "(!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015360000, 0.0047185800, 0.0144955000, 0.0445300000, 0.1367960000, 0.4202370000"); - values("0.1176153000, 0.1187556000, 0.1220740000, 0.1310764000, 0.1536291000, 0.2093375000, 0.3639812000", \ - "0.1227279000, 0.1238772000, 0.1272344000, 0.1361698000, 0.1588797000, 0.2146611000, 0.3690467000", \ - "0.1356356000, 0.1367455000, 0.1399958000, 0.1490658000, 0.1715768000, 0.2274493000, 0.3818475000", \ - "0.1672099000, 0.1683380000, 0.1715578000, 0.1806508000, 0.2033074000, 0.2590664000, 0.4137655000", \ - "0.2373068000, 0.2384644000, 0.2418971000, 0.2511358000, 0.2739176000, 0.3301984000, 0.4849104000", \ - "0.3561729000, 0.3576262000, 0.3617887000, 0.3728439000, 0.3989258000, 0.4585539000, 0.6138058000", \ - "0.5392656000, 0.5411421000, 0.5465265000, 0.5605980000, 0.5936717000, 0.6618467000, 0.8221742000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015360000, 0.0047185800, 0.0144955000, 0.0445300000, 0.1367960000, 0.4202370000"); - values("0.1200215000, 0.1230509000, 0.1317889000, 0.1579292000, 0.2342616000, 0.4663639000, 1.1704768000", \ - "0.1246414000, 0.1276498000, 0.1365853000, 0.1627591000, 0.2386551000, 0.4697788000, 1.1752853000", \ - "0.1356754000, 0.1386273000, 0.1474695000, 0.1735672000, 0.2496817000, 0.4804657000, 1.1859596000", \ - "0.1601982000, 0.1630623000, 0.1719398000, 0.1978064000, 0.2741516000, 0.5038007000, 1.2069153000", \ - "0.1987732000, 0.2017161000, 0.2104996000, 0.2364469000, 0.3132369000, 0.5430383000, 1.2507533000", \ - "0.2456241000, 0.2488679000, 0.2581500000, 0.2848911000, 0.3615682000, 0.5914909000, 1.2984261000", \ - "0.2865588000, 0.2901258000, 0.3006874000, 0.3295131000, 0.4077474000, 0.6385726000, 1.3404308000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015360000, 0.0047185800, 0.0144955000, 0.0445300000, 0.1367960000, 0.4202370000"); - values("0.0282232000, 0.0292411000, 0.0323246000, 0.0411972000, 0.0659696000, 0.1404132000, 0.3763801000", \ - "0.0283344000, 0.0295509000, 0.0325821000, 0.0411386000, 0.0657893000, 0.1403168000, 0.3755670000", \ - "0.0283578000, 0.0295366000, 0.0326365000, 0.0411320000, 0.0659080000, 0.1401820000, 0.3757510000", \ - "0.0283921000, 0.0294417000, 0.0326697000, 0.0410538000, 0.0659128000, 0.1403835000, 0.3764113000", \ - "0.0306266000, 0.0316597000, 0.0345939000, 0.0429315000, 0.0670584000, 0.1410215000, 0.3762651000", \ - "0.0411286000, 0.0422668000, 0.0454433000, 0.0541136000, 0.0770653000, 0.1479622000, 0.3782836000", \ - "0.0583794000, 0.0598582000, 0.0640793000, 0.0748860000, 0.0991246000, 0.1644558000, 0.3850136000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015360000, 0.0047185800, 0.0144955000, 0.0445300000, 0.1367960000, 0.4202370000"); - values("0.0488622000, 0.0523234000, 0.0630468000, 0.0958878000, 0.1979956000, 0.5147492000, 1.4861836000", \ - "0.0488292000, 0.0522458000, 0.0628536000, 0.0960924000, 0.1985228000, 0.5149061000, 1.4852531000", \ - "0.0488617000, 0.0522325000, 0.0629974000, 0.0961583000, 0.1983563000, 0.5149071000, 1.4857152000", \ - "0.0491200000, 0.0526251000, 0.0631247000, 0.0960377000, 0.1978236000, 0.5115606000, 1.4757697000", \ - "0.0501731000, 0.0535841000, 0.0641825000, 0.0964446000, 0.1982712000, 0.5112261000, 1.4779412000", \ - "0.0533307000, 0.0566154000, 0.0669405000, 0.0990517000, 0.1992613000, 0.5116630000, 1.4824465000", \ - "0.0624576000, 0.0654287000, 0.0752687000, 0.1058595000, 0.2022684000, 0.5127239000, 1.4743746000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015360000, 0.0047185800, 0.0144955000, 0.0445300000, 0.1367960000, 0.4202370000"); - values("0.0151477000, 0.0157850000, 0.0175852000, 0.0226871000, 0.0372872000, 0.0809346000, 0.2144949000", \ - "0.0194638000, 0.0201179000, 0.0219886000, 0.0271911000, 0.0418808000, 0.0855647000, 0.2189484000", \ - "0.0251299000, 0.0262198000, 0.0292236000, 0.0366500000, 0.0527069000, 0.0965852000, 0.2303309000", \ - "0.0297062000, 0.0314071000, 0.0361844000, 0.0480096000, 0.0731764000, 0.1217761000, 0.2555210000", \ - "0.0279075000, 0.0305868000, 0.0381107000, 0.0568895000, 0.0969087000, 0.1717748000, 0.3134649000", \ - "0.0059769000, 0.0102856000, 0.0222637000, 0.0518715000, 0.1148263000, 0.2318813000, 0.4394206000", \ - "-0.071275800, -0.064590300, -0.046385300, 0.0001831000, 0.0998642000, 0.2872593000, 0.6115468000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015360000, 0.0047185800, 0.0144955000, 0.0445300000, 0.1367960000, 0.4202370000"); - values("0.0471441000, 0.0502291000, 0.0596576000, 0.0867491000, 0.1641268000, 0.3953066000, 1.0965286000", \ - "0.0495680000, 0.0523994000, 0.0614297000, 0.0881877000, 0.1665012000, 0.3980345000, 1.1083564000", \ - "0.0607847000, 0.0635759000, 0.0719422000, 0.0977822000, 0.1755160000, 0.4098243000, 1.1179752000", \ - "0.0891551000, 0.0923513000, 0.1015943000, 0.1258876000, 0.2015377000, 0.4349084000, 1.1390494000", \ - "0.1339225000, 0.1388951000, 0.1529829000, 0.1888517000, 0.2690757000, 0.4977722000, 1.2033113000", \ - "0.2104500000, 0.2177512000, 0.2385703000, 0.2924356000, 0.4121318000, 0.6552009000, 1.3593182000", \ - "0.3543034000, 0.3642542000, 0.3921395000, 0.4682439000, 0.6441789000, 1.0019179000, 1.7188667000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015360000, 0.0047185800, 0.0144955000, 0.0445300000, 0.1367960000, 0.4202370000"); - values("0.0073211000, 0.0079835000, 0.0100144000, 0.0163960000, 0.0359377000, 0.0963312000, 0.2812108000", \ - "0.0083556000, 0.0088969000, 0.0106266000, 0.0164962000, 0.0359579000, 0.0960440000, 0.2808102000", \ - "0.0135050000, 0.0142798000, 0.0163028000, 0.0215814000, 0.0375856000, 0.0964357000, 0.2811006000", \ - "0.0228836000, 0.0239620000, 0.0269443000, 0.0344265000, 0.0523825000, 0.1004215000, 0.2813154000", \ - "0.0405219000, 0.0421616000, 0.0467646000, 0.0579773000, 0.0828988000, 0.1345194000, 0.2878474000", \ - "0.0732488000, 0.0755938000, 0.0829560000, 0.1001149000, 0.1381889000, 0.2121423000, 0.3615457000", \ - "0.1339508000, 0.1380252000, 0.1494766000, 0.1781520000, 0.2383416000, 0.3461895000, 0.5494210000"); - } - related_pin : "SLEEP"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015360000, 0.0047185800, 0.0144955000, 0.0445300000, 0.1367960000, 0.4202370000"); - values("0.0459793000, 0.0495966000, 0.0609334000, 0.0947366000, 0.1977666000, 0.5123976000, 1.4720235000", \ - "0.0456084000, 0.0494247000, 0.0605234000, 0.0944463000, 0.1979495000, 0.5114467000, 1.4879349000", \ - "0.0448136000, 0.0485828000, 0.0598350000, 0.0944980000, 0.1976933000, 0.5152596000, 1.4849157000", \ - "0.0565272000, 0.0588024000, 0.0671153000, 0.0961228000, 0.1976181000, 0.5141764000, 1.4753984000", \ - "0.0793493000, 0.0835403000, 0.0955345000, 0.1259878000, 0.2067757000, 0.5108995000, 1.4754861000", \ - "0.1174643000, 0.1231805000, 0.1394131000, 0.1825537000, 0.2754375000, 0.5295281000, 1.4825822000", \ - "0.1802606000, 0.1882133000, 0.2105895000, 0.2718851000, 0.4088286000, 0.6826879000, 1.4997682000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_isobufsrckapwr_16") { - leakage_power () { - value : 0.0109574000; - when : "!A&SLEEP"; - } - leakage_power () { - value : 0.0145802000; - when : "!A&!SLEEP"; - } - leakage_power () { - value : 0.0220334000; - when : "A&SLEEP"; - } - leakage_power () { - value : 0.0270417000; - when : "A&!SLEEP"; - } - area : 38.787200000; - cell_footprint : "sky130_fd_sc_hd__isobufsrckapwr"; - cell_leakage_power : 0.0186531800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_isolation_cell : "true"; - pg_pin ("KAPWR") { - pg_type : "backup_power"; - voltage_name : "KAPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023720000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0213133000, 0.0211815000, 0.0208777000, 0.0210733000, 0.0215240000, 0.0225628000, 0.0249574000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0069232000, 0.0068178000, 0.0065748000, 0.0067100000, 0.0070216000, 0.0077402000, 0.0093966000"); - } - } - isolation_cell_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024750000; - } - pin ("SLEEP") { - always_on : "true"; - capacitance : 0.0087260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082860000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0164540000, 0.0164474000, 0.0164323000, 0.0164286000, 0.0164201000, 0.0164008000, 0.0163561000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015046100, -0.015180500, -0.015490100, -0.015507400, -0.015547100, -0.015638500, -0.015849100"); - } - } - isolation_cell_enable_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - rise_capacitance : 0.0091650000; - } - pin ("X") { - always_on : "true"; - direction : "output"; - function : "(A&!SLEEP)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0019425400, 0.0075469200, 0.0293203800, 0.1139120000, 0.4425572000, 1.7193700000"); - values("0.1011384000, 0.0990559000, 0.0912085000, 0.0604689000, -0.068732600, -0.597171500, -2.664788000", \ - "0.1012193000, 0.0991808000, 0.0912903000, 0.0604798000, -0.068693200, -0.596831400, -2.664667900", \ - "0.1012466000, 0.0991691000, 0.0912964000, 0.0605349000, -0.068669100, -0.596992200, -2.664117800", \ - "0.1004919000, 0.0984821000, 0.0904214000, 0.0597386000, -0.069322200, -0.597858000, -2.664962600", \ - "0.0996716000, 0.0976190000, 0.0895379000, 0.0588438000, -0.070204400, -0.598681500, -2.666089400", \ - "0.0982575000, 0.0962237000, 0.0883078000, 0.0576323000, -0.071461200, -0.599947000, -2.667048700", \ - "0.1018654000, 0.0997990000, 0.0916455000, 0.0594499000, -0.071915000, -0.600313500, -2.667437500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0019425400, 0.0075469200, 0.0293203800, 0.1139120000, 0.4425572000, 1.7193700000"); - values("0.1257254000, 0.1279527000, 0.1375521000, 0.1741457000, 0.3126052000, 0.8386842000, 2.8826357000", \ - "0.1255808000, 0.1279845000, 0.1375934000, 0.1743367000, 0.3126351000, 0.8390004000, 2.8856212000", \ - "0.1257042000, 0.1279283000, 0.1375276000, 0.1741211000, 0.3125835000, 0.8386590000, 2.8827734000", \ - "0.1248480000, 0.1272889000, 0.1369274000, 0.1735260000, 0.3117895000, 0.8381754000, 2.8832796000", \ - "0.1240506000, 0.1264854000, 0.1361618000, 0.1729109000, 0.3111712000, 0.8375226000, 2.8852665000", \ - "0.1236209000, 0.1260569000, 0.1356828000, 0.1725718000, 0.3105099000, 0.8372841000, 2.8817598000", \ - "0.1271918000, 0.1296952000, 0.1387533000, 0.1749721000, 0.3132258000, 0.8390290000, 2.8750328000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0019425400, 0.0075469200, 0.0293203800, 0.1139120000, 0.4425572000, 1.7193700000"); - values("0.1059488000, 0.1039492000, 0.0959491000, 0.0649844000, -0.064215800, -0.592303700, -2.658619500", \ - "0.1055710000, 0.1036460000, 0.0955531000, 0.0645937000, -0.064467200, -0.592583600, -2.659181900", \ - "0.1052840000, 0.1033609000, 0.0953278000, 0.0644359000, -0.064751100, -0.592860800, -2.659303300", \ - "0.1045163000, 0.1024896000, 0.0944089000, 0.0635076000, -0.065633000, -0.593702000, -2.660051300", \ - "0.1043748000, 0.1024382000, 0.0942678000, 0.0632477000, -0.065814900, -0.593693900, -2.660366700", \ - "0.1098879000, 0.1077676000, 0.0997580000, 0.0674049000, -0.064735800, -0.592752300, -2.659370500", \ - "0.1154555000, 0.1134387000, 0.1044315000, 0.0720080000, -0.059457700, -0.588187000, -2.654640300"); - } - related_pin : "SLEEP"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0019425400, 0.0075469200, 0.0293203800, 0.1139120000, 0.4425572000, 1.7193700000"); - values("0.1282222000, 0.1307317000, 0.1403071000, 0.1771989000, 0.3152374000, 0.8418040000, 2.8883594000", \ - "0.1275568000, 0.1299837000, 0.1396319000, 0.1760172000, 0.3144842000, 0.8406470000, 2.8864826000", \ - "0.1265699000, 0.1290633000, 0.1386055000, 0.1756378000, 0.3137029000, 0.8400073000, 2.8769981000", \ - "0.1260055000, 0.1283776000, 0.1379120000, 0.1749129000, 0.3131194000, 0.8393288000, 2.8761733000", \ - "0.1251000000, 0.1275969000, 0.1371367000, 0.1740940000, 0.3119478000, 0.8383614000, 2.8844419000", \ - "0.1243992000, 0.1270101000, 0.1364398000, 0.1733888000, 0.3110222000, 0.8378347000, 2.8826542000", \ - "0.1281676000, 0.1305356000, 0.1400241000, 0.1759788000, 0.3131120000, 0.8412672000, 2.8808250000"); - } - } - max_capacitance : 1.7193700000; - max_transition : 1.5050850000; - power_down_function : "(!KAPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "KAPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019425400, 0.0075469200, 0.0293204000, 0.1139120000, 0.4425570000, 1.7193700000"); - values("0.2354291000, 0.2371526000, 0.2431633000, 0.2609558000, 0.3091693000, 0.4592866000, 1.0225805000", \ - "0.2405476000, 0.2422794000, 0.2482412000, 0.2660603000, 0.3141824000, 0.4640167000, 1.0295634000", \ - "0.2534655000, 0.2551818000, 0.2611396000, 0.2789562000, 0.3270831000, 0.4769597000, 1.0452522000", \ - "0.2836212000, 0.2853466000, 0.2913095000, 0.3091166000, 0.3573197000, 0.5074453000, 1.0726506000", \ - "0.3523734000, 0.3540912000, 0.3600556000, 0.3778566000, 0.4260557000, 0.5761776000, 1.1430635000", \ - "0.4670750000, 0.4687995000, 0.4747673000, 0.4925779000, 0.5406878000, 0.6909368000, 1.2584098000", \ - "0.6363470000, 0.6381063000, 0.6440834000, 0.6618945000, 0.7101538000, 0.8600728000, 1.4229226000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019425400, 0.0075469200, 0.0293204000, 0.1139120000, 0.4425570000, 1.7193700000"); - values("0.2692735000, 0.2711510000, 0.2779171000, 0.2981206000, 0.3560424000, 0.5530842000, 1.3112319000", \ - "0.2740005000, 0.2760021000, 0.2827971000, 0.3030001000, 0.3609237000, 0.5582187000, 1.3177404000", \ - "0.2854505000, 0.2873253000, 0.2940924000, 0.3142917000, 0.3722143000, 0.5692504000, 1.3272300000", \ - "0.3105980000, 0.3125561000, 0.3193147000, 0.3395570000, 0.3976021000, 0.5948289000, 1.3544416000", \ - "0.3564019000, 0.3583536000, 0.3652026000, 0.3854055000, 0.4433385000, 0.6409056000, 1.4009720000", \ - "0.4199084000, 0.4219158000, 0.4287143000, 0.4489251000, 0.5068665000, 0.7043112000, 1.4678162000", \ - "0.4987968000, 0.5007504000, 0.5074861000, 0.5277578000, 0.5857200000, 0.7828252000, 1.5397859000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019425400, 0.0075469200, 0.0293204000, 0.1139120000, 0.4425570000, 1.7193700000"); - values("0.0235083000, 0.0247190000, 0.0289399000, 0.0432891000, 0.0938746000, 0.2957353000, 1.1019111000", \ - "0.0234409000, 0.0246362000, 0.0289935000, 0.0431645000, 0.0939648000, 0.2959377000, 1.1040863000", \ - "0.0235372000, 0.0247551000, 0.0290104000, 0.0432080000, 0.0939885000, 0.2957886000, 1.1043605000", \ - "0.0235571000, 0.0247075000, 0.0289261000, 0.0430600000, 0.0939146000, 0.2954678000, 1.1040292000", \ - "0.0235509000, 0.0247208000, 0.0289213000, 0.0430615000, 0.0939273000, 0.2954552000, 1.1029582000", \ - "0.0235932000, 0.0247648000, 0.0289660000, 0.0431039000, 0.0938841000, 0.2957377000, 1.1028477000", \ - "0.0237044000, 0.0248481000, 0.0289739000, 0.0434358000, 0.0940505000, 0.2958125000, 1.1020458000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019425400, 0.0075469200, 0.0293204000, 0.1139120000, 0.4425570000, 1.7193700000"); - values("0.0272539000, 0.0287402000, 0.0339936000, 0.0523337000, 0.1212118000, 0.3997497000, 1.5036752000", \ - "0.0272279000, 0.0286754000, 0.0339892000, 0.0523860000, 0.1211861000, 0.4005061000, 1.5037984000", \ - "0.0272546000, 0.0287405000, 0.0339933000, 0.0523339000, 0.1212117000, 0.3997475000, 1.5038232000", \ - "0.0272284000, 0.0286140000, 0.0338648000, 0.0524051000, 0.1214199000, 0.4002200000, 1.5050310000", \ - "0.0272408000, 0.0286492000, 0.0339565000, 0.0524495000, 0.1211219000, 0.4000608000, 1.5041449000", \ - "0.0272756000, 0.0286954000, 0.0340062000, 0.0524588000, 0.1214270000, 0.4006356000, 1.5050852000", \ - "0.0274333000, 0.0289522000, 0.0341902000, 0.0524558000, 0.1212856000, 0.3999941000, 1.5009578000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019425400, 0.0075469200, 0.0293204000, 0.1139120000, 0.4425570000, 1.7193700000"); - values("0.1462297000, 0.1479947000, 0.1539976000, 0.1718862000, 0.2203205000, 0.3707581000, 0.9351682000", \ - "0.1507265000, 0.1524648000, 0.1584486000, 0.1763533000, 0.2247949000, 0.3750765000, 0.9431333000", \ - "0.1616141000, 0.1634094000, 0.1694095000, 0.1873060000, 0.2357586000, 0.3861941000, 0.9503242000", \ - "0.1824712000, 0.1842081000, 0.1902176000, 0.2081202000, 0.2565687000, 0.4070130000, 0.9716492000", \ - "0.2093249000, 0.2110734000, 0.2170736000, 0.2347329000, 0.2832605000, 0.4337080000, 0.9972440000", \ - "0.2349805000, 0.2367116000, 0.2427164000, 0.2606249000, 0.3091432000, 0.4597927000, 1.0233417000", \ - "0.2398003000, 0.2415420000, 0.2475704000, 0.2653964000, 0.3138395000, 0.4644478000, 1.0317190000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019425400, 0.0075469200, 0.0293204000, 0.1139120000, 0.4425570000, 1.7193700000"); - values("0.2463743000, 0.2483413000, 0.2551179000, 0.2753331000, 0.3332837000, 0.5309191000, 1.2885212000", \ - "0.2503474000, 0.2523044000, 0.2590629000, 0.2792750000, 0.3372070000, 0.5342418000, 1.2933982000", \ - "0.2620232000, 0.2639820000, 0.2707204000, 0.2910104000, 0.3490074000, 0.5467771000, 1.3030732000", \ - "0.2886285000, 0.2905785000, 0.2973226000, 0.3176192000, 0.3755167000, 0.5733045000, 1.3297130000", \ - "0.3453380000, 0.3472987000, 0.3540560000, 0.3743462000, 0.4323341000, 0.6301190000, 1.3881293000", \ - "0.4519988000, 0.4539990000, 0.4607839000, 0.4812125000, 0.5393765000, 0.7369880000, 1.4957861000", \ - "0.6325543000, 0.6346226000, 0.6416950000, 0.6625102000, 0.7211218000, 0.9186699000, 1.6758138000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019425400, 0.0075469200, 0.0293204000, 0.1139120000, 0.4425570000, 1.7193700000"); - values("0.0237674000, 0.0249098000, 0.0291940000, 0.0436352000, 0.0944772000, 0.2962513000, 1.1053649000", \ - "0.0237506000, 0.0250428000, 0.0292071000, 0.0434714000, 0.0944655000, 0.2959215000, 1.1056078000", \ - "0.0236954000, 0.0249141000, 0.0291944000, 0.0436297000, 0.0944614000, 0.2962624000, 1.1050469000", \ - "0.0237666000, 0.0249145000, 0.0290910000, 0.0436648000, 0.0944955000, 0.2962060000, 1.1055481000", \ - "0.0240553000, 0.0251702000, 0.0293668000, 0.0435101000, 0.0943596000, 0.2960925000, 1.1023774000", \ - "0.0238698000, 0.0251221000, 0.0293678000, 0.0437691000, 0.0946248000, 0.2963825000, 1.1035090000", \ - "0.0242444000, 0.0253277000, 0.0295231000, 0.0439505000, 0.0948583000, 0.2960939000, 1.1019970000"); - } - related_pin : "SLEEP"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0019425400, 0.0075469200, 0.0293204000, 0.1139120000, 0.4425570000, 1.7193700000"); - values("0.0273812000, 0.0287360000, 0.0339475000, 0.0524513000, 0.1209923000, 0.3996536000, 1.5033835000", \ - "0.0272336000, 0.0286390000, 0.0338105000, 0.0523634000, 0.1212133000, 0.3993365000, 1.5043483000", \ - "0.0273192000, 0.0286454000, 0.0338994000, 0.0523168000, 0.1213771000, 0.4005540000, 1.5009307000", \ - "0.0272376000, 0.0286830000, 0.0339087000, 0.0523961000, 0.1211966000, 0.4000753000, 1.5006197000", \ - "0.0273904000, 0.0287229000, 0.0339707000, 0.0524284000, 0.1209531000, 0.4003867000, 1.5027982000", \ - "0.0281659000, 0.0295848000, 0.0348554000, 0.0531341000, 0.1213288000, 0.4004071000, 1.5027721000", \ - "0.0299233000, 0.0313573000, 0.0364479000, 0.0543595000, 0.1221768000, 0.4000740000, 1.4981214000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_1") { - leakage_power () { - value : 0.0040109000; - when : "!A"; - } - leakage_power () { - value : 0.0128890000; - when : "A"; - } - area : 35.033600000; - cell_footprint : "sky130_fd_sc_hd__lsbuf_lh_hl_isowell_tap"; - cell_leakage_power : 0.0084499560; - input_voltage_range(1.2000000000, 2.1000000000); - output_voltage_range(1.2000000000, 2.1000000000); - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_level_shifter : "true"; - level_shifter_type : "HL_LH"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - voltage_name : "VPWR"; - } - pg_pin ("VPWRIN") { - pg_type : "primary_power"; - voltage_name : "VPWRIN"; - } - pin ("A") { - capacitance : 0.0060050000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0059730000; - input_signal_level : "VPWRIN"; - level_shifter_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWRIN"; - rise_capacitance : 0.0060360000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0666069000, 0.0658148000, 0.0635455000, 0.0562799000, 0.0366015000, -0.013118400, -0.138450400", \ - "0.0644716000, 0.0636973000, 0.0614099000, 0.0540933000, 0.0344460000, -0.015261900, -0.140563400", \ - "0.0629772000, 0.0621609000, 0.0598586000, 0.0525469000, 0.0328902000, -0.016807700, -0.142105900", \ - "0.0623686000, 0.0615903000, 0.0592437000, 0.0519340000, 0.0322833000, -0.017424800, -0.142722400", \ - "0.0630116000, 0.0622536000, 0.0599631000, 0.0526606000, 0.0329912000, -0.016751500, -0.142045600", \ - "0.0659842000, 0.0648258000, 0.0617777000, 0.0539078000, 0.0345618000, -0.015163500, -0.140488700", \ - "0.0707192000, 0.0695170000, 0.0664897000, 0.0586095000, 0.0387032000, -0.011172600, -0.136054300"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0475195000, 0.0489009000, 0.0522202000, 0.0602185000, 0.0797879000, 0.1285391000, 0.2519763000", \ - "0.0477653000, 0.0491705000, 0.0524904000, 0.0604583000, 0.0800413000, 0.1287858000, 0.2520080000", \ - "0.0499663000, 0.0513810000, 0.0547010000, 0.0626715000, 0.0822366000, 0.1310915000, 0.2543952000", \ - "0.0572633000, 0.0586674000, 0.0619839000, 0.0699514000, 0.0895371000, 0.1382222000, 0.2626607000", \ - "0.0700089000, 0.0714157000, 0.0747290000, 0.0826844000, 0.1023757000, 0.1515468000, 0.2743529000", \ - "0.0906735000, 0.0919866000, 0.0951997000, 0.1029862000, 0.1225482000, 0.1718329000, 0.2946264000", \ - "0.1218675000, 0.1231849000, 0.1264206000, 0.1344631000, 0.1539236000, 0.2030844000, 0.3259181000"); - } - } - max_capacitance : 0.1281840000; - max_transition : 1.5082230000; - power_down_function : "(!VPWRIN+!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.1454589000, 0.1502713000, 0.1599459000, 0.1793152000, 0.2205457000, 0.3178710000, 0.5623551000", \ - "0.1498388000, 0.1545513000, 0.1643017000, 0.1836732000, 0.2248461000, 0.3222360000, 0.5663129000", \ - "0.1621660000, 0.1669062000, 0.1766199000, 0.1959760000, 0.2371555000, 0.3344875000, 0.5793021000", \ - "0.1917064000, 0.1964917000, 0.2062298000, 0.2255420000, 0.2666949000, 0.3641012000, 0.6083425000", \ - "0.2441024000, 0.2488724000, 0.2585523000, 0.2778781000, 0.3190047000, 0.4163649000, 0.6618909000", \ - "0.3210611000, 0.3258476000, 0.3355494000, 0.3548250000, 0.3958999000, 0.4932024000, 0.7371429000", \ - "0.4295365000, 0.4342948000, 0.4440188000, 0.4632701000, 0.5041697000, 0.6012432000, 0.8454257000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.1803228000, 0.1873066000, 0.2039925000, 0.2443161000, 0.3420605000, 0.5862133000, 1.1999580000", \ - "0.1848014000, 0.1918927000, 0.2086041000, 0.2488692000, 0.3466879000, 0.5904272000, 1.2073024000", \ - "0.1960401000, 0.2031274000, 0.2197711000, 0.2600022000, 0.3579515000, 0.6013241000, 1.2177330000", \ - "0.2214663000, 0.2285563000, 0.2452456000, 0.2855267000, 0.3833223000, 0.6268763000, 1.2436443000", \ - "0.2615042000, 0.2685716000, 0.2852626000, 0.3253982000, 0.4232945000, 0.6668294000, 1.2849350000", \ - "0.3167741000, 0.3238735000, 0.3405689000, 0.3808241000, 0.4789721000, 0.7227210000, 1.3356405000", \ - "0.3896990000, 0.3967912000, 0.4136267000, 0.4539051000, 0.5518670000, 0.7965616000, 1.4079961000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0165354000, 0.0204344000, 0.0288723000, 0.0481636000, 0.0954784000, 0.2208997000, 0.5413865000", \ - "0.0164604000, 0.0202667000, 0.0288647000, 0.0478514000, 0.0953409000, 0.2215737000, 0.5402253000", \ - "0.0164763000, 0.0203886000, 0.0287737000, 0.0477475000, 0.0953260000, 0.2210787000, 0.5460719000", \ - "0.0164159000, 0.0200868000, 0.0288146000, 0.0479181000, 0.0952966000, 0.2223154000, 0.5487357000", \ - "0.0163365000, 0.0201780000, 0.0287830000, 0.0480278000, 0.0954165000, 0.2214114000, 0.5416482000", \ - "0.0163492000, 0.0202513000, 0.0286324000, 0.0478739000, 0.0954149000, 0.2200315000, 0.5437487000", \ - "0.0164077000, 0.0200794000, 0.0286627000, 0.0477562000, 0.0953725000, 0.2204612000, 0.5424337000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0230291000, 0.0314795000, 0.0531412000, 0.1084744000, 0.2486472000, 0.6037408000, 1.5029737000", \ - "0.0230160000, 0.0314681000, 0.0531305000, 0.1085167000, 0.2487134000, 0.6042548000, 1.5013907000", \ - "0.0230787000, 0.0314776000, 0.0530588000, 0.1085383000, 0.2488711000, 0.6046291000, 1.5043325000", \ - "0.0229944000, 0.0314444000, 0.0531264000, 0.1084937000, 0.2486378000, 0.6037729000, 1.5082229000", \ - "0.0230448000, 0.0314797000, 0.0530439000, 0.1085037000, 0.2486644000, 0.6062588000, 1.5061826000", \ - "0.0231216000, 0.0315806000, 0.0532456000, 0.1086202000, 0.2480199000, 0.6042309000, 1.5022911000", \ - "0.0231937000, 0.0316264000, 0.0532593000, 0.1086844000, 0.2486215000, 0.6051953000, 1.4924076000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_2") { - leakage_power () { - value : 0.0072536000; - when : "!A"; - } - leakage_power () { - value : 0.0133877000; - when : "A"; - } - area : 35.033600000; - cell_footprint : "sky130_fd_sc_hd__lsbuf_lh_hl_isowell_tap"; - cell_leakage_power : 0.0103206600; - input_voltage_range(1.2000000000, 2.1000000000); - output_voltage_range(1.2000000000, 2.1000000000); - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_level_shifter : "true"; - level_shifter_type : "HL_LH"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - voltage_name : "VPWR"; - } - pg_pin ("VPWRIN") { - pg_type : "primary_power"; - voltage_name : "VPWRIN"; - } - pin ("A") { - capacitance : 0.0060240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0059910000; - input_signal_level : "VPWRIN"; - level_shifter_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWRIN"; - rise_capacitance : 0.0060570000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0709261000, 0.0695932000, 0.0659052000, 0.0541762000, 0.0168543000, -0.093213000, -0.413325800", \ - "0.0687892000, 0.0674407000, 0.0638037000, 0.0520087000, 0.0147574000, -0.095327300, -0.415404700", \ - "0.0672449000, 0.0658994000, 0.0622559000, 0.0504748000, 0.0132152000, -0.096818700, -0.416921400", \ - "0.0666453000, 0.0653056000, 0.0615978000, 0.0498536000, 0.0126200000, -0.097437400, -0.417505900", \ - "0.0672731000, 0.0659804000, 0.0623102000, 0.0505975000, 0.0132844000, -0.096775600, -0.416872800", \ - "0.0704379000, 0.0690190000, 0.0647990000, 0.0520561000, 0.0148166000, -0.095281900, -0.415400200", \ - "0.0750739000, 0.0737196000, 0.0693941000, 0.0567304000, 0.0188979000, -0.091425300, -0.411139200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0527395000, 0.0544599000, 0.0592577000, 0.0727027000, 0.1105841000, 0.2194089000, 0.5356605000", \ - "0.0529580000, 0.0546712000, 0.0595148000, 0.0729735000, 0.1108162000, 0.2196662000, 0.5354008000", \ - "0.0551870000, 0.0569037000, 0.0617241000, 0.0751971000, 0.1130572000, 0.2216852000, 0.5374515000", \ - "0.0624335000, 0.0641606000, 0.0690205000, 0.0824430000, 0.1204068000, 0.2290167000, 0.5466849000", \ - "0.0752163000, 0.0769305000, 0.0817912000, 0.0952392000, 0.1330736000, 0.2414648000, 0.5577926000", \ - "0.0962047000, 0.0978557000, 0.1025069000, 0.1155647000, 0.1535493000, 0.2621025000, 0.5754471000", \ - "0.1281622000, 0.1298185000, 0.1344746000, 0.1479464000, 0.1861013000, 0.2953171000, 0.6083219000"); - } - } - max_capacitance : 0.3012180000; - max_transition : 1.5053220000; - power_down_function : "(!VPWRIN+!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1657121000, 0.1700538000, 0.1798035000, 0.1999809000, 0.2432771000, 0.3511756000, 0.6583855000", \ - "0.1699223000, 0.1742237000, 0.1840568000, 0.2042310000, 0.2474442000, 0.3554499000, 0.6625536000", \ - "0.1821764000, 0.1864775000, 0.1962842000, 0.2165013000, 0.2596848000, 0.3676681000, 0.6750945000", \ - "0.2117323000, 0.2160443000, 0.2257846000, 0.2459690000, 0.2892232000, 0.3971893000, 0.7037250000", \ - "0.2640917000, 0.2684022000, 0.2781570000, 0.2983946000, 0.3416024000, 0.4495876000, 0.7569353000", \ - "0.3408588000, 0.3451303000, 0.3549347000, 0.3751852000, 0.4183329000, 0.5262104000, 0.8323846000", \ - "0.4489404000, 0.4532348000, 0.4630022000, 0.4832169000, 0.5264070000, 0.6343337000, 0.9409595000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1892277000, 0.1940791000, 0.2060907000, 0.2361613000, 0.3179241000, 0.5501658000, 1.2270968000", \ - "0.1937644000, 0.1986322000, 0.2106753000, 0.2407168000, 0.3224130000, 0.5544739000, 1.2313773000", \ - "0.2048866000, 0.2097316000, 0.2218110000, 0.2518492000, 0.3335277000, 0.5657280000, 1.2406125000", \ - "0.2303759000, 0.2352598000, 0.2472900000, 0.2773445000, 0.3588227000, 0.5920230000, 1.2672331000", \ - "0.2704673000, 0.2753112000, 0.2873591000, 0.3173877000, 0.3990312000, 0.6310975000, 1.3103196000", \ - "0.3258794000, 0.3307360000, 0.3427560000, 0.3727555000, 0.4543574000, 0.6872521000, 1.3609048000", \ - "0.3986987000, 0.4035745000, 0.4156182000, 0.4456447000, 0.5271785000, 0.7600349000, 1.4321960000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0181946000, 0.0210962000, 0.0280296000, 0.0450410000, 0.0899852000, 0.2244856000, 0.6306293000", \ - "0.0181754000, 0.0210696000, 0.0278117000, 0.0450095000, 0.0898436000, 0.2255422000, 0.6339346000", \ - "0.0181859000, 0.0210447000, 0.0278930000, 0.0448670000, 0.0896792000, 0.2248789000, 0.6326267000", \ - "0.0179694000, 0.0207966000, 0.0278589000, 0.0448273000, 0.0897090000, 0.2240395000, 0.6353567000", \ - "0.0181173000, 0.0207003000, 0.0276706000, 0.0448393000, 0.0897111000, 0.2250433000, 0.6334606000", \ - "0.0178809000, 0.0209969000, 0.0280966000, 0.0447861000, 0.0899282000, 0.2236052000, 0.6343585000", \ - "0.0179171000, 0.0209427000, 0.0279365000, 0.0447634000, 0.0900859000, 0.2247308000, 0.6294247000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0183449000, 0.0229002000, 0.0357856000, 0.0739294000, 0.1882545000, 0.5242115000, 1.5053224000", \ - "0.0184106000, 0.0229790000, 0.0358575000, 0.0738685000, 0.1882196000, 0.5240053000, 1.5013660000", \ - "0.0183474000, 0.0229170000, 0.0358951000, 0.0738560000, 0.1883492000, 0.5242318000, 1.5006851000", \ - "0.0183800000, 0.0229435000, 0.0358666000, 0.0739443000, 0.1882991000, 0.5242746000, 1.5029809000", \ - "0.0183520000, 0.0229346000, 0.0358496000, 0.0739007000, 0.1884321000, 0.5238092000, 1.5017807000", \ - "0.0184367000, 0.0230266000, 0.0360183000, 0.0740533000, 0.1878702000, 0.5233862000, 1.5000728000", \ - "0.0185393000, 0.0231211000, 0.0361132000, 0.0741418000, 0.1879982000, 0.5242095000, 1.4977014000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_lsbuf_lh_hl_isowell_tap_4") { - leakage_power () { - value : 0.0144944000; - when : "A"; - } - leakage_power () { - value : 0.0079841000; - when : "!A"; - } - area : 40.038400000; - cell_footprint : "sky130_fd_sc_hd__lsbuf_lh_hl_isowell_tap"; - cell_leakage_power : 0.0112392300; - input_voltage_range(1.2000000000, 2.1000000000); - output_voltage_range(1.2000000000, 2.1000000000); - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_level_shifter : "true"; - level_shifter_type : "HL_LH"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - voltage_name : "VPWR"; - } - pg_pin ("VPWRIN") { - pg_type : "primary_power"; - voltage_name : "VPWRIN"; - } - pin ("A") { - capacitance : 0.0060090000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0059820000; - input_signal_level : "VPWRIN"; - level_shifter_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWRIN"; - rise_capacitance : 0.0060360000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016061280, 0.0051592920, 0.0165729600, 0.0532365900, 0.1710095000, 0.5493262000"); - values("0.0797332000, 0.0779974000, 0.0727341000, 0.0564963000, -0.000150800, -0.189956100, -0.802660600", \ - "0.0774675000, 0.0757457000, 0.0704464000, 0.0542869000, -0.002359900, -0.192130400, -0.804823800", \ - "0.0758446000, 0.0741403000, 0.0688814000, 0.0526943000, -0.003907400, -0.193679100, -0.806317300", \ - "0.0752267000, 0.0735124000, 0.0682374000, 0.0520794000, -0.004529600, -0.194268300, -0.806964800", \ - "0.0757893000, 0.0740693000, 0.0687830000, 0.0526491000, -0.003933300, -0.193718100, -0.806377200", \ - "0.0791722000, 0.0774007000, 0.0718452000, 0.0538231000, -0.002343500, -0.192180500, -0.804885900", \ - "0.0855790000, 0.0838329000, 0.0782814000, 0.0606451000, 0.0016603000, -0.188803200, -0.801201100"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016061280, 0.0051592920, 0.0165729600, 0.0532365900, 0.1710095000, 0.5493262000"); - values("0.0640623000, 0.0659751000, 0.0720920000, 0.0914153000, 0.1512683000, 0.3400952000, 0.9402325000", \ - "0.0643617000, 0.0662770000, 0.0724491000, 0.0915904000, 0.1516077000, 0.3405099000, 0.9453431000", \ - "0.0665088000, 0.0684518000, 0.0745352000, 0.0937734000, 0.1536506000, 0.3425817000, 0.9470333000", \ - "0.0736732000, 0.0755832000, 0.0817045000, 0.1010232000, 0.1609037000, 0.3496039000, 0.9502492000", \ - "0.0866237000, 0.0884421000, 0.0946184000, 0.1138386000, 0.1736934000, 0.3624997000, 0.9644226000", \ - "0.1075086000, 0.1093158000, 0.1152817000, 0.1340904000, 0.1941213000, 0.3825726000, 0.9870969000", \ - "0.1403614000, 0.1422527000, 0.1482499000, 0.1673138000, 0.2276658000, 0.4172479000, 1.0150512000"); - } - } - max_capacitance : 0.5493260000; - max_transition : 1.5084210000; - power_down_function : "(!VPWRIN+!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016061300, 0.0051592900, 0.0165730000, 0.0532366000, 0.1710100000, 0.5493260000"); - values("0.2061720000, 0.2098357000, 0.2193025000, 0.2405003000, 0.2850765000, 0.3908754000, 0.7003834000", \ - "0.2100965000, 0.2137479000, 0.2232211000, 0.2444172000, 0.2889586000, 0.3947462000, 0.7039513000", \ - "0.2223106000, 0.2259537000, 0.2354366000, 0.2565999000, 0.3011223000, 0.4070039000, 0.7166928000", \ - "0.2518410000, 0.2554792000, 0.2649509000, 0.2861675000, 0.3306687000, 0.4364819000, 0.7456455000", \ - "0.3041731000, 0.3078092000, 0.3173108000, 0.3384986000, 0.3828264000, 0.4887117000, 0.7984123000", \ - "0.3808393000, 0.3844831000, 0.3939671000, 0.4152137000, 0.4597309000, 0.5655483000, 0.8753594000", \ - "0.4886296000, 0.4922623000, 0.5017286000, 0.5229782000, 0.5665625000, 0.6723901000, 0.9816292000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016061300, 0.0051592900, 0.0165730000, 0.0532366000, 0.1710100000, 0.5493260000"); - values("0.2144032000, 0.2181773000, 0.2284638000, 0.2548182000, 0.3272365000, 0.5505775000, 1.2618733000", \ - "0.2189395000, 0.2227078000, 0.2330033000, 0.2593291000, 0.3318149000, 0.5547526000, 1.2670693000", \ - "0.2300325000, 0.2338175000, 0.2440794000, 0.2704887000, 0.3429926000, 0.5661315000, 1.2796040000", \ - "0.2555697000, 0.2593417000, 0.2696302000, 0.2959755000, 0.3683406000, 0.5912647000, 1.3043564000", \ - "0.2958069000, 0.2995608000, 0.3098266000, 0.3361427000, 0.4086647000, 0.6319823000, 1.3431446000", \ - "0.3517203000, 0.3555070000, 0.3658068000, 0.3921237000, 0.4645894000, 0.6875640000, 1.3987540000", \ - "0.4256702000, 0.4294627000, 0.4398017000, 0.4661672000, 0.5387143000, 0.7618214000, 1.4718186000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016061300, 0.0051592900, 0.0165730000, 0.0532366000, 0.1710100000, 0.5493260000"); - values("0.0251400000, 0.0272557000, 0.0334269000, 0.0477824000, 0.0872649000, 0.2060923000, 0.6150900000", \ - "0.0251575000, 0.0272306000, 0.0334368000, 0.0477173000, 0.0868946000, 0.2062452000, 0.6151203000", \ - "0.0249080000, 0.0271406000, 0.0334401000, 0.0476822000, 0.0871476000, 0.2063884000, 0.6124199000", \ - "0.0248950000, 0.0271638000, 0.0333534000, 0.0478490000, 0.0869437000, 0.2061652000, 0.6113350000", \ - "0.0249199000, 0.0271912000, 0.0334644000, 0.0478097000, 0.0871778000, 0.2062128000, 0.6120599000", \ - "0.0249096000, 0.0271455000, 0.0336210000, 0.0477861000, 0.0871853000, 0.2062394000, 0.6141070000", \ - "0.0249635000, 0.0272349000, 0.0334859000, 0.0478683000, 0.0872373000, 0.2066939000, 0.6079689000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016061300, 0.0051592900, 0.0165730000, 0.0532366000, 0.1710100000, 0.5493260000"); - values("0.0216259000, 0.0247166000, 0.0341571000, 0.0631135000, 0.1590537000, 0.4780369000, 1.5040114000", \ - "0.0215438000, 0.0246175000, 0.0340273000, 0.0631261000, 0.1591707000, 0.4783848000, 1.5071387000", \ - "0.0214424000, 0.0245867000, 0.0341100000, 0.0630956000, 0.1594652000, 0.4784032000, 1.5061129000", \ - "0.0216282000, 0.0247338000, 0.0341601000, 0.0631250000, 0.1592263000, 0.4773896000, 1.5029049000", \ - "0.0215934000, 0.0247856000, 0.0341396000, 0.0630975000, 0.1595218000, 0.4783399000, 1.5014046000", \ - "0.0215534000, 0.0248901000, 0.0341803000, 0.0630738000, 0.1593588000, 0.4780304000, 1.5084213000", \ - "0.0218317000, 0.0249125000, 0.0342809000, 0.0633162000, 0.1594195000, 0.4785177000, 1.5008732000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_4") { - leakage_power () { - value : 0.0144911000; - when : "A"; - } - leakage_power () { - value : 0.0079808000; - when : "!A"; - } - area : 40.038400000; - cell_footprint : "sky130_fd_sc_hd__lsbuf_lh_isowell_tap"; - cell_leakage_power : 0.0112359500; - input_voltage_range(1.2000000000, 2.1000000000); - output_voltage_range(1.2000000000, 2.1000000000); - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_level_shifter : "true"; - level_shifter_type : "LH"; - pg_pin ("LOWLVPWR") { - pg_type : "primary_power"; - voltage_name : "LOWLVPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0060130000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0059900000; - input_signal_level : "LOWLVPWR"; - level_shifter_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "LOWLVPWR"; - rise_capacitance : 0.0060360000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016061280, 0.0051592920, 0.0165729600, 0.0532365900, 0.1710095000, 0.5493262000"); - values("0.0797907000, 0.0780729000, 0.0727833000, 0.0565846000, -6.6622494e-05, -0.189839100, -0.802570400", \ - "0.0775460000, 0.0758344000, 0.0705757000, 0.0544050000, -0.002268600, -0.192008200, -0.804713200", \ - "0.0759150000, 0.0741993000, 0.0689448000, 0.0527300000, -0.003813900, -0.193578700, -0.806245800", \ - "0.0753192000, 0.0736077000, 0.0683194000, 0.0521828000, -0.004466500, -0.194180100, -0.806870600", \ - "0.0758212000, 0.0741312000, 0.0688765000, 0.0527379000, -0.003905500, -0.193610400, -0.806318400", \ - "0.0792385000, 0.0774637000, 0.0719291000, 0.0538679000, -0.002278600, -0.192117700, -0.804825400", \ - "0.0856187000, 0.0838665000, 0.0782567000, 0.0605915000, 0.0022152000, -0.188246700, -0.800677600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016061280, 0.0051592920, 0.0165729600, 0.0532365900, 0.1710095000, 0.5493262000"); - values("0.0637062000, 0.0656174000, 0.0717484000, 0.0909899000, 0.1508506000, 0.3397356000, 0.9443895000", \ - "0.0640010000, 0.0658937000, 0.0720570000, 0.0912056000, 0.1510680000, 0.3399579000, 0.9445831000", \ - "0.0660818000, 0.0680264000, 0.0741479000, 0.0934032000, 0.1532711000, 0.3420547000, 0.9466033000", \ - "0.0733111000, 0.0752396000, 0.0813393000, 0.1006674000, 0.1605231000, 0.3492777000, 0.9499864000", \ - "0.0862568000, 0.0880885000, 0.0942590000, 0.1134937000, 0.1734379000, 0.3620027000, 0.9657601000", \ - "0.1070928000, 0.1089687000, 0.1149262000, 0.1337109000, 0.1937669000, 0.3824948000, 0.9870090000", \ - "0.1399498000, 0.1418385000, 0.1479349000, 0.1668034000, 0.2272313000, 0.4168397000, 1.0145459000"); - } - } - max_capacitance : 0.5493260000; - max_transition : 1.5061640000; - power_down_function : "(!LOWLVPWR+!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016061300, 0.0051592900, 0.0165730000, 0.0532366000, 0.1710100000, 0.5493260000"); - values("0.2056602000, 0.2093221000, 0.2187873000, 0.2399378000, 0.2844308000, 0.3901554000, 0.6996272000", \ - "0.2095623000, 0.2132329000, 0.2226850000, 0.2438571000, 0.2883118000, 0.3940197000, 0.7032234000", \ - "0.2217868000, 0.2254240000, 0.2349052000, 0.2560541000, 0.3004752000, 0.4062823000, 0.7160011000", \ - "0.2512945000, 0.2549377000, 0.2643814000, 0.2855707000, 0.3300089000, 0.4357450000, 0.7449705000", \ - "0.3035091000, 0.3071598000, 0.3166646000, 0.3378089000, 0.3823400000, 0.4880693000, 0.7976107000", \ - "0.3801201000, 0.3837595000, 0.3932332000, 0.4144686000, 0.4588930000, 0.5646532000, 0.8745027000", \ - "0.4877790000, 0.4914061000, 0.5008643000, 0.5220874000, 0.5666081000, 0.6724314000, 0.9821877000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016061300, 0.0051592900, 0.0165730000, 0.0532366000, 0.1710100000, 0.5493260000"); - values("0.2141210000, 0.2178976000, 0.2281473000, 0.2545442000, 0.3270422000, 0.5501639000, 1.2637267000", \ - "0.2186971000, 0.2224596000, 0.2327240000, 0.2590798000, 0.3316042000, 0.5546977000, 1.2683612000", \ - "0.2297357000, 0.2335178000, 0.2437692000, 0.2701578000, 0.3426207000, 0.5655215000, 1.2767768000", \ - "0.2552924000, 0.2590954000, 0.2693555000, 0.2957076000, 0.3681113000, 0.5911229000, 1.3037688000", \ - "0.2954612000, 0.2992096000, 0.3094672000, 0.3357929000, 0.4082878000, 0.6312515000, 1.3425259000", \ - "0.3513397000, 0.3551086000, 0.3654016000, 0.3917128000, 0.4640533000, 0.6869756000, 1.3977325000", \ - "0.4252088000, 0.4289956000, 0.4393233000, 0.4656743000, 0.5382184000, 0.7612783000, 1.4712207000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016061300, 0.0051592900, 0.0165730000, 0.0532366000, 0.1710100000, 0.5493260000"); - values("0.0249629000, 0.0271210000, 0.0333135000, 0.0476612000, 0.0869852000, 0.2061336000, 0.6152011000", \ - "0.0249700000, 0.0270791000, 0.0333061000, 0.0476463000, 0.0867663000, 0.2060785000, 0.6114893000", \ - "0.0247693000, 0.0270005000, 0.0332742000, 0.0478098000, 0.0869741000, 0.2060104000, 0.6128791000", \ - "0.0247362000, 0.0270397000, 0.0330387000, 0.0476937000, 0.0868121000, 0.2060360000, 0.6115025000", \ - "0.0248369000, 0.0270931000, 0.0333518000, 0.0476373000, 0.0868433000, 0.2061105000, 0.6152510000", \ - "0.0247791000, 0.0270126000, 0.0329791000, 0.0476401000, 0.0870690000, 0.2063006000, 0.6145349000", \ - "0.0250000000, 0.0273264000, 0.0333416000, 0.0478832000, 0.0870241000, 0.2067757000, 0.6091743000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016061300, 0.0051592900, 0.0165730000, 0.0532366000, 0.1710100000, 0.5493260000"); - values("0.0213936000, 0.0244983000, 0.0340167000, 0.0630461000, 0.1593922000, 0.4783278000, 1.5060417000", \ - "0.0214505000, 0.0245501000, 0.0339977000, 0.0630133000, 0.1594617000, 0.4783002000, 1.5058340000", \ - "0.0213755000, 0.0245392000, 0.0340016000, 0.0630697000, 0.1593361000, 0.4777096000, 1.5050308000", \ - "0.0214362000, 0.0246310000, 0.0340885000, 0.0630857000, 0.1590612000, 0.4776475000, 1.5028626000", \ - "0.0214787000, 0.0247157000, 0.0340494000, 0.0630958000, 0.1591792000, 0.4772761000, 1.5061637000", \ - "0.0216541000, 0.0248029000, 0.0341466000, 0.0630789000, 0.1593816000, 0.4781848000, 1.5034956000", \ - "0.0217547000, 0.0248400000, 0.0342068000, 0.0632638000, 0.1593413000, 0.4784539000, 1.5006454000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_1") { - leakage_power () { - value : 0.0128890000; - when : "A"; - } - leakage_power () { - value : 0.0040109000; - when : "!A"; - } - area : 35.033600000; - cell_footprint : "sky130_fd_sc_hd__lsbuf_lh_isowell_tap"; - cell_leakage_power : 0.0084499560; - input_voltage_range(1.2000000000, 2.1000000000); - output_voltage_range(1.2000000000, 2.1000000000); - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_level_shifter : "true"; - level_shifter_type : "LH"; - pg_pin ("LOWLVPWR") { - pg_type : "primary_power"; - voltage_name : "LOWLVPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0060050000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0059730000; - input_signal_level : "LOWLVPWR"; - level_shifter_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "LOWLVPWR"; - rise_capacitance : 0.0060370000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0666049000, 0.0658134000, 0.0635457000, 0.0562780000, 0.0365992000, -0.013120600, -0.138452500", \ - "0.0644690000, 0.0636949000, 0.0614075000, 0.0540912000, 0.0344440000, -0.015263800, -0.140565600", \ - "0.0629751000, 0.0621587000, 0.0598564000, 0.0525450000, 0.0328883000, -0.016809600, -0.142106900", \ - "0.0623663000, 0.0615883000, 0.0592416000, 0.0519321000, 0.0322813000, -0.017426700, -0.142724500", \ - "0.0630096000, 0.0622510000, 0.0599619000, 0.0526587000, 0.0329894000, -0.016753200, -0.142047100", \ - "0.0659825000, 0.0648242000, 0.0617759000, 0.0539228000, 0.0345602000, -0.015164900, -0.140490000", \ - "0.0707248000, 0.0695242000, 0.0664983000, 0.0586130000, 0.0387076000, -0.011165600, -0.136073400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0475217000, 0.0489019000, 0.0522213000, 0.0602217000, 0.0797921000, 0.1285420000, 0.2519917000", \ - "0.0477674000, 0.0491724000, 0.0524922000, 0.0604602000, 0.0800443000, 0.1287908000, 0.2520179000", \ - "0.0499670000, 0.0513816000, 0.0547056000, 0.0626838000, 0.0822276000, 0.1310830000, 0.2544048000", \ - "0.0572645000, 0.0586698000, 0.0619863000, 0.0699540000, 0.0895391000, 0.1382215000, 0.2626492000", \ - "0.0700112000, 0.0714181000, 0.0747315000, 0.0826870000, 0.1023783000, 0.1515488000, 0.2743575000", \ - "0.0906732000, 0.0919862000, 0.0951994000, 0.1029862000, 0.1225479000, 0.1718330000, 0.2946165000", \ - "0.1218677000, 0.1231835000, 0.1264189000, 0.1344622000, 0.1539224000, 0.2030830000, 0.3259126000"); - } - } - max_capacitance : 0.1281840000; - max_transition : 1.5086440000; - power_down_function : "(!LOWLVPWR+!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.1454336000, 0.1502444000, 0.1599230000, 0.1792881000, 0.2205151000, 0.3178447000, 0.5623040000", \ - "0.1498165000, 0.1545282000, 0.1642779000, 0.1836485000, 0.2248212000, 0.3222098000, 0.5662897000", \ - "0.1621437000, 0.1668835000, 0.1765968000, 0.1959519000, 0.2371306000, 0.3344602000, 0.5792828000", \ - "0.1916849000, 0.1964699000, 0.2062080000, 0.2255188000, 0.2666709000, 0.3640764000, 0.6083111000", \ - "0.2440808000, 0.2488496000, 0.2585308000, 0.2778545000, 0.3189806000, 0.4163387000, 0.6618725000", \ - "0.3210390000, 0.3258251000, 0.3355264000, 0.3548237000, 0.3958754000, 0.4931787000, 0.7371178000", \ - "0.4295226000, 0.4342778000, 0.4440021000, 0.4632502000, 0.5041575000, 0.6012535000, 0.8454230000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.1803053000, 0.1872859000, 0.2039683000, 0.2442935000, 0.3420346000, 0.5862037000, 1.1998861000", \ - "0.1847873000, 0.1918773000, 0.2085875000, 0.2488511000, 0.3466688000, 0.5904134000, 1.2072168000", \ - "0.1960195000, 0.2031061000, 0.2197317000, 0.2598910000, 0.3579575000, 0.6012293000, 1.2179566000", \ - "0.2214491000, 0.2285385000, 0.2452268000, 0.2855073000, 0.3833033000, 0.6268090000, 1.2428505000", \ - "0.2614868000, 0.2685537000, 0.2852445000, 0.3253764000, 0.4232783000, 0.6668365000, 1.2849287000", \ - "0.3167548000, 0.3238535000, 0.3405496000, 0.3808036000, 0.4789521000, 0.7227028000, 1.3356324000", \ - "0.3896770000, 0.3967746000, 0.4136145000, 0.4538913000, 0.5518532000, 0.7965181000, 1.4079680000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0165360000, 0.0204253000, 0.0288802000, 0.0481596000, 0.0954792000, 0.2208392000, 0.5415333000", \ - "0.0164592000, 0.0202663000, 0.0288613000, 0.0478472000, 0.0953405000, 0.2215659000, 0.5402247000", \ - "0.0164776000, 0.0203850000, 0.0287719000, 0.0477472000, 0.0953225000, 0.2210816000, 0.5462443000", \ - "0.0164127000, 0.0200844000, 0.0288120000, 0.0479140000, 0.0952972000, 0.2223169000, 0.5487549000", \ - "0.0163352000, 0.0201703000, 0.0287858000, 0.0480294000, 0.0954190000, 0.2214089000, 0.5416423000", \ - "0.0163487000, 0.0202478000, 0.0286302000, 0.0478362000, 0.0954115000, 0.2200299000, 0.5437479000", \ - "0.0163888000, 0.0200854000, 0.0286532000, 0.0477609000, 0.0953963000, 0.2204814000, 0.5447982000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0230219000, 0.0314853000, 0.0531354000, 0.1084587000, 0.2486104000, 0.6038449000, 1.5029152000", \ - "0.0230119000, 0.0314649000, 0.0531275000, 0.1085167000, 0.2486905000, 0.6042700000, 1.5015430000", \ - "0.0230765000, 0.0314751000, 0.0531056000, 0.1084942000, 0.2488590000, 0.6045440000, 1.5046245000", \ - "0.0229763000, 0.0314395000, 0.0531236000, 0.1084942000, 0.2486406000, 0.6036153000, 1.5086435000", \ - "0.0230431000, 0.0314752000, 0.0530456000, 0.1084945000, 0.2486489000, 0.6062422000, 1.5061571000", \ - "0.0231184000, 0.0315775000, 0.0532433000, 0.1086159000, 0.2480205000, 0.6042531000, 1.5023375000", \ - "0.0231851000, 0.0316166000, 0.0532465000, 0.1086816000, 0.2486097000, 0.6051730000, 1.4927197000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_2") { - leakage_power () { - value : 0.0133872000; - when : "A"; - } - leakage_power () { - value : 0.0072536000; - when : "!A"; - } - area : 35.033600000; - cell_footprint : "sky130_fd_sc_hd__lsbuf_lh_isowell_tap"; - cell_leakage_power : 0.0103204400; - input_voltage_range(1.2000000000, 2.1000000000); - output_voltage_range(1.2000000000, 2.1000000000); - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_level_shifter : "true"; - level_shifter_type : "LH"; - pg_pin ("LOWLVPWR") { - pg_type : "primary_power"; - voltage_name : "LOWLVPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0060240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0059910000; - input_signal_level : "LOWLVPWR"; - level_shifter_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "LOWLVPWR"; - rise_capacitance : 0.0060580000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0708861000, 0.0695845000, 0.0659012000, 0.0541761000, 0.0168537000, -0.093218000, -0.413372300", \ - "0.0687871000, 0.0674391000, 0.0638035000, 0.0520076000, 0.0147554000, -0.095329200, -0.415406900", \ - "0.0672417000, 0.0658952000, 0.0622541000, 0.0504734000, 0.0132136000, -0.096820400, -0.416923000", \ - "0.0666430000, 0.0653034000, 0.0615957000, 0.0498517000, 0.0126179000, -0.097439500, -0.417508200", \ - "0.0672716000, 0.0659789000, 0.0623087000, 0.0505973000, 0.0132830000, -0.096776900, -0.416874300", \ - "0.0704367000, 0.0690177000, 0.0647978000, 0.0520554000, 0.0148154000, -0.095283300, -0.415401500", \ - "0.0750829000, 0.0737290000, 0.0694055000, 0.0567403000, 0.0189052000, -0.091416000, -0.411131200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0527431000, 0.0544615000, 0.0592581000, 0.0726866000, 0.1105840000, 0.2194137000, 0.5357092000", \ - "0.0529600000, 0.0546717000, 0.0595159000, 0.0729771000, 0.1108094000, 0.2196121000, 0.5353474000", \ - "0.0551834000, 0.0568737000, 0.0617250000, 0.0751985000, 0.1130607000, 0.2216780000, 0.5374449000", \ - "0.0624359000, 0.0641630000, 0.0690231000, 0.0824457000, 0.1204090000, 0.2290201000, 0.5466834000", \ - "0.0752190000, 0.0769403000, 0.0817941000, 0.0952423000, 0.1330772000, 0.2414650000, 0.5577894000", \ - "0.0962062000, 0.0978574000, 0.1025086000, 0.1155663000, 0.1535504000, 0.2621040000, 0.5801425000", \ - "0.1281662000, 0.1298227000, 0.1344786000, 0.1479504000, 0.1861056000, 0.2953219000, 0.6083393000"); - } - } - max_capacitance : 0.3012180000; - max_transition : 1.5064660000; - power_down_function : "(!LOWLVPWR+!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1656818000, 0.1700267000, 0.1797674000, 0.1999426000, 0.2432370000, 0.3511430000, 0.6577444000", \ - "0.1698931000, 0.1741943000, 0.1840267000, 0.2041984000, 0.2474068000, 0.3554081000, 0.6625115000", \ - "0.1821468000, 0.1864475000, 0.1962534000, 0.2164694000, 0.2596479000, 0.3676265000, 0.6750542000", \ - "0.2117047000, 0.2160161000, 0.2257549000, 0.2459366000, 0.2891869000, 0.3971498000, 0.7036843000", \ - "0.2640635000, 0.2683729000, 0.2781266000, 0.2983645000, 0.3415653000, 0.4495477000, 0.7569016000", \ - "0.3408303000, 0.3451016000, 0.3549050000, 0.3751521000, 0.4182957000, 0.5261709000, 0.8323461000", \ - "0.4489303000, 0.4532225000, 0.4629923000, 0.4832019000, 0.5263849000, 0.6343130000, 0.9409301000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1892256000, 0.1940551000, 0.2060603000, 0.2361245000, 0.3178864000, 0.5501006000, 1.2269382000", \ - "0.1937418000, 0.1986070000, 0.2106460000, 0.2406850000, 0.3223779000, 0.5546151000, 1.2313593000", \ - "0.2048614000, 0.2097394000, 0.2217826000, 0.2518157000, 0.3334932000, 0.5656806000, 1.2406761000", \ - "0.2303526000, 0.2352342000, 0.2472616000, 0.2773120000, 0.3587893000, 0.5919903000, 1.2672075000", \ - "0.2704441000, 0.2752863000, 0.2873309000, 0.3173556000, 0.3989953000, 0.6310447000, 1.3102920000", \ - "0.3258553000, 0.3307103000, 0.3427267000, 0.3727220000, 0.4543216000, 0.6872147000, 1.3618640000", \ - "0.3986742000, 0.4035485000, 0.4155883000, 0.4456110000, 0.5271423000, 0.7599988000, 1.4321604000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0182093000, 0.0207846000, 0.0279936000, 0.0450364000, 0.0899370000, 0.2244875000, 0.6304245000", \ - "0.0181774000, 0.0210721000, 0.0278098000, 0.0450028000, 0.0898285000, 0.2255232000, 0.6339016000", \ - "0.0181833000, 0.0210428000, 0.0278925000, 0.0448532000, 0.0896800000, 0.2248550000, 0.6326102000", \ - "0.0179643000, 0.0207910000, 0.0278528000, 0.0448204000, 0.0897010000, 0.2240286000, 0.6353560000", \ - "0.0181142000, 0.0206963000, 0.0276699000, 0.0448511000, 0.0897030000, 0.2250565000, 0.6334347000", \ - "0.0178771000, 0.0209968000, 0.0281010000, 0.0447780000, 0.0899173000, 0.2236002000, 0.6343664000", \ - "0.0179145000, 0.0209401000, 0.0279239000, 0.0447619000, 0.0900414000, 0.2247066000, 0.6293384000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0183134000, 0.0228899000, 0.0357715000, 0.0739162000, 0.1882507000, 0.5241196000, 1.5055052000", \ - "0.0184067000, 0.0229658000, 0.0358560000, 0.0738517000, 0.1882439000, 0.5240270000, 1.5001145000", \ - "0.0183529000, 0.0229959000, 0.0358868000, 0.0738558000, 0.1883477000, 0.5242325000, 1.5008585000", \ - "0.0183724000, 0.0229336000, 0.0358572000, 0.0739361000, 0.1882924000, 0.5242553000, 1.5029662000", \ - "0.0183458000, 0.0229267000, 0.0358401000, 0.0738884000, 0.1884266000, 0.5237600000, 1.5019734000", \ - "0.0184293000, 0.0230186000, 0.0360090000, 0.0740451000, 0.1878661000, 0.5233766000, 1.5064661000", \ - "0.0185322000, 0.0231130000, 0.0361039000, 0.0741334000, 0.1879959000, 0.5242023000, 1.4976365000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__lpflow_lsbuf_lh_isowell_tap_4") { - leakage_power () { - value : 0.0144944000; - when : "A"; - } - leakage_power () { - value : 0.0079841000; - when : "!A"; - } - area : 40.038400000; - cell_footprint : "sky130_fd_sc_hd__lsbuf_lh_isowell_tap"; - cell_leakage_power : 0.0112392200; - input_voltage_range(1.2000000000, 2.1000000000); - output_voltage_range(1.2000000000, 2.1000000000); - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - is_level_shifter : "true"; - level_shifter_type : "LH"; - pg_pin ("LOWLVPWR") { - pg_type : "primary_power"; - voltage_name : "LOWLVPWR"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0060060000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0059750000; - input_signal_level : "LOWLVPWR"; - level_shifter_data_pin : "true"; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "LOWLVPWR"; - rise_capacitance : 0.0060360000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016061280, 0.0051592920, 0.0165729600, 0.0532365900, 0.1710095000, 0.5493262000"); - values("0.0796881000, 0.0779843000, 0.0727276000, 0.0565279000, -0.000122500, -0.189958000, -0.802635100", \ - "0.0774666000, 0.0757218000, 0.0704447000, 0.0542858000, -0.002361700, -0.192132200, -0.804825600", \ - "0.0758433000, 0.0741392000, 0.0688807000, 0.0526924000, -0.003908300, -0.193679800, -0.806319600", \ - "0.0752218000, 0.0735076000, 0.0682325000, 0.0520748000, -0.004538000, -0.194273400, -0.806970100", \ - "0.0757976000, 0.0740749000, 0.0688185000, 0.0526614000, -0.003929600, -0.193714300, -0.806373300", \ - "0.0792818000, 0.0775298000, 0.0719787000, 0.0539671000, -0.002223500, -0.192049900, -0.804750700", \ - "0.0851062000, 0.0833545000, 0.0778328000, 0.0601133000, 0.0016586000, -0.188804600, -0.801202700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016061280, 0.0051592920, 0.0165729600, 0.0532365900, 0.1710095000, 0.5493262000"); - values("0.0640650000, 0.0659786000, 0.0720943000, 0.0914163000, 0.1512678000, 0.3400956000, 0.9446605000", \ - "0.0643656000, 0.0662805000, 0.0724543000, 0.0915922000, 0.1516103000, 0.3405103000, 0.9453438000", \ - "0.0665088000, 0.0684216000, 0.0745362000, 0.0937749000, 0.1536548000, 0.3425579000, 0.9469875000", \ - "0.0736745000, 0.0755846000, 0.0817057000, 0.1010241000, 0.1609038000, 0.3496024000, 0.9502577000", \ - "0.0866290000, 0.0884476000, 0.0946244000, 0.1138446000, 0.1738538000, 0.3625213000, 0.9644412000", \ - "0.1075105000, 0.1093174000, 0.1152838000, 0.1340922000, 0.1941229000, 0.3827096000, 0.9871180000", \ - "0.1403876000, 0.1422791000, 0.1482800000, 0.1673379000, 0.2276905000, 0.4172751000, 1.0158406000"); - } - } - max_capacitance : 0.5493260000; - max_transition : 1.5084650000; - power_down_function : "(!LOWLVPWR+!VPWR+VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016061300, 0.0051592900, 0.0165730000, 0.0532366000, 0.1710100000, 0.5493260000"); - values("0.2060755000, 0.2097138000, 0.2192707000, 0.2404364000, 0.2848684000, 0.3908324000, 0.7003626000", \ - "0.2100680000, 0.2137246000, 0.2231911000, 0.2443848000, 0.2889224000, 0.3947027000, 0.7039048000", \ - "0.2222824000, 0.2259253000, 0.2354072000, 0.2565715000, 0.3010879000, 0.4069608000, 0.7166536000", \ - "0.2518115000, 0.2554494000, 0.2649204000, 0.2861347000, 0.3306315000, 0.4364377000, 0.7455981000", \ - "0.3039795000, 0.3075438000, 0.3170732000, 0.3382676000, 0.3828015000, 0.4886750000, 0.7983650000", \ - "0.3807142000, 0.3843478000, 0.3938182000, 0.4150479000, 0.4595868000, 0.5654165000, 0.8752049000", \ - "0.4875910000, 0.4912336000, 0.5007104000, 0.5219870000, 0.5665324000, 0.6723514000, 0.9815881000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016061300, 0.0051592900, 0.0165730000, 0.0532366000, 0.1710100000, 0.5493260000"); - values("0.2143807000, 0.2181544000, 0.2284351000, 0.2547869000, 0.3272089000, 0.5505417000, 1.2615095000", \ - "0.2189175000, 0.2226852000, 0.2329774000, 0.2592957000, 0.3317787000, 0.5547209000, 1.2669551000", \ - "0.2300095000, 0.2337936000, 0.2440511000, 0.2704539000, 0.3429495000, 0.5660716000, 1.2796063000", \ - "0.2555421000, 0.2593131000, 0.2695980000, 0.2959383000, 0.3682959000, 0.5912065000, 1.3042741000", \ - "0.2957888000, 0.2995412000, 0.3098041000, 0.3361137000, 0.4086185000, 0.6319388000, 1.3427295000", \ - "0.3516966000, 0.3554817000, 0.3657781000, 0.3920891000, 0.4645502000, 0.6871304000, 1.3986945000", \ - "0.4256513000, 0.4294426000, 0.4397780000, 0.4661379000, 0.5386768000, 0.7618045000, 1.4719331000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016061300, 0.0051592900, 0.0165730000, 0.0532366000, 0.1710100000, 0.5493260000"); - values("0.0251520000, 0.0273601000, 0.0334318000, 0.0480748000, 0.0871926000, 0.2060275000, 0.6142861000", \ - "0.0251554000, 0.0272109000, 0.0334334000, 0.0477115000, 0.0868813000, 0.2062336000, 0.6151150000", \ - "0.0249053000, 0.0271376000, 0.0334409000, 0.0476778000, 0.0871459000, 0.2064318000, 0.6122650000", \ - "0.0248914000, 0.0271601000, 0.0333486000, 0.0478424000, 0.0869317000, 0.2061548000, 0.6113295000", \ - "0.0249000000, 0.0273054000, 0.0333731000, 0.0477170000, 0.0871797000, 0.2061205000, 0.6122529000", \ - "0.0249207000, 0.0271850000, 0.0334056000, 0.0478693000, 0.0869977000, 0.2062697000, 0.6139360000", \ - "0.0249484000, 0.0271824000, 0.0336831000, 0.0477934000, 0.0872224000, 0.2066791000, 0.6079633000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016061300, 0.0051592900, 0.0165730000, 0.0532366000, 0.1710100000, 0.5493260000"); - values("0.0216205000, 0.0247035000, 0.0341482000, 0.0631069000, 0.1590907000, 0.4781065000, 1.5048343000", \ - "0.0215317000, 0.0246079000, 0.0340205000, 0.0631137000, 0.1591603000, 0.4784018000, 1.5071107000", \ - "0.0214304000, 0.0245769000, 0.0340945000, 0.0630940000, 0.1594510000, 0.4783522000, 1.5059572000", \ - "0.0216203000, 0.0247246000, 0.0341501000, 0.0631143000, 0.1592088000, 0.4773586000, 1.5028623000", \ - "0.0215886000, 0.0247775000, 0.0341300000, 0.0630833000, 0.1591309000, 0.4784069000, 1.5010205000", \ - "0.0215452000, 0.0248815000, 0.0341704000, 0.0630631000, 0.1593475000, 0.4777187000, 1.5084651000", \ - "0.0218224000, 0.0249030000, 0.0342719000, 0.0632990000, 0.1594367000, 0.4785171000, 1.5013608000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__macro_sparecell") { - area : 36.284800000; - cell_footprint : "sky130_fd_sc_hd__sparecell"; - cell_leakage_power : 0.0448711200; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("LO") { - direction : "output"; - function : "0"; - max_capacitance : 1.8948000000; - max_transition : 1.0000000000; - power_down_function : "!VPWR + VGND"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - } - } - - cell ("sky130_fd_sc_hd__maj3_1") { - leakage_power () { - value : 0.0035483000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0026247000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0013537000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0034460000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0008273000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0035232000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0004770000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0009219000; - when : "A&B&!C"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__maj3"; - cell_leakage_power : 0.0020902560; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0027450000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0026690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040342000, 0.0040236000, 0.0039993000, 0.0039993000, 0.0039992000, 0.0039992000, 0.0039990000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003994600, -0.003988900, -0.003975700, -0.003975200, -0.003974200, -0.003971600, -0.003965800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0028210000; - } - pin ("B") { - capacitance : 0.0025380000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0024160000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045054000, 0.0045043000, 0.0045017000, 0.0045003000, 0.0044971000, 0.0044897000, 0.0044727000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003420300, -0.003424000, -0.003432300, -0.003424300, -0.003405800, -0.003363300, -0.003265300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026590000; - } - pin ("C") { - capacitance : 0.0030520000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0029590000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0055106000, 0.0055114000, 0.0055134000, 0.0055142000, 0.0055162000, 0.0055207000, 0.0055310000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004979200, -0.004979800, -0.004981100, -0.004977000, -0.004967500, -0.004945600, -0.004895200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0031450000; - } - pin ("X") { - direction : "output"; - function : "(A&B) | (A&C) | (B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0132926000, 0.0124572000, 0.0097667000, 0.0022166000, -0.019508200, -0.078659900, -0.233749100", \ - "0.0132411000, 0.0122223000, 0.0095767000, 0.0020093000, -0.019703400, -0.078719500, -0.233831100", \ - "0.0132378000, 0.0122086000, 0.0095302000, 0.0019741000, -0.019783300, -0.078865500, -0.233958100", \ - "0.0129799000, 0.0119809000, 0.0093184000, 0.0017898000, -0.019978000, -0.078997800, -0.234097400", \ - "0.0128628000, 0.0118505000, 0.0091969000, 0.0016302000, -0.020129300, -0.079210600, -0.234258200", \ - "0.0128869000, 0.0118583000, 0.0092018000, 0.0015735000, -0.020224500, -0.079324300, -0.234375700", \ - "0.0156791000, 0.0143120000, 0.0108533000, 0.0020184000, -0.020462500, -0.079329800, -0.234314000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0122429000, 0.0136382000, 0.0172128000, 0.0262045000, 0.0491443000, 0.1084087000, 0.2620052000", \ - "0.0121960000, 0.0135840000, 0.0171178000, 0.0260839000, 0.0490219000, 0.1087494000, 0.2629636000", \ - "0.0120611000, 0.0134553000, 0.0170051000, 0.0259813000, 0.0489545000, 0.1086384000, 0.2617958000", \ - "0.0119968000, 0.0133918000, 0.0169446000, 0.0258885000, 0.0487889000, 0.1084551000, 0.2628653000", \ - "0.0120107000, 0.0133936000, 0.0168064000, 0.0257296000, 0.0486299000, 0.1083665000, 0.2627013000", \ - "0.0120962000, 0.0134321000, 0.0168344000, 0.0254542000, 0.0484056000, 0.1073918000, 0.2612873000", \ - "0.0124372000, 0.0136879000, 0.0170016000, 0.0259162000, 0.0487427000, 0.1080301000, 0.2605413000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0102276000, 0.0092675000, 0.0067732000, -0.000641900, -0.022017500, -0.080943900, -0.236062900", \ - "0.0100277000, 0.0091611000, 0.0066159000, -0.000825800, -0.022245700, -0.081170400, -0.236286600", \ - "0.0096904000, 0.0087411000, 0.0062203000, -0.001176500, -0.022584900, -0.081545600, -0.236664400", \ - "0.0093549000, 0.0084047000, 0.0058346000, -0.001592400, -0.023026700, -0.081989300, -0.237076700", \ - "0.0090425000, 0.0080901000, 0.0055749000, -0.001838300, -0.023309700, -0.082257800, -0.237334200", \ - "0.0092108000, 0.0082265000, 0.0056124000, -0.001824000, -0.023379000, -0.082304000, -0.237329500", \ - "0.0124000000, 0.0109944000, 0.0075215000, -0.001118800, -0.023161900, -0.081989100, -0.237031400"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0121218000, 0.0135357000, 0.0171231000, 0.0260964000, 0.0490272000, 0.1086280000, 0.2629398000", \ - "0.0121566000, 0.0135666000, 0.0171408000, 0.0261218000, 0.0490487000, 0.1082044000, 0.2618437000", \ - "0.0121013000, 0.0135110000, 0.0170855000, 0.0260630000, 0.0489427000, 0.1082194000, 0.2618927000", \ - "0.0119807000, 0.0133757000, 0.0168916000, 0.0258154000, 0.0487064000, 0.1083959000, 0.2628862000", \ - "0.0116899000, 0.0130593000, 0.0165246000, 0.0254687000, 0.0483320000, 0.1076824000, 0.2623024000", \ - "0.0117204000, 0.0130196000, 0.0163970000, 0.0249865000, 0.0479838000, 0.1068707000, 0.2623698000", \ - "0.0119625000, 0.0133019000, 0.0165254000, 0.0253164000, 0.0481568000, 0.1077992000, 0.2600461000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0130259000, 0.0120670000, 0.0095206000, 0.0019764000, -0.019870000, -0.078916200, -0.234041700", \ - "0.0128847000, 0.0120599000, 0.0093550000, 0.0017956000, -0.019927900, -0.079104200, -0.234243200", \ - "0.0126072000, 0.0115809000, 0.0089599000, 0.0013928000, -0.020339600, -0.079471400, -0.234575700", \ - "0.0121875000, 0.0112188000, 0.0085793000, 0.0011252000, -0.020682000, -0.079847800, -0.234968100", \ - "0.0119070000, 0.0109131000, 0.0082598000, 0.0006939000, -0.021073600, -0.080173300, -0.235289000", \ - "0.0119540000, 0.0108976000, 0.0082443000, 0.0005853000, -0.021209400, -0.080299900, -0.235328200", \ - "0.0152638000, 0.0138535000, 0.0103218000, 0.0013715000, -0.020864100, -0.079853100, -0.234901100"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0131669000, 0.0145365000, 0.0180819000, 0.0271184000, 0.0499737000, 0.1092417000, 0.2639394000", \ - "0.0131517000, 0.0145180000, 0.0180609000, 0.0270863000, 0.0499447000, 0.1091540000, 0.2638335000", \ - "0.0130928000, 0.0144641000, 0.0180102000, 0.0269903000, 0.0499120000, 0.1090543000, 0.2626432000", \ - "0.0129250000, 0.0143197000, 0.0178614000, 0.0267961000, 0.0497183000, 0.1088382000, 0.2624961000", \ - "0.0127852000, 0.0141117000, 0.0175671000, 0.0265077000, 0.0495535000, 0.1086993000, 0.2624042000", \ - "0.0128437000, 0.0141560000, 0.0175131000, 0.0260513000, 0.0489540000, 0.1085053000, 0.2631176000", \ - "0.0131605000, 0.0143994000, 0.0177607000, 0.0264983000, 0.0492521000, 0.1084079000, 0.2623914000"); - } - } - max_capacitance : 0.1556500000; - max_transition : 1.5002550000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.3016484000, 0.3118367000, 0.3321805000, 0.3701004000, 0.4394409000, 0.5785986000, 0.9008560000", \ - "0.3064639000, 0.3166472000, 0.3368945000, 0.3750846000, 0.4450725000, 0.5830179000, 0.9051398000", \ - "0.3179254000, 0.3279344000, 0.3484217000, 0.3866463000, 0.4563768000, 0.5953187000, 0.9174319000", \ - "0.3433009000, 0.3536937000, 0.3739846000, 0.4121163000, 0.4819057000, 0.6200050000, 0.9423099000", \ - "0.4042450000, 0.4144139000, 0.4347368000, 0.4728805000, 0.5425634000, 0.6814159000, 1.0039487000", \ - "0.5431949000, 0.5532890000, 0.5739760000, 0.6123387000, 0.6818495000, 0.8210365000, 1.1435305000", \ - "0.8018756000, 0.8138221000, 0.8380135000, 0.8819372000, 0.9585482000, 1.1039062000, 1.4290912000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1140358000, 0.1219552000, 0.1396795000, 0.1794093000, 0.2761132000, 0.5234967000, 1.1640600000", \ - "0.1183662000, 0.1262421000, 0.1439162000, 0.1836190000, 0.2803173000, 0.5277237000, 1.1710565000", \ - "0.1273191000, 0.1352260000, 0.1529435000, 0.1926942000, 0.2893596000, 0.5380013000, 1.1778644000", \ - "0.1480436000, 0.1559204000, 0.1735552000, 0.2132049000, 0.3097585000, 0.5580237000, 1.2007926000", \ - "0.1883430000, 0.1965482000, 0.2146927000, 0.2547398000, 0.3513798000, 0.5994569000, 1.2423738000", \ - "0.2465868000, 0.2558118000, 0.2755088000, 0.3169918000, 0.4140051000, 0.6617959000, 1.3022513000", \ - "0.3063012000, 0.3180469000, 0.3413621000, 0.3869289000, 0.4852956000, 0.7329634000, 1.3738887000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0473893000, 0.0545107000, 0.0662675000, 0.0929048000, 0.1531142000, 0.2994363000, 0.7060387000", \ - "0.0481168000, 0.0542661000, 0.0662764000, 0.0925447000, 0.1520157000, 0.2989284000, 0.7040363000", \ - "0.0474585000, 0.0536141000, 0.0661007000, 0.0931491000, 0.1517107000, 0.2983377000, 0.7047428000", \ - "0.0478157000, 0.0539966000, 0.0662539000, 0.0938077000, 0.1527351000, 0.2994347000, 0.7059666000", \ - "0.0480579000, 0.0542995000, 0.0662965000, 0.0923674000, 0.1524735000, 0.2993197000, 0.7065399000", \ - "0.0495089000, 0.0556039000, 0.0683762000, 0.0947409000, 0.1538579000, 0.2993190000, 0.7079706000", \ - "0.0616767000, 0.0684713000, 0.0821508000, 0.1086406000, 0.1682375000, 0.3085084000, 0.7088278000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0304174000, 0.0375743000, 0.0560166000, 0.1046287000, 0.2366029000, 0.5868928000, 1.4935750000", \ - "0.0302568000, 0.0376291000, 0.0560468000, 0.1045276000, 0.2360830000, 0.5875579000, 1.4961211000", \ - "0.0304203000, 0.0375383000, 0.0559598000, 0.1045018000, 0.2366541000, 0.5871410000, 1.4969651000", \ - "0.0302239000, 0.0374465000, 0.0559403000, 0.1044963000, 0.2359364000, 0.5865125000, 1.4975118000", \ - "0.0324699000, 0.0397847000, 0.0579542000, 0.1055106000, 0.2360090000, 0.5871599000, 1.4952566000", \ - "0.0380993000, 0.0457617000, 0.0630886000, 0.1089506000, 0.2376670000, 0.5857771000, 1.4933438000", \ - "0.0507889000, 0.0587030000, 0.0753971000, 0.1180719000, 0.2410689000, 0.5892566000, 1.4948777000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.2915934000, 0.3020980000, 0.3236215000, 0.3649302000, 0.4400746000, 0.5863943000, 0.9126191000", \ - "0.2927780000, 0.3030350000, 0.3246069000, 0.3656445000, 0.4414836000, 0.5876075000, 0.9138823000", \ - "0.2983772000, 0.3086987000, 0.3302571000, 0.3709253000, 0.4467679000, 0.5928917000, 0.9191000000", \ - "0.3209695000, 0.3313530000, 0.3526096000, 0.3925914000, 0.4681525000, 0.6143482000, 0.9406042000", \ - "0.3836559000, 0.3940449000, 0.4155878000, 0.4566594000, 0.5321609000, 0.6780311000, 1.0042684000", \ - "0.5442865000, 0.5547424000, 0.5763527000, 0.6173037000, 0.6929424000, 0.8389837000, 1.1654494000", \ - "0.8288428000, 0.8419407000, 0.8685349000, 0.9148146000, 0.9967855000, 1.1520546000, 1.4835366000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1064958000, 0.1147213000, 0.1330062000, 0.1734156000, 0.2702339000, 0.5182947000, 1.1608748000", \ - "0.1112446000, 0.1194055000, 0.1376562000, 0.1780369000, 0.2748616000, 0.5217753000, 1.1627583000", \ - "0.1216315000, 0.1297880000, 0.1480338000, 0.1884164000, 0.2850499000, 0.5323545000, 1.1723985000", \ - "0.1453508000, 0.1535117000, 0.1721529000, 0.2122784000, 0.3090240000, 0.5570395000, 1.1968215000", \ - "0.1874911000, 0.1962901000, 0.2154787000, 0.2569870000, 0.3539097000, 0.6007913000, 1.2447692000", \ - "0.2386067000, 0.2483504000, 0.2691673000, 0.3123881000, 0.4109007000, 0.6598085000, 1.3015251000", \ - "0.2792728000, 0.2917578000, 0.3157065000, 0.3642893000, 0.4637969000, 0.7120299000, 1.3551673000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0486412000, 0.0554646000, 0.0703163000, 0.0998297000, 0.1637925000, 0.3108373000, 0.7082240000", \ - "0.0484575000, 0.0552114000, 0.0706864000, 0.1002094000, 0.1636239000, 0.3100284000, 0.7094452000", \ - "0.0484861000, 0.0550476000, 0.0703092000, 0.1007798000, 0.1634314000, 0.3100377000, 0.7093059000", \ - "0.0482902000, 0.0549729000, 0.0702170000, 0.0993775000, 0.1631472000, 0.3099645000, 0.7098749000", \ - "0.0485754000, 0.0549063000, 0.0707299000, 0.0994050000, 0.1615754000, 0.3105715000, 0.7087378000", \ - "0.0506151000, 0.0569518000, 0.0709248000, 0.1012425000, 0.1617908000, 0.3104842000, 0.7083136000", \ - "0.0711980000, 0.0774419000, 0.0915065000, 0.1219581000, 0.1813047000, 0.3262849000, 0.7167469000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0313804000, 0.0386515000, 0.0571045000, 0.1052510000, 0.2364645000, 0.5855851000, 1.4980382000", \ - "0.0314202000, 0.0386984000, 0.0570662000, 0.1052412000, 0.2365121000, 0.5870645000, 1.4956593000", \ - "0.0313785000, 0.0386423000, 0.0569964000, 0.1051516000, 0.2362793000, 0.5844297000, 1.4975747000", \ - "0.0312928000, 0.0386881000, 0.0570922000, 0.1051089000, 0.2356285000, 0.5864381000, 1.4981195000", \ - "0.0350787000, 0.0425791000, 0.0608960000, 0.1079561000, 0.2368091000, 0.5872896000, 1.4979155000", \ - "0.0415593000, 0.0490121000, 0.0670259000, 0.1125334000, 0.2401900000, 0.5861736000, 1.4974619000", \ - "0.0568465000, 0.0647021000, 0.0828974000, 0.1253747000, 0.2443692000, 0.5893093000, 1.4931276000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.3137986000, 0.3241813000, 0.3445111000, 0.3820732000, 0.4528608000, 0.5907687000, 0.9130170000", \ - "0.3158657000, 0.3262165000, 0.3467733000, 0.3847815000, 0.4542925000, 0.5933260000, 0.9154968000", \ - "0.3224528000, 0.3327056000, 0.3532295000, 0.3912366000, 0.4612262000, 0.5999605000, 0.9221120000", \ - "0.3435370000, 0.3536416000, 0.3740845000, 0.4117799000, 0.4817146000, 0.6207013000, 0.9428028000", \ - "0.4052975000, 0.4152621000, 0.4355718000, 0.4736140000, 0.5432810000, 0.6822585000, 1.0045601000", \ - "0.5585589000, 0.5686565000, 0.5892698000, 0.6276445000, 0.6975596000, 0.8366789000, 1.1590174000", \ - "0.8351023000, 0.8480625000, 0.8740279000, 0.9195403000, 0.9964846000, 1.1414805000, 1.4668233000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1181419000, 0.1259728000, 0.1436783000, 0.1834850000, 0.2797703000, 0.5266769000, 1.1685297000", \ - "0.1226562000, 0.1304799000, 0.1481738000, 0.1879920000, 0.2842727000, 0.5309135000, 1.1733889000", \ - "0.1332816000, 0.1411186000, 0.1588212000, 0.1985944000, 0.2951217000, 0.5420845000, 1.1827619000", \ - "0.1579712000, 0.1658560000, 0.1834997000, 0.2230804000, 0.3196696000, 0.5666957000, 1.2076954000", \ - "0.2075671000, 0.2155544000, 0.2335177000, 0.2736583000, 0.3700809000, 0.6176450000, 1.2578824000", \ - "0.2731275000, 0.2826196000, 0.3020532000, 0.3436321000, 0.4406367000, 0.6882360000, 1.3326884000", \ - "0.3383276000, 0.3509612000, 0.3753976000, 0.4210922000, 0.5187639000, 0.7675484000, 1.4080992000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0476280000, 0.0537913000, 0.0665214000, 0.0931458000, 0.1521774000, 0.2996263000, 0.7044305000", \ - "0.0476199000, 0.0536399000, 0.0660867000, 0.0938049000, 0.1528754000, 0.2996628000, 0.7065006000", \ - "0.0481309000, 0.0533727000, 0.0665058000, 0.0924152000, 0.1519295000, 0.2986687000, 0.7049655000", \ - "0.0473500000, 0.0537423000, 0.0661779000, 0.0929077000, 0.1526360000, 0.2985583000, 0.7075135000", \ - "0.0477153000, 0.0536641000, 0.0663543000, 0.0936969000, 0.1518464000, 0.2987104000, 0.7047822000", \ - "0.0494480000, 0.0552110000, 0.0672676000, 0.0941042000, 0.1524399000, 0.2989211000, 0.7030059000", \ - "0.0694366000, 0.0764125000, 0.0893077000, 0.1140959000, 0.1704010000, 0.3100190000, 0.7089461000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0301994000, 0.0377104000, 0.0560296000, 0.1043429000, 0.2367284000, 0.5862979000, 1.5002262000", \ - "0.0302207000, 0.0376911000, 0.0560066000, 0.1043717000, 0.2366423000, 0.5870597000, 1.5002550000", \ - "0.0302449000, 0.0376328000, 0.0559264000, 0.1045693000, 0.2365172000, 0.5872851000, 1.4933720000", \ - "0.0302491000, 0.0374262000, 0.0558819000, 0.1044699000, 0.2366930000, 0.5873265000, 1.4953389000", \ - "0.0329078000, 0.0401927000, 0.0579513000, 0.1054849000, 0.2363589000, 0.5849224000, 1.4978737000", \ - "0.0402610000, 0.0472697000, 0.0643883000, 0.1092737000, 0.2383006000, 0.5852863000, 1.4991194000", \ - "0.0556595000, 0.0632511000, 0.0792187000, 0.1206129000, 0.2416385000, 0.5894769000, 1.4927970000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__maj3_2") { - leakage_power () { - value : 0.0050786000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0041376000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0023887000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0049819000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0013468000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0050482000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0008789000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0015400000; - when : "A&B&!C"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__maj3"; - cell_leakage_power : 0.0031750860; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0032460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0031260000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0053724000, 0.0053775000, 0.0053892000, 0.0053895000, 0.0053900000, 0.0053913000, 0.0053943000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.005371800, -0.005367900, -0.005358700, -0.005359800, -0.005362300, -0.005368200, -0.005381800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0033650000; - } - pin ("B") { - capacitance : 0.0029880000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0028190000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0060047000, 0.0060027000, 0.0059981000, 0.0059980000, 0.0059980000, 0.0059977000, 0.0059973000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004918000, -0.004922300, -0.004932000, -0.004923100, -0.004902700, -0.004855600, -0.004746900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0031570000; - } - pin ("C") { - capacitance : 0.0037180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0035730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0070634000, 0.0070535000, 0.0070306000, 0.0070320000, 0.0070352000, 0.0070428000, 0.0070601000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006483800, -0.006484500, -0.006486200, -0.006483000, -0.006475700, -0.006458800, -0.006419800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0038630000; - } - pin ("X") { - direction : "output"; - function : "(A&B) | (A&C) | (B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014560060, 0.0042399090, 0.0123466700, 0.0359536600, 0.1046975000, 0.3048805000"); - values("0.0169070000, 0.0153135000, 0.0115981000, 0.0003027000, -0.035452200, -0.145446300, -0.469377200", \ - "0.0168828000, 0.0153249000, 0.0115090000, 0.0002582000, -0.035543100, -0.145519000, -0.469435600", \ - "0.0170174000, 0.0154799000, 0.0115644000, 0.0002740000, -0.035593500, -0.145683600, -0.469609700", \ - "0.0166729000, 0.0150870000, 0.0112894000, 0.0001043000, -0.035750300, -0.145868700, -0.469758400", \ - "0.0164692000, 0.0148887000, 0.0110905000, -0.000108300, -0.035971700, -0.145988800, -0.469902300", \ - "0.0165387000, 0.0149409000, 0.0110627000, -0.000274600, -0.036147600, -0.146204900, -0.470029600", \ - "0.0217588000, 0.0199968000, 0.0151712000, 0.0018063000, -0.035990200, -0.146261500, -0.469991900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014560060, 0.0042399090, 0.0123466700, 0.0359536600, 0.1046975000, 0.3048805000"); - values("0.0186725000, 0.0203508000, 0.0251498000, 0.0386059000, 0.0769693000, 0.1876841000, 0.5088402000", \ - "0.0185435000, 0.0201777000, 0.0249543000, 0.0383948000, 0.0768392000, 0.1875061000, 0.5082813000", \ - "0.0183821000, 0.0200387000, 0.0247186000, 0.0382739000, 0.0766468000, 0.1881953000, 0.5104341000", \ - "0.0182508000, 0.0198608000, 0.0246193000, 0.0381830000, 0.0765320000, 0.1870644000, 0.5075719000", \ - "0.0183631000, 0.0199540000, 0.0245934000, 0.0380821000, 0.0763907000, 0.1870922000, 0.5103144000", \ - "0.0188566000, 0.0203905000, 0.0249209000, 0.0378765000, 0.0760990000, 0.1864583000, 0.5094592000", \ - "0.0195670000, 0.0210248000, 0.0254530000, 0.0387059000, 0.0766677000, 0.1874550000, 0.5091214000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014560060, 0.0042399090, 0.0123466700, 0.0359536600, 0.1046975000, 0.3048805000"); - values("0.0128967000, 0.0114137000, 0.0077283000, -0.003249600, -0.038531200, -0.148280500, -0.472156500", \ - "0.0127767000, 0.0112653000, 0.0076123000, -0.003335900, -0.038698800, -0.148416100, -0.472308400", \ - "0.0125436000, 0.0109857000, 0.0072813000, -0.003651000, -0.038956600, -0.148704000, -0.472614300", \ - "0.0121450000, 0.0106163000, 0.0069138000, -0.004013400, -0.039370600, -0.149067800, -0.472964300", \ - "0.0118471000, 0.0103140000, 0.0066876000, -0.004389700, -0.039857000, -0.149531000, -0.473382000", \ - "0.0121384000, 0.0105538000, 0.0067246000, -0.004159000, -0.039812600, -0.149578700, -0.473349600", \ - "0.0185246000, 0.0166653000, 0.0116654000, -0.002179100, -0.039945000, -0.149163900, -0.472948500"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014560060, 0.0042399090, 0.0123466700, 0.0359536600, 0.1046975000, 0.3048805000"); - values("0.0184718000, 0.0201242000, 0.0249444000, 0.0385030000, 0.0769218000, 0.1875091000, 0.5081586000", \ - "0.0184708000, 0.0201202000, 0.0249289000, 0.0385175000, 0.0769665000, 0.1874986000, 0.5086035000", \ - "0.0184392000, 0.0200452000, 0.0248777000, 0.0384830000, 0.0768530000, 0.1875516000, 0.5084360000", \ - "0.0182001000, 0.0198557000, 0.0246459000, 0.0383014000, 0.0766312000, 0.1873045000, 0.5080339000", \ - "0.0179974000, 0.0195807000, 0.0243068000, 0.0378382000, 0.0760423000, 0.1867648000, 0.5075030000", \ - "0.0184571000, 0.0199545000, 0.0244269000, 0.0371307000, 0.0752629000, 0.1858151000, 0.5071657000", \ - "0.0190848000, 0.0205315000, 0.0248534000, 0.0378648000, 0.0757888000, 0.1863242000, 0.5045472000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014560060, 0.0042399090, 0.0123466700, 0.0359536600, 0.1046975000, 0.3048805000"); - values("0.0160850000, 0.0144994000, 0.0109245000, -0.000414700, -0.036082600, -0.146354100, -0.470384600", \ - "0.0159481000, 0.0143689000, 0.0108358000, -0.000504900, -0.036136100, -0.146483200, -0.470502800", \ - "0.0156769000, 0.0141064000, 0.0103831000, -0.000655600, -0.036531100, -0.146802800, -0.470761900", \ - "0.0152787000, 0.0137233000, 0.0100601000, -0.001200700, -0.037058100, -0.147234100, -0.471212900", \ - "0.0149369000, 0.0133656000, 0.0095301000, -0.001610700, -0.037527600, -0.147653000, -0.471572700", \ - "0.0152811000, 0.0136524000, 0.0097038000, -0.001698300, -0.037789300, -0.147897900, -0.471688100", \ - "0.0213019000, 0.0194385000, 0.0144845000, 0.0009324000, -0.037521700, -0.147221000, -0.470939800"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014560060, 0.0042399090, 0.0123466700, 0.0359536600, 0.1046975000, 0.3048805000"); - values("0.0205611000, 0.0222003000, 0.0268600000, 0.0404302000, 0.0788513000, 0.1894318000, 0.5124653000", \ - "0.0205313000, 0.0221581000, 0.0268302000, 0.0403784000, 0.0788387000, 0.1895188000, 0.5104035000", \ - "0.0204662000, 0.0220975000, 0.0268561000, 0.0402876000, 0.0787079000, 0.1894061000, 0.5102081000", \ - "0.0201985000, 0.0218327000, 0.0265186000, 0.0400591000, 0.0784174000, 0.1890455000, 0.5121375000", \ - "0.0200285000, 0.0216862000, 0.0263845000, 0.0397234000, 0.0779320000, 0.1886667000, 0.5118194000", \ - "0.0202664000, 0.0217930000, 0.0262430000, 0.0391477000, 0.0771339000, 0.1878062000, 0.5087693000", \ - "0.0210943000, 0.0225552000, 0.0269256000, 0.0400201000, 0.0776792000, 0.1882039000, 0.5069037000"); - } - } - max_capacitance : 0.3048800000; - max_transition : 1.5026130000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.2642092000, 0.2721371000, 0.2897725000, 0.3240865000, 0.3883928000, 0.5191151000, 0.8451752000", \ - "0.2698049000, 0.2777557000, 0.2952707000, 0.3295574000, 0.3939808000, 0.5245878000, 0.8505586000", \ - "0.2824599000, 0.2904163000, 0.3079401000, 0.3421811000, 0.4065654000, 0.5380646000, 0.8641244000", \ - "0.3095033000, 0.3174515000, 0.3349793000, 0.3694415000, 0.4336907000, 0.5650704000, 0.8911389000", \ - "0.3711287000, 0.3790672000, 0.3966306000, 0.4310160000, 0.4951516000, 0.6269011000, 0.9527834000", \ - "0.5089559000, 0.5171976000, 0.5353774000, 0.5703231000, 0.6349876000, 0.7668096000, 1.0928586000", \ - "0.7589934000, 0.7686762000, 0.7900189000, 0.8307750000, 0.9035088000, 1.0437796000, 1.3735261000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.1410052000, 0.1481218000, 0.1645541000, 0.2004032000, 0.2858425000, 0.5210858000, 1.2010741000", \ - "0.1452791000, 0.1523956000, 0.1687208000, 0.2045197000, 0.2900099000, 0.5258864000, 1.2036719000", \ - "0.1545255000, 0.1616156000, 0.1779057000, 0.2138376000, 0.2993853000, 0.5348100000, 1.2152498000", \ - "0.1754539000, 0.1825557000, 0.1989006000, 0.2348343000, 0.3202033000, 0.5548876000, 1.2342509000", \ - "0.2205923000, 0.2279223000, 0.2443600000, 0.2804682000, 0.3658226000, 0.6006547000, 1.2796865000", \ - "0.2942253000, 0.3025151000, 0.3208403000, 0.3593223000, 0.4464418000, 0.6815269000, 1.3640039000", \ - "0.3845269000, 0.3951096000, 0.4178768000, 0.4617683000, 0.5526377000, 0.7878563000, 1.4664263000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0399146000, 0.0442814000, 0.0548612000, 0.0777420000, 0.1265066000, 0.2598839000, 0.6662684000", \ - "0.0397608000, 0.0444850000, 0.0548178000, 0.0767062000, 0.1263633000, 0.2604281000, 0.6660028000", \ - "0.0398257000, 0.0447186000, 0.0548304000, 0.0779414000, 0.1279491000, 0.2594723000, 0.6659534000", \ - "0.0396285000, 0.0446040000, 0.0547460000, 0.0774135000, 0.1274635000, 0.2593972000, 0.6663071000", \ - "0.0397264000, 0.0447081000, 0.0547954000, 0.0775183000, 0.1268320000, 0.2601840000, 0.6659634000", \ - "0.0430706000, 0.0474216000, 0.0577811000, 0.0789434000, 0.1296295000, 0.2603442000, 0.6665001000", \ - "0.0553375000, 0.0602379000, 0.0720228000, 0.0957505000, 0.1451597000, 0.2732076000, 0.6697429000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0277072000, 0.0334554000, 0.0471530000, 0.0838673000, 0.1923001000, 0.5248416000, 1.5015226000", \ - "0.0277555000, 0.0332953000, 0.0470135000, 0.0837232000, 0.1924994000, 0.5243357000, 1.4989006000", \ - "0.0278193000, 0.0333561000, 0.0473353000, 0.0836439000, 0.1924890000, 0.5248877000, 1.5024314000", \ - "0.0278465000, 0.0333542000, 0.0471263000, 0.0837578000, 0.1920953000, 0.5240959000, 1.4970353000", \ - "0.0289581000, 0.0341974000, 0.0479382000, 0.0843759000, 0.1926502000, 0.5246165000, 1.4996120000", \ - "0.0341140000, 0.0397565000, 0.0542594000, 0.0894098000, 0.1946992000, 0.5247236000, 1.4971266000", \ - "0.0458240000, 0.0523136000, 0.0675277000, 0.1016173000, 0.2017519000, 0.5265322000, 1.4984718000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.2575334000, 0.2657542000, 0.2841181000, 0.3210386000, 0.3910551000, 0.5316216000, 0.8636409000", \ - "0.2609318000, 0.2690896000, 0.2875018000, 0.3240162000, 0.3945025000, 0.5349549000, 0.8669643000", \ - "0.2693707000, 0.2775113000, 0.2959007000, 0.3327662000, 0.4027586000, 0.5432352000, 0.8753295000", \ - "0.2930916000, 0.3012297000, 0.3196615000, 0.3573252000, 0.4277529000, 0.5680908000, 0.8999898000", \ - "0.3587468000, 0.3668280000, 0.3851222000, 0.4216589000, 0.4920246000, 0.6320637000, 0.9640092000", \ - "0.5136361000, 0.5219105000, 0.5407637000, 0.5785561000, 0.6492053000, 0.7896760000, 1.1217238000", \ - "0.7859817000, 0.7965323000, 0.8197646000, 0.8641215000, 0.9407866000, 1.0906468000, 1.4300078000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.1299857000, 0.1373411000, 0.1541534000, 0.1906266000, 0.2765735000, 0.5115350000, 1.1910616000", \ - "0.1348971000, 0.1422725000, 0.1590253000, 0.1955235000, 0.2816011000, 0.5171631000, 1.1954646000", \ - "0.1453502000, 0.1526206000, 0.1694140000, 0.2059183000, 0.2918479000, 0.5267521000, 1.2062227000", \ - "0.1688396000, 0.1762375000, 0.1930155000, 0.2294772000, 0.3152489000, 0.5502686000, 1.2297828000", \ - "0.2199469000, 0.2276834000, 0.2451071000, 0.2820636000, 0.3679363000, 0.6028946000, 1.2825661000", \ - "0.2904466000, 0.2992969000, 0.3189906000, 0.3591539000, 0.4481019000, 0.6841571000, 1.3635488000", \ - "0.3635695000, 0.3751509000, 0.3996358000, 0.4470333000, 0.5411722000, 0.7778440000, 1.4575992000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0409266000, 0.0460761000, 0.0576653000, 0.0833028000, 0.1379976000, 0.2732310000, 0.6721394000", \ - "0.0408962000, 0.0461016000, 0.0576188000, 0.0841726000, 0.1376706000, 0.2731835000, 0.6714042000", \ - "0.0411197000, 0.0460181000, 0.0577240000, 0.0832895000, 0.1377875000, 0.2732373000, 0.6717649000", \ - "0.0410241000, 0.0457453000, 0.0578346000, 0.0839598000, 0.1372460000, 0.2730292000, 0.6717961000", \ - "0.0406692000, 0.0459645000, 0.0581500000, 0.0831040000, 0.1371775000, 0.2731752000, 0.6718739000", \ - "0.0447031000, 0.0497707000, 0.0607007000, 0.0858155000, 0.1397804000, 0.2737922000, 0.6705540000", \ - "0.0642894000, 0.0699748000, 0.0829922000, 0.1069422000, 0.1606529000, 0.2919757000, 0.6800161000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0285720000, 0.0340740000, 0.0478112000, 0.0843761000, 0.1924922000, 0.5242242000, 1.4989101000", \ - "0.0283996000, 0.0339270000, 0.0477267000, 0.0843884000, 0.1923620000, 0.5235991000, 1.5011076000", \ - "0.0284171000, 0.0338698000, 0.0478921000, 0.0842026000, 0.1925772000, 0.5239514000, 1.5002907000", \ - "0.0282894000, 0.0339674000, 0.0478530000, 0.0844528000, 0.1925703000, 0.5240405000, 1.4998395000", \ - "0.0308498000, 0.0362534000, 0.0499065000, 0.0857703000, 0.1928171000, 0.5240296000, 1.4987874000", \ - "0.0380201000, 0.0439770000, 0.0582546000, 0.0935760000, 0.1979475000, 0.5239076000, 1.4999185000", \ - "0.0527715000, 0.0600475000, 0.0756954000, 0.1093384000, 0.2067021000, 0.5279411000, 1.4985386000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.2708986000, 0.2788828000, 0.2965045000, 0.3308530000, 0.3948020000, 0.5262851000, 0.8525378000", \ - "0.2741681000, 0.2821358000, 0.2997979000, 0.3340926000, 0.3979280000, 0.5295546000, 0.8557421000", \ - "0.2827407000, 0.2906908000, 0.3082967000, 0.3423506000, 0.4063254000, 0.5379758000, 0.8644067000", \ - "0.3055522000, 0.3134938000, 0.3310012000, 0.3653563000, 0.4296282000, 0.5611946000, 0.8872643000", \ - "0.3669538000, 0.3749057000, 0.3924659000, 0.4267850000, 0.4910476000, 0.6224445000, 0.9485579000", \ - "0.5160197000, 0.5242801000, 0.5425477000, 0.5773350000, 0.6421317000, 0.7742265000, 1.1001610000", \ - "0.7682389000, 0.7786968000, 0.8018642000, 0.8455847000, 0.9208870000, 1.0610473000, 1.3919701000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.1464631000, 0.1535850000, 0.1698844000, 0.2057932000, 0.2913165000, 0.5269143000, 1.2073675000", \ - "0.1512101000, 0.1583251000, 0.1746262000, 0.2104653000, 0.2959558000, 0.5309113000, 1.2105413000", \ - "0.1617863000, 0.1689219000, 0.1852724000, 0.2210383000, 0.3065841000, 0.5414507000, 1.2210079000", \ - "0.1862108000, 0.1933649000, 0.2096042000, 0.2454398000, 0.3309634000, 0.5662096000, 1.2458257000", \ - "0.2416197000, 0.2487659000, 0.2652373000, 0.3016390000, 0.3869233000, 0.6218203000, 1.3015613000", \ - "0.3281212000, 0.3368490000, 0.3559087000, 0.3942499000, 0.4810821000, 0.7169230000, 1.3947318000", \ - "0.4281013000, 0.4393167000, 0.4636847000, 0.5099824000, 0.6010384000, 0.8363413000, 1.5158454000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0397150000, 0.0445817000, 0.0548911000, 0.0779452000, 0.1277615000, 0.2598306000, 0.6701901000", \ - "0.0398308000, 0.0447241000, 0.0548418000, 0.0779269000, 0.1279164000, 0.2597655000, 0.6696827000", \ - "0.0399000000, 0.0446937000, 0.0548904000, 0.0772812000, 0.1279713000, 0.2594200000, 0.6696116000", \ - "0.0396318000, 0.0446786000, 0.0549205000, 0.0779633000, 0.1268185000, 0.2595394000, 0.6659745000", \ - "0.0398065000, 0.0446045000, 0.0547501000, 0.0769010000, 0.1278803000, 0.2595948000, 0.6658747000", \ - "0.0438300000, 0.0482895000, 0.0583383000, 0.0794093000, 0.1282469000, 0.2600988000, 0.6665188000", \ - "0.0632284000, 0.0688768000, 0.0816666000, 0.1040340000, 0.1503718000, 0.2754762000, 0.6728194000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0278086000, 0.0333656000, 0.0474132000, 0.0837862000, 0.1926208000, 0.5249597000, 1.5026129000", \ - "0.0277673000, 0.0333435000, 0.0473435000, 0.0837569000, 0.1925423000, 0.5247353000, 1.5011322000", \ - "0.0277116000, 0.0331584000, 0.0471272000, 0.0836154000, 0.1922597000, 0.5246170000, 1.5010042000", \ - "0.0275985000, 0.0332496000, 0.0472381000, 0.0837073000, 0.1924358000, 0.5250944000, 1.5022826000", \ - "0.0288691000, 0.0341940000, 0.0478827000, 0.0842968000, 0.1925951000, 0.5250992000, 1.5017131000", \ - "0.0371054000, 0.0427768000, 0.0560075000, 0.0907940000, 0.1958217000, 0.5240138000, 1.4961643000", \ - "0.0512879000, 0.0585756000, 0.0735104000, 0.1066318000, 0.2032631000, 0.5274662000, 1.4988164000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__maj3_4") { - leakage_power () { - value : 0.0049017000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0040372000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0038141000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0048111000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0026805000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0048742000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0020872000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0029445000; - when : "A&B&!C"; - } - area : 13.763200000; - cell_footprint : "sky130_fd_sc_hd__maj3"; - cell_leakage_power : 0.0037688080; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0043770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078682000, 0.0078564000, 0.0078293000, 0.0078302000, 0.0078323000, 0.0078372000, 0.0078485000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007778200, -0.007774600, -0.007766400, -0.007768300, -0.007772800, -0.007783200, -0.007807100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045630000; - } - pin ("B") { - capacitance : 0.0041370000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0038700000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0088941000, 0.0088957000, 0.0088993000, 0.0088970000, 0.0088918000, 0.0088798000, 0.0088521000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007131200, -0.007138400, -0.007155000, -0.007143100, -0.007115600, -0.007052100, -0.006905900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044040000; - } - pin ("C") { - capacitance : 0.0049570000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0047400000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0098222000, 0.0098218000, 0.0098208000, 0.0098211000, 0.0098220000, 0.0098241000, 0.0098289000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008921100, -0.008918900, -0.008913900, -0.008908700, -0.008896700, -0.008869100, -0.008805400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0051750000; - } - pin ("X") { - direction : "output"; - function : "(A&B) | (A&C) | (B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000"); - values("0.0304469000, 0.0284829000, 0.0227540000, 0.0069444000, -0.046467000, -0.229125300, -0.823335300", \ - "0.0302719000, 0.0283612000, 0.0226726000, 0.0072532000, -0.046530300, -0.229161300, -0.823589200", \ - "0.0302063000, 0.0282752000, 0.0226083000, 0.0068244000, -0.046715500, -0.229332000, -0.823804800", \ - "0.0299386000, 0.0280666000, 0.0224096000, 0.0068572000, -0.046667800, -0.229594500, -0.823882500", \ - "0.0297770000, 0.0278585000, 0.0222413000, 0.0064297000, -0.047214500, -0.230008400, -0.824073100", \ - "0.0298446000, 0.0278951000, 0.0221386000, 0.0063301000, -0.047352700, -0.230278000, -0.824388000", \ - "0.0374279000, 0.0354252000, 0.0292183000, 0.0099410000, -0.047150200, -0.229862200, -0.824206700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000"); - values("0.0329215000, 0.0348999000, 0.0408701000, 0.0599630000, 0.1185089000, 0.3041198000, 0.8934265000", \ - "0.0327952000, 0.0346740000, 0.0406768000, 0.0595111000, 0.1182956000, 0.3034912000, 0.8965168000", \ - "0.0326280000, 0.0344828000, 0.0404778000, 0.0593070000, 0.1181582000, 0.3035967000, 0.8966103000", \ - "0.0323104000, 0.0342281000, 0.0401714000, 0.0591116000, 0.1179219000, 0.3031625000, 0.8965783000", \ - "0.0323909000, 0.0342232000, 0.0400662000, 0.0590094000, 0.1175481000, 0.3030531000, 0.8922681000", \ - "0.0334468000, 0.0352169000, 0.0409681000, 0.0587109000, 0.1171522000, 0.3015664000, 0.8918417000", \ - "0.0346780000, 0.0363717000, 0.0419606000, 0.0598241000, 0.1180454000, 0.3030990000, 0.8900040000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000"); - values("0.0242148000, 0.0221503000, 0.0167538000, 0.0011300000, -0.051538000, -0.233514100, -0.827717900", \ - "0.0238556000, 0.0218510000, 0.0164931000, 0.0009098000, -0.051803600, -0.233682200, -0.827894900", \ - "0.0236101000, 0.0216841000, 0.0162251000, 0.0005900000, -0.052164700, -0.234213900, -0.828407500", \ - "0.0228752000, 0.0210651000, 0.0154421000, 3.390000e-05, -0.052900100, -0.234878600, -0.828979400", \ - "0.0223231000, 0.0205126000, 0.0150232000, -0.000528200, -0.053485000, -0.235418600, -0.829514300", \ - "0.0228109000, 0.0208552000, 0.0152433000, 0.0001465000, -0.053422000, -0.235614800, -0.829574300", \ - "0.0319854000, 0.0297521000, 0.0230576000, 0.0032605000, -0.053963900, -0.234935000, -0.828911500"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000"); - values("0.0325225000, 0.0344612000, 0.0404458000, 0.0594863000, 0.1183778000, 0.3036518000, 0.8966179000", \ - "0.0325753000, 0.0344826000, 0.0404807000, 0.0595710000, 0.1184860000, 0.3038801000, 0.8930482000", \ - "0.0325601000, 0.0344824000, 0.0404790000, 0.0595223000, 0.1183926000, 0.3034930000, 0.8968843000", \ - "0.0322777000, 0.0341766000, 0.0401797000, 0.0591944000, 0.1179761000, 0.3033093000, 0.8922101000", \ - "0.0320515000, 0.0338407000, 0.0397678000, 0.0585273000, 0.1171419000, 0.3024866000, 0.8912732000", \ - "0.0332630000, 0.0350359000, 0.0406383000, 0.0581689000, 0.1164150000, 0.3009921000, 0.8950227000", \ - "0.0342398000, 0.0359513000, 0.0414199000, 0.0593727000, 0.1169659000, 0.3019103000, 0.8868485000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000"); - values("0.0291793000, 0.0272489000, 0.0215223000, 0.0060897000, -0.047713200, -0.230224000, -0.824802400", \ - "0.0290414000, 0.0270753000, 0.0213415000, 0.0056681000, -0.047824900, -0.230531700, -0.824879900", \ - "0.0286544000, 0.0267014000, 0.0216259000, 0.0056484000, -0.048245900, -0.230820500, -0.825382500", \ - "0.0280915000, 0.0261247000, 0.0205049000, 0.0049331000, -0.048586600, -0.231559300, -0.825901300", \ - "0.0276328000, 0.0256951000, 0.0200885000, 0.0041819000, -0.049451800, -0.232212500, -0.826441600", \ - "0.0278526000, 0.0259194000, 0.0201087000, 0.0040489000, -0.049710100, -0.232622700, -0.826505300", \ - "0.0369400000, 0.0347175000, 0.0280998000, 0.0092402000, -0.048866000, -0.231205000, -0.825395300"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000"); - values("0.0349825000, 0.0368967000, 0.0428934000, 0.0618994000, 0.1205467000, 0.3059310000, 0.8947377000", \ - "0.0349846000, 0.0368935000, 0.0428889000, 0.0618636000, 0.1205067000, 0.3060801000, 0.8944916000", \ - "0.0350082000, 0.0368447000, 0.0428352000, 0.0616183000, 0.1205290000, 0.3054658000, 0.8990088000", \ - "0.0346088000, 0.0365335000, 0.0424708000, 0.0614077000, 0.1202299000, 0.3055668000, 0.8944006000", \ - "0.0344789000, 0.0362820000, 0.0421469000, 0.0609302000, 0.1192375000, 0.3048553000, 0.8939299000", \ - "0.0351280000, 0.0368744000, 0.0425692000, 0.0605723000, 0.1182988000, 0.3033406000, 0.8931492000", \ - "0.0364716000, 0.0381665000, 0.0436427000, 0.0613642000, 0.1193445000, 0.3031190000, 0.8891987000"); - } - } - max_capacitance : 0.5346780000; - max_transition : 1.5032330000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.2982816000, 0.3038199000, 0.3183651000, 0.3500691000, 0.4116955000, 0.5379803000, 0.8601237000", \ - "0.3036218000, 0.3092397000, 0.3237584000, 0.3553060000, 0.4172415000, 0.5439167000, 0.8662964000", \ - "0.3164869000, 0.3220988000, 0.3366049000, 0.3681684000, 0.4300878000, 0.5561732000, 0.8786673000", \ - "0.3441771000, 0.3497640000, 0.3642288000, 0.3958046000, 0.4575137000, 0.5847610000, 0.9068960000", \ - "0.4070506000, 0.4126400000, 0.4270200000, 0.4587264000, 0.5204237000, 0.6476450000, 0.9698764000", \ - "0.5511098000, 0.5567483000, 0.5712807000, 0.6031034000, 0.6649633000, 0.7919383000, 1.1142874000", \ - "0.8235441000, 0.8302030000, 0.8472846000, 0.8839005000, 0.9538168000, 1.0888640000, 1.4149789000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.1398386000, 0.1445007000, 0.1570160000, 0.1872354000, 0.2637887000, 0.4896716000, 1.2047683000", \ - "0.1441140000, 0.1488030000, 0.1612627000, 0.1914134000, 0.2679676000, 0.4934209000, 1.2107545000", \ - "0.1533695000, 0.1580704000, 0.1704684000, 0.2005923000, 0.2770975000, 0.5029120000, 1.2188845000", \ - "0.1741119000, 0.1789009000, 0.1913049000, 0.2214997000, 0.2978656000, 0.5244709000, 1.2388888000", \ - "0.2188061000, 0.2236003000, 0.2362071000, 0.2666591000, 0.3430808000, 0.5693165000, 1.2823322000", \ - "0.2889059000, 0.2943832000, 0.3086692000, 0.3412249000, 0.4198165000, 0.6457444000, 1.3605370000", \ - "0.3671877000, 0.3741259000, 0.3918458000, 0.4302185000, 0.5134677000, 0.7396819000, 1.4535677000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0480111000, 0.0509818000, 0.0595206000, 0.0785341000, 0.1221650000, 0.2413299000, 0.6334857000", \ - "0.0477449000, 0.0509996000, 0.0596175000, 0.0778612000, 0.1208118000, 0.2402907000, 0.6327570000", \ - "0.0479851000, 0.0508010000, 0.0592531000, 0.0777980000, 0.1214351000, 0.2413637000, 0.6336002000", \ - "0.0476480000, 0.0508353000, 0.0595187000, 0.0776009000, 0.1202595000, 0.2402589000, 0.6337115000", \ - "0.0477030000, 0.0508657000, 0.0601269000, 0.0779187000, 0.1212961000, 0.2405756000, 0.6337828000", \ - "0.0495468000, 0.0525794000, 0.0606908000, 0.0795231000, 0.1210692000, 0.2410406000, 0.6331572000", \ - "0.0634753000, 0.0666128000, 0.0767572000, 0.0954372000, 0.1388102000, 0.2540226000, 0.6373865000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0287704000, 0.0321581000, 0.0424226000, 0.0718302000, 0.1658724000, 0.4816411000, 1.5011330000", \ - "0.0284876000, 0.0321148000, 0.0424350000, 0.0719040000, 0.1654801000, 0.4805679000, 1.4988581000", \ - "0.0285921000, 0.0321809000, 0.0424605000, 0.0719365000, 0.1656723000, 0.4813145000, 1.5031678000", \ - "0.0285478000, 0.0322308000, 0.0425917000, 0.0717006000, 0.1656925000, 0.4810042000, 1.5032335000", \ - "0.0299553000, 0.0334685000, 0.0434345000, 0.0726633000, 0.1660931000, 0.4819535000, 1.4992214000", \ - "0.0358418000, 0.0395472000, 0.0498884000, 0.0784772000, 0.1694258000, 0.4806275000, 1.5015686000", \ - "0.0487849000, 0.0530483000, 0.0647658000, 0.0923333000, 0.1773317000, 0.4835313000, 1.4953867000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.2877142000, 0.2934366000, 0.3081617000, 0.3409706000, 0.4071832000, 0.5431713000, 0.8733425000", \ - "0.2910071000, 0.2966570000, 0.3114307000, 0.3442677000, 0.4104581000, 0.5465794000, 0.8763169000", \ - "0.2995703000, 0.3051264000, 0.3198884000, 0.3527472000, 0.4189185000, 0.5551288000, 0.8849495000", \ - "0.3233845000, 0.3291541000, 0.3437937000, 0.3768179000, 0.4422955000, 0.5785066000, 0.9085578000", \ - "0.3883378000, 0.3939508000, 0.4086007000, 0.4404434000, 0.5066394000, 0.6424957000, 0.9725106000", \ - "0.5470321000, 0.5525416000, 0.5670647000, 0.6003900000, 0.6663881000, 0.8014946000, 1.1315689000", \ - "0.8424644000, 0.8493740000, 0.8674465000, 0.9069773000, 0.9814156000, 1.1242713000, 1.4613210000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.1297877000, 0.1345960000, 0.1473329000, 0.1779793000, 0.2549511000, 0.4814232000, 1.1968435000", \ - "0.1345561000, 0.1393394000, 0.1521238000, 0.1827599000, 0.2597665000, 0.4856721000, 1.1986012000", \ - "0.1447835000, 0.1495479000, 0.1623415000, 0.1929211000, 0.2697833000, 0.4961421000, 1.2097893000", \ - "0.1676961000, 0.1724696000, 0.1851475000, 0.2163432000, 0.2931181000, 0.5193058000, 1.2322141000", \ - "0.2176686000, 0.2227414000, 0.2359541000, 0.2671321000, 0.3441025000, 0.5697062000, 1.2841769000", \ - "0.2828905000, 0.2888500000, 0.3039513000, 0.3380082000, 0.4179304000, 0.6449555000, 1.3616225000", \ - "0.3432694000, 0.3507512000, 0.3700811000, 0.4112315000, 0.4970735000, 0.7249957000, 1.4399461000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0484639000, 0.0518238000, 0.0610585000, 0.0823204000, 0.1297988000, 0.2539670000, 0.6401499000", \ - "0.0486333000, 0.0520112000, 0.0604514000, 0.0822760000, 0.1311517000, 0.2541330000, 0.6406758000", \ - "0.0485292000, 0.0516238000, 0.0607101000, 0.0825405000, 0.1295241000, 0.2533853000, 0.6403420000", \ - "0.0487689000, 0.0522724000, 0.0603748000, 0.0816960000, 0.1309123000, 0.2534630000, 0.6407675000", \ - "0.0485143000, 0.0518830000, 0.0606955000, 0.0816301000, 0.1308802000, 0.2532295000, 0.6403221000", \ - "0.0507835000, 0.0537791000, 0.0618894000, 0.0832036000, 0.1298640000, 0.2543800000, 0.6396625000", \ - "0.0739911000, 0.0774957000, 0.0863614000, 0.1071831000, 0.1515944000, 0.2709342000, 0.6486939000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0292437000, 0.0326685000, 0.0429853000, 0.0722472000, 0.1658714000, 0.4809044000, 1.5023637000", \ - "0.0289733000, 0.0327390000, 0.0429202000, 0.0722430000, 0.1655579000, 0.4812390000, 1.4970926000", \ - "0.0291525000, 0.0327428000, 0.0429517000, 0.0721124000, 0.1656409000, 0.4801092000, 1.5017317000", \ - "0.0292061000, 0.0328039000, 0.0429218000, 0.0722006000, 0.1654600000, 0.4810535000, 1.4998309000", \ - "0.0320381000, 0.0352523000, 0.0452254000, 0.0739968000, 0.1661038000, 0.4802760000, 1.4964123000", \ - "0.0399108000, 0.0439115000, 0.0544773000, 0.0829993000, 0.1717011000, 0.4816945000, 1.5024492000", \ - "0.0556058000, 0.0602480000, 0.0725833000, 0.0998141000, 0.1824563000, 0.4849436000, 1.4976420000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.2985072000, 0.3040906000, 0.3186193000, 0.3502803000, 0.4123806000, 0.5386815000, 0.8610593000", \ - "0.3020327000, 0.3076051000, 0.3221746000, 0.3539337000, 0.4156433000, 0.5419465000, 0.8643353000", \ - "0.3107286000, 0.3163523000, 0.3308849000, 0.3623379000, 0.4245259000, 0.5511364000, 0.8734288000", \ - "0.3345385000, 0.3401951000, 0.3546578000, 0.3859930000, 0.4477014000, 0.5749295000, 0.8971104000", \ - "0.3964496000, 0.4021354000, 0.4165879000, 0.4486004000, 0.5104397000, 0.6375481000, 0.9597509000", \ - "0.5506259000, 0.5562119000, 0.5706405000, 0.6024073000, 0.6642150000, 0.7914135000, 1.1117753000", \ - "0.8302644000, 0.8372660000, 0.8557218000, 0.8953884000, 0.9684018000, 1.1044423000, 1.4304717000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.1418551000, 0.1465319000, 0.1591201000, 0.1892981000, 0.2658280000, 0.4923492000, 1.2053136000", \ - "0.1466252000, 0.1512918000, 0.1637318000, 0.1940300000, 0.2705433000, 0.4966526000, 1.2105714000", \ - "0.1573004000, 0.1619715000, 0.1743621000, 0.2044940000, 0.2809554000, 0.5074353000, 1.2208233000", \ - "0.1811613000, 0.1859303000, 0.1983733000, 0.2285677000, 0.3050185000, 0.5308034000, 1.2446026000", \ - "0.2356828000, 0.2404131000, 0.2529024000, 0.2831438000, 0.3595520000, 0.5856668000, 1.2988719000", \ - "0.3166054000, 0.3223577000, 0.3369670000, 0.3699212000, 0.4480240000, 0.6737811000, 1.3884390000", \ - "0.4036068000, 0.4110927000, 0.4299524000, 0.4700259000, 0.5536899000, 0.7794438000, 1.4941500000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0476810000, 0.0509452000, 0.0595548000, 0.0775806000, 0.1211471000, 0.2407587000, 0.6324776000", \ - "0.0479557000, 0.0509566000, 0.0595756000, 0.0779945000, 0.1222316000, 0.2415875000, 0.6333063000", \ - "0.0476623000, 0.0508203000, 0.0597935000, 0.0782374000, 0.1210240000, 0.2406033000, 0.6321584000", \ - "0.0476678000, 0.0510824000, 0.0591607000, 0.0775890000, 0.1203506000, 0.2402678000, 0.6337506000", \ - "0.0481093000, 0.0510712000, 0.0590908000, 0.0778420000, 0.1209264000, 0.2407900000, 0.6332283000", \ - "0.0496579000, 0.0529609000, 0.0607367000, 0.0788802000, 0.1214366000, 0.2409838000, 0.6331706000", \ - "0.0724792000, 0.0760310000, 0.0858801000, 0.1057226000, 0.1450007000, 0.2566941000, 0.6380012000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0286559000, 0.0324264000, 0.0427532000, 0.0719770000, 0.1659752000, 0.4816744000, 1.5017391000", \ - "0.0287954000, 0.0322435000, 0.0423807000, 0.0719287000, 0.1659113000, 0.4817787000, 1.4971139000", \ - "0.0286095000, 0.0322140000, 0.0425100000, 0.0718116000, 0.1655216000, 0.4806009000, 1.5021897000", \ - "0.0285919000, 0.0322565000, 0.0425201000, 0.0717623000, 0.1657222000, 0.4812690000, 1.5013426000", \ - "0.0298372000, 0.0333971000, 0.0433940000, 0.0723488000, 0.1660408000, 0.4819959000, 1.4999494000", \ - "0.0388138000, 0.0423713000, 0.0521299000, 0.0797192000, 0.1698460000, 0.4813911000, 1.4997481000", \ - "0.0546339000, 0.0591185000, 0.0705593000, 0.0969209000, 0.1793306000, 0.4842854000, 1.4960891000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__mux2_1") { - leakage_power () { - value : 0.0067577000; - when : "!A0&!A1&S"; - } - leakage_power () { - value : 0.0065026000; - when : "!A0&!A1&!S"; - } - leakage_power () { - value : 0.0014513000; - when : "!A0&A1&S"; - } - leakage_power () { - value : 0.0068987000; - when : "!A0&A1&!S"; - } - leakage_power () { - value : 0.0071740000; - when : "A0&!A1&S"; - } - leakage_power () { - value : 0.0011854000; - when : "A0&!A1&!S"; - } - leakage_power () { - value : 0.0012616000; - when : "A0&A1&S"; - } - leakage_power () { - value : 0.0009910000; - when : "A0&A1&!S"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__mux2"; - cell_leakage_power : 0.0040277890; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A0") { - capacitance : 0.0015580000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022554000, 0.0022535000, 0.0022491000, 0.0022498000, 0.0022515000, 0.0022553000, 0.0022640000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001485800, -0.001502000, -0.001539300, -0.001537100, -0.001532200, -0.001520900, -0.001494900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016110000; - } - pin ("A1") { - capacitance : 0.0018840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0018050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030295000, 0.0030252000, 0.0030155000, 0.0030152000, 0.0030145000, 0.0030128000, 0.0030090000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002301700, -0.002309600, -0.002327700, -0.002325300, -0.002319800, -0.002307100, -0.002278000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019640000; - } - pin ("S") { - capacitance : 0.0034020000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0032850000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0114305000, 0.0113157000, 0.0110510000, 0.0110959000, 0.0111992000, 0.0114375000, 0.0119866000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002432300, -0.002494400, -0.002637600, -0.002604600, -0.002528500, -0.002353000, -0.001948700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035200000; - } - pin ("X") { - direction : "output"; - function : "(A0&!S) | (A1&S)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013248540, 0.0035104760, 0.0093017370, 0.0246468900, 0.0653070500, 0.1730446000"); - values("0.0093551000, 0.0082503000, 0.0055250000, -0.002828900, -0.026897600, -0.092454300, -0.266909000", \ - "0.0093649000, 0.0082594000, 0.0053646000, -0.002982600, -0.026994000, -0.092572400, -0.267007900", \ - "0.0091106000, 0.0080213000, 0.0051577000, -0.003187100, -0.027155100, -0.092693400, -0.267154200", \ - "0.0089792000, 0.0078942000, 0.0050110000, -0.003342900, -0.027361600, -0.092899600, -0.267309000", \ - "0.0088436000, 0.0077406000, 0.0048927000, -0.003433400, -0.027457300, -0.092991200, -0.267401500", \ - "0.0090206000, 0.0078839000, 0.0049818000, -0.003405900, -0.027448300, -0.092968600, -0.267351300", \ - "0.0121098000, 0.0106334000, 0.0069209000, -0.002584800, -0.027251400, -0.092684400, -0.267069200"); - } - related_pin : "A0"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013248540, 0.0035104760, 0.0093017370, 0.0246468900, 0.0653070500, 0.1730446000"); - values("0.0091072000, 0.0105714000, 0.0143296000, 0.0239028000, 0.0486656000, 0.1139809000, 0.2880523000", \ - "0.0091188000, 0.0105856000, 0.0143607000, 0.0238970000, 0.0486432000, 0.1145506000, 0.2859671000", \ - "0.0091030000, 0.0105643000, 0.0143234000, 0.0238620000, 0.0486119000, 0.1140071000, 0.2872423000", \ - "0.0089889000, 0.0104274000, 0.0141482000, 0.0236318000, 0.0484102000, 0.1138430000, 0.2859676000", \ - "0.0088773000, 0.0102956000, 0.0139927000, 0.0233914000, 0.0481923000, 0.1142262000, 0.2848490000", \ - "0.0093037000, 0.0106364000, 0.0142574000, 0.0234986000, 0.0482495000, 0.1131210000, 0.2879116000", \ - "0.0099379000, 0.0112512000, 0.0146652000, 0.0241871000, 0.0487751000, 0.1143620000, 0.2860805000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013248540, 0.0035104760, 0.0093017370, 0.0246468900, 0.0653070500, 0.1730446000"); - values("0.0088424000, 0.0077704000, 0.0050151000, -0.003236100, -0.027182300, -0.092613100, -0.267026400", \ - "0.0087088000, 0.0076304000, 0.0047920000, -0.003496600, -0.027342700, -0.092748400, -0.267160100", \ - "0.0085088000, 0.0074187000, 0.0047113000, -0.003621800, -0.027527700, -0.092981100, -0.267377300", \ - "0.0082583000, 0.0071717000, 0.0043486000, -0.003929800, -0.027829300, -0.093266200, -0.267630100", \ - "0.0081754000, 0.0070789000, 0.0042452000, -0.004077500, -0.027955100, -0.093381900, -0.267737700", \ - "0.0086003000, 0.0074832000, 0.0045536000, -0.003878900, -0.027914500, -0.093401900, -0.267723400", \ - "0.0114470000, 0.0099581000, 0.0062241000, -0.002293100, -0.027228900, -0.092625600, -0.266948300"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013248540, 0.0035104760, 0.0093017370, 0.0246468900, 0.0653070500, 0.1730446000"); - values("0.0100790000, 0.0115061000, 0.0151610000, 0.0245440000, 0.0490460000, 0.1144503000, 0.2861807000", \ - "0.0100629000, 0.0114816000, 0.0151514000, 0.0245287000, 0.0491052000, 0.1142423000, 0.2870238000", \ - "0.0100063000, 0.0114323000, 0.0150854000, 0.0244715000, 0.0490575000, 0.1142507000, 0.2869923000", \ - "0.0099062000, 0.0113136000, 0.0149518000, 0.0243375000, 0.0491078000, 0.1143065000, 0.2885168000", \ - "0.0097131000, 0.0111421000, 0.0148078000, 0.0241183000, 0.0487445000, 0.1145620000, 0.2873212000", \ - "0.0100838000, 0.0114079000, 0.0149533000, 0.0241846000, 0.0488712000, 0.1134624000, 0.2882056000", \ - "0.0107220000, 0.0120456000, 0.0155309000, 0.0249210000, 0.0494397000, 0.1146022000, 0.2870683000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013248540, 0.0035104760, 0.0093017370, 0.0246468900, 0.0653070500, 0.1730446000"); - values("0.0108124000, 0.0098040000, 0.0069566000, -0.001265100, -0.025258300, -0.090695200, -0.265051400", \ - "0.0107618000, 0.0097167000, 0.0068716000, -0.001428500, -0.025287200, -0.090682400, -0.265051000", \ - "0.0107532000, 0.0096868000, 0.0068412000, -0.001457700, -0.025308600, -0.090707300, -0.265066800", \ - "0.0104930000, 0.0094041000, 0.0065804000, -0.001713800, -0.025571800, -0.090965400, -0.265332500", \ - "0.0102878000, 0.0091844000, 0.0063764000, -0.001883900, -0.025764200, -0.091194900, -0.265547000", \ - "0.0119001000, 0.0105436000, 0.0069712000, -0.001918700, -0.025841000, -0.091272000, -0.265623000", \ - "0.0135000000, 0.0121218000, 0.0086430000, -0.000496300, -0.025126700, -0.090947800, -0.265389300"); - } - related_pin : "S"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013248540, 0.0035104760, 0.0093017370, 0.0246468900, 0.0653070500, 0.1730446000"); - values("0.0096418000, 0.0110898000, 0.0148046000, 0.0243675000, 0.0491395000, 0.1144771000, 0.2873823000", \ - "0.0095990000, 0.0110389000, 0.0147776000, 0.0242939000, 0.0491199000, 0.1144405000, 0.2871896000", \ - "0.0097747000, 0.0112055000, 0.0149198000, 0.0244704000, 0.0494494000, 0.1146109000, 0.2872142000", \ - "0.0097501000, 0.0111788000, 0.0148914000, 0.0244423000, 0.0492453000, 0.1144706000, 0.2870901000", \ - "0.0096208000, 0.0110690000, 0.0148048000, 0.0243159000, 0.0491136000, 0.1148828000, 0.2871368000", \ - "0.0094669000, 0.0108219000, 0.0145690000, 0.0243916000, 0.0490141000, 0.1143407000, 0.2884834000", \ - "0.0097010000, 0.0110779000, 0.0147340000, 0.0242812000, 0.0491787000, 0.1146895000, 0.2865902000"); - } - } - max_capacitance : 0.1730450000; - max_transition : 1.5042320000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.2429637000, 0.2530684000, 0.2733664000, 0.3115355000, 0.3829204000, 0.5307446000, 0.8904517000", \ - "0.2459605000, 0.2560381000, 0.2765444000, 0.3147721000, 0.3862506000, 0.5340248000, 0.8932227000", \ - "0.2552336000, 0.2652079000, 0.2856106000, 0.3239027000, 0.3946961000, 0.5424531000, 0.9018619000", \ - "0.2811036000, 0.2911968000, 0.3116636000, 0.3498369000, 0.4212213000, 0.5691500000, 0.9289299000", \ - "0.3495411000, 0.3595188000, 0.3800386000, 0.4182914000, 0.4896301000, 0.6374772000, 0.9967642000", \ - "0.5081483000, 0.5187329000, 0.5401209000, 0.5787524000, 0.6506041000, 0.7989518000, 1.1585668000", \ - "0.7813678000, 0.7947139000, 0.8214726000, 0.8689188000, 0.9489407000, 1.1037615000, 1.4652617000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0825322000, 0.0905096000, 0.1081006000, 0.1467415000, 0.2403692000, 0.4848532000, 1.1303292000", \ - "0.0869777000, 0.0950013000, 0.1125939000, 0.1511205000, 0.2446311000, 0.4884149000, 1.1447283000", \ - "0.0973659000, 0.1054055000, 0.1229261000, 0.1615033000, 0.2550509000, 0.5005140000, 1.1499588000", \ - "0.1212526000, 0.1292920000, 0.1467602000, 0.1851815000, 0.2787920000, 0.5229629000, 1.1788722000", \ - "0.1585448000, 0.1675118000, 0.1863090000, 0.2258075000, 0.3200034000, 0.5651930000, 1.2121013000", \ - "0.1994847000, 0.2108551000, 0.2332579000, 0.2756458000, 0.3705170000, 0.6152473000, 1.2634637000", \ - "0.2220627000, 0.2373346000, 0.2669290000, 0.3186315000, 0.4167464000, 0.6615460000, 1.3071866000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0407074000, 0.0470764000, 0.0611734000, 0.0896904000, 0.1521490000, 0.3165794000, 0.7793373000", \ - "0.0408472000, 0.0471679000, 0.0603266000, 0.0882173000, 0.1521236000, 0.3156432000, 0.7807624000", \ - "0.0411419000, 0.0472315000, 0.0604128000, 0.0885547000, 0.1529887000, 0.3167556000, 0.7805706000", \ - "0.0408220000, 0.0470948000, 0.0603245000, 0.0881784000, 0.1521471000, 0.3165608000, 0.7808756000", \ - "0.0408970000, 0.0470661000, 0.0604125000, 0.0883253000, 0.1524739000, 0.3157782000, 0.7803505000", \ - "0.0453620000, 0.0515445000, 0.0639824000, 0.0904644000, 0.1534166000, 0.3167415000, 0.7832782000", \ - "0.0648145000, 0.0716121000, 0.0864201000, 0.1127907000, 0.1721740000, 0.3266874000, 0.7838654000"); - } - related_pin : "A0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0263857000, 0.0331894000, 0.0502716000, 0.0963280000, 0.2245293000, 0.5730470000, 1.4950828000", \ - "0.0264893000, 0.0331400000, 0.0503843000, 0.0963208000, 0.2244743000, 0.5748342000, 1.5015991000", \ - "0.0264036000, 0.0331783000, 0.0503696000, 0.0962620000, 0.2245496000, 0.5760838000, 1.5014562000", \ - "0.0271211000, 0.0338730000, 0.0507083000, 0.0964803000, 0.2245014000, 0.5711713000, 1.4994118000", \ - "0.0327928000, 0.0388535000, 0.0548151000, 0.0988329000, 0.2250339000, 0.5735702000, 1.4948180000", \ - "0.0443843000, 0.0502671000, 0.0652065000, 0.1051424000, 0.2271266000, 0.5717274000, 1.5027594000", \ - "0.0622399000, 0.0701198000, 0.0866698000, 0.1231422000, 0.2330962000, 0.5750114000, 1.4878268000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.2550970000, 0.2653238000, 0.2858625000, 0.3240013000, 0.3959930000, 0.5442536000, 0.9037557000", \ - "0.2572662000, 0.2676734000, 0.2883946000, 0.3270787000, 0.3985071000, 0.5466409000, 0.9061793000", \ - "0.2656863000, 0.2757679000, 0.2962789000, 0.3351030000, 0.4068484000, 0.5555196000, 0.9152459000", \ - "0.2903129000, 0.3005664000, 0.3212427000, 0.3598035000, 0.4317873000, 0.5800733000, 0.9398294000", \ - "0.3580822000, 0.3683464000, 0.3888900000, 0.4275625000, 0.4994897000, 0.6478639000, 1.0080428000", \ - "0.5163710000, 0.5270306000, 0.5483307000, 0.5871936000, 0.6595961000, 0.8082315000, 1.1683670000", \ - "0.7893732000, 0.8028029000, 0.8293848000, 0.8775405000, 0.9584242000, 1.1130957000, 1.4756820000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0855012000, 0.0934913000, 0.1109298000, 0.1491568000, 0.2419970000, 0.4868842000, 1.1327013000", \ - "0.0899015000, 0.0978555000, 0.1153380000, 0.1535640000, 0.2465617000, 0.4901951000, 1.1345916000", \ - "0.1002214000, 0.1082531000, 0.1256432000, 0.1639216000, 0.2569989000, 0.5011533000, 1.1459663000", \ - "0.1237849000, 0.1317814000, 0.1492282000, 0.1874950000, 0.2806293000, 0.5254858000, 1.1740190000", \ - "0.1613350000, 0.1703942000, 0.1891752000, 0.2288238000, 0.3225407000, 0.5674183000, 1.2143468000", \ - "0.2028403000, 0.2143195000, 0.2369469000, 0.2792782000, 0.3741218000, 0.6186294000, 1.2661491000", \ - "0.2266353000, 0.2420066000, 0.2717693000, 0.3236110000, 0.4216473000, 0.6663710000, 1.3117153000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0410359000, 0.0475245000, 0.0610803000, 0.0892712000, 0.1533213000, 0.3173068000, 0.7805211000", \ - "0.0413282000, 0.0477416000, 0.0608164000, 0.0892820000, 0.1535699000, 0.3173119000, 0.7805463000", \ - "0.0413211000, 0.0475270000, 0.0611046000, 0.0892550000, 0.1528729000, 0.3168918000, 0.7826738000", \ - "0.0415761000, 0.0482220000, 0.0612231000, 0.0886383000, 0.1531698000, 0.3162049000, 0.7817108000", \ - "0.0419467000, 0.0483548000, 0.0610714000, 0.0892917000, 0.1526399000, 0.3171115000, 0.7860420000", \ - "0.0454874000, 0.0515788000, 0.0643456000, 0.0910349000, 0.1549193000, 0.3177679000, 0.7805814000", \ - "0.0640890000, 0.0715825000, 0.0863763000, 0.1136150000, 0.1729289000, 0.3275539000, 0.7824648000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0269556000, 0.0336961000, 0.0508901000, 0.0968071000, 0.2242755000, 0.5745073000, 1.4958901000", \ - "0.0269184000, 0.0337431000, 0.0509358000, 0.0968682000, 0.2245832000, 0.5735469000, 1.5000035000", \ - "0.0269750000, 0.0337983000, 0.0509057000, 0.0968237000, 0.2247484000, 0.5727038000, 1.4970497000", \ - "0.0274492000, 0.0341063000, 0.0512263000, 0.0967964000, 0.2242460000, 0.5729542000, 1.5042317000", \ - "0.0329951000, 0.0393354000, 0.0553044000, 0.0993442000, 0.2253272000, 0.5736578000, 1.4964180000", \ - "0.0449631000, 0.0511631000, 0.0653714000, 0.1056155000, 0.2282068000, 0.5716769000, 1.4983531000", \ - "0.0633604000, 0.0711532000, 0.0874665000, 0.1238996000, 0.2341370000, 0.5751989000, 1.4904125000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.2685503000, 0.2787834000, 0.2991572000, 0.3375414000, 0.4086368000, 0.5565593000, 0.9161238000", \ - "0.2722520000, 0.2823364000, 0.3028446000, 0.3411134000, 0.4126161000, 0.5603311000, 0.9198686000", \ - "0.2826785000, 0.2926761000, 0.3132342000, 0.3514932000, 0.4227594000, 0.5705799000, 0.9299987000", \ - "0.3076742000, 0.3177434000, 0.3382155000, 0.3764614000, 0.4479306000, 0.5956567000, 0.9553882000", \ - "0.3667593000, 0.3770137000, 0.3974770000, 0.4356828000, 0.5071106000, 0.6548729000, 1.0146389000", \ - "0.4994569000, 0.5101488000, 0.5316452000, 0.5707979000, 0.6429981000, 0.7913002000, 1.1509491000", \ - "0.7403561000, 0.7525980000, 0.7772031000, 0.8220282000, 0.9021132000, 1.0572322000, 1.4198059000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0933996000, 0.1007524000, 0.1171054000, 0.1540888000, 0.2463703000, 0.4896453000, 1.1346188000", \ - "0.0977335000, 0.1050850000, 0.1214468000, 0.1584181000, 0.2505084000, 0.4936326000, 1.1380707000", \ - "0.1071139000, 0.1144239000, 0.1307532000, 0.1676379000, 0.2599950000, 0.5040870000, 1.1506660000", \ - "0.1275497000, 0.1348577000, 0.1511896000, 0.1882718000, 0.2808188000, 0.5240042000, 1.1690470000", \ - "0.1641579000, 0.1720598000, 0.1892875000, 0.2273217000, 0.3204701000, 0.5650940000, 1.2120714000", \ - "0.2122483000, 0.2217009000, 0.2409599000, 0.2807462000, 0.3744892000, 0.6185787000, 1.2640692000", \ - "0.2517477000, 0.2638714000, 0.2886000000, 0.3335092000, 0.4290715000, 0.6744522000, 1.3185744000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0415780000, 0.0476721000, 0.0604463000, 0.0884563000, 0.1518739000, 0.3166994000, 0.7798743000", \ - "0.0407116000, 0.0472831000, 0.0604035000, 0.0881824000, 0.1523401000, 0.3163874000, 0.7804584000", \ - "0.0409501000, 0.0471274000, 0.0608702000, 0.0886905000, 0.1521641000, 0.3164743000, 0.7834485000", \ - "0.0406980000, 0.0472247000, 0.0603846000, 0.0880651000, 0.1519641000, 0.3166430000, 0.7854939000", \ - "0.0410933000, 0.0471934000, 0.0603086000, 0.0880867000, 0.1521176000, 0.3166051000, 0.7854339000", \ - "0.0452131000, 0.0515030000, 0.0641837000, 0.0914815000, 0.1536476000, 0.3170747000, 0.7804464000", \ - "0.0555547000, 0.0626160000, 0.0774533000, 0.1057799000, 0.1690937000, 0.3281878000, 0.7865377000"); - } - related_pin : "S"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0243505000, 0.0312825000, 0.0485235000, 0.0951912000, 0.2246447000, 0.5717113000, 1.4914543000", \ - "0.0243776000, 0.0312191000, 0.0486223000, 0.0952134000, 0.2246631000, 0.5715695000, 1.4928877000", \ - "0.0244415000, 0.0312402000, 0.0485027000, 0.0953751000, 0.2239455000, 0.5734300000, 1.4961573000", \ - "0.0245140000, 0.0314075000, 0.0485939000, 0.0951846000, 0.2247074000, 0.5715171000, 1.4947154000", \ - "0.0275359000, 0.0343631000, 0.0512097000, 0.0967126000, 0.2250801000, 0.5726619000, 1.4923188000", \ - "0.0341250000, 0.0409431000, 0.0571476000, 0.1003665000, 0.2266626000, 0.5720363000, 1.4926803000", \ - "0.0473171000, 0.0552633000, 0.0718071000, 0.1109091000, 0.2290863000, 0.5750836000, 1.4918803000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.2706922000, 0.2807822000, 0.3014324000, 0.3397528000, 0.4113564000, 0.5601344000, 0.9199475000", \ - "0.2763302000, 0.2865606000, 0.3071896000, 0.3457494000, 0.4170657000, 0.5653717000, 0.9249204000", \ - "0.2874111000, 0.2976390000, 0.3182952000, 0.3568983000, 0.4282441000, 0.5765802000, 0.9361979000", \ - "0.3056422000, 0.3158760000, 0.3364822000, 0.3751396000, 0.4465650000, 0.5949238000, 0.9547301000", \ - "0.3287104000, 0.3388363000, 0.3594552000, 0.3982435000, 0.4702380000, 0.6186749000, 0.9782403000", \ - "0.3560644000, 0.3662071000, 0.3865085000, 0.4242749000, 0.4957123000, 0.6430502000, 1.0027186000", \ - "0.3665400000, 0.3766426000, 0.3976258000, 0.4359421000, 0.5076268000, 0.6554061000, 1.0141649000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.1560518000, 0.1635039000, 0.1800744000, 0.2176717000, 0.3109575000, 0.5555904000, 1.2008678000", \ - "0.1606906000, 0.1681346000, 0.1847180000, 0.2222891000, 0.3155556000, 0.5602607000, 1.2052399000", \ - "0.1738891000, 0.1812778000, 0.1978085000, 0.2354030000, 0.3289639000, 0.5734977000, 1.2198322000", \ - "0.2064490000, 0.2137925000, 0.2303375000, 0.2679331000, 0.3614830000, 0.6058338000, 1.2523518000", \ - "0.2731908000, 0.2805660000, 0.2971524000, 0.3346780000, 0.4278990000, 0.6735313000, 1.3186020000", \ - "0.3822668000, 0.3897196000, 0.4063465000, 0.4439345000, 0.5369901000, 0.7809999000, 1.4278128000", \ - "0.5576422000, 0.5653432000, 0.5822639000, 0.6200853000, 0.7134335000, 0.9573252000, 1.6022973000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0416267000, 0.0476412000, 0.0606879000, 0.0897755000, 0.1525484000, 0.3171451000, 0.7844282000", \ - "0.0410830000, 0.0476392000, 0.0608925000, 0.0893157000, 0.1535649000, 0.3173231000, 0.7805449000", \ - "0.0411093000, 0.0476761000, 0.0609063000, 0.0894780000, 0.1535698000, 0.3173425000, 0.7804146000", \ - "0.0416398000, 0.0482778000, 0.0608561000, 0.0892215000, 0.1536064000, 0.3173586000, 0.7807193000", \ - "0.0411343000, 0.0482040000, 0.0607784000, 0.0888827000, 0.1525793000, 0.3170863000, 0.7802509000", \ - "0.0409976000, 0.0473777000, 0.0601020000, 0.0893559000, 0.1501738000, 0.3152930000, 0.7813658000", \ - "0.0409176000, 0.0475737000, 0.0605521000, 0.0890451000, 0.1520794000, 0.3158510000, 0.7711207000"); - } - related_pin : "S"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0244016000, 0.0312222000, 0.0482827000, 0.0948305000, 0.2246344000, 0.5709607000, 1.4908734000", \ - "0.0243201000, 0.0311325000, 0.0484555000, 0.0949781000, 0.2245967000, 0.5710962000, 1.4906445000", \ - "0.0243209000, 0.0311664000, 0.0484069000, 0.0951170000, 0.2241560000, 0.5728455000, 1.4937076000", \ - "0.0243035000, 0.0311179000, 0.0484211000, 0.0951055000, 0.2247066000, 0.5732541000, 1.4958539000", \ - "0.0244137000, 0.0312718000, 0.0485166000, 0.0952071000, 0.2244074000, 0.5725637000, 1.4922382000", \ - "0.0248769000, 0.0317555000, 0.0487721000, 0.0951989000, 0.2236638000, 0.5713228000, 1.4965360000", \ - "0.0260603000, 0.0328138000, 0.0496683000, 0.0956397000, 0.2243090000, 0.5703804000, 1.4935816000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__mux2_2") { - leakage_power () { - value : 0.0059452000; - when : "!A0&!A1&S"; - } - leakage_power () { - value : 0.0051965000; - when : "!A0&!A1&!S"; - } - leakage_power () { - value : 0.0025970000; - when : "!A0&A1&S"; - } - leakage_power () { - value : 0.0055820000; - when : "!A0&A1&!S"; - } - leakage_power () { - value : 0.0063671000; - when : "A0&!A1&S"; - } - leakage_power () { - value : 0.0017988000; - when : "A0&!A1&!S"; - } - leakage_power () { - value : 0.0023018000; - when : "A0&A1&S"; - } - leakage_power () { - value : 0.0015697000; - when : "A0&A1&!S"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__mux2"; - cell_leakage_power : 0.0039197610; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A0") { - capacitance : 0.0018780000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017900000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030588000, 0.0030564000, 0.0030509000, 0.0030524000, 0.0030559000, 0.0030638000, 0.0030821000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002206000, -0.002237200, -0.002309100, -0.002308700, -0.002308000, -0.002306200, -0.002302100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019650000; - } - pin ("A1") { - capacitance : 0.0017040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016230000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030625000, 0.0030587000, 0.0030499000, 0.0030506000, 0.0030523000, 0.0030562000, 0.0030652000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002413500, -0.002423100, -0.002445300, -0.002442600, -0.002436300, -0.002421800, -0.002388400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017850000; - } - pin ("S") { - capacitance : 0.0032150000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0030780000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0106879000, 0.0105748000, 0.0103141000, 0.0103784000, 0.0105264000, 0.0108677000, 0.0116544000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0008183000, 0.0007172000, 0.0004840000, 0.0005377000, 0.0006615000, 0.0009469000, 0.0016047000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0033520000; - } - pin ("X") { - direction : "output"; - function : "(A0&!S) | (A1&S)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0128097000, 0.0112582000, 0.0073577000, -0.003875500, -0.039360100, -0.147283000, -0.462700100", \ - "0.0126108000, 0.0110461000, 0.0072710000, -0.003950500, -0.039488400, -0.147333300, -0.462875600", \ - "0.0124857000, 0.0109367000, 0.0070198000, -0.004217200, -0.039549300, -0.147451700, -0.463017400", \ - "0.0122391000, 0.0106723000, 0.0068287000, -0.004424500, -0.039794600, -0.147691300, -0.463204300", \ - "0.0121031000, 0.0105489000, 0.0066107000, -0.004651800, -0.040119700, -0.147964900, -0.463461600", \ - "0.0125358000, 0.0115149000, 0.0074242000, -0.004574500, -0.040145800, -0.147707600, -0.463278900", \ - "0.0177510000, 0.0158799000, 0.0109439000, -0.002338400, -0.039994100, -0.147721200, -0.463033400"); - } - related_pin : "A0"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0149313000, 0.0165550000, 0.0212968000, 0.0346410000, 0.0719253000, 0.1794663000, 0.4925809000", \ - "0.0149918000, 0.0166271000, 0.0213592000, 0.0346575000, 0.0719947000, 0.1794801000, 0.4928364000", \ - "0.0149725000, 0.0166141000, 0.0213232000, 0.0346165000, 0.0720099000, 0.1796804000, 0.4898948000", \ - "0.0148966000, 0.0165276000, 0.0212508000, 0.0344837000, 0.0718565000, 0.1796177000, 0.4945540000", \ - "0.0148985000, 0.0164600000, 0.0211050000, 0.0342963000, 0.0715659000, 0.1795360000, 0.4924013000", \ - "0.0157811000, 0.0172764000, 0.0216792000, 0.0343750000, 0.0714847000, 0.1790627000, 0.4922798000", \ - "0.0168336000, 0.0182549000, 0.0224982000, 0.0353327000, 0.0726043000, 0.1802768000, 0.4907326000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0126664000, 0.0111595000, 0.0072204000, -0.004052100, -0.039725100, -0.147927000, -0.463561600", \ - "0.0125009000, 0.0109394000, 0.0070176000, -0.004219500, -0.039839800, -0.147868700, -0.463633300", \ - "0.0124128000, 0.0108429000, 0.0069260000, -0.004338800, -0.039982600, -0.148140800, -0.463744800", \ - "0.0121569000, 0.0106329000, 0.0067425000, -0.004547400, -0.040127500, -0.148284200, -0.463940900", \ - "0.0120528000, 0.0105092000, 0.0065915000, -0.004688300, -0.040315500, -0.148361800, -0.464056900", \ - "0.0124541000, 0.0107931000, 0.0067473000, -0.004609500, -0.040109500, -0.148227300, -0.463831900", \ - "0.0177976000, 0.0159727000, 0.0110113000, -0.002345500, -0.040103800, -0.147997500, -0.463605700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0148945000, 0.0165387000, 0.0212915000, 0.0346953000, 0.0723584000, 0.1804333000, 0.4912661000", \ - "0.0149200000, 0.0165627000, 0.0213131000, 0.0347096000, 0.0723744000, 0.1803903000, 0.4928918000", \ - "0.0148559000, 0.0165125000, 0.0212687000, 0.0346815000, 0.0723404000, 0.1803170000, 0.4906697000", \ - "0.0148256000, 0.0164709000, 0.0211873000, 0.0345166000, 0.0721234000, 0.1802821000, 0.4936813000", \ - "0.0147662000, 0.0163675000, 0.0209585000, 0.0342127000, 0.0716802000, 0.1799484000, 0.4925777000", \ - "0.0155580000, 0.0170570000, 0.0214638000, 0.0342108000, 0.0715906000, 0.1790817000, 0.4925816000", \ - "0.0165506000, 0.0179408000, 0.0221881000, 0.0349946000, 0.0724836000, 0.1802511000, 0.4913636000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0142459000, 0.0126869000, 0.0088099000, -0.002465000, -0.038089700, -0.146448300, -0.462392900", \ - "0.0142949000, 0.0127179000, 0.0087973000, -0.002424300, -0.038174200, -0.146574300, -0.462359900", \ - "0.0140900000, 0.0125436000, 0.0086304000, -0.002592900, -0.038206100, -0.146556200, -0.462479500", \ - "0.0137359000, 0.0121792000, 0.0083000000, -0.002996400, -0.038664100, -0.147006000, -0.462837300", \ - "0.0133592000, 0.0117972000, 0.0079187000, -0.003333900, -0.039033300, -0.147376900, -0.463186900", \ - "0.0143782000, 0.0128374000, 0.0082290000, -0.003412200, -0.039148700, -0.147477400, -0.463283600", \ - "0.0182608000, 0.0166244000, 0.0120300000, -0.000815100, -0.038140900, -0.147058700, -0.462980400"); - } - related_pin : "S"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014501170, 0.0042056820, 0.0121974600, 0.0353755100, 0.1025973000, 0.2975563000"); - values("0.0182970000, 0.0199389000, 0.0245776000, 0.0378050000, 0.0751074000, 0.1827530000, 0.4947044000", \ - "0.0181709000, 0.0198047000, 0.0244988000, 0.0377462000, 0.0750407000, 0.1828440000, 0.4952093000", \ - "0.0182351000, 0.0198548000, 0.0245474000, 0.0377950000, 0.0750938000, 0.1827404000, 0.4952955000", \ - "0.0180378000, 0.0196633000, 0.0243065000, 0.0375798000, 0.0748423000, 0.1825474000, 0.4945839000", \ - "0.0177146000, 0.0193728000, 0.0240652000, 0.0373103000, 0.0746055000, 0.1823910000, 0.4946982000", \ - "0.0175411000, 0.0190210000, 0.0238841000, 0.0374538000, 0.0745414000, 0.1821854000, 0.4938112000", \ - "0.0181807000, 0.0197955000, 0.0241929000, 0.0372452000, 0.0742853000, 0.1827697000, 0.4962899000"); - } - } - max_capacitance : 0.2975560000; - max_transition : 1.5066720000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.2266660000, 0.2344140000, 0.2512571000, 0.2841361000, 0.3457040000, 0.4734192000, 0.7924621000", \ - "0.2304622000, 0.2381082000, 0.2548991000, 0.2877833000, 0.3494454000, 0.4770220000, 0.7955712000", \ - "0.2404853000, 0.2481464000, 0.2650678000, 0.2978039000, 0.3592634000, 0.4865769000, 0.8055120000", \ - "0.2677142000, 0.2753490000, 0.2922030000, 0.3250775000, 0.3864510000, 0.5138555000, 0.8329540000", \ - "0.3346227000, 0.3422716000, 0.3590370000, 0.3918400000, 0.4535713000, 0.5811001000, 0.9000095000", \ - "0.4881914000, 0.4961605000, 0.5139165000, 0.5480499000, 0.6106407000, 0.7370570000, 1.0561041000", \ - "0.7461818000, 0.7561841000, 0.7791648000, 0.8219742000, 0.8955376000, 1.0314473000, 1.3547290000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.1050333000, 0.1122484000, 0.1286312000, 0.1643404000, 0.2489847000, 0.4828324000, 1.1647262000", \ - "0.1096510000, 0.1168499000, 0.1332986000, 0.1689454000, 0.2536436000, 0.4871061000, 1.1656470000", \ - "0.1201515000, 0.1273860000, 0.1438192000, 0.1794011000, 0.2643202000, 0.4988034000, 1.1756389000", \ - "0.1441348000, 0.1513029000, 0.1676184000, 0.2031787000, 0.2880543000, 0.5229254000, 1.2037449000", \ - "0.1907649000, 0.1985879000, 0.2159297000, 0.2522514000, 0.3373771000, 0.5717424000, 1.2498284000", \ - "0.2507905000, 0.2606417000, 0.2816330000, 0.3220653000, 0.4096064000, 0.6442112000, 1.3243433000", \ - "0.3058055000, 0.3184041000, 0.3457604000, 0.3970917000, 0.4910277000, 0.7254702000, 1.4013405000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0372907000, 0.0420529000, 0.0521418000, 0.0747246000, 0.1245541000, 0.2578816000, 0.6669384000", \ - "0.0373527000, 0.0420172000, 0.0522483000, 0.0745604000, 0.1240766000, 0.2578460000, 0.6663297000", \ - "0.0376137000, 0.0418960000, 0.0525535000, 0.0738797000, 0.1247241000, 0.2580659000, 0.6673616000", \ - "0.0374505000, 0.0421954000, 0.0522591000, 0.0745448000, 0.1248925000, 0.2580136000, 0.6663957000", \ - "0.0374832000, 0.0421017000, 0.0522024000, 0.0746345000, 0.1243935000, 0.2574878000, 0.6675880000", \ - "0.0429726000, 0.0481433000, 0.0577506000, 0.0780530000, 0.1267661000, 0.2596405000, 0.6667171000", \ - "0.0635160000, 0.0684442000, 0.0806234000, 0.1029216000, 0.1488126000, 0.2745806000, 0.6704828000"); - } - related_pin : "A0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0276067000, 0.0329456000, 0.0464891000, 0.0832832000, 0.1925965000, 0.5254460000, 1.5019312000", \ - "0.0274933000, 0.0327520000, 0.0464662000, 0.0832891000, 0.1923605000, 0.5246484000, 1.5020880000", \ - "0.0274993000, 0.0327879000, 0.0466504000, 0.0832967000, 0.1927546000, 0.5262817000, 1.4977919000", \ - "0.0273244000, 0.0327755000, 0.0463673000, 0.0832565000, 0.1926780000, 0.5250734000, 1.5052536000", \ - "0.0321024000, 0.0368920000, 0.0499159000, 0.0853623000, 0.1933846000, 0.5258446000, 1.5042806000", \ - "0.0433655000, 0.0485631000, 0.0610798000, 0.0942919000, 0.1974177000, 0.5250853000, 1.5037244000", \ - "0.0602757000, 0.0678859000, 0.0832618000, 0.1161651000, 0.2082423000, 0.5286051000, 1.4965142000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.2291980000, 0.2368686000, 0.2541432000, 0.2874066000, 0.3498573000, 0.4785123000, 0.7980512000", \ - "0.2330599000, 0.2408463000, 0.2579801000, 0.2912630000, 0.3539025000, 0.4815481000, 0.8008234000", \ - "0.2433838000, 0.2511864000, 0.2683498000, 0.3015558000, 0.3642893000, 0.4929673000, 0.8121752000", \ - "0.2696691000, 0.2775351000, 0.2953073000, 0.3278757000, 0.3910939000, 0.5196604000, 0.8389157000", \ - "0.3378715000, 0.3456503000, 0.3626694000, 0.3960409000, 0.4574208000, 0.5860326000, 0.9059405000", \ - "0.4925489000, 0.5007434000, 0.5189996000, 0.5530894000, 0.6156108000, 0.7448655000, 1.0642646000", \ - "0.7543471000, 0.7649469000, 0.7878853000, 0.8307715000, 0.9050199000, 1.0419544000, 1.3656820000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.1060241000, 0.1132372000, 0.1296707000, 0.1654746000, 0.2508506000, 0.4853928000, 1.1725056000", \ - "0.1104670000, 0.1176958000, 0.1341164000, 0.1699543000, 0.2552954000, 0.4905556000, 1.1730489000", \ - "0.1205622000, 0.1278080000, 0.1442356000, 0.1800631000, 0.2654157000, 0.5004771000, 1.1782796000", \ - "0.1445573000, 0.1517801000, 0.1681204000, 0.2037809000, 0.2890654000, 0.5235484000, 1.2050317000", \ - "0.1920711000, 0.1998864000, 0.2172576000, 0.2536556000, 0.3390875000, 0.5738194000, 1.2574701000", \ - "0.2524753000, 0.2623450000, 0.2833638000, 0.3238650000, 0.4114669000, 0.6466572000, 1.3229234000", \ - "0.3082269000, 0.3208085000, 0.3482002000, 0.3989356000, 0.4934975000, 0.7286819000, 1.4049801000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0385255000, 0.0435914000, 0.0535649000, 0.0768260000, 0.1264217000, 0.2605620000, 0.6679432000", \ - "0.0386419000, 0.0432988000, 0.0535694000, 0.0765490000, 0.1264777000, 0.2603499000, 0.6680884000", \ - "0.0387433000, 0.0430981000, 0.0542522000, 0.0756945000, 0.1264327000, 0.2605078000, 0.6680772000", \ - "0.0389480000, 0.0433240000, 0.0535552000, 0.0765401000, 0.1267097000, 0.2597164000, 0.6674722000", \ - "0.0386723000, 0.0433401000, 0.0536170000, 0.0766306000, 0.1267352000, 0.2601387000, 0.6673060000", \ - "0.0441205000, 0.0486532000, 0.0584373000, 0.0796210000, 0.1285066000, 0.2616820000, 0.6680675000", \ - "0.0647569000, 0.0706048000, 0.0819447000, 0.1046523000, 0.1517145000, 0.2764296000, 0.6719225000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0272801000, 0.0326571000, 0.0461306000, 0.0829780000, 0.1921630000, 0.5259662000, 1.5008922000", \ - "0.0273805000, 0.0326348000, 0.0461103000, 0.0829001000, 0.1922381000, 0.5251670000, 1.5056167000", \ - "0.0272484000, 0.0326319000, 0.0461691000, 0.0829331000, 0.1925967000, 0.5262088000, 1.4980267000", \ - "0.0272137000, 0.0325863000, 0.0462681000, 0.0830536000, 0.1922926000, 0.5256103000, 1.5066720000", \ - "0.0316147000, 0.0369586000, 0.0496925000, 0.0850606000, 0.1931486000, 0.5255938000, 1.5053726000", \ - "0.0429034000, 0.0482531000, 0.0608390000, 0.0940453000, 0.1977195000, 0.5261409000, 1.5015221000", \ - "0.0598201000, 0.0670854000, 0.0828581000, 0.1150082000, 0.2082363000, 0.5286312000, 1.4943067000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.2322584000, 0.2398856000, 0.2567114000, 0.2896509000, 0.3515533000, 0.4791529000, 0.7980492000", \ - "0.2375990000, 0.2452850000, 0.2620745000, 0.2950411000, 0.3569521000, 0.4845245000, 0.8034615000", \ - "0.2502997000, 0.2579369000, 0.2747797000, 0.3076533000, 0.3695943000, 0.4971608000, 0.8161092000", \ - "0.2773061000, 0.2849156000, 0.3017887000, 0.3346738000, 0.3966034000, 0.5242062000, 0.8431303000", \ - "0.3377408000, 0.3454186000, 0.3622237000, 0.3952593000, 0.4571660000, 0.5846537000, 0.9036172000", \ - "0.4687092000, 0.4768247000, 0.4945345000, 0.5288184000, 0.5918155000, 0.7203693000, 1.0394393000", \ - "0.7007833000, 0.7102671000, 0.7310833000, 0.7705199000, 0.8419581000, 0.9789425000, 1.3017390000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.1135210000, 0.1203043000, 0.1357975000, 0.1704168000, 0.2549927000, 0.4896232000, 1.1665913000", \ - "0.1178334000, 0.1246170000, 0.1401090000, 0.1747740000, 0.2593028000, 0.4942225000, 1.1715122000", \ - "0.1271759000, 0.1339409000, 0.1494654000, 0.1840495000, 0.2686191000, 0.5043094000, 1.1796594000", \ - "0.1481737000, 0.1549515000, 0.1704587000, 0.2050298000, 0.2894962000, 0.5244895000, 1.2017972000", \ - "0.1907664000, 0.1978555000, 0.2139821000, 0.2489621000, 0.3335869000, 0.5687599000, 1.2457594000", \ - "0.2537553000, 0.2621386000, 0.2803526000, 0.3179052000, 0.4041472000, 0.6387659000, 1.3158107000", \ - "0.3215601000, 0.3322934000, 0.3557108000, 0.3998770000, 0.4900202000, 0.7251991000, 1.4012739000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0373774000, 0.0421491000, 0.0522028000, 0.0747130000, 0.1241769000, 0.2576467000, 0.6672146000", \ - "0.0373719000, 0.0421349000, 0.0521973000, 0.0749282000, 0.1241988000, 0.2576650000, 0.6671894000", \ - "0.0373698000, 0.0420324000, 0.0521033000, 0.0740290000, 0.1243384000, 0.2575276000, 0.6673880000", \ - "0.0376502000, 0.0421724000, 0.0522513000, 0.0746099000, 0.1242109000, 0.2576539000, 0.6671969000", \ - "0.0376453000, 0.0420920000, 0.0521755000, 0.0742150000, 0.1241044000, 0.2576886000, 0.6675919000", \ - "0.0417578000, 0.0467199000, 0.0567211000, 0.0779986000, 0.1269730000, 0.2587495000, 0.6676521000", \ - "0.0547708000, 0.0595769000, 0.0710187000, 0.0949396000, 0.1441320000, 0.2727310000, 0.6703454000"); - } - related_pin : "S"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0250716000, 0.0305454000, 0.0441561000, 0.0810729000, 0.1916793000, 0.5258385000, 1.5007355000", \ - "0.0250952000, 0.0305362000, 0.0440997000, 0.0811223000, 0.1918375000, 0.5264102000, 1.5009861000", \ - "0.0250446000, 0.0305033000, 0.0441248000, 0.0811138000, 0.1919274000, 0.5254501000, 1.4979294000", \ - "0.0250796000, 0.0304592000, 0.0440750000, 0.0811601000, 0.1916660000, 0.5264761000, 1.5010794000", \ - "0.0273049000, 0.0328264000, 0.0460283000, 0.0823161000, 0.1922218000, 0.5261017000, 1.5000144000", \ - "0.0340184000, 0.0396821000, 0.0533156000, 0.0878521000, 0.1947304000, 0.5257016000, 1.4967336000", \ - "0.0468232000, 0.0537900000, 0.0686532000, 0.1024328000, 0.2015250000, 0.5273597000, 1.4956962000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.2899485000, 0.2977517000, 0.3149048000, 0.3481579000, 0.4100283000, 0.5381606000, 0.8573209000", \ - "0.2950588000, 0.3028696000, 0.3200057000, 0.3533574000, 0.4156793000, 0.5441079000, 0.8631392000", \ - "0.3054595000, 0.3132880000, 0.3304446000, 0.3637566000, 0.4259196000, 0.5538374000, 0.8736605000", \ - "0.3258045000, 0.3335832000, 0.3507819000, 0.3839432000, 0.4466079000, 0.5743283000, 0.8935738000", \ - "0.3539222000, 0.3617136000, 0.3788440000, 0.4121942000, 0.4746505000, 0.6031519000, 0.9220164000", \ - "0.3871556000, 0.3949853000, 0.4121197000, 0.4454498000, 0.5077556000, 0.6359973000, 0.9555170000", \ - "0.4139463000, 0.4217426000, 0.4388599000, 0.4719985000, 0.5345140000, 0.6630203000, 0.9826023000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.1843353000, 0.1910715000, 0.2065275000, 0.2410281000, 0.3249605000, 0.5587866000, 1.2352246000", \ - "0.1890048000, 0.1958210000, 0.2113813000, 0.2458284000, 0.3298622000, 0.5637413000, 1.2403031000", \ - "0.2016874000, 0.2084499000, 0.2240226000, 0.2584710000, 0.3424876000, 0.5771847000, 1.2528576000", \ - "0.2331775000, 0.2399386000, 0.2554095000, 0.2899029000, 0.3738539000, 0.6077613000, 1.2843776000", \ - "0.2946129000, 0.3014336000, 0.3169423000, 0.3514230000, 0.4353911000, 0.6694295000, 1.3463636000", \ - "0.3934688000, 0.4002686000, 0.4159352000, 0.4505656000, 0.5344948000, 0.7681787000, 1.4443219000", \ - "0.5515511000, 0.5585565000, 0.5744599000, 0.6093293000, 0.6935716000, 0.9275097000, 1.6044693000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0385192000, 0.0433245000, 0.0536063000, 0.0764285000, 0.1270985000, 0.2602140000, 0.6680930000", \ - "0.0385263000, 0.0432227000, 0.0534796000, 0.0756559000, 0.1264266000, 0.2606353000, 0.6679738000", \ - "0.0385915000, 0.0433128000, 0.0540445000, 0.0765740000, 0.1269620000, 0.2604621000, 0.6671147000", \ - "0.0385474000, 0.0433582000, 0.0539771000, 0.0755869000, 0.1263576000, 0.2608663000, 0.6679436000", \ - "0.0386492000, 0.0434426000, 0.0537612000, 0.0759627000, 0.1264560000, 0.2606791000, 0.6675742000", \ - "0.0386252000, 0.0433200000, 0.0536925000, 0.0767460000, 0.1257589000, 0.2602200000, 0.6684783000", \ - "0.0387726000, 0.0434168000, 0.0537037000, 0.0759754000, 0.1262168000, 0.2602693000, 0.6651665000"); - } - related_pin : "S"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014501200, 0.0042056800, 0.0121975000, 0.0353755000, 0.1025970000, 0.2975560000"); - values("0.0256273000, 0.0310306000, 0.0447637000, 0.0816115000, 0.1921240000, 0.5261404000, 1.4995976000", \ - "0.0256355000, 0.0311683000, 0.0446982000, 0.0816224000, 0.1919110000, 0.5262204000, 1.5013500000", \ - "0.0255589000, 0.0310688000, 0.0446811000, 0.0817063000, 0.1918189000, 0.5258102000, 1.5012224000", \ - "0.0256616000, 0.0310570000, 0.0447679000, 0.0816377000, 0.1920861000, 0.5263128000, 1.5001985000", \ - "0.0255972000, 0.0310939000, 0.0447313000, 0.0816292000, 0.1920941000, 0.5263437000, 1.5012636000", \ - "0.0260849000, 0.0315784000, 0.0451367000, 0.0819214000, 0.1918482000, 0.5259350000, 1.4975690000", \ - "0.0267672000, 0.0323233000, 0.0462770000, 0.0826672000, 0.1923071000, 0.5259824000, 1.4995153000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__mux2_4") { - leakage_power () { - value : 0.0094639000; - when : "!A0&!A1&S"; - } - leakage_power () { - value : 0.0061145000; - when : "!A0&!A1&!S"; - } - leakage_power () { - value : 0.0103948000; - when : "!A0&A1&S"; - } - leakage_power () { - value : 0.0065188000; - when : "!A0&A1&!S"; - } - leakage_power () { - value : 0.0098170000; - when : "A0&!A1&S"; - } - leakage_power () { - value : 0.0081835000; - when : "A0&!A1&!S"; - } - leakage_power () { - value : 0.0089766000; - when : "A0&A1&S"; - } - leakage_power () { - value : 0.0062184000; - when : "A0&A1&!S"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__mux2"; - cell_leakage_power : 0.0082109340; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A0") { - capacitance : 0.0022690000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021300000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045371000, 0.0045299000, 0.0045131000, 0.0045161000, 0.0045229000, 0.0045386000, 0.0045750000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002570100, -0.002604700, -0.002684400, -0.002680400, -0.002671000, -0.002649300, -0.002599300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024080000; - } - pin ("A1") { - capacitance : 0.0022800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021410000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045463000, 0.0045374000, 0.0045171000, 0.0045213000, 0.0045310000, 0.0045534000, 0.0046051000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002680000, -0.002697500, -0.002737700, -0.002729700, -0.002711200, -0.002668600, -0.002570600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024190000; - } - pin ("S") { - capacitance : 0.0051430000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0049150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0158524000, 0.0156876000, 0.0153077000, 0.0154604000, 0.0158125000, 0.0166238000, 0.0184943000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001837200, -0.002004500, -0.002390200, -0.002250300, -0.001927800, -0.001184500, 0.0005290000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0053720000; - } - pin ("X") { - direction : "output"; - function : "(A0&!S) | (A1&S)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0235614000, 0.0215959000, 0.0159250000, -9.72000e-05, -0.054410200, -0.239014500, -0.836066300", \ - "0.0233265000, 0.0215110000, 0.0158218000, -0.000222600, -0.054754100, -0.239044800, -0.836150700", \ - "0.0232613000, 0.0213001000, 0.0156207000, -0.000394000, -0.054753000, -0.239347500, -0.836398400", \ - "0.0230860000, 0.0211448000, 0.0154231000, -0.000650300, -0.055185900, -0.239683600, -0.836668300", \ - "0.0227519000, 0.0207994000, 0.0151027000, -0.000878200, -0.055355400, -0.239709600, -0.836697000", \ - "0.0238352000, 0.0218331000, 0.0159411000, -0.000542500, -0.055081600, -0.239564000, -0.836019900", \ - "0.0340524000, 0.0317810000, 0.0250715000, 0.0057258000, -0.053257900, -0.237907500, -0.835032300"); - } - related_pin : "A0"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0253535000, 0.0272446000, 0.0333299000, 0.0524784000, 0.1115670000, 0.2970805000, 0.8903869000", \ - "0.0254272000, 0.0273128000, 0.0333593000, 0.0525156000, 0.1116906000, 0.2971525000, 0.8855669000", \ - "0.0255015000, 0.0273695000, 0.0334023000, 0.0525123000, 0.1116121000, 0.2972278000, 0.8888108000", \ - "0.0252987000, 0.0271549000, 0.0331703000, 0.0522512000, 0.1111555000, 0.2967888000, 0.8846305000", \ - "0.0253836000, 0.0271805000, 0.0330137000, 0.0518103000, 0.1105078000, 0.2961894000, 0.8896572000", \ - "0.0272822000, 0.0289982000, 0.0345674000, 0.0527715000, 0.1107110000, 0.2954530000, 0.8919296000", \ - "0.0298364000, 0.0313595000, 0.0366959000, 0.0544540000, 0.1127119000, 0.2971669000, 0.8847782000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0238751000, 0.0220219000, 0.0164593000, 0.0004913000, -0.053592500, -0.237584000, -0.834418400", \ - "0.0236952000, 0.0218349000, 0.0161992000, 0.0003435000, -0.053647700, -0.237580200, -0.834431200", \ - "0.0235408000, 0.0215965000, 0.0159928000, 0.0002266000, -0.053679900, -0.237823100, -0.834694400", \ - "0.0234138000, 0.0214702000, 0.0158643000, -2.56000e-05, -0.054213100, -0.238223000, -0.834971300", \ - "0.0230392000, 0.0211519000, 0.0155231000, -0.000429600, -0.054458500, -0.238491000, -0.835111200", \ - "0.0241349000, 0.0220713000, 0.0162225000, -0.000154800, -0.054439200, -0.238473400, -0.834934100", \ - "0.0342251000, 0.0319834000, 0.0253059000, 0.0059748000, -0.052704900, -0.237073900, -0.833655800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0256248000, 0.0274686000, 0.0334444000, 0.0523542000, 0.1108078000, 0.2955838000, 0.8835579000", \ - "0.0256749000, 0.0275218000, 0.0335104000, 0.0524366000, 0.1107439000, 0.2957006000, 0.8869848000", \ - "0.0256238000, 0.0274685000, 0.0334393000, 0.0523558000, 0.1108426000, 0.2954312000, 0.8836645000", \ - "0.0254537000, 0.0272945000, 0.0332394000, 0.0520766000, 0.1104867000, 0.2953117000, 0.8880786000", \ - "0.0255376000, 0.0273151000, 0.0332089000, 0.0517447000, 0.1100611000, 0.2950963000, 0.8868418000", \ - "0.0273381000, 0.0290392000, 0.0345767000, 0.0525515000, 0.1104495000, 0.2947491000, 0.8863358000", \ - "0.0299940000, 0.0314584000, 0.0368267000, 0.0544667000, 0.1124975000, 0.2966064000, 0.8840131000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0265855000, 0.0246923000, 0.0190874000, 0.0031182000, -0.050958000, -0.234976500, -0.831664000", \ - "0.0264714000, 0.0245312000, 0.0189288000, 0.0031071000, -0.050896800, -0.234878500, -0.831640300", \ - "0.0265346000, 0.0246113000, 0.0189583000, 0.0032037000, -0.050866400, -0.234725100, -0.831431900", \ - "0.0261954000, 0.0242528000, 0.0186491000, 0.0028266000, -0.051079800, -0.235265200, -0.831824800", \ - "0.0258628000, 0.0239661000, 0.0183112000, 0.0024919000, -0.051609700, -0.235605100, -0.832070300", \ - "0.0292418000, 0.0272759000, 0.0213473000, 0.0031362000, -0.051669700, -0.235688800, -0.832292000", \ - "0.0338863000, 0.0319310000, 0.0260394000, 0.0080647000, -0.049381200, -0.234875500, -0.832033500"); - } - related_pin : "S"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188490, 0.0163784700, 0.0524052200, 0.1676779000, 0.5365088000"); - values("0.0288505000, 0.0306959000, 0.0366606000, 0.0557460000, 0.1148111000, 0.3005932000, 0.8927778000", \ - "0.0287147000, 0.0306323000, 0.0366113000, 0.0557352000, 0.1146432000, 0.3005667000, 0.8928133000", \ - "0.0289602000, 0.0307985000, 0.0368348000, 0.0559894000, 0.1148627000, 0.3008167000, 0.8930506000", \ - "0.0289249000, 0.0307511000, 0.0367548000, 0.0558074000, 0.1148348000, 0.3009095000, 0.8955482000", \ - "0.0287855000, 0.0306504000, 0.0366906000, 0.0557819000, 0.1148679000, 0.3005689000, 0.8905212000", \ - "0.0287030000, 0.0305362000, 0.0362932000, 0.0556433000, 0.1145998000, 0.3002060000, 0.8915131000", \ - "0.0296295000, 0.0314729000, 0.0372353000, 0.0559421000, 0.1151899000, 0.3013293000, 0.8908278000"); - } - } - max_capacitance : 0.5365090000; - max_transition : 1.5070850000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.2396542000, 0.2449914000, 0.2585316000, 0.2882858000, 0.3470177000, 0.4713033000, 0.7937889000", \ - "0.2434491000, 0.2487879000, 0.2623209000, 0.2920213000, 0.3511551000, 0.4750150000, 0.7971759000", \ - "0.2531122000, 0.2584449000, 0.2719733000, 0.3017252000, 0.3604892000, 0.4850663000, 0.8069095000", \ - "0.2781665000, 0.2834288000, 0.2969545000, 0.3265528000, 0.3857107000, 0.5100676000, 0.8318106000", \ - "0.3421492000, 0.3474384000, 0.3609900000, 0.3906718000, 0.4494437000, 0.5741646000, 0.8962022000", \ - "0.4885490000, 0.4942979000, 0.5084284000, 0.5390788000, 0.5990672000, 0.7243008000, 1.0447034000", \ - "0.7321493000, 0.7390590000, 0.7566595000, 0.7954612000, 0.8659126000, 0.9989223000, 1.3254950000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.1076029000, 0.1124912000, 0.1252908000, 0.1558777000, 0.2322754000, 0.4554024000, 1.1689735000", \ - "0.1119979000, 0.1168567000, 0.1296591000, 0.1602757000, 0.2366598000, 0.4603691000, 1.1744608000", \ - "0.1220379000, 0.1268845000, 0.1396772000, 0.1702854000, 0.2465310000, 0.4708942000, 1.1787220000", \ - "0.1458367000, 0.1506509000, 0.1634023000, 0.1939256000, 0.2701418000, 0.4935894000, 1.2052476000", \ - "0.1919754000, 0.1971696000, 0.2106148000, 0.2418219000, 0.3183696000, 0.5417120000, 1.2546740000", \ - "0.2491014000, 0.2555695000, 0.2718557000, 0.3073129000, 0.3864919000, 0.6103562000, 1.3225814000", \ - "0.3004427000, 0.3085365000, 0.3295776000, 0.3742343000, 0.4612761000, 0.6862948000, 1.3942409000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0419489000, 0.0450491000, 0.0533416000, 0.0713630000, 0.1164523000, 0.2389170000, 0.6418074000", \ - "0.0423577000, 0.0452159000, 0.0530100000, 0.0714519000, 0.1158716000, 0.2389787000, 0.6427172000", \ - "0.0419461000, 0.0450410000, 0.0533011000, 0.0713339000, 0.1162817000, 0.2388122000, 0.6429753000", \ - "0.0422067000, 0.0453474000, 0.0531627000, 0.0714769000, 0.1159642000, 0.2389695000, 0.6423823000", \ - "0.0420359000, 0.0450779000, 0.0533186000, 0.0715615000, 0.1158531000, 0.2389787000, 0.6418046000", \ - "0.0481649000, 0.0509478000, 0.0594731000, 0.0759228000, 0.1184935000, 0.2401972000, 0.6413028000", \ - "0.0701557000, 0.0732068000, 0.0835570000, 0.1027374000, 0.1436823000, 0.2571528000, 0.6475925000"); - } - related_pin : "A0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0282299000, 0.0315873000, 0.0417286000, 0.0709484000, 0.1644598000, 0.4797374000, 1.5070850000", \ - "0.0281623000, 0.0317287000, 0.0416625000, 0.0710191000, 0.1643783000, 0.4799704000, 1.4998202000", \ - "0.0280865000, 0.0316833000, 0.0415918000, 0.0709382000, 0.1642797000, 0.4789051000, 1.5035738000", \ - "0.0281715000, 0.0316619000, 0.0417347000, 0.0710564000, 0.1645158000, 0.4797994000, 1.4976305000", \ - "0.0323733000, 0.0359319000, 0.0454799000, 0.0733782000, 0.1651289000, 0.4796687000, 1.5056257000", \ - "0.0435036000, 0.0469747000, 0.0568383000, 0.0833884000, 0.1707205000, 0.4803062000, 1.5041972000", \ - "0.0602040000, 0.0646286000, 0.0769406000, 0.1043237000, 0.1834515000, 0.4821042000, 1.4966556000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.2293153000, 0.2344532000, 0.2475364000, 0.2764047000, 0.3340518000, 0.4558681000, 0.7762098000", \ - "0.2333582000, 0.2384475000, 0.2515573000, 0.2803510000, 0.3380849000, 0.4597673000, 0.7799992000", \ - "0.2432833000, 0.2483670000, 0.2614885000, 0.2903676000, 0.3475824000, 0.4698403000, 0.7904974000", \ - "0.2686313000, 0.2738474000, 0.2870163000, 0.3157725000, 0.3731340000, 0.4956465000, 0.8158034000", \ - "0.3335452000, 0.3386553000, 0.3517520000, 0.3805337000, 0.4376953000, 0.5602366000, 0.8806508000", \ - "0.4804405000, 0.4859148000, 0.4999232000, 0.5299616000, 0.5884312000, 0.7115687000, 1.0319559000", \ - "0.7226795000, 0.7294222000, 0.7468625000, 0.7847863000, 0.8544193000, 0.9850215000, 1.3098453000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.1071501000, 0.1120359000, 0.1247598000, 0.1551275000, 0.2308216000, 0.4540089000, 1.1671663000", \ - "0.1115124000, 0.1164018000, 0.1290751000, 0.1594786000, 0.2352531000, 0.4579540000, 1.1752420000", \ - "0.1214234000, 0.1262974000, 0.1390442000, 0.1693840000, 0.2451695000, 0.4680717000, 1.1850583000", \ - "0.1452167000, 0.1500393000, 0.1627101000, 0.1930497000, 0.2688340000, 0.4916807000, 1.2025658000", \ - "0.1911788000, 0.1963700000, 0.2098402000, 0.2409639000, 0.3172179000, 0.5398411000, 1.2531034000", \ - "0.2477681000, 0.2542990000, 0.2707410000, 0.3060742000, 0.3851184000, 0.6088247000, 1.3197888000", \ - "0.2985973000, 0.3067560000, 0.3276782000, 0.3722873000, 0.4595256000, 0.6842397000, 1.3913007000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0400235000, 0.0427181000, 0.0509758000, 0.0686527000, 0.1124299000, 0.2344826000, 0.6401817000", \ - "0.0400915000, 0.0428632000, 0.0506132000, 0.0685193000, 0.1123560000, 0.2355849000, 0.6405299000", \ - "0.0397063000, 0.0426927000, 0.0506408000, 0.0685074000, 0.1130286000, 0.2353013000, 0.6393992000", \ - "0.0396452000, 0.0426673000, 0.0506195000, 0.0687445000, 0.1123494000, 0.2349922000, 0.6399907000", \ - "0.0399211000, 0.0426524000, 0.0506611000, 0.0684933000, 0.1120878000, 0.2351456000, 0.6399921000", \ - "0.0460054000, 0.0493302000, 0.0575608000, 0.0735980000, 0.1153375000, 0.2365222000, 0.6410413000", \ - "0.0674956000, 0.0709021000, 0.0805287000, 0.1000128000, 0.1404728000, 0.2533022000, 0.6448000000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0282581000, 0.0317752000, 0.0419201000, 0.0712000000, 0.1647226000, 0.4804305000, 1.4997558000", \ - "0.0282093000, 0.0317498000, 0.0418827000, 0.0712361000, 0.1646328000, 0.4796853000, 1.5054789000", \ - "0.0281982000, 0.0317518000, 0.0419039000, 0.0712345000, 0.1645607000, 0.4791212000, 1.5019149000", \ - "0.0283104000, 0.0318024000, 0.0419141000, 0.0712851000, 0.1646346000, 0.4786044000, 1.5050682000", \ - "0.0327145000, 0.0363219000, 0.0456317000, 0.0735617000, 0.1652280000, 0.4797605000, 1.5038795000", \ - "0.0441737000, 0.0476253000, 0.0570830000, 0.0838336000, 0.1705160000, 0.4790737000, 1.5037072000", \ - "0.0610779000, 0.0652239000, 0.0777101000, 0.1048619000, 0.1838104000, 0.4822488000, 1.4981568000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.2647815000, 0.2700379000, 0.2835781000, 0.3131096000, 0.3720833000, 0.4965990000, 0.8183117000", \ - "0.2694579000, 0.2746968000, 0.2882472000, 0.3179215000, 0.3768215000, 0.5013708000, 0.8229767000", \ - "0.2813058000, 0.2865668000, 0.3000117000, 0.3297007000, 0.3887527000, 0.5132084000, 0.8349961000", \ - "0.3064977000, 0.3117793000, 0.3253166000, 0.3549584000, 0.4140378000, 0.5384728000, 0.8604151000", \ - "0.3624986000, 0.3677519000, 0.3812791000, 0.4108620000, 0.4698792000, 0.5944131000, 0.9163603000", \ - "0.4851006000, 0.4907071000, 0.5047538000, 0.5350485000, 0.5954102000, 0.7208018000, 1.0429865000", \ - "0.7052149000, 0.7114823000, 0.7276247000, 0.7626594000, 0.8303194000, 0.9644067000, 1.2920167000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.1202902000, 0.1247851000, 0.1367324000, 0.1658167000, 0.2400991000, 0.4624854000, 1.1710730000", \ - "0.1246049000, 0.1290832000, 0.1410221000, 0.1701074000, 0.2444247000, 0.4665414000, 1.1757895000", \ - "0.1336449000, 0.1381383000, 0.1500913000, 0.1791789000, 0.2535006000, 0.4758134000, 1.1848149000", \ - "0.1537526000, 0.1583924000, 0.1702594000, 0.1992509000, 0.2736165000, 0.4958289000, 1.2052722000", \ - "0.1951597000, 0.1998729000, 0.2122260000, 0.2418515000, 0.3165506000, 0.5401098000, 1.2486165000", \ - "0.2545291000, 0.2600989000, 0.2741367000, 0.3062432000, 0.3832018000, 0.6064043000, 1.3151646000", \ - "0.3132854000, 0.3202728000, 0.3383790000, 0.3768537000, 0.4587906000, 0.6830290000, 1.3905722000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0423034000, 0.0454536000, 0.0529528000, 0.0717153000, 0.1164106000, 0.2387983000, 0.6414752000", \ - "0.0422515000, 0.0454460000, 0.0530082000, 0.0715049000, 0.1163866000, 0.2388101000, 0.6423133000", \ - "0.0423398000, 0.0454612000, 0.0535616000, 0.0716260000, 0.1165245000, 0.2387298000, 0.6412445000", \ - "0.0420588000, 0.0451243000, 0.0534310000, 0.0716154000, 0.1164637000, 0.2386028000, 0.6426701000", \ - "0.0421384000, 0.0453060000, 0.0534566000, 0.0715708000, 0.1161676000, 0.2389273000, 0.6414831000", \ - "0.0461317000, 0.0490427000, 0.0577674000, 0.0755052000, 0.1188151000, 0.2402132000, 0.6413706000", \ - "0.0585700000, 0.0619488000, 0.0708485000, 0.0904400000, 0.1346512000, 0.2542268000, 0.6479114000"); - } - related_pin : "S"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0259369000, 0.0294375000, 0.0395616000, 0.0691416000, 0.1635998000, 0.4801837000, 1.5013901000", \ - "0.0259922000, 0.0295072000, 0.0395981000, 0.0691244000, 0.1637122000, 0.4801319000, 1.5017149000", \ - "0.0258255000, 0.0295490000, 0.0395529000, 0.0691539000, 0.1636710000, 0.4802042000, 1.5016003000", \ - "0.0258353000, 0.0294237000, 0.0395579000, 0.0690663000, 0.1637084000, 0.4793630000, 1.5018277000", \ - "0.0279282000, 0.0315179000, 0.0417701000, 0.0704023000, 0.1641009000, 0.4804810000, 1.5015535000", \ - "0.0345659000, 0.0381425000, 0.0484919000, 0.0765681000, 0.1674592000, 0.4802889000, 1.5010941000", \ - "0.0475344000, 0.0520490000, 0.0636653000, 0.0908110000, 0.1754573000, 0.4821050000, 1.4985817000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.2618348000, 0.2669170000, 0.2800371000, 0.3086607000, 0.3662061000, 0.4878843000, 0.8081245000", \ - "0.2671290000, 0.2722079000, 0.2853054000, 0.3140764000, 0.3712053000, 0.4933775000, 0.8140080000", \ - "0.2784553000, 0.2835991000, 0.2967153000, 0.3255679000, 0.3829634000, 0.5049713000, 0.8252728000", \ - "0.2961605000, 0.3012476000, 0.3143673000, 0.3431992000, 0.4004361000, 0.5229281000, 0.8434381000", \ - "0.3172549000, 0.3223930000, 0.3354390000, 0.3643176000, 0.4219616000, 0.5446315000, 0.8649041000", \ - "0.3388599000, 0.3439436000, 0.3569815000, 0.3856718000, 0.4430451000, 0.5644346000, 0.8847202000", \ - "0.3435545000, 0.3486607000, 0.3615666000, 0.3903403000, 0.4479884000, 0.5702880000, 0.8897774000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.1635571000, 0.1680837000, 0.1800659000, 0.2094579000, 0.2847063000, 0.5083410000, 1.2185063000", \ - "0.1685875000, 0.1731364000, 0.1851825000, 0.2145893000, 0.2898021000, 0.5132560000, 1.2233454000", \ - "0.1818221000, 0.1863743000, 0.1984454000, 0.2278506000, 0.3030614000, 0.5267161000, 1.2363415000", \ - "0.2124509000, 0.2170056000, 0.2290347000, 0.2583826000, 0.3335257000, 0.5575088000, 1.2686948000", \ - "0.2653401000, 0.2698602000, 0.2819521000, 0.3113139000, 0.3865016000, 0.6107175000, 1.3184036000", \ - "0.3482229000, 0.3527841000, 0.3648698000, 0.3942517000, 0.4693429000, 0.6929177000, 1.4025998000", \ - "0.4834953000, 0.4880993000, 0.5002559000, 0.5296625000, 0.6048361000, 0.8286065000, 1.5366515000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0399603000, 0.0429934000, 0.0507239000, 0.0685410000, 0.1121643000, 0.2349705000, 0.6391249000", \ - "0.0397001000, 0.0426983000, 0.0505940000, 0.0685361000, 0.1127420000, 0.2352543000, 0.6391663000", \ - "0.0397117000, 0.0427458000, 0.0508281000, 0.0693979000, 0.1126737000, 0.2349795000, 0.6398995000", \ - "0.0397079000, 0.0426886000, 0.0505884000, 0.0686814000, 0.1131056000, 0.2350135000, 0.6391133000", \ - "0.0399550000, 0.0426807000, 0.0505514000, 0.0692694000, 0.1118205000, 0.2347474000, 0.6402937000", \ - "0.0395662000, 0.0425448000, 0.0501752000, 0.0680633000, 0.1116922000, 0.2335254000, 0.6397117000", \ - "0.0397107000, 0.0427598000, 0.0509466000, 0.0685375000, 0.1127574000, 0.2346350000, 0.6381399000"); - } - related_pin : "S"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015998200, 0.0051188500, 0.0163785000, 0.0524052000, 0.1676780000, 0.5365090000"); - values("0.0260028000, 0.0295519000, 0.0398411000, 0.0690283000, 0.1637371000, 0.4795176000, 1.5014437000", \ - "0.0260779000, 0.0296226000, 0.0396788000, 0.0691830000, 0.1637424000, 0.4800179000, 1.5009388000", \ - "0.0260022000, 0.0296353000, 0.0396534000, 0.0691849000, 0.1636989000, 0.4803045000, 1.5008818000", \ - "0.0258805000, 0.0294420000, 0.0397749000, 0.0689361000, 0.1633879000, 0.4796507000, 1.5030462000", \ - "0.0259818000, 0.0296219000, 0.0397379000, 0.0690883000, 0.1634348000, 0.4812536000, 1.4995432000", \ - "0.0261348000, 0.0296822000, 0.0398256000, 0.0692629000, 0.1633518000, 0.4791000000, 1.5015307000", \ - "0.0265820000, 0.0301104000, 0.0404191000, 0.0695683000, 0.1635548000, 0.4797906000, 1.4986957000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__mux2_8") { - leakage_power () { - value : 0.0094897000; - when : "!A0&!A1&S"; - } - leakage_power () { - value : 0.0078680000; - when : "!A0&!A1&!S"; - } - leakage_power () { - value : 0.0078450000; - when : "!A0&A1&S"; - } - leakage_power () { - value : 0.0094288000; - when : "!A0&A1&!S"; - } - leakage_power () { - value : 0.0109275000; - when : "A0&!A1&S"; - } - leakage_power () { - value : 0.0062551000; - when : "A0&!A1&!S"; - } - leakage_power () { - value : 0.0074153000; - when : "A0&A1&S"; - } - leakage_power () { - value : 0.0511929000; - when : "A0&A1&!S"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__mux2"; - cell_leakage_power : 0.0138027900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A0") { - capacitance : 0.0047680000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0044900000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092736000, 0.0092463000, 0.0091835000, 0.0091952000, 0.0092219000, 0.0092835000, 0.0094257000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.005318400, -0.005389900, -0.005554900, -0.005544100, -0.005519200, -0.005462000, -0.005329700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050450000; - } - pin ("A1") { - capacitance : 0.0051000000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0048030000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0101062000, 0.0100851000, 0.0100365000, 0.0100465000, 0.0100693000, 0.0101223000, 0.0102443000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006841800, -0.006879000, -0.006964500, -0.006952000, -0.006923100, -0.006856400, -0.006703000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0053980000; - } - pin ("S") { - capacitance : 0.0078480000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0074980000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0251372000, 0.0249240000, 0.0244328000, 0.0245301000, 0.0247545000, 0.0252715000, 0.0264635000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001849000, -0.001990100, -0.002315400, -0.002250300, -0.002100200, -0.001754000, -0.000956100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0081970000; - } - pin ("X") { - direction : "output"; - function : "(A0&!S) | (A1&S)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017537770, 0.0061514700, 0.0215766200, 0.0756811700, 0.2654559000, 0.9311010000"); - values("0.0475176000, 0.0453009000, 0.0379034000, 0.0149690000, -0.064643500, -0.366159800, -1.443295300", \ - "0.0473448000, 0.0451423000, 0.0375754000, 0.0146387000, -0.064780200, -0.366384100, -1.443390000", \ - "0.0469749000, 0.0446813000, 0.0371668000, 0.0144120000, -0.064722700, -0.366569400, -1.443720800", \ - "0.0465858000, 0.0442983000, 0.0368192000, 0.0138584000, -0.065682300, -0.367165900, -1.444138200", \ - "0.0458674000, 0.0435781000, 0.0360949000, 0.0131473000, -0.066458100, -0.367874600, -1.444825700", \ - "0.0470182000, 0.0446792000, 0.0371166000, 0.0137892000, -0.066457900, -0.368037900, -1.444683900", \ - "0.0625961000, 0.0600190000, 0.0515056000, 0.0221716000, -0.063480700, -0.365581300, -1.443363200"); - } - related_pin : "A0"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017537770, 0.0061514700, 0.0215766200, 0.0756811700, 0.2654559000, 0.9311010000"); - values("0.0493270000, 0.0514197000, 0.0589835000, 0.0854399000, 0.1748714000, 0.4806108000, 1.5411323000", \ - "0.0493855000, 0.0514522000, 0.0589327000, 0.0856069000, 0.1749464000, 0.4806236000, 1.5488793000", \ - "0.0495278000, 0.0516314000, 0.0591843000, 0.0857264000, 0.1749552000, 0.4806124000, 1.5512131000", \ - "0.0493023000, 0.0513734000, 0.0589409000, 0.0853676000, 0.1744357000, 0.4803662000, 1.5410443000", \ - "0.0492455000, 0.0512962000, 0.0585556000, 0.0844781000, 0.1730235000, 0.4791656000, 1.5409945000", \ - "0.0518309000, 0.0537632000, 0.0607775000, 0.0854035000, 0.1732278000, 0.4776567000, 1.5401588000", \ - "0.0552945000, 0.0570630000, 0.0637220000, 0.0878133000, 0.1750417000, 0.4785070000, 1.5423784000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017537770, 0.0061514700, 0.0215766200, 0.0756811700, 0.2654559000, 0.9311010000"); - values("0.0475733000, 0.0454269000, 0.0380766000, 0.0152547000, -0.063609000, -0.364260000, -1.440918000", \ - "0.0481097000, 0.0452765000, 0.0383350000, 0.0158627000, -0.063506700, -0.364386900, -1.441030200", \ - "0.0474139000, 0.0451964000, 0.0377434000, 0.0150268000, -0.064215000, -0.364882800, -1.441263100", \ - "0.0465109000, 0.0443015000, 0.0369679000, 0.0144749000, -0.064572500, -0.365437500, -1.441917100", \ - "0.0459792000, 0.0437025000, 0.0363012000, 0.0136078000, -0.065608300, -0.366303800, -1.442687000", \ - "0.0471115000, 0.0449474000, 0.0372593000, 0.0141872000, -0.065765800, -0.366590800, -1.442586500", \ - "0.0632563000, 0.0606822000, 0.0520997000, 0.0247762000, -0.065614800, -0.364235700, -1.441319800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017537770, 0.0061514700, 0.0215766200, 0.0756811700, 0.2654559000, 0.9311010000"); - values("0.0504801000, 0.0526082000, 0.0600386000, 0.0861954000, 0.1746111000, 0.4786556000, 1.5405875000", \ - "0.0505839000, 0.0527265000, 0.0601939000, 0.0862187000, 0.1745661000, 0.4788968000, 1.5463801000", \ - "0.0505000000, 0.0526577000, 0.0600921000, 0.0861733000, 0.1746698000, 0.4791070000, 1.5406477000", \ - "0.0504092000, 0.0525423000, 0.0599140000, 0.0858984000, 0.1741932000, 0.4788056000, 1.5484071000", \ - "0.0505969000, 0.0526386000, 0.0599037000, 0.0851555000, 0.1732398000, 0.4781945000, 1.5459603000", \ - "0.0527936000, 0.0547106000, 0.0616050000, 0.0860966000, 0.1735241000, 0.4769855000, 1.5447417000", \ - "0.0562838000, 0.0580209000, 0.0646174000, 0.0887676000, 0.1759324000, 0.4787685000, 1.5410863000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017537770, 0.0061514700, 0.0215766200, 0.0756811700, 0.2654559000, 0.9311010000"); - values("0.0529259000, 0.0507201000, 0.0432576000, 0.0205240000, -0.058181700, -0.358934700, -1.435494100", \ - "0.0530244000, 0.0507373000, 0.0432776000, 0.0209954000, -0.058116200, -0.359043900, -1.435531700", \ - "0.0529069000, 0.0506056000, 0.0431735000, 0.0206232000, -0.058338800, -0.359014700, -1.435476300", \ - "0.0526403000, 0.0504167000, 0.0429639000, 0.0201907000, -0.058830900, -0.359457100, -1.435909900", \ - "0.0522231000, 0.0500090000, 0.0425682000, 0.0198687000, -0.059001400, -0.359889800, -1.436063500", \ - "0.0523215000, 0.0500042000, 0.0426928000, 0.0198390000, -0.059200300, -0.359981900, -1.436416900", \ - "0.0676392000, 0.0653181000, 0.0576991000, 0.0325809000, -0.053789600, -0.359144000, -1.436084200"); - } - related_pin : "S"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017537770, 0.0061514700, 0.0215766200, 0.0756811700, 0.2654559000, 0.9311010000"); - values("0.0563025000, 0.0583454000, 0.0658691000, 0.0922570000, 0.1814077000, 0.4873764000, 1.5542316000", \ - "0.0562895000, 0.0584426000, 0.0657716000, 0.0920645000, 0.1813892000, 0.4873375000, 1.5534534000", \ - "0.0564259000, 0.0585054000, 0.0660527000, 0.0924284000, 0.1814667000, 0.4876514000, 1.5547367000", \ - "0.0561958000, 0.0582407000, 0.0657901000, 0.0921415000, 0.1813903000, 0.4872408000, 1.5544616000", \ - "0.0560173000, 0.0581660000, 0.0656465000, 0.0918088000, 0.1811317000, 0.4867848000, 1.5519087000", \ - "0.0560202000, 0.0581464000, 0.0656855000, 0.0919886000, 0.1808255000, 0.4866803000, 1.5543686000", \ - "0.0575480000, 0.0596329000, 0.0670517000, 0.0926509000, 0.1811864000, 0.4885081000, 1.5518852000"); - } - } - max_capacitance : 0.9311010000; - max_transition : 1.5062170000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.2661859000, 0.2699155000, 0.2807402000, 0.3076643000, 0.3648419000, 0.4879473000, 0.8157796000", \ - "0.2705366000, 0.2741920000, 0.2850326000, 0.3119505000, 0.3692922000, 0.4923759000, 0.8201120000", \ - "0.2806364000, 0.2843938000, 0.2952524000, 0.3222178000, 0.3790993000, 0.5021084000, 0.8305676000", \ - "0.3079630000, 0.3116083000, 0.3224489000, 0.3493457000, 0.4065618000, 0.5295319000, 0.8583768000", \ - "0.3753525000, 0.3789977000, 0.3898804000, 0.4166979000, 0.4739729000, 0.5970875000, 0.9258405000", \ - "0.5352327000, 0.5389462000, 0.5499249000, 0.5768716000, 0.6341437000, 0.7576003000, 1.0858371000", \ - "0.8244604000, 0.8291801000, 0.8429742000, 0.8770552000, 0.9457770000, 1.0782294000, 1.4111790000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.1161920000, 0.1195943000, 0.1298626000, 0.1568998000, 0.2269480000, 0.4397869000, 1.1732064000", \ - "0.1206813000, 0.1240631000, 0.1343168000, 0.1614125000, 0.2315015000, 0.4447272000, 1.1826268000", \ - "0.1311387000, 0.1345307000, 0.1447674000, 0.1718032000, 0.2419013000, 0.4551803000, 1.1919742000", \ - "0.1551402000, 0.1585277000, 0.1687758000, 0.1957328000, 0.2655980000, 0.4785335000, 1.2121532000", \ - "0.2040120000, 0.2075424000, 0.2181372000, 0.2456534000, 0.3159639000, 0.5292175000, 1.2629506000", \ - "0.2677202000, 0.2720469000, 0.2847238000, 0.3158293000, 0.3892963000, 0.6034375000, 1.3374215000", \ - "0.3288903000, 0.3342793000, 0.3502707000, 0.3895383000, 0.4721939000, 0.6883054000, 1.4224739000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.0458587000, 0.0480045000, 0.0544814000, 0.0701214000, 0.1102367000, 0.2276364000, 0.6453954000", \ - "0.0458790000, 0.0480415000, 0.0545660000, 0.0699950000, 0.1104405000, 0.2278193000, 0.6451236000", \ - "0.0458937000, 0.0476641000, 0.0539565000, 0.0699013000, 0.1106630000, 0.2280542000, 0.6455441000", \ - "0.0458658000, 0.0480216000, 0.0545300000, 0.0699467000, 0.1101955000, 0.2278413000, 0.6455397000", \ - "0.0458878000, 0.0480194000, 0.0542145000, 0.0700450000, 0.1105611000, 0.2283459000, 0.6442006000", \ - "0.0484316000, 0.0503318000, 0.0566295000, 0.0720022000, 0.1108323000, 0.2286720000, 0.6452147000", \ - "0.0715063000, 0.0737708000, 0.0811810000, 0.0993855000, 0.1371403000, 0.2458135000, 0.6511141000"); - } - related_pin : "A0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.0304275000, 0.0329065000, 0.0404882000, 0.0645778000, 0.1447148000, 0.4407061000, 1.5008427000", \ - "0.0305052000, 0.0330078000, 0.0405464000, 0.0645625000, 0.1448305000, 0.4404128000, 1.5032666000", \ - "0.0304362000, 0.0328670000, 0.0405882000, 0.0646719000, 0.1449044000, 0.4404619000, 1.5054769000", \ - "0.0304178000, 0.0329147000, 0.0405401000, 0.0645838000, 0.1448766000, 0.4406863000, 1.5004854000", \ - "0.0338741000, 0.0361721000, 0.0433115000, 0.0666613000, 0.1459723000, 0.4405148000, 1.5006923000", \ - "0.0444339000, 0.0469986000, 0.0541196000, 0.0765056000, 0.1517951000, 0.4406835000, 1.5002241000", \ - "0.0621566000, 0.0647242000, 0.0738643000, 0.0972585000, 0.1666624000, 0.4450717000, 1.4941716000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.2628870000, 0.2665418000, 0.2773192000, 0.3039738000, 0.3610330000, 0.4839679000, 0.8119917000", \ - "0.2673770000, 0.2709411000, 0.2816145000, 0.3084472000, 0.3653919000, 0.4885021000, 0.8165317000", \ - "0.2775963000, 0.2812948000, 0.2920179000, 0.3187500000, 0.3754669000, 0.4985348000, 0.8269638000", \ - "0.3044427000, 0.3081381000, 0.3188314000, 0.3452744000, 0.4023080000, 0.5252774000, 0.8533036000", \ - "0.3713178000, 0.3749095000, 0.3856257000, 0.4122507000, 0.4692292000, 0.5921248000, 0.9206337000", \ - "0.5295870000, 0.5333302000, 0.5440422000, 0.5708597000, 0.6278737000, 0.7512398000, 1.0793185000", \ - "0.8124759000, 0.8170471000, 0.8307507000, 0.8646184000, 0.9329398000, 1.0652355000, 1.3985032000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.1167072000, 0.1201701000, 0.1303991000, 0.1573330000, 0.2268778000, 0.4392357000, 1.1779611000", \ - "0.1211339000, 0.1245999000, 0.1348049000, 0.1616998000, 0.2313425000, 0.4440303000, 1.1855272000", \ - "0.1314009000, 0.1348767000, 0.1450988000, 0.1720254000, 0.2415872000, 0.4537707000, 1.1919418000", \ - "0.1553240000, 0.1587957000, 0.1688886000, 0.1957158000, 0.2653157000, 0.4781234000, 1.2156574000", \ - "0.2040496000, 0.2076230000, 0.2182777000, 0.2456171000, 0.3156017000, 0.5286356000, 1.2663502000", \ - "0.2677001000, 0.2720640000, 0.2847865000, 0.3160283000, 0.3893239000, 0.6032032000, 1.3389828000", \ - "0.3287207000, 0.3341160000, 0.3503364000, 0.3898853000, 0.4727765000, 0.6882459000, 1.4228269000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.0445396000, 0.0466263000, 0.0529913000, 0.0688600000, 0.1092130000, 0.2268121000, 0.6448448000", \ - "0.0448198000, 0.0469005000, 0.0529452000, 0.0690112000, 0.1100423000, 0.2273688000, 0.6448263000", \ - "0.0447748000, 0.0465278000, 0.0529821000, 0.0693942000, 0.1097389000, 0.2267779000, 0.6445910000", \ - "0.0445225000, 0.0465824000, 0.0529178000, 0.0693309000, 0.1100440000, 0.2275897000, 0.6446913000", \ - "0.0447782000, 0.0470062000, 0.0528325000, 0.0690572000, 0.1094124000, 0.2270689000, 0.6448163000", \ - "0.0477025000, 0.0496931000, 0.0560913000, 0.0709296000, 0.1108189000, 0.2279457000, 0.6446040000", \ - "0.0706640000, 0.0729251000, 0.0802232000, 0.0970675000, 0.1347691000, 0.2450713000, 0.6492801000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.0307139000, 0.0332114000, 0.0408995000, 0.0648255000, 0.1452234000, 0.4399134000, 1.5023240000", \ - "0.0306755000, 0.0331328000, 0.0407578000, 0.0648587000, 0.1454172000, 0.4412761000, 1.5023356000", \ - "0.0307160000, 0.0331715000, 0.0408587000, 0.0647795000, 0.1452531000, 0.4400194000, 1.5020214000", \ - "0.0307793000, 0.0331070000, 0.0407572000, 0.0648449000, 0.1453297000, 0.4411593000, 1.5045750000", \ - "0.0343016000, 0.0366201000, 0.0437309000, 0.0669661000, 0.1458732000, 0.4411691000, 1.5053276000", \ - "0.0454407000, 0.0477911000, 0.0550675000, 0.0772214000, 0.1519277000, 0.4423270000, 1.5062169000", \ - "0.0626848000, 0.0657298000, 0.0751295000, 0.0985732000, 0.1672533000, 0.4461027000, 1.4954340000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.2855169000, 0.2892151000, 0.3001121000, 0.3270517000, 0.3841877000, 0.5073270000, 0.8358607000", \ - "0.2905766000, 0.2942251000, 0.3052336000, 0.3321965000, 0.3894881000, 0.5127183000, 0.8412079000", \ - "0.3037093000, 0.3074554000, 0.3183432000, 0.3453142000, 0.4024871000, 0.5258209000, 0.8539168000", \ - "0.3328380000, 0.3364883000, 0.3473364000, 0.3742207000, 0.4314766000, 0.5547852000, 0.8833361000", \ - "0.3967589000, 0.4004051000, 0.4112831000, 0.4381725000, 0.4954052000, 0.6184617000, 0.9472920000", \ - "0.5411485000, 0.5448421000, 0.5557819000, 0.5830054000, 0.6404767000, 0.7639148000, 1.0921823000", \ - "0.8128641000, 0.8171510000, 0.8295579000, 0.8611753000, 0.9260508000, 1.0587625000, 1.3917698000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.1281132000, 0.1312860000, 0.1408058000, 0.1661668000, 0.2334513000, 0.4451371000, 1.1803774000", \ - "0.1324899000, 0.1356765000, 0.1451968000, 0.1705349000, 0.2379016000, 0.4492293000, 1.1836551000", \ - "0.1419813000, 0.1450965000, 0.1545524000, 0.1799318000, 0.2473962000, 0.4594188000, 1.1939388000", \ - "0.1629911000, 0.1661185000, 0.1757050000, 0.2010113000, 0.2684638000, 0.4806327000, 1.2148078000", \ - "0.2071933000, 0.2104269000, 0.2202490000, 0.2459737000, 0.3138163000, 0.5261461000, 1.2610658000", \ - "0.2737717000, 0.2775155000, 0.2886926000, 0.3168539000, 0.3871651000, 0.5997802000, 1.3358700000", \ - "0.3451588000, 0.3499018000, 0.3640289000, 0.3980159000, 0.4743869000, 0.6883115000, 1.4225098000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.0456796000, 0.0477141000, 0.0540420000, 0.0699443000, 0.1099423000, 0.2278427000, 0.6449706000", \ - "0.0458964000, 0.0480254000, 0.0541923000, 0.0699811000, 0.1107703000, 0.2280635000, 0.6451967000", \ - "0.0455823000, 0.0476779000, 0.0539272000, 0.0710217000, 0.1102293000, 0.2277543000, 0.6455290000", \ - "0.0458092000, 0.0480462000, 0.0541544000, 0.0700022000, 0.1107378000, 0.2280201000, 0.6452703000", \ - "0.0457864000, 0.0480110000, 0.0541589000, 0.0699774000, 0.1107541000, 0.2276249000, 0.6450527000", \ - "0.0481200000, 0.0501827000, 0.0563542000, 0.0717625000, 0.1103273000, 0.2284009000, 0.6452598000", \ - "0.0613970000, 0.0636673000, 0.0708183000, 0.0876707000, 0.1279268000, 0.2409295000, 0.6499888000"); - } - related_pin : "S"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.0276571000, 0.0300782000, 0.0379181000, 0.0620141000, 0.1432464000, 0.4403980000, 1.5007685000", \ - "0.0276558000, 0.0300872000, 0.0379323000, 0.0620588000, 0.1432890000, 0.4413663000, 1.5003782000", \ - "0.0277788000, 0.0301540000, 0.0378184000, 0.0619903000, 0.1433901000, 0.4417334000, 1.5023613000", \ - "0.0276947000, 0.0300923000, 0.0380405000, 0.0619410000, 0.1433566000, 0.4416613000, 1.5021830000", \ - "0.0293682000, 0.0317736000, 0.0394341000, 0.0631898000, 0.1436152000, 0.4418224000, 1.5023729000", \ - "0.0358511000, 0.0384387000, 0.0457809000, 0.0695885000, 0.1473292000, 0.4417743000, 1.4997064000", \ - "0.0491495000, 0.0523414000, 0.0608579000, 0.0839613000, 0.1569008000, 0.4433500000, 1.4991175000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.3155954000, 0.3192941000, 0.3300145000, 0.3566765000, 0.4134361000, 0.5360426000, 0.8642646000", \ - "0.3206011000, 0.3241970000, 0.3349515000, 0.3615269000, 0.4183291000, 0.5414222000, 0.8694626000", \ - "0.3318725000, 0.3354422000, 0.3462073000, 0.3729274000, 0.4298367000, 0.5522973000, 0.8806318000", \ - "0.3552268000, 0.3588966000, 0.3695858000, 0.3962460000, 0.4532957000, 0.5762052000, 0.9039403000", \ - "0.3871432000, 0.3908299000, 0.4015310000, 0.4282643000, 0.4850846000, 0.6082997000, 0.9365972000", \ - "0.4240514000, 0.4277250000, 0.4383685000, 0.4649442000, 0.5218439000, 0.6442904000, 0.9726040000", \ - "0.4515124000, 0.4551296000, 0.4658058000, 0.4924128000, 0.5493530000, 0.6724872000, 1.0005144000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.2120138000, 0.2152230000, 0.2248080000, 0.2505129000, 0.3187876000, 0.5319081000, 1.2665270000", \ - "0.2168186000, 0.2199792000, 0.2295494000, 0.2552192000, 0.3235228000, 0.5366325000, 1.2700592000", \ - "0.2294613000, 0.2326164000, 0.2422041000, 0.2679082000, 0.3361880000, 0.5493100000, 1.2838858000", \ - "0.2610393000, 0.2642205000, 0.2738004000, 0.2995396000, 0.3677423000, 0.5808682000, 1.3155548000", \ - "0.3300218000, 0.3332725000, 0.3428404000, 0.3684854000, 0.4367712000, 0.6497404000, 1.3837003000", \ - "0.4454059000, 0.4485900000, 0.4582296000, 0.4839926000, 0.5521088000, 0.7653025000, 1.5003797000", \ - "0.6302000000, 0.6334480000, 0.6432900000, 0.6693117000, 0.7378014000, 0.9510029000, 1.6846105000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.0445257000, 0.0466006000, 0.0528379000, 0.0691546000, 0.1099031000, 0.2272730000, 0.6445325000", \ - "0.0448187000, 0.0468488000, 0.0528915000, 0.0697314000, 0.1100320000, 0.2273607000, 0.6447364000", \ - "0.0446161000, 0.0466725000, 0.0530452000, 0.0689099000, 0.1097092000, 0.2273880000, 0.6448308000", \ - "0.0448111000, 0.0469531000, 0.0529975000, 0.0688520000, 0.1092086000, 0.2267574000, 0.6448743000", \ - "0.0445458000, 0.0466225000, 0.0529267000, 0.0691449000, 0.1100708000, 0.2274293000, 0.6448213000", \ - "0.0445095000, 0.0465464000, 0.0526251000, 0.0684312000, 0.1086121000, 0.2269175000, 0.6449915000", \ - "0.0448714000, 0.0467509000, 0.0530673000, 0.0688832000, 0.1097532000, 0.2271500000, 0.6440176000"); - } - related_pin : "S"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017537800, 0.0061514700, 0.0215766000, 0.0756812000, 0.2654560000, 0.9311010000"); - values("0.0278701000, 0.0305316000, 0.0381512000, 0.0622961000, 0.1432674000, 0.4412965000, 1.5007953000", \ - "0.0279952000, 0.0304675000, 0.0383064000, 0.0622693000, 0.1435524000, 0.4408009000, 1.4999070000", \ - "0.0280780000, 0.0305492000, 0.0381513000, 0.0622948000, 0.1436259000, 0.4412026000, 1.5007955000", \ - "0.0280783000, 0.0305226000, 0.0381663000, 0.0622776000, 0.1431466000, 0.4414114000, 1.5007730000", \ - "0.0279998000, 0.0304300000, 0.0383219000, 0.0623124000, 0.1431659000, 0.4398532000, 1.4971833000", \ - "0.0281776000, 0.0306672000, 0.0385306000, 0.0626093000, 0.1433145000, 0.4412382000, 1.5006498000", \ - "0.0291530000, 0.0315781000, 0.0392349000, 0.0632723000, 0.1437915000, 0.4409340000, 1.4964767000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__mux2i_1") { - leakage_power () { - value : 0.0094009000; - when : "!A0&!A1&S"; - } - leakage_power () { - value : 0.0005004000; - when : "!A0&!A1&!S"; - } - leakage_power () { - value : 0.0115162000; - when : "!A0&A1&S"; - } - leakage_power () { - value : 0.0006906000; - when : "!A0&A1&!S"; - } - leakage_power () { - value : 0.0095910000; - when : "A0&!A1&S"; - } - leakage_power () { - value : 0.0028692000; - when : "A0&!A1&!S"; - } - leakage_power () { - value : 0.0101436000; - when : "A0&A1&S"; - } - leakage_power () { - value : 0.0024123000; - when : "A0&A1&!S"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__mux2i"; - cell_leakage_power : 0.0058905370; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A0") { - capacitance : 0.0022070000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020990000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046343000, 0.0046342000, 0.0046338000, 0.0046341000, 0.0046345000, 0.0046358000, 0.0046388000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002410900, -0.002444000, -0.002520200, -0.002520400, -0.002520900, -0.002521800, -0.002523800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023150000; - } - pin ("A1") { - capacitance : 0.0022600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021550000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0049199000, 0.0049179000, 0.0049134000, 0.0049150000, 0.0049187000, 0.0049274000, 0.0049472000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003078300, -0.003102200, -0.003157300, -0.003150600, -0.003135200, -0.003099600, -0.003017700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023640000; - } - pin ("S") { - capacitance : 0.0046530000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0044760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0156620000, 0.0155050000, 0.0151430000, 0.0153198000, 0.0157272000, 0.0166666000, 0.0188320000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000761500, -0.000926400, -0.001306200, -0.001158800, -0.000818800, -3.5263879e-05, 0.0017709000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0048290000; - } - pin ("Y") { - direction : "output"; - function : "(!A0&!S) | (!A1&S)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011357280, 0.0025797560, 0.0058598010, 0.0133102800, 0.0302337100, 0.0686745300"); - values("0.0030566000, 0.0020734000, -0.000187800, -0.005401300, -0.017372500, -0.044694800, -0.106914600", \ - "0.0030340000, 0.0020686000, -0.000179500, -0.005355700, -0.017300100, -0.044595200, -0.106802600", \ - "0.0029768000, 0.0020121000, -0.000212400, -0.005355200, -0.017244800, -0.044497600, -0.106667000", \ - "0.0027114000, 0.0017614000, -0.000401100, -0.005528600, -0.017364000, -0.044581900, -0.106659700", \ - "0.0026191000, 0.0016449000, -0.000614200, -0.005802300, -0.017588900, -0.044710200, -0.106746100", \ - "0.0031712000, 0.0021506000, -0.000211100, -0.005434100, -0.017739800, -0.044785200, -0.106805200", \ - "0.0045103000, 0.0033792000, 0.0008659000, -0.004683600, -0.016985900, -0.044583300, -0.106830300"); - } - related_pin : "A0"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011357280, 0.0025797560, 0.0058598010, 0.0133102800, 0.0302337100, 0.0686745300"); - values("0.0079152000, 0.0090002000, 0.0114003000, 0.0167721000, 0.0287781000, 0.0559022000, 0.1173743000", \ - "0.0077048000, 0.0087962000, 0.0111862000, 0.0166203000, 0.0287422000, 0.0558726000, 0.1173770000", \ - "0.0074329000, 0.0084597000, 0.0108806000, 0.0163639000, 0.0285144000, 0.0557918000, 0.1173425000", \ - "0.0072170000, 0.0082836000, 0.0107050000, 0.0159865000, 0.0282241000, 0.0555974000, 0.1172501000", \ - "0.0072915000, 0.0082958000, 0.0106296000, 0.0159588000, 0.0279971000, 0.0552351000, 0.1170150000", \ - "0.0078603000, 0.0089020000, 0.0112908000, 0.0164502000, 0.0282762000, 0.0550762000, 0.1167353000", \ - "0.0089300000, 0.0098734000, 0.0120212000, 0.0173638000, 0.0290816000, 0.0557542000, 0.1171646000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011357280, 0.0025797560, 0.0058598010, 0.0133102800, 0.0302337100, 0.0686745300"); - values("0.0045431000, 0.0035518000, 0.0013017000, -0.003932500, -0.015866800, -0.043159800, -0.105333600", \ - "0.0045133000, 0.0035411000, 0.0013004000, -0.003886300, -0.015818100, -0.043079100, -0.105237700", \ - "0.0044230000, 0.0034750000, 0.0012161000, -0.003913600, -0.015790500, -0.043012400, -0.105138700", \ - "0.0041836000, 0.0032413000, 0.0010136000, -0.004092900, -0.015936400, -0.043109500, -0.105182700", \ - "0.0041295000, 0.0031507000, 0.0008728000, -0.004297000, -0.016156300, -0.043272500, -0.105250500", \ - "0.0044099000, 0.0032933000, 0.0010874000, -0.004179200, -0.016407400, -0.043406000, -0.105384000", \ - "0.0053454000, 0.0042389000, 0.0017873000, -0.003601200, -0.015912700, -0.043253300, -0.105545800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011357280, 0.0025797560, 0.0058598010, 0.0133102800, 0.0302337100, 0.0686745300"); - values("0.0078132000, 0.0089177000, 0.0113154000, 0.0166768000, 0.0287129000, 0.0558740000, 0.1173641000", \ - "0.0076023000, 0.0086504000, 0.0110949000, 0.0165345000, 0.0286690000, 0.0558191000, 0.1172977000", \ - "0.0073142000, 0.0083658000, 0.0108251000, 0.0163044000, 0.0284802000, 0.0557428000, 0.1171647000", \ - "0.0070629000, 0.0081149000, 0.0104737000, 0.0159610000, 0.0281707000, 0.0555610000, 0.1171599000", \ - "0.0071108000, 0.0081401000, 0.0104880000, 0.0158946000, 0.0278679000, 0.0552039000, 0.1168445000", \ - "0.0075469000, 0.0085501000, 0.0109351000, 0.0161311000, 0.0282180000, 0.0549268000, 0.1165302000", \ - "0.0083269000, 0.0092797000, 0.0114610000, 0.0168540000, 0.0286324000, 0.0554095000, 0.1166066000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011357280, 0.0025797560, 0.0058598010, 0.0133102800, 0.0302337100, 0.0686745300"); - values("0.0046769000, 0.0036669000, 0.0013538000, -0.003919200, -0.015938400, -0.043289000, -0.105513300", \ - "0.0045561000, 0.0035534000, 0.0012431000, -0.004028100, -0.016053500, -0.043406200, -0.105628300", \ - "0.0046300000, 0.0036255000, 0.0013210000, -0.003941800, -0.015948500, -0.043308900, -0.105495400", \ - "0.0043654000, 0.0033520000, 0.0009727000, -0.004266000, -0.016252900, -0.043583600, -0.105802200", \ - "0.0039014000, 0.0029717000, 0.0007214000, -0.004517300, -0.016481300, -0.043806200, -0.105996400", \ - "0.0032331000, 0.0022109000, -0.000102700, -0.005357100, -0.016715000, -0.044006100, -0.106185300", \ - "0.0035400000, 0.0025030000, 0.0002356000, -0.005011100, -0.017017600, -0.044369800, -0.105933600"); - } - related_pin : "S"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011357280, 0.0025797560, 0.0058598010, 0.0133102800, 0.0302337100, 0.0686745300"); - values("0.0107015000, 0.0117377000, 0.0140588000, 0.0193412000, 0.0312758000, 0.0583829000, 0.1198287000", \ - "0.0105364000, 0.0115835000, 0.0139058000, 0.0191965000, 0.0311520000, 0.0582032000, 0.1197373000", \ - "0.0105083000, 0.0115628000, 0.0138999000, 0.0192152000, 0.0311947000, 0.0583092000, 0.1197391000", \ - "0.0100228000, 0.0110704000, 0.0134438000, 0.0187591000, 0.0307661000, 0.0578097000, 0.1193133000", \ - "0.0096288000, 0.0106758000, 0.0130257000, 0.0183693000, 0.0303862000, 0.0575114000, 0.1189970000", \ - "0.0099033000, 0.0109292000, 0.0131618000, 0.0183363000, 0.0303819000, 0.0574951000, 0.1189521000", \ - "0.0106152000, 0.0116761000, 0.0140000000, 0.0193408000, 0.0311705000, 0.0582561000, 0.1195935000"); - } - } - max_capacitance : 0.0686750000; - max_transition : 1.4582830000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0312540000, 0.0354293000, 0.0444537000, 0.0637578000, 0.1064207000, 0.2018555000, 0.4170745000", \ - "0.0355975000, 0.0397757000, 0.0488267000, 0.0683006000, 0.1110573000, 0.2066012000, 0.4220892000", \ - "0.0463639000, 0.0503919000, 0.0593405000, 0.0786007000, 0.1216003000, 0.2171404000, 0.4324571000", \ - "0.0650947000, 0.0707916000, 0.0823136000, 0.1034972000, 0.1459588000, 0.2413060000, 0.4568051000", \ - "0.0869112000, 0.0953640000, 0.1128412000, 0.1452529000, 0.2011350000, 0.2975037000, 0.5129380000", \ - "0.1056656000, 0.1188237000, 0.1443642000, 0.1930325000, 0.2803930000, 0.4186194000, 0.6461872000", \ - "0.1009637000, 0.1213978000, 0.1618904000, 0.2379360000, 0.3694990000, 0.5835253000, 0.9161787000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0731053000, 0.0829277000, 0.1043660000, 0.1518206000, 0.2574669000, 0.4955761000, 1.0343150000", \ - "0.0761859000, 0.0860303000, 0.1076386000, 0.1556051000, 0.2618165000, 0.5001287000, 1.0391482000", \ - "0.0861692000, 0.0957122000, 0.1170745000, 0.1653637000, 0.2725452000, 0.5112351000, 1.0506452000", \ - "0.1134405000, 0.1228393000, 0.1439098000, 0.1907961000, 0.2976629000, 0.5374158000, 1.0772255000", \ - "0.1701774000, 0.1825822000, 0.2087128000, 0.2565319000, 0.3608539000, 0.5997199000, 1.1405675000", \ - "0.2615474000, 0.2805582000, 0.3187662000, 0.3908574000, 0.5154678000, 0.7534552000, 1.2923610000", \ - "0.4050068000, 0.4313315000, 0.4899832000, 0.5981564000, 0.7900506000, 1.1025330000, 1.6427371000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0255447000, 0.0303681000, 0.0414016000, 0.0660436000, 0.1221804000, 0.2497466000, 0.5397114000", \ - "0.0253355000, 0.0302848000, 0.0412657000, 0.0660544000, 0.1222077000, 0.2502200000, 0.5393960000", \ - "0.0264604000, 0.0308511000, 0.0412470000, 0.0658285000, 0.1224161000, 0.2496285000, 0.5398054000", \ - "0.0375062000, 0.0423164000, 0.0523197000, 0.0718858000, 0.1231587000, 0.2503661000, 0.5395660000", \ - "0.0593180000, 0.0659802000, 0.0791964000, 0.1033346000, 0.1477328000, 0.2572731000, 0.5390483000", \ - "0.0982199000, 0.1086938000, 0.1292443000, 0.1652795000, 0.2244748000, 0.3276118000, 0.5652356000", \ - "0.1666714000, 0.1830210000, 0.2145359000, 0.2696086000, 0.3571941000, 0.5014091000, 0.7366260000"); - } - related_pin : "A0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0674947000, 0.0800995000, 0.1080731000, 0.1718746000, 0.3153849000, 0.6401916000, 1.3787577000", \ - "0.0675241000, 0.0799277000, 0.1079560000, 0.1716351000, 0.3154488000, 0.6411834000, 1.3790487000", \ - "0.0672686000, 0.0798308000, 0.1079834000, 0.1715094000, 0.3156187000, 0.6413035000, 1.3798930000", \ - "0.0701203000, 0.0815757000, 0.1081277000, 0.1714738000, 0.3153366000, 0.6403337000, 1.3799860000", \ - "0.0973915000, 0.1091484000, 0.1314961000, 0.1838596000, 0.3164317000, 0.6409508000, 1.3840136000", \ - "0.1474797000, 0.1629826000, 0.1954848000, 0.2544749000, 0.3669851000, 0.6502201000, 1.3787987000", \ - "0.2276335000, 0.2527979000, 0.3004909000, 0.3859232000, 0.5317088000, 0.7793907000, 1.4118082000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0336551000, 0.0380669000, 0.0475758000, 0.0678440000, 0.1118654000, 0.2095805000, 0.4291769000", \ - "0.0380094000, 0.0424542000, 0.0520439000, 0.0723690000, 0.1165346000, 0.2142736000, 0.4341145000", \ - "0.0485458000, 0.0530227000, 0.0621444000, 0.0826708000, 0.1269420000, 0.2247911000, 0.4451386000", \ - "0.0692551000, 0.0748503000, 0.0863754000, 0.1074437000, 0.1512621000, 0.2492519000, 0.4693489000", \ - "0.0937112000, 0.1022183000, 0.1191020000, 0.1504562000, 0.2071196000, 0.3059759000, 0.5261085000", \ - "0.1166041000, 0.1292664000, 0.1550192000, 0.2024585000, 0.2890005000, 0.4255292000, 0.6548702000", \ - "0.1149764000, 0.1341611000, 0.1734245000, 0.2485959000, 0.3815681000, 0.5938569000, 0.9296408000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0779257000, 0.0883975000, 0.1107528000, 0.1607213000, 0.2714526000, 0.5211638000, 1.0867609000", \ - "0.0814745000, 0.0914129000, 0.1141173000, 0.1644065000, 0.2759012000, 0.5259977000, 1.0915334000", \ - "0.0912640000, 0.1013195000, 0.1239584000, 0.1745134000, 0.2866190000, 0.5372855000, 1.1037072000", \ - "0.1188048000, 0.1284454000, 0.1504840000, 0.2004643000, 0.3128238000, 0.5643190000, 1.1313213000", \ - "0.1789581000, 0.1922749000, 0.2176803000, 0.2673947000, 0.3765364000, 0.6274415000, 1.1948316000", \ - "0.2785877000, 0.2965389000, 0.3368097000, 0.4093859000, 0.5364857000, 0.7850622000, 1.3506122000", \ - "0.4370510000, 0.4648165000, 0.5250016000, 0.6357704000, 0.8301823000, 1.1466031000, 1.7090069000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0292036000, 0.0342834000, 0.0454640000, 0.0708531000, 0.1282279000, 0.2583821000, 0.5532623000", \ - "0.0289717000, 0.0340741000, 0.0453587000, 0.0707155000, 0.1282362000, 0.2579966000, 0.5538932000", \ - "0.0293931000, 0.0341019000, 0.0449583000, 0.0705631000, 0.1281787000, 0.2582030000, 0.5538934000", \ - "0.0400502000, 0.0447337000, 0.0544996000, 0.0755127000, 0.1286878000, 0.2586814000, 0.5531673000", \ - "0.0624901000, 0.0689697000, 0.0833244000, 0.1076672000, 0.1512661000, 0.2643656000, 0.5535735000", \ - "0.1027142000, 0.1122992000, 0.1330799000, 0.1691527000, 0.2285323000, 0.3340555000, 0.5781068000", \ - "0.1733690000, 0.1896464000, 0.2202461000, 0.2754848000, 0.3643507000, 0.5125706000, 0.7475827000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0689382000, 0.0818604000, 0.1111127000, 0.1777123000, 0.3265715000, 0.6665583000, 1.4369067000", \ - "0.0689756000, 0.0819525000, 0.1110665000, 0.1771365000, 0.3266258000, 0.6667267000, 1.4373757000", \ - "0.0688950000, 0.0818625000, 0.1111129000, 0.1772471000, 0.3266845000, 0.6655303000, 1.4389544000", \ - "0.0712042000, 0.0830635000, 0.1111239000, 0.1772352000, 0.3269684000, 0.6657906000, 1.4330148000", \ - "0.0979502000, 0.1101037000, 0.1325338000, 0.1871880000, 0.3272432000, 0.6659582000, 1.4397797000", \ - "0.1497473000, 0.1646098000, 0.1964091000, 0.2555878000, 0.3721904000, 0.6720054000, 1.4341970000", \ - "0.2335543000, 0.2585937000, 0.3068640000, 0.3919439000, 0.5370990000, 0.7889808000, 1.4582833000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0383825000, 0.0425846000, 0.0517593000, 0.0717388000, 0.1155579000, 0.2131659000, 0.4330963000", \ - "0.0427494000, 0.0469549000, 0.0561528000, 0.0761708000, 0.1200338000, 0.2175634000, 0.4376610000", \ - "0.0521696000, 0.0562946000, 0.0653957000, 0.0853921000, 0.1292904000, 0.2269270000, 0.4469289000", \ - "0.0695386000, 0.0743920000, 0.0846294000, 0.1056669000, 0.1497095000, 0.2475772000, 0.4675191000", \ - "0.0944896000, 0.1014322000, 0.1154865000, 0.1430771000, 0.1947122000, 0.2950151000, 0.5154119000", \ - "0.1204218000, 0.1312426000, 0.1528353000, 0.1948161000, 0.2690242000, 0.3945262000, 0.6258122000", \ - "0.1282089000, 0.1444416000, 0.1791884000, 0.2450584000, 0.3616316000, 0.5491296000, 0.8482095000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0884979000, 0.0977783000, 0.1186399000, 0.1655102000, 0.2707417000, 0.5084919000, 1.0471248000", \ - "0.0934496000, 0.1028177000, 0.1237943000, 0.1708023000, 0.2761840000, 0.5140232000, 1.0525911000", \ - "0.1060936000, 0.1155314000, 0.1367060000, 0.1837971000, 0.2895705000, 0.5275818000, 1.0661310000", \ - "0.1339171000, 0.1432449000, 0.1642175000, 0.2113665000, 0.3171919000, 0.5557014000, 1.0945156000", \ - "0.1863656000, 0.1974484000, 0.2211239000, 0.2697184000, 0.3754715000, 0.6140680000, 1.1535634000", \ - "0.2726170000, 0.2881273000, 0.3202802000, 0.3845097000, 0.5060261000, 0.7473787000, 1.2871694000", \ - "0.4047286000, 0.4291904000, 0.4794515000, 0.5755850000, 0.7454017000, 1.0419750000, 1.5966902000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0275079000, 0.0326507000, 0.0441275000, 0.0698843000, 0.1277826000, 0.2588332000, 0.5529650000", \ - "0.0274232000, 0.0325637000, 0.0440219000, 0.0699185000, 0.1280111000, 0.2579389000, 0.5539684000", \ - "0.0278035000, 0.0327475000, 0.0439965000, 0.0696270000, 0.1277555000, 0.2587356000, 0.5531559000", \ - "0.0345982000, 0.0391678000, 0.0494069000, 0.0727914000, 0.1284809000, 0.2582831000, 0.5549131000", \ - "0.0525191000, 0.0577969000, 0.0692773000, 0.0930000000, 0.1427417000, 0.2629826000, 0.5536723000", \ - "0.0877393000, 0.0948459000, 0.1104699000, 0.1409851000, 0.1968746000, 0.3055252000, 0.5681651000", \ - "0.1524315000, 0.1635069000, 0.1857629000, 0.2301887000, 0.3058519000, 0.4327525000, 0.6801119000"); - } - related_pin : "S"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0660661000, 0.0787031000, 0.1071836000, 0.1712967000, 0.3149165000, 0.6413010000, 1.3797804000", \ - "0.0660188000, 0.0786007000, 0.1072689000, 0.1711813000, 0.3154167000, 0.6407005000, 1.3797761000", \ - "0.0661024000, 0.0787105000, 0.1071327000, 0.1712181000, 0.3153500000, 0.6408332000, 1.3795040000", \ - "0.0670568000, 0.0792236000, 0.1073273000, 0.1712241000, 0.3153025000, 0.6408316000, 1.3790825000", \ - "0.0824796000, 0.0942047000, 0.1192739000, 0.1781393000, 0.3158889000, 0.6415265000, 1.3803300000", \ - "0.1210711000, 0.1349399000, 0.1640680000, 0.2231486000, 0.3458512000, 0.6483817000, 1.3799070000", \ - "0.2027606000, 0.2216720000, 0.2589169000, 0.3308417000, 0.4631684000, 0.7340553000, 1.4117084000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0834943000, 0.0876220000, 0.0966794000, 0.1160962000, 0.1586738000, 0.2539950000, 0.4695465000", \ - "0.0883532000, 0.0925138000, 0.1015370000, 0.1209690000, 0.1635996000, 0.2588298000, 0.4741669000", \ - "0.1009018000, 0.1051449000, 0.1142280000, 0.1336503000, 0.1762257000, 0.2715773000, 0.4871387000", \ - "0.1297717000, 0.1340504000, 0.1432710000, 0.1627906000, 0.2054690000, 0.3008198000, 0.5162644000", \ - "0.1773706000, 0.1819074000, 0.1914178000, 0.2114136000, 0.2545578000, 0.3500691000, 0.5654806000", \ - "0.2485340000, 0.2531324000, 0.2633990000, 0.2840882000, 0.3279338000, 0.4238775000, 0.6395972000", \ - "0.3562225000, 0.3621625000, 0.3733556000, 0.3965377000, 0.4421787000, 0.5387886000, 0.7549721000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.1195052000, 0.1295136000, 0.1512747000, 0.2003877000, 0.3104474000, 0.5594668000, 1.1241926000", \ - "0.1239039000, 0.1340509000, 0.1557307000, 0.2049866000, 0.3150407000, 0.5642093000, 1.1287042000", \ - "0.1345484000, 0.1447424000, 0.1665540000, 0.2159888000, 0.3262571000, 0.5754991000, 1.1401279000", \ - "0.1546640000, 0.1645059000, 0.1865765000, 0.2360274000, 0.3468130000, 0.5963103000, 1.1612616000", \ - "0.1816197000, 0.1913809000, 0.2134734000, 0.2634030000, 0.3740781000, 0.6237745000, 1.1888911000", \ - "0.2142130000, 0.2242629000, 0.2462489000, 0.2960422000, 0.4069854000, 0.6569082000, 1.2235239000", \ - "0.2457745000, 0.2558591000, 0.2783200000, 0.3280551000, 0.4390841000, 0.6891949000, 1.2549400000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0268310000, 0.0316451000, 0.0423194000, 0.0666179000, 0.1223388000, 0.2496350000, 0.5389155000", \ - "0.0268487000, 0.0316393000, 0.0423348000, 0.0666580000, 0.1225729000, 0.2493516000, 0.5392007000", \ - "0.0268513000, 0.0316171000, 0.0423174000, 0.0667279000, 0.1223525000, 0.2498978000, 0.5396701000", \ - "0.0269915000, 0.0317640000, 0.0424662000, 0.0667264000, 0.1223796000, 0.2493508000, 0.5393126000", \ - "0.0285242000, 0.0333041000, 0.0438337000, 0.0678503000, 0.1229183000, 0.2499950000, 0.5389727000", \ - "0.0322737000, 0.0367731000, 0.0470895000, 0.0701798000, 0.1244620000, 0.2502718000, 0.5393056000", \ - "0.0406206000, 0.0449184000, 0.0547101000, 0.0768431000, 0.1281344000, 0.2519773000, 0.5399743000"); - } - related_pin : "S"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011357300, 0.0025797600, 0.0058598000, 0.0133103000, 0.0302337000, 0.0686745000"); - values("0.0691199000, 0.0819031000, 0.1109873000, 0.1772930000, 0.3271440000, 0.6663284000, 1.4346515000", \ - "0.0691228000, 0.0819999000, 0.1110136000, 0.1772488000, 0.3268149000, 0.6659377000, 1.4338728000", \ - "0.0691125000, 0.0819993000, 0.1110203000, 0.1770491000, 0.3268155000, 0.6672493000, 1.4342338000", \ - "0.0691104000, 0.0820039000, 0.1112018000, 0.1771886000, 0.3269048000, 0.6664900000, 1.4339224000", \ - "0.0695168000, 0.0823657000, 0.1118943000, 0.1774444000, 0.3271536000, 0.6680174000, 1.4362560000", \ - "0.0700946000, 0.0828999000, 0.1118294000, 0.1776612000, 0.3272389000, 0.6665173000, 1.4359341000", \ - "0.0737176000, 0.0859576000, 0.1139654000, 0.1786800000, 0.3276585000, 0.6664785000, 1.4338826000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__mux2i_2") { - leakage_power () { - value : 0.0033675000; - when : "!A0&!A1&S"; - } - leakage_power () { - value : 0.0011944000; - when : "!A0&!A1&!S"; - } - leakage_power () { - value : 0.0058200000; - when : "!A0&A1&S"; - } - leakage_power () { - value : 0.0018432000; - when : "!A0&A1&!S"; - } - leakage_power () { - value : 0.0039098000; - when : "A0&!A1&S"; - } - leakage_power () { - value : 0.0088905000; - when : "A0&!A1&!S"; - } - leakage_power () { - value : 0.0047783000; - when : "A0&A1&S"; - } - leakage_power () { - value : 0.0040437000; - when : "A0&A1&!S"; - } - area : 13.763200000; - cell_footprint : "sky130_fd_sc_hd__mux2i"; - cell_leakage_power : 0.0042309330; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A0") { - capacitance : 0.0043320000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040980000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0089642000, 0.0089596000, 0.0089492000, 0.0089490000, 0.0089484000, 0.0089472000, 0.0089443000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006273200, -0.006353800, -0.006539600, -0.006544000, -0.006554100, -0.006577500, -0.006631300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045670000; - } - pin ("A1") { - capacitance : 0.0041860000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039370000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0093874000, 0.0093828000, 0.0093722000, 0.0093713000, 0.0093695000, 0.0093651000, 0.0093551000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006311200, -0.006353800, -0.006452000, -0.006441900, -0.006418700, -0.006365000, -0.006241400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044350000; - } - pin ("S") { - capacitance : 0.0064110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0061070000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0218201000, 0.0216464000, 0.0212459000, 0.0213467000, 0.0215790000, 0.0221146000, 0.0233490000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000222600, -0.000348700, -0.000639300, -0.000558100, -0.000371100, 5.9954033e-05, 0.0010536000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0067160000; - } - pin ("Y") { - direction : "output"; - function : "(!A0&!S) | (!A1&S)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012248250, 0.0030003910, 0.0073499050, 0.0180046900, 0.0441051700, 0.1080422000"); - values("0.0106771000, 0.0095500000, 0.0067924000, -0.000106400, -0.017176000, -0.059291200, -0.162678900", \ - "0.0106885000, 0.0095747000, 0.0068187000, -1.59000e-05, -0.017011400, -0.059067300, -0.162417800", \ - "0.0106097000, 0.0095011000, 0.0067782000, 1.330000e-05, -0.016913000, -0.058875200, -0.162190300", \ - "0.0101994000, 0.0091054000, 0.0063989000, -0.000337200, -0.017161400, -0.059005700, -0.162270000", \ - "0.0100403000, 0.0089212000, 0.0061619000, -0.000678100, -0.017492900, -0.059205200, -0.162310900", \ - "0.0106931000, 0.0095182000, 0.0066943000, -0.000228700, -0.017479200, -0.059311500, -0.162432600", \ - "0.0130997000, 0.0118720000, 0.0088201000, 0.0014552000, -0.016028200, -0.058750700, -0.162105600"); - } - related_pin : "A0"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012248250, 0.0030003910, 0.0073499050, 0.0180046900, 0.0441051700, 0.1080422000"); - values("0.0170511000, 0.0182786000, 0.0212365000, 0.0283983000, 0.0455790000, 0.0874538000, 0.1896840000", \ - "0.0165789000, 0.0178143000, 0.0208093000, 0.0280334000, 0.0454167000, 0.0873751000, 0.1896102000", \ - "0.0158834000, 0.0171385000, 0.0201558000, 0.0274124000, 0.0449682000, 0.0871728000, 0.1895302000", \ - "0.0154262000, 0.0166569000, 0.0195004000, 0.0267670000, 0.0443109000, 0.0866992000, 0.1892629000", \ - "0.0152710000, 0.0164573000, 0.0193812000, 0.0265044000, 0.0437440000, 0.0860435000, 0.1887854000", \ - "0.0167966000, 0.0180067000, 0.0209861000, 0.0272307000, 0.0447521000, 0.0857268000, 0.1883594000", \ - "0.0203688000, 0.0216089000, 0.0241199000, 0.0297301000, 0.0462168000, 0.0878029000, 0.1885534000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012248250, 0.0030003910, 0.0073499050, 0.0180046900, 0.0441051700, 0.1080422000"); - values("0.0111121000, 0.0099816000, 0.0072103000, 0.0002958000, -0.016794400, -0.058927600, -0.162363300", \ - "0.0110768000, 0.0099591000, 0.0071998000, 0.0003377000, -0.016698700, -0.058766700, -0.162177000", \ - "0.0109335000, 0.0098220000, 0.0070892000, 0.0002860000, -0.016661200, -0.058649300, -0.162046600", \ - "0.0104700000, 0.0093898000, 0.0066777000, -0.000100000, -0.016951100, -0.058827900, -0.162063800", \ - "0.0102547000, 0.0091127000, 0.0062907000, -0.000532300, -0.017294600, -0.059081000, -0.162217800", \ - "0.0106885000, 0.0093730000, 0.0066707000, -0.000283400, -0.017632700, -0.059496100, -0.162538300", \ - "0.0126778000, 0.0114781000, 0.0084207000, 0.0011279000, -0.016297600, -0.058177500, -0.162402300"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012248250, 0.0030003910, 0.0073499050, 0.0180046900, 0.0441051700, 0.1080422000"); - values("0.0159827000, 0.0172133000, 0.0201943000, 0.0273480000, 0.0445740000, 0.0864590000, 0.1887104000", \ - "0.0154181000, 0.0166701000, 0.0196918000, 0.0269932000, 0.0443871000, 0.0863991000, 0.1886911000", \ - "0.0147387000, 0.0159879000, 0.0190267000, 0.0263464000, 0.0439796000, 0.0862528000, 0.1885991000", \ - "0.0144042000, 0.0155455000, 0.0184091000, 0.0255714000, 0.0431662000, 0.0857351000, 0.1882475000", \ - "0.0141507000, 0.0153499000, 0.0182625000, 0.0253630000, 0.0425930000, 0.0849085000, 0.1878233000", \ - "0.0151359000, 0.0162714000, 0.0190790000, 0.0259587000, 0.0430118000, 0.0842784000, 0.1872286000", \ - "0.0184760000, 0.0196033000, 0.0223409000, 0.0284429000, 0.0449614000, 0.0863752000, 0.1874462000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012248250, 0.0030003910, 0.0073499050, 0.0180046900, 0.0441051700, 0.1080422000"); - values("0.0116832000, 0.0105570000, 0.0077496000, 0.0008096000, -0.016327300, -0.058489000, -0.161905200", \ - "0.0115903000, 0.0104546000, 0.0076460000, 0.0007114000, -0.016413800, -0.058590300, -0.162014500", \ - "0.0116742000, 0.0105533000, 0.0077413000, 0.0008037000, -0.016325100, -0.058464700, -0.161897100", \ - "0.0113413000, 0.0102093000, 0.0074197000, 0.0005090000, -0.016586000, -0.058738200, -0.162151000", \ - "0.0107650000, 0.0096468000, 0.0068715000, -6.80000e-06, -0.017047900, -0.059134800, -0.162523900", \ - "0.0100059000, 0.0088751000, 0.0060276000, -0.000681900, -0.017014500, -0.059060100, -0.162474500", \ - "0.0107998000, 0.0096366000, 0.0068720000, -0.000167100, -0.017283900, -0.059450400, -0.161877100"); - } - related_pin : "S"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012248250, 0.0030003910, 0.0073499050, 0.0180046900, 0.0441051700, 0.1080422000"); - values("0.0214879000, 0.0226572000, 0.0255582000, 0.0325690000, 0.0496855000, 0.0913561000, 0.1935654000", \ - "0.0213337000, 0.0225109000, 0.0253819000, 0.0324191000, 0.0495404000, 0.0912673000, 0.1934683000", \ - "0.0211525000, 0.0223541000, 0.0252539000, 0.0323083000, 0.0494737000, 0.0912272000, 0.1935342000", \ - "0.0205752000, 0.0217557000, 0.0246701000, 0.0317378000, 0.0488810000, 0.0907087000, 0.1929191000", \ - "0.0202287000, 0.0214328000, 0.0243281000, 0.0314271000, 0.0486524000, 0.0904451000, 0.1926772000", \ - "0.0202634000, 0.0212863000, 0.0239946000, 0.0311030000, 0.0482658000, 0.0901636000, 0.1924772000", \ - "0.0211970000, 0.0224023000, 0.0252718000, 0.0323212000, 0.0492138000, 0.0911415000, 0.1931759000"); - } - } - max_capacitance : 0.1080420000; - max_transition : 1.4709000000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.0367435000, 0.0392785000, 0.0452477000, 0.0592712000, 0.0924237000, 0.1718476000, 0.3645260000", \ - "0.0411876000, 0.0436701000, 0.0497028000, 0.0638543000, 0.0970493000, 0.1765869000, 0.3693746000", \ - "0.0514547000, 0.0539500000, 0.0598966000, 0.0740524000, 0.1075190000, 0.1871860000, 0.3803537000", \ - "0.0718869000, 0.0752130000, 0.0827309000, 0.0984566000, 0.1317775000, 0.2114657000, 0.4046001000", \ - "0.0950952000, 0.0998810000, 0.1109579000, 0.1347925000, 0.1824868000, 0.2675107000, 0.4597345000", \ - "0.1137605000, 0.1211691000, 0.1386788000, 0.1748576000, 0.2467898000, 0.3737014000, 0.5887089000", \ - "0.1050287000, 0.1163619000, 0.1429167000, 0.2002128000, 0.3094634000, 0.5066603000, 0.8324781000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.1006992000, 0.1077898000, 0.1246389000, 0.1654709000, 0.2631524000, 0.5000471000, 1.0787345000", \ - "0.1028027000, 0.1098264000, 0.1272811000, 0.1684193000, 0.2667901000, 0.5050532000, 1.0847682000", \ - "0.1108691000, 0.1182523000, 0.1354687000, 0.1770510000, 0.2763047000, 0.5149121000, 1.0945695000", \ - "0.1369350000, 0.1440863000, 0.1599949000, 0.2015743000, 0.3006383000, 0.5401598000, 1.1208118000", \ - "0.1995899000, 0.2076977000, 0.2260576000, 0.2665141000, 0.3637577000, 0.6024854000, 1.1840808000", \ - "0.3031947000, 0.3151803000, 0.3417532000, 0.4013758000, 0.5164140000, 0.7528129000, 1.3323446000", \ - "0.4681813000, 0.4864218000, 0.5286999000, 0.6149148000, 0.7886995000, 1.1011390000, 1.6845830000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.0318561000, 0.0348281000, 0.0422119000, 0.0600365000, 0.1043707000, 0.2125931000, 0.4783918000", \ - "0.0317458000, 0.0347761000, 0.0421560000, 0.0601449000, 0.1042170000, 0.2127209000, 0.4784212000", \ - "0.0322970000, 0.0351277000, 0.0420747000, 0.0598361000, 0.1042074000, 0.2130884000, 0.4785096000", \ - "0.0436273000, 0.0465714000, 0.0528931000, 0.0669031000, 0.1061661000, 0.2128898000, 0.4790166000", \ - "0.0666167000, 0.0706081000, 0.0798483000, 0.0982086000, 0.1365391000, 0.2237642000, 0.4783212000", \ - "0.1088730000, 0.1149982000, 0.1282829000, 0.1566940000, 0.2094465000, 0.3031288000, 0.5141992000", \ - "0.1837531000, 0.1957190000, 0.2153848000, 0.2531741000, 0.3330482000, 0.4649187000, 0.6995762000"); - } - related_pin : "A0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.0955617000, 0.1046406000, 0.1268375000, 0.1820405000, 0.3162769000, 0.6418094000, 1.4405883000", \ - "0.0952649000, 0.1044548000, 0.1267267000, 0.1816930000, 0.3149774000, 0.6446649000, 1.4415251000", \ - "0.0953726000, 0.1046295000, 0.1267479000, 0.1815770000, 0.3162394000, 0.6424636000, 1.4407688000", \ - "0.0959295000, 0.1046967000, 0.1265081000, 0.1816522000, 0.3150012000, 0.6438465000, 1.4407797000", \ - "0.1216920000, 0.1287820000, 0.1459406000, 0.1919767000, 0.3159031000, 0.6418553000, 1.4404320000", \ - "0.1763118000, 0.1875794000, 0.2100568000, 0.2607484000, 0.3645380000, 0.6512482000, 1.4416730000", \ - "0.2645083000, 0.2805159000, 0.3163362000, 0.3874906000, 0.5250296000, 0.7789190000, 1.4664171000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.0361737000, 0.0385997000, 0.0443005000, 0.0576247000, 0.0890511000, 0.1641690000, 0.3467383000", \ - "0.0404586000, 0.0428644000, 0.0485858000, 0.0620448000, 0.0936436000, 0.1687434000, 0.3513053000", \ - "0.0508619000, 0.0532167000, 0.0589249000, 0.0722656000, 0.1039062000, 0.1790637000, 0.3615413000", \ - "0.0705933000, 0.0733960000, 0.0806984000, 0.0963037000, 0.1279789000, 0.2033213000, 0.3860390000", \ - "0.0927670000, 0.0976174000, 0.1085822000, 0.1320302000, 0.1777363000, 0.2592944000, 0.4416891000", \ - "0.1092355000, 0.1160550000, 0.1330879000, 0.1683133000, 0.2389818000, 0.3633800000, 0.5716417000", \ - "0.0941040000, 0.1055969000, 0.1316856000, 0.1864668000, 0.2938607000, 0.4834476000, 0.8035093000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.1001276000, 0.1072520000, 0.1242201000, 0.1656137000, 0.2643970000, 0.5040272000, 1.0880092000", \ - "0.1020168000, 0.1092707000, 0.1265786000, 0.1683873000, 0.2680303000, 0.5080636000, 1.0928610000", \ - "0.1105895000, 0.1174347000, 0.1352803000, 0.1773442000, 0.2776144000, 0.5187875000, 1.1045308000", \ - "0.1374410000, 0.1440158000, 0.1608061000, 0.2019952000, 0.3021767000, 0.5441841000, 1.1313627000", \ - "0.2012061000, 0.2091874000, 0.2274822000, 0.2684834000, 0.3663520000, 0.6074857000, 1.1945431000", \ - "0.3072055000, 0.3194297000, 0.3468636000, 0.4067844000, 0.5228107000, 0.7593487000, 1.3465787000", \ - "0.4817986000, 0.4996913000, 0.5406966000, 0.6282003000, 0.8038266000, 1.1162068000, 1.7028980000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.0307966000, 0.0335834000, 0.0405203000, 0.0572737000, 0.0986715000, 0.2001899000, 0.4491958000", \ - "0.0307033000, 0.0334806000, 0.0403777000, 0.0572275000, 0.0987137000, 0.2003907000, 0.4493658000", \ - "0.0312209000, 0.0338481000, 0.0403534000, 0.0568573000, 0.0986078000, 0.2002104000, 0.4499734000", \ - "0.0426489000, 0.0457548000, 0.0519207000, 0.0646410000, 0.1009408000, 0.2004089000, 0.4494381000", \ - "0.0660577000, 0.0697953000, 0.0777193000, 0.0961246000, 0.1325143000, 0.2131683000, 0.4493716000", \ - "0.1087224000, 0.1140303000, 0.1262739000, 0.1527881000, 0.2016709000, 0.2940548000, 0.4886168000", \ - "0.1830518000, 0.1918535000, 0.2114216000, 0.2523268000, 0.3266282000, 0.4605281000, 0.6785463000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.0935433000, 0.1025381000, 0.1249932000, 0.1799783000, 0.3142673000, 0.6423077000, 1.4444210000", \ - "0.0934886000, 0.1024697000, 0.1252376000, 0.1800119000, 0.3143973000, 0.6436402000, 1.4462400000", \ - "0.0930792000, 0.1025343000, 0.1249763000, 0.1801139000, 0.3144118000, 0.6424346000, 1.4507320000", \ - "0.0940936000, 0.1028420000, 0.1246789000, 0.1798851000, 0.3142507000, 0.6424818000, 1.4470324000", \ - "0.1195445000, 0.1267244000, 0.1440753000, 0.1904252000, 0.3151345000, 0.6429776000, 1.4452518000", \ - "0.1747643000, 0.1848706000, 0.2088558000, 0.2588031000, 0.3615982000, 0.6513519000, 1.4512374000", \ - "0.2656341000, 0.2797533000, 0.3142477000, 0.3858805000, 0.5226940000, 0.7766116000, 1.4708995000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.0407157000, 0.0431225000, 0.0489227000, 0.0624055000, 0.0938466000, 0.1688603000, 0.3512614000", \ - "0.0447135000, 0.0471392000, 0.0529412000, 0.0664290000, 0.0978886000, 0.1729872000, 0.3551249000", \ - "0.0528990000, 0.0553090000, 0.0611084000, 0.0747137000, 0.1062727000, 0.1813891000, 0.3635602000", \ - "0.0681215000, 0.0709518000, 0.0775459000, 0.0924796000, 0.1247416000, 0.2000133000, 0.3823108000", \ - "0.0892360000, 0.0933037000, 0.1024612000, 0.1223747000, 0.1619246000, 0.2423602000, 0.4254616000", \ - "0.1063924000, 0.1128122000, 0.1272642000, 0.1580147000, 0.2162792000, 0.3214151000, 0.5227994000", \ - "0.0935519000, 0.1036370000, 0.1265550000, 0.1757834000, 0.2683574000, 0.4290549000, 0.7002710000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.1180070000, 0.1251365000, 0.1420039000, 0.1823434000, 0.2795701000, 0.5165286000, 1.0946971000", \ - "0.1227462000, 0.1297013000, 0.1467626000, 0.1874086000, 0.2848253000, 0.5217114000, 1.1003242000", \ - "0.1348537000, 0.1421358000, 0.1591555000, 0.1998115000, 0.2976276000, 0.5349917000, 1.1135871000", \ - "0.1613095000, 0.1681087000, 0.1851602000, 0.2259641000, 0.3238777000, 0.5615906000, 1.1408005000", \ - "0.2153341000, 0.2230020000, 0.2413998000, 0.2824433000, 0.3802904000, 0.6184632000, 1.1975390000", \ - "0.3125007000, 0.3222349000, 0.3455462000, 0.3972465000, 0.5073992000, 0.7473880000, 1.3289605000", \ - "0.4806995000, 0.4953388000, 0.5295577000, 0.6028887000, 0.7484713000, 1.0343244000, 1.6269909000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.0317634000, 0.0344881000, 0.0413633000, 0.0580629000, 0.0989882000, 0.2002803000, 0.4493320000", \ - "0.0316639000, 0.0345131000, 0.0413299000, 0.0580406000, 0.0990389000, 0.2003598000, 0.4499974000", \ - "0.0321924000, 0.0349635000, 0.0416451000, 0.0581341000, 0.0990309000, 0.2003849000, 0.4499978000", \ - "0.0385201000, 0.0411509000, 0.0474626000, 0.0623379000, 0.1010270000, 0.2002914000, 0.4502368000", \ - "0.0562386000, 0.0591455000, 0.0663647000, 0.0819916000, 0.1178127000, 0.2075385000, 0.4500837000", \ - "0.0926604000, 0.0967757000, 0.1062405000, 0.1261613000, 0.1664154000, 0.2540008000, 0.4707391000", \ - "0.1602132000, 0.1668069000, 0.1804140000, 0.2092138000, 0.2645165000, 0.3685847000, 0.5856551000"); - } - related_pin : "S"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.0979320000, 0.1069469000, 0.1290442000, 0.1831073000, 0.3155388000, 0.6424585000, 1.4407288000", \ - "0.0979507000, 0.1070527000, 0.1289490000, 0.1829428000, 0.3158201000, 0.6414688000, 1.4412841000", \ - "0.0979499000, 0.1068772000, 0.1290255000, 0.1830988000, 0.3156768000, 0.6415501000, 1.4409317000", \ - "0.0982707000, 0.1073076000, 0.1292425000, 0.1831400000, 0.3158850000, 0.6416906000, 1.4412890000", \ - "0.1103967000, 0.1185151000, 0.1385757000, 0.1890676000, 0.3167548000, 0.6428520000, 1.4410887000", \ - "0.1484944000, 0.1572967000, 0.1782681000, 0.2266309000, 0.3421110000, 0.6481097000, 1.4463140000", \ - "0.2315848000, 0.2424509000, 0.2665197000, 0.3201273000, 0.4413284000, 0.7213899000, 1.4582270000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.1162440000, 0.1189142000, 0.1253287000, 0.1398952000, 0.1736628000, 0.2533444000, 0.4461744000", \ - "0.1208268000, 0.1235059000, 0.1299154000, 0.1444896000, 0.1782362000, 0.2579452000, 0.4507813000", \ - "0.1334529000, 0.1362020000, 0.1425153000, 0.1570729000, 0.1908657000, 0.2705499000, 0.4633311000", \ - "0.1644389000, 0.1670370000, 0.1734747000, 0.1881111000, 0.2218908000, 0.3016397000, 0.4945639000", \ - "0.2294222000, 0.2321576000, 0.2386966000, 0.2536810000, 0.2877705000, 0.3676495000, 0.5604395000", \ - "0.3338085000, 0.3369049000, 0.3440647000, 0.3599291000, 0.3948873000, 0.4756839000, 0.6689352000", \ - "0.4994273000, 0.5030248000, 0.5114723000, 0.5292580000, 0.5671041000, 0.6500159000, 0.8440702000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.1468832000, 0.1540877000, 0.1708611000, 0.2112522000, 0.3091207000, 0.5476563000, 1.1313688000", \ - "0.1518438000, 0.1585210000, 0.1754460000, 0.2160566000, 0.3140073000, 0.5527925000, 1.1362470000", \ - "0.1624775000, 0.1694787000, 0.1862007000, 0.2267643000, 0.3249307000, 0.5639846000, 1.1477257000", \ - "0.1838889000, 0.1910980000, 0.2079032000, 0.2486779000, 0.3469796000, 0.5860479000, 1.1700333000", \ - "0.2135002000, 0.2206853000, 0.2375920000, 0.2782613000, 0.3768022000, 0.6160804000, 1.2002003000", \ - "0.2468683000, 0.2536219000, 0.2704987000, 0.3113794000, 0.4099961000, 0.6496099000, 1.2340697000", \ - "0.2684698000, 0.2757768000, 0.2926676000, 0.3337951000, 0.4322846000, 0.6717946000, 1.2567380000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.0353675000, 0.0384423000, 0.0454822000, 0.0629508000, 0.1060235000, 0.2134647000, 0.4783064000", \ - "0.0353505000, 0.0384357000, 0.0454956000, 0.0629714000, 0.1060969000, 0.2133653000, 0.4786930000", \ - "0.0354367000, 0.0383331000, 0.0455009000, 0.0630080000, 0.1059754000, 0.2132442000, 0.4780385000", \ - "0.0354259000, 0.0384834000, 0.0455747000, 0.0630369000, 0.1060999000, 0.2133955000, 0.4788058000", \ - "0.0368357000, 0.0396182000, 0.0466371000, 0.0638853000, 0.1066171000, 0.2133144000, 0.4782141000", \ - "0.0419260000, 0.0446661000, 0.0513067000, 0.0678814000, 0.1095087000, 0.2151525000, 0.4788059000", \ - "0.0529640000, 0.0558265000, 0.0624816000, 0.0777262000, 0.1166658000, 0.2183734000, 0.4798463000"); - } - related_pin : "S"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012248200, 0.0030003900, 0.0073499000, 0.0180047000, 0.0441052000, 0.1080420000"); - values("0.0933337000, 0.1025714000, 0.1248160000, 0.1796670000, 0.3140725000, 0.6416375000, 1.4492910000", \ - "0.0934919000, 0.1026615000, 0.1251978000, 0.1800859000, 0.3140533000, 0.6425702000, 1.4468882000", \ - "0.0936135000, 0.1028133000, 0.1250349000, 0.1800226000, 0.3143969000, 0.6448608000, 1.4502731000", \ - "0.0935349000, 0.1027775000, 0.1251498000, 0.1800227000, 0.3142435000, 0.6419517000, 1.4444629000", \ - "0.0942098000, 0.1033066000, 0.1254375000, 0.1802308000, 0.3146863000, 0.6422927000, 1.4456490000", \ - "0.0949966000, 0.1039857000, 0.1260816000, 0.1807013000, 0.3144759000, 0.6447634000, 1.4488689000", \ - "0.0993629000, 0.1081536000, 0.1293901000, 0.1825031000, 0.3150397000, 0.6432463000, 1.4480278000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__mux2i_4") { - leakage_power () { - value : 0.0042041000; - when : "!A0&!A1&S"; - } - leakage_power () { - value : 0.0024342000; - when : "!A0&!A1&!S"; - } - leakage_power () { - value : 0.0088269000; - when : "!A0&A1&S"; - } - leakage_power () { - value : 0.0037733000; - when : "!A0&A1&!S"; - } - leakage_power () { - value : 0.0057088000; - when : "A0&!A1&S"; - } - leakage_power () { - value : 0.0060484000; - when : "A0&!A1&!S"; - } - leakage_power () { - value : 0.0065226000; - when : "A0&A1&S"; - } - leakage_power () { - value : 0.0049325000; - when : "A0&A1&!S"; - } - area : 22.521600000; - cell_footprint : "sky130_fd_sc_hd__mux2i"; - cell_leakage_power : 0.0053063500; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A0") { - capacitance : 0.0081010000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0076160000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0188478000, 0.0188385000, 0.0188173000, 0.0188121000, 0.0188001000, 0.0187726000, 0.0187091000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.011318500, -0.011468200, -0.011813200, -0.011817800, -0.011828400, -0.011852900, -0.011909400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0085850000; - } - pin ("A1") { - capacitance : 0.0082660000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078090000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0177537000, 0.0177423000, 0.0177161000, 0.0177148000, 0.0177117000, 0.0177046000, 0.0176883000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.012904800, -0.012996000, -0.013206400, -0.013193900, -0.013165300, -0.013099300, -0.012947100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087230000; - } - pin ("S") { - capacitance : 0.0111250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0106520000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0371004000, 0.0369125000, 0.0364795000, 0.0365683000, 0.0367729000, 0.0372446000, 0.0383318000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001494400, -0.001611300, -0.001880700, -0.001841600, -0.001751300, -0.001543400, -0.001064100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0115980000; - } - pin ("Y") { - direction : "output"; - function : "(!A0&!S) | (!A1&S)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013461740, 0.0036243690, 0.0097580630, 0.0262721100, 0.0707336500, 0.1904396000"); - values("0.0187792000, 0.0174957000, 0.0139610000, 0.0043742000, -0.021902400, -0.093466200, -0.287113800", \ - "0.0187875000, 0.0175035000, 0.0140137000, 0.0045129000, -0.021614700, -0.093078500, -0.286566800", \ - "0.0187719000, 0.0174899000, 0.0140114000, 0.0045612000, -0.021429900, -0.092700900, -0.286028100", \ - "0.0179763000, 0.0167192000, 0.0132872000, 0.0039084000, -0.021953400, -0.092927700, -0.286129500", \ - "0.0178536000, 0.0165430000, 0.0129517000, 0.0033138000, -0.022662400, -0.093498500, -0.286286500", \ - "0.0189026000, 0.0175356000, 0.0138796000, 0.0040321000, -0.022603900, -0.094124100, -0.286779400", \ - "0.0233156000, 0.0218348000, 0.0178839000, 0.0074402000, -0.019922400, -0.091559300, -0.286185800"); - } - related_pin : "A0"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013461740, 0.0036243690, 0.0097580630, 0.0262721100, 0.0707336500, 0.1904396000"); - values("0.0279325000, 0.0294078000, 0.0332955000, 0.0435309000, 0.0704636000, 0.1418621000, 0.3333275000", \ - "0.0269835000, 0.0284617000, 0.0324114000, 0.0427810000, 0.0700970000, 0.1416929000, 0.3333172000", \ - "0.0256977000, 0.0271800000, 0.0309796000, 0.0414254000, 0.0689514000, 0.1411437000, 0.3331771000", \ - "0.0249071000, 0.0263363000, 0.0300516000, 0.0401190000, 0.0675057000, 0.1402674000, 0.3326510000", \ - "0.0245402000, 0.0259201000, 0.0296300000, 0.0396346000, 0.0665321000, 0.1386114000, 0.3315547000", \ - "0.0263746000, 0.0277259000, 0.0312722000, 0.0410165000, 0.0670965000, 0.1382388000, 0.3306519000", \ - "0.0320541000, 0.0333368000, 0.0367142000, 0.0458090000, 0.0711371000, 0.1412868000, 0.3307278000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013461740, 0.0036243690, 0.0097580630, 0.0262721100, 0.0707336500, 0.1904396000"); - values("0.0199213000, 0.0186152000, 0.0150619000, 0.0053631000, -0.021043900, -0.092748700, -0.286438200", \ - "0.0198595000, 0.0185589000, 0.0150676000, 0.0054539000, -0.020802700, -0.092374100, -0.285995300", \ - "0.0196147000, 0.0183293000, 0.0148439000, 0.0053407000, -0.020784000, -0.092157100, -0.285646000", \ - "0.0187060000, 0.0174299000, 0.0140616000, 0.0046189000, -0.021372000, -0.092447500, -0.285714300", \ - "0.0183698000, 0.0171144000, 0.0135941000, 0.0037046000, -0.021888000, -0.093021300, -0.285888500", \ - "0.0192267000, 0.0178494000, 0.0141896000, 0.0043476000, -0.022823700, -0.093917500, -0.286389800", \ - "0.0233582000, 0.0218777000, 0.0179283000, 0.0074582000, -0.019706600, -0.090931600, -0.286279800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013461740, 0.0036243690, 0.0097580630, 0.0262721100, 0.0707336500, 0.1904396000"); - values("0.0300106000, 0.0314531000, 0.0352762000, 0.0454583000, 0.0723184000, 0.1437748000, 0.3352698000", \ - "0.0291091000, 0.0305734000, 0.0344833000, 0.0448059000, 0.0720094000, 0.1435471000, 0.3351355000", \ - "0.0278997000, 0.0293844000, 0.0332816000, 0.0435741000, 0.0709727000, 0.1430817000, 0.3352085000", \ - "0.0268543000, 0.0283052000, 0.0320937000, 0.0423255000, 0.0697958000, 0.1422123000, 0.3345509000", \ - "0.0265734000, 0.0279369000, 0.0316395000, 0.0417604000, 0.0686950000, 0.1409189000, 0.3338679000", \ - "0.0287903000, 0.0301525000, 0.0332059000, 0.0434762000, 0.0697880000, 0.1403298000, 0.3325860000", \ - "0.0345284000, 0.0358545000, 0.0387106000, 0.0476068000, 0.0731143000, 0.1435092000, 0.3328612000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013461740, 0.0036243690, 0.0097580630, 0.0262721100, 0.0707336500, 0.1904396000"); - values("0.0218739000, 0.0205677000, 0.0170238000, 0.0074156000, -0.018973300, -0.090706400, -0.284336600", \ - "0.0218631000, 0.0205348000, 0.0169938000, 0.0073923000, -0.018980900, -0.090724300, -0.284370900", \ - "0.0219291000, 0.0206236000, 0.0171351000, 0.0075125000, -0.018866500, -0.090606700, -0.284258900", \ - "0.0214923000, 0.0201954000, 0.0166537000, 0.0070853000, -0.019260000, -0.090972700, -0.284619500", \ - "0.0207456000, 0.0194260000, 0.0159270000, 0.0064070000, -0.019849600, -0.091493700, -0.285156400", \ - "0.0193025000, 0.0180696000, 0.0149334000, 0.0061266000, -0.019871100, -0.091421700, -0.284995600", \ - "0.0208093000, 0.0194446000, 0.0158617000, 0.0060210000, -0.020633500, -0.092125300, -0.284537600"); - } - related_pin : "S"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013461740, 0.0036243690, 0.0097580630, 0.0262721100, 0.0707336500, 0.1904396000"); - values("0.0396886000, 0.0410714000, 0.0447364000, 0.0545718000, 0.0808615000, 0.1517473000, 0.3428176000", \ - "0.0395605000, 0.0409087000, 0.0445863000, 0.0544623000, 0.0808385000, 0.1516937000, 0.3429116000", \ - "0.0392762000, 0.0406218000, 0.0443150000, 0.0542274000, 0.0806859000, 0.1519348000, 0.3428407000", \ - "0.0385708000, 0.0399611000, 0.0436247000, 0.0535631000, 0.0802050000, 0.1513261000, 0.3424661000", \ - "0.0381861000, 0.0395730000, 0.0432555000, 0.0532285000, 0.0799660000, 0.1512838000, 0.3424249000", \ - "0.0375539000, 0.0389420000, 0.0426431000, 0.0526655000, 0.0792498000, 0.1506397000, 0.3419635000", \ - "0.0394044000, 0.0407841000, 0.0444063000, 0.0543366000, 0.0805434000, 0.1515644000, 0.3426099000"); - } - } - max_capacitance : 0.1904400000; - max_transition : 1.4686160000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0333925000, 0.0350213000, 0.0391215000, 0.0497507000, 0.0766623000, 0.1464078000, 0.3316810000", \ - "0.0377216000, 0.0392818000, 0.0434750000, 0.0541577000, 0.0811079000, 0.1509291000, 0.3363459000", \ - "0.0483136000, 0.0498368000, 0.0538682000, 0.0644144000, 0.0912435000, 0.1612747000, 0.3468296000", \ - "0.0672244000, 0.0692651000, 0.0747315000, 0.0877060000, 0.1153968000, 0.1847384000, 0.3704292000", \ - "0.0874012000, 0.0906375000, 0.0988846000, 0.1185150000, 0.1601022000, 0.2408883000, 0.4261417000", \ - "0.0992545000, 0.1041561000, 0.1167582000, 0.1467196000, 0.2115195000, 0.3348576000, 0.5551082000", \ - "0.0766168000, 0.0841121000, 0.1032633000, 0.1488824000, 0.2483259000, 0.4375335000, 0.7754174000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0987302000, 0.1033645000, 0.1164523000, 0.1496578000, 0.2365148000, 0.4666548000, 1.0836479000", \ - "0.1008442000, 0.1057114000, 0.1184311000, 0.1523068000, 0.2399122000, 0.4709286000, 1.0875433000", \ - "0.1089933000, 0.1136261000, 0.1262277000, 0.1600718000, 0.2487758000, 0.4809746000, 1.0989321000", \ - "0.1348995000, 0.1393099000, 0.1514608000, 0.1846679000, 0.2728066000, 0.5058116000, 1.1251201000", \ - "0.1981237000, 0.2035668000, 0.2173982000, 0.2507774000, 0.3372073000, 0.5681822000, 1.1908595000", \ - "0.3028139000, 0.3107526000, 0.3313790000, 0.3818011000, 0.4893415000, 0.7199926000, 1.3379545000", \ - "0.4764614000, 0.4881899000, 0.5182728000, 0.5927245000, 0.7538111000, 1.0704575000, 1.6850982000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0296152000, 0.0313744000, 0.0360278000, 0.0487999000, 0.0836598000, 0.1780080000, 0.4335491000", \ - "0.0294030000, 0.0311953000, 0.0359179000, 0.0487694000, 0.0836703000, 0.1783343000, 0.4333121000", \ - "0.0300808000, 0.0316913000, 0.0360808000, 0.0484106000, 0.0834025000, 0.1782950000, 0.4332182000", \ - "0.0407530000, 0.0426843000, 0.0473285000, 0.0581235000, 0.0873852000, 0.1778890000, 0.4336381000", \ - "0.0624797000, 0.0650322000, 0.0713544000, 0.0863329000, 0.1192163000, 0.1942369000, 0.4333054000", \ - "0.1020489000, 0.1059603000, 0.1155392000, 0.1378711000, 0.1842371000, 0.2737749000, 0.4751796000", \ - "0.1730274000, 0.1790708000, 0.1939710000, 0.2283633000, 0.2988000000, 0.4293631000, 0.6686905000"); - } - related_pin : "A0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0911408000, 0.0972255000, 0.1137003000, 0.1572432000, 0.2748790000, 0.5911564000, 1.4432117000", \ - "0.0913122000, 0.0971569000, 0.1134475000, 0.1574597000, 0.2747287000, 0.5921991000, 1.4385390000", \ - "0.0911012000, 0.0972165000, 0.1134664000, 0.1575737000, 0.2748696000, 0.5922406000, 1.4429462000", \ - "0.0916935000, 0.0975149000, 0.1133782000, 0.1572629000, 0.2746599000, 0.5904586000, 1.4424028000", \ - "0.1176377000, 0.1221272000, 0.1344626000, 0.1700881000, 0.2780372000, 0.5925976000, 1.4439508000", \ - "0.1678329000, 0.1751604000, 0.1937080000, 0.2365647000, 0.3303230000, 0.6027024000, 1.4442747000", \ - "0.2514178000, 0.2620363000, 0.2882634000, 0.3507953000, 0.4819424000, 0.7374936000, 1.4686159000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0369343000, 0.0386696000, 0.0430950000, 0.0545891000, 0.0840958000, 0.1606243000, 0.3637617000", \ - "0.0410662000, 0.0428251000, 0.0473444000, 0.0590116000, 0.0885247000, 0.1652048000, 0.3685822000", \ - "0.0512551000, 0.0529444000, 0.0574334000, 0.0689383000, 0.0985657000, 0.1753499000, 0.3786848000", \ - "0.0707696000, 0.0730221000, 0.0788527000, 0.0921666000, 0.1221968000, 0.1990475000, 0.4030810000", \ - "0.0916799000, 0.0949560000, 0.1035262000, 0.1246326000, 0.1681920000, 0.2532930000, 0.4566916000", \ - "0.1062959000, 0.1114433000, 0.1243630000, 0.1553637000, 0.2234002000, 0.3527811000, 0.5820618000", \ - "0.0863275000, 0.0943212000, 0.1141826000, 0.1618372000, 0.2645651000, 0.4617345000, 0.8165951000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0965714000, 0.1010384000, 0.1130030000, 0.1451127000, 0.2283535000, 0.4493214000, 1.0410453000", \ - "0.0986240000, 0.1036082000, 0.1159759000, 0.1482077000, 0.2322894000, 0.4537924000, 1.0457415000", \ - "0.1074289000, 0.1121548000, 0.1243049000, 0.1566589000, 0.2415327000, 0.4644650000, 1.0572121000", \ - "0.1331240000, 0.1377775000, 0.1496062000, 0.1813907000, 0.2661517000, 0.4897531000, 1.0844019000", \ - "0.1964862000, 0.2018619000, 0.2150109000, 0.2476825000, 0.3300094000, 0.5527452000, 1.1482572000", \ - "0.3010692000, 0.3090282000, 0.3290220000, 0.3775054000, 0.4820134000, 0.7025914000, 1.2992560000", \ - "0.4738336000, 0.4853271000, 0.5139615000, 0.5858039000, 0.7431071000, 1.0506647000, 1.6539025000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0327358000, 0.0347043000, 0.0400606000, 0.0545124000, 0.0937610000, 0.1998649000, 0.4877864000", \ - "0.0326523000, 0.0346599000, 0.0399526000, 0.0544503000, 0.0935806000, 0.2000685000, 0.4876743000", \ - "0.0328563000, 0.0347597000, 0.0399268000, 0.0541158000, 0.0935308000, 0.2000833000, 0.4872001000", \ - "0.0431971000, 0.0452545000, 0.0508318000, 0.0624362000, 0.0965741000, 0.1998336000, 0.4873144000", \ - "0.0649883000, 0.0677778000, 0.0747877000, 0.0900548000, 0.1281421000, 0.2142953000, 0.4875347000", \ - "0.1049473000, 0.1089562000, 0.1193320000, 0.1432542000, 0.1925867000, 0.2918365000, 0.5243947000", \ - "0.1769989000, 0.1833104000, 0.1990534000, 0.2348009000, 0.3090840000, 0.4509594000, 0.7081691000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0891081000, 0.0949913000, 0.1110246000, 0.1534428000, 0.2672506000, 0.5723468000, 1.3974015000", \ - "0.0891818000, 0.0951697000, 0.1106839000, 0.1534498000, 0.2678553000, 0.5726911000, 1.3926972000", \ - "0.0889793000, 0.0950468000, 0.1108021000, 0.1535435000, 0.2671500000, 0.5749882000, 1.3974910000", \ - "0.0895296000, 0.0950304000, 0.1104689000, 0.1531924000, 0.2670164000, 0.5726991000, 1.3979043000", \ - "0.1153904000, 0.1197112000, 0.1319107000, 0.1668358000, 0.2696862000, 0.5726511000, 1.3951020000", \ - "0.1656404000, 0.1726760000, 0.1906402000, 0.2321874000, 0.3233798000, 0.5851232000, 1.3986324000", \ - "0.2510734000, 0.2614399000, 0.2859354000, 0.3463372000, 0.4740356000, 0.7254301000, 1.4190408000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0405641000, 0.0422880000, 0.0465922000, 0.0579565000, 0.0872328000, 0.1636022000, 0.3666515000", \ - "0.0444559000, 0.0460738000, 0.0505002000, 0.0618229000, 0.0911222000, 0.1675238000, 0.3706223000", \ - "0.0522402000, 0.0538928000, 0.0582722000, 0.0696486000, 0.0990101000, 0.1754517000, 0.3785739000", \ - "0.0666552000, 0.0686208000, 0.0735622000, 0.0861200000, 0.1166163000, 0.1932526000, 0.3966658000", \ - "0.0864586000, 0.0892468000, 0.0962420000, 0.1128819000, 0.1500507000, 0.2332908000, 0.4376299000", \ - "0.1007684000, 0.1050830000, 0.1158734000, 0.1413948000, 0.1972328000, 0.3063736000, 0.5301422000", \ - "0.0830222000, 0.0897520000, 0.1068923000, 0.1472222000, 0.2348684000, 0.4023477000, 0.7006488000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.1138836000, 0.1185428000, 0.1305812000, 0.1629833000, 0.2489144000, 0.4783327000, 1.0940355000", \ - "0.1182673000, 0.1228740000, 0.1350862000, 0.1677105000, 0.2540029000, 0.4836606000, 1.1009625000", \ - "0.1300382000, 0.1346695000, 0.1469343000, 0.1797794000, 0.2664955000, 0.4966295000, 1.1147603000", \ - "0.1556842000, 0.1602803000, 0.1724868000, 0.2052464000, 0.2921522000, 0.5227929000, 1.1391813000", \ - "0.2076579000, 0.2127373000, 0.2263232000, 0.2603379000, 0.3468315000, 0.5774773000, 1.1948853000", \ - "0.2994480000, 0.3061600000, 0.3232745000, 0.3659790000, 0.4665232000, 0.7022841000, 1.3197601000", \ - "0.4585791000, 0.4684254000, 0.4935453000, 0.5544349000, 0.6890651000, 0.9747180000, 1.6100166000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0325563000, 0.0345649000, 0.0399104000, 0.0543620000, 0.0935826000, 0.1998992000, 0.4872282000", \ - "0.0324686000, 0.0345124000, 0.0398210000, 0.0543606000, 0.0934546000, 0.1999758000, 0.4872123000", \ - "0.0330464000, 0.0349732000, 0.0401207000, 0.0542898000, 0.0935143000, 0.1998187000, 0.4872088000", \ - "0.0391504000, 0.0409699000, 0.0460045000, 0.0593605000, 0.0958436000, 0.1997773000, 0.4873097000", \ - "0.0557868000, 0.0579400000, 0.0634122000, 0.0772699000, 0.1129611000, 0.2084915000, 0.4879291000", \ - "0.0907985000, 0.0935624000, 0.1006021000, 0.1183560000, 0.1594805000, 0.2538765000, 0.5064303000", \ - "0.1555608000, 0.1599418000, 0.1709830000, 0.1964680000, 0.2531820000, 0.3642216000, 0.6164397000"); - } - related_pin : "S"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0910509000, 0.0970755000, 0.1134974000, 0.1572071000, 0.2748843000, 0.5906417000, 1.4402024000", \ - "0.0910296000, 0.0970999000, 0.1135587000, 0.1574135000, 0.2748168000, 0.5922674000, 1.4445023000", \ - "0.0910262000, 0.0970732000, 0.1135609000, 0.1574153000, 0.2747942000, 0.5922673000, 1.4441564000", \ - "0.0912957000, 0.0972842000, 0.1135307000, 0.1572421000, 0.2745888000, 0.5907386000, 1.4384589000", \ - "0.1046801000, 0.1098741000, 0.1241077000, 0.1647581000, 0.2764549000, 0.5908014000, 1.4435624000", \ - "0.1395404000, 0.1454743000, 0.1607895000, 0.2020756000, 0.3058779000, 0.6010499000, 1.4389390000", \ - "0.2186948000, 0.2264848000, 0.2447394000, 0.2903764000, 0.4024518000, 0.6766617000, 1.4590681000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.1533500000, 0.1552026000, 0.1600312000, 0.1717874000, 0.2007008000, 0.2721142000, 0.4576767000", \ - "0.1583920000, 0.1602627000, 0.1650748000, 0.1768759000, 0.2056609000, 0.2771512000, 0.4626476000", \ - "0.1708371000, 0.1727031000, 0.1775828000, 0.1893945000, 0.2182197000, 0.2896922000, 0.4752644000", \ - "0.2016707000, 0.2035373000, 0.2083835000, 0.2201690000, 0.2490961000, 0.3206009000, 0.5062041000", \ - "0.2743642000, 0.2763344000, 0.2810107000, 0.2930533000, 0.3220811000, 0.3937007000, 0.5792678000", \ - "0.4075236000, 0.4093179000, 0.4148743000, 0.4281482000, 0.4585053000, 0.5315298000, 0.7176004000", \ - "0.6146513000, 0.6173168000, 0.6244043000, 0.6405175000, 0.6753688000, 0.7527020000, 0.9412265000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.1670885000, 0.1715183000, 0.1828509000, 0.2138491000, 0.2959850000, 0.5153880000, 1.1047174000", \ - "0.1720794000, 0.1767492000, 0.1881169000, 0.2193599000, 0.3016095000, 0.5210279000, 1.1112834000", \ - "0.1832232000, 0.1878024000, 0.1992810000, 0.2306145000, 0.3130702000, 0.5328443000, 1.1242339000", \ - "0.2086906000, 0.2130362000, 0.2245652000, 0.2559391000, 0.3385916000, 0.5586397000, 1.1492470000", \ - "0.2507136000, 0.2551902000, 0.2668922000, 0.2981539000, 0.3810644000, 0.6014747000, 1.1931637000", \ - "0.3038313000, 0.3084891000, 0.3200799000, 0.3517962000, 0.4347442000, 0.6553412000, 1.2480081000", \ - "0.3556077000, 0.3602345000, 0.3724748000, 0.4045311000, 0.4880522000, 0.7088237000, 1.3004454000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0372635000, 0.0389725000, 0.0434234000, 0.0558479000, 0.0894485000, 0.1807749000, 0.4333669000", \ - "0.0371886000, 0.0388412000, 0.0434356000, 0.0558663000, 0.0892240000, 0.1807322000, 0.4338500000", \ - "0.0371918000, 0.0388991000, 0.0435209000, 0.0558535000, 0.0892588000, 0.1807750000, 0.4335043000", \ - "0.0372554000, 0.0389650000, 0.0434190000, 0.0558548000, 0.0892489000, 0.1807990000, 0.4333938000", \ - "0.0376803000, 0.0393108000, 0.0439011000, 0.0560249000, 0.0892390000, 0.1808317000, 0.4338127000", \ - "0.0444793000, 0.0460484000, 0.0505452000, 0.0619961000, 0.0937815000, 0.1830346000, 0.4344755000", \ - "0.0594136000, 0.0609685000, 0.0656929000, 0.0769608000, 0.1062627000, 0.1908771000, 0.4365470000"); - } - related_pin : "S"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013461700, 0.0036243700, 0.0097580600, 0.0262721000, 0.0707337000, 0.1904400000"); - values("0.0896298000, 0.0955108000, 0.1108778000, 0.1529856000, 0.2663815000, 0.5733126000, 1.3904674000", \ - "0.0899187000, 0.0958258000, 0.1113841000, 0.1535743000, 0.2676476000, 0.5715571000, 1.3945576000", \ - "0.0900602000, 0.0959265000, 0.1115420000, 0.1537896000, 0.2676264000, 0.5726504000, 1.3947307000", \ - "0.0902217000, 0.0960232000, 0.1116434000, 0.1538669000, 0.2671492000, 0.5727722000, 1.3924976000", \ - "0.0905185000, 0.0963519000, 0.1120307000, 0.1541942000, 0.2678496000, 0.5728801000, 1.3979267000", \ - "0.0925867000, 0.0983641000, 0.1135375000, 0.1551595000, 0.2678988000, 0.5736566000, 1.3983675000", \ - "0.0988664000, 0.1042897000, 0.1190894000, 0.1591058000, 0.2695477000, 0.5735505000, 1.3932283000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__mux4_1") { - leakage_power () { - value : 0.0137458000; - when : "!A0&!A1&!A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0116037000; - when : "!A0&!A1&!A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0158573000; - when : "!A0&!A1&!A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0137152000; - when : "!A0&!A1&!A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0140316000; - when : "!A0&!A1&!A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0118895000; - when : "!A0&!A1&!A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0087038000; - when : "!A0&!A1&!A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0161449000; - when : "!A0&!A1&!A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0065285000; - when : "!A0&!A1&A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0139696000; - when : "!A0&!A1&A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0161416000; - when : "!A0&!A1&A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0139995000; - when : "!A0&!A1&A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0058742000; - when : "!A0&!A1&A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0133153000; - when : "!A0&!A1&A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0078557000; - when : "!A0&!A1&A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0152968000; - when : "!A0&!A1&A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0140134000; - when : "!A0&A1&!A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0118712000; - when : "!A0&A1&!A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0193198000; - when : "!A0&A1&!A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0062357000; - when : "!A0&A1&!A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0142992000; - when : "!A0&A1&!A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0121571000; - when : "!A0&A1&!A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0085645000; - when : "!A0&A1&!A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0064224000; - when : "!A0&A1&!A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0067961000; - when : "!A0&A1&A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0142372000; - when : "!A0&A1&A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0196041000; - when : "!A0&A1&A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0065201000; - when : "!A0&A1&A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0061418000; - when : "!A0&A1&A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0135829000; - when : "!A0&A1&A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0077164000; - when : "!A0&A1&A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0055743000; - when : "!A0&A1&A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0171159000; - when : "A0&!A1&!A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0040318000; - when : "A0&!A1&!A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0162469000; - when : "A0&!A1&!A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0141048000; - when : "A0&!A1&!A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0174018000; - when : "A0&!A1&!A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0043177000; - when : "A0&!A1&!A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0090934000; - when : "A0&!A1&!A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0165345000; - when : "A0&!A1&!A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0062968000; - when : "A0&!A1&A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0041546000; - when : "A0&!A1&A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0165312000; - when : "A0&!A1&A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0143891000; - when : "A0&!A1&A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0056425000; - when : "A0&!A1&A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0035004000; - when : "A0&!A1&A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0082453000; - when : "A0&!A1&A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0156864000; - when : "A0&!A1&A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0161641000; - when : "A0&A1&!A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0030800000; - when : "A0&A1&!A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0183565000; - when : "A0&A1&!A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0052725000; - when : "A0&A1&!A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0164499000; - when : "A0&A1&!A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0033659000; - when : "A0&A1&!A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0076012000; - when : "A0&A1&!A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0054591000; - when : "A0&A1&!A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0053449000; - when : "A0&A1&A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0032028000; - when : "A0&A1&A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0186409000; - when : "A0&A1&A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0055568000; - when : "A0&A1&A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0046907000; - when : "A0&A1&A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0025486000; - when : "A0&A1&A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0067531000; - when : "A0&A1&A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0046110000; - when : "A0&A1&A2&A3&S0&!S1"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__mux4"; - cell_leakage_power : 0.0105018800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A0") { - capacitance : 0.0015250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014750000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0108004000, 0.0107252000, 0.0105520000, 0.0105549000, 0.0105614000, 0.0105768000, 0.0106121000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0050509000, 0.0050113000, 0.0049199000, 0.0049420000, 0.0049929000, 0.0051104000, 0.0053813000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015740000; - } - pin ("A1") { - capacitance : 0.0014380000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013960000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0020590000, 0.0020531000, 0.0020396000, 0.0020395000, 0.0020390000, 0.0020380000, 0.0020358000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001912400, -0.001922600, -0.001946200, -0.001948400, -0.001953700, -0.001965700, -0.001993400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014800000; - } - pin ("A2") { - capacitance : 0.0014640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014190000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0086365000, 0.0085740000, 0.0084300000, 0.0084297000, 0.0084288000, 0.0084270000, 0.0084228000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0065099000, 0.0064677000, 0.0063705000, 0.0063839000, 0.0064146000, 0.0064857000, 0.0066496000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015100000; - } - pin ("A3") { - capacitance : 0.0014780000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014380000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0023059000, 0.0023023000, 0.0022941000, 0.0022945000, 0.0022952000, 0.0022971000, 0.0023015000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002222200, -0.002231400, -0.002252600, -0.002253300, -0.002255100, -0.002259100, -0.002268200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015180000; - } - pin ("S0") { - capacitance : 0.0038950000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0037000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0153468000, 0.0151944000, 0.0148432000, 0.0148869000, 0.0149876000, 0.0152199000, 0.0157554000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0034915000, 0.0033720000, 0.0030965000, 0.0031518000, 0.0032792000, 0.0035729000, 0.0042501000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0040890000; - } - pin ("S1") { - capacitance : 0.0026750000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0026070000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0084846000, 0.0083717000, 0.0081114000, 0.0081627000, 0.0082808000, 0.0085532000, 0.0091811000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0008260000, 0.0007332000, 0.0005193000, 0.0005749000, 0.0007030000, 0.0009983000, 0.0016792000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0027440000; - } - pin ("X") { - direction : "output"; - function : "(A0&!S0&!S1) | (A1&S0&!S1) | (A2&!S0&S1) | (A3&S0&S1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0059481000, 0.0046562000, 0.0017191000, -0.006269400, -0.028379200, -0.089151500, -0.250279900", \ - "0.0059135000, 0.0046558000, 0.0017100000, -0.006244100, -0.028404700, -0.089176200, -0.250264000", \ - "0.0060027000, 0.0047619000, 0.0018243000, -0.006139700, -0.028268100, -0.089047600, -0.250162700", \ - "0.0059120000, 0.0046895000, 0.0016624000, -0.006229600, -0.028401900, -0.089164900, -0.250253600", \ - "0.0058404000, 0.0045742000, 0.0015987000, -0.006339000, -0.028484400, -0.089279900, -0.250345400", \ - "0.0058221000, 0.0045534000, 0.0015485000, -0.006388200, -0.028573000, -0.089381300, -0.250439500", \ - "0.0076036000, 0.0060750000, 0.0023208000, -0.006461800, -0.028578700, -0.089365900, -0.250424100"); - } - related_pin : "A0"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0115631000, 0.0130373000, 0.0168632000, 0.0261743000, 0.0497513000, 0.1108908000, 0.2702471000", \ - "0.0115721000, 0.0130360000, 0.0168683000, 0.0262047000, 0.0497071000, 0.1108800000, 0.2713143000", \ - "0.0115967000, 0.0130835000, 0.0169196000, 0.0262209000, 0.0498049000, 0.1108958000, 0.2706444000", \ - "0.0115100000, 0.0129840000, 0.0168321000, 0.0261211000, 0.0496983000, 0.1108079000, 0.2700842000", \ - "0.0114423000, 0.0129114000, 0.0167349000, 0.0260124000, 0.0495332000, 0.1106592000, 0.2705351000", \ - "0.0119513000, 0.0132718000, 0.0166298000, 0.0258164000, 0.0492199000, 0.1104153000, 0.2702854000", \ - "0.0123690000, 0.0136700000, 0.0171279000, 0.0261011000, 0.0492696000, 0.1106086000, 0.2695234000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0172384000, 0.0159773000, 0.0130468000, 0.0052229000, -0.016772400, -0.077443500, -0.238511300", \ - "0.0171604000, 0.0159323000, 0.0130573000, 0.0051751000, -0.016811700, -0.077486100, -0.238520300", \ - "0.0171225000, 0.0158650000, 0.0129531000, 0.0050917000, -0.016909500, -0.077564600, -0.238602200", \ - "0.0170423000, 0.0157633000, 0.0128504000, 0.0050349000, -0.016957000, -0.077663300, -0.238686000", \ - "0.0169421000, 0.0157122000, 0.0128135000, 0.0049607000, -0.017065000, -0.077745200, -0.238776600", \ - "0.0169397000, 0.0156909000, 0.0127776000, 0.0048928000, -0.017173100, -0.077830800, -0.238849100", \ - "0.0187262000, 0.0171925000, 0.0134374000, 0.0048701000, -0.017103200, -0.077814400, -0.238827200"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0153355000, 0.0167542000, 0.0204871000, 0.0297900000, 0.0532563000, 0.1144039000, 0.2751977000", \ - "0.0152772000, 0.0167085000, 0.0204666000, 0.0297083000, 0.0532176000, 0.1144098000, 0.2748566000", \ - "0.0152516000, 0.0166828000, 0.0204402000, 0.0296810000, 0.0531904000, 0.1143827000, 0.2748279000", \ - "0.0152068000, 0.0166394000, 0.0203698000, 0.0296651000, 0.0531262000, 0.1142833000, 0.2751001000", \ - "0.0151854000, 0.0166108000, 0.0203369000, 0.0295661000, 0.0530930000, 0.1141985000, 0.2737158000", \ - "0.0155469000, 0.0168487000, 0.0202850000, 0.0295174000, 0.0528762000, 0.1143241000, 0.2736481000", \ - "0.0162053000, 0.0175074000, 0.0209919000, 0.0299420000, 0.0530929000, 0.1142682000, 0.2734860000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0090409000, 0.0077541000, 0.0048381000, -0.003062700, -0.025184800, -0.085995600, -0.247086600", \ - "0.0089691000, 0.0077224000, 0.0048000000, -0.003107100, -0.025264100, -0.086019500, -0.247087300", \ - "0.0090467000, 0.0077938000, 0.0048703000, -0.003067100, -0.025198400, -0.085966200, -0.247020100", \ - "0.0089496000, 0.0077026000, 0.0047801000, -0.003110700, -0.025259300, -0.085977700, -0.247109200", \ - "0.0088597000, 0.0076334000, 0.0046782000, -0.003194300, -0.025364200, -0.086126200, -0.247201500", \ - "0.0088944000, 0.0076363000, 0.0046516000, -0.003253100, -0.025424100, -0.086228500, -0.247278900", \ - "0.0107171000, 0.0091853000, 0.0054411000, -0.003326700, -0.025414400, -0.086191700, -0.247236800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0080953000, 0.0095270000, 0.0133018000, 0.0226059000, 0.0460494000, 0.1070979000, 0.2667809000", \ - "0.0080588000, 0.0095158000, 0.0132942000, 0.0225602000, 0.0460580000, 0.1071847000, 0.2680293000", \ - "0.0081219000, 0.0095646000, 0.0133155000, 0.0226421000, 0.0460860000, 0.1072032000, 0.2680302000", \ - "0.0080384000, 0.0094960000, 0.0132635000, 0.0225243000, 0.0460366000, 0.1070961000, 0.2676599000", \ - "0.0079836000, 0.0094208000, 0.0131696000, 0.0224456000, 0.0458889000, 0.1068850000, 0.2669445000", \ - "0.0083412000, 0.0096637000, 0.0130210000, 0.0222659000, 0.0456265000, 0.1067135000, 0.2667172000", \ - "0.0087931000, 0.0100996000, 0.0134705000, 0.0225202000, 0.0456737000, 0.1068439000, 0.2668362000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0164479000, 0.0152232000, 0.0123019000, 0.0044886000, -0.017580100, -0.078360200, -0.239443000", \ - "0.0164000000, 0.0151604000, 0.0122687000, 0.0044056000, -0.017672400, -0.078424300, -0.239490400", \ - "0.0163373000, 0.0150946000, 0.0121652000, 0.0043412000, -0.017739100, -0.078491100, -0.239557500", \ - "0.0162442000, 0.0150052000, 0.0121176000, 0.0042683000, -0.017769500, -0.078561300, -0.239632700", \ - "0.0161488000, 0.0149243000, 0.0120150000, 0.0041712000, -0.017917500, -0.078713500, -0.239738500", \ - "0.0161544000, 0.0149407000, 0.0120371000, 0.0041260000, -0.017996600, -0.078780100, -0.239824800", \ - "0.0181283000, 0.0165939000, 0.0128508000, 0.0040557000, -0.017981200, -0.078764600, -0.239792800"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0140796000, 0.0154714000, 0.0191615000, 0.0283693000, 0.0518207000, 0.1127334000, 0.2726772000", \ - "0.0140475000, 0.0154527000, 0.0191220000, 0.0283073000, 0.0518219000, 0.1128476000, 0.2725675000", \ - "0.0140200000, 0.0154131000, 0.0191030000, 0.0283156000, 0.0517531000, 0.1127138000, 0.2724332000", \ - "0.0139525000, 0.0153622000, 0.0190271000, 0.0282680000, 0.0516779000, 0.1127668000, 0.2731441000", \ - "0.0139223000, 0.0153181000, 0.0189956000, 0.0281771000, 0.0516159000, 0.1125346000, 0.2725380000", \ - "0.0142442000, 0.0155303000, 0.0189348000, 0.0280941000, 0.0514009000, 0.1125480000, 0.2723184000", \ - "0.0147418000, 0.0160107000, 0.0194431000, 0.0285072000, 0.0516226000, 0.1126678000, 0.2718328000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0184749000, 0.0172705000, 0.0143327000, 0.0064797000, -0.015510500, -0.076178300, -0.237221200", \ - "0.0184748000, 0.0172436000, 0.0143497000, 0.0064770000, -0.015516300, -0.076182000, -0.237237400", \ - "0.0184653000, 0.0172410000, 0.0143127000, 0.0064990000, -0.015531900, -0.076205900, -0.237245400", \ - "0.0177666000, 0.0165267000, 0.0135854000, 0.0057959000, -0.016219800, -0.076897700, -0.237920200", \ - "0.0171542000, 0.0159076000, 0.0130376000, 0.0051569000, -0.016823700, -0.077475100, -0.238531200", \ - "0.0165819000, 0.0153845000, 0.0124336000, 0.0046130000, -0.017337100, -0.077997600, -0.239014900", \ - "0.0208433000, 0.0193635000, 0.0157447000, 0.0066510000, -0.016878200, -0.077656400, -0.238638400"); - } - related_pin : "S0"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0234401000, 0.0249210000, 0.0287619000, 0.0380620000, 0.0616178000, 0.1226766000, 0.2828010000", \ - "0.0233995000, 0.0248659000, 0.0286625000, 0.0379733000, 0.0615207000, 0.1227276000, 0.2831125000", \ - "0.0235246000, 0.0249939000, 0.0287879000, 0.0380979000, 0.0616108000, 0.1228600000, 0.2832466000", \ - "0.0232735000, 0.0247381000, 0.0285652000, 0.0378623000, 0.0614386000, 0.1225865000, 0.2821293000", \ - "0.0229430000, 0.0244103000, 0.0282251000, 0.0375095000, 0.0610814000, 0.1223159000, 0.2828045000", \ - "0.0225571000, 0.0240328000, 0.0278814000, 0.0372331000, 0.0607479000, 0.1218186000, 0.2824158000", \ - "0.0227936000, 0.0241312000, 0.0276333000, 0.0366581000, 0.0606013000, 0.1217088000, 0.2810817000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0076263000, 0.0064341000, 0.0035829000, -0.004175000, -0.026266100, -0.087118400, -0.248286000", \ - "0.0076801000, 0.0064863000, 0.0036353000, -0.004161200, -0.026236300, -0.087062700, -0.248229400", \ - "0.0078325000, 0.0066337000, 0.0038120000, -0.003965600, -0.026052800, -0.086900900, -0.248066900", \ - "0.0077769000, 0.0066052000, 0.0037377000, -0.004008900, -0.026069600, -0.086898900, -0.248061800", \ - "0.0077268000, 0.0065937000, 0.0037779000, -0.003958000, -0.026024000, -0.086796100, -0.247931400", \ - "0.0093264000, 0.0079336000, 0.0044627000, -0.003436100, -0.025576400, -0.086375800, -0.247479000", \ - "0.0134992000, 0.0121364000, 0.0086940000, -0.000184400, -0.023544600, -0.085069100, -0.246236700"); - } - related_pin : "S1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0121377000, 0.0135760000, 0.0173405000, 0.0265911000, 0.0500171000, 0.1111099000, 0.2719908000", \ - "0.0120013000, 0.0134626000, 0.0172394000, 0.0264880000, 0.0499362000, 0.1108458000, 0.2710566000", \ - "0.0121146000, 0.0135562000, 0.0173107000, 0.0265623000, 0.0500643000, 0.1110967000, 0.2718774000", \ - "0.0120975000, 0.0135384000, 0.0172907000, 0.0265515000, 0.0500508000, 0.1110944000, 0.2719406000", \ - "0.0122339000, 0.0136986000, 0.0174522000, 0.0267385000, 0.0501590000, 0.1109793000, 0.2713749000", \ - "0.0127289000, 0.0140209000, 0.0179575000, 0.0272933000, 0.0506745000, 0.1116608000, 0.2717367000", \ - "0.0138255000, 0.0151744000, 0.0186562000, 0.0277587000, 0.0511335000, 0.1124942000, 0.2713970000"); - } - } - max_capacitance : 0.1611430000; - max_transition : 1.5063560000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.4305261000, 0.4433747000, 0.4707105000, 0.5203642000, 0.6094312000, 0.7784000000, 1.1448035000", \ - "0.4349955000, 0.4484747000, 0.4757554000, 0.5255227000, 0.6142764000, 0.7834726000, 1.1496277000", \ - "0.4473923000, 0.4611971000, 0.4884600000, 0.5379664000, 0.6271010000, 0.7960110000, 1.1624196000", \ - "0.4744450000, 0.4881490000, 0.5153927000, 0.5650636000, 0.6538657000, 0.8230113000, 1.1892562000", \ - "0.5319831000, 0.5455890000, 0.5726986000, 0.6222999000, 0.7112191000, 0.8800917000, 1.2464832000", \ - "0.6616791000, 0.6753029000, 0.7026374000, 0.7519140000, 0.8412075000, 1.0101172000, 1.3765592000", \ - "0.9145905000, 0.9297085000, 0.9587965000, 1.0121265000, 1.1052587000, 1.2786883000, 1.6469760000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1717983000, 0.1813912000, 0.2020530000, 0.2453318000, 0.3429268000, 0.5866390000, 1.2188831000", \ - "0.1763315000, 0.1858235000, 0.2064409000, 0.2498500000, 0.3472704000, 0.5901197000, 1.2224211000", \ - "0.1851639000, 0.1948254000, 0.2154342000, 0.2587976000, 0.3562944000, 0.5999626000, 1.2328480000", \ - "0.2048376000, 0.2144553000, 0.2350892000, 0.2783584000, 0.3759355000, 0.6196473000, 1.2514763000", \ - "0.2467429000, 0.2564435000, 0.2771971000, 0.3206292000, 0.4179758000, 0.6616040000, 1.2946253000", \ - "0.3164575000, 0.3269614000, 0.3490047000, 0.3940969000, 0.4927541000, 0.7368272000, 1.3697127000", \ - "0.4071747000, 0.4195484000, 0.4450411000, 0.4944751000, 0.5963810000, 0.8408385000, 1.4724846000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0686527000, 0.0758281000, 0.0905232000, 0.1219073000, 0.1914591000, 0.3565445000, 0.7866747000", \ - "0.0676483000, 0.0759727000, 0.0905919000, 0.1209970000, 0.1891139000, 0.3552455000, 0.7885047000", \ - "0.0691611000, 0.0759160000, 0.0907322000, 0.1218594000, 0.1913357000, 0.3565800000, 0.7868282000", \ - "0.0689290000, 0.0759682000, 0.0904001000, 0.1209942000, 0.1895553000, 0.3555945000, 0.7884906000", \ - "0.0684204000, 0.0750862000, 0.0908299000, 0.1217172000, 0.1911000000, 0.3564938000, 0.7868560000", \ - "0.0683062000, 0.0748076000, 0.0897114000, 0.1227171000, 0.1912118000, 0.3565469000, 0.7871152000", \ - "0.0797135000, 0.0860904000, 0.1015315000, 0.1331974000, 0.2000329000, 0.3627101000, 0.7905140000"); - } - related_pin : "A0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0333627000, 0.0415996000, 0.0611515000, 0.1093269000, 0.2368543000, 0.5827621000, 1.5014476000", \ - "0.0333041000, 0.0417158000, 0.0612216000, 0.1093494000, 0.2367016000, 0.5829626000, 1.4980898000", \ - "0.0332479000, 0.0414889000, 0.0612421000, 0.1095098000, 0.2368806000, 0.5834287000, 1.5015308000", \ - "0.0334680000, 0.0415266000, 0.0612093000, 0.1092771000, 0.2368796000, 0.5824927000, 1.5010080000", \ - "0.0338649000, 0.0420552000, 0.0616427000, 0.1099685000, 0.2366199000, 0.5837477000, 1.5002004000", \ - "0.0373041000, 0.0459607000, 0.0657140000, 0.1132670000, 0.2387181000, 0.5839980000, 1.4995973000", \ - "0.0459192000, 0.0550010000, 0.0765411000, 0.1225740000, 0.2441715000, 0.5851983000, 1.4943221000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.4441279000, 0.4577177000, 0.4847449000, 0.5346096000, 0.6237669000, 0.7930026000, 1.1596397000", \ - "0.4484306000, 0.4622151000, 0.4895741000, 0.5393376000, 0.6284803000, 0.7977683000, 1.1644072000", \ - "0.4618141000, 0.4754250000, 0.5024666000, 0.5523481000, 0.6414521000, 0.8107691000, 1.1771730000", \ - "0.4885655000, 0.5019040000, 0.5292891000, 0.5793896000, 0.6682208000, 0.8376821000, 1.2041564000", \ - "0.5449684000, 0.5588440000, 0.5859488000, 0.6358227000, 0.7248915000, 0.8943954000, 1.2606740000", \ - "0.6745370000, 0.6880530000, 0.7153234000, 0.7651172000, 0.8540105000, 1.0233504000, 1.3903850000", \ - "0.9284050000, 0.9433000000, 0.9719904000, 1.0252198000, 1.1183483000, 1.2916838000, 1.6599315000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1807053000, 0.1905545000, 0.2118679000, 0.2564059000, 0.3547463000, 0.5979023000, 1.2309885000", \ - "0.1854525000, 0.1953950000, 0.2167591000, 0.2611690000, 0.3597520000, 0.6029172000, 1.2346402000", \ - "0.1952207000, 0.2051606000, 0.2265251000, 0.2709329000, 0.3695173000, 0.6126866000, 1.2442665000", \ - "0.2157056000, 0.2256286000, 0.2469325000, 0.2914495000, 0.3897856000, 0.6329385000, 1.2660728000", \ - "0.2595558000, 0.2695308000, 0.2909115000, 0.3354103000, 0.4339718000, 0.6778857000, 1.3110454000", \ - "0.3342261000, 0.3449455000, 0.3676130000, 0.4137743000, 0.5134028000, 0.7578024000, 1.3929506000", \ - "0.4356476000, 0.4483710000, 0.4744677000, 0.5249338000, 0.6282792000, 0.8730068000, 1.5043868000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0683000000, 0.0753742000, 0.0902898000, 0.1213173000, 0.1917310000, 0.3568467000, 0.7869299000", \ - "0.0698022000, 0.0764355000, 0.0910260000, 0.1228445000, 0.1912922000, 0.3570257000, 0.7884525000", \ - "0.0685880000, 0.0753679000, 0.0906310000, 0.1215586000, 0.1907502000, 0.3563775000, 0.7902193000", \ - "0.0686185000, 0.0758598000, 0.0910067000, 0.1221308000, 0.1899191000, 0.3562769000, 0.7889351000", \ - "0.0691618000, 0.0761955000, 0.0914916000, 0.1218013000, 0.1897701000, 0.3553661000, 0.7885597000", \ - "0.0682056000, 0.0751728000, 0.0902034000, 0.1218765000, 0.1907967000, 0.3562084000, 0.7884367000", \ - "0.0790607000, 0.0860688000, 0.1033034000, 0.1326945000, 0.2026071000, 0.3623398000, 0.7922393000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0345376000, 0.0431686000, 0.0631602000, 0.1114520000, 0.2384579000, 0.5831274000, 1.5012145000", \ - "0.0346409000, 0.0430684000, 0.0630910000, 0.1116274000, 0.2380471000, 0.5819913000, 1.4983518000", \ - "0.0346268000, 0.0430826000, 0.0630922000, 0.1116282000, 0.2380496000, 0.5819793000, 1.4986539000", \ - "0.0346351000, 0.0431680000, 0.0631700000, 0.1114892000, 0.2384701000, 0.5831723000, 1.5018320000", \ - "0.0349520000, 0.0436290000, 0.0635214000, 0.1115611000, 0.2384915000, 0.5836806000, 1.5021601000", \ - "0.0382260000, 0.0472277000, 0.0674240000, 0.1153490000, 0.2404825000, 0.5828560000, 1.5026057000", \ - "0.0469614000, 0.0566771000, 0.0778820000, 0.1247582000, 0.2463703000, 0.5857106000, 1.4984626000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.4315596000, 0.4442849000, 0.4712651000, 0.5201995000, 0.6080528000, 0.7758702000, 1.1408459000", \ - "0.4362156000, 0.4495936000, 0.4764460000, 0.5254579000, 0.6131946000, 0.7810060000, 1.1456394000", \ - "0.4496539000, 0.4625058000, 0.4893282000, 0.5381794000, 0.6260027000, 0.7938501000, 1.1584684000", \ - "0.4766469000, 0.4900708000, 0.5168944000, 0.5659196000, 0.6537303000, 0.8213926000, 1.1865233000", \ - "0.5343626000, 0.5479032000, 0.5745044000, 0.6235825000, 0.7113698000, 0.8790338000, 1.2440712000", \ - "0.6649902000, 0.6782878000, 0.7050741000, 0.7537496000, 0.8414812000, 1.0093524000, 1.3743543000", \ - "0.9188307000, 0.9329801000, 0.9621701000, 1.0142163000, 1.1061811000, 1.2780577000, 1.6449081000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1758945000, 0.1858125000, 0.2071320000, 0.2514927000, 0.3493573000, 0.5920405000, 1.2284394000", \ - "0.1806884000, 0.1906743000, 0.2120599000, 0.2562686000, 0.3544131000, 0.5972955000, 1.2309050000", \ - "0.1903733000, 0.2003084000, 0.2216123000, 0.2659484000, 0.3638719000, 0.6067382000, 1.2418001000", \ - "0.2103527000, 0.2203896000, 0.2416987000, 0.2858887000, 0.3840649000, 0.6275623000, 1.2592369000", \ - "0.2538819000, 0.2640388000, 0.2854577000, 0.3297626000, 0.4276097000, 0.6706322000, 1.3043715000", \ - "0.3292198000, 0.3401116000, 0.3627717000, 0.4088560000, 0.5081598000, 0.7524021000, 1.3851444000", \ - "0.4318023000, 0.4446970000, 0.4709208000, 0.5215545000, 0.6246172000, 0.8687389000, 1.5013003000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0664589000, 0.0746101000, 0.0891018000, 0.1198992000, 0.1897462000, 0.3527141000, 0.7876010000", \ - "0.0667053000, 0.0734567000, 0.0880328000, 0.1201542000, 0.1883916000, 0.3532286000, 0.7874136000", \ - "0.0666622000, 0.0732489000, 0.0878593000, 0.1211913000, 0.1883020000, 0.3528933000, 0.7861682000", \ - "0.0668303000, 0.0736016000, 0.0880574000, 0.1202914000, 0.1878006000, 0.3542549000, 0.7865759000", \ - "0.0666249000, 0.0742768000, 0.0883695000, 0.1206483000, 0.1901270000, 0.3533022000, 0.7883879000", \ - "0.0663560000, 0.0733032000, 0.0880401000, 0.1213686000, 0.1896201000, 0.3528174000, 0.7873456000", \ - "0.0779261000, 0.0849636000, 0.0994551000, 0.1305360000, 0.1996427000, 0.3593060000, 0.7909534000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0347354000, 0.0433435000, 0.0630671000, 0.1109276000, 0.2379892000, 0.5838274000, 1.5040769000", \ - "0.0348356000, 0.0430809000, 0.0629923000, 0.1111530000, 0.2374490000, 0.5824596000, 1.5047310000", \ - "0.0348291000, 0.0433477000, 0.0631190000, 0.1110833000, 0.2380197000, 0.5832977000, 1.5049728000", \ - "0.0346212000, 0.0431807000, 0.0629839000, 0.1110856000, 0.2377177000, 0.5822470000, 1.5026596000", \ - "0.0352159000, 0.0436964000, 0.0636460000, 0.1112727000, 0.2381087000, 0.5839047000, 1.5010617000", \ - "0.0385060000, 0.0475582000, 0.0675126000, 0.1151018000, 0.2400507000, 0.5841683000, 1.4998357000", \ - "0.0475587000, 0.0573515000, 0.0778753000, 0.1246584000, 0.2457695000, 0.5840483000, 1.4997625000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.4196395000, 0.4326556000, 0.4593695000, 0.5076592000, 0.5943556000, 0.7605500000, 1.1240534000", \ - "0.4249230000, 0.4377723000, 0.4643257000, 0.5127286000, 0.5995044000, 0.7656636000, 1.1292587000", \ - "0.4372444000, 0.4500643000, 0.4766107000, 0.5250479000, 0.6118127000, 0.7779705000, 1.1413597000", \ - "0.4630592000, 0.4759551000, 0.5025281000, 0.5508147000, 0.6375099000, 0.8038402000, 1.1671797000", \ - "0.5209315000, 0.5341198000, 0.5603182000, 0.6086565000, 0.6956707000, 0.8617386000, 1.2253643000", \ - "0.6557294000, 0.6690531000, 0.6951908000, 0.7435050000, 0.8302771000, 0.9965663000, 1.3602738000", \ - "0.9177614000, 0.9317430000, 0.9605947000, 1.0122592000, 1.1032798000, 1.2737557000, 1.6390288000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1689931000, 0.1788766000, 0.2000838000, 0.2443059000, 0.3421240000, 0.5851397000, 1.2177844000", \ - "0.1738278000, 0.1837813000, 0.2049828000, 0.2491105000, 0.3471149000, 0.5905265000, 1.2235724000", \ - "0.1838195000, 0.1936652000, 0.2148898000, 0.2591201000, 0.3568762000, 0.5996608000, 1.2327174000", \ - "0.2048264000, 0.2146996000, 0.2359048000, 0.2800903000, 0.3778830000, 0.6206688000, 1.2536739000", \ - "0.2494966000, 0.2595124000, 0.2808485000, 0.3251329000, 0.4230140000, 0.6660962000, 1.2984210000", \ - "0.3245688000, 0.3353944000, 0.3581133000, 0.4041353000, 0.5033234000, 0.7476605000, 1.3799850000", \ - "0.4252081000, 0.4379898000, 0.4645038000, 0.5152614000, 0.6183417000, 0.8620185000, 1.4943613000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0647652000, 0.0720417000, 0.0865763000, 0.1181937000, 0.1879459000, 0.3503676000, 0.7865933000", \ - "0.0652340000, 0.0719769000, 0.0865186000, 0.1183718000, 0.1878212000, 0.3508377000, 0.7856744000", \ - "0.0652397000, 0.0719847000, 0.0865129000, 0.1182916000, 0.1877971000, 0.3508637000, 0.7862883000", \ - "0.0651628000, 0.0719768000, 0.0865314000, 0.1176277000, 0.1873352000, 0.3497805000, 0.7850314000", \ - "0.0650765000, 0.0719932000, 0.0875627000, 0.1179531000, 0.1868192000, 0.3510106000, 0.7851105000", \ - "0.0648110000, 0.0721182000, 0.0873382000, 0.1182173000, 0.1882311000, 0.3511564000, 0.7844724000", \ - "0.0767310000, 0.0853742000, 0.0993372000, 0.1298631000, 0.1981587000, 0.3565939000, 0.7887275000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0343914000, 0.0429472000, 0.0626487000, 0.1108631000, 0.2372544000, 0.5825633000, 1.5013099000", \ - "0.0342969000, 0.0429686000, 0.0627612000, 0.1105194000, 0.2377648000, 0.5840094000, 1.5015210000", \ - "0.0344068000, 0.0429884000, 0.0626391000, 0.1107932000, 0.2373799000, 0.5836706000, 1.5035042000", \ - "0.0345251000, 0.0430282000, 0.0628025000, 0.1108079000, 0.2377270000, 0.5831789000, 1.5003453000", \ - "0.0349385000, 0.0433605000, 0.0632847000, 0.1111824000, 0.2375996000, 0.5828576000, 1.4988415000", \ - "0.0384681000, 0.0476592000, 0.0675429000, 0.1150664000, 0.2394695000, 0.5842169000, 1.4988883000", \ - "0.0480141000, 0.0576628000, 0.0781082000, 0.1250077000, 0.2454117000, 0.5844775000, 1.4996366000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.3958371000, 0.4094101000, 0.4360752000, 0.4849924000, 0.5728234000, 0.7406895000, 1.1055863000", \ - "0.3995951000, 0.4131626000, 0.4396093000, 0.4886365000, 0.5765531000, 0.7441705000, 1.1093588000", \ - "0.4089652000, 0.4224062000, 0.4490457000, 0.4981015000, 0.5859456000, 0.7535997000, 1.1187380000", \ - "0.4346916000, 0.4481718000, 0.4747230000, 0.5237860000, 0.6116366000, 0.7793026000, 1.1444585000", \ - "0.4987379000, 0.5121135000, 0.5389833000, 0.5879665000, 0.6756317000, 0.8433876000, 1.2084224000", \ - "0.6531096000, 0.6664118000, 0.6932774000, 0.7419226000, 0.8296859000, 0.9976664000, 1.3624566000", \ - "0.9501938000, 0.9648938000, 0.9941219000, 1.0472606000, 1.1403357000, 1.3125817000, 1.6796489000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1604632000, 0.1706095000, 0.1923996000, 0.2377651000, 0.3378545000, 0.5816930000, 1.2159075000", \ - "0.1649863000, 0.1751325000, 0.1969219000, 0.2422794000, 0.3423774000, 0.5862259000, 1.2201937000", \ - "0.1756993000, 0.1858478000, 0.2076385000, 0.2529936000, 0.3530966000, 0.5969555000, 1.2315912000", \ - "0.2000939000, 0.2101868000, 0.2320019000, 0.2773038000, 0.3773232000, 0.6211081000, 1.2540593000", \ - "0.2520272000, 0.2623116000, 0.2842562000, 0.3296612000, 0.4293397000, 0.6731952000, 1.3086149000", \ - "0.3344233000, 0.3461865000, 0.3705027000, 0.4185086000, 0.5194348000, 0.7636817000, 1.3988060000", \ - "0.4359068000, 0.4514475000, 0.4816067000, 0.5366694000, 0.6422838000, 0.8865993000, 1.5191374000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0657789000, 0.0737810000, 0.0879502000, 0.1210674000, 0.1875223000, 0.3523858000, 0.7872534000", \ - "0.0658392000, 0.0729490000, 0.0882036000, 0.1194633000, 0.1890221000, 0.3542916000, 0.7857905000", \ - "0.0657492000, 0.0730285000, 0.0887254000, 0.1195152000, 0.1899429000, 0.3537014000, 0.7881627000", \ - "0.0658256000, 0.0730052000, 0.0886133000, 0.1195331000, 0.1899140000, 0.3537492000, 0.7880364000", \ - "0.0659801000, 0.0729300000, 0.0878941000, 0.1195566000, 0.1886496000, 0.3532508000, 0.7889424000", \ - "0.0664246000, 0.0733058000, 0.0880516000, 0.1215955000, 0.1903486000, 0.3533133000, 0.7867186000", \ - "0.0824733000, 0.0892340000, 0.1049879000, 0.1347232000, 0.2010680000, 0.3613805000, 0.7895334000"); - } - related_pin : "S0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0353984000, 0.0438906000, 0.0642482000, 0.1133057000, 0.2400030000, 0.5828268000, 1.5025756000", \ - "0.0353979000, 0.0438920000, 0.0642452000, 0.1133223000, 0.2401008000, 0.5831021000, 1.5023890000", \ - "0.0354640000, 0.0438567000, 0.0641820000, 0.1133315000, 0.2400296000, 0.5824499000, 1.5026195000", \ - "0.0354233000, 0.0440604000, 0.0643828000, 0.1133390000, 0.2403675000, 0.5839590000, 1.4968337000", \ - "0.0365055000, 0.0450044000, 0.0651434000, 0.1136547000, 0.2400913000, 0.5831506000, 1.5023750000", \ - "0.0439228000, 0.0527258000, 0.0725669000, 0.1193216000, 0.2425783000, 0.5844917000, 1.4999829000", \ - "0.0588665000, 0.0693244000, 0.0899239000, 0.1346046000, 0.2504323000, 0.5866183000, 1.4977554000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.4865461000, 0.5001505000, 0.5271107000, 0.5769263000, 0.6660651000, 0.8352037000, 1.2018134000", \ - "0.4916957000, 0.5053744000, 0.5324506000, 0.5822351000, 0.6711166000, 0.8403466000, 1.2069237000", \ - "0.5020636000, 0.5156164000, 0.5422985000, 0.5924278000, 0.6813676000, 0.8505720000, 1.2172048000", \ - "0.5218871000, 0.5356396000, 0.5627938000, 0.6125105000, 0.7015346000, 0.8709979000, 1.2370857000", \ - "0.5538349000, 0.5673498000, 0.5946816000, 0.6443141000, 0.7335351000, 0.9026855000, 1.2692852000", \ - "0.5960044000, 0.6099439000, 0.6374491000, 0.6874187000, 0.7767204000, 0.9461960000, 1.3128328000", \ - "0.6408872000, 0.6546449000, 0.6816718000, 0.7314915000, 0.8207247000, 0.9906438000, 1.3587301000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2823122000, 0.2919767000, 0.3127105000, 0.3561656000, 0.4534755000, 0.6968278000, 1.3294064000", \ - "0.2863027000, 0.2959239000, 0.3166281000, 0.3600597000, 0.4575035000, 0.7003334000, 1.3325931000", \ - "0.2979529000, 0.3075912000, 0.3282945000, 0.3717386000, 0.4691381000, 0.7119557000, 1.3436120000", \ - "0.3283357000, 0.3380144000, 0.3587315000, 0.4021147000, 0.4995962000, 0.7432046000, 1.3756273000", \ - "0.3979761000, 0.4076001000, 0.4283600000, 0.4716926000, 0.5692087000, 0.8120242000, 1.4444698000", \ - "0.5235851000, 0.5333481000, 0.5541350000, 0.5978993000, 0.6953891000, 0.9382792000, 1.5720993000", \ - "0.7182879000, 0.7282474000, 0.7495004000, 0.7934983000, 0.8914630000, 1.1351206000, 1.7662728000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0684248000, 0.0752609000, 0.0919906000, 0.1225727000, 0.1918732000, 0.3570325000, 0.7872833000", \ - "0.0685202000, 0.0754894000, 0.0903164000, 0.1221514000, 0.1917282000, 0.3567474000, 0.7885984000", \ - "0.0688945000, 0.0757329000, 0.0923129000, 0.1227386000, 0.1914405000, 0.3569594000, 0.7879193000", \ - "0.0692059000, 0.0754944000, 0.0903043000, 0.1238188000, 0.1924893000, 0.3558438000, 0.7883343000", \ - "0.0687361000, 0.0755810000, 0.0906857000, 0.1218973000, 0.1914763000, 0.3569834000, 0.7872140000", \ - "0.0690950000, 0.0768894000, 0.0906607000, 0.1221956000, 0.1904572000, 0.3571835000, 0.7885546000", \ - "0.0681626000, 0.0747368000, 0.0903517000, 0.1217682000, 0.1926409000, 0.3575544000, 0.7913152000"); - } - related_pin : "S0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0338507000, 0.0419169000, 0.0615890000, 0.1096440000, 0.2366608000, 0.5838581000, 1.4985930000", \ - "0.0337838000, 0.0420453000, 0.0616540000, 0.1097216000, 0.2364070000, 0.5818960000, 1.5005983000", \ - "0.0337435000, 0.0420422000, 0.0616395000, 0.1096927000, 0.2365874000, 0.5824417000, 1.4997218000", \ - "0.0338340000, 0.0418752000, 0.0615789000, 0.1094973000, 0.2369961000, 0.5829487000, 1.5015997000", \ - "0.0337824000, 0.0420525000, 0.0615465000, 0.1096893000, 0.2368395000, 0.5821465000, 1.5008852000", \ - "0.0342809000, 0.0422428000, 0.0619548000, 0.1101863000, 0.2374625000, 0.5835710000, 1.4998959000", \ - "0.0355430000, 0.0437193000, 0.0632813000, 0.1111189000, 0.2374714000, 0.5826256000, 1.4990676000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1791548000, 0.1909618000, 0.2154324000, 0.2618498000, 0.3473030000, 0.5138311000, 0.8787119000", \ - "0.1830618000, 0.1950304000, 0.2194349000, 0.2658654000, 0.3512945000, 0.5177974000, 0.8827449000", \ - "0.1933579000, 0.2051038000, 0.2294113000, 0.2755884000, 0.3610884000, 0.5276312000, 0.8923312000", \ - "0.2194800000, 0.2310547000, 0.2550583000, 0.3008654000, 0.3861172000, 0.5525163000, 0.9171134000", \ - "0.2848818000, 0.2961472000, 0.3193166000, 0.3642200000, 0.4484859000, 0.6147270000, 0.9796387000", \ - "0.4175857000, 0.4300036000, 0.4549809000, 0.5009245000, 0.5850605000, 0.7509058000, 1.1158474000", \ - "0.6147556000, 0.6301250000, 0.6622588000, 0.7182940000, 0.8113105000, 0.9808802000, 1.3496907000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0959095000, 0.1058636000, 0.1271737000, 0.1714488000, 0.2691191000, 0.5122254000, 1.1427131000", \ - "0.1002359000, 0.1101911000, 0.1314906000, 0.1757831000, 0.2734101000, 0.5163909000, 1.1517717000", \ - "0.1106549000, 0.1205669000, 0.1418596000, 0.1859483000, 0.2838275000, 0.5262674000, 1.1592233000", \ - "0.1351932000, 0.1449786000, 0.1658974000, 0.2099008000, 0.3077820000, 0.5503760000, 1.1822634000", \ - "0.1787855000, 0.1886428000, 0.2098948000, 0.2543655000, 0.3526982000, 0.5955232000, 1.2298028000", \ - "0.2323743000, 0.2442763000, 0.2681494000, 0.3138242000, 0.4129453000, 0.6572748000, 1.2963437000", \ - "0.2775380000, 0.2931521000, 0.3237733000, 0.3773182000, 0.4789941000, 0.7233038000, 1.3557792000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0489436000, 0.0570949000, 0.0740037000, 0.1089349000, 0.1840244000, 0.3510135000, 0.7881422000", \ - "0.0483578000, 0.0565210000, 0.0737664000, 0.1088214000, 0.1840289000, 0.3511878000, 0.7881884000", \ - "0.0483899000, 0.0562038000, 0.0731487000, 0.1094829000, 0.1815178000, 0.3515370000, 0.7862632000", \ - "0.0473538000, 0.0551802000, 0.0721690000, 0.1087279000, 0.1809863000, 0.3515039000, 0.7858219000", \ - "0.0448117000, 0.0526722000, 0.0708943000, 0.1057795000, 0.1810003000, 0.3505786000, 0.7855215000", \ - "0.0567828000, 0.0648005000, 0.0793768000, 0.1105508000, 0.1823280000, 0.3511979000, 0.7861807000", \ - "0.0797720000, 0.0888252000, 0.1068042000, 0.1372979000, 0.2013993000, 0.3612232000, 0.7915851000"); - } - related_pin : "S1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0337208000, 0.0419950000, 0.0619058000, 0.1101947000, 0.2370988000, 0.5838012000, 1.4981792000", \ - "0.0336513000, 0.0420073000, 0.0618775000, 0.1101597000, 0.2368426000, 0.5833266000, 1.5035581000", \ - "0.0334577000, 0.0419661000, 0.0618149000, 0.1102604000, 0.2370076000, 0.5816216000, 1.5054208000", \ - "0.0331794000, 0.0417040000, 0.0616713000, 0.1101501000, 0.2372490000, 0.5815270000, 1.5053511000", \ - "0.0363796000, 0.0443906000, 0.0638070000, 0.1116608000, 0.2374916000, 0.5816427000, 1.5053139000", \ - "0.0471861000, 0.0545160000, 0.0718582000, 0.1163636000, 0.2409998000, 0.5843618000, 1.4987116000", \ - "0.0637612000, 0.0737855000, 0.0926755000, 0.1327621000, 0.2467317000, 0.5860097000, 1.4962299000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2125450000, 0.2259471000, 0.2523761000, 0.3006133000, 0.3874697000, 0.5540086000, 0.9180112000", \ - "0.2187354000, 0.2317623000, 0.2581070000, 0.3065567000, 0.3933205000, 0.5598673000, 0.9237683000", \ - "0.2300898000, 0.2435827000, 0.2701180000, 0.3184057000, 0.4051548000, 0.5718002000, 0.9357590000", \ - "0.2519512000, 0.2650370000, 0.2912780000, 0.3395553000, 0.4262097000, 0.5926850000, 0.9568287000", \ - "0.3003957000, 0.3129343000, 0.3381451000, 0.3853390000, 0.4714269000, 0.6374814000, 1.0014122000", \ - "0.3599424000, 0.3715493000, 0.3956178000, 0.4413145000, 0.5251689000, 0.6897186000, 1.0531432000", \ - "0.4016203000, 0.4130937000, 0.4375394000, 0.4835415000, 0.5682634000, 0.7333210000, 1.0950053000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1594995000, 0.1693261000, 0.1903884000, 0.2346316000, 0.3326049000, 0.5753956000, 1.2099327000", \ - "0.1640958000, 0.1738946000, 0.1950135000, 0.2392958000, 0.3373897000, 0.5805421000, 1.2141810000", \ - "0.1769023000, 0.1866645000, 0.2077704000, 0.2520024000, 0.3503081000, 0.5939091000, 1.2283039000", \ - "0.2084224000, 0.2181985000, 0.2393121000, 0.2835626000, 0.3818597000, 0.6254353000, 1.2599868000", \ - "0.2737858000, 0.2835610000, 0.3046240000, 0.3489291000, 0.4469695000, 0.6900184000, 1.3266864000", \ - "0.3759724000, 0.3856556000, 0.4065897000, 0.4508063000, 0.5483403000, 0.7909034000, 1.4254189000", \ - "0.5282612000, 0.5380268000, 0.5590030000, 0.6033649000, 0.7019227000, 0.9449159000, 1.5750500000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0626184000, 0.0695871000, 0.0845249000, 0.1179546000, 0.1851410000, 0.3508027000, 0.7860194000", \ - "0.0623004000, 0.0693777000, 0.0850373000, 0.1164985000, 0.1860783000, 0.3513930000, 0.7874647000", \ - "0.0624822000, 0.0695107000, 0.0858674000, 0.1173017000, 0.1849718000, 0.3506375000, 0.7859945000", \ - "0.0609288000, 0.0684303000, 0.0838398000, 0.1157573000, 0.1868647000, 0.3520924000, 0.7847774000", \ - "0.0543830000, 0.0622942000, 0.0788797000, 0.1124649000, 0.1832280000, 0.3512525000, 0.7853139000", \ - "0.0509183000, 0.0588722000, 0.0747845000, 0.1093883000, 0.1794555000, 0.3493246000, 0.7845466000", \ - "0.0509563000, 0.0584370000, 0.0750795000, 0.1099631000, 0.1819381000, 0.3470438000, 0.7786902000"); - } - related_pin : "S1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0337437000, 0.0425192000, 0.0625667000, 0.1110131000, 0.2380644000, 0.5831794000, 1.5046555000", \ - "0.0340228000, 0.0424317000, 0.0625414000, 0.1111769000, 0.2375639000, 0.5826065000, 1.5022611000", \ - "0.0339614000, 0.0424060000, 0.0624543000, 0.1110130000, 0.2380006000, 0.5835809000, 1.5001740000", \ - "0.0339408000, 0.0423895000, 0.0624127000, 0.1109859000, 0.2379730000, 0.5835219000, 1.5020198000", \ - "0.0338170000, 0.0423904000, 0.0624641000, 0.1109290000, 0.2375184000, 0.5830311000, 1.5063562000", \ - "0.0337646000, 0.0423243000, 0.0623708000, 0.1111456000, 0.2369799000, 0.5835197000, 1.5000368000", \ - "0.0350091000, 0.0430972000, 0.0630584000, 0.1119757000, 0.2385319000, 0.5814808000, 1.4945579000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__mux4_2") { - leakage_power () { - value : 0.0065112000; - when : "!A0&!A1&!A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0052504000; - when : "!A0&!A1&!A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0075643000; - when : "!A0&!A1&!A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0063036000; - when : "!A0&!A1&!A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0069087000; - when : "!A0&!A1&!A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0056480000; - when : "!A0&!A1&!A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0065752000; - when : "!A0&!A1&!A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0081139000; - when : "!A0&!A1&!A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0054602000; - when : "!A0&!A1&A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0069988000; - when : "!A0&!A1&A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0079600000; - when : "!A0&!A1&A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0066992000; - when : "!A0&!A1&A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0052203000; - when : "!A0&!A1&A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0067590000; - when : "!A0&!A1&A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0063420000; - when : "!A0&!A1&A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0078806000; - when : "!A0&!A1&A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0068980000; - when : "!A0&A1&!A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0056373000; - when : "!A0&A1&!A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0101045000; - when : "!A0&A1&!A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0060444000; - when : "!A0&A1&!A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0072956000; - when : "!A0&A1&!A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0060349000; - when : "!A0&A1&!A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0065866000; - when : "!A0&A1&!A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0053259000; - when : "!A0&A1&!A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0058470000; - when : "!A0&A1&A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0073857000; - when : "!A0&A1&A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0105002000; - when : "!A0&A1&A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0064400000; - when : "!A0&A1&A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0056072000; - when : "!A0&A1&A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0071458000; - when : "!A0&A1&A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0063534000; - when : "!A0&A1&A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0050926000; - when : "!A0&A1&A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0080367000; - when : "A0&!A1&!A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0039766000; - when : "A0&!A1&!A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0079628000; - when : "A0&!A1&!A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0067021000; - when : "A0&!A1&!A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0084343000; - when : "A0&!A1&!A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0043741000; - when : "A0&!A1&!A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0069737000; - when : "A0&!A1&!A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0085124000; - when : "A0&!A1&!A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0044569000; - when : "A0&!A1&A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0031962000; - when : "A0&!A1&A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0083585000; - when : "A0&!A1&A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0070977000; - when : "A0&!A1&A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0042171000; - when : "A0&!A1&A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0029563000; - when : "A0&!A1&A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0067404000; - when : "A0&!A1&A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0082791000; - when : "A0&!A1&A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0078633000; - when : "A0&A1&!A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0038032000; - when : "A0&A1&!A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0098838000; - when : "A0&A1&!A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0058237000; - when : "A0&A1&!A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0082609000; - when : "A0&A1&!A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0042008000; - when : "A0&A1&!A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0063659000; - when : "A0&A1&!A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0051052000; - when : "A0&A1&!A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0042836000; - when : "A0&A1&A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0030228000; - when : "A0&A1&A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0102795000; - when : "A0&A1&A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0062193000; - when : "A0&A1&A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0040437000; - when : "A0&A1&A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0027830000; - when : "A0&A1&A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0061327000; - when : "A0&A1&A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0048719000; - when : "A0&A1&A2&A3&S0&!S1"; - } - area : 22.521600000; - cell_footprint : "sky130_fd_sc_hd__mux4"; - cell_leakage_power : 0.0063705090; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A0") { - capacitance : 0.0018020000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0096454000, 0.0095878000, 0.0094552000, 0.0094643000, 0.0094852000, 0.0095333000, 0.0096443000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027816000, 0.0027271000, 0.0026014000, 0.0026198000, 0.0026623000, 0.0027601000, 0.0029855000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018880000; - } - pin ("A1") { - capacitance : 0.0017890000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017030000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0033125000, 0.0033090000, 0.0033009000, 0.0033039000, 0.0033109000, 0.0033270000, 0.0033641000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003205600, -0.003220300, -0.003254200, -0.003256600, -0.003262100, -0.003274900, -0.003304300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018750000; - } - pin ("A2") { - capacitance : 0.0017380000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016680000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087109000, 0.0086571000, 0.0085333000, 0.0085442000, 0.0085692000, 0.0086270000, 0.0087602000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047573000, 0.0047038000, 0.0045806000, 0.0046008000, 0.0046472000, 0.0047541000, 0.0050007000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018090000; - } - pin ("A3") { - capacitance : 0.0017510000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0029117000, 0.0029114000, 0.0029107000, 0.0029086000, 0.0029038000, 0.0028926000, 0.0028669000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002823000, -0.002837300, -0.002870200, -0.002871100, -0.002873200, -0.002877900, -0.002888700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018280000; - } - pin ("S0") { - capacitance : 0.0054550000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0051420000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0195877000, 0.0192944000, 0.0186182000, 0.0186790000, 0.0188192000, 0.0191424000, 0.0198872000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0018888000, 0.0016645000, 0.0011477000, 0.0011759000, 0.0012409000, 0.0013907000, 0.0017360000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0057660000; - } - pin ("S1") { - capacitance : 0.0031740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0030690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0103230000, 0.0101985000, 0.0099114000, 0.0099829000, 0.0101477000, 0.0105277000, 0.0114035000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000848900, -0.000978700, -0.001277900, -0.001207600, -0.001045700, -0.000672500, 0.0001878000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0032790000; - } - pin ("X") { - direction : "output"; - function : "(A0&!S0&!S1) | (A1&S0&!S1) | (A2&!S0&S1) | (A3&S0&S1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0102547000, 0.0084013000, 0.0039720000, -0.007980900, -0.043969600, -0.152627500, -0.472419300", \ - "0.0102007000, 0.0083934000, 0.0040170000, -0.008135100, -0.043973000, -0.152635400, -0.472417100", \ - "0.0103454000, 0.0085608000, 0.0040790000, -0.007937800, -0.043951600, -0.152602600, -0.472370900", \ - "0.0101550000, 0.0083590000, 0.0039101000, -0.008130700, -0.044084700, -0.152739100, -0.472497400", \ - "0.0099267000, 0.0081297000, 0.0037179000, -0.008402400, -0.044305700, -0.152908100, -0.472690600", \ - "0.0099611000, 0.0081735000, 0.0036951000, -0.008388700, -0.044440200, -0.153037300, -0.472754600", \ - "0.0129805000, 0.0110466000, 0.0058655000, -0.007889600, -0.044447700, -0.153018900, -0.472679800"); - } - related_pin : "A0"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0167303000, 0.0183573000, 0.0230609000, 0.0367353000, 0.0745168000, 0.1828451000, 0.4994583000", \ - "0.0167223000, 0.0183517000, 0.0231160000, 0.0367809000, 0.0745069000, 0.1828177000, 0.5014930000", \ - "0.0167257000, 0.0182821000, 0.0231512000, 0.0368232000, 0.0745410000, 0.1828401000, 0.5015070000", \ - "0.0165952000, 0.0181756000, 0.0230230000, 0.0367029000, 0.0744330000, 0.1828074000, 0.5014843000", \ - "0.0165248000, 0.0180772000, 0.0228687000, 0.0365745000, 0.0743086000, 0.1827991000, 0.4993726000", \ - "0.0169607000, 0.0184357000, 0.0227554000, 0.0363282000, 0.0740170000, 0.1826067000, 0.4986980000", \ - "0.0180980000, 0.0196442000, 0.0240431000, 0.0370944000, 0.0747195000, 0.1833356000, 0.4979273000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0184020000, 0.0166174000, 0.0122281000, 0.0003965000, -0.035205800, -0.143362600, -0.462794700", \ - "0.0183219000, 0.0165413000, 0.0121479000, 0.0003256000, -0.035284600, -0.143441800, -0.462860900", \ - "0.0182898000, 0.0164957000, 0.0121405000, 0.0002640000, -0.035357300, -0.143533000, -0.462998100", \ - "0.0181265000, 0.0163450000, 0.0118854000, 6.970000e-05, -0.035529600, -0.143654800, -0.463092800", \ - "0.0179252000, 0.0161451000, 0.0117606000, -0.000121600, -0.035728700, -0.143835100, -0.463294800", \ - "0.0180475000, 0.0162582000, 0.0117695000, -0.000135400, -0.035827100, -0.143995600, -0.463399600", \ - "0.0221398000, 0.0201913000, 0.0151008000, 0.0013949000, -0.035817700, -0.143915100, -0.463298400"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0203918000, 0.0220188000, 0.0266936000, 0.0404025000, 0.0785325000, 0.1876773000, 0.5047704000", \ - "0.0202979000, 0.0219241000, 0.0266181000, 0.0403254000, 0.0784479000, 0.1875308000, 0.5069006000", \ - "0.0202275000, 0.0218539000, 0.0265301000, 0.0402500000, 0.0783689000, 0.1875105000, 0.5047512000", \ - "0.0201488000, 0.0217547000, 0.0264387000, 0.0401564000, 0.0782502000, 0.1873770000, 0.5045855000", \ - "0.0200478000, 0.0216814000, 0.0264431000, 0.0401726000, 0.0781868000, 0.1872255000, 0.5065099000", \ - "0.0203266000, 0.0218296000, 0.0263003000, 0.0400357000, 0.0779461000, 0.1870290000, 0.5042901000", \ - "0.0219788000, 0.0234677000, 0.0279298000, 0.0410085000, 0.0786736000, 0.1874148000, 0.5035530000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0122333000, 0.0104157000, 0.0059519000, -0.005943100, -0.041573600, -0.149701000, -0.469141400", \ - "0.0121968000, 0.0104579000, 0.0060104000, -0.005927000, -0.041635200, -0.149724500, -0.469109800", \ - "0.0122688000, 0.0104701000, 0.0060120000, -0.005863600, -0.041516900, -0.149635800, -0.469043200", \ - "0.0122226000, 0.0104312000, 0.0060110000, -0.005990600, -0.041580100, -0.149722000, -0.469127500", \ - "0.0120858000, 0.0102868000, 0.0058289000, -0.006067900, -0.041774800, -0.149884800, -0.469258900", \ - "0.0121716000, 0.0103830000, 0.0058212000, -0.006114600, -0.041864600, -0.150011500, -0.469400200", \ - "0.0154517000, 0.0134945000, 0.0083261000, -0.005411300, -0.041852000, -0.150064900, -0.469418600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0141652000, 0.0157477000, 0.0206017000, 0.0343759000, 0.0724995000, 0.1816456000, 0.5008750000", \ - "0.0141452000, 0.0157269000, 0.0205149000, 0.0342602000, 0.0725057000, 0.1816770000, 0.4980771000", \ - "0.0142170000, 0.0158029000, 0.0205966000, 0.0343719000, 0.0724311000, 0.1817709000, 0.5008477000", \ - "0.0141326000, 0.0157670000, 0.0204613000, 0.0342135000, 0.0723641000, 0.1816341000, 0.4988989000", \ - "0.0140522000, 0.0156527000, 0.0204278000, 0.0342440000, 0.0723021000, 0.1815086000, 0.5005833000", \ - "0.0144820000, 0.0159714000, 0.0203088000, 0.0339532000, 0.0719514000, 0.1811297000, 0.4982949000", \ - "0.0156522000, 0.0171210000, 0.0215425000, 0.0347541000, 0.0723716000, 0.1815490000, 0.4958081000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0201106000, 0.0183211000, 0.0138945000, 0.0020698000, -0.033412500, -0.141441300, -0.460790900", \ - "0.0200569000, 0.0182702000, 0.0138234000, 0.0018893000, -0.033458700, -0.141483100, -0.460877400", \ - "0.0199721000, 0.0181847000, 0.0137449000, 0.0019722000, -0.033579600, -0.141558500, -0.460948700", \ - "0.0198953000, 0.0180989000, 0.0136681000, 0.0018839000, -0.033639600, -0.141640900, -0.461037100", \ - "0.0197517000, 0.0179581000, 0.0135542000, 0.0015569000, -0.033822500, -0.141802700, -0.461144300", \ - "0.0198611000, 0.0180645000, 0.0135456000, 0.0017375000, -0.033861000, -0.141879400, -0.461231200", \ - "0.0233739000, 0.0214194000, 0.0162281000, 0.0025601000, -0.033738100, -0.141779900, -0.461096200"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0193172000, 0.0209571000, 0.0256351000, 0.0393152000, 0.0774128000, 0.1865980000, 0.5039019000", \ - "0.0192500000, 0.0208451000, 0.0255752000, 0.0392658000, 0.0773737000, 0.1865079000, 0.5034614000", \ - "0.0192178000, 0.0208251000, 0.0254901000, 0.0391232000, 0.0772349000, 0.1864393000, 0.5036511000", \ - "0.0191072000, 0.0206972000, 0.0254289000, 0.0391212000, 0.0771351000, 0.1863815000, 0.5054869000", \ - "0.0191038000, 0.0206628000, 0.0254442000, 0.0390163000, 0.0770621000, 0.1862279000, 0.5030185000", \ - "0.0194789000, 0.0210074000, 0.0253765000, 0.0389066000, 0.0768190000, 0.1859553000, 0.5052111000", \ - "0.0207455000, 0.0222639000, 0.0266932000, 0.0398874000, 0.0774915000, 0.1867093000, 0.5047505000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0199940000, 0.0182060000, 0.0137425000, 0.0019307000, -0.033629300, -0.141816200, -0.461011700", \ - "0.0199390000, 0.0181591000, 0.0137406000, 0.0019023000, -0.033646900, -0.141766000, -0.461169300", \ - "0.0199773000, 0.0181834000, 0.0137926000, 0.0019662000, -0.033590000, -0.141729600, -0.461096300", \ - "0.0191589000, 0.0174063000, 0.0129660000, 0.0011452000, -0.034409900, -0.142538600, -0.461918000", \ - "0.0185734000, 0.0167933000, 0.0123800000, 0.0005754000, -0.035048300, -0.143141400, -0.462519400", \ - "0.0181609000, 0.0163871000, 0.0119757000, 0.0001862000, -0.035363100, -0.143438900, -0.462841200", \ - "0.0247401000, 0.0228669000, 0.0179727000, 0.0045974000, -0.033221600, -0.142497600, -0.461856200"); - } - related_pin : "S0"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0281051000, 0.0297595000, 0.0345108000, 0.0481437000, 0.0858414000, 0.1946317000, 0.5132366000", \ - "0.0279772000, 0.0295608000, 0.0343495000, 0.0479738000, 0.0857791000, 0.1944678000, 0.5106128000", \ - "0.0282019000, 0.0297811000, 0.0346178000, 0.0482831000, 0.0859969000, 0.1944783000, 0.5132573000", \ - "0.0277580000, 0.0293372000, 0.0341037000, 0.0477851000, 0.0855035000, 0.1941360000, 0.5125878000", \ - "0.0271241000, 0.0286696000, 0.0334442000, 0.0470753000, 0.0848664000, 0.1935053000, 0.5100634000", \ - "0.0267141000, 0.0282737000, 0.0330464000, 0.0466630000, 0.0845349000, 0.1931637000, 0.5095133000", \ - "0.0277820000, 0.0293402000, 0.0338254000, 0.0469798000, 0.0848774000, 0.1932815000, 0.5113675000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0118414000, 0.0100605000, 0.0056639000, -0.006170700, -0.041576100, -0.149714900, -0.469211500", \ - "0.0118171000, 0.0100332000, 0.0056348000, -0.006129600, -0.041610200, -0.149747500, -0.469256200", \ - "0.0119755000, 0.0101875000, 0.0058109000, -0.005974400, -0.041426700, -0.149547300, -0.469061200", \ - "0.0118762000, 0.0100906000, 0.0056955000, -0.006003000, -0.041488500, -0.149651900, -0.469159600", \ - "0.0116637000, 0.0099300000, 0.0056427000, -0.006105200, -0.041529100, -0.149619800, -0.469087100", \ - "0.0124671000, 0.0106921000, 0.0060587000, -0.005747000, -0.041296100, -0.149397000, -0.468812900", \ - "0.0190292000, 0.0172406000, 0.0124113000, -0.000692000, -0.038377400, -0.148196400, -0.467691700"); - } - related_pin : "S1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0161761000, 0.0177861000, 0.0225699000, 0.0362673000, 0.0742928000, 0.1834596000, 0.5008831000", \ - "0.0160944000, 0.0177117000, 0.0224713000, 0.0361992000, 0.0741943000, 0.1833802000, 0.5002813000", \ - "0.0162151000, 0.0178176000, 0.0225971000, 0.0363139000, 0.0743408000, 0.1835158000, 0.5009714000", \ - "0.0161547000, 0.0177892000, 0.0225695000, 0.0362994000, 0.0742848000, 0.1834738000, 0.5025204000", \ - "0.0162864000, 0.0179269000, 0.0227379000, 0.0363816000, 0.0744407000, 0.1835410000, 0.5010431000", \ - "0.0164742000, 0.0181021000, 0.0229997000, 0.0369606000, 0.0747693000, 0.1840388000, 0.5013780000", \ - "0.0187676000, 0.0203344000, 0.0248595000, 0.0380396000, 0.0756026000, 0.1854059000, 0.5007813000"); - } - } - max_capacitance : 0.3012180000; - max_transition : 1.5034400000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.4064566000, 0.4168633000, 0.4403922000, 0.4858796000, 0.5686201000, 0.7259255000, 1.0727361000", \ - "0.4118063000, 0.4219450000, 0.4455451000, 0.4910618000, 0.5741012000, 0.7317501000, 1.0781452000", \ - "0.4236314000, 0.4342437000, 0.4572681000, 0.5028883000, 0.5858555000, 0.7434631000, 1.0899835000", \ - "0.4483160000, 0.4588196000, 0.4821618000, 0.5273632000, 0.6102494000, 0.7679807000, 1.1144942000", \ - "0.5016001000, 0.5120019000, 0.5354281000, 0.5809204000, 0.6638914000, 0.8216512000, 1.1681631000", \ - "0.6249725000, 0.6356153000, 0.6585354000, 0.7041711000, 0.7874986000, 0.9454714000, 1.2918501000", \ - "0.8629336000, 0.8744643000, 0.8995458000, 0.9485662000, 1.0362531000, 1.1994075000, 1.5489627000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1870773000, 0.1955082000, 0.2145116000, 0.2552492000, 0.3455625000, 0.5803257000, 1.2546754000", \ - "0.1913064000, 0.1996716000, 0.2188745000, 0.2595693000, 0.3499168000, 0.5844882000, 1.2570756000", \ - "0.1999485000, 0.2083165000, 0.2275607000, 0.2682575000, 0.3585919000, 0.5932493000, 1.2668571000", \ - "0.2193661000, 0.2277431000, 0.2469899000, 0.2876666000, 0.3780295000, 0.6125994000, 1.2862429000", \ - "0.2609037000, 0.2692591000, 0.2885256000, 0.3292611000, 0.4195694000, 0.6540291000, 1.3296448000", \ - "0.3305168000, 0.3396436000, 0.3601690000, 0.4028857000, 0.4953818000, 0.7313605000, 1.4059340000", \ - "0.4243418000, 0.4348883000, 0.4584445000, 0.5056741000, 0.6027651000, 0.8405358000, 1.5149113000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0673857000, 0.0734419000, 0.0860516000, 0.1131727000, 0.1708523000, 0.3094284000, 0.7002091000", \ - "0.0669130000, 0.0729047000, 0.0866374000, 0.1114177000, 0.1696362000, 0.3090778000, 0.6991259000", \ - "0.0673918000, 0.0732574000, 0.0874357000, 0.1131121000, 0.1689011000, 0.3088177000, 0.6989153000", \ - "0.0676294000, 0.0733021000, 0.0860590000, 0.1118305000, 0.1685779000, 0.3092599000, 0.6990285000", \ - "0.0676598000, 0.0733180000, 0.0851652000, 0.1114941000, 0.1688832000, 0.3097209000, 0.6970994000", \ - "0.0683931000, 0.0734745000, 0.0859111000, 0.1126296000, 0.1701475000, 0.3090621000, 0.7003946000", \ - "0.0802775000, 0.0847451000, 0.0980832000, 0.1231802000, 0.1795013000, 0.3149734000, 0.7022090000"); - } - related_pin : "A0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0345745000, 0.0412417000, 0.0569984000, 0.0951282000, 0.2017510000, 0.5279353000, 1.5009304000", \ - "0.0347468000, 0.0411526000, 0.0567440000, 0.0951374000, 0.2019472000, 0.5268416000, 1.5024441000", \ - "0.0347217000, 0.0410139000, 0.0565963000, 0.0951424000, 0.2019899000, 0.5263620000, 1.5032691000", \ - "0.0346267000, 0.0410402000, 0.0567181000, 0.0950673000, 0.2018379000, 0.5272252000, 1.5008349000", \ - "0.0351189000, 0.0414677000, 0.0570341000, 0.0951831000, 0.2017801000, 0.5275275000, 1.5007783000", \ - "0.0384538000, 0.0452063000, 0.0614645000, 0.0993485000, 0.2047885000, 0.5273783000, 1.4978527000", \ - "0.0474543000, 0.0552711000, 0.0709930000, 0.1097210000, 0.2124420000, 0.5301319000, 1.4972487000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.4048666000, 0.4151327000, 0.4383294000, 0.4834255000, 0.5661637000, 0.7236334000, 1.0695631000", \ - "0.4096184000, 0.4199760000, 0.4430824000, 0.4882255000, 0.5709480000, 0.7284225000, 1.0743515000", \ - "0.4205606000, 0.4309696000, 0.4539405000, 0.4992921000, 0.5819066000, 0.7390317000, 1.0852284000", \ - "0.4433916000, 0.4537967000, 0.4769851000, 0.5221563000, 0.6049163000, 0.7620741000, 1.1081088000", \ - "0.4915708000, 0.5016479000, 0.5250516000, 0.5703535000, 0.6529923000, 0.8106074000, 1.1567239000", \ - "0.6024209000, 0.6128730000, 0.6365859000, 0.6816055000, 0.7642653000, 0.9218014000, 1.2680563000", \ - "0.8078631000, 0.8188128000, 0.8441265000, 0.8930514000, 0.9807534000, 1.1452546000, 1.4949773000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1908322000, 0.1994451000, 0.2187772000, 0.2601863000, 0.3517930000, 0.5874131000, 1.2642551000", \ - "0.1951242000, 0.2037103000, 0.2230301000, 0.2644354000, 0.3559819000, 0.5924414000, 1.2710922000", \ - "0.2043849000, 0.2129729000, 0.2323090000, 0.2737075000, 0.3653057000, 0.6014851000, 1.2768583000", \ - "0.2253508000, 0.2338535000, 0.2532508000, 0.2946546000, 0.3862306000, 0.6219988000, 1.2984279000", \ - "0.2704026000, 0.2789426000, 0.2985162000, 0.3397907000, 0.4313556000, 0.6676067000, 1.3426181000", \ - "0.3487486000, 0.3579530000, 0.3787074000, 0.4219713000, 0.5153305000, 0.7522098000, 1.4274285000", \ - "0.4605424000, 0.4712962000, 0.4950271000, 0.5428362000, 0.6407501000, 0.8794750000, 1.5548604000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0661384000, 0.0717818000, 0.0841070000, 0.1112770000, 0.1687611000, 0.3075573000, 0.6991785000", \ - "0.0661279000, 0.0717430000, 0.0841057000, 0.1113547000, 0.1687802000, 0.3075505000, 0.6992094000", \ - "0.0661068000, 0.0714185000, 0.0860722000, 0.1118944000, 0.1698331000, 0.3080459000, 0.6988303000", \ - "0.0663695000, 0.0719457000, 0.0839660000, 0.1102826000, 0.1674990000, 0.3077402000, 0.6978609000", \ - "0.0658282000, 0.0717676000, 0.0850409000, 0.1101936000, 0.1670442000, 0.3083992000, 0.6963370000", \ - "0.0673664000, 0.0725645000, 0.0848575000, 0.1107897000, 0.1684130000, 0.3081919000, 0.6981050000", \ - "0.0786880000, 0.0850077000, 0.0977811000, 0.1229350000, 0.1789359000, 0.3144744000, 0.7027945000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0350009000, 0.0416731000, 0.0574604000, 0.0957816000, 0.2026136000, 0.5279920000, 1.5011814000", \ - "0.0350182000, 0.0417747000, 0.0576393000, 0.0958242000, 0.2024957000, 0.5283055000, 1.4999185000", \ - "0.0349965000, 0.0417329000, 0.0575705000, 0.0957347000, 0.2026587000, 0.5270391000, 1.5014544000", \ - "0.0351876000, 0.0416792000, 0.0574681000, 0.0957868000, 0.2026173000, 0.5279269000, 1.5012781000", \ - "0.0355253000, 0.0419972000, 0.0575304000, 0.0958897000, 0.2027325000, 0.5274616000, 1.4999070000", \ - "0.0387322000, 0.0458427000, 0.0619879000, 0.0999627000, 0.2050230000, 0.5285859000, 1.5004767000", \ - "0.0475663000, 0.0549534000, 0.0715984000, 0.1106606000, 0.2131032000, 0.5310886000, 1.4947537000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.4108521000, 0.4214223000, 0.4448030000, 0.4904499000, 0.5740558000, 0.7325646000, 1.0798916000", \ - "0.4165570000, 0.4271264000, 0.4503947000, 0.4961371000, 0.5794007000, 0.7378938000, 1.0853828000", \ - "0.4286272000, 0.4392300000, 0.4626277000, 0.5082388000, 0.5915827000, 0.7504171000, 1.0974903000", \ - "0.4534585000, 0.4639447000, 0.4874751000, 0.5328381000, 0.6162751000, 0.7747770000, 1.1223011000", \ - "0.5065477000, 0.5171889000, 0.5403768000, 0.5862167000, 0.6694470000, 0.8279192000, 1.1753770000", \ - "0.6272096000, 0.6377737000, 0.6612639000, 0.7068994000, 0.7904299000, 0.9490067000, 1.2965354000", \ - "0.8605056000, 0.8712143000, 0.8967442000, 0.9458555000, 1.0339652000, 1.1974426000, 1.5475243000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1874012000, 0.1957921000, 0.2151716000, 0.2562920000, 0.3476015000, 0.5839998000, 1.2595469000", \ - "0.1917907000, 0.2001660000, 0.2195423000, 0.2605745000, 0.3520039000, 0.5881924000, 1.2642059000", \ - "0.2008756000, 0.2091980000, 0.2285047000, 0.2696415000, 0.3608442000, 0.5974824000, 1.2744392000", \ - "0.2206766000, 0.2291034000, 0.2483486000, 0.2894040000, 0.3808175000, 0.6165858000, 1.2929454000", \ - "0.2631791000, 0.2715782000, 0.2909227000, 0.3320897000, 0.4232027000, 0.6598361000, 1.3371712000", \ - "0.3370782000, 0.3461462000, 0.3667615000, 0.4097530000, 0.5024657000, 0.7387069000, 1.4142865000", \ - "0.4376047000, 0.4481407000, 0.4720658000, 0.5196030000, 0.6170879000, 0.8555386000, 1.5304873000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0677703000, 0.0734504000, 0.0856324000, 0.1123504000, 0.1697636000, 0.3094975000, 0.7010410000", \ - "0.0672312000, 0.0733538000, 0.0867322000, 0.1132923000, 0.1690547000, 0.3104334000, 0.6994636000", \ - "0.0677971000, 0.0734475000, 0.0856504000, 0.1128226000, 0.1706587000, 0.3099232000, 0.7009781000", \ - "0.0680027000, 0.0735222000, 0.0865548000, 0.1121257000, 0.1691895000, 0.3105085000, 0.6979333000", \ - "0.0675650000, 0.0734013000, 0.0870502000, 0.1133988000, 0.1694607000, 0.3090881000, 0.6994778000", \ - "0.0683800000, 0.0736567000, 0.0859426000, 0.1123721000, 0.1695244000, 0.3102608000, 0.7004272000", \ - "0.0797729000, 0.0850662000, 0.0996605000, 0.1245309000, 0.1806279000, 0.3154244000, 0.7038267000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0347505000, 0.0412728000, 0.0565828000, 0.0951888000, 0.2021762000, 0.5263370000, 1.5015171000", \ - "0.0347776000, 0.0410116000, 0.0568391000, 0.0951370000, 0.2018483000, 0.5274442000, 1.4957434000", \ - "0.0348247000, 0.0413370000, 0.0568805000, 0.0951063000, 0.2021369000, 0.5275113000, 1.5024073000", \ - "0.0348073000, 0.0411273000, 0.0568418000, 0.0951251000, 0.2024581000, 0.5277035000, 1.5008065000", \ - "0.0350580000, 0.0415511000, 0.0571928000, 0.0953368000, 0.2021075000, 0.5280358000, 1.5020389000", \ - "0.0383466000, 0.0450074000, 0.0612713000, 0.0994306000, 0.2045082000, 0.5279853000, 1.4983158000", \ - "0.0475189000, 0.0543053000, 0.0709980000, 0.1097451000, 0.2120344000, 0.5300835000, 1.4959642000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.4199485000, 0.4304875000, 0.4537044000, 0.5000557000, 0.5833068000, 0.7423897000, 1.0904371000", \ - "0.4244852000, 0.4353911000, 0.4588300000, 0.5046196000, 0.5882964000, 0.7470658000, 1.0952657000", \ - "0.4369370000, 0.4478469000, 0.4711759000, 0.5170018000, 0.6006562000, 0.7594494000, 1.1076268000", \ - "0.4617436000, 0.4723794000, 0.4956049000, 0.5416582000, 0.6252091000, 0.7839178000, 1.1321426000", \ - "0.5138322000, 0.5244719000, 0.5478288000, 0.5940506000, 0.6777013000, 0.8367338000, 1.1847578000", \ - "0.6327406000, 0.6434728000, 0.6670072000, 0.7131987000, 0.7971087000, 0.9559552000, 1.3040999000", \ - "0.8617722000, 0.8733027000, 0.8985790000, 0.9471491000, 1.0353101000, 1.1994481000, 1.5501955000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1879124000, 0.1963856000, 0.2155988000, 0.2567989000, 0.3481683000, 0.5844110000, 1.2599395000", \ - "0.1919989000, 0.2003221000, 0.2198621000, 0.2609526000, 0.3524225000, 0.5885616000, 1.2647799000", \ - "0.2010209000, 0.2095102000, 0.2287059000, 0.2698273000, 0.3613400000, 0.5969683000, 1.2741849000", \ - "0.2212175000, 0.2296506000, 0.2489490000, 0.2901451000, 0.3814057000, 0.6180001000, 1.2954416000", \ - "0.2647074000, 0.2731179000, 0.2924890000, 0.3335950000, 0.4250471000, 0.6610005000, 1.3381143000", \ - "0.3389920000, 0.3482296000, 0.3687219000, 0.4118196000, 0.5048371000, 0.7416454000, 1.4181581000", \ - "0.4398074000, 0.4504707000, 0.4743512000, 0.5220602000, 0.6195643000, 0.8580515000, 1.5341407000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0678972000, 0.0743674000, 0.0870613000, 0.1135037000, 0.1696537000, 0.3111103000, 0.7005789000", \ - "0.0679389000, 0.0734865000, 0.0871416000, 0.1145670000, 0.1721896000, 0.3109570000, 0.7009309000", \ - "0.0684360000, 0.0741528000, 0.0871325000, 0.1144792000, 0.1697438000, 0.3109129000, 0.7007546000", \ - "0.0684256000, 0.0742391000, 0.0868095000, 0.1140508000, 0.1717636000, 0.3109470000, 0.7011550000", \ - "0.0682188000, 0.0739169000, 0.0877219000, 0.1141549000, 0.1695424000, 0.3108125000, 0.7007690000", \ - "0.0690789000, 0.0740401000, 0.0863162000, 0.1130784000, 0.1702230000, 0.3113352000, 0.6992576000", \ - "0.0807309000, 0.0854596000, 0.0983853000, 0.1245331000, 0.1806034000, 0.3161780000, 0.7041243000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0347110000, 0.0411684000, 0.0570247000, 0.0951446000, 0.2021537000, 0.5268851000, 1.5010885000", \ - "0.0348485000, 0.0412563000, 0.0569113000, 0.0952944000, 0.2020200000, 0.5275266000, 1.4960451000", \ - "0.0347556000, 0.0411624000, 0.0568863000, 0.0953026000, 0.2025604000, 0.5277938000, 1.5008530000", \ - "0.0345153000, 0.0413373000, 0.0570343000, 0.0952632000, 0.2022014000, 0.5278276000, 1.5018891000", \ - "0.0350716000, 0.0412761000, 0.0568588000, 0.0955375000, 0.2024513000, 0.5279567000, 1.4984450000", \ - "0.0383367000, 0.0450792000, 0.0613752000, 0.0996941000, 0.2044588000, 0.5287344000, 1.5020756000", \ - "0.0477061000, 0.0542766000, 0.0710805000, 0.1099921000, 0.2120950000, 0.5305726000, 1.4978200000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.3746346000, 0.3851202000, 0.4086974000, 0.4544212000, 0.5376989000, 0.6960131000, 1.0435255000", \ - "0.3785701000, 0.3890412000, 0.4125132000, 0.4585053000, 0.5416176000, 0.6998749000, 1.0474598000", \ - "0.3893547000, 0.3998320000, 0.4233669000, 0.4692158000, 0.5524462000, 0.7107778000, 1.0583078000", \ - "0.4171118000, 0.4276967000, 0.4513324000, 0.4968734000, 0.5801831000, 0.7387581000, 1.0861617000", \ - "0.4855453000, 0.4963695000, 0.5198382000, 0.5654853000, 0.6487464000, 0.8073499000, 1.1548493000", \ - "0.6499271000, 0.6607497000, 0.6842180000, 0.7298687000, 0.8132464000, 0.9718122000, 1.3194497000", \ - "0.9776112000, 0.9898866000, 1.0158220000, 1.0666659000, 1.1562329000, 1.3201732000, 1.6704156000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1712913000, 0.1800936000, 0.1997857000, 0.2416991000, 0.3340826000, 0.5707342000, 1.2453413000", \ - "0.1754861000, 0.1840935000, 0.2039224000, 0.2457874000, 0.3381020000, 0.5744420000, 1.2521791000", \ - "0.1850418000, 0.1938252000, 0.2135381000, 0.2554401000, 0.3478413000, 0.5845112000, 1.2603141000", \ - "0.2069703000, 0.2156401000, 0.2354993000, 0.2772330000, 0.3695964000, 0.6061331000, 1.2812905000", \ - "0.2510811000, 0.2598785000, 0.2797464000, 0.3215850000, 0.4138484000, 0.6500419000, 1.3254887000", \ - "0.3178596000, 0.3279458000, 0.3498185000, 0.3943867000, 0.4886279000, 0.7262271000, 1.4032239000", \ - "0.3853014000, 0.3974774000, 0.4249344000, 0.4769763000, 0.5771562000, 0.8165358000, 1.4916309000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0671728000, 0.0730860000, 0.0853254000, 0.1131348000, 0.1682715000, 0.3094661000, 0.6993983000", \ - "0.0672723000, 0.0727283000, 0.0849540000, 0.1127435000, 0.1685403000, 0.3096978000, 0.6995479000", \ - "0.0671804000, 0.0729829000, 0.0851176000, 0.1131337000, 0.1683675000, 0.3094490000, 0.6993254000", \ - "0.0673063000, 0.0730520000, 0.0858491000, 0.1131844000, 0.1682818000, 0.3099695000, 0.6999782000", \ - "0.0672115000, 0.0724079000, 0.0863379000, 0.1110881000, 0.1686189000, 0.3103414000, 0.6996488000", \ - "0.0675573000, 0.0728637000, 0.0865780000, 0.1119684000, 0.1693475000, 0.3103800000, 0.6999292000", \ - "0.0917081000, 0.0956447000, 0.1071052000, 0.1306650000, 0.1849958000, 0.3187231000, 0.7029701000"); - } - related_pin : "S0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0359135000, 0.0423578000, 0.0584666000, 0.0969634000, 0.2036654000, 0.5273908000, 1.4957405000", \ - "0.0357280000, 0.0421527000, 0.0580387000, 0.0969718000, 0.2037137000, 0.5272676000, 1.5011159000", \ - "0.0359383000, 0.0423793000, 0.0584830000, 0.0969656000, 0.2036185000, 0.5274343000, 1.4990300000", \ - "0.0358910000, 0.0422456000, 0.0583142000, 0.0967222000, 0.2035674000, 0.5284012000, 1.5003742000", \ - "0.0366040000, 0.0431214000, 0.0587758000, 0.0971951000, 0.2034748000, 0.5282272000, 1.4999558000", \ - "0.0429829000, 0.0495511000, 0.0661171000, 0.1033166000, 0.2072035000, 0.5288217000, 1.4998882000", \ - "0.0589471000, 0.0670229000, 0.0837529000, 0.1203278000, 0.2174828000, 0.5315084000, 1.4950011000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.4437304000, 0.4540818000, 0.4772144000, 0.5224792000, 0.6051443000, 0.7625415000, 1.1086428000", \ - "0.4484687000, 0.4588832000, 0.4820884000, 0.5271265000, 0.6098007000, 0.7672666000, 1.1132819000", \ - "0.4587409000, 0.4691064000, 0.4922426000, 0.5375214000, 0.6202069000, 0.7776073000, 1.1237126000", \ - "0.4796816000, 0.4900325000, 0.5131568000, 0.5584398000, 0.6411610000, 0.7985685000, 1.1446820000", \ - "0.5134259000, 0.5238703000, 0.5468756000, 0.5923388000, 0.6748984000, 0.8322674000, 1.1782513000", \ - "0.5560891000, 0.5664421000, 0.5895905000, 0.6351743000, 0.7182538000, 0.8757710000, 1.2218860000", \ - "0.6029630000, 0.6129450000, 0.6361363000, 0.6813344000, 0.7639115000, 0.9210869000, 1.2669942000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.2880656000, 0.2965204000, 0.3157156000, 0.3564978000, 0.4468052000, 0.6819528000, 1.3573795000", \ - "0.2916572000, 0.3000356000, 0.3192891000, 0.3599568000, 0.4504878000, 0.6848965000, 1.3609244000", \ - "0.3031164000, 0.3114828000, 0.3307538000, 0.3715161000, 0.4619057000, 0.6968942000, 1.3717615000", \ - "0.3336632000, 0.3419920000, 0.3611702000, 0.4019551000, 0.4922309000, 0.7274409000, 1.4050381000", \ - "0.4037823000, 0.4121267000, 0.4313563000, 0.4720405000, 0.5625810000, 0.7968623000, 1.4731382000", \ - "0.5264448000, 0.5348421000, 0.5539471000, 0.5948785000, 0.6857677000, 0.9205971000, 1.5959537000", \ - "0.7221028000, 0.7307158000, 0.7502414000, 0.7914692000, 0.8822676000, 1.1176423000, 1.7915487000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0665016000, 0.0719812000, 0.0844454000, 0.1105071000, 0.1673986000, 0.3084131000, 0.6978272000", \ - "0.0662292000, 0.0718351000, 0.0839182000, 0.1105019000, 0.1673629000, 0.3071033000, 0.6975986000", \ - "0.0664699000, 0.0715549000, 0.0845396000, 0.1105182000, 0.1674383000, 0.3084647000, 0.6975319000", \ - "0.0664930000, 0.0719171000, 0.0843755000, 0.1104811000, 0.1674225000, 0.3084487000, 0.6976907000", \ - "0.0658977000, 0.0714635000, 0.0853462000, 0.1115824000, 0.1679239000, 0.3070796000, 0.6976613000", \ - "0.0655861000, 0.0711015000, 0.0847959000, 0.1116872000, 0.1680214000, 0.3084098000, 0.6990581000", \ - "0.0648983000, 0.0711240000, 0.0833115000, 0.1098816000, 0.1690775000, 0.3073714000, 0.6967820000"); - } - related_pin : "S0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0352507000, 0.0415274000, 0.0570868000, 0.0952214000, 0.2022213000, 0.5274490000, 1.5028485000", \ - "0.0349682000, 0.0412258000, 0.0569939000, 0.0952663000, 0.2023364000, 0.5278052000, 1.4989827000", \ - "0.0351806000, 0.0414114000, 0.0568898000, 0.0952741000, 0.2020930000, 0.5265100000, 1.5024666000", \ - "0.0349627000, 0.0415372000, 0.0571266000, 0.0952146000, 0.2021099000, 0.5276896000, 1.4998974000", \ - "0.0349748000, 0.0413077000, 0.0569500000, 0.0952718000, 0.2024888000, 0.5276669000, 1.5001452000", \ - "0.0351890000, 0.0414927000, 0.0571027000, 0.0958003000, 0.2025369000, 0.5279009000, 1.4989302000", \ - "0.0360274000, 0.0427978000, 0.0584962000, 0.0963187000, 0.2023767000, 0.5269666000, 1.4966494000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.2399966000, 0.2503268000, 0.2733793000, 0.3186075000, 0.4005219000, 0.5570880000, 0.9025925000", \ - "0.2445389000, 0.2548767000, 0.2779867000, 0.3229822000, 0.4050726000, 0.5615625000, 0.9069273000", \ - "0.2552276000, 0.2652833000, 0.2885937000, 0.3336468000, 0.4157611000, 0.5718659000, 0.9177075000", \ - "0.2803892000, 0.2908853000, 0.3140631000, 0.3588910000, 0.4409203000, 0.5975715000, 0.9428963000", \ - "0.3346550000, 0.3448351000, 0.3678013000, 0.4124613000, 0.4944320000, 0.6506262000, 0.9961457000", \ - "0.4496420000, 0.4606429000, 0.4848759000, 0.5302747000, 0.6116109000, 0.7682352000, 1.1136412000", \ - "0.6266296000, 0.6403134000, 0.6705750000, 0.7298243000, 0.8276633000, 0.9943839000, 1.3463424000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1322831000, 0.1408095000, 0.1600963000, 0.2012452000, 0.2927387000, 0.5285664000, 1.2029036000", \ - "0.1368509000, 0.1452931000, 0.1647178000, 0.2058171000, 0.2971871000, 0.5333748000, 1.2091346000", \ - "0.1473973000, 0.1558408000, 0.1752547000, 0.2163811000, 0.3076303000, 0.5440144000, 1.2216780000", \ - "0.1710600000, 0.1796467000, 0.1989253000, 0.2399810000, 0.3313522000, 0.5669896000, 1.2457860000", \ - "0.2234960000, 0.2319524000, 0.2512232000, 0.2924014000, 0.3839754000, 0.6201305000, 1.2948019000", \ - "0.2989836000, 0.3091579000, 0.3309068000, 0.3745527000, 0.4678716000, 0.7056801000, 1.3866028000", \ - "0.3838321000, 0.3966189000, 0.4247709000, 0.4778013000, 0.5769113000, 0.8140315000, 1.4898834000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0626069000, 0.0682792000, 0.0808967000, 0.1094050000, 0.1649142000, 0.3070448000, 0.6963403000", \ - "0.0626388000, 0.0681527000, 0.0807071000, 0.1077359000, 0.1659214000, 0.3059509000, 0.6966401000", \ - "0.0629189000, 0.0684975000, 0.0818837000, 0.1072631000, 0.1669751000, 0.3064795000, 0.6986307000", \ - "0.0624360000, 0.0683819000, 0.0810578000, 0.1089774000, 0.1653405000, 0.3061746000, 0.6982288000", \ - "0.0607779000, 0.0664495000, 0.0804267000, 0.1068497000, 0.1662431000, 0.3058560000, 0.6967559000", \ - "0.0697762000, 0.0753989000, 0.0875875000, 0.1105964000, 0.1671692000, 0.3067329000, 0.6986156000", \ - "0.0965640000, 0.1039961000, 0.1189536000, 0.1475783000, 0.1992812000, 0.3268877000, 0.7076281000"); - } - related_pin : "S1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0345150000, 0.0410730000, 0.0566528000, 0.0950125000, 0.2016666000, 0.5273895000, 1.4992093000", \ - "0.0345841000, 0.0409562000, 0.0566304000, 0.0949363000, 0.2019494000, 0.5266313000, 1.5034397000", \ - "0.0345454000, 0.0411043000, 0.0566165000, 0.0949702000, 0.2018698000, 0.5274964000, 1.4995032000", \ - "0.0344747000, 0.0409050000, 0.0567480000, 0.0948070000, 0.2022094000, 0.5273581000, 1.4996653000", \ - "0.0360272000, 0.0421469000, 0.0574140000, 0.0958328000, 0.2021065000, 0.5265896000, 1.4977948000", \ - "0.0467752000, 0.0530751000, 0.0672036000, 0.1032977000, 0.2069272000, 0.5286570000, 1.5004632000", \ - "0.0653179000, 0.0734143000, 0.0902873000, 0.1237969000, 0.2176448000, 0.5331111000, 1.4968254000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.2397436000, 0.2501770000, 0.2737584000, 0.3192583000, 0.4024751000, 0.5606232000, 0.9078667000", \ - "0.2447549000, 0.2551818000, 0.2785674000, 0.3244841000, 0.4073097000, 0.5655754000, 0.9127951000", \ - "0.2549087000, 0.2653276000, 0.2890941000, 0.3347319000, 0.4179835000, 0.5756277000, 0.9231053000", \ - "0.2769386000, 0.2869381000, 0.3106320000, 0.3559853000, 0.4386144000, 0.5962710000, 0.9430415000", \ - "0.3195882000, 0.3298418000, 0.3528905000, 0.3978563000, 0.4803394000, 0.6376597000, 0.9846093000", \ - "0.3799708000, 0.3890918000, 0.4103932000, 0.4531915000, 0.5334750000, 0.6889589000, 1.0356994000", \ - "0.4073024000, 0.4167987000, 0.4381835000, 0.4810748000, 0.5621792000, 0.7182406000, 1.0641850000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1714219000, 0.1799362000, 0.1994855000, 0.2406242000, 0.3321426000, 0.5679861000, 1.2468965000", \ - "0.1763147000, 0.1849562000, 0.2043034000, 0.2455904000, 0.3369217000, 0.5733695000, 1.2530355000", \ - "0.1897090000, 0.1982359000, 0.2176665000, 0.2588833000, 0.3504233000, 0.5861732000, 1.2649292000", \ - "0.2216017000, 0.2301071000, 0.2494985000, 0.2908148000, 0.3821059000, 0.6185853000, 1.2969438000", \ - "0.2835074000, 0.2920193000, 0.3114243000, 0.3526373000, 0.4441457000, 0.6798342000, 1.3544654000", \ - "0.3771835000, 0.3856238000, 0.4049445000, 0.4461257000, 0.5372480000, 0.7733256000, 1.4495967000", \ - "0.5212845000, 0.5295273000, 0.5492359000, 0.5903778000, 0.6819056000, 0.9172322000, 1.5911799000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0661858000, 0.0720272000, 0.0848308000, 0.1104189000, 0.1683147000, 0.3096720000, 0.6990545000", \ - "0.0662644000, 0.0719195000, 0.0842115000, 0.1120911000, 0.1680473000, 0.3098275000, 0.6998496000", \ - "0.0664477000, 0.0713238000, 0.0852037000, 0.1105869000, 0.1689421000, 0.3094876000, 0.7005377000", \ - "0.0650464000, 0.0705097000, 0.0830309000, 0.1100632000, 0.1673199000, 0.3085474000, 0.6990624000", \ - "0.0610631000, 0.0668318000, 0.0805926000, 0.1075630000, 0.1669842000, 0.3075692000, 0.6970921000", \ - "0.0562516000, 0.0620074000, 0.0753310000, 0.1046984000, 0.1629321000, 0.3061247000, 0.6978975000", \ - "0.0568474000, 0.0622445000, 0.0761393000, 0.1040527000, 0.1639866000, 0.3057838000, 0.6929799000"); - } - related_pin : "S1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0350362000, 0.0413011000, 0.0572016000, 0.0955664000, 0.2026188000, 0.5278529000, 1.5022368000", \ - "0.0350511000, 0.0414867000, 0.0572927000, 0.0955445000, 0.2022588000, 0.5279768000, 1.5005966000", \ - "0.0350508000, 0.0413419000, 0.0571883000, 0.0956224000, 0.2027259000, 0.5278568000, 1.4981101000", \ - "0.0353345000, 0.0415993000, 0.0571845000, 0.0955565000, 0.2023939000, 0.5277186000, 1.5000015000", \ - "0.0351819000, 0.0415129000, 0.0569289000, 0.0956174000, 0.2025684000, 0.5278682000, 1.5007570000", \ - "0.0346473000, 0.0414318000, 0.0572338000, 0.0954415000, 0.2018945000, 0.5269952000, 1.5017557000", \ - "0.0354604000, 0.0421925000, 0.0576998000, 0.0959517000, 0.2029101000, 0.5264325000, 1.4955419000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__mux4_4") { - leakage_power () { - value : 0.0072464000; - when : "!A0&!A1&!A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0059859000; - when : "!A0&!A1&!A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0082752000; - when : "!A0&!A1&!A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0070148000; - when : "!A0&!A1&!A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0076441000; - when : "!A0&!A1&!A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0063836000; - when : "!A0&!A1&!A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0076514000; - when : "!A0&!A1&!A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0088244000; - when : "!A0&!A1&!A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0065558000; - when : "!A0&!A1&A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0077288000; - when : "!A0&!A1&A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0086710000; - when : "!A0&!A1&A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0074106000; - when : "!A0&!A1&A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0063162000; - when : "!A0&!A1&A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0074892000; - when : "!A0&!A1&A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0074181000; - when : "!A0&!A1&A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0085911000; - when : "!A0&!A1&A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0076421000; - when : "!A0&A1&!A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0063817000; - when : "!A0&A1&!A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0107468000; - when : "!A0&A1&!A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0070530000; - when : "!A0&A1&!A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0080398000; - when : "!A0&A1&!A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0067794000; - when : "!A0&A1&!A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0075942000; - when : "!A0&A1&!A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0063338000; - when : "!A0&A1&!A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0069516000; - when : "!A0&A1&A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0081245000; - when : "!A0&A1&A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0111426000; - when : "!A0&A1&A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0074488000; - when : "!A0&A1&A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0067119000; - when : "!A0&A1&A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0078849000; - when : "!A0&A1&A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0073609000; - when : "!A0&A1&A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0061005000; - when : "!A0&A1&A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0086616000; - when : "A0&!A1&!A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0049678000; - when : "A0&!A1&!A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0086866000; - when : "A0&!A1&!A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0074261000; - when : "A0&!A1&!A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0090593000; - when : "A0&!A1&!A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0053655000; - when : "A0&!A1&!A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0080627000; - when : "A0&!A1&!A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0092357000; - when : "A0&!A1&!A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0054423000; - when : "A0&!A1&A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0041818000; - when : "A0&!A1&A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0090823000; - when : "A0&!A1&A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0078219000; - when : "A0&!A1&A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0052026000; - when : "A0&!A1&A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0039422000; - when : "A0&!A1&A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0078294000; - when : "A0&!A1&A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0090024000; - when : "A0&!A1&A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0085003000; - when : "A0&A1&!A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0048065000; - when : "A0&A1&!A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0105408000; - when : "A0&A1&!A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0068471000; - when : "A0&A1&!A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0088979000; - when : "A0&A1&!A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0052042000; - when : "A0&A1&!A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0073883000; - when : "A0&A1&!A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0061278000; - when : "A0&A1&!A2&A3&S0&!S1"; - } - leakage_power () { - value : 0.0052809000; - when : "A0&A1&A2&!A3&!S0&S1"; - } - leakage_power () { - value : 0.0040205000; - when : "A0&A1&A2&!A3&!S0&!S1"; - } - leakage_power () { - value : 0.0109366000; - when : "A0&A1&A2&!A3&S0&S1"; - } - leakage_power () { - value : 0.0072428000; - when : "A0&A1&A2&!A3&S0&!S1"; - } - leakage_power () { - value : 0.0050413000; - when : "A0&A1&A2&A3&!S0&S1"; - } - leakage_power () { - value : 0.0037809000; - when : "A0&A1&A2&A3&!S0&!S1"; - } - leakage_power () { - value : 0.0071550000; - when : "A0&A1&A2&A3&S0&S1"; - } - leakage_power () { - value : 0.0058945000; - when : "A0&A1&A2&A3&S0&!S1"; - } - area : 25.024000000; - cell_footprint : "sky130_fd_sc_hd__mux4"; - cell_leakage_power : 0.0072365410; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A0") { - capacitance : 0.0017890000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017040000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0096456000, 0.0095878000, 0.0094547000, 0.0094624000, 0.0094802000, 0.0095212000, 0.0096157000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027827000, 0.0027294000, 0.0026068000, 0.0026239000, 0.0026634000, 0.0027545000, 0.0029643000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018750000; - } - pin ("A1") { - capacitance : 0.0017780000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016900000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0032909000, 0.0032862000, 0.0032753000, 0.0032757000, 0.0032766000, 0.0032786000, 0.0032830000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003179500, -0.003194500, -0.003229000, -0.003232500, -0.003240500, -0.003259000, -0.003301700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018670000; - } - pin ("A2") { - capacitance : 0.0017420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016700000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087646000, 0.0087121000, 0.0085912000, 0.0086002000, 0.0086209000, 0.0086687000, 0.0087787000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047275000, 0.0046741000, 0.0045512000, 0.0045727000, 0.0046222000, 0.0047362000, 0.0049990000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018140000; - } - pin ("A3") { - capacitance : 0.0017450000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0029108000, 0.0029110000, 0.0029114000, 0.0029092000, 0.0029043000, 0.0028929000, 0.0028666000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002818700, -0.002836400, -0.002877200, -0.002877700, -0.002879000, -0.002882000, -0.002889000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018230000; - } - pin ("S0") { - capacitance : 0.0054620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0051500000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0197016000, 0.0194089000, 0.0187343000, 0.0187925000, 0.0189267000, 0.0192361000, 0.0199491000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0017680000, 0.0015591000, 0.0010776000, 0.0011124000, 0.0011928000, 0.0013781000, 0.0018052000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0057740000; - } - pin ("S1") { - capacitance : 0.0031760000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0030690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0103286000, 0.0102071000, 0.0099269000, 0.0099983000, 0.0101629000, 0.0105424000, 0.0114171000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000896900, -0.001021400, -0.001308300, -0.001236800, -0.001072000, -0.000692200, 0.0001834000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0032830000; - } - pin ("X") { - direction : "output"; - function : "(A0&!S0&!S1) | (A1&S0&!S1) | (A2&!S0&S1) | (A3&S0&S1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000"); - values("0.0231181000, 0.0206851000, 0.0136419000, -0.005651100, -0.063220900, -0.250235500, -0.860632800", \ - "0.0231363000, 0.0207809000, 0.0136695000, -0.005940400, -0.063231000, -0.250383300, -0.860679000", \ - "0.0229921000, 0.0206038000, 0.0136128000, -0.005637700, -0.063168200, -0.250294100, -0.860605900", \ - "0.0228919000, 0.0204525000, 0.0133667000, -0.005991000, -0.063304700, -0.250446000, -0.860703000", \ - "0.0229146000, 0.0204307000, 0.0133656000, -0.005853200, -0.063379500, -0.250596100, -0.860830300", \ - "0.0225390000, 0.0201351000, 0.0130641000, -0.006214500, -0.063758100, -0.250845100, -0.861015700", \ - "0.0238363000, 0.0213825000, 0.0141351000, -0.005352700, -0.063463500, -0.250781200, -0.860966800"); - } - related_pin : "A0"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000"); - values("0.0273708000, 0.0291008000, 0.0347800000, 0.0542825000, 0.1144003000, 0.3024492000, 0.9108463000", \ - "0.0274069000, 0.0291101000, 0.0349296000, 0.0541686000, 0.1144264000, 0.3023391000, 0.9066067000", \ - "0.0274426000, 0.0292064000, 0.0351108000, 0.0542635000, 0.1143557000, 0.3026556000, 0.9072322000", \ - "0.0273494000, 0.0291023000, 0.0349976000, 0.0541599000, 0.1142365000, 0.3025557000, 0.9028412000", \ - "0.0271989000, 0.0288932000, 0.0347376000, 0.0541790000, 0.1143420000, 0.3021639000, 0.9073292000", \ - "0.0272628000, 0.0290389000, 0.0347643000, 0.0539709000, 0.1138887000, 0.3020810000, 0.9071789000", \ - "0.0306881000, 0.0323366000, 0.0378163000, 0.0560130000, 0.1145662000, 0.3024728000, 0.9065507000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000"); - values("0.0313299000, 0.0289443000, 0.0216958000, 0.0025781000, -0.054570900, -0.241055100, -0.850823200", \ - "0.0309557000, 0.0285960000, 0.0214983000, 0.0025312000, -0.054784400, -0.241149900, -0.850907400", \ - "0.0308809000, 0.0284771000, 0.0214181000, 0.0023583000, -0.054784900, -0.241252800, -0.850962900", \ - "0.0309745000, 0.0286096000, 0.0215466000, 0.0024667000, -0.054940200, -0.241304500, -0.851054500", \ - "0.0307237000, 0.0283352000, 0.0213144000, 0.0022875000, -0.055048400, -0.241430400, -0.851180700", \ - "0.0305830000, 0.0282014000, 0.0211211000, 0.0020434000, -0.055168300, -0.241701600, -0.851360400", \ - "0.0319983000, 0.0296117000, 0.0223128000, 0.0029023000, -0.054542500, -0.241485700, -0.851231700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000"); - values("0.0310926000, 0.0327988000, 0.0386400000, 0.0578938000, 0.1183263000, 0.3071145000, 0.9130792000", \ - "0.0309797000, 0.0327268000, 0.0385748000, 0.0579884000, 0.1182134000, 0.3069961000, 0.9130007000", \ - "0.0309380000, 0.0326323000, 0.0383976000, 0.0577139000, 0.1181810000, 0.3070545000, 0.9123385000", \ - "0.0308424000, 0.0325794000, 0.0381949000, 0.0576781000, 0.1179764000, 0.3069807000, 0.9166099000", \ - "0.0306978000, 0.0325497000, 0.0382510000, 0.0576860000, 0.1181857000, 0.3070417000, 0.9129093000", \ - "0.0309524000, 0.0327397000, 0.0385081000, 0.0574174000, 0.1178606000, 0.3065746000, 0.9127487000", \ - "0.0345385000, 0.0361554000, 0.0416321000, 0.0599334000, 0.1184755000, 0.3069568000, 0.9158800000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000"); - values("0.0249347000, 0.0225404000, 0.0154887000, -0.003651200, -0.061015300, -0.247300400, -0.856912500", \ - "0.0249460000, 0.0225428000, 0.0155219000, -0.003618100, -0.060949100, -0.247301300, -0.856913500", \ - "0.0251092000, 0.0226295000, 0.0156182000, -0.003577800, -0.060813800, -0.247219900, -0.856802500", \ - "0.0249404000, 0.0225254000, 0.0154597000, -0.003817700, -0.061011900, -0.247310300, -0.856895800", \ - "0.0248818000, 0.0225352000, 0.0154414000, -0.003769600, -0.060970400, -0.247346600, -0.856995300", \ - "0.0247898000, 0.0223669000, 0.0153335000, -0.003877800, -0.061211800, -0.247636100, -0.857189200", \ - "0.0260326000, 0.0236375000, 0.0163755000, -0.003072600, -0.060864800, -0.247705400, -0.857277600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000"); - values("0.0248790000, 0.0267658000, 0.0323830000, 0.0519131000, 0.1124017000, 0.3019509000, 0.9039900000", \ - "0.0249031000, 0.0266348000, 0.0325002000, 0.0518112000, 0.1124646000, 0.3016817000, 0.9081917000", \ - "0.0249044000, 0.0267070000, 0.0326587000, 0.0519625000, 0.1124784000, 0.3019164000, 0.9087594000", \ - "0.0248937000, 0.0266234000, 0.0323640000, 0.0518493000, 0.1124529000, 0.3015446000, 0.9115056000", \ - "0.0247873000, 0.0264961000, 0.0323536000, 0.0518525000, 0.1124137000, 0.3012736000, 0.9072840000", \ - "0.0248091000, 0.0265649000, 0.0324378000, 0.0516690000, 0.1119540000, 0.3012366000, 0.9076471000", \ - "0.0282743000, 0.0299070000, 0.0354011000, 0.0536187000, 0.1124495000, 0.3008203000, 0.9059780000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000"); - values("0.0330694000, 0.0307302000, 0.0236196000, 0.0045304000, -0.052538100, -0.238966000, -0.848635000", \ - "0.0328523000, 0.0304581000, 0.0234134000, 0.0040596000, -0.053054500, -0.239199500, -0.848755300", \ - "0.0328762000, 0.0304056000, 0.0232916000, 0.0039985000, -0.052952500, -0.239232500, -0.848812100", \ - "0.0326572000, 0.0302792000, 0.0233086000, 0.0043926000, -0.052846800, -0.239322500, -0.848881800", \ - "0.0326724000, 0.0302968000, 0.0232116000, 0.0041175000, -0.053070200, -0.239353000, -0.848972000", \ - "0.0325190000, 0.0300782000, 0.0229753000, 0.0039723000, -0.053411500, -0.239634900, -0.849112700", \ - "0.0340377000, 0.0315958000, 0.0242704000, 0.0049868000, -0.052877200, -0.239425100, -0.849013900"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000"); - values("0.0300261000, 0.0318129000, 0.0374832000, 0.0569420000, 0.1172896000, 0.3065212000, 0.9165801000", \ - "0.0299683000, 0.0317357000, 0.0374885000, 0.0567912000, 0.1172872000, 0.3063996000, 0.9120160000", \ - "0.0299133000, 0.0316802000, 0.0374131000, 0.0567836000, 0.1172318000, 0.3062233000, 0.9161029000", \ - "0.0298825000, 0.0316066000, 0.0373558000, 0.0567383000, 0.1171034000, 0.3062734000, 0.9163177000", \ - "0.0298486000, 0.0315182000, 0.0373332000, 0.0567402000, 0.1170789000, 0.3060643000, 0.9123362000", \ - "0.0299791000, 0.0317231000, 0.0374375000, 0.0565584000, 0.1167865000, 0.3057031000, 0.9120445000", \ - "0.0334711000, 0.0350440000, 0.0406353000, 0.0589232000, 0.1172716000, 0.3068990000, 0.9108025000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000"); - values("0.0327787000, 0.0303671000, 0.0231610000, 0.0041753000, -0.053038400, -0.239384800, -0.849191500", \ - "0.0327673000, 0.0303439000, 0.0233310000, 0.0042183000, -0.052925800, -0.239375200, -0.849161600", \ - "0.0327162000, 0.0303352000, 0.0233056000, 0.0040892000, -0.053118800, -0.239372400, -0.849116500", \ - "0.0319249000, 0.0295518000, 0.0225303000, 0.0034728000, -0.053672900, -0.240121100, -0.849869300", \ - "0.0313982000, 0.0289287000, 0.0218944000, 0.0027923000, -0.054407800, -0.240722100, -0.850397100", \ - "0.0309615000, 0.0285983000, 0.0216571000, 0.0025356000, -0.054665900, -0.241032500, -0.850711000", \ - "0.0368542000, 0.0344248000, 0.0271942000, 0.0066451000, -0.053617100, -0.240073300, -0.849707100"); - } - related_pin : "S0"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000"); - values("0.0386872000, 0.0404184000, 0.0462055000, 0.0656404000, 0.1258064000, 0.3137640000, 0.9191459000", \ - "0.0386126000, 0.0403727000, 0.0460612000, 0.0654537000, 0.1258037000, 0.3140023000, 0.9187922000", \ - "0.0387595000, 0.0404831000, 0.0462373000, 0.0656012000, 0.1258554000, 0.3137937000, 0.9185023000", \ - "0.0383534000, 0.0400696000, 0.0458811000, 0.0651456000, 0.1253680000, 0.3134867000, 0.9186961000", \ - "0.0376617000, 0.0394147000, 0.0451388000, 0.0645544000, 0.1247468000, 0.3127053000, 0.9174776000", \ - "0.0373633000, 0.0391312000, 0.0448388000, 0.0642151000, 0.1244768000, 0.3127159000, 0.9217790000", \ - "0.0395133000, 0.0412170000, 0.0467507000, 0.0651232000, 0.1248710000, 0.3126474000, 0.9168711000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000"); - values("0.0246172000, 0.0221653000, 0.0151037000, -0.004018100, -0.061085500, -0.247334000, -0.857009800", \ - "0.0245632000, 0.0221618000, 0.0150414000, -0.004074300, -0.061057800, -0.247359100, -0.857055900", \ - "0.0247313000, 0.0223347000, 0.0152543000, -0.004037900, -0.060940100, -0.247164900, -0.856880400", \ - "0.0245343000, 0.0221250000, 0.0150146000, -0.004073000, -0.061002700, -0.247335400, -0.857016300", \ - "0.0242783000, 0.0218230000, 0.0148072000, -0.004170300, -0.061135400, -0.247229100, -0.856927500", \ - "0.0238264000, 0.0215094000, 0.0145550000, -0.004260300, -0.061024500, -0.247190200, -0.856777200", \ - "0.0326548000, 0.0302096000, 0.0230503000, 0.0028510000, -0.057392900, -0.245997300, -0.855623600"); - } - related_pin : "S1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016056810, 0.0051564240, 0.0165591500, 0.0531774200, 0.1707720000, 0.5484107000"); - values("0.0267332000, 0.0284574000, 0.0342556000, 0.0536177000, 0.1142203000, 0.3032269000, 0.9093049000", \ - "0.0265187000, 0.0283246000, 0.0340805000, 0.0535226000, 0.1138839000, 0.3029751000, 0.9129470000", \ - "0.0267372000, 0.0284534000, 0.0342341000, 0.0536333000, 0.1142523000, 0.3030441000, 0.9089739000", \ - "0.0266075000, 0.0284702000, 0.0341600000, 0.0535608000, 0.1139664000, 0.3031110000, 0.9131580000", \ - "0.0266445000, 0.0284585000, 0.0342218000, 0.0536676000, 0.1141029000, 0.3031357000, 0.9131185000", \ - "0.0272205000, 0.0289188000, 0.0347400000, 0.0539508000, 0.1145428000, 0.3034456000, 0.9099104000", \ - "0.0306143000, 0.0323708000, 0.0381022000, 0.0564953000, 0.1154273000, 0.3048316000, 0.9082048000"); - } - } - max_capacitance : 0.5484110000; - max_transition : 1.5049570000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.5511351000, 0.5593666000, 0.5809816000, 0.6296572000, 0.7247308000, 0.9040786000, 1.2844931000", \ - "0.5562673000, 0.5642555000, 0.5862656000, 0.6344965000, 0.7295515000, 0.9095681000, 1.2899085000", \ - "0.5683765000, 0.5765898000, 0.5985503000, 0.6475360000, 0.7421561000, 0.9218291000, 1.3025890000", \ - "0.5933126000, 0.6013228000, 0.6232084000, 0.6718185000, 0.7671058000, 0.9468483000, 1.3273107000", \ - "0.6477588000, 0.6559274000, 0.6777904000, 0.7263123000, 0.8211340000, 1.0011202000, 1.3817083000", \ - "0.7734248000, 0.7815513000, 0.8031601000, 0.8520157000, 0.9467473000, 1.1268635000, 1.5074080000", \ - "1.0414859000, 1.0497447000, 1.0721611000, 1.1222130000, 1.2198434000, 1.4006099000, 1.7840489000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.2497307000, 0.2566626000, 0.2748399000, 0.3166637000, 0.4084322000, 0.6406042000, 1.3504052000", \ - "0.2540533000, 0.2610078000, 0.2792779000, 0.3207157000, 0.4126439000, 0.6447075000, 1.3551006000", \ - "0.2626244000, 0.2695751000, 0.2878427000, 0.3294537000, 0.4213951000, 0.6536290000, 1.3606468000", \ - "0.2819044000, 0.2888523000, 0.3071247000, 0.3487402000, 0.4406880000, 0.6729425000, 1.3800885000", \ - "0.3238097000, 0.3308098000, 0.3492671000, 0.3909036000, 0.4827377000, 0.7148409000, 1.4229128000", \ - "0.4024919000, 0.4097284000, 0.4287529000, 0.4717561000, 0.5649196000, 0.7979647000, 1.5068173000", \ - "0.5181710000, 0.5261363000, 0.5471008000, 0.5942831000, 0.6928232000, 0.9300516000, 1.6380233000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0989808000, 0.1034866000, 0.1145933000, 0.1419831000, 0.1995022000, 0.3355318000, 0.7187358000", \ - "0.0995136000, 0.1033841000, 0.1144439000, 0.1410601000, 0.2008961000, 0.3353965000, 0.7186505000", \ - "0.0995512000, 0.1032058000, 0.1146344000, 0.1417018000, 0.1987394000, 0.3337453000, 0.7192758000", \ - "0.0995441000, 0.1034948000, 0.1142851000, 0.1410874000, 0.2005320000, 0.3359727000, 0.7184470000", \ - "0.0994216000, 0.1038037000, 0.1150644000, 0.1417292000, 0.1991746000, 0.3360403000, 0.7188353000", \ - "0.0992606000, 0.1033392000, 0.1147305000, 0.1414609000, 0.1987398000, 0.3352800000, 0.7184398000", \ - "0.1080008000, 0.1118663000, 0.1244273000, 0.1507662000, 0.2057070000, 0.3394742000, 0.7210442000"); - } - related_pin : "A0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0469551000, 0.0519594000, 0.0652969000, 0.0994962000, 0.1916715000, 0.4906091000, 1.4993743000", \ - "0.0470113000, 0.0519833000, 0.0655467000, 0.0998979000, 0.1914660000, 0.4903976000, 1.4992240000", \ - "0.0472155000, 0.0521812000, 0.0657318000, 0.0995334000, 0.1917506000, 0.4903704000, 1.4988711000", \ - "0.0472526000, 0.0522105000, 0.0657343000, 0.0995292000, 0.1917427000, 0.4902867000, 1.4986310000", \ - "0.0471073000, 0.0519847000, 0.0655843000, 0.0994820000, 0.1911188000, 0.4908888000, 1.5004276000", \ - "0.0503737000, 0.0554980000, 0.0687499000, 0.1030809000, 0.1933486000, 0.4908319000, 1.5004361000", \ - "0.0583347000, 0.0641627000, 0.0784276000, 0.1134466000, 0.2037941000, 0.4970880000, 1.4990237000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.5428973000, 0.5508738000, 0.5725146000, 0.6207093000, 0.7151554000, 0.8937675000, 1.2732141000", \ - "0.5474949000, 0.5557050000, 0.5772357000, 0.6253060000, 0.7198838000, 0.8981565000, 1.2776514000", \ - "0.5590380000, 0.5669504000, 0.5885963000, 0.6368642000, 0.7314728000, 0.9099135000, 1.2891772000", \ - "0.5822063000, 0.5902077000, 0.6118564000, 0.6595287000, 0.7541966000, 0.9328983000, 1.3123180000", \ - "0.6305278000, 0.6386015000, 0.6600478000, 0.7082787000, 0.8025898000, 0.9815903000, 1.3607293000", \ - "0.7427013000, 0.7507021000, 0.7723688000, 0.8208352000, 0.9155459000, 1.0941631000, 1.4733841000", \ - "0.9744372000, 0.9827562000, 1.0051369000, 1.0549202000, 1.1526804000, 1.3337258000, 1.7156742000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.2554338000, 0.2623564000, 0.2810574000, 0.3231693000, 0.4162795000, 0.6500099000, 1.3595665000", \ - "0.2595898000, 0.2666062000, 0.2852082000, 0.3275401000, 0.4204785000, 0.6542294000, 1.3637419000", \ - "0.2689638000, 0.2760147000, 0.2944528000, 0.3367024000, 0.4298241000, 0.6634305000, 1.3760927000", \ - "0.2897577000, 0.2968700000, 0.3149507000, 0.3577412000, 0.4507734000, 0.6846246000, 1.3954479000", \ - "0.3353829000, 0.3424255000, 0.3610042000, 0.4033631000, 0.4965389000, 0.7304570000, 1.4384275000", \ - "0.4233376000, 0.4306432000, 0.4498588000, 0.4933379000, 0.5873325000, 0.8219387000, 1.5304100000", \ - "0.5590672000, 0.5670750000, 0.5882984000, 0.6358423000, 0.7357892000, 0.9740031000, 1.6856035000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0977586000, 0.1016509000, 0.1126937000, 0.1389133000, 0.1964490000, 0.3318056000, 0.7171592000", \ - "0.0970098000, 0.1010492000, 0.1121661000, 0.1405547000, 0.1975329000, 0.3331771000, 0.7147479000", \ - "0.0975956000, 0.1010350000, 0.1119585000, 0.1404865000, 0.1976751000, 0.3337060000, 0.7147625000", \ - "0.0970658000, 0.1010228000, 0.1122727000, 0.1409137000, 0.1989623000, 0.3319715000, 0.7155654000", \ - "0.0972049000, 0.1012110000, 0.1128933000, 0.1392625000, 0.1994351000, 0.3335263000, 0.7160186000", \ - "0.0969506000, 0.1008754000, 0.1124578000, 0.1405012000, 0.1978075000, 0.3334774000, 0.7158682000", \ - "0.1067427000, 0.1106503000, 0.1224766000, 0.1495116000, 0.2063890000, 0.3388899000, 0.7186013000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0475638000, 0.0527234000, 0.0665765000, 0.1010246000, 0.1927574000, 0.4910136000, 1.5006220000", \ - "0.0476591000, 0.0526626000, 0.0667664000, 0.1007149000, 0.1930144000, 0.4906829000, 1.5005889000", \ - "0.0477833000, 0.0527329000, 0.0663226000, 0.1007498000, 0.1925572000, 0.4911735000, 1.5002575000", \ - "0.0476373000, 0.0526429000, 0.0661894000, 0.1008391000, 0.1929629000, 0.4915956000, 1.5013834000", \ - "0.0483471000, 0.0534559000, 0.0662490000, 0.1007102000, 0.1931016000, 0.4916867000, 1.5010494000", \ - "0.0505355000, 0.0559557000, 0.0700912000, 0.1033093000, 0.1949741000, 0.4924196000, 1.5001997000", \ - "0.0589543000, 0.0645584000, 0.0798678000, 0.1149379000, 0.2049782000, 0.4968996000, 1.5010783000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.5485432000, 0.5565149000, 0.5783009000, 0.6268399000, 0.7217823000, 0.9016538000, 1.2823326000", \ - "0.5539315000, 0.5619391000, 0.5836745000, 0.6322532000, 0.7271113000, 0.9069490000, 1.2876842000", \ - "0.5666013000, 0.5744030000, 0.5962917000, 0.6452491000, 0.7397506000, 0.9193593000, 1.3002280000", \ - "0.5910437000, 0.5990358000, 0.6208506000, 0.6693758000, 0.7644050000, 0.9443044000, 1.3249012000", \ - "0.6446087000, 0.6527432000, 0.6742615000, 0.7227596000, 0.8177954000, 0.9977414000, 1.3783266000", \ - "0.7678914000, 0.7759601000, 0.7975431000, 0.8461647000, 0.9409398000, 1.1204320000, 1.5013986000", \ - "1.0244756000, 1.0330625000, 1.0551147000, 1.1052139000, 1.2025760000, 1.3835211000, 1.7664951000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.2505740000, 0.2575839000, 0.2757541000, 0.3177299000, 0.4102466000, 0.6439384000, 1.3536222000", \ - "0.2549987000, 0.2618639000, 0.2803054000, 0.3219599000, 0.4144703000, 0.6478728000, 1.3578565000", \ - "0.2639687000, 0.2709443000, 0.2892465000, 0.3312167000, 0.4236026000, 0.6572852000, 1.3655086000", \ - "0.2839054000, 0.2908134000, 0.3089880000, 0.3509335000, 0.4433533000, 0.6768906000, 1.3888503000", \ - "0.3269109000, 0.3338920000, 0.3524062000, 0.3942205000, 0.4866726000, 0.7200303000, 1.4323357000", \ - "0.4100704000, 0.4172731000, 0.4362988000, 0.4794147000, 0.5726820000, 0.8068836000, 1.5167803000", \ - "0.5344710000, 0.5424101000, 0.5634765000, 0.6104126000, 0.7095744000, 0.9470971000, 1.6587842000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0990262000, 0.1031829000, 0.1141751000, 0.1402213000, 0.2008007000, 0.3348032000, 0.7180400000", \ - "0.0984871000, 0.1027018000, 0.1141811000, 0.1403136000, 0.1975898000, 0.3351709000, 0.7179983000", \ - "0.0986890000, 0.1028050000, 0.1139871000, 0.1409416000, 0.1978784000, 0.3331804000, 0.7169176000", \ - "0.0984597000, 0.1029736000, 0.1138309000, 0.1402262000, 0.2003030000, 0.3342279000, 0.7181661000", \ - "0.0984857000, 0.1023804000, 0.1147935000, 0.1405240000, 0.2006229000, 0.3343901000, 0.7183014000", \ - "0.0985979000, 0.1027967000, 0.1141421000, 0.1414536000, 0.1981381000, 0.3327953000, 0.7186963000", \ - "0.1077428000, 0.1110916000, 0.1231668000, 0.1497083000, 0.2047235000, 0.3390741000, 0.7188954000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0473224000, 0.0524061000, 0.0651372000, 0.0993811000, 0.1915290000, 0.4898540000, 1.4975972000", \ - "0.0466698000, 0.0517255000, 0.0654326000, 0.0997361000, 0.1911196000, 0.4897707000, 1.5004009000", \ - "0.0474203000, 0.0517253000, 0.0656599000, 0.0994497000, 0.1914597000, 0.4900421000, 1.5046079000", \ - "0.0468260000, 0.0516672000, 0.0657222000, 0.0990894000, 0.1912815000, 0.4909314000, 1.4991518000", \ - "0.0469191000, 0.0517940000, 0.0654025000, 0.0991787000, 0.1909429000, 0.4909693000, 1.4990499000", \ - "0.0494596000, 0.0544212000, 0.0678397000, 0.1025037000, 0.1928901000, 0.4902329000, 1.5040785000", \ - "0.0575734000, 0.0629993000, 0.0780151000, 0.1124390000, 0.2036215000, 0.4965687000, 1.4983740000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.5573597000, 0.5654886000, 0.5874113000, 0.6357712000, 0.7309938000, 0.9115900000, 1.2932240000", \ - "0.5623915000, 0.5705902000, 0.5923388000, 0.6409193000, 0.7362236000, 0.9168338000, 1.2980357000", \ - "0.5748436000, 0.5829650000, 0.6046650000, 0.6533674000, 0.7489377000, 0.9293398000, 1.3105336000", \ - "0.5997535000, 0.6078032000, 0.6295693000, 0.6781374000, 0.7735474000, 0.9538896000, 1.3354049000", \ - "0.6522932000, 0.6603584000, 0.6816943000, 0.7304686000, 0.8256784000, 1.0062082000, 1.3877940000", \ - "0.7719122000, 0.7797381000, 0.8017769000, 0.8504589000, 0.9455512000, 1.1257106000, 1.5074717000", \ - "1.0241471000, 1.0325233000, 1.0561564000, 1.1065977000, 1.2041450000, 1.3843912000, 1.7686359000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.2515601000, 0.2585706000, 0.2768004000, 0.3190225000, 0.4116030000, 0.6453398000, 1.3556201000", \ - "0.2557357000, 0.2628216000, 0.2810775000, 0.3229994000, 0.4156875000, 0.6490753000, 1.3616978000", \ - "0.2647048000, 0.2716448000, 0.2899092000, 0.3320278000, 0.4246108000, 0.6583004000, 1.3696418000", \ - "0.2849865000, 0.2919192000, 0.3102252000, 0.3523164000, 0.4448885000, 0.6786396000, 1.3892459000", \ - "0.3287904000, 0.3357387000, 0.3543026000, 0.3962963000, 0.4889769000, 0.7223158000, 1.4319945000", \ - "0.4125204000, 0.4197661000, 0.4386356000, 0.4818808000, 0.5755352000, 0.8096108000, 1.5198441000", \ - "0.5371828000, 0.5451249000, 0.5661226000, 0.6132568000, 0.7123904000, 0.9503999000, 1.6593404000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0992834000, 0.1032710000, 0.1144458000, 0.1434821000, 0.2011154000, 0.3370172000, 0.7190256000", \ - "0.0992078000, 0.1032051000, 0.1149868000, 0.1409148000, 0.2013284000, 0.3355572000, 0.7193779000", \ - "0.0996637000, 0.1029081000, 0.1145298000, 0.1409313000, 0.2004030000, 0.3357639000, 0.7193915000", \ - "0.0990876000, 0.1033603000, 0.1155268000, 0.1436456000, 0.2002433000, 0.3361278000, 0.7190846000", \ - "0.0999311000, 0.1036206000, 0.1153993000, 0.1415178000, 0.1986420000, 0.3364885000, 0.7191500000", \ - "0.0993143000, 0.1031455000, 0.1140970000, 0.1427899000, 0.2017309000, 0.3344065000, 0.7178080000", \ - "0.1083316000, 0.1121399000, 0.1237216000, 0.1515321000, 0.2053572000, 0.3401121000, 0.7223800000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0476104000, 0.0519601000, 0.0654048000, 0.0998380000, 0.1919203000, 0.4905004000, 1.5030495000", \ - "0.0469315000, 0.0519870000, 0.0654058000, 0.0997569000, 0.1914997000, 0.4911819000, 1.4999613000", \ - "0.0469520000, 0.0518231000, 0.0652386000, 0.0993248000, 0.1919089000, 0.4911620000, 1.5003885000", \ - "0.0470131000, 0.0518871000, 0.0654565000, 0.0997752000, 0.1919921000, 0.4907146000, 1.5022807000", \ - "0.0471652000, 0.0521575000, 0.0655642000, 0.0998023000, 0.1918240000, 0.4904230000, 1.5002335000", \ - "0.0497500000, 0.0548559000, 0.0692212000, 0.1032016000, 0.1934511000, 0.4906433000, 1.5006104000", \ - "0.0587023000, 0.0632265000, 0.0775384000, 0.1138971000, 0.2037235000, 0.4959212000, 1.4993180000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.5121706000, 0.5201456000, 0.5420202000, 0.5906303000, 0.6854906000, 0.8652397000, 1.2461058000", \ - "0.5161833000, 0.5244037000, 0.5461788000, 0.5946413000, 0.6898958000, 0.8692166000, 1.2500865000", \ - "0.5275998000, 0.5355978000, 0.5575171000, 0.6060903000, 0.7011410000, 0.8811805000, 1.2615338000", \ - "0.5558211000, 0.5639941000, 0.5857861000, 0.6342440000, 0.7294703000, 0.9087816000, 1.2896971000", \ - "0.6242994000, 0.6323159000, 0.6541964000, 0.7027128000, 0.7976392000, 0.9774082000, 1.3582757000", \ - "0.7882584000, 0.7963067000, 0.8180716000, 0.8666673000, 0.9614508000, 1.1412998000, 1.5221199000", \ - "1.1536195000, 1.1618597000, 1.1842217000, 1.2342982000, 1.3308822000, 1.5120283000, 1.8939266000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.2379060000, 0.2449986000, 0.2635590000, 0.3062159000, 0.3995884000, 0.6337639000, 1.3438068000", \ - "0.2420492000, 0.2490355000, 0.2676342000, 0.3102909000, 0.4035980000, 0.6375671000, 1.3498428000", \ - "0.2516542000, 0.2586485000, 0.2772703000, 0.3199239000, 0.4132514000, 0.6472433000, 1.3600503000", \ - "0.2734779000, 0.2805861000, 0.2992180000, 0.3419789000, 0.4351437000, 0.6695121000, 1.3788412000", \ - "0.3200286000, 0.3271289000, 0.3458435000, 0.3885113000, 0.4815457000, 0.7155750000, 1.4271626000", \ - "0.4034784000, 0.4110078000, 0.4305132000, 0.4746656000, 0.5693622000, 0.8042235000, 1.5125746000", \ - "0.5101153000, 0.5187124000, 0.5413368000, 0.5914922000, 0.6933069000, 0.9319467000, 1.6413890000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0984830000, 0.1023337000, 0.1132889000, 0.1418145000, 0.1977302000, 0.3336920000, 0.7174669000", \ - "0.0984066000, 0.1023550000, 0.1135778000, 0.1417658000, 0.1989325000, 0.3341327000, 0.7169450000", \ - "0.0985103000, 0.1023927000, 0.1133647000, 0.1420813000, 0.1996848000, 0.3345448000, 0.7182264000", \ - "0.0984249000, 0.1023209000, 0.1136024000, 0.1416653000, 0.1988512000, 0.3345944000, 0.7162470000", \ - "0.0988662000, 0.1026823000, 0.1137436000, 0.1402421000, 0.1976997000, 0.3356329000, 0.7176458000", \ - "0.0984897000, 0.1022963000, 0.1134288000, 0.1402413000, 0.1975929000, 0.3350070000, 0.7180846000", \ - "0.1118158000, 0.1146486000, 0.1261592000, 0.1510180000, 0.2055067000, 0.3375440000, 0.7180009000"); - } - related_pin : "S0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0483420000, 0.0532956000, 0.0668493000, 0.1014615000, 0.1936424000, 0.4919009000, 1.5007967000", \ - "0.0485060000, 0.0537219000, 0.0668685000, 0.1012875000, 0.1932437000, 0.4921705000, 1.4997229000", \ - "0.0483729000, 0.0538755000, 0.0668881000, 0.1013273000, 0.1931205000, 0.4917372000, 1.5003205000", \ - "0.0490991000, 0.0542059000, 0.0671286000, 0.1012499000, 0.1934002000, 0.4909883000, 1.5049573000", \ - "0.0482958000, 0.0532512000, 0.0670356000, 0.1010479000, 0.1933714000, 0.4921922000, 1.5004646000", \ - "0.0525279000, 0.0578023000, 0.0712270000, 0.1058941000, 0.1956963000, 0.4919554000, 1.5003844000", \ - "0.0680371000, 0.0726095000, 0.0875027000, 0.1216774000, 0.2092825000, 0.4991863000, 1.4970905000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.5805124000, 0.5887047000, 0.6099876000, 0.6581308000, 0.7526157000, 0.9309686000, 1.3104148000", \ - "0.5851276000, 0.5932020000, 0.6146840000, 0.6630533000, 0.7571532000, 0.9357112000, 1.3151955000", \ - "0.5958687000, 0.6038625000, 0.6252134000, 0.6733009000, 0.7678424000, 0.9462388000, 1.3256128000", \ - "0.6174997000, 0.6255823000, 0.6469600000, 0.6952536000, 0.7895010000, 0.9683446000, 1.3475295000", \ - "0.6527852000, 0.6604666000, 0.6819999000, 0.7302020000, 0.8247181000, 1.0031260000, 1.3826094000", \ - "0.6980547000, 0.7058461000, 0.7275592000, 0.7758782000, 0.8700487000, 1.0488721000, 1.4279917000", \ - "0.7437503000, 0.7517127000, 0.7734029000, 0.8215776000, 0.9157951000, 1.0949091000, 1.4737264000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.3513580000, 0.3583886000, 0.3765095000, 0.4182641000, 0.5101035000, 0.7423015000, 1.4530138000", \ - "0.3548574000, 0.3618115000, 0.3799623000, 0.4217084000, 0.5136843000, 0.7460260000, 1.4532390000", \ - "0.3662779000, 0.3731943000, 0.3914481000, 0.4331181000, 0.5250261000, 0.7571502000, 1.4683180000", \ - "0.3967879000, 0.4037522000, 0.4220055000, 0.4635239000, 0.5554211000, 0.7873728000, 1.4959578000", \ - "0.4668939000, 0.4739642000, 0.4920727000, 0.5338242000, 0.6256726000, 0.8578237000, 1.5689336000", \ - "0.5907985000, 0.5977948000, 0.6159740000, 0.6578396000, 0.7499263000, 0.9823650000, 1.6914922000", \ - "0.7885052000, 0.7955018000, 0.8138561000, 0.8558544000, 0.9479749000, 1.1804466000, 1.8880477000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0973668000, 0.1010683000, 0.1121474000, 0.1402325000, 0.1973108000, 0.3327193000, 0.7140500000", \ - "0.0972516000, 0.1014378000, 0.1133132000, 0.1396784000, 0.1968433000, 0.3319808000, 0.7171340000", \ - "0.0972646000, 0.1013330000, 0.1134348000, 0.1400774000, 0.1974211000, 0.3336180000, 0.7139791000", \ - "0.0972729000, 0.1013724000, 0.1133385000, 0.1396519000, 0.1964611000, 0.3331392000, 0.7156041000", \ - "0.0973321000, 0.1009392000, 0.1128541000, 0.1400788000, 0.1972145000, 0.3330000000, 0.7149964000", \ - "0.0967812000, 0.1011234000, 0.1124967000, 0.1398277000, 0.1969314000, 0.3315646000, 0.7155690000", \ - "0.0970228000, 0.1007721000, 0.1123227000, 0.1386591000, 0.1959538000, 0.3320772000, 0.7162096000"); - } - related_pin : "S0"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0469688000, 0.0520825000, 0.0658963000, 0.0992585000, 0.1913915000, 0.4907778000, 1.4992044000", \ - "0.0471620000, 0.0521362000, 0.0654074000, 0.0995629000, 0.1918583000, 0.4906146000, 1.5036581000", \ - "0.0469966000, 0.0519992000, 0.0658777000, 0.0994450000, 0.1913365000, 0.4908839000, 1.4993952000", \ - "0.0470551000, 0.0520218000, 0.0656066000, 0.0998412000, 0.1912286000, 0.4905348000, 1.5003194000", \ - "0.0470699000, 0.0520334000, 0.0659311000, 0.0993041000, 0.1913800000, 0.4908840000, 1.4995719000", \ - "0.0474789000, 0.0524546000, 0.0664788000, 0.0997582000, 0.1917778000, 0.4897115000, 1.5027576000", \ - "0.0475758000, 0.0524572000, 0.0660360000, 0.1000754000, 0.1919969000, 0.4896913000, 1.4983227000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.3684631000, 0.3764960000, 0.3979629000, 0.4463234000, 0.5410458000, 0.7190574000, 1.0982670000", \ - "0.3732305000, 0.3812288000, 0.4027030000, 0.4515127000, 0.5456452000, 0.7241150000, 1.1033550000", \ - "0.3846229000, 0.3926513000, 0.4142892000, 0.4625904000, 0.5570647000, 0.7355476000, 1.1147356000", \ - "0.4099107000, 0.4182103000, 0.4390656000, 0.4878451000, 0.5823684000, 0.7606208000, 1.1397695000", \ - "0.4604675000, 0.4684543000, 0.4900820000, 0.5380756000, 0.6318703000, 0.8099232000, 1.1887795000", \ - "0.5586677000, 0.5663335000, 0.5869422000, 0.6343909000, 0.7282115000, 0.9063691000, 1.2847322000", \ - "0.7407149000, 0.7503569000, 0.7770291000, 0.8365106000, 0.9476453000, 1.1385177000, 1.5231886000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.1972775000, 0.2042434000, 0.2224734000, 0.2644189000, 0.3571481000, 0.5908089000, 1.2987882000", \ - "0.2018193000, 0.2087730000, 0.2270716000, 0.2691273000, 0.3617407000, 0.5954073000, 1.3053346000", \ - "0.2125655000, 0.2195158000, 0.2378255000, 0.2798421000, 0.3724955000, 0.6061655000, 1.3159970000", \ - "0.2358631000, 0.2428487000, 0.2611078000, 0.3031836000, 0.3956848000, 0.6293636000, 1.3399245000", \ - "0.2885309000, 0.2954319000, 0.3138122000, 0.3557144000, 0.4481374000, 0.6816830000, 1.3907584000", \ - "0.3881289000, 0.3957237000, 0.4155427000, 0.4593206000, 0.5536476000, 0.7877020000, 1.4970167000", \ - "0.5117517000, 0.5208267000, 0.5444580000, 0.5988899000, 0.7018885000, 0.9406557000, 1.6512788000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0970360000, 0.1011398000, 0.1125044000, 0.1398213000, 0.1973053000, 0.3322315000, 0.7144795000", \ - "0.0967904000, 0.1006115000, 0.1121173000, 0.1391459000, 0.1959684000, 0.3312021000, 0.7160231000", \ - "0.0965214000, 0.1005839000, 0.1119965000, 0.1386211000, 0.1959026000, 0.3315931000, 0.7159767000", \ - "0.0966297000, 0.1007823000, 0.1116687000, 0.1395448000, 0.1974868000, 0.3317107000, 0.7139035000", \ - "0.0958132000, 0.0995276000, 0.1110401000, 0.1390009000, 0.1951253000, 0.3330721000, 0.7169447000", \ - "0.0943492000, 0.0980138000, 0.1094639000, 0.1375203000, 0.1958520000, 0.3314085000, 0.7153285000", \ - "0.1362910000, 0.1406157000, 0.1532968000, 0.1814084000, 0.2348895000, 0.3532021000, 0.7260781000"); - } - related_pin : "S1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0467619000, 0.0517799000, 0.0653682000, 0.0996888000, 0.1918664000, 0.4904899000, 1.5041840000", \ - "0.0467602000, 0.0516693000, 0.0651784000, 0.0994778000, 0.1916894000, 0.4899377000, 1.5028581000", \ - "0.0467695000, 0.0516312000, 0.0651451000, 0.0995040000, 0.1916374000, 0.4898018000, 1.5030897000", \ - "0.0474663000, 0.0517502000, 0.0652679000, 0.0994730000, 0.1916752000, 0.4905542000, 1.5013997000", \ - "0.0469262000, 0.0522847000, 0.0654615000, 0.0991332000, 0.1918414000, 0.4902024000, 1.4981347000", \ - "0.0565530000, 0.0612615000, 0.0743080000, 0.1077864000, 0.1962574000, 0.4912092000, 1.4979072000", \ - "0.0802014000, 0.0856863000, 0.1007347000, 0.1329693000, 0.2158331000, 0.4999316000, 1.4987472000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.3727901000, 0.3807739000, 0.4021517000, 0.4511168000, 0.5460207000, 0.7254419000, 1.1061942000", \ - "0.3775511000, 0.3856703000, 0.4073599000, 0.4557785000, 0.5510659000, 0.7304555000, 1.1109171000", \ - "0.3873420000, 0.3953704000, 0.4172272000, 0.4656600000, 0.5606260000, 0.7402546000, 1.1209490000", \ - "0.4029560000, 0.4109791000, 0.4328304000, 0.4807748000, 0.5765028000, 0.7557155000, 1.1363591000", \ - "0.4414118000, 0.4496717000, 0.4708109000, 0.5196873000, 0.6140474000, 0.7929790000, 1.1731929000", \ - "0.5318567000, 0.5393509000, 0.5593836000, 0.6059261000, 0.6977529000, 0.8760790000, 1.2560144000", \ - "0.5733803000, 0.5808036000, 0.6007658000, 0.6463844000, 0.7381908000, 0.9147809000, 1.2928626000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.2321873000, 0.2392265000, 0.2576233000, 0.2999153000, 0.3930219000, 0.6269718000, 1.3357022000", \ - "0.2371776000, 0.2442259000, 0.2626840000, 0.3051053000, 0.3980512000, 0.6319433000, 1.3426267000", \ - "0.2506571000, 0.2575477000, 0.2761578000, 0.3184019000, 0.4114966000, 0.6453003000, 1.3553616000", \ - "0.2824263000, 0.2894502000, 0.3079109000, 0.3501938000, 0.4433352000, 0.6772250000, 1.3874688000", \ - "0.3448780000, 0.3518921000, 0.3704197000, 0.4128421000, 0.5058229000, 0.7397106000, 1.4501099000", \ - "0.4411733000, 0.4480209000, 0.4666840000, 0.5087811000, 0.6013815000, 0.8354777000, 1.5436507000", \ - "0.5865157000, 0.5935230000, 0.6119890000, 0.6542079000, 0.7471972000, 0.9808065000, 1.6893158000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0987711000, 0.1026943000, 0.1140286000, 0.1403304000, 0.1976599000, 0.3332440000, 0.7163408000", \ - "0.0984409000, 0.1021570000, 0.1134987000, 0.1416539000, 0.1990793000, 0.3350208000, 0.7179595000", \ - "0.0986598000, 0.1023688000, 0.1139129000, 0.1401704000, 0.1974618000, 0.3354041000, 0.7175558000", \ - "0.0983322000, 0.1020763000, 0.1134494000, 0.1412994000, 0.1991155000, 0.3336067000, 0.7171518000", \ - "0.0968571000, 0.1012290000, 0.1124194000, 0.1400077000, 0.1996522000, 0.3326226000, 0.7163340000", \ - "0.0890235000, 0.0928914000, 0.1055123000, 0.1338914000, 0.1945209000, 0.3319612000, 0.7172392000", \ - "0.0920165000, 0.0957552000, 0.1066869000, 0.1356184000, 0.1956591000, 0.3306008000, 0.7134526000"); - } - related_pin : "S1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016056800, 0.0051564200, 0.0165591000, 0.0531774000, 0.1707720000, 0.5484110000"); - values("0.0475522000, 0.0526313000, 0.0668002000, 0.1008121000, 0.1929071000, 0.4912891000, 1.4990647000", \ - "0.0481717000, 0.0526717000, 0.0661154000, 0.1004905000, 0.1927340000, 0.4911678000, 1.5019113000", \ - "0.0474764000, 0.0526596000, 0.0665279000, 0.1003893000, 0.1928620000, 0.4915859000, 1.5003681000", \ - "0.0480121000, 0.0529271000, 0.0661927000, 0.1005322000, 0.1925249000, 0.4904394000, 1.5027490000", \ - "0.0481086000, 0.0524583000, 0.0661331000, 0.1004494000, 0.1926961000, 0.4908286000, 1.5023349000", \ - "0.0473045000, 0.0527858000, 0.0662114000, 0.0992050000, 0.1922173000, 0.4916154000, 1.5037137000", \ - "0.0482327000, 0.0532602000, 0.0666075000, 0.1005823000, 0.1928837000, 0.4898353000, 1.4933181000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand2_1") { - leakage_power () { - value : 0.0002796000; - when : "!A&B"; - } - leakage_power () { - value : 3.005879e-05; - when : "!A&!B"; - } - leakage_power () { - value : 0.0079423000; - when : "A&B"; - } - leakage_power () { - value : 0.0002199000; - when : "A&!B"; - } - area : 3.7536000000; - cell_footprint : "sky130_fd_sc_hd__nand2"; - cell_leakage_power : 0.0021179600; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023150000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022540000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047914000, 0.0048016000, 0.0048250000, 0.0048257000, 0.0048275000, 0.0048316000, 0.0048409000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003895500, -0.003894100, -0.003890900, -0.003884500, -0.003869900, -0.003836300, -0.003758800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023750000; - } - pin ("B") { - capacitance : 0.0023240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022200000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041316000, 0.0041310000, 0.0041296000, 0.0041311000, 0.0041345000, 0.0041424000, 0.0041606000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004132500, -0.004132200, -0.004131700, -0.004131700, -0.004131700, -0.004131700, -0.004131700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024280000; - } - pin ("Y") { - direction : "output"; - function : "(!A) | (!B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("0.0021930000, 0.0009877000, -0.002368500, -0.011438400, -0.035540500, -0.099111900, -0.266552000", \ - "0.0019150000, 0.0007341000, -0.002546500, -0.011530000, -0.035576100, -0.099127900, -0.266536000", \ - "0.0016812000, 0.0004745000, -0.002798000, -0.011703200, -0.035666500, -0.099159300, -0.266586400", \ - "0.0015598000, 0.0003119000, -0.003061200, -0.011898200, -0.035827100, -0.099232400, -0.266584100", \ - "0.0017783000, 0.0004552000, -0.003119600, -0.012139600, -0.036038600, -0.099390900, -0.266687900", \ - "0.0027861000, 0.0013388000, -0.002282800, -0.011885500, -0.035881700, -0.099359200, -0.266693000", \ - "0.0048177000, 0.0033845000, -0.000672800, -0.010464500, -0.034922800, -0.099271800, -0.266154600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("0.0037314000, 0.0052286000, 0.0089009000, 0.0181829000, 0.0422231000, 0.1054610000, 0.2726763000", \ - "0.0034187000, 0.0049127000, 0.0086510000, 0.0180038000, 0.0420756000, 0.1055726000, 0.2714830000", \ - "0.0032277000, 0.0046497000, 0.0082120000, 0.0177886000, 0.0417785000, 0.1040326000, 0.2704122000", \ - "0.0032360000, 0.0045693000, 0.0081208000, 0.0173876000, 0.0416048000, 0.1050550000, 0.2705313000", \ - "0.0034249000, 0.0046908000, 0.0081153000, 0.0172295000, 0.0413235000, 0.1040842000, 0.2697601000", \ - "0.0045563000, 0.0054145000, 0.0088138000, 0.0176135000, 0.0414409000, 0.1039098000, 0.2701764000", \ - "0.0064050000, 0.0076344000, 0.0107086000, 0.0190730000, 0.0427296000, 0.1054309000, 0.2720940000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("0.0025427000, 0.0012996000, -0.002084300, -0.011195900, -0.035311000, -0.098872400, -0.266309200", \ - "0.0023156000, 0.0011047000, -0.002234800, -0.011266700, -0.035342900, -0.098887700, -0.266309300", \ - "0.0020560000, 0.0008378000, -0.002451900, -0.011410700, -0.035404100, -0.098922900, -0.266317900", \ - "0.0018599000, 0.0006250000, -0.002710600, -0.011640800, -0.035542500, -0.098979300, -0.266340400", \ - "0.0019574000, 0.0006497000, -0.002753200, -0.011862900, -0.035739500, -0.099096800, -0.266445600", \ - "0.0023066000, 0.0009468000, -0.002586700, -0.011744600, -0.035877200, -0.099238000, -0.266451100", \ - "0.0040502000, 0.0025270000, -0.001336900, -0.010911200, -0.035353400, -0.099135500, -0.266482500"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013165470, 0.0034665930, 0.0091278680, 0.0240345400, 0.0632852100, 0.1666359000"); - values("0.0069497000, 0.0083090000, 0.0118392000, 0.0209668000, 0.0448440000, 0.1075803000, 0.2723604000", \ - "0.0067527000, 0.0081352000, 0.0116717000, 0.0208073000, 0.0447328000, 0.1075189000, 0.2726214000", \ - "0.0064834000, 0.0079083000, 0.0114938000, 0.0207418000, 0.0446096000, 0.1072483000, 0.2725577000", \ - "0.0064612000, 0.0078038000, 0.0113248000, 0.0204341000, 0.0444926000, 0.1074404000, 0.2721664000", \ - "0.0066367000, 0.0079137000, 0.0113830000, 0.0204016000, 0.0444885000, 0.1066676000, 0.2712178000", \ - "0.0071356000, 0.0083861000, 0.0116437000, 0.0209430000, 0.0444210000, 0.1060977000, 0.2708233000", \ - "0.0095357000, 0.0113323000, 0.0147721000, 0.0224804000, 0.0464461000, 0.1074965000, 0.2734130000"); - } - } - max_capacitance : 0.1666360000; - max_transition : 1.4963760000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0206305000, 0.0250594000, 0.0363371000, 0.0651531000, 0.1403625000, 0.3379392000, 0.8628026000", \ - "0.0243797000, 0.0289316000, 0.0403352000, 0.0696727000, 0.1447142000, 0.3426395000, 0.8633784000", \ - "0.0327052000, 0.0384095000, 0.0504824000, 0.0797753000, 0.1551681000, 0.3529022000, 0.8773479000", \ - "0.0428315000, 0.0514229000, 0.0698132000, 0.1038626000, 0.1794323000, 0.3766826000, 0.8969099000", \ - "0.0525334000, 0.0659547000, 0.0937839000, 0.1461446000, 0.2370110000, 0.4342275000, 0.9573758000", \ - "0.0550564000, 0.0754976000, 0.1173683000, 0.1976946000, 0.3328764000, 0.5653441000, 1.0820946000", \ - "0.0332144000, 0.0632894000, 0.1271843000, 0.2473768000, 0.4578195000, 0.8093176000, 1.3854335000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0240063000, 0.0297255000, 0.0438504000, 0.0795463000, 0.1715528000, 0.4137325000, 1.0495054000", \ - "0.0289988000, 0.0346509000, 0.0487512000, 0.0844679000, 0.1772878000, 0.4204850000, 1.0572072000", \ - "0.0420366000, 0.0477456000, 0.0612167000, 0.0970882000, 0.1885427000, 0.4287654000, 1.0789833000", \ - "0.0629112000, 0.0724855000, 0.0913957000, 0.1279769000, 0.2206074000, 0.4624459000, 1.0904021000", \ - "0.0945378000, 0.1099490000, 0.1410190000, 0.1966647000, 0.2916911000, 0.5313731000, 1.1659407000", \ - "0.1452155000, 0.1690215000, 0.2179952000, 0.3063044000, 0.4550610000, 0.7010251000, 1.3242337000", \ - "0.2320864000, 0.2668832000, 0.3375273000, 0.4781309000, 0.7110746000, 1.0824839000, 1.7181800000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0143751000, 0.0198544000, 0.0342729000, 0.0724393000, 0.1726079000, 0.4374054000, 1.1358902000", \ - "0.0145368000, 0.0198407000, 0.0345439000, 0.0723585000, 0.1723529000, 0.4350408000, 1.1268473000", \ - "0.0201271000, 0.0243951000, 0.0360804000, 0.0721914000, 0.1724084000, 0.4396732000, 1.1359822000", \ - "0.0312488000, 0.0374144000, 0.0503344000, 0.0798062000, 0.1744425000, 0.4360109000, 1.1384014000", \ - "0.0516999000, 0.0605678000, 0.0793523000, 0.1147933000, 0.1905170000, 0.4379490000, 1.1324792000", \ - "0.0872036000, 0.1004496000, 0.1294284000, 0.1812328000, 0.2757691000, 0.4747423000, 1.1319222000", \ - "0.1511015000, 0.1709510000, 0.2164908000, 0.2924142000, 0.4307443000, 0.6664593000, 1.2073347000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0177745000, 0.0249474000, 0.0439057000, 0.0938566000, 0.2253318000, 0.5702676000, 1.4819062000", \ - "0.0177772000, 0.0249766000, 0.0438372000, 0.0936947000, 0.2257364000, 0.5698652000, 1.4800936000", \ - "0.0222987000, 0.0275231000, 0.0441973000, 0.0935905000, 0.2250331000, 0.5661273000, 1.4720122000", \ - "0.0371093000, 0.0432567000, 0.0558207000, 0.0963630000, 0.2242809000, 0.5701420000, 1.4678687000", \ - "0.0621296000, 0.0713724000, 0.0906731000, 0.1273404000, 0.2318113000, 0.5676693000, 1.4793876000", \ - "0.1010294000, 0.1167905000, 0.1495524000, 0.2053604000, 0.3035009000, 0.5787597000, 1.4755684000", \ - "0.1675207000, 0.1948717000, 0.2425694000, 0.3349839000, 0.4854143000, 0.7358355000, 1.4963760000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0249171000, 0.0293153000, 0.0405828000, 0.0698819000, 0.1449331000, 0.3421441000, 0.8628038000", \ - "0.0289195000, 0.0334123000, 0.0447040000, 0.0736592000, 0.1489819000, 0.3498721000, 0.8727723000", \ - "0.0371437000, 0.0422262000, 0.0539688000, 0.0833453000, 0.1595860000, 0.3563234000, 0.8763164000", \ - "0.0489818000, 0.0563682000, 0.0720499000, 0.1048230000, 0.1812728000, 0.3791143000, 0.9050736000", \ - "0.0618681000, 0.0734889000, 0.0976455000, 0.1439502000, 0.2319962000, 0.4309580000, 0.9541561000", \ - "0.0681193000, 0.0868404000, 0.1254586000, 0.1972257000, 0.3221010000, 0.5490411000, 1.0729806000", \ - "0.0516367000, 0.0794927000, 0.1402303000, 0.2530506000, 0.4456706000, 0.7654773000, 1.3452001000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0314335000, 0.0367492000, 0.0504271000, 0.0855896000, 0.1771391000, 0.4171834000, 1.0486217000", \ - "0.0366510000, 0.0420007000, 0.0555974000, 0.0906578000, 0.1822921000, 0.4226315000, 1.0537489000", \ - "0.0496344000, 0.0552493000, 0.0689263000, 0.1041672000, 0.1948095000, 0.4349560000, 1.0664511000", \ - "0.0764485000, 0.0839915000, 0.1002800000, 0.1354751000, 0.2270771000, 0.4675205000, 1.0956603000", \ - "0.1179990000, 0.1300048000, 0.1559456000, 0.2058038000, 0.2993580000, 0.5374151000, 1.1674532000", \ - "0.1820919000, 0.2009641000, 0.2425259000, 0.3235598000, 0.4618716000, 0.7024087000, 1.3293885000", \ - "0.2872291000, 0.3144594000, 0.3778534000, 0.5040435000, 0.7292905000, 1.0858007000, 1.7218809000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0143837000, 0.0198933000, 0.0343693000, 0.0728649000, 0.1721484000, 0.4353723000, 1.1278795000", \ - "0.0144473000, 0.0199313000, 0.0343100000, 0.0724451000, 0.1721519000, 0.4389184000, 1.1310675000", \ - "0.0174452000, 0.0219472000, 0.0352071000, 0.0731724000, 0.1748237000, 0.4359115000, 1.1295511000", \ - "0.0264352000, 0.0316231000, 0.0440914000, 0.0764118000, 0.1747762000, 0.4364655000, 1.1456923000", \ - "0.0447879000, 0.0516863000, 0.0670188000, 0.1004151000, 0.1848698000, 0.4368550000, 1.1325847000", \ - "0.0791932000, 0.0891027000, 0.1107220000, 0.1538412000, 0.2429680000, 0.4620836000, 1.1412313000", \ - "0.1436275000, 0.1568697000, 0.1875469000, 0.2503348000, 0.3659521000, 0.5934254000, 1.1799060000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013165500, 0.0034665900, 0.0091278700, 0.0240345000, 0.0632852000, 0.1666360000"); - values("0.0241903000, 0.0313734000, 0.0500101000, 0.0993460000, 0.2291821000, 0.5699834000, 1.4686290000", \ - "0.0241965000, 0.0313595000, 0.0501164000, 0.0992997000, 0.2290979000, 0.5700846000, 1.4677114000", \ - "0.0261318000, 0.0322598000, 0.0500219000, 0.0994030000, 0.2291394000, 0.5705483000, 1.4705132000", \ - "0.0397762000, 0.0454874000, 0.0590114000, 0.1013268000, 0.2291905000, 0.5706875000, 1.4728638000", \ - "0.0654496000, 0.0745571000, 0.0933218000, 0.1284161000, 0.2362834000, 0.5703250000, 1.4725746000", \ - "0.1078965000, 0.1228889000, 0.1530385000, 0.2070083000, 0.3018776000, 0.5851778000, 1.4702581000", \ - "0.1734774000, 0.1969473000, 0.2479368000, 0.3391057000, 0.4880620000, 0.7299975000, 1.4910937000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand2_2") { - leakage_power () { - value : 0.0007598000; - when : "!A&B"; - } - leakage_power () { - value : 0.0010743000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0074091000; - when : "A&B"; - } - leakage_power () { - value : 0.0005953000; - when : "A&!B"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__nand2"; - cell_leakage_power : 0.0024596230; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0044310000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043040000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092837000, 0.0092804000, 0.0092728000, 0.0092766000, 0.0092853000, 0.0093054000, 0.0093516000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006470300, -0.006486800, -0.006524800, -0.006507100, -0.006466400, -0.006372600, -0.006156300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045570000; - } - pin ("B") { - capacitance : 0.0044180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079109000, 0.0079053000, 0.0078925000, 0.0078915000, 0.0078893000, 0.0078841000, 0.0078723000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007885100, -0.007879200, -0.007865700, -0.007865000, -0.007863500, -0.007860200, -0.007852300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046210000; - } - pin ("Y") { - direction : "output"; - function : "(!A) | (!B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014486260, 0.0041970370, 0.0121598800, 0.0352302400, 0.1020709000, 0.2957252000"); - values("0.0043000000, 0.0029365000, -0.001242600, -0.013895200, -0.051140000, -0.159390100, -0.473114400", \ - "0.0037984000, 0.0024775000, -0.001598600, -0.014100500, -0.051210800, -0.159402100, -0.473102800", \ - "0.0033323000, 0.0019714000, -0.002102400, -0.014436500, -0.051372100, -0.159429300, -0.473063200", \ - "0.0031747000, 0.0016346000, -0.002561700, -0.014931500, -0.051730800, -0.159599100, -0.473090800", \ - "0.0033215000, 0.0017641000, -0.002661700, -0.015264300, -0.052092400, -0.159851400, -0.473266200", \ - "0.0049827000, 0.0033121000, -0.001412900, -0.014471500, -0.052114500, -0.160174200, -0.473494700", \ - "0.0089544000, 0.0069929000, 0.0020048000, -0.012238700, -0.050480200, -0.159363900, -0.473459900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014486260, 0.0041970370, 0.0121598800, 0.0352302400, 0.1020709000, 0.2957252000"); - values("0.0051695000, 0.0069984000, 0.0119347000, 0.0250587000, 0.0627718000, 0.1685942000, 0.4799906000", \ - "0.0046728000, 0.0064591000, 0.0114415000, 0.0248384000, 0.0624139000, 0.1699517000, 0.4772817000", \ - "0.0043712000, 0.0060143000, 0.0108481000, 0.0241225000, 0.0618879000, 0.1700678000, 0.4772038000", \ - "0.0043965000, 0.0059780000, 0.0105570000, 0.0234968000, 0.0612702000, 0.1700221000, 0.4829393000", \ - "0.0047997000, 0.0062809000, 0.0106162000, 0.0234145000, 0.0607981000, 0.1671371000, 0.4784243000", \ - "0.0062127000, 0.0074318000, 0.0116096000, 0.0247757000, 0.0616678000, 0.1680576000, 0.4811337000", \ - "0.0105457000, 0.0112672000, 0.0154959000, 0.0269047000, 0.0629195000, 0.1701047000, 0.4813517000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014486260, 0.0041970370, 0.0121598800, 0.0352302400, 0.1020709000, 0.2957252000"); - values("0.0058741000, 0.0044654000, 0.0001872000, -0.012570400, -0.049857400, -0.158106400, -0.471821800", \ - "0.0054251000, 0.0040485000, -0.000127400, -0.012740600, -0.049939700, -0.158144600, -0.471836600", \ - "0.0049507000, 0.0035509000, -0.000587100, -0.013050400, -0.050094300, -0.158215100, -0.471859200", \ - "0.0045877000, 0.0031407000, -0.001064400, -0.013498800, -0.050362000, -0.158335000, -0.471850300", \ - "0.0048410000, 0.0033569000, -0.000985000, -0.013809200, -0.050682700, -0.158482300, -0.471938700", \ - "0.0052693000, 0.0036834000, -0.000826400, -0.013709700, -0.051069100, -0.158850000, -0.472175300", \ - "0.0081106000, 0.0063356000, 0.0013643000, -0.012220600, -0.050128400, -0.158734000, -0.472253000"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014486260, 0.0041970370, 0.0121598800, 0.0352302400, 0.1020709000, 0.2957252000"); - values("0.0131740000, 0.0147706000, 0.0193188000, 0.0322856000, 0.0692454000, 0.1761525000, 0.4850417000", \ - "0.0126719000, 0.0143115000, 0.0188792000, 0.0319361000, 0.0691396000, 0.1762759000, 0.4854702000", \ - "0.0122513000, 0.0138694000, 0.0183436000, 0.0315773000, 0.0688111000, 0.1762427000, 0.4850414000", \ - "0.0121634000, 0.0136488000, 0.0180699000, 0.0312011000, 0.0682199000, 0.1757919000, 0.4856277000", \ - "0.0122867000, 0.0138020000, 0.0182162000, 0.0310540000, 0.0681211000, 0.1750580000, 0.4836663000", \ - "0.0138289000, 0.0153922000, 0.0196239000, 0.0326541000, 0.0691547000, 0.1757100000, 0.4841492000", \ - "0.0173075000, 0.0186911000, 0.0229938000, 0.0348008000, 0.0715592000, 0.1778563000, 0.4857931000"); - } - } - max_capacitance : 0.2957250000; - max_transition : 1.4947070000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.0196405000, 0.0225313000, 0.0306073000, 0.0530876000, 0.1170498000, 0.3002479000, 0.8311263000", \ - "0.0232580000, 0.0262031000, 0.0343710000, 0.0569615000, 0.1207237000, 0.3041890000, 0.8371382000", \ - "0.0306083000, 0.0345953000, 0.0441969000, 0.0668423000, 0.1306313000, 0.3147617000, 0.8456565000", \ - "0.0386521000, 0.0448781000, 0.0594110000, 0.0890522000, 0.1547668000, 0.3392265000, 0.8753171000", \ - "0.0443111000, 0.0537228000, 0.0760942000, 0.1222494000, 0.2090958000, 0.3938025000, 0.9279358000", \ - "0.0379220000, 0.0521680000, 0.0861455000, 0.1566489000, 0.2886878000, 0.5227326000, 1.0532761000", \ - "-0.002888300, 0.0177590000, 0.0683835000, 0.1751257000, 0.3776648000, 0.7334811000, 1.3508487000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.0234042000, 0.0272961000, 0.0378902000, 0.0662710000, 0.1468296000, 0.3766720000, 1.0430288000", \ - "0.0285029000, 0.0323242000, 0.0428804000, 0.0715777000, 0.1526758000, 0.3841040000, 1.0501123000", \ - "0.0415735000, 0.0456471000, 0.0558024000, 0.0841595000, 0.1648275000, 0.3982397000, 1.0630141000", \ - "0.0625212000, 0.0693067000, 0.0848274000, 0.1156314000, 0.1961560000, 0.4278370000, 1.0972452000", \ - "0.0954805000, 0.1063290000, 0.1310645000, 0.1805098000, 0.2699957000, 0.4987042000, 1.1642018000", \ - "0.1500733000, 0.1667145000, 0.2058185000, 0.2846440000, 0.4270209000, 0.6712687000, 1.3360445000", \ - "0.2493886000, 0.2726850000, 0.3303575000, 0.4510220000, 0.6755325000, 1.0523511000, 1.7335490000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.0133514000, 0.0169215000, 0.0272753000, 0.0571250000, 0.1432498000, 0.3932893000, 1.1146614000", \ - "0.0135047000, 0.0169035000, 0.0272243000, 0.0570915000, 0.1431917000, 0.3934648000, 1.1143239000", \ - "0.0189651000, 0.0223409000, 0.0300608000, 0.0575397000, 0.1435002000, 0.3926613000, 1.1151650000", \ - "0.0291933000, 0.0333672000, 0.0438684000, 0.0685226000, 0.1446107000, 0.3939905000, 1.1197315000", \ - "0.0481151000, 0.0545263000, 0.0693009000, 0.1011888000, 0.1690530000, 0.3962433000, 1.1235318000", \ - "0.0823343000, 0.0910101000, 0.1157910000, 0.1606186000, 0.2497840000, 0.4423278000, 1.1198590000", \ - "0.1424869000, 0.1578238000, 0.1906454000, 0.2624215000, 0.3945884000, 0.6341604000, 1.2002073000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.0162349000, 0.0209719000, 0.0344655000, 0.0738505000, 0.1890225000, 0.5165977000, 1.4734944000", \ - "0.0162659000, 0.0209354000, 0.0345138000, 0.0740792000, 0.1888583000, 0.5220078000, 1.4719527000", \ - "0.0209573000, 0.0241506000, 0.0354277000, 0.0742037000, 0.1882903000, 0.5190770000, 1.4700853000", \ - "0.0349278000, 0.0392107000, 0.0495496000, 0.0785863000, 0.1883307000, 0.5208434000, 1.4828988000", \ - "0.0579283000, 0.0648078000, 0.0806066000, 0.1126596000, 0.1985025000, 0.5204151000, 1.4727725000", \ - "0.0948433000, 0.1057711000, 0.1324597000, 0.1838822000, 0.2765839000, 0.5346962000, 1.4794285000", \ - "0.1578225000, 0.1749729000, 0.2149484000, 0.2979191000, 0.4434074000, 0.6930639000, 1.4947069000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.0258854000, 0.0287373000, 0.0366466000, 0.0587570000, 0.1226356000, 0.3080318000, 0.8395692000", \ - "0.0299134000, 0.0328028000, 0.0409529000, 0.0632304000, 0.1268022000, 0.3142586000, 0.8414771000", \ - "0.0377397000, 0.0411122000, 0.0497526000, 0.0723792000, 0.1362899000, 0.3199820000, 0.8553105000", \ - "0.0485581000, 0.0532613000, 0.0653250000, 0.0925028000, 0.1575871000, 0.3441385000, 0.8781460000", \ - "0.0585524000, 0.0662130000, 0.0848243000, 0.1244623000, 0.2048766000, 0.3931037000, 0.9231521000", \ - "0.0568926000, 0.0694083000, 0.0996281000, 0.1623507000, 0.2795128000, 0.5042519000, 1.0413539000", \ - "0.0186719000, 0.0389093000, 0.0869898000, 0.1869998000, 0.3715080000, 0.6908207000, 1.2956061000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.0335011000, 0.0370433000, 0.0470833000, 0.0749227000, 0.1540908000, 0.3817728000, 1.0409879000", \ - "0.0385394000, 0.0421363000, 0.0520825000, 0.0802044000, 0.1595571000, 0.3870675000, 1.0442850000", \ - "0.0517572000, 0.0553038000, 0.0650654000, 0.0935463000, 0.1727755000, 0.4007794000, 1.0587002000", \ - "0.0796275000, 0.0844973000, 0.0966274000, 0.1252340000, 0.2042371000, 0.4318303000, 1.0891379000", \ - "0.1252061000, 0.1329483000, 0.1523629000, 0.1943629000, 0.2787976000, 0.5053701000, 1.1601994000", \ - "0.1972206000, 0.2090814000, 0.2396525000, 0.3081440000, 0.4375670000, 0.6776678000, 1.3334276000", \ - "0.3201439000, 0.3376978000, 0.3831760000, 0.4891667000, 0.6986259000, 1.0566379000, 1.7296361000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.0134140000, 0.0169738000, 0.0272946000, 0.0571261000, 0.1431280000, 0.3941987000, 1.1152559000", \ - "0.0135070000, 0.0169832000, 0.0273614000, 0.0571311000, 0.1430896000, 0.3969800000, 1.1147236000", \ - "0.0163336000, 0.0192690000, 0.0284941000, 0.0573182000, 0.1433677000, 0.3932045000, 1.1201525000", \ - "0.0246764000, 0.0281617000, 0.0376337000, 0.0628800000, 0.1444065000, 0.3941566000, 1.1195170000", \ - "0.0422421000, 0.0468894000, 0.0589070000, 0.0867815000, 0.1598832000, 0.3954339000, 1.1198584000", \ - "0.0756723000, 0.0822930000, 0.0989951000, 0.1363624000, 0.2170712000, 0.4260189000, 1.1205752000", \ - "0.1417335000, 0.1504941000, 0.1730674000, 0.2265761000, 0.3351082000, 0.5600993000, 1.1691822000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014486300, 0.0041970400, 0.0121599000, 0.0352302000, 0.1020710000, 0.2957250000"); - values("0.0249890000, 0.0296424000, 0.0429328000, 0.0816157000, 0.1940235000, 0.5203246000, 1.4690655000", \ - "0.0249228000, 0.0296308000, 0.0430159000, 0.0817695000, 0.1945153000, 0.5192103000, 1.4630871000", \ - "0.0260850000, 0.0302875000, 0.0429290000, 0.0818567000, 0.1945699000, 0.5198304000, 1.4680340000", \ - "0.0388287000, 0.0428265000, 0.0522368000, 0.0847626000, 0.1942694000, 0.5197135000, 1.4632040000", \ - "0.0635308000, 0.0692776000, 0.0837312000, 0.1145030000, 0.2033784000, 0.5211009000, 1.4684114000", \ - "0.1041696000, 0.1139577000, 0.1375801000, 0.1863291000, 0.2751538000, 0.5386456000, 1.4682930000", \ - "0.1691102000, 0.1853677000, 0.2235712000, 0.3036737000, 0.4455700000, 0.6903160000, 1.4855411000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand2_4") { - leakage_power () { - value : 0.0019122000; - when : "!A&B"; - } - leakage_power () { - value : 0.0168098000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0082791000; - when : "A&B"; - } - leakage_power () { - value : 0.0014905000; - when : "A&!B"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__nand2"; - cell_leakage_power : 0.0071228910; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0085370000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0181423000, 0.0181690000, 0.0182305000, 0.0182212000, 0.0181998000, 0.0181504000, 0.0180365000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.012965000, -0.012981700, -0.013020300, -0.012986000, -0.012906800, -0.012724100, -0.012303100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087960000; - } - pin ("B") { - capacitance : 0.0088300000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0083880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0158302000, 0.0158272000, 0.0158201000, 0.0158218000, 0.0158258000, 0.0158349000, 0.0158559000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015780500, -0.015776400, -0.015766800, -0.015765400, -0.015761800, -0.015753500, -0.015734500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092720000; - } - pin ("Y") { - direction : "output"; - function : "(!A) | (!B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000"); - values("0.0092709000, 0.0077009000, 0.0025027000, -0.015025000, -0.072574000, -0.257171200, -0.847001100", \ - "0.0082969000, 0.0067735000, 0.0016752000, -0.015512900, -0.072721600, -0.257184300, -0.846950300", \ - "0.0074126000, 0.0057640000, 0.0006926000, -0.016316200, -0.073149300, -0.257310100, -0.846966500", \ - "0.0068373000, 0.0053001000, -0.000165400, -0.017304700, -0.073918100, -0.257701000, -0.847107100", \ - "0.0074511000, 0.0053845000, -0.000227900, -0.018013700, -0.074543500, -0.258244900, -0.847347200", \ - "0.0100396000, 0.0088558000, 0.0026606000, -0.016283000, -0.074757700, -0.258933800, -0.847823400", \ - "0.0173091000, 0.0151494000, 0.0083075000, -0.011870700, -0.071891100, -0.258441100, -0.848122700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000"); - values("0.0091146000, 0.0112783000, 0.0178392000, 0.0369367000, 0.0950041000, 0.2763970000, 0.8621528000", \ - "0.0081918000, 0.0102920000, 0.0166638000, 0.0360023000, 0.0947208000, 0.2788672000, 0.8564815000", \ - "0.0074836000, 0.0094487000, 0.0154921000, 0.0348579000, 0.0936324000, 0.2760390000, 0.8564804000", \ - "0.0075544000, 0.0093879000, 0.0151739000, 0.0334676000, 0.0924796000, 0.2767033000, 0.8606083000", \ - "0.0082828000, 0.0100198000, 0.0155066000, 0.0342195000, 0.0906974000, 0.2755006000, 0.8596083000", \ - "0.0100462000, 0.0115774000, 0.0168437000, 0.0348121000, 0.0918673000, 0.2721794000, 0.8578122000", \ - "0.0211584000, 0.0192862000, 0.0241122000, 0.0402916000, 0.0954585000, 0.2754714000, 0.8561925000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000"); - values("0.0124667000, 0.0108860000, 0.0055330000, -0.012192100, -0.069814400, -0.254450100, -0.844262700", \ - "0.0115513000, 0.0099962000, 0.0048302000, -0.012614800, -0.070006000, -0.254502500, -0.844283200", \ - "0.0105588000, 0.0089911000, 0.0038382000, -0.013366100, -0.070359800, -0.254624800, -0.844307900", \ - "0.0098371000, 0.0082435000, 0.0028662000, -0.014336500, -0.071013900, -0.254935600, -0.844440300", \ - "0.0103310000, 0.0086112000, 0.0031815000, -0.014982500, -0.071688200, -0.255262900, -0.844565600", \ - "0.0110791000, 0.0092420000, 0.0035066000, -0.014649000, -0.072463200, -0.256062100, -0.844943400", \ - "0.0162366000, 0.0142006000, 0.0078286000, -0.011624200, -0.070533000, -0.255904100, -0.845158800"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000"); - values("0.0246982000, 0.0265806000, 0.0324368000, 0.0507196000, 0.1082635000, 0.2906759000, 0.8724890000", \ - "0.0236517000, 0.0255482000, 0.0315826000, 0.0501034000, 0.1077713000, 0.2905790000, 0.8720048000", \ - "0.0226210000, 0.0245063000, 0.0306335000, 0.0491354000, 0.1071174000, 0.2901346000, 0.8721392000", \ - "0.0222230000, 0.0240774000, 0.0298671000, 0.0482436000, 0.1062879000, 0.2891320000, 0.8720190000", \ - "0.0233464000, 0.0251660000, 0.0310043000, 0.0490305000, 0.1064789000, 0.2890935000, 0.8712707000", \ - "0.0248859000, 0.0265116000, 0.0319589000, 0.0509890000, 0.1085646000, 0.2896306000, 0.8713115000", \ - "0.0315546000, 0.0333030000, 0.0386418000, 0.0553548000, 0.1107202000, 0.2930465000, 0.8722406000"); - } - } - max_capacitance : 0.5301000000; - max_transition : 1.4986590000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0196846000, 0.0214894000, 0.0270948000, 0.0441384000, 0.0963893000, 0.2626743000, 0.7983328000", \ - "0.0232463000, 0.0250872000, 0.0307584000, 0.0478779000, 0.1014861000, 0.2674229000, 0.7975810000", \ - "0.0301511000, 0.0327263000, 0.0399473000, 0.0575092000, 0.1106251000, 0.2770611000, 0.8073930000", \ - "0.0372339000, 0.0410862000, 0.0521713000, 0.0781962000, 0.1340250000, 0.3011591000, 0.8350764000", \ - "0.0401552000, 0.0463746000, 0.0631236000, 0.1029376000, 0.1836212000, 0.3545091000, 0.8851356000", \ - "0.0282955000, 0.0379621000, 0.0634936000, 0.1240876000, 0.2477358000, 0.4819245000, 1.0147604000", \ - "-0.025064200, -0.011335100, 0.0263611000, 0.1176377000, 0.3079844000, 0.6639810000, 1.3116122000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0242340000, 0.0269078000, 0.0348598000, 0.0579881000, 0.1288697000, 0.3500559000, 1.0564722000", \ - "0.0293365000, 0.0318920000, 0.0395086000, 0.0629239000, 0.1342490000, 0.3580886000, 1.0637792000", \ - "0.0424911000, 0.0452213000, 0.0526283000, 0.0759010000, 0.1465874000, 0.3692190000, 1.0762998000", \ - "0.0641670000, 0.0686250000, 0.0805502000, 0.1072780000, 0.1773256000, 0.3990797000, 1.1052306000", \ - "0.0987517000, 0.1059299000, 0.1248592000, 0.1683010000, 0.2522434000, 0.4750554000, 1.1799575000", \ - "0.1581990000, 0.1687093000, 0.1984489000, 0.2672093000, 0.4015263000, 0.6471254000, 1.3525815000", \ - "0.2686611000, 0.2839625000, 0.3268878000, 0.4302959000, 0.6430589000, 1.0218250000, 1.7544647000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0130327000, 0.0151905000, 0.0223079000, 0.0450649000, 0.1167571000, 0.3458200000, 1.0805018000", \ - "0.0131986000, 0.0152698000, 0.0223447000, 0.0449553000, 0.1176859000, 0.3468408000, 1.0782312000", \ - "0.0184825000, 0.0208300000, 0.0262382000, 0.0458781000, 0.1173606000, 0.3456738000, 1.0795913000", \ - "0.0283107000, 0.0312156000, 0.0391559000, 0.0595476000, 0.1196149000, 0.3465407000, 1.0788846000", \ - "0.0473497000, 0.0512064000, 0.0625856000, 0.0893939000, 0.1500424000, 0.3495459000, 1.0761634000", \ - "0.0797161000, 0.0861683000, 0.1031389000, 0.1433381000, 0.2262718000, 0.4096607000, 1.0780071000", \ - "0.1394519000, 0.1490594000, 0.1757089000, 0.2373024000, 0.3605228000, 0.5942562000, 1.1732155000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0163728000, 0.0193365000, 0.0291154000, 0.0602568000, 0.1598662000, 0.4740094000, 1.4826818000", \ - "0.0163340000, 0.0193897000, 0.0290425000, 0.0600941000, 0.1596554000, 0.4764955000, 1.4817469000", \ - "0.0207267000, 0.0227527000, 0.0305370000, 0.0600965000, 0.1596094000, 0.4769091000, 1.4796694000", \ - "0.0344062000, 0.0372092000, 0.0452310000, 0.0666464000, 0.1593882000, 0.4757272000, 1.4806347000", \ - "0.0570896000, 0.0618423000, 0.0737096000, 0.1015869000, 0.1730242000, 0.4760021000, 1.4867120000", \ - "0.0930665000, 0.1007368000, 0.1208184000, 0.1653146000, 0.2510803000, 0.4943750000, 1.4874799000", \ - "0.1562131000, 0.1687451000, 0.1971350000, 0.2695675000, 0.4079915000, 0.6520386000, 1.4986586000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0253961000, 0.0272441000, 0.0327091000, 0.0495859000, 0.1016656000, 0.2691097000, 0.7995458000", \ - "0.0292059000, 0.0310275000, 0.0367110000, 0.0535885000, 0.1069765000, 0.2727188000, 0.8032400000", \ - "0.0360932000, 0.0383465000, 0.0446087000, 0.0620557000, 0.1152077000, 0.2825578000, 0.8124311000", \ - "0.0452887000, 0.0484803000, 0.0569603000, 0.0789576000, 0.1344180000, 0.3014440000, 0.8323233000", \ - "0.0523876000, 0.0572227000, 0.0711605000, 0.1033989000, 0.1756529000, 0.3473160000, 0.8786184000", \ - "0.0445039000, 0.0524351000, 0.0752641000, 0.1267944000, 0.2336107000, 0.4488819000, 0.9877016000", \ - "-0.007831800, 0.0051118000, 0.0406924000, 0.1246323000, 0.2935974000, 0.6075307000, 1.2241136000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0350992000, 0.0375969000, 0.0449204000, 0.0672063000, 0.1365061000, 0.3554612000, 1.0523779000", \ - "0.0401893000, 0.0425308000, 0.0500041000, 0.0725969000, 0.1418545000, 0.3608226000, 1.0587001000", \ - "0.0533601000, 0.0556450000, 0.0631076000, 0.0852729000, 0.1554152000, 0.3748254000, 1.0715463000", \ - "0.0825839000, 0.0855946000, 0.0945014000, 0.1176278000, 0.1873090000, 0.4060128000, 1.1023487000", \ - "0.1305368000, 0.1354267000, 0.1493281000, 0.1848286000, 0.2619334000, 0.4802199000, 1.1769874000", \ - "0.2086142000, 0.2163482000, 0.2385785000, 0.2956733000, 0.4165240000, 0.6538708000, 1.3473886000", \ - "0.3422315000, 0.3554846000, 0.3890883000, 0.4763761000, 0.6703939000, 1.0324812000, 1.7501620000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0130486000, 0.0153045000, 0.0223979000, 0.0449948000, 0.1170474000, 0.3462942000, 1.0776381000", \ - "0.0131341000, 0.0153396000, 0.0224098000, 0.0450121000, 0.1170983000, 0.3457965000, 1.0763236000", \ - "0.0160618000, 0.0179452000, 0.0240640000, 0.0454251000, 0.1169452000, 0.3460591000, 1.0776199000", \ - "0.0239101000, 0.0261751000, 0.0328030000, 0.0527195000, 0.1186293000, 0.3455221000, 1.0775539000", \ - "0.0408777000, 0.0438993000, 0.0524337000, 0.0746259000, 0.1377432000, 0.3488929000, 1.0765813000", \ - "0.0737383000, 0.0778088000, 0.0899509000, 0.1204960000, 0.1915944000, 0.3861498000, 1.0824506000", \ - "0.1393429000, 0.1447637000, 0.1602991000, 0.2029102000, 0.2995143000, 0.5164569000, 1.1353274000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0262074000, 0.0290839000, 0.0385814000, 0.0688890000, 0.1665689000, 0.4785676000, 1.4708065000", \ - "0.0260423000, 0.0290809000, 0.0385178000, 0.0691440000, 0.1666881000, 0.4777270000, 1.4763981000", \ - "0.0268695000, 0.0294992000, 0.0384833000, 0.0690093000, 0.1665566000, 0.4775256000, 1.4712840000", \ - "0.0392180000, 0.0418221000, 0.0484628000, 0.0729160000, 0.1667060000, 0.4799063000, 1.4715091000", \ - "0.0636660000, 0.0676837000, 0.0785134000, 0.1047819000, 0.1790759000, 0.4781919000, 1.4725076000", \ - "0.1038778000, 0.1105205000, 0.1281283000, 0.1701179000, 0.2544870000, 0.4989449000, 1.4719324000", \ - "0.1682099000, 0.1790331000, 0.2076618000, 0.2767220000, 0.4093141000, 0.6541236000, 1.4922104000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand2_8") { - leakage_power () { - value : 0.0045597000; - when : "!A&B"; - } - leakage_power () { - value : 0.0004022000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0097833000; - when : "A&B"; - } - leakage_power () { - value : 0.0034683000; - when : "A&!B"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__nand2"; - cell_leakage_power : 0.0045533830; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0169330000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0164390000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0363587000, 0.0363664000, 0.0363840000, 0.0363832000, 0.0363812000, 0.0363766000, 0.0363659000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.026356800, -0.026400100, -0.026500100, -0.026438400, -0.026296000, -0.025967800, -0.025211400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0174280000; - } - pin ("B") { - capacitance : 0.0172050000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0163140000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0313291000, 0.0313225000, 0.0313075000, 0.0312961000, 0.0312700000, 0.0312097000, 0.0310707000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.031259000, -0.031245900, -0.031215800, -0.031212700, -0.031205400, -0.031188700, -0.031150100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0180960000; - } - pin ("Y") { - direction : "output"; - function : "(!A) | (!B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017546390, 0.0061575130, 0.0216084200, 0.0758299300, 0.2661083000, 0.9338476000"); - values("0.0205508000, 0.0188009000, 0.0124390000, -0.011414600, -0.098430800, -0.406381200, -1.488124000", \ - "0.0185952000, 0.0168989000, 0.0107097000, -0.012504100, -0.098884100, -0.406553400, -1.488042700", \ - "0.0166970000, 0.0148576000, 0.0086170000, -0.014414700, -0.099743800, -0.406757100, -1.488114100", \ - "0.0157569000, 0.0138915000, 0.0069896000, -0.016399700, -0.101640900, -0.407554600, -1.488302300", \ - "0.0164532000, 0.0138633000, 0.0073967000, -0.017665500, -0.103095100, -0.408774500, -1.488831300", \ - "0.0207503000, 0.0184439000, 0.0108731000, -0.014541600, -0.103444500, -0.410268200, -1.489782600", \ - "0.0333020000, 0.0308041000, 0.0223208000, -0.005964200, -0.097507600, -0.409411000, -1.490448300"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017546390, 0.0061575130, 0.0216084200, 0.0758299300, 0.2661083000, 0.9338476000"); - values("0.0184146000, 0.0210472000, 0.0296986000, 0.0569996000, 0.1454304000, 0.4489157000, 1.5171134000", \ - "0.0166210000, 0.0189581000, 0.0273034000, 0.0550721000, 0.1448347000, 0.4520620000, 1.5135194000", \ - "0.0150424000, 0.0172704000, 0.0251191000, 0.0526776000, 0.1421168000, 0.4485339000, 1.5174999000", \ - "0.0147223000, 0.0168517000, 0.0243489000, 0.0502502000, 0.1407197000, 0.4502171000, 1.5135958000", \ - "0.0160566000, 0.0180353000, 0.0250976000, 0.0499872000, 0.1371661000, 0.4437872000, 1.5130565000", \ - "0.0206582000, 0.0224965000, 0.0290742000, 0.0530189000, 0.1391848000, 0.4432059000, 1.5104705000", \ - "0.0327240000, 0.0343552000, 0.0396617000, 0.0649382000, 0.1436804000, 0.4455923000, 1.5122625000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017546390, 0.0061575130, 0.0216084200, 0.0758299300, 0.2661083000, 0.9338476000"); - values("0.0264887000, 0.0246092000, 0.0179861000, -0.006251500, -0.093636600, -0.401703700, -1.483293500", \ - "0.0246305000, 0.0228776000, 0.0164715000, -0.007219700, -0.094029800, -0.401857400, -1.483327700", \ - "0.0226646000, 0.0208773000, 0.0144559000, -0.008898900, -0.094846300, -0.402116000, -1.483513600", \ - "0.0211754000, 0.0193045000, 0.0125936000, -0.010985700, -0.096363200, -0.402738200, -1.483717200", \ - "0.0219283000, 0.0200251000, 0.0131308000, -0.011994700, -0.097773800, -0.403542100, -1.483750100", \ - "0.0230724000, 0.0210642000, 0.0138143000, -0.011206600, -0.098931300, -0.405297900, -1.484731100", \ - "0.0324301000, 0.0300654000, 0.0217968000, -0.005074400, -0.095148100, -0.404945600, -1.485336500"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017546390, 0.0061575130, 0.0216084200, 0.0758299300, 0.2661083000, 0.9338476000"); - values("0.0488452000, 0.0509326000, 0.0583063000, 0.0837468000, 0.1711816000, 0.4758318000, 1.5436059000", \ - "0.0468624000, 0.0490846000, 0.0565711000, 0.0824552000, 0.1705423000, 0.4756971000, 1.5423772000", \ - "0.0450527000, 0.0472793000, 0.0545243000, 0.0807461000, 0.1690376000, 0.4749533000, 1.5418241000", \ - "0.0438718000, 0.0459505000, 0.0533183000, 0.0788456000, 0.1674054000, 0.4735745000, 1.5420861000", \ - "0.0448575000, 0.0469049000, 0.0538923000, 0.0790352000, 0.1664499000, 0.4719770000, 1.5418975000", \ - "0.0490883000, 0.0510718000, 0.0578024000, 0.0816818000, 0.1681400000, 0.4717201000, 1.5347605000", \ - "0.0602685000, 0.0620399000, 0.0684007000, 0.0909495000, 0.1735191000, 0.4756184000, 1.5391567000"); - } - } - max_capacitance : 0.9338480000; - max_transition : 1.4961180000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017546400, 0.0061575100, 0.0216084000, 0.0758299000, 0.2661080000, 0.9338480000"); - values("0.0224117000, 0.0236913000, 0.0280666000, 0.0423203000, 0.0903754000, 0.2588930000, 0.8410206000", \ - "0.0257246000, 0.0270420000, 0.0314871000, 0.0460865000, 0.0943061000, 0.2626327000, 0.8464651000", \ - "0.0331355000, 0.0348437000, 0.0403686000, 0.0551771000, 0.1038348000, 0.2709631000, 0.8615312000", \ - "0.0408780000, 0.0433810000, 0.0517113000, 0.0740146000, 0.1269494000, 0.2944963000, 0.8780461000", \ - "0.0439788000, 0.0479524000, 0.0605036000, 0.0948883000, 0.1729469000, 0.3492918000, 0.9383808000", \ - "0.0307661000, 0.0367069000, 0.0554403000, 0.1082930000, 0.2285799000, 0.4707799000, 1.0610661000", \ - "-0.028072700, -0.018929800, 0.0093322000, 0.0869713000, 0.2700820000, 0.6412523000, 1.3512288000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017546400, 0.0061575100, 0.0216084000, 0.0758299000, 0.2661080000, 0.9338480000"); - values("0.0264431000, 0.0282106000, 0.0340901000, 0.0526758000, 0.1134019000, 0.3213201000, 1.0532489000", \ - "0.0314573000, 0.0330337000, 0.0387074000, 0.0576204000, 0.1186226000, 0.3297862000, 1.0565987000", \ - "0.0448864000, 0.0464786000, 0.0518004000, 0.0701208000, 0.1314871000, 0.3403342000, 1.0724535000", \ - "0.0679208000, 0.0707478000, 0.0793896000, 0.1017512000, 0.1626818000, 0.3722355000, 1.0990397000", \ - "0.1055412000, 0.1099643000, 0.1237297000, 0.1595495000, 0.2374898000, 0.4468821000, 1.1738386000", \ - "0.1700382000, 0.1767170000, 0.1976753000, 0.2545144000, 0.3792140000, 0.6202291000, 1.3482485000", \ - "0.2910064000, 0.3005842000, 0.3311068000, 0.4166284000, 0.6108516000, 0.9875615000, 1.7493069000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017546400, 0.0061575100, 0.0216084000, 0.0758299000, 0.2661080000, 0.9338480000"); - values("0.0161049000, 0.0176591000, 0.0231072000, 0.0420753000, 0.1087631000, 0.3443780000, 1.1593273000", \ - "0.0160172000, 0.0175571000, 0.0230757000, 0.0421819000, 0.1085911000, 0.3428719000, 1.1595260000", \ - "0.0216718000, 0.0228243000, 0.0270165000, 0.0433478000, 0.1088337000, 0.3419069000, 1.1647437000", \ - "0.0308782000, 0.0328123000, 0.0390341000, 0.0571887000, 0.1119642000, 0.3425072000, 1.1599337000", \ - "0.0502674000, 0.0532643000, 0.0620706000, 0.0854881000, 0.1450423000, 0.3461157000, 1.1668896000", \ - "0.0842350000, 0.0883137000, 0.1016648000, 0.1379871000, 0.2181692000, 0.4092773000, 1.1613154000", \ - "0.1455370000, 0.1514515000, 0.1709396000, 0.2250627000, 0.3473864000, 0.5935612000, 1.2440662000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017546400, 0.0061575100, 0.0216084000, 0.0758299000, 0.2661080000, 0.9338480000"); - values("0.0180334000, 0.0199122000, 0.0267879000, 0.0508646000, 0.1350507000, 0.4292810000, 1.4669440000", \ - "0.0179886000, 0.0199674000, 0.0267932000, 0.0509626000, 0.1354678000, 0.4350233000, 1.4641154000", \ - "0.0214298000, 0.0227952000, 0.0281915000, 0.0508145000, 0.1352446000, 0.4290920000, 1.4660406000", \ - "0.0354160000, 0.0372444000, 0.0428243000, 0.0590735000, 0.1353016000, 0.4330590000, 1.4618393000", \ - "0.0582357000, 0.0610972000, 0.0696132000, 0.0927805000, 0.1526168000, 0.4304789000, 1.4661564000", \ - "0.0957267000, 0.1002051000, 0.1146139000, 0.1526402000, 0.2308653000, 0.4540299000, 1.4706362000", \ - "0.1603378000, 0.1670111000, 0.1877338000, 0.2472398000, 0.3761665000, 0.6170633000, 1.4784669000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017546400, 0.0061575100, 0.0216084000, 0.0758299000, 0.2661080000, 0.9338480000"); - values("0.0289452000, 0.0301681000, 0.0343323000, 0.0485501000, 0.0961600000, 0.2630340000, 0.8464043000", \ - "0.0322972000, 0.0336257000, 0.0379330000, 0.0523958000, 0.1002924000, 0.2687019000, 0.8525156000", \ - "0.0386135000, 0.0400687000, 0.0448181000, 0.0596188000, 0.1088806000, 0.2749924000, 0.8596091000", \ - "0.0471507000, 0.0490638000, 0.0551071000, 0.0732435000, 0.1248505000, 0.2924853000, 0.8767654000", \ - "0.0532898000, 0.0562810000, 0.0658502000, 0.0924738000, 0.1583344000, 0.3319826000, 0.9175613000", \ - "0.0429012000, 0.0478118000, 0.0634277000, 0.1061592000, 0.2040668000, 0.4165796000, 1.0091663000", \ - "-0.015941300, -0.008017600, 0.0168739000, 0.0852448000, 0.2404768000, 0.5486946000, 1.2174135000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017546400, 0.0061575100, 0.0216084000, 0.0758299000, 0.2661080000, 0.9338480000"); - values("0.0394806000, 0.0409352000, 0.0462588000, 0.0639553000, 0.1237404000, 0.3308363000, 1.0547064000", \ - "0.0441710000, 0.0457039000, 0.0511316000, 0.0690070000, 0.1291672000, 0.3363283000, 1.0607962000", \ - "0.0572794000, 0.0588592000, 0.0638967000, 0.0817498000, 0.1425250000, 0.3495408000, 1.0747906000", \ - "0.0874759000, 0.0894198000, 0.0957082000, 0.1140368000, 0.1742327000, 0.3817158000, 1.1045490000", \ - "0.1381147000, 0.1411141000, 0.1509334000, 0.1797678000, 0.2492755000, 0.4554379000, 1.1821506000", \ - "0.2212021000, 0.2258948000, 0.2412849000, 0.2868407000, 0.3973467000, 0.6300300000, 1.3485423000", \ - "0.3677006000, 0.3742824000, 0.3963834000, 0.4660898000, 0.6411204000, 1.0004062000, 1.7536783000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017546400, 0.0061575100, 0.0216084000, 0.0758299000, 0.2661080000, 0.9338480000"); - values("0.0161749000, 0.0176969000, 0.0230839000, 0.0422533000, 0.1086732000, 0.3424355000, 1.1592646000", \ - "0.0162444000, 0.0177565000, 0.0231413000, 0.0422662000, 0.1086846000, 0.3429780000, 1.1637161000", \ - "0.0187044000, 0.0200780000, 0.0247997000, 0.0428880000, 0.1091377000, 0.3416637000, 1.1591201000", \ - "0.0259421000, 0.0274268000, 0.0325246000, 0.0500344000, 0.1107406000, 0.3412993000, 1.1591048000", \ - "0.0428752000, 0.0447565000, 0.0507818000, 0.0696037000, 0.1294861000, 0.3449796000, 1.1611854000", \ - "0.0765463000, 0.0790865000, 0.0870578000, 0.1119808000, 0.1773981000, 0.3791053000, 1.1615073000", \ - "0.1427901000, 0.1462824000, 0.1573155000, 0.1905947000, 0.2780893000, 0.4981724000, 1.2110781000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017546400, 0.0061575100, 0.0216084000, 0.0758299000, 0.2661080000, 0.9338480000"); - values("0.0293910000, 0.0313095000, 0.0381604000, 0.0620688000, 0.1463961000, 0.4420224000, 1.4781832000", \ - "0.0294329000, 0.0313841000, 0.0380884000, 0.0621966000, 0.1462411000, 0.4429453000, 1.4844204000", \ - "0.0296646000, 0.0314866000, 0.0380430000, 0.0620277000, 0.1462656000, 0.4418284000, 1.4847428000", \ - "0.0416091000, 0.0427913000, 0.0477545000, 0.0668010000, 0.1464050000, 0.4423252000, 1.4810816000", \ - "0.0663627000, 0.0688524000, 0.0760029000, 0.0976313000, 0.1614487000, 0.4421318000, 1.4840437000", \ - "0.1082542000, 0.1122554000, 0.1241131000, 0.1585154000, 0.2365880000, 0.4654858000, 1.4852369000", \ - "0.1739390000, 0.1803012000, 0.2001274000, 0.2580160000, 0.3817304000, 0.6266708000, 1.4961177000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand2b_1") { - leakage_power () { - value : 0.0062841000; - when : "!A_N&B"; - } - leakage_power () { - value : 0.0006300000; - when : "!A_N&!B"; - } - leakage_power () { - value : 0.0011852000; - when : "A_N&B"; - } - leakage_power () { - value : 0.0009204000; - when : "A_N&!B"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__nand2b"; - cell_leakage_power : 0.0022549180; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0013950000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013440000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0068080000, 0.0067224000, 0.0065251000, 0.0065712000, 0.0066775000, 0.0069226000, 0.0074874000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045957000, 0.0045294000, 0.0043766000, 0.0044173000, 0.0045113000, 0.0047278000, 0.0052269000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014450000; - } - pin ("B") { - capacitance : 0.0023990000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038582000, 0.0038598000, 0.0038635000, 0.0038652000, 0.0038692000, 0.0038782000, 0.0038991000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003875500, -0.003871300, -0.003861600, -0.003861200, -0.003860200, -0.003857900, -0.003852600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025070000; - } - pin ("Y") { - direction : "output"; - function : "(A_N) | (!B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012938950, 0.0033483280, 0.0086647680, 0.0224226000, 0.0580249800, 0.1501564000"); - values("0.0043144000, 0.0032094000, 0.0002598000, -0.007949100, -0.029996600, -0.087588600, -0.236789300", \ - "0.0042672000, 0.0031684000, 0.0001953000, -0.007984000, -0.030040500, -0.087628000, -0.236842500", \ - "0.0042965000, 0.0031883000, 0.0002410000, -0.007975900, -0.030024500, -0.087591400, -0.236773200", \ - "0.0041326000, 0.0030020000, 3.300000e-06, -0.008227700, -0.030239400, -0.087771800, -0.236956200", \ - "0.0040481000, 0.0028793000, -0.000195700, -0.008525800, -0.030473300, -0.087956300, -0.237103900", \ - "0.0040663000, 0.0027405000, -0.000556100, -0.008782300, -0.030376000, -0.087792000, -0.236902300", \ - "0.0045330000, 0.0033453000, -1.38000e-05, -0.008732000, -0.030782200, -0.087957400, -0.237012600"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012938950, 0.0033483280, 0.0086647680, 0.0224226000, 0.0580249800, 0.1501564000"); - values("0.0035660000, 0.0049830000, 0.0084844000, 0.0171655000, 0.0395290000, 0.0963918000, 0.2426410000", \ - "0.0035532000, 0.0049679000, 0.0084780000, 0.0171847000, 0.0395279000, 0.0963840000, 0.2435471000", \ - "0.0035425000, 0.0049422000, 0.0084404000, 0.0171852000, 0.0394369000, 0.0964263000, 0.2426633000", \ - "0.0032758000, 0.0046406000, 0.0081144000, 0.0168039000, 0.0391483000, 0.0966012000, 0.2434622000", \ - "0.0031896000, 0.0044783000, 0.0078820000, 0.0165190000, 0.0387261000, 0.0960651000, 0.2436779000", \ - "0.0031247000, 0.0044470000, 0.0078600000, 0.0163582000, 0.0387285000, 0.0953900000, 0.2446636000", \ - "0.0035078000, 0.0047461000, 0.0081116000, 0.0168005000, 0.0389005000, 0.0964179000, 0.2425233000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012938950, 0.0033483280, 0.0086647680, 0.0224226000, 0.0580249800, 0.1501564000"); - values("0.0043991000, 0.0032356000, 0.0001044000, -0.008356000, -0.030579500, -0.088235100, -0.237421400", \ - "0.0041891000, 0.0030569000, -2.40000e-05, -0.008427600, -0.030613200, -0.088240500, -0.237495000", \ - "0.0039264000, 0.0028084000, -0.000237800, -0.008561700, -0.030681600, -0.088288100, -0.237506900", \ - "0.0038233000, 0.0026329000, -0.000458200, -0.008770600, -0.030808800, -0.088335300, -0.237528800", \ - "0.0041593000, 0.0028931000, -0.000414800, -0.008979500, -0.031000000, -0.088455900, -0.237536800", \ - "0.0044811000, 0.0031647000, -0.000191400, -0.008796100, -0.031135600, -0.088570700, -0.237659200", \ - "0.0060336000, 0.0045764000, 0.0009544000, -0.008028600, -0.030578700, -0.088491800, -0.237672800"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012938950, 0.0033483280, 0.0086647680, 0.0224226000, 0.0580249800, 0.1501564000"); - values("0.0055239000, 0.0068052000, 0.0101348000, 0.0186852000, 0.0406941000, 0.0975507000, 0.2447029000", \ - "0.0053074000, 0.0066152000, 0.0099812000, 0.0185411000, 0.0406184000, 0.0974835000, 0.2446953000", \ - "0.0051308000, 0.0064208000, 0.0097540000, 0.0184371000, 0.0403409000, 0.0974400000, 0.2444970000", \ - "0.0051312000, 0.0063883000, 0.0096980000, 0.0182857000, 0.0402739000, 0.0969598000, 0.2445785000", \ - "0.0051226000, 0.0063892000, 0.0097013000, 0.0181443000, 0.0399399000, 0.0967400000, 0.2435841000", \ - "0.0055271000, 0.0067262000, 0.0099085000, 0.0186076000, 0.0408861000, 0.0974294000, 0.2443477000", \ - "0.0076709000, 0.0088454000, 0.0119045000, 0.0198827000, 0.0416219000, 0.0974522000, 0.2441025000"); - } - } - max_capacitance : 0.1501560000; - max_transition : 1.5061160000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.1034257000, 0.1102257000, 0.1249078000, 0.1563750000, 0.2288244000, 0.4102221000, 0.8789943000", \ - "0.1084989000, 0.1152293000, 0.1298916000, 0.1614971000, 0.2338686000, 0.4156431000, 0.8869536000", \ - "0.1212644000, 0.1279946000, 0.1426910000, 0.1742850000, 0.2465777000, 0.4281527000, 0.8988822000", \ - "0.1530543000, 0.1597305000, 0.1743983000, 0.2059884000, 0.2784428000, 0.4608543000, 0.9301817000", \ - "0.2221842000, 0.2291834000, 0.2442925000, 0.2762841000, 0.3489685000, 0.5310249000, 1.0004002000", \ - "0.3360641000, 0.3446534000, 0.3625003000, 0.3973517000, 0.4720840000, 0.6536036000, 1.1241699000", \ - "0.5145535000, 0.5259607000, 0.5480861000, 0.5908290000, 0.6702471000, 0.8492763000, 1.3178396000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0605303000, 0.0670824000, 0.0826768000, 0.1206063000, 0.2162324000, 0.4628263000, 1.1028164000", \ - "0.0654275000, 0.0719787000, 0.0876053000, 0.1254715000, 0.2212389000, 0.4678206000, 1.1062667000", \ - "0.0767061000, 0.0831713000, 0.0987144000, 0.1367134000, 0.2334229000, 0.4829739000, 1.1180429000", \ - "0.0987401000, 0.1052528000, 0.1208196000, 0.1587230000, 0.2552865000, 0.5041368000, 1.1562628000", \ - "0.1292653000, 0.1361918000, 0.1520633000, 0.1899516000, 0.2864792000, 0.5339157000, 1.1715503000", \ - "0.1644226000, 0.1728888000, 0.1902066000, 0.2286065000, 0.3246046000, 0.5717181000, 1.2150905000", \ - "0.1874866000, 0.1984877000, 0.2214584000, 0.2634795000, 0.3582978000, 0.6061131000, 1.2434134000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0275151000, 0.0328390000, 0.0466267000, 0.0805707000, 0.1711005000, 0.4144822000, 1.0451888000", \ - "0.0272889000, 0.0328210000, 0.0466733000, 0.0806842000, 0.1710451000, 0.4152159000, 1.0395693000", \ - "0.0273460000, 0.0331142000, 0.0465749000, 0.0806680000, 0.1711784000, 0.4149591000, 1.0420763000", \ - "0.0273031000, 0.0331804000, 0.0464809000, 0.0807093000, 0.1707910000, 0.4121302000, 1.0401091000", \ - "0.0310456000, 0.0361773000, 0.0491714000, 0.0819604000, 0.1716193000, 0.4144305000, 1.0441310000", \ - "0.0423008000, 0.0476506000, 0.0603239000, 0.0909597000, 0.1759425000, 0.4140424000, 1.0446720000", \ - "0.0607227000, 0.0670333000, 0.0813269000, 0.1111452000, 0.1885510000, 0.4185799000, 1.0402724000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0246217000, 0.0321220000, 0.0518914000, 0.1041771000, 0.2413349000, 0.5917821000, 1.5031738000", \ - "0.0246229000, 0.0321220000, 0.0519001000, 0.1041025000, 0.2411708000, 0.5922978000, 1.5039167000", \ - "0.0246859000, 0.0321107000, 0.0518829000, 0.1040678000, 0.2403528000, 0.5960624000, 1.4996218000", \ - "0.0260085000, 0.0332048000, 0.0523876000, 0.1041506000, 0.2407683000, 0.5938305000, 1.5059931000", \ - "0.0300365000, 0.0366079000, 0.0545561000, 0.1050833000, 0.2396286000, 0.5935822000, 1.5000184000", \ - "0.0393846000, 0.0454694000, 0.0610626000, 0.1080121000, 0.2420009000, 0.5910092000, 1.5061162000", \ - "0.0567332000, 0.0631355000, 0.0781340000, 0.1180524000, 0.2432712000, 0.5928993000, 1.4975257000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0265986000, 0.0312340000, 0.0426886000, 0.0710069000, 0.1419970000, 0.3238535000, 0.7991605000", \ - "0.0306401000, 0.0354059000, 0.0470443000, 0.0755216000, 0.1469049000, 0.3295301000, 0.7972004000", \ - "0.0385113000, 0.0437812000, 0.0556581000, 0.0844652000, 0.1560552000, 0.3380200000, 0.8066621000", \ - "0.0500308000, 0.0572249000, 0.0725389000, 0.1045150000, 0.1769145000, 0.3599066000, 0.8303950000", \ - "0.0625008000, 0.0735116000, 0.0966401000, 0.1410401000, 0.2242080000, 0.4085952000, 0.8811460000", \ - "0.0664890000, 0.0842593000, 0.1211157000, 0.1895887000, 0.3078951000, 0.5221482000, 0.9975816000", \ - "0.0406147000, 0.0691904000, 0.1269989000, 0.2350845000, 0.4185850000, 0.7205022000, 1.2560001000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0334802000, 0.0391453000, 0.0533759000, 0.0892029000, 0.1806853000, 0.4162381000, 1.0255479000", \ - "0.0386575000, 0.0443590000, 0.0586087000, 0.0943790000, 0.1858823000, 0.4215481000, 1.0305918000", \ - "0.0519186000, 0.0574364000, 0.0717745000, 0.1078967000, 0.1987445000, 0.4347811000, 1.0432735000", \ - "0.0799719000, 0.0875358000, 0.1035741000, 0.1390684000, 0.2300442000, 0.4653150000, 1.0753834000", \ - "0.1251441000, 0.1369222000, 0.1625290000, 0.2111903000, 0.3024008000, 0.5372745000, 1.1454254000", \ - "0.1959850000, 0.2146057000, 0.2551795000, 0.3335713000, 0.4676015000, 0.7087391000, 1.3141092000", \ - "0.3145050000, 0.3421277000, 0.4037206000, 0.5270250000, 0.7439301000, 1.0923609000, 1.7102002000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0172297000, 0.0233179000, 0.0380330000, 0.0751638000, 0.1709340000, 0.4118769000, 1.0443507000", \ - "0.0173650000, 0.0233415000, 0.0381112000, 0.0752513000, 0.1694367000, 0.4120308000, 1.0393283000", \ - "0.0196861000, 0.0248385000, 0.0387965000, 0.0751808000, 0.1691487000, 0.4132565000, 1.0391416000", \ - "0.0277346000, 0.0335313000, 0.0468477000, 0.0786546000, 0.1695772000, 0.4124622000, 1.0400523000", \ - "0.0456308000, 0.0526057000, 0.0680431000, 0.1017375000, 0.1807685000, 0.4161220000, 1.0413954000", \ - "0.0801625000, 0.0900277000, 0.1112064000, 0.1543959000, 0.2372528000, 0.4415558000, 1.0482802000", \ - "0.1455418000, 0.1595947000, 0.1892687000, 0.2498985000, 0.3604388000, 0.5750083000, 1.0966820000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0278096000, 0.0352489000, 0.0545574000, 0.1044193000, 0.2337335000, 0.5684989000, 1.4364185000", \ - "0.0278103000, 0.0352934000, 0.0545786000, 0.1043617000, 0.2336175000, 0.5689595000, 1.4357147000", \ - "0.0293467000, 0.0360615000, 0.0544768000, 0.1044947000, 0.2340951000, 0.5694938000, 1.4336570000", \ - "0.0433856000, 0.0484166000, 0.0624729000, 0.1063958000, 0.2337211000, 0.5691969000, 1.4361969000", \ - "0.0699781000, 0.0785379000, 0.0962144000, 0.1316407000, 0.2402452000, 0.5692368000, 1.4359905000", \ - "0.1146256000, 0.1287394000, 0.1575635000, 0.2106785000, 0.3053729000, 0.5815866000, 1.4355471000", \ - "0.1827105000, 0.2058559000, 0.2538142000, 0.3409513000, 0.4860778000, 0.7247740000, 1.4566661000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand2b_2") { - leakage_power () { - value : 0.0056295000; - when : "!A_N&B"; - } - leakage_power () { - value : 0.0008422000; - when : "!A_N&!B"; - } - leakage_power () { - value : 0.0014932000; - when : "A_N&B"; - } - leakage_power () { - value : 0.0021284000; - when : "A_N&!B"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__nand2b"; - cell_leakage_power : 0.0025233180; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0013780000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013170000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0097497000, 0.0096540000, 0.0094335000, 0.0094675000, 0.0095460000, 0.0097268000, 0.0101435000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0088058000, 0.0087556000, 0.0086401000, 0.0086618000, 0.0087118000, 0.0088272000, 0.0090930000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014380000; - } - pin ("B") { - capacitance : 0.0045610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043460000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0081022000, 0.0081011000, 0.0080986000, 0.0080958000, 0.0080893000, 0.0080745000, 0.0080402000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008090300, -0.008087800, -0.008082000, -0.008080800, -0.008077900, -0.008071300, -0.008056200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047760000; - } - pin ("Y") { - direction : "output"; - function : "(A_N) | (!B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0067237000, 0.0053247000, 0.0013147000, -0.010461600, -0.046314300, -0.150994700, -0.452984900", \ - "0.0067196000, 0.0053222000, 0.0012892000, -0.010525200, -0.046335000, -0.151008000, -0.452995300", \ - "0.0067777000, 0.0053853000, 0.0013921000, -0.010445100, -0.046276100, -0.150948700, -0.452989300", \ - "0.0065930000, 0.0051868000, 0.0011140000, -0.010700000, -0.046483000, -0.151127500, -0.453104700", \ - "0.0066129000, 0.0051397000, 0.0010050000, -0.011006400, -0.046833200, -0.151337100, -0.453314700", \ - "0.0063889000, 0.0048168000, 0.0005784000, -0.011371100, -0.047110600, -0.151474100, -0.453373800", \ - "0.0080966000, 0.0064455000, 0.0026522000, -0.009974800, -0.047177300, -0.151482600, -0.453308100"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0042823000, 0.0060074000, 0.0107714000, 0.0240456000, 0.0606166000, 0.1647465000, 0.4641019000", \ - "0.0042783000, 0.0059801000, 0.0107827000, 0.0240666000, 0.0606451000, 0.1648295000, 0.4639540000", \ - "0.0042703000, 0.0059750000, 0.0107750000, 0.0239582000, 0.0606132000, 0.1638275000, 0.4612781000", \ - "0.0040869000, 0.0057546000, 0.0104431000, 0.0234884000, 0.0602252000, 0.1645949000, 0.4634520000", \ - "0.0039039000, 0.0055504000, 0.0101668000, 0.0230282000, 0.0594922000, 0.1633280000, 0.4612674000", \ - "0.0041638000, 0.0056750000, 0.0102042000, 0.0227485000, 0.0590912000, 0.1632001000, 0.4633057000", \ - "0.0047347000, 0.0062352000, 0.0105483000, 0.0233987000, 0.0592385000, 0.1640441000, 0.4616750000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0101142000, 0.0087538000, 0.0047468000, -0.007374800, -0.043494000, -0.148308800, -0.450403500", \ - "0.0096401000, 0.0083271000, 0.0044235000, -0.007542700, -0.043566500, -0.148336000, -0.450404900", \ - "0.0091607000, 0.0078368000, 0.0039683000, -0.007873400, -0.043727000, -0.148398600, -0.450421000", \ - "0.0088775000, 0.0074680000, 0.0034919000, -0.008334200, -0.044026800, -0.148531000, -0.450480400", \ - "0.0097316000, 0.0082132000, 0.0037947000, -0.008639700, -0.044359200, -0.148683800, -0.450499300", \ - "0.0102166000, 0.0086474000, 0.0042245000, -0.008352800, -0.044759900, -0.149096200, -0.450739900", \ - "0.0127991000, 0.0111230000, 0.0061789000, -0.007039100, -0.043880100, -0.149033000, -0.450897900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0095861000, 0.0110972000, 0.0154344000, 0.0278450000, 0.0638292000, 0.1671520000, 0.4649060000", \ - "0.0090235000, 0.0105668000, 0.0150106000, 0.0274522000, 0.0636706000, 0.1671866000, 0.4651777000", \ - "0.0085227000, 0.0100074000, 0.0144921000, 0.0271933000, 0.0633760000, 0.1669599000, 0.4651712000", \ - "0.0083518000, 0.0098626000, 0.0142664000, 0.0266451000, 0.0629464000, 0.1665274000, 0.4645184000", \ - "0.0082842000, 0.0098133000, 0.0142015000, 0.0266256000, 0.0626688000, 0.1662971000, 0.4640644000", \ - "0.0089665000, 0.0104215000, 0.0145266000, 0.0274680000, 0.0630885000, 0.1661931000, 0.4638812000", \ - "0.0125867000, 0.0140796000, 0.0180651000, 0.0297299000, 0.0653530000, 0.1678596000, 0.4630959000"); - } - } - max_capacitance : 0.2856540000; - max_transition : 1.5067580000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.1373126000, 0.1429783000, 0.1563463000, 0.1863783000, 0.2542455000, 0.4320516000, 0.9420347000", \ - "0.1422674000, 0.1479022000, 0.1615112000, 0.1911778000, 0.2592242000, 0.4370352000, 0.9458713000", \ - "0.1552723000, 0.1609423000, 0.1745254000, 0.2043164000, 0.2720689000, 0.4500777000, 0.9587892000", \ - "0.1866248000, 0.1922585000, 0.2057917000, 0.2355866000, 0.3039166000, 0.4820819000, 0.9896645000", \ - "0.2612448000, 0.2668755000, 0.2803530000, 0.3098964000, 0.3784654000, 0.5567131000, 1.0653392000", \ - "0.3999245000, 0.4068790000, 0.4227897000, 0.4565396000, 0.5274697000, 0.7043331000, 1.2111377000", \ - "0.6171301000, 0.6256751000, 0.6474767000, 0.6897521000, 0.7694350000, 0.9516922000, 1.4590699000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0742828000, 0.0790802000, 0.0913851000, 0.1222470000, 0.2049739000, 0.4398334000, 1.1137955000", \ - "0.0793286000, 0.0841452000, 0.0964487000, 0.1273667000, 0.2100575000, 0.4456132000, 1.1217540000", \ - "0.0909718000, 0.0957755000, 0.1080515000, 0.1388064000, 0.2219125000, 0.4555341000, 1.1284177000", \ - "0.1173957000, 0.1221273000, 0.1342121000, 0.1649850000, 0.2476958000, 0.4816557000, 1.1554520000", \ - "0.1605983000, 0.1658478000, 0.1787991000, 0.2100501000, 0.2926335000, 0.5284226000, 1.2022908000", \ - "0.2173709000, 0.2238623000, 0.2392085000, 0.2722955000, 0.3556635000, 0.5894430000, 1.2670287000", \ - "0.2754416000, 0.2845947000, 0.3049890000, 0.3457147000, 0.4299675000, 0.6641422000, 1.3359904000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0313626000, 0.0354135000, 0.0464054000, 0.0738996000, 0.1514853000, 0.3857029000, 1.0799234000", \ - "0.0313773000, 0.0356292000, 0.0461408000, 0.0739724000, 0.1515227000, 0.3857573000, 1.0796094000", \ - "0.0316428000, 0.0353342000, 0.0464283000, 0.0740156000, 0.1514124000, 0.3850317000, 1.0753756000", \ - "0.0313758000, 0.0355132000, 0.0464762000, 0.0739842000, 0.1516091000, 0.3861997000, 1.0765643000", \ - "0.0322031000, 0.0363811000, 0.0471278000, 0.0748038000, 0.1517538000, 0.3853490000, 1.0745335000", \ - "0.0443205000, 0.0484563000, 0.0586732000, 0.0840634000, 0.1569439000, 0.3863687000, 1.0775162000", \ - "0.0657206000, 0.0709492000, 0.0829666000, 0.1094393000, 0.1760098000, 0.3935490000, 1.0742609000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0234589000, 0.0279362000, 0.0410221000, 0.0796068000, 0.1956748000, 0.5325196000, 1.5043968000", \ - "0.0234454000, 0.0279152000, 0.0409685000, 0.0797893000, 0.1959075000, 0.5323654000, 1.5067576000", \ - "0.0234210000, 0.0279114000, 0.0409405000, 0.0796650000, 0.1952838000, 0.5319070000, 1.4984466000", \ - "0.0240371000, 0.0285162000, 0.0413232000, 0.0799927000, 0.1957972000, 0.5329125000, 1.4996520000", \ - "0.0294239000, 0.0332802000, 0.0453100000, 0.0821875000, 0.1955177000, 0.5329331000, 1.4995443000", \ - "0.0399926000, 0.0439947000, 0.0548138000, 0.0880722000, 0.1977709000, 0.5304515000, 1.5060112000", \ - "0.0578036000, 0.0628792000, 0.0744751000, 0.1049138000, 0.2035837000, 0.5341464000, 1.4962049000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0292365000, 0.0327301000, 0.0420746000, 0.0665055000, 0.1309393000, 0.3085886000, 0.8157918000", \ - "0.0331872000, 0.0367239000, 0.0462518000, 0.0709772000, 0.1354181000, 0.3131318000, 0.8201257000", \ - "0.0406382000, 0.0444975000, 0.0541644000, 0.0789593000, 0.1436159000, 0.3210649000, 0.8295814000", \ - "0.0509996000, 0.0558706000, 0.0679704000, 0.0963052000, 0.1624049000, 0.3407101000, 0.8485478000", \ - "0.0614810000, 0.0690037000, 0.0872854000, 0.1264758000, 0.2058392000, 0.3876838000, 0.8962124000", \ - "0.0601100000, 0.0723878000, 0.1019374000, 0.1635658000, 0.2793129000, 0.4973911000, 1.0123441000", \ - "0.0202667000, 0.0412280000, 0.0881763000, 0.1867455000, 0.3681552000, 0.6830734000, 1.2691088000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0331157000, 0.0367888000, 0.0469238000, 0.0750840000, 0.1536334000, 0.3771613000, 1.0192793000", \ - "0.0380864000, 0.0418093000, 0.0521162000, 0.0800968000, 0.1587924000, 0.3824703000, 1.0239666000", \ - "0.0514530000, 0.0550605000, 0.0653309000, 0.0934245000, 0.1717069000, 0.3958057000, 1.0370704000", \ - "0.0802686000, 0.0851886000, 0.0971540000, 0.1254757000, 0.2043599000, 0.4270536000, 1.0686434000", \ - "0.1265680000, 0.1343658000, 0.1536895000, 0.1958626000, 0.2789213000, 0.5010987000, 1.1468703000", \ - "0.2013978000, 0.2135198000, 0.2438307000, 0.3115241000, 0.4391961000, 0.6753007000, 1.3151973000", \ - "0.3290966000, 0.3469995000, 0.3917429000, 0.4970683000, 0.7023057000, 1.0583511000, 1.7133267000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0159279000, 0.0201609000, 0.0318187000, 0.0628929000, 0.1470061000, 0.3838427000, 1.0754756000", \ - "0.0159613000, 0.0201859000, 0.0319140000, 0.0635629000, 0.1469999000, 0.3838250000, 1.0763402000", \ - "0.0177218000, 0.0215114000, 0.0324087000, 0.0626527000, 0.1463796000, 0.3849668000, 1.0754139000", \ - "0.0236717000, 0.0281149000, 0.0391718000, 0.0664541000, 0.1472746000, 0.3838546000, 1.0738461000", \ - "0.0389920000, 0.0442813000, 0.0572403000, 0.0871081000, 0.1600392000, 0.3870322000, 1.0755529000", \ - "0.0713536000, 0.0786613000, 0.0962532000, 0.1348607000, 0.2157459000, 0.4172020000, 1.0715859000", \ - "0.1372444000, 0.1466572000, 0.1703960000, 0.2241442000, 0.3321217000, 0.5516077000, 1.1265455000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0277425000, 0.0323791000, 0.0456776000, 0.0835871000, 0.1936377000, 0.5118364000, 1.4329896000", \ - "0.0277352000, 0.0323394000, 0.0457235000, 0.0835119000, 0.1940014000, 0.5110675000, 1.4284985000", \ - "0.0289601000, 0.0330114000, 0.0455232000, 0.0836698000, 0.1936343000, 0.5116298000, 1.4270706000", \ - "0.0424626000, 0.0457227000, 0.0551106000, 0.0865336000, 0.1936983000, 0.5119767000, 1.4284578000", \ - "0.0679248000, 0.0734987000, 0.0868352000, 0.1158648000, 0.2027583000, 0.5115336000, 1.4338412000", \ - "0.1103514000, 0.1197515000, 0.1424574000, 0.1876723000, 0.2718171000, 0.5288760000, 1.4307099000", \ - "0.1775857000, 0.1923572000, 0.2308233000, 0.3062476000, 0.4419571000, 0.6791008000, 1.4477058000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand2b_4") { - leakage_power () { - value : 0.0074245000; - when : "!A_N&B"; - } - leakage_power () { - value : 0.0017623000; - when : "!A_N&!B"; - } - leakage_power () { - value : 0.0123756000; - when : "A_N&B"; - } - leakage_power () { - value : 0.0106382000; - when : "A_N&!B"; - } - area : 13.763200000; - cell_footprint : "sky130_fd_sc_hd__nand2b"; - cell_leakage_power : 0.0080501840; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0023730000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022680000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0176582000, 0.0175297000, 0.0172334000, 0.0173690000, 0.0176813000, 0.0184015000, 0.0200615000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0176654000, 0.0175429000, 0.0172606000, 0.0173750000, 0.0176386000, 0.0182463000, 0.0196470000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024790000; - } - pin ("B") { - capacitance : 0.0088640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0084170000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0158752000, 0.0158640000, 0.0158382000, 0.0158380000, 0.0158373000, 0.0158360000, 0.0158329000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015794700, -0.015793200, -0.015789900, -0.015787200, -0.015781200, -0.015767000, -0.015734400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0093110000; - } - pin ("Y") { - direction : "output"; - function : "(A_N) | (!B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015924560, 0.0050718310, 0.0161533300, 0.0514469400, 0.1638540000, 0.5218604000"); - values("0.0156624000, 0.0140941000, 0.0090908000, -0.007477500, -0.063115700, -0.244622800, -0.824375400", \ - "0.0157033000, 0.0140331000, 0.0089831000, -0.007415700, -0.063211600, -0.244661400, -0.824539900", \ - "0.0156573000, 0.0142001000, 0.0091093000, -0.007535900, -0.063138000, -0.244579700, -0.824309200", \ - "0.0153140000, 0.0137157000, 0.0085425000, -0.008130600, -0.063824300, -0.245067500, -0.824730400", \ - "0.0147123000, 0.0130673000, 0.0078441000, -0.009036100, -0.064487500, -0.245594600, -0.825140800", \ - "0.0148539000, 0.0130876000, 0.0074989000, -0.009906700, -0.065402500, -0.246258200, -0.825515300", \ - "0.0171217000, 0.0154010000, 0.0096854000, -0.009047800, -0.064558400, -0.245494900, -0.824604100"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015924560, 0.0050718310, 0.0161533300, 0.0514469400, 0.1638540000, 0.5218604000"); - values("0.0076721000, 0.0095913000, 0.0158126000, 0.0350094000, 0.0932393000, 0.2743382000, 0.8437075000", \ - "0.0076663000, 0.0095824000, 0.0158543000, 0.0350309000, 0.0933620000, 0.2757028000, 0.8528079000", \ - "0.0077015000, 0.0096769000, 0.0158983000, 0.0350825000, 0.0932909000, 0.2744842000, 0.8431699000", \ - "0.0073175000, 0.0092290000, 0.0151565000, 0.0341297000, 0.0923967000, 0.2721696000, 0.8433740000", \ - "0.0068305000, 0.0087094000, 0.0147492000, 0.0332674000, 0.0907833000, 0.2738332000, 0.8455731000", \ - "0.0075739000, 0.0093764000, 0.0150936000, 0.0334669000, 0.0908854000, 0.2702991000, 0.8469875000", \ - "0.0085631000, 0.0102334000, 0.0157836000, 0.0338046000, 0.0904490000, 0.2719623000, 0.8415233000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015924560, 0.0050718310, 0.0161533300, 0.0514469400, 0.1638540000, 0.5218604000"); - values("0.0216865000, 0.0200904000, 0.0149363000, -0.002228100, -0.058887000, -0.240861500, -0.820772000", \ - "0.0207127000, 0.0192330000, 0.0142317000, -0.002638400, -0.059069500, -0.240907500, -0.820826100", \ - "0.0197897000, 0.0182525000, 0.0132671000, -0.003389600, -0.059428900, -0.241057900, -0.820858500", \ - "0.0191401000, 0.0175050000, 0.0123369000, -0.004431400, -0.060070500, -0.241305800, -0.820963400", \ - "0.0204023000, 0.0186675000, 0.0131350000, -0.004842000, -0.060812600, -0.241672200, -0.821006500", \ - "0.0210956000, 0.0193253000, 0.0136022000, -0.004331400, -0.061591300, -0.242506600, -0.821477200", \ - "0.0258804000, 0.0238534000, 0.0175373000, -0.001507100, -0.059733900, -0.242479000, -0.821861300"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015924560, 0.0050718310, 0.0161533300, 0.0514469400, 0.1638540000, 0.5218604000"); - values("0.0169458000, 0.0187579000, 0.0243654000, 0.0421865000, 0.0988931000, 0.2783822000, 0.8506821000", \ - "0.0158744000, 0.0177000000, 0.0234797000, 0.0415565000, 0.0984001000, 0.2781501000, 0.8504522000", \ - "0.0149634000, 0.0167086000, 0.0225634000, 0.0408304000, 0.0977040000, 0.2782409000, 0.8500685000", \ - "0.0146278000, 0.0163613000, 0.0220604000, 0.0395543000, 0.0968931000, 0.2773301000, 0.8499750000", \ - "0.0148263000, 0.0165840000, 0.0223552000, 0.0401770000, 0.0968119000, 0.2765905000, 0.8491048000", \ - "0.0160928000, 0.0174319000, 0.0230810000, 0.0414308000, 0.0976613000, 0.2770913000, 0.8462801000", \ - "0.0221717000, 0.0238915000, 0.0288770000, 0.0461137000, 0.1013310000, 0.2794271000, 0.8500683000"); - } - } - max_capacitance : 0.5218600000; - max_transition : 1.4991030000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015924600, 0.0050718300, 0.0161533000, 0.0514469000, 0.1638540000, 0.5218600000"); - values("0.1083051000, 0.1116210000, 0.1205516000, 0.1431613000, 0.2004808000, 0.3667021000, 0.8903043000", \ - "0.1133032000, 0.1165068000, 0.1254381000, 0.1481624000, 0.2053856000, 0.3714277000, 0.8975435000", \ - "0.1260659000, 0.1292988000, 0.1383576000, 0.1609064000, 0.2182024000, 0.3844591000, 0.9082937000", \ - "0.1563434000, 0.1595551000, 0.1679989000, 0.1906056000, 0.2484255000, 0.4148123000, 0.9457169000", \ - "0.2225588000, 0.2259719000, 0.2352498000, 0.2582786000, 0.3152509000, 0.4815864000, 1.0113127000", \ - "0.3275312000, 0.3318706000, 0.3437149000, 0.3709735000, 0.4323844000, 0.5998828000, 1.1234357000", \ - "0.4806609000, 0.4862441000, 0.5016901000, 0.5355982000, 0.6078615000, 0.7776553000, 1.3015262000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015924600, 0.0050718300, 0.0161533000, 0.0514469000, 0.1638540000, 0.5218600000"); - values("0.0843545000, 0.0875884000, 0.0968363000, 0.1221187000, 0.1937091000, 0.4135258000, 1.1119030000", \ - "0.0889025000, 0.0921256000, 0.1014453000, 0.1267207000, 0.1983615000, 0.4190093000, 1.1147979000", \ - "0.1005804000, 0.1037727000, 0.1130484000, 0.1382630000, 0.2097647000, 0.4293877000, 1.1261838000", \ - "0.1271068000, 0.1302729000, 0.1392963000, 0.1643246000, 0.2358269000, 0.4557442000, 1.1543309000", \ - "0.1744893000, 0.1780108000, 0.1878822000, 0.2134632000, 0.2847226000, 0.5061147000, 1.2096035000", \ - "0.2370352000, 0.2416065000, 0.2535691000, 0.2819176000, 0.3542948000, 0.5734820000, 1.2721123000", \ - "0.3101174000, 0.3160898000, 0.3319615000, 0.3677693000, 0.4439785000, 0.6636187000, 1.3587810000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015924600, 0.0050718300, 0.0161533000, 0.0514469000, 0.1638540000, 0.5218600000"); - values("0.0261534000, 0.0287628000, 0.0361036000, 0.0576963000, 0.1246321000, 0.3477024000, 1.0630231000", \ - "0.0263722000, 0.0285670000, 0.0362249000, 0.0576510000, 0.1244952000, 0.3461888000, 1.0646345000", \ - "0.0261695000, 0.0285594000, 0.0362085000, 0.0576357000, 0.1246967000, 0.3478410000, 1.0664295000", \ - "0.0261464000, 0.0285730000, 0.0361397000, 0.0576704000, 0.1246442000, 0.3468898000, 1.0705132000", \ - "0.0297006000, 0.0319888000, 0.0389472000, 0.0596884000, 0.1255141000, 0.3463984000, 1.0717541000", \ - "0.0424422000, 0.0450511000, 0.0520792000, 0.0720590000, 0.1331703000, 0.3484215000, 1.0666544000", \ - "0.0618406000, 0.0652095000, 0.0742498000, 0.0953354000, 0.1520275000, 0.3546235000, 1.0642442000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015924600, 0.0050718300, 0.0161533000, 0.0514469000, 0.1638540000, 0.5218600000"); - values("0.0249635000, 0.0277742000, 0.0367075000, 0.0655451000, 0.1611982000, 0.4738257000, 1.4641484000", \ - "0.0249960000, 0.0278687000, 0.0366649000, 0.0652589000, 0.1614707000, 0.4730165000, 1.4725989000", \ - "0.0249974000, 0.0277571000, 0.0367524000, 0.0655438000, 0.1615061000, 0.4719533000, 1.4601752000", \ - "0.0252391000, 0.0280790000, 0.0370724000, 0.0656001000, 0.1614429000, 0.4718380000, 1.4609636000", \ - "0.0307025000, 0.0333919000, 0.0413620000, 0.0683797000, 0.1618569000, 0.4743814000, 1.4687180000", \ - "0.0419960000, 0.0445657000, 0.0521381000, 0.0763472000, 0.1650198000, 0.4716559000, 1.4666497000", \ - "0.0594822000, 0.0631011000, 0.0717917000, 0.0963949000, 0.1740728000, 0.4753735000, 1.4601892000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015924600, 0.0050718300, 0.0161533000, 0.0514469000, 0.1638540000, 0.5218600000"); - values("0.0286923000, 0.0308930000, 0.0373652000, 0.0563122000, 0.1102508000, 0.2758156000, 0.8002875000", \ - "0.0323494000, 0.0345715000, 0.0412029000, 0.0601376000, 0.1145817000, 0.2805311000, 0.8039358000", \ - "0.0387506000, 0.0412936000, 0.0483027000, 0.0674315000, 0.1221922000, 0.2880777000, 0.8117448000", \ - "0.0473206000, 0.0505264000, 0.0593173000, 0.0820514000, 0.1390078000, 0.3058912000, 0.8297006000", \ - "0.0547559000, 0.0595077000, 0.0728500000, 0.1051542000, 0.1766774000, 0.3491105000, 0.8819062000", \ - "0.0466456000, 0.0551237000, 0.0761572000, 0.1276923000, 0.2336545000, 0.4467642000, 0.9820028000", \ - "-0.007934700, 0.0047214000, 0.0402004000, 0.1230616000, 0.2912324000, 0.6003157000, 1.2160286000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015924600, 0.0050718300, 0.0161533000, 0.0514469000, 0.1638540000, 0.5218600000"); - values("0.0350341000, 0.0374855000, 0.0450599000, 0.0681269000, 0.1386658000, 0.3594898000, 1.0599726000", \ - "0.0400257000, 0.0424585000, 0.0501422000, 0.0734224000, 0.1441108000, 0.3647773000, 1.0665605000", \ - "0.0533817000, 0.0557986000, 0.0634206000, 0.0865814000, 0.1576222000, 0.3786100000, 1.0803024000", \ - "0.0834451000, 0.0865234000, 0.0955144000, 0.1179894000, 0.1893222000, 0.4107555000, 1.1104686000", \ - "0.1326079000, 0.1375556000, 0.1514039000, 0.1872180000, 0.2647520000, 0.4849166000, 1.1892285000", \ - "0.2138364000, 0.2213407000, 0.2438813000, 0.3008411000, 0.4219737000, 0.6602098000, 1.3575203000", \ - "0.3550716000, 0.3667530000, 0.3997349000, 0.4875213000, 0.6795591000, 1.0423203000, 1.7606682000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015924600, 0.0050718300, 0.0161533000, 0.0514469000, 0.1638540000, 0.5218600000"); - values("0.0156844000, 0.0182276000, 0.0263284000, 0.0502427000, 0.1217419000, 0.3470888000, 1.0673852000", \ - "0.0155962000, 0.0183300000, 0.0264748000, 0.0502231000, 0.1214846000, 0.3471204000, 1.0631419000", \ - "0.0175817000, 0.0199064000, 0.0272949000, 0.0502230000, 0.1218415000, 0.3463827000, 1.0629750000", \ - "0.0232440000, 0.0259208000, 0.0338132000, 0.0556245000, 0.1228135000, 0.3467251000, 1.0646988000", \ - "0.0385178000, 0.0417609000, 0.0511802000, 0.0751355000, 0.1391426000, 0.3489632000, 1.0710223000", \ - "0.0707754000, 0.0753247000, 0.0880898000, 0.1191874000, 0.1907804000, 0.3841566000, 1.0679386000", \ - "0.1365430000, 0.1419335000, 0.1583173000, 0.2023194000, 0.2990361000, 0.5122320000, 1.1250710000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015924600, 0.0050718300, 0.0161533000, 0.0514469000, 0.1638540000, 0.5218600000"); - values("0.0294602000, 0.0324531000, 0.0419503000, 0.0724992000, 0.1703067000, 0.4830026000, 1.4796768000", \ - "0.0294566000, 0.0324519000, 0.0419934000, 0.0726573000, 0.1705701000, 0.4837141000, 1.4815613000", \ - "0.0301745000, 0.0329119000, 0.0419244000, 0.0725406000, 0.1704209000, 0.4824743000, 1.4839647000", \ - "0.0428537000, 0.0449885000, 0.0515621000, 0.0766640000, 0.1704797000, 0.4835279000, 1.4817015000", \ - "0.0680631000, 0.0716728000, 0.0819767000, 0.1067139000, 0.1823108000, 0.4832638000, 1.4821409000", \ - "0.1100001000, 0.1168482000, 0.1327108000, 0.1730013000, 0.2546311000, 0.5018374000, 1.4794389000", \ - "0.1774334000, 0.1864103000, 0.2149986000, 0.2803801000, 0.4121637000, 0.6554611000, 1.4991034000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand3_1") { - leakage_power () { - value : 3.9563455e-05; - when : "!A&!B&C"; - } - leakage_power () { - value : 2.2629071e-05; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0003124000; - when : "!A&B&C"; - } - leakage_power () { - value : 3.3721618e-05; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0003155000; - when : "A&!B&C"; - } - leakage_power () { - value : 3.9362278e-05; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0072118000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0002441000; - when : "A&B&!C"; - } - area : 5.0048000000; - cell_footprint : "sky130_fd_sc_hd__nand3"; - cell_leakage_power : 0.0010273980; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023010000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022530000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047191000, 0.0047175000, 0.0047138000, 0.0047132000, 0.0047118000, 0.0047086000, 0.0047012000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003710200, -0.003710900, -0.003712400, -0.003705900, -0.003691100, -0.003656800, -0.003577900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023500000; - } - pin ("B") { - capacitance : 0.0023950000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023110000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046823000, 0.0046797000, 0.0046735000, 0.0046881000, 0.0047217000, 0.0047992000, 0.0049777000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004277300, -0.004276300, -0.004274200, -0.004273500, -0.004271800, -0.004268000, -0.004259200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024800000; - } - pin ("C") { - capacitance : 0.0023550000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022470000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042441000, 0.0042416000, 0.0042358000, 0.0042366000, 0.0042385000, 0.0042429000, 0.0042530000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004235400, -0.004234300, -0.004231800, -0.004231800, -0.004231700, -0.004231600, -0.004231400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024630000; - } - pin ("Y") { - direction : "output"; - function : "(!A) | (!B) | (!C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012885810, 0.0033208830, 0.0085584560, 0.0220565300, 0.0568432600, 0.1464943000"); - values("0.0040389000, 0.0028229000, -0.000390000, -0.008812500, -0.030638400, -0.086973800, -0.232192500", \ - "0.0037998000, 0.0026083000, -0.000540500, -0.008888700, -0.030675500, -0.086981700, -0.232207700", \ - "0.0034980000, 0.0023198000, -0.000777400, -0.009054800, -0.030757200, -0.087030400, -0.232187800", \ - "0.0033268000, 0.0020921000, -0.001035800, -0.009307500, -0.030924200, -0.087115100, -0.232255300", \ - "0.0033132000, 0.0020324000, -0.001190300, -0.009505700, -0.031146400, -0.087245800, -0.232309400", \ - "0.0041714000, 0.0028462000, -0.000768100, -0.009460000, -0.031078800, -0.087335900, -0.232401000", \ - "0.0061083000, 0.0045898000, 0.0007152000, -0.008121400, -0.030221100, -0.086967700, -0.232341000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012885810, 0.0033208830, 0.0085584560, 0.0220565300, 0.0568432600, 0.1464943000"); - values("0.0049724000, 0.0063580000, 0.0097794000, 0.0182321000, 0.0400204000, 0.0961776000, 0.2402407000", \ - "0.0047243000, 0.0061326000, 0.0096109000, 0.0182296000, 0.0401171000, 0.0962396000, 0.2389282000", \ - "0.0044325000, 0.0058099000, 0.0093270000, 0.0179401000, 0.0398274000, 0.0958919000, 0.2398397000", \ - "0.0043419000, 0.0056543000, 0.0090018000, 0.0176053000, 0.0395900000, 0.0957571000, 0.2393707000", \ - "0.0045716000, 0.0058094000, 0.0090809000, 0.0174908000, 0.0393667000, 0.0949975000, 0.2398112000", \ - "0.0049867000, 0.0062006000, 0.0094066000, 0.0179938000, 0.0393021000, 0.0952260000, 0.2379558000", \ - "0.0069489000, 0.0079768000, 0.0109760000, 0.0189444000, 0.0400244000, 0.0957222000, 0.2393712000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012885810, 0.0033208830, 0.0085584560, 0.0220565300, 0.0568432600, 0.1464943000"); - values("0.0047156000, 0.0034948000, 0.0002617000, -0.008181500, -0.030017900, -0.086350700, -0.231583200", \ - "0.0045162000, 0.0033187000, 0.0001344000, -0.008255200, -0.030043700, -0.086374900, -0.231600700", \ - "0.0042355000, 0.0030533000, -8.79000e-05, -0.008390400, -0.030120400, -0.086398100, -0.231610500", \ - "0.0039665000, 0.0027590000, -0.000376000, -0.008624800, -0.030255800, -0.086471800, -0.231637400", \ - "0.0038275000, 0.0025722000, -0.000614300, -0.008899600, -0.030491600, -0.086590700, -0.231705000", \ - "0.0040735000, 0.0027739000, -0.000461400, -0.008971400, -0.030669500, -0.086781400, -0.231812000", \ - "0.0051577000, 0.0037573000, 0.0003044000, -0.008384600, -0.030363100, -0.086817000, -0.231884900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012885810, 0.0033208830, 0.0085584560, 0.0220565300, 0.0568432600, 0.1464943000"); - values("0.0079217000, 0.0092369000, 0.0125723000, 0.0210293000, 0.0426649000, 0.0982602000, 0.2411447000", \ - "0.0076619000, 0.0089990000, 0.0123841000, 0.0208331000, 0.0425676000, 0.0981759000, 0.2411432000", \ - "0.0073810000, 0.0087327000, 0.0121427000, 0.0207145000, 0.0423961000, 0.0980883000, 0.2414673000", \ - "0.0071009000, 0.0083961000, 0.0117657000, 0.0203730000, 0.0419375000, 0.0978958000, 0.2412653000", \ - "0.0070978000, 0.0083632000, 0.0117758000, 0.0200926000, 0.0415334000, 0.0972219000, 0.2404522000", \ - "0.0074863000, 0.0087309000, 0.0121500000, 0.0206197000, 0.0419502000, 0.0972990000, 0.2410325000", \ - "0.0088803000, 0.0100294000, 0.0130650000, 0.0212011000, 0.0425639000, 0.0983098000, 0.2408576000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012885810, 0.0033208830, 0.0085584560, 0.0220565300, 0.0568432600, 0.1464943000"); - values("0.0045376000, 0.0032910000, 5.580000e-05, -0.008376100, -0.030214400, -0.086541600, -0.231788700", \ - "0.0043376000, 0.0031299000, -6.91000e-05, -0.008446900, -0.030239600, -0.086560000, -0.231785800", \ - "0.0040566000, 0.0028649000, -0.000279600, -0.008585200, -0.030314100, -0.086590400, -0.231797100", \ - "0.0038048000, 0.0026030000, -0.000568100, -0.008824700, -0.030460800, -0.086665500, -0.231821700", \ - "0.0037686000, 0.0024859000, -0.000789900, -0.009068100, -0.030691400, -0.086803400, -0.231888200", \ - "0.0038700000, 0.0026041000, -0.000673000, -0.009109000, -0.030859900, -0.086982700, -0.231990200", \ - "0.0049139000, 0.0035317000, 7.050000e-05, -0.008579900, -0.030579400, -0.087001400, -0.232071500"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012885810, 0.0033208830, 0.0085584560, 0.0220565300, 0.0568432600, 0.1464943000"); - values("0.0106438000, 0.0119397000, 0.0152510000, 0.0237657000, 0.0453681000, 0.1011930000, 0.2442714000", \ - "0.0104526000, 0.0117513000, 0.0150900000, 0.0235846000, 0.0453301000, 0.1009850000, 0.2441920000", \ - "0.0102309000, 0.0115460000, 0.0149140000, 0.0235002000, 0.0451530000, 0.1009137000, 0.2441936000", \ - "0.0100419000, 0.0113680000, 0.0147026000, 0.0232759000, 0.0451218000, 0.1008181000, 0.2438005000", \ - "0.0101062000, 0.0113911000, 0.0147070000, 0.0231845000, 0.0450552000, 0.1002854000, 0.2429748000", \ - "0.0104859000, 0.0117178000, 0.0150360000, 0.0234764000, 0.0449209000, 0.0996018000, 0.2427886000", \ - "0.0126352000, 0.0137597000, 0.0168558000, 0.0248819000, 0.0468975000, 0.1009216000, 0.2449268000"); - } - } - max_capacitance : 0.1464940000; - max_transition : 1.4958090000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0319453000, 0.0377438000, 0.0522698000, 0.0886064000, 0.1842952000, 0.4248309000, 1.0446726000", \ - "0.0352240000, 0.0410559000, 0.0556452000, 0.0923954000, 0.1882067000, 0.4272266000, 1.0450919000", \ - "0.0443876000, 0.0499941000, 0.0648342000, 0.1021642000, 0.1958182000, 0.4363752000, 1.0617024000", \ - "0.0596980000, 0.0682277000, 0.0868967000, 0.1237350000, 0.2182345000, 0.4592172000, 1.0871873000", \ - "0.0769958000, 0.0894973000, 0.1171790000, 0.1711709000, 0.2714910000, 0.5119409000, 1.1308345000", \ - "0.0896703000, 0.1080718000, 0.1494097000, 0.2309335000, 0.3749126000, 0.6360509000, 1.2567627000", \ - "0.0804976000, 0.1082180000, 0.1694053000, 0.2912025000, 0.5144584000, 0.8864768000, 1.5416515000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0316862000, 0.0372976000, 0.0513602000, 0.0861792000, 0.1769890000, 0.4052028000, 0.9968641000", \ - "0.0366843000, 0.0423816000, 0.0563464000, 0.0914559000, 0.1816574000, 0.4114003000, 1.0061821000", \ - "0.0496193000, 0.0551823000, 0.0692896000, 0.1045346000, 0.1943795000, 0.4214344000, 1.0126181000", \ - "0.0754047000, 0.0833148000, 0.1000646000, 0.1351976000, 0.2248985000, 0.4556733000, 1.0387884000", \ - "0.1148888000, 0.1277284000, 0.1545216000, 0.2051712000, 0.2963212000, 0.5239917000, 1.1105296000", \ - "0.1772345000, 0.1965221000, 0.2403520000, 0.3212103000, 0.4603758000, 0.6939099000, 1.2724205000", \ - "0.2801860000, 0.3096663000, 0.3751834000, 0.5021358000, 0.7225039000, 1.0689728000, 1.6669134000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0294798000, 0.0368112000, 0.0558995000, 0.1042707000, 0.2298789000, 0.5526462000, 1.3863796000", \ - "0.0292983000, 0.0367031000, 0.0556156000, 0.1042574000, 0.2299837000, 0.5535623000, 1.3811413000", \ - "0.0315650000, 0.0379121000, 0.0556148000, 0.1041806000, 0.2292336000, 0.5500126000, 1.3856789000", \ - "0.0438998000, 0.0505898000, 0.0662104000, 0.1075041000, 0.2292271000, 0.5502286000, 1.3847780000", \ - "0.0679901000, 0.0770903000, 0.0969420000, 0.1379645000, 0.2398893000, 0.5511912000, 1.3800473000", \ - "0.1098868000, 0.1233710000, 0.1519781000, 0.2079397000, 0.3170524000, 0.5779455000, 1.3818504000", \ - "0.1841412000, 0.2029371000, 0.2468173000, 0.3276150000, 0.4799489000, 0.7481190000, 1.4292552000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0271069000, 0.0345000000, 0.0535741000, 0.1026657000, 0.2302502000, 0.5568992000, 1.3990794000", \ - "0.0270927000, 0.0345104000, 0.0536561000, 0.1028342000, 0.2297713000, 0.5574791000, 1.3971591000", \ - "0.0292043000, 0.0354167000, 0.0535334000, 0.1026310000, 0.2298375000, 0.5562986000, 1.3984249000", \ - "0.0448543000, 0.0496264000, 0.0627416000, 0.1043419000, 0.2291050000, 0.5594820000, 1.3890313000", \ - "0.0736110000, 0.0816978000, 0.0991204000, 0.1335046000, 0.2357154000, 0.5540491000, 1.4017806000", \ - "0.1210566000, 0.1342113000, 0.1619678000, 0.2125574000, 0.3056531000, 0.5684269000, 1.3957574000", \ - "0.1997629000, 0.2189788000, 0.2639110000, 0.3466731000, 0.4876043000, 0.7213956000, 1.4222230000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0406289000, 0.0464391000, 0.0609391000, 0.0971056000, 0.1904577000, 0.4335319000, 1.0562137000", \ - "0.0443396000, 0.0501485000, 0.0647947000, 0.1012509000, 0.1960863000, 0.4349576000, 1.0542816000", \ - "0.0524670000, 0.0583860000, 0.0731066000, 0.1103002000, 0.2039276000, 0.4442704000, 1.0634125000", \ - "0.0671095000, 0.0745960000, 0.0921406000, 0.1303156000, 0.2262235000, 0.4658775000, 1.0850284000", \ - "0.0854939000, 0.0967018000, 0.1213991000, 0.1711788000, 0.2733060000, 0.5161515000, 1.1381928000", \ - "0.0975568000, 0.1152910000, 0.1539255000, 0.2292099000, 0.3671241000, 0.6268299000, 1.2537316000", \ - "0.0804313000, 0.1081579000, 0.1683698000, 0.2858672000, 0.4932023000, 0.8444722000, 1.5061851000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0436773000, 0.0494889000, 0.0642132000, 0.1014763000, 0.1961162000, 0.4390322000, 1.0658833000", \ - "0.0486088000, 0.0546200000, 0.0695334000, 0.1067081000, 0.2015716000, 0.4447564000, 1.0697195000", \ - "0.0616315000, 0.0674714000, 0.0823017000, 0.1192830000, 0.2140980000, 0.4570315000, 1.0829955000", \ - "0.0923331000, 0.0989848000, 0.1140178000, 0.1513446000, 0.2453205000, 0.4887300000, 1.1151920000", \ - "0.1447818000, 0.1552644000, 0.1785545000, 0.2252695000, 0.3183359000, 0.5607720000, 1.1858149000", \ - "0.2293291000, 0.2464214000, 0.2843002000, 0.3587377000, 0.4888099000, 0.7322811000, 1.3544313000", \ - "0.3678712000, 0.3950409000, 0.4535604000, 0.5724827000, 0.7857862000, 1.1289595000, 1.7593582000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0295293000, 0.0368797000, 0.0559390000, 0.1042661000, 0.2290997000, 0.5531403000, 1.3850104000", \ - "0.0295273000, 0.0369445000, 0.0559180000, 0.1043097000, 0.2327733000, 0.5497748000, 1.3782170000", \ - "0.0306801000, 0.0376189000, 0.0557835000, 0.1042330000, 0.2291727000, 0.5505186000, 1.3783978000", \ - "0.0399390000, 0.0465156000, 0.0624095000, 0.1065132000, 0.2305275000, 0.5512835000, 1.3780520000", \ - "0.0611155000, 0.0685222000, 0.0863874000, 0.1278048000, 0.2366355000, 0.5509610000, 1.3861642000", \ - "0.1017842000, 0.1123605000, 0.1357116000, 0.1857420000, 0.2927402000, 0.5689484000, 1.3882619000", \ - "0.1749947000, 0.1902006000, 0.2230991000, 0.2916362000, 0.4238009000, 0.6918330000, 1.4168340000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0362275000, 0.0441682000, 0.0641851000, 0.1161362000, 0.2496292000, 0.5931590000, 1.4834178000", \ - "0.0362464000, 0.0440387000, 0.0644423000, 0.1161077000, 0.2493075000, 0.5920883000, 1.4795231000", \ - "0.0363228000, 0.0440343000, 0.0641587000, 0.1161813000, 0.2496435000, 0.5934598000, 1.4794068000", \ - "0.0470988000, 0.0526728000, 0.0689484000, 0.1166232000, 0.2496101000, 0.5935882000, 1.4818977000", \ - "0.0778809000, 0.0851201000, 0.1021936000, 0.1378838000, 0.2538530000, 0.5948581000, 1.4831407000", \ - "0.1286363000, 0.1412767000, 0.1678772000, 0.2179476000, 0.3116088000, 0.6029978000, 1.4778241000", \ - "0.2096402000, 0.2305904000, 0.2746629000, 0.3554504000, 0.4947842000, 0.7370753000, 1.4958088000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0443021000, 0.0498949000, 0.0643063000, 0.1007762000, 0.1943447000, 0.4358107000, 1.0648027000", \ - "0.0480888000, 0.0538980000, 0.0683839000, 0.1050091000, 0.1992241000, 0.4399845000, 1.0573629000", \ - "0.0559065000, 0.0617110000, 0.0765190000, 0.1133255000, 0.2081532000, 0.4498804000, 1.0664905000", \ - "0.0700531000, 0.0768174000, 0.0930448000, 0.1307730000, 0.2264347000, 0.4667815000, 1.0857097000", \ - "0.0898380000, 0.0995133000, 0.1203484000, 0.1663712000, 0.2650241000, 0.5086155000, 1.1265072000", \ - "0.1069104000, 0.1222715000, 0.1547885000, 0.2195711000, 0.3470688000, 0.6001952000, 1.2227903000", \ - "0.0995032000, 0.1231001000, 0.1760309000, 0.2785397000, 0.4600246000, 0.7835908000, 1.4370188000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0449216000, 0.0502660000, 0.0638893000, 0.0978680000, 0.1842738000, 0.4037659000, 0.9686695000", \ - "0.0500441000, 0.0555216000, 0.0691254000, 0.1032822000, 0.1893045000, 0.4090991000, 0.9749198000", \ - "0.0632304000, 0.0688075000, 0.0825403000, 0.1167161000, 0.2024716000, 0.4222031000, 0.9861345000", \ - "0.0944604000, 0.1002378000, 0.1140172000, 0.1480533000, 0.2343110000, 0.4541642000, 1.0179684000", \ - "0.1485719000, 0.1577883000, 0.1786031000, 0.2201581000, 0.3069904000, 0.5244339000, 1.0877236000", \ - "0.2331197000, 0.2478347000, 0.2812257000, 0.3489563000, 0.4721509000, 0.6912877000, 1.2520153000", \ - "0.3679455000, 0.3905762000, 0.4425076000, 0.5509016000, 0.7501617000, 1.0750988000, 1.6502485000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0295615000, 0.0368470000, 0.0556697000, 0.1041762000, 0.2290151000, 0.5533252000, 1.3905794000", \ - "0.0295171000, 0.0368519000, 0.0556693000, 0.1042929000, 0.2300540000, 0.5536268000, 1.3821309000", \ - "0.0299954000, 0.0370850000, 0.0558700000, 0.1044956000, 0.2298897000, 0.5526362000, 1.3802101000", \ - "0.0362173000, 0.0430356000, 0.0596944000, 0.1058187000, 0.2305774000, 0.5528308000, 1.3824087000", \ - "0.0530973000, 0.0602746000, 0.0775768000, 0.1214125000, 0.2343288000, 0.5514536000, 1.3823170000", \ - "0.0899138000, 0.0993004000, 0.1194378000, 0.1657398000, 0.2792014000, 0.5635171000, 1.3821018000", \ - "0.1631292000, 0.1745675000, 0.2024353000, 0.2607207000, 0.3825281000, 0.6640135000, 1.4076199000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0395250000, 0.0465698000, 0.0649283000, 0.1119676000, 0.2330105000, 0.5443764000, 1.3497121000", \ - "0.0393854000, 0.0465392000, 0.0647444000, 0.1118328000, 0.2327951000, 0.5451549000, 1.3532557000", \ - "0.0392031000, 0.0463100000, 0.0647777000, 0.1119099000, 0.2327637000, 0.5446375000, 1.3475066000", \ - "0.0484293000, 0.0541659000, 0.0693499000, 0.1123638000, 0.2330199000, 0.5449270000, 1.3516811000", \ - "0.0790231000, 0.0861224000, 0.1013202000, 0.1357917000, 0.2380194000, 0.5447787000, 1.3495897000", \ - "0.1297362000, 0.1418949000, 0.1664909000, 0.2139158000, 0.3003946000, 0.5605428000, 1.3484101000", \ - "0.2113828000, 0.2301851000, 0.2724642000, 0.3514508000, 0.4854391000, 0.7054011000, 1.3779615000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand3_2") { - leakage_power () { - value : 7.3749221e-05; - when : "!A&!B&C"; - } - leakage_power () { - value : 4.0589155e-05; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0007599000; - when : "!A&B&C"; - } - leakage_power () { - value : 6.3265392e-05; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0005963000; - when : "A&!B&C"; - } - leakage_power () { - value : 7.346556e-05; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0143381000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0004622000; - when : "A&B&!C"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__nand3"; - cell_leakage_power : 0.0020509380; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0043400000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091506000, 0.0091481000, 0.0091423000, 0.0091413000, 0.0091390000, 0.0091337000, 0.0091214000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006448600, -0.006436400, -0.006408200, -0.006390300, -0.006349000, -0.006253700, -0.006034100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044250000; - } - pin ("B") { - capacitance : 0.0044680000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043370000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0088433000, 0.0088373000, 0.0088234000, 0.0088570000, 0.0089344000, 0.0091128000, 0.0095241000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008138600, -0.008132700, -0.008119300, -0.008115900, -0.008108100, -0.008090100, -0.008048600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045990000; - } - pin ("C") { - capacitance : 0.0045040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080990000, 0.0080989000, 0.0080987000, 0.0081015000, 0.0081080000, 0.0081230000, 0.0081577000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008100000, -0.008095900, -0.008086200, -0.008085400, -0.008083600, -0.008079400, -0.008069700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047260000; - } - pin ("Y") { - direction : "output"; - function : "(!A) | (!B) | (!C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014178910, 0.0040208270, 0.0114021900, 0.0323341000, 0.0916924300, 0.2600197000"); - values("0.0064606000, 0.0050607000, 0.0010403000, -0.010726400, -0.044523500, -0.140626000, -0.413315200", \ - "0.0059735000, 0.0046191000, 0.0006722000, -0.010939000, -0.044624600, -0.140703900, -0.413322600", \ - "0.0054157000, 0.0040405000, 0.0001745000, -0.011347900, -0.044823800, -0.140773200, -0.413405000", \ - "0.0050841000, 0.0036925000, -0.000280100, -0.011846300, -0.045165900, -0.140954200, -0.413479300", \ - "0.0051916000, 0.0036973000, -0.000488200, -0.012167100, -0.045593600, -0.141244100, -0.413634200", \ - "0.0065457000, 0.0049628000, 0.0005852000, -0.011401500, -0.045576500, -0.141513300, -0.413835100", \ - "0.0099423000, 0.0082840000, 0.0034990000, -0.009476900, -0.044228200, -0.141272100, -0.413972800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014178910, 0.0040208270, 0.0114021900, 0.0323341000, 0.0916924300, 0.2600197000"); - values("0.0063344000, 0.0080346000, 0.0126016000, 0.0248150000, 0.0586394000, 0.1547690000, 0.4235775000", \ - "0.0058696000, 0.0076006000, 0.0122269000, 0.0245681000, 0.0583052000, 0.1546885000, 0.4224418000", \ - "0.0055172000, 0.0071464000, 0.0117259000, 0.0241093000, 0.0582964000, 0.1543834000, 0.4211328000", \ - "0.0054060000, 0.0069265000, 0.0111559000, 0.0236133000, 0.0577305000, 0.1534508000, 0.4244446000", \ - "0.0059226000, 0.0073570000, 0.0114915000, 0.0233474000, 0.0569715000, 0.1533815000, 0.4246675000", \ - "0.0064383000, 0.0078221000, 0.0118648000, 0.0241727000, 0.0573406000, 0.1528684000, 0.4244126000", \ - "0.0105631000, 0.0116701000, 0.0152743000, 0.0264289000, 0.0590227000, 0.1541548000, 0.4242567000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014178910, 0.0040208270, 0.0114021900, 0.0323341000, 0.0916924300, 0.2600197000"); - values("0.0084771000, 0.0070583000, 0.0029780000, -0.008847300, -0.042666900, -0.138766100, -0.411447700", \ - "0.0080288000, 0.0066434000, 0.0026615000, -0.009018600, -0.042739600, -0.138805200, -0.411436100", \ - "0.0074915000, 0.0061271000, 0.0021895000, -0.009365700, -0.042922200, -0.138897700, -0.411512800", \ - "0.0070393000, 0.0056471000, 0.0016480000, -0.009877800, -0.043241600, -0.139031000, -0.411535100", \ - "0.0069451000, 0.0055058000, 0.0014387000, -0.010220400, -0.043626400, -0.139264500, -0.411671000", \ - "0.0073783000, 0.0059263000, 0.0016369000, -0.010308900, -0.044091800, -0.139711200, -0.411856300", \ - "0.0097141000, 0.0080858000, 0.0034385000, -0.009043600, -0.043300500, -0.139658500, -0.412083200"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014178910, 0.0040208270, 0.0114021900, 0.0323341000, 0.0916924300, 0.2600197000"); - values("0.0135868000, 0.0151238000, 0.0194369000, 0.0314452000, 0.0650552000, 0.1601493000, 0.4289869000", \ - "0.0130175000, 0.0145906000, 0.0189874000, 0.0311266000, 0.0649298000, 0.1599856000, 0.4290183000", \ - "0.0124727000, 0.0140665000, 0.0185262000, 0.0307860000, 0.0644467000, 0.1598658000, 0.4289702000", \ - "0.0120350000, 0.0136727000, 0.0179815000, 0.0300533000, 0.0641865000, 0.1593618000, 0.4288347000", \ - "0.0119933000, 0.0134635000, 0.0176998000, 0.0296625000, 0.0634898000, 0.1587231000, 0.4278881000", \ - "0.0126703000, 0.0140953000, 0.0182212000, 0.0306393000, 0.0643287000, 0.1589621000, 0.4278751000", \ - "0.0158887000, 0.0171080000, 0.0208176000, 0.0321802000, 0.0650250000, 0.1595125000, 0.4274275000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014178910, 0.0040208270, 0.0114021900, 0.0323341000, 0.0916924300, 0.2600197000"); - values("0.0081929000, 0.0068003000, 0.0027026000, -0.009123400, -0.042949300, -0.139065100, -0.411720700", \ - "0.0077607000, 0.0063951000, 0.0023973000, -0.009294400, -0.043021100, -0.139113600, -0.411767200", \ - "0.0072580000, 0.0058905000, 0.0019253000, -0.009639900, -0.043201200, -0.139183200, -0.411785100", \ - "0.0068448000, 0.0054474000, 0.0014226000, -0.010139400, -0.043538800, -0.139340500, -0.411850800", \ - "0.0069390000, 0.0054142000, 0.0011699000, -0.010489600, -0.043900800, -0.139549600, -0.411941800", \ - "0.0070778000, 0.0055989000, 0.0013837000, -0.010469900, -0.044311000, -0.139986900, -0.412167700", \ - "0.0093248000, 0.0077050000, 0.0031671000, -0.009260000, -0.043505100, -0.139895900, -0.412302700"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014178910, 0.0040208270, 0.0114021900, 0.0323341000, 0.0916924300, 0.2600197000"); - values("0.0189976000, 0.0204890000, 0.0247374000, 0.0367757000, 0.0704795000, 0.1655898000, 0.4346895000", \ - "0.0186619000, 0.0201895000, 0.0244506000, 0.0365640000, 0.0704406000, 0.1655576000, 0.4345745000", \ - "0.0182357000, 0.0197703000, 0.0241060000, 0.0362645000, 0.0702160000, 0.1653722000, 0.4343081000", \ - "0.0179846000, 0.0195119000, 0.0238096000, 0.0359752000, 0.0696060000, 0.1649808000, 0.4343532000", \ - "0.0183932000, 0.0199064000, 0.0241151000, 0.0360780000, 0.0697985000, 0.1648816000, 0.4337778000", \ - "0.0195374000, 0.0209600000, 0.0251492000, 0.0370917000, 0.0705148000, 0.1651108000, 0.4337895000", \ - "0.0232760000, 0.0246558000, 0.0285378000, 0.0396482000, 0.0727913000, 0.1671411000, 0.4343570000"); - } - } - max_capacitance : 0.2600200000; - max_transition : 1.4982790000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000"); - values("0.0281846000, 0.0321108000, 0.0432435000, 0.0734159000, 0.1576495000, 0.3974761000, 1.0802266000", \ - "0.0312080000, 0.0352777000, 0.0464389000, 0.0769226000, 0.1614840000, 0.4001530000, 1.0786011000", \ - "0.0402546000, 0.0442177000, 0.0551726000, 0.0857299000, 0.1707746000, 0.4098741000, 1.0859074000", \ - "0.0530225000, 0.0592642000, 0.0746801000, 0.1073304000, 0.1931987000, 0.4313850000, 1.1083545000", \ - "0.0655059000, 0.0750306000, 0.0980919000, 0.1479191000, 0.2450859000, 0.4856840000, 1.1593683000", \ - "0.0691311000, 0.0833706000, 0.1181531000, 0.1935344000, 0.3384907000, 0.6058807000, 1.2850970000", \ - "0.0437660000, 0.0655553000, 0.1161278000, 0.2274716000, 0.4463900000, 0.8399806000, 1.5629819000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000"); - values("0.0264594000, 0.0300331000, 0.0396513000, 0.0655686000, 0.1372150000, 0.3384666000, 0.9081564000", \ - "0.0315925000, 0.0351807000, 0.0448656000, 0.0708944000, 0.1427610000, 0.3443894000, 0.9236852000", \ - "0.0449870000, 0.0484661000, 0.0579500000, 0.0841154000, 0.1564192000, 0.3589409000, 0.9282719000", \ - "0.0682376000, 0.0736508000, 0.0873388000, 0.1153280000, 0.1875208000, 0.3886096000, 0.9624539000", \ - "0.1041436000, 0.1136596000, 0.1352927000, 0.1797167000, 0.2611926000, 0.4599049000, 1.0316243000", \ - "0.1623226000, 0.1766919000, 0.2115730000, 0.2843784000, 0.4132447000, 0.6346002000, 1.2034626000", \ - "0.2643360000, 0.2853405000, 0.3366795000, 0.4482199000, 0.6560504000, 1.0050545000, 1.6014239000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000"); - values("0.0265294000, 0.0316461000, 0.0462452000, 0.0868510000, 0.2013820000, 0.5281851000, 1.4531225000", \ - "0.0260318000, 0.0313075000, 0.0460623000, 0.0867767000, 0.2018350000, 0.5270823000, 1.4547641000", \ - "0.0289008000, 0.0332020000, 0.0465869000, 0.0866764000, 0.2012627000, 0.5263236000, 1.4495528000", \ - "0.0395444000, 0.0448643000, 0.0587612000, 0.0920967000, 0.2021292000, 0.5265803000, 1.4474633000", \ - "0.0614485000, 0.0682320000, 0.0851380000, 0.1236951000, 0.2169391000, 0.5289712000, 1.4491003000", \ - "0.1004660000, 0.1106208000, 0.1355775000, 0.1876031000, 0.2935295000, 0.5556714000, 1.4553968000", \ - "0.1690733000, 0.1826653000, 0.2194117000, 0.2969258000, 0.4444384000, 0.7337019000, 1.4982791000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000"); - values("0.0201902000, 0.0246378000, 0.0372427000, 0.0732269000, 0.1753958000, 0.4668183000, 1.2782282000", \ - "0.0201139000, 0.0246623000, 0.0372665000, 0.0729225000, 0.1744478000, 0.4638935000, 1.2856849000", \ - "0.0235536000, 0.0269753000, 0.0378934000, 0.0731659000, 0.1752412000, 0.4639713000, 1.2776145000", \ - "0.0388492000, 0.0423929000, 0.0506356000, 0.0777185000, 0.1750137000, 0.4664236000, 1.2846999000", \ - "0.0642500000, 0.0704218000, 0.0834914000, 0.1124891000, 0.1864262000, 0.4648895000, 1.2859892000", \ - "0.1059081000, 0.1158928000, 0.1382211000, 0.1835737000, 0.2638663000, 0.4845285000, 1.2837417000", \ - "0.1773206000, 0.1910742000, 0.2272898000, 0.3016048000, 0.4332465000, 0.6564346000, 1.3155547000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000"); - values("0.0401754000, 0.0440285000, 0.0550419000, 0.0849718000, 0.1692608000, 0.4075651000, 1.0871116000", \ - "0.0437131000, 0.0476553000, 0.0587577000, 0.0891196000, 0.1735763000, 0.4119423000, 1.0904784000", \ - "0.0519038000, 0.0559865000, 0.0672990000, 0.0981367000, 0.1828707000, 0.4215982000, 1.0970578000", \ - "0.0659764000, 0.0713207000, 0.0851055000, 0.1181312000, 0.2043639000, 0.4429258000, 1.1188316000", \ - "0.0827623000, 0.0909048000, 0.1115677000, 0.1559957000, 0.2517257000, 0.4924137000, 1.1694972000", \ - "0.0911093000, 0.1055179000, 0.1360828000, 0.2050361000, 0.3383216000, 0.6048345000, 1.2840815000", \ - "0.0691769000, 0.0899577000, 0.1388356000, 0.2459383000, 0.4505144000, 0.8234879000, 1.5442042000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000"); - values("0.0382361000, 0.0417605000, 0.0514296000, 0.0777776000, 0.1512164000, 0.3575475000, 0.9417249000", \ - "0.0430405000, 0.0466747000, 0.0564134000, 0.0830996000, 0.1566767000, 0.3633019000, 0.9472214000", \ - "0.0560225000, 0.0595538000, 0.0693810000, 0.0961148000, 0.1695373000, 0.3763650000, 0.9605446000", \ - "0.0852850000, 0.0897666000, 0.1007005000, 0.1273007000, 0.2013006000, 0.4059257000, 0.9905804000", \ - "0.1325205000, 0.1396136000, 0.1573067000, 0.1964494000, 0.2747224000, 0.4810660000, 1.0613865000", \ - "0.2089718000, 0.2200885000, 0.2479014000, 0.3108984000, 0.4312614000, 0.6526404000, 1.2332562000", \ - "0.3347696000, 0.3511183000, 0.3934249000, 0.4921748000, 0.6870134000, 1.0239551000, 1.6319573000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000"); - values("0.0268742000, 0.0318426000, 0.0462466000, 0.0867692000, 0.2012746000, 0.5266475000, 1.4537894000", \ - "0.0267743000, 0.0318261000, 0.0461569000, 0.0873186000, 0.2013849000, 0.5266692000, 1.4520102000", \ - "0.0279672000, 0.0326515000, 0.0464546000, 0.0868701000, 0.2014870000, 0.5264025000, 1.4482009000", \ - "0.0370550000, 0.0416978000, 0.0540521000, 0.0899192000, 0.2017861000, 0.5273828000, 1.4488487000", \ - "0.0571716000, 0.0626326000, 0.0773383000, 0.1130770000, 0.2112163000, 0.5259280000, 1.4482409000", \ - "0.0965290000, 0.1047716000, 0.1231007000, 0.1671180000, 0.2677060000, 0.5488666000, 1.4501840000", \ - "0.1696997000, 0.1797543000, 0.2060586000, 0.2684644000, 0.3987442000, 0.6833719000, 1.4788012000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000"); - values("0.0297232000, 0.0342374000, 0.0470944000, 0.0835505000, 0.1872250000, 0.4812626000, 1.3135555000", \ - "0.0297021000, 0.0342120000, 0.0471567000, 0.0836135000, 0.1875049000, 0.4813964000, 1.3149601000", \ - "0.0301203000, 0.0344368000, 0.0470196000, 0.0837741000, 0.1875724000, 0.4811680000, 1.3167720000", \ - "0.0425635000, 0.0462001000, 0.0551236000, 0.0863364000, 0.1878931000, 0.4819825000, 1.3141158000", \ - "0.0698307000, 0.0751510000, 0.0876943000, 0.1154278000, 0.1972885000, 0.4826521000, 1.3164513000", \ - "0.1151662000, 0.1238882000, 0.1448582000, 0.1883676000, 0.2708877000, 0.5023280000, 1.3166637000", \ - "0.1883775000, 0.2016657000, 0.2376721000, 0.3084310000, 0.4386028000, 0.6627714000, 1.3482091000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000"); - values("0.0436772000, 0.0477376000, 0.0584451000, 0.0889810000, 0.1728156000, 0.4111875000, 1.0871355000", \ - "0.0471658000, 0.0511565000, 0.0622572000, 0.0929520000, 0.1789402000, 0.4178356000, 1.0913930000", \ - "0.0542682000, 0.0583311000, 0.0695862000, 0.1001899000, 0.1850854000, 0.4254184000, 1.0992337000", \ - "0.0668227000, 0.0714014000, 0.0837618000, 0.1156630000, 0.2008910000, 0.4403620000, 1.1162437000", \ - "0.0837284000, 0.0899513000, 0.1058622000, 0.1441255000, 0.2358148000, 0.4755192000, 1.1526466000", \ - "0.0956644000, 0.1057504000, 0.1308672000, 0.1862979000, 0.3012991000, 0.5570527000, 1.2355663000", \ - "0.0790348000, 0.0958696000, 0.1366355000, 0.2244664000, 0.3924287000, 0.7131945000, 1.4229671000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000"); - values("0.0401411000, 0.0435032000, 0.0526611000, 0.0775780000, 0.1459592000, 0.3362477000, 0.8739639000", \ - "0.0453831000, 0.0487699000, 0.0579138000, 0.0829443000, 0.1511002000, 0.3415402000, 0.8796502000", \ - "0.0584980000, 0.0619453000, 0.0712530000, 0.0962379000, 0.1645521000, 0.3541913000, 0.8912537000", \ - "0.0889860000, 0.0929514000, 0.1028123000, 0.1275646000, 0.1952958000, 0.3861419000, 0.9229752000", \ - "0.1392675000, 0.1452821000, 0.1604983000, 0.1963302000, 0.2687972000, 0.4583532000, 0.9949741000", \ - "0.2187489000, 0.2282156000, 0.2522766000, 0.3080721000, 0.4202348000, 0.6277717000, 1.1610669000", \ - "0.3445075000, 0.3566849000, 0.3939402000, 0.4827758000, 0.6623980000, 0.9833817000, 1.5527669000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000"); - values("0.0267828000, 0.0319079000, 0.0464673000, 0.0869733000, 0.2012683000, 0.5271402000, 1.4465060000", \ - "0.0268047000, 0.0318630000, 0.0462147000, 0.0870777000, 0.2034285000, 0.5280181000, 1.4499421000", \ - "0.0272915000, 0.0321804000, 0.0463737000, 0.0866917000, 0.2016353000, 0.5282862000, 1.4549321000", \ - "0.0327316000, 0.0373533000, 0.0507077000, 0.0887008000, 0.2025185000, 0.5278807000, 1.4470888000", \ - "0.0470963000, 0.0520389000, 0.0653352000, 0.1027978000, 0.2078855000, 0.5264401000, 1.4484356000", \ - "0.0804860000, 0.0865744000, 0.1022917000, 0.1412585000, 0.2469920000, 0.5406053000, 1.4496097000", \ - "0.1507825000, 0.1581079000, 0.1780733000, 0.2264234000, 0.3397053000, 0.6306199000, 1.4722763000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014178900, 0.0040208300, 0.0114022000, 0.0323341000, 0.0916924000, 0.2600200000"); - values("0.0350920000, 0.0394028000, 0.0513173000, 0.0852313000, 0.1815069000, 0.4543474000, 1.2303593000", \ - "0.0349726000, 0.0393290000, 0.0512812000, 0.0851687000, 0.1817322000, 0.4542755000, 1.2288836000", \ - "0.0348419000, 0.0389510000, 0.0509923000, 0.0850928000, 0.1816366000, 0.4544638000, 1.2301550000", \ - "0.0453679000, 0.0484619000, 0.0580242000, 0.0876028000, 0.1815820000, 0.4554539000, 1.2302093000", \ - "0.0726106000, 0.0774866000, 0.0891939000, 0.1166704000, 0.1926398000, 0.4547524000, 1.2329167000", \ - "0.1193813000, 0.1272162000, 0.1463704000, 0.1883283000, 0.2669084000, 0.4807677000, 1.2305001000", \ - "0.1930905000, 0.2063468000, 0.2374174000, 0.3066956000, 0.4324639000, 0.6479056000, 1.2723501000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand3_4") { - leakage_power () { - value : 0.0001713000; - when : "!A&!B&C"; - } - leakage_power () { - value : 9.9474228e-05; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0015251000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0001757000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0014820000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0001986000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0155295000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0014760000; - when : "A&B&!C"; - } - area : 17.516800000; - cell_footprint : "sky130_fd_sc_hd__nand3"; - cell_leakage_power : 0.0025822140; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0086630000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0084820000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0186038000, 0.0186415000, 0.0187282000, 0.0187153000, 0.0186854000, 0.0186164000, 0.0184575000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013647500, -0.013592800, -0.013466800, -0.013441300, -0.013382400, -0.013246700, -0.012933900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0088430000; - } - pin ("B") { - capacitance : 0.0085870000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082850000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0171354000, 0.0171216000, 0.0170895000, 0.0171503000, 0.0172903000, 0.0176130000, 0.0183568000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015922200, -0.015897700, -0.015841300, -0.015836700, -0.015826200, -0.015802000, -0.015746300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0088890000; - } - pin ("C") { - capacitance : 0.0087760000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0083260000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0157436000, 0.0157321000, 0.0157057000, 0.0157098000, 0.0157192000, 0.0157409000, 0.0157910000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015691500, -0.015690900, -0.015689700, -0.015690600, -0.015692700, -0.015697700, -0.015709000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092250000; - } - pin ("Y") { - direction : "output"; - function : "(!A) | (!B) | (!C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000"); - values("0.0148238000, 0.0132860000, 0.0082393000, -0.008183700, -0.060750800, -0.226094800, -0.743746200", \ - "0.0138061000, 0.0122942000, 0.0073528000, -0.008774400, -0.061012000, -0.226236100, -0.743880400", \ - "0.0125288000, 0.0109903000, 0.0060999000, -0.009828900, -0.061658300, -0.226563600, -0.744066700", \ - "0.0119390000, 0.0102876000, 0.0050808000, -0.010900500, -0.062536400, -0.226944100, -0.744244600", \ - "0.0118880000, 0.0101811000, 0.0048744000, -0.011581300, -0.063419000, -0.227617300, -0.744549000", \ - "0.0141030000, 0.0123688000, 0.0068597000, -0.010015400, -0.062998100, -0.228236400, -0.745082400", \ - "0.0212559000, 0.0196006000, 0.0135686000, -0.005512500, -0.060148100, -0.226065800, -0.744652200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000"); - values("0.0123830000, 0.0144335000, 0.0204769000, 0.0380743000, 0.0910411000, 0.2553705000, 0.7636961000", \ - "0.0114321000, 0.0134404000, 0.0195086000, 0.0374665000, 0.0908859000, 0.2550968000, 0.7633567000", \ - "0.0105647000, 0.0124402000, 0.0183613000, 0.0362378000, 0.0896426000, 0.2563069000, 0.7686824000", \ - "0.0101080000, 0.0119612000, 0.0175856000, 0.0350887000, 0.0887259000, 0.2552921000, 0.7722336000", \ - "0.0111988000, 0.0128972000, 0.0180674000, 0.0346287000, 0.0869915000, 0.2538234000, 0.7661253000", \ - "0.0124349000, 0.0140626000, 0.0192018000, 0.0365230000, 0.0890295000, 0.2517047000, 0.7659576000", \ - "0.0205954000, 0.0213936000, 0.0260153000, 0.0412429000, 0.0911729000, 0.2562551000, 0.7657882000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000"); - values("0.0177715000, 0.0161247000, 0.0108678000, -0.005797000, -0.058521300, -0.223936400, -0.741606900", \ - "0.0169147000, 0.0153343000, 0.0102336000, -0.006199000, -0.058716800, -0.223995800, -0.741633900", \ - "0.0157981000, 0.0142461000, 0.0092353000, -0.006948100, -0.059119600, -0.224190200, -0.741785400", \ - "0.0148902000, 0.0132826000, 0.0082118000, -0.007933100, -0.059765500, -0.224464700, -0.741899100", \ - "0.0146943000, 0.0130180000, 0.0077961000, -0.008573000, -0.060486000, -0.224947500, -0.742052700", \ - "0.0154620000, 0.0137562000, 0.0082897000, -0.008485400, -0.061302400, -0.225651500, -0.742607700", \ - "0.0196160000, 0.0176976000, 0.0117613000, -0.005998100, -0.059636600, -0.225641500, -0.742782400"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000"); - values("0.0261560000, 0.0279487000, 0.0334644000, 0.0504479000, 0.1029416000, 0.2663360000, 0.7774018000", \ - "0.0252622000, 0.0270793000, 0.0326903000, 0.0499507000, 0.1027871000, 0.2662114000, 0.7770667000", \ - "0.0241001000, 0.0259593000, 0.0317359000, 0.0491697000, 0.1022096000, 0.2662915000, 0.7774053000", \ - "0.0233544000, 0.0252897000, 0.0308375000, 0.0480021000, 0.1011163000, 0.2652256000, 0.7762032000", \ - "0.0232486000, 0.0249626000, 0.0303128000, 0.0471554000, 0.0998599000, 0.2642862000, 0.7756777000", \ - "0.0249198000, 0.0264727000, 0.0320165000, 0.0485873000, 0.1013283000, 0.2645859000, 0.7747176000", \ - "0.0297222000, 0.0311918000, 0.0361543000, 0.0519407000, 0.1030897000, 0.2652951000, 0.7737678000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000"); - values("0.0172616000, 0.0156488000, 0.0103614000, -0.006312100, -0.059099300, -0.224514100, -0.742150900", \ - "0.0164811000, 0.0148750000, 0.0097771000, -0.006712200, -0.059248200, -0.224574200, -0.742268800", \ - "0.0155140000, 0.0139388000, 0.0089018000, -0.007351100, -0.059571400, -0.224705500, -0.742320500", \ - "0.0146571000, 0.0130339000, 0.0079425000, -0.008291900, -0.060179900, -0.224957000, -0.742394500", \ - "0.0146066000, 0.0127506000, 0.0074676000, -0.008939300, -0.060870000, -0.225245300, -0.742517000", \ - "0.0147590000, 0.0131024000, 0.0077270000, -0.008992100, -0.061685800, -0.226126000, -0.743031600", \ - "0.0179792000, 0.0160696000, 0.0103607000, -0.007113100, -0.060446700, -0.226088900, -0.743204600"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000"); - values("0.0385330000, 0.0402708000, 0.0457332000, 0.0627508000, 0.1156065000, 0.2791567000, 0.7904297000", \ - "0.0377395000, 0.0395026000, 0.0449968000, 0.0621528000, 0.1151536000, 0.2789635000, 0.7896619000", \ - "0.0368356000, 0.0386319000, 0.0441755000, 0.0614560000, 0.1146391000, 0.2788045000, 0.7897168000", \ - "0.0360106000, 0.0377415000, 0.0433576000, 0.0606083000, 0.1139195000, 0.2778599000, 0.7891288000", \ - "0.0358799000, 0.0376210000, 0.0431625000, 0.0599462000, 0.1132483000, 0.2771696000, 0.7896121000", \ - "0.0397742000, 0.0415249000, 0.0468238000, 0.0612678000, 0.1136505000, 0.2773930000, 0.7889463000", \ - "0.0441251000, 0.0454404000, 0.0504740000, 0.0665281000, 0.1181064000, 0.2806854000, 0.7891014000"); - } - } - max_capacitance : 0.4696750000; - max_transition : 1.5000220000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0302676000, 0.0329060000, 0.0406888000, 0.0645687000, 0.1361186000, 0.3590482000, 1.0648394000", \ - "0.0331539000, 0.0358655000, 0.0438181000, 0.0677186000, 0.1406878000, 0.3630337000, 1.0602202000", \ - "0.0421428000, 0.0447874000, 0.0524350000, 0.0765361000, 0.1491480000, 0.3731184000, 1.0701783000", \ - "0.0555814000, 0.0595294000, 0.0703919000, 0.0987270000, 0.1712134000, 0.3956915000, 1.0931490000", \ - "0.0685256000, 0.0747302000, 0.0915916000, 0.1342628000, 0.2242455000, 0.4477924000, 1.1509889000", \ - "0.0735063000, 0.0824164000, 0.1078502000, 0.1712698000, 0.3066237000, 0.5700685000, 1.2707281000", \ - "0.0506534000, 0.0640933000, 0.1009098000, 0.1936399000, 0.3981120000, 0.7923255000, 1.5549986000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0277117000, 0.0300593000, 0.0371650000, 0.0576961000, 0.1188868000, 0.3099523000, 0.9046163000", \ - "0.0327574000, 0.0350679000, 0.0420725000, 0.0628037000, 0.1248805000, 0.3176835000, 0.9079208000", \ - "0.0459637000, 0.0481965000, 0.0550020000, 0.0757706000, 0.1377625000, 0.3288765000, 0.9247297000", \ - "0.0692441000, 0.0728561000, 0.0828008000, 0.1065208000, 0.1685722000, 0.3593920000, 0.9526359000", \ - "0.1052746000, 0.1112052000, 0.1270643000, 0.1652565000, 0.2414686000, 0.4322622000, 1.0224208000", \ - "0.1632590000, 0.1725183000, 0.1978565000, 0.2580154000, 0.3798337000, 0.6000623000, 1.1929892000", \ - "0.2647666000, 0.2775693000, 0.3151261000, 0.4065552000, 0.5990414000, 0.9541149000, 1.5856080000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0285984000, 0.0319071000, 0.0420519000, 0.0740418000, 0.1729742000, 0.4821433000, 1.4601245000", \ - "0.0282909000, 0.0316011000, 0.0418894000, 0.0736938000, 0.1729777000, 0.4813023000, 1.4474754000", \ - "0.0305539000, 0.0333223000, 0.0424051000, 0.0733760000, 0.1727166000, 0.4813105000, 1.4491495000", \ - "0.0417622000, 0.0456030000, 0.0555326000, 0.0808436000, 0.1729255000, 0.4829409000, 1.4512634000", \ - "0.0635358000, 0.0678036000, 0.0803162000, 0.1129683000, 0.1935836000, 0.4844428000, 1.4500522000", \ - "0.1023974000, 0.1095058000, 0.1265735000, 0.1717025000, 0.2714832000, 0.5192799000, 1.4531373000", \ - "0.1703103000, 0.1806344000, 0.2053011000, 0.2720454000, 0.4114367000, 0.7010760000, 1.5000218000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0213387000, 0.0241156000, 0.0329913000, 0.0606726000, 0.1476590000, 0.4216956000, 1.2751485000", \ - "0.0212796000, 0.0241537000, 0.0329463000, 0.0605811000, 0.1478673000, 0.4221709000, 1.2675441000", \ - "0.0242274000, 0.0263747000, 0.0338502000, 0.0606846000, 0.1475153000, 0.4226350000, 1.2765687000", \ - "0.0394323000, 0.0414751000, 0.0480517000, 0.0675341000, 0.1478872000, 0.4210181000, 1.2754989000", \ - "0.0650113000, 0.0687163000, 0.0788491000, 0.1029325000, 0.1648665000, 0.4203217000, 1.2739746000", \ - "0.1072404000, 0.1129065000, 0.1293168000, 0.1693689000, 0.2466275000, 0.4499186000, 1.2714556000", \ - "0.1777549000, 0.1893831000, 0.2125928000, 0.2748110000, 0.4005875000, 0.6352814000, 1.3081766000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0406368000, 0.0431720000, 0.0506949000, 0.0741405000, 0.1454709000, 0.3709738000, 1.0666669000", \ - "0.0439725000, 0.0465655000, 0.0543576000, 0.0779216000, 0.1496468000, 0.3745434000, 1.0723233000", \ - "0.0511655000, 0.0538625000, 0.0618621000, 0.0859823000, 0.1579888000, 0.3812181000, 1.0785375000", \ - "0.0632241000, 0.0665652000, 0.0763222000, 0.1031718000, 0.1763061000, 0.4002172000, 1.0983492000", \ - "0.0774984000, 0.0825068000, 0.0967978000, 0.1330572000, 0.2182724000, 0.4449335000, 1.1430519000", \ - "0.0807745000, 0.0898954000, 0.1112197000, 0.1669533000, 0.2868765000, 0.5446084000, 1.2481962000", \ - "0.0468086000, 0.0601038000, 0.0938935000, 0.1805796000, 0.3657763000, 0.7207090000, 1.4780133000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0422749000, 0.0446074000, 0.0518243000, 0.0739549000, 0.1410707000, 0.3489302000, 0.9978199000", \ - "0.0472646000, 0.0496986000, 0.0569113000, 0.0792699000, 0.1466850000, 0.3546542000, 1.0039474000", \ - "0.0599214000, 0.0623179000, 0.0698152000, 0.0922496000, 0.1600875000, 0.3680974000, 1.0183124000", \ - "0.0904054000, 0.0931291000, 0.1013718000, 0.1236222000, 0.1915141000, 0.3998429000, 1.0505085000", \ - "0.1409214000, 0.1454535000, 0.1583781000, 0.1916118000, 0.2653373000, 0.4735076000, 1.1226788000", \ - "0.2242766000, 0.2312963000, 0.2514369000, 0.3046199000, 0.4190462000, 0.6453268000, 1.2916576000", \ - "0.3651656000, 0.3753554000, 0.4060830000, 0.4875207000, 0.6706186000, 1.0182574000, 1.6909090000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0288416000, 0.0320583000, 0.0420794000, 0.0737625000, 0.1727410000, 0.4838017000, 1.4491321000", \ - "0.0288789000, 0.0320855000, 0.0421504000, 0.0737191000, 0.1725986000, 0.4828791000, 1.4525401000", \ - "0.0302127000, 0.0331529000, 0.0426858000, 0.0739383000, 0.1727034000, 0.4815253000, 1.4484696000", \ - "0.0383599000, 0.0413884000, 0.0507352000, 0.0782470000, 0.1731502000, 0.4817615000, 1.4483872000", \ - "0.0574879000, 0.0608620000, 0.0710450000, 0.1002170000, 0.1856801000, 0.4835302000, 1.4514718000", \ - "0.0961440000, 0.1007437000, 0.1135630000, 0.1495910000, 0.2395392000, 0.5065027000, 1.4539727000", \ - "0.1683338000, 0.1748161000, 0.1930253000, 0.2419098000, 0.3571268000, 0.6298424000, 1.4838255000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0332386000, 0.0364586000, 0.0461934000, 0.0767189000, 0.1723928000, 0.4718840000, 1.4075198000", \ - "0.0332320000, 0.0363533000, 0.0461717000, 0.0766876000, 0.1724896000, 0.4714933000, 1.4072856000", \ - "0.0333722000, 0.0363228000, 0.0460782000, 0.0767273000, 0.1724071000, 0.4716995000, 1.4144720000", \ - "0.0443688000, 0.0468638000, 0.0539828000, 0.0797556000, 0.1725886000, 0.4716662000, 1.4135408000", \ - "0.0723089000, 0.0756689000, 0.0849731000, 0.1099021000, 0.1839327000, 0.4723677000, 1.4092869000", \ - "0.1184961000, 0.1240928000, 0.1395747000, 0.1766017000, 0.2582480000, 0.4934469000, 1.4093442000", \ - "0.1930836000, 0.2023822000, 0.2282166000, 0.2899719000, 0.4150365000, 0.6521169000, 1.4317170000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0456467000, 0.0482875000, 0.0557768000, 0.0793095000, 0.1504686000, 0.3768995000, 1.0715164000", \ - "0.0491742000, 0.0517222000, 0.0595632000, 0.0830003000, 0.1550859000, 0.3779358000, 1.0754178000", \ - "0.0561914000, 0.0587681000, 0.0668162000, 0.0904905000, 0.1640248000, 0.3863628000, 1.0832191000", \ - "0.0676077000, 0.0705731000, 0.0792880000, 0.1046303000, 0.1772709000, 0.4019325000, 1.0986809000", \ - "0.0816723000, 0.0858016000, 0.0969860000, 0.1278579000, 0.2079219000, 0.4336209000, 1.1320377000", \ - "0.0861761000, 0.0930543000, 0.1105985000, 0.1562593000, 0.2606250000, 0.5056250000, 1.2075890000", \ - "0.0490743000, 0.0594548000, 0.0894226000, 0.1629416000, 0.3195011000, 0.6354369000, 1.3741289000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0485061000, 0.0509094000, 0.0582133000, 0.0801880000, 0.1462676000, 0.3476912000, 0.9748141000", \ - "0.0535530000, 0.0560682000, 0.0634748000, 0.0855859000, 0.1514781000, 0.3530546000, 0.9801169000", \ - "0.0664559000, 0.0689102000, 0.0762979000, 0.0986043000, 0.1647680000, 0.3664512000, 0.9931819000", \ - "0.0983284000, 0.1007228000, 0.1087613000, 0.1305863000, 0.1967528000, 0.3985919000, 1.0261660000", \ - "0.1575121000, 0.1613745000, 0.1724018000, 0.2017820000, 0.2712915000, 0.4733411000, 1.0998743000", \ - "0.2533974000, 0.2592144000, 0.2765941000, 0.3219479000, 0.4292643000, 0.6438756000, 1.2687630000", \ - "0.4125420000, 0.4211259000, 0.4478486000, 0.5200061000, 0.6877767000, 1.0171779000, 1.6710165000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0287981000, 0.0321048000, 0.0420776000, 0.0739446000, 0.1734321000, 0.4838959000, 1.4490191000", \ - "0.0288680000, 0.0320621000, 0.0421544000, 0.0737938000, 0.1727225000, 0.4818771000, 1.4481408000", \ - "0.0293318000, 0.0324409000, 0.0423117000, 0.0737508000, 0.1735110000, 0.4816545000, 1.4492808000", \ - "0.0345681000, 0.0375915000, 0.0471108000, 0.0762035000, 0.1729069000, 0.4822058000, 1.4488956000", \ - "0.0486582000, 0.0515914000, 0.0609690000, 0.0905885000, 0.1808126000, 0.4817513000, 1.4471573000", \ - "0.0825321000, 0.0862074000, 0.0971497000, 0.1286256000, 0.2207680000, 0.4984441000, 1.4581208000", \ - "0.1533309000, 0.1577750000, 0.1721941000, 0.2121399000, 0.3121181000, 0.5905266000, 1.4756406000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0426683000, 0.0458258000, 0.0552861000, 0.0849477000, 0.1786247000, 0.4712017000, 1.3888447000", \ - "0.0425819000, 0.0456585000, 0.0552628000, 0.0850394000, 0.1786357000, 0.4719144000, 1.3900809000", \ - "0.0418626000, 0.0450100000, 0.0548506000, 0.0849683000, 0.1783886000, 0.4715334000, 1.3899726000", \ - "0.0491979000, 0.0520409000, 0.0597491000, 0.0864532000, 0.1784078000, 0.4715643000, 1.3899165000", \ - "0.0767094000, 0.0799735000, 0.0894328000, 0.1127320000, 0.1877672000, 0.4717598000, 1.3938735000", \ - "0.1253093000, 0.1300486000, 0.1444019000, 0.1797871000, 0.2590445000, 0.4929216000, 1.3900300000", \ - "0.2031041000, 0.2120537000, 0.2351861000, 0.2920672000, 0.4152522000, 0.6466940000, 1.4127383000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand3b_1") { - leakage_power () { - value : 0.0007172000; - when : "!A_N&!B&C"; - } - leakage_power () { - value : 0.0004175000; - when : "!A_N&!B&!C"; - } - leakage_power () { - value : 0.0057612000; - when : "!A_N&B&C"; - } - leakage_power () { - value : 0.0006812000; - when : "!A_N&B&!C"; - } - leakage_power () { - value : 0.0008339000; - when : "A_N&!B&C"; - } - leakage_power () { - value : 0.0008166000; - when : "A_N&!B&!C"; - } - leakage_power () { - value : 0.0011269000; - when : "A_N&B&C"; - } - leakage_power () { - value : 0.0008316000; - when : "A_N&B&!C"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__nand3b"; - cell_leakage_power : 0.0013982570; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0013770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013260000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0070248000, 0.0069369000, 0.0067344000, 0.0067798000, 0.0068845000, 0.0071259000, 0.0076822000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045334000, 0.0044735000, 0.0043354000, 0.0043743000, 0.0044640000, 0.0046709000, 0.0051476000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014270000; - } - pin ("B") { - capacitance : 0.0023250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022430000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045660000, 0.0045652000, 0.0045635000, 0.0045678000, 0.0045776000, 0.0046003000, 0.0046526000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004248600, -0.004247400, -0.004244700, -0.004238400, -0.004223800, -0.004190200, -0.004112700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024070000; - } - pin ("C") { - capacitance : 0.0023300000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022230000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040017000, 0.0040013000, 0.0040003000, 0.0039979000, 0.0039921000, 0.0039790000, 0.0039486000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003997900, -0.003994600, -0.003987100, -0.003986600, -0.003985600, -0.003983300, -0.003977900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024380000; - } - pin ("Y") { - direction : "output"; - function : "(A_N) | (!B) | (!C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012845230, 0.0032999980, 0.0084778460, 0.0217799700, 0.0559537500, 0.1437477000"); - values("0.0061081000, 0.0050057000, 0.0019619000, -0.006145100, -0.027507100, -0.082803700, -0.224955500", \ - "0.0060537000, 0.0049067000, 0.0018844000, -0.006195500, -0.027559200, -0.082856500, -0.225056100", \ - "0.0061141000, 0.0049777000, 0.0019043000, -0.006187600, -0.027532800, -0.082790300, -0.224948000", \ - "0.0059102000, 0.0047547000, 0.0017011000, -0.006431100, -0.027742200, -0.082969100, -0.225138200", \ - "0.0057900000, 0.0046142000, 0.0015512000, -0.006708000, -0.027964100, -0.083151900, -0.225269200", \ - "0.0054780000, 0.0042053000, 0.0011569000, -0.006571500, -0.027894900, -0.082990400, -0.225066600", \ - "0.0060395000, 0.0047509000, 0.0015528000, -0.006950400, -0.028243500, -0.083251500, -0.225256600"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012845230, 0.0032999980, 0.0084778460, 0.0217799700, 0.0559537500, 0.1437477000"); - values("0.0041031000, 0.0054929000, 0.0089166000, 0.0173909000, 0.0388133000, 0.0938726000, 0.2343645000", \ - "0.0040970000, 0.0054892000, 0.0089205000, 0.0173903000, 0.0390102000, 0.0940347000, 0.2337952000", \ - "0.0040563000, 0.0054360000, 0.0088713000, 0.0173796000, 0.0388741000, 0.0942499000, 0.2344780000", \ - "0.0037645000, 0.0050812000, 0.0084906000, 0.0170309000, 0.0386857000, 0.0939296000, 0.2342792000", \ - "0.0035886000, 0.0048808000, 0.0081787000, 0.0167006000, 0.0384333000, 0.0938121000, 0.2351271000", \ - "0.0035363000, 0.0048665000, 0.0082213000, 0.0165428000, 0.0382316000, 0.0932517000, 0.2349909000", \ - "0.0038997000, 0.0051846000, 0.0084440000, 0.0167991000, 0.0383545000, 0.0934413000, 0.2322033000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012845230, 0.0032999980, 0.0084778460, 0.0217799700, 0.0559537500, 0.1437477000"); - values("0.0070621000, 0.0058428000, 0.0026745000, -0.005623000, -0.027135000, -0.082485400, -0.224706000", \ - "0.0068628000, 0.0056903000, 0.0025663000, -0.005676400, -0.027162000, -0.082489400, -0.224705700", \ - "0.0066096000, 0.0054598000, 0.0023706000, -0.005816700, -0.027226200, -0.082521700, -0.224711600", \ - "0.0063877000, 0.0052238000, 0.0021199000, -0.006024200, -0.027367700, -0.082595600, -0.224750100", \ - "0.0063467000, 0.0051009000, 0.0019204000, -0.006273600, -0.027568700, -0.082702000, -0.224814400", \ - "0.0066239000, 0.0053474000, 0.0020867000, -0.006341800, -0.027788800, -0.082882800, -0.224908200", \ - "0.0076518000, 0.0062700000, 0.0027881000, -0.005804000, -0.027496700, -0.082967800, -0.225054600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012845230, 0.0032999980, 0.0084778460, 0.0217799700, 0.0559537500, 0.1437477000"); - values("0.0053550000, 0.0066319000, 0.0098964000, 0.0182429000, 0.0394902000, 0.0940064000, 0.2343329000", \ - "0.0051062000, 0.0064044000, 0.0096750000, 0.0180564000, 0.0394513000, 0.0940751000, 0.2343335000", \ - "0.0048598000, 0.0061702000, 0.0095215000, 0.0179096000, 0.0393423000, 0.0939540000, 0.2342078000", \ - "0.0046473000, 0.0059228000, 0.0092016000, 0.0176247000, 0.0388541000, 0.0938573000, 0.2342698000", \ - "0.0046497000, 0.0059162000, 0.0091467000, 0.0174601000, 0.0385795000, 0.0932053000, 0.2334029000", \ - "0.0050341000, 0.0062968000, 0.0097212000, 0.0179757000, 0.0391496000, 0.0937238000, 0.2330707000", \ - "0.0065836000, 0.0077027000, 0.0106760000, 0.0186609000, 0.0397060000, 0.0936027000, 0.2336747000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012845230, 0.0032999980, 0.0084778460, 0.0217799700, 0.0559537500, 0.1437477000"); - values("0.0070432000, 0.0058409000, 0.0026739000, -0.005635700, -0.027137100, -0.082484400, -0.224705500", \ - "0.0068644000, 0.0057027000, 0.0025661000, -0.005689100, -0.027168600, -0.082496500, -0.224706800", \ - "0.0066162000, 0.0054616000, 0.0023633000, -0.005826600, -0.027235800, -0.082534000, -0.224734000", \ - "0.0063964000, 0.0052274000, 0.0021075000, -0.006030800, -0.027363400, -0.082592200, -0.224736600", \ - "0.0064388000, 0.0051304000, 0.0019172000, -0.006265300, -0.027571100, -0.082710500, -0.224804600", \ - "0.0065946000, 0.0053281000, 0.0020814000, -0.006267100, -0.027732900, -0.082878400, -0.224913300", \ - "0.0075343000, 0.0061748000, 0.0027585000, -0.005797500, -0.027409300, -0.082855200, -0.224977700"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012845230, 0.0032999980, 0.0084778460, 0.0217799700, 0.0559537500, 0.1437477000"); - values("0.0079779000, 0.0092423000, 0.0124957000, 0.0208165000, 0.0421052000, 0.0967433000, 0.2370399000", \ - "0.0077978000, 0.0090688000, 0.0123409000, 0.0207037000, 0.0421410000, 0.0967060000, 0.2369151000", \ - "0.0075999000, 0.0088821000, 0.0121737000, 0.0205613000, 0.0419561000, 0.0965198000, 0.2364881000", \ - "0.0074697000, 0.0087305000, 0.0119914000, 0.0203833000, 0.0417820000, 0.0964118000, 0.2361701000", \ - "0.0075015000, 0.0087623000, 0.0120045000, 0.0202983000, 0.0413411000, 0.0960092000, 0.2357121000", \ - "0.0078231000, 0.0089937000, 0.0123892000, 0.0206373000, 0.0417182000, 0.0961630000, 0.2355270000", \ - "0.0098665000, 0.0111705000, 0.0140584000, 0.0220737000, 0.0434921000, 0.0980180000, 0.2369050000"); - } - } - max_capacitance : 0.1437480000; - max_transition : 1.4954820000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012845200, 0.0033000000, 0.0084778500, 0.0217800000, 0.0559537000, 0.1437480000"); - values("0.1142771000, 0.1214741000, 0.1379894000, 0.1761503000, 0.2680882000, 0.5018255000, 1.0987802000", \ - "0.1192477000, 0.1263560000, 0.1429227000, 0.1811261000, 0.2730279000, 0.5060523000, 1.1063289000", \ - "0.1320518000, 0.1391876000, 0.1558455000, 0.1939666000, 0.2857802000, 0.5189892000, 1.1168306000", \ - "0.1639708000, 0.1710741000, 0.1875811000, 0.2257784000, 0.3178465000, 0.5525935000, 1.1488565000", \ - "0.2332767000, 0.2404919000, 0.2572912000, 0.2960522000, 0.3885320000, 0.6228182000, 1.2197797000", \ - "0.3505271000, 0.3590135000, 0.3778176000, 0.4177488000, 0.5111255000, 0.7444973000, 1.3452153000", \ - "0.5348711000, 0.5453028000, 0.5679859000, 0.6142348000, 0.7099205000, 0.9436750000, 1.5407339000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012845200, 0.0033000000, 0.0084778500, 0.0217800000, 0.0559537000, 0.1437480000"); - values("0.0647092000, 0.0707027000, 0.0852548000, 0.1205420000, 0.2097361000, 0.4383320000, 1.0187214000", \ - "0.0695917000, 0.0755775000, 0.0901545000, 0.1256468000, 0.2145641000, 0.4416008000, 1.0260912000", \ - "0.0807341000, 0.0867157000, 0.1012223000, 0.1366916000, 0.2260474000, 0.4559557000, 1.0350939000", \ - "0.1026996000, 0.1086827000, 0.1231660000, 0.1587128000, 0.2487366000, 0.4756232000, 1.0576691000", \ - "0.1333291000, 0.1396334000, 0.1542382000, 0.1903289000, 0.2794900000, 0.5076382000, 1.0918351000", \ - "0.1693588000, 0.1763567000, 0.1922611000, 0.2281011000, 0.3173279000, 0.5449171000, 1.1333769000", \ - "0.1927670000, 0.2020937000, 0.2225117000, 0.2611276000, 0.3496780000, 0.5772716000, 1.1630725000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012845200, 0.0033000000, 0.0084778500, 0.0217800000, 0.0559537000, 0.1437480000"); - values("0.0372160000, 0.0443882000, 0.0620655000, 0.1077617000, 0.2279992000, 0.5403612000, 1.3416214000", \ - "0.0372964000, 0.0442489000, 0.0620726000, 0.1077661000, 0.2277332000, 0.5410063000, 1.3502058000", \ - "0.0374618000, 0.0444686000, 0.0621909000, 0.1076917000, 0.2277546000, 0.5388898000, 1.3434039000", \ - "0.0374144000, 0.0445705000, 0.0621028000, 0.1077431000, 0.2279530000, 0.5421056000, 1.3440586000", \ - "0.0402575000, 0.0471896000, 0.0642037000, 0.1085775000, 0.2279388000, 0.5395830000, 1.3442623000", \ - "0.0505911000, 0.0572418000, 0.0737015000, 0.1157859000, 0.2299449000, 0.5415198000, 1.3447378000", \ - "0.0695070000, 0.0770888000, 0.0927885000, 0.1319059000, 0.2382369000, 0.5428497000, 1.3454254000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012845200, 0.0033000000, 0.0084778500, 0.0217800000, 0.0559537000, 0.1437480000"); - values("0.0293368000, 0.0364019000, 0.0550061000, 0.1032940000, 0.2288622000, 0.5555658000, 1.3775108000", \ - "0.0293525000, 0.0363370000, 0.0549420000, 0.1035367000, 0.2295617000, 0.5521226000, 1.3775742000", \ - "0.0294028000, 0.0364459000, 0.0549971000, 0.1034057000, 0.2291902000, 0.5557473000, 1.3856238000", \ - "0.0305270000, 0.0373402000, 0.0554168000, 0.1035638000, 0.2296703000, 0.5528466000, 1.3776881000", \ - "0.0340624000, 0.0403726000, 0.0575769000, 0.1044620000, 0.2287951000, 0.5550253000, 1.3826111000", \ - "0.0432058000, 0.0490273000, 0.0633674000, 0.1073538000, 0.2308657000, 0.5500136000, 1.3838755000", \ - "0.0607123000, 0.0664873000, 0.0801764000, 0.1176471000, 0.2326782000, 0.5552328000, 1.3764138000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012845200, 0.0033000000, 0.0084778500, 0.0217800000, 0.0559537000, 0.1437480000"); - values("0.0408225000, 0.0467179000, 0.0613358000, 0.0974798000, 0.1889452000, 0.4214383000, 1.0291686000", \ - "0.0445082000, 0.0505611000, 0.0653385000, 0.1018559000, 0.1932578000, 0.4265706000, 1.0232799000", \ - "0.0522079000, 0.0582701000, 0.0733010000, 0.1099285000, 0.2018557000, 0.4352958000, 1.0320655000", \ - "0.0657892000, 0.0736463000, 0.0908523000, 0.1290914000, 0.2217546000, 0.4565501000, 1.0528176000", \ - "0.0831378000, 0.0943314000, 0.1188301000, 0.1683253000, 0.2676401000, 0.5044221000, 1.1075785000", \ - "0.0930698000, 0.1107839000, 0.1491351000, 0.2235937000, 0.3573336000, 0.6140227000, 1.2175040000", \ - "0.0715203000, 0.0987435000, 0.1586095000, 0.2749082000, 0.4785889000, 0.8239022000, 1.4703780000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012845200, 0.0033000000, 0.0084778500, 0.0217800000, 0.0559537000, 0.1437480000"); - values("0.0419908000, 0.0480118000, 0.0630691000, 0.1008779000, 0.1963755000, 0.4413083000, 1.0677271000", \ - "0.0471001000, 0.0531662000, 0.0681619000, 0.1062313000, 0.2018662000, 0.4460943000, 1.0723763000", \ - "0.0603023000, 0.0663617000, 0.0815009000, 0.1190864000, 0.2149817000, 0.4591344000, 1.0863800000", \ - "0.0912976000, 0.0981278000, 0.1135119000, 0.1513450000, 0.2461631000, 0.4907382000, 1.1168931000", \ - "0.1449530000, 0.1558730000, 0.1795342000, 0.2259700000, 0.3196743000, 0.5628417000, 1.1889731000", \ - "0.2315742000, 0.2488746000, 0.2871432000, 0.3619295000, 0.4927099000, 0.7389653000, 1.3610661000", \ - "0.3750157000, 0.4016112000, 0.4612651000, 0.5802048000, 0.7920871000, 1.1359491000, 1.7654818000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012845200, 0.0033000000, 0.0084778500, 0.0217800000, 0.0559537000, 0.1437480000"); - values("0.0301822000, 0.0376989000, 0.0567771000, 0.1059858000, 0.2265956000, 0.5396784000, 1.3490705000", \ - "0.0301770000, 0.0378078000, 0.0569903000, 0.1051639000, 0.2276339000, 0.5406101000, 1.3412270000", \ - "0.0312069000, 0.0384943000, 0.0571407000, 0.1050830000, 0.2278318000, 0.5404966000, 1.3410814000", \ - "0.0391425000, 0.0466160000, 0.0625696000, 0.1068929000, 0.2269260000, 0.5398546000, 1.3449969000", \ - "0.0589930000, 0.0668574000, 0.0852510000, 0.1271749000, 0.2344269000, 0.5428735000, 1.3505140000", \ - "0.0986438000, 0.1096063000, 0.1332053000, 0.1827436000, 0.2872616000, 0.5585203000, 1.3501513000", \ - "0.1718455000, 0.1866906000, 0.2200291000, 0.2892801000, 0.4199594000, 0.6860912000, 1.3852532000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012845200, 0.0033000000, 0.0084778500, 0.0217800000, 0.0559537000, 0.1437480000"); - values("0.0378344000, 0.0456509000, 0.0660840000, 0.1180410000, 0.2521826000, 0.5975709000, 1.4834618000", \ - "0.0379084000, 0.0457914000, 0.0659480000, 0.1180532000, 0.2518439000, 0.5961836000, 1.4817684000", \ - "0.0379814000, 0.0455677000, 0.0660050000, 0.1182210000, 0.2523118000, 0.5968865000, 1.4860334000", \ - "0.0488587000, 0.0544772000, 0.0706980000, 0.1184407000, 0.2522661000, 0.5962036000, 1.4818080000", \ - "0.0796577000, 0.0870351000, 0.1032059000, 0.1393178000, 0.2559584000, 0.5970223000, 1.4861736000", \ - "0.1302668000, 0.1429481000, 0.1691850000, 0.2184737000, 0.3126309000, 0.6049203000, 1.4829150000", \ - "0.2114015000, 0.2323368000, 0.2760988000, 0.3590557000, 0.4957013000, 0.7351724000, 1.4954823000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012845200, 0.0033000000, 0.0084778500, 0.0217800000, 0.0559537000, 0.1437480000"); - values("0.0453610000, 0.0511701000, 0.0658244000, 0.1023316000, 0.1935272000, 0.4267970000, 1.0236295000", \ - "0.0490779000, 0.0551312000, 0.0698864000, 0.1063508000, 0.1978430000, 0.4317445000, 1.0287901000", \ - "0.0565407000, 0.0626264000, 0.0776389000, 0.1146453000, 0.2058743000, 0.4388834000, 1.0365974000", \ - "0.0694792000, 0.0763183000, 0.0926565000, 0.1301493000, 0.2224885000, 0.4555542000, 1.0566673000", \ - "0.0869359000, 0.0962428000, 0.1171798000, 0.1624285000, 0.2593784000, 0.4942747000, 1.0984914000", \ - "0.0994297000, 0.1142217000, 0.1466099000, 0.2107172000, 0.3331618000, 0.5822389000, 1.1832036000", \ - "0.0804094000, 0.1045473000, 0.1570455000, 0.2587102000, 0.4408922000, 0.7580790000, 1.3905126000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012845200, 0.0033000000, 0.0084778500, 0.0217800000, 0.0559537000, 0.1437480000"); - values("0.0456624000, 0.0513181000, 0.0653838000, 0.1007001000, 0.1898138000, 0.4167439000, 0.9986783000", \ - "0.0509339000, 0.0565597000, 0.0707283000, 0.1060873000, 0.1952349000, 0.4227777000, 1.0045355000", \ - "0.0643613000, 0.0700946000, 0.0843016000, 0.1198138000, 0.2091145000, 0.4355787000, 1.0172237000", \ - "0.0960159000, 0.1022909000, 0.1161960000, 0.1514890000, 0.2405794000, 0.4661839000, 1.0458588000", \ - "0.1528447000, 0.1622426000, 0.1833970000, 0.2256388000, 0.3128516000, 0.5388323000, 1.1203320000", \ - "0.2435049000, 0.2586946000, 0.2924414000, 0.3603844000, 0.4833349000, 0.7136565000, 1.2837138000", \ - "0.3904050000, 0.4151172000, 0.4660171000, 0.5745157000, 0.7748887000, 1.1012695000, 1.6910768000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012845200, 0.0033000000, 0.0084778500, 0.0217800000, 0.0559537000, 0.1437480000"); - values("0.0304474000, 0.0380726000, 0.0572501000, 0.1051497000, 0.2276590000, 0.5406643000, 1.3428820000", \ - "0.0304475000, 0.0381972000, 0.0572770000, 0.1056033000, 0.2276036000, 0.5398851000, 1.3442376000", \ - "0.0308790000, 0.0383196000, 0.0573232000, 0.1055586000, 0.2275202000, 0.5394175000, 1.3409829000", \ - "0.0360437000, 0.0433126000, 0.0604914000, 0.1063163000, 0.2268433000, 0.5392783000, 1.3454768000", \ - "0.0511931000, 0.0586354000, 0.0767278000, 0.1209859000, 0.2329409000, 0.5405184000, 1.3464104000", \ - "0.0868455000, 0.0960659000, 0.1172630000, 0.1635355000, 0.2734769000, 0.5541132000, 1.3454859000", \ - "0.1594292000, 0.1712827000, 0.1994563000, 0.2581013000, 0.3822839000, 0.6585036000, 1.3731760000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012845200, 0.0033000000, 0.0084778500, 0.0217800000, 0.0559537000, 0.1437480000"); - values("0.0426280000, 0.0497729000, 0.0683281000, 0.1166795000, 0.2408686000, 0.5616895000, 1.3861358000", \ - "0.0424221000, 0.0497083000, 0.0682729000, 0.1164620000, 0.2411480000, 0.5622711000, 1.3849258000", \ - "0.0422485000, 0.0494304000, 0.0681940000, 0.1165913000, 0.2409275000, 0.5622887000, 1.3876899000", \ - "0.0508631000, 0.0564584000, 0.0721865000, 0.1165821000, 0.2408798000, 0.5612546000, 1.3887986000", \ - "0.0810100000, 0.0881459000, 0.1034501000, 0.1372877000, 0.2458606000, 0.5615869000, 1.3880691000", \ - "0.1331114000, 0.1436049000, 0.1681493000, 0.2152939000, 0.3038626000, 0.5721686000, 1.3867119000", \ - "0.2148717000, 0.2333972000, 0.2738983000, 0.3536449000, 0.4872081000, 0.7172089000, 1.4091459000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand3b_2") { - leakage_power () { - value : 0.0009503000; - when : "!A_N&!B&C"; - } - leakage_power () { - value : 0.0028061000; - when : "!A_N&!B&!C"; - } - leakage_power () { - value : 0.0140887000; - when : "!A_N&B&C"; - } - leakage_power () { - value : 0.0008771000; - when : "!A_N&B&!C"; - } - leakage_power () { - value : 0.0139842000; - when : "A_N&!B&C"; - } - leakage_power () { - value : 0.0139802000; - when : "A_N&!B&!C"; - } - leakage_power () { - value : 0.0015194000; - when : "A_N&B&C"; - } - leakage_power () { - value : 0.0008294000; - when : "A_N&B&!C"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__nand3b"; - cell_leakage_power : 0.0061294120; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0014470000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0101873000, 0.0100983000, 0.0098931000, 0.0099328000, 0.0100241000, 0.0102347000, 0.0107202000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0097468000, 0.0097062000, 0.0096127000, 0.0096390000, 0.0096996000, 0.0098392000, 0.0101610000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015040000; - } - pin ("B") { - capacitance : 0.0045160000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087414000, 0.0087372000, 0.0087276000, 0.0087388000, 0.0087648000, 0.0088246000, 0.0089624000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008138500, -0.008132000, -0.008116800, -0.008107700, -0.008086700, -0.008038100, -0.007926100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046650000; - } - pin ("C") { - capacitance : 0.0044480000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078712000, 0.0078701000, 0.0078677000, 0.0078696000, 0.0078741000, 0.0078845000, 0.0079084000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007859900, -0.007858100, -0.007854000, -0.007854300, -0.007855200, -0.007857200, -0.007861700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046830000; - } - pin ("Y") { - direction : "output"; - function : "(A_N) | (!B) | (!C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014211990, 0.0040396160, 0.0114822000, 0.0326369900, 0.0927673400, 0.2636818000"); - values("0.0103534000, 0.0089175000, 0.0050377000, -0.006287900, -0.039820600, -0.136799200, -0.413603600", \ - "0.0103000000, 0.0089587000, 0.0050117000, -0.006345100, -0.039810300, -0.136837300, -0.413496100", \ - "0.0103562000, 0.0089931000, 0.0050718000, -0.006269000, -0.039752900, -0.136809200, -0.413492900", \ - "0.0101779000, 0.0087961000, 0.0048641000, -0.006493400, -0.040028700, -0.137019300, -0.413714300", \ - "0.0099665000, 0.0085646000, 0.0045611000, -0.006889700, -0.040448200, -0.137257900, -0.413865600", \ - "0.0099409000, 0.0085022000, 0.0044170000, -0.007211200, -0.040842800, -0.137622700, -0.414139100", \ - "0.0113284000, 0.0096980000, 0.0062460000, -0.006153000, -0.040241200, -0.137661100, -0.414032500"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014211990, 0.0040396160, 0.0114822000, 0.0326369900, 0.0927673400, 0.2636818000"); - values("0.0054652000, 0.0071508000, 0.0118106000, 0.0243928000, 0.0588325000, 0.1555910000, 0.4274955000", \ - "0.0054724000, 0.0071484000, 0.0118128000, 0.0243916000, 0.0587860000, 0.1553979000, 0.4319158000", \ - "0.0054262000, 0.0070944000, 0.0117466000, 0.0243611000, 0.0588121000, 0.1552416000, 0.4303658000", \ - "0.0052035000, 0.0068540000, 0.0113982000, 0.0238774000, 0.0584652000, 0.1545736000, 0.4304881000", \ - "0.0050341000, 0.0066114000, 0.0110859000, 0.0232545000, 0.0577710000, 0.1549710000, 0.4269397000", \ - "0.0051574000, 0.0066763000, 0.0110132000, 0.0232206000, 0.0575182000, 0.1537023000, 0.4307853000", \ - "0.0059753000, 0.0073507000, 0.0116050000, 0.0238339000, 0.0576310000, 0.1546397000, 0.4270247000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014211990, 0.0040396160, 0.0114822000, 0.0326369900, 0.0927673400, 0.2636818000"); - values("0.0130023000, 0.0116261000, 0.0075866000, -0.004177800, -0.038291500, -0.135669100, -0.412468600", \ - "0.0125731000, 0.0112283000, 0.0073023000, -0.004319800, -0.038368800, -0.135672100, -0.412512300", \ - "0.0120961000, 0.0107532000, 0.0068522000, -0.004648300, -0.038513700, -0.135762300, -0.412582300", \ - "0.0116608000, 0.0102973000, 0.0063691000, -0.005113800, -0.038817200, -0.135906300, -0.412642700", \ - "0.0118320000, 0.0103593000, 0.0061670000, -0.005465500, -0.039160100, -0.136104900, -0.412754200", \ - "0.0124420000, 0.0109247000, 0.0066545000, -0.005494100, -0.039598000, -0.136504500, -0.412885000", \ - "0.0146092000, 0.0128898000, 0.0083042000, -0.004196800, -0.038898900, -0.136582100, -0.413173000"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014211990, 0.0040396160, 0.0114822000, 0.0326369900, 0.0927673400, 0.2636818000"); - values("0.0096128000, 0.0110961000, 0.0152843000, 0.0271292000, 0.0609614000, 0.1570709000, 0.4301393000", \ - "0.0090852000, 0.0105763000, 0.0148467000, 0.0268702000, 0.0609005000, 0.1569310000, 0.4300623000", \ - "0.0084720000, 0.0100079000, 0.0143766000, 0.0263648000, 0.0604511000, 0.1568914000, 0.4300012000", \ - "0.0081042000, 0.0096534000, 0.0139296000, 0.0257612000, 0.0601059000, 0.1565042000, 0.4300936000", \ - "0.0082161000, 0.0097161000, 0.0139369000, 0.0259275000, 0.0599138000, 0.1563093000, 0.4283065000", \ - "0.0083591000, 0.0097777000, 0.0139375000, 0.0262368000, 0.0597890000, 0.1560421000, 0.4290542000", \ - "0.0116023000, 0.0129393000, 0.0167655000, 0.0280415000, 0.0612797000, 0.1561923000, 0.4282197000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014211990, 0.0040396160, 0.0114822000, 0.0326369900, 0.0927673400, 0.2636818000"); - values("0.0130708000, 0.0116749000, 0.0076317000, -0.004150000, -0.038264100, -0.135658300, -0.412499600", \ - "0.0126915000, 0.0113413000, 0.0073924000, -0.004294700, -0.038326100, -0.135678700, -0.412523000", \ - "0.0122130000, 0.0108661000, 0.0069757000, -0.004570900, -0.038476800, -0.135719500, -0.412550400", \ - "0.0118044000, 0.0104202000, 0.0065003000, -0.005005600, -0.038724100, -0.135829400, -0.412593700", \ - "0.0118604000, 0.0103922000, 0.0062993000, -0.005325500, -0.039039700, -0.135997400, -0.412659300", \ - "0.0123137000, 0.0108190000, 0.0066201000, -0.005363900, -0.039466200, -0.136412500, -0.412917600", \ - "0.0137263000, 0.0121344000, 0.0076956000, -0.004610700, -0.038964100, -0.136455100, -0.413003900"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014211990, 0.0040396160, 0.0114822000, 0.0326369900, 0.0927673400, 0.2636818000"); - values("0.0149132000, 0.0163877000, 0.0205408000, 0.0324424000, 0.0663811000, 0.1625163000, 0.4362489000", \ - "0.0146053000, 0.0160727000, 0.0202634000, 0.0322434000, 0.0662262000, 0.1623813000, 0.4354150000", \ - "0.0141826000, 0.0156794000, 0.0199373000, 0.0319258000, 0.0659170000, 0.1622465000, 0.4353004000", \ - "0.0138581000, 0.0153747000, 0.0195796000, 0.0315295000, 0.0656934000, 0.1621131000, 0.4353843000", \ - "0.0138808000, 0.0153637000, 0.0196181000, 0.0316233000, 0.0652350000, 0.1615721000, 0.4351306000", \ - "0.0141422000, 0.0155660000, 0.0200498000, 0.0320881000, 0.0658237000, 0.1617801000, 0.4342546000", \ - "0.0173040000, 0.0186661000, 0.0224707000, 0.0338443000, 0.0677671000, 0.1627111000, 0.4345510000"); - } - } - max_capacitance : 0.2636820000; - max_transition : 1.4919890000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014212000, 0.0040396200, 0.0114822000, 0.0326370000, 0.0927673000, 0.2636820000"); - values("0.1605845000, 0.1668771000, 0.1824820000, 0.2191928000, 0.3076967000, 0.5462789000, 1.2212301000", \ - "0.1656500000, 0.1718461000, 0.1873207000, 0.2239353000, 0.3128172000, 0.5515035000, 1.2243012000", \ - "0.1781502000, 0.1843684000, 0.1999397000, 0.2373146000, 0.3259269000, 0.5648023000, 1.2451679000", \ - "0.2101034000, 0.2163066000, 0.2318279000, 0.2684281000, 0.3572774000, 0.5965929000, 1.2696342000", \ - "0.2850147000, 0.2911676000, 0.3064726000, 0.3429990000, 0.4316656000, 0.6700214000, 1.3431694000", \ - "0.4308325000, 0.4378378000, 0.4550617000, 0.4938073000, 0.5837746000, 0.8226086000, 1.4954811000", \ - "0.6627579000, 0.6717154000, 0.6936079000, 0.7399055000, 0.8353850000, 1.0740188000, 1.7474224000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014212000, 0.0040396200, 0.0114822000, 0.0326370000, 0.0927673000, 0.2636820000"); - values("0.0794193000, 0.0838406000, 0.0952136000, 0.1234945000, 0.1981366000, 0.4065701000, 0.9947739000", \ - "0.0843049000, 0.0887164000, 0.1001001000, 0.1283913000, 0.2032066000, 0.4123718000, 1.0014042000", \ - "0.0957989000, 0.1001832000, 0.1115382000, 0.1399110000, 0.2145972000, 0.4228468000, 1.0173556000", \ - "0.1216655000, 0.1259666000, 0.1371899000, 0.1656274000, 0.2404086000, 0.4504884000, 1.0457653000", \ - "0.1641661000, 0.1688567000, 0.1805900000, 0.2092754000, 0.2846672000, 0.4939832000, 1.0818754000", \ - "0.2179733000, 0.2238191000, 0.2377826000, 0.2682117000, 0.3431476000, 0.5512784000, 1.1405793000", \ - "0.2701171000, 0.2779215000, 0.2961555000, 0.3336272000, 0.4103692000, 0.6183516000, 1.2083146000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014212000, 0.0040396200, 0.0114822000, 0.0326370000, 0.0927673000, 0.2636820000"); - values("0.0442448000, 0.0494965000, 0.0636942000, 0.1020544000, 0.2109371000, 0.5312817000, 1.4515767000", \ - "0.0441952000, 0.0495205000, 0.0640487000, 0.1019415000, 0.2111374000, 0.5321040000, 1.4515735000", \ - "0.0444404000, 0.0500651000, 0.0640123000, 0.1021964000, 0.2109766000, 0.5320011000, 1.4563635000", \ - "0.0442272000, 0.0497175000, 0.0640069000, 0.1019337000, 0.2109558000, 0.5323769000, 1.4508169000", \ - "0.0447398000, 0.0503524000, 0.0638131000, 0.1025440000, 0.2113004000, 0.5322577000, 1.4540666000", \ - "0.0567560000, 0.0617301000, 0.0753341000, 0.1101427000, 0.2147003000, 0.5320398000, 1.4513168000", \ - "0.0796518000, 0.0853559000, 0.0993529000, 0.1336967000, 0.2306232000, 0.5361588000, 1.4554155000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014212000, 0.0040396200, 0.0114822000, 0.0326370000, 0.0927673000, 0.2636820000"); - values("0.0281791000, 0.0324372000, 0.0445991000, 0.0801608000, 0.1843182000, 0.4847129000, 1.3375460000", \ - "0.0282005000, 0.0324457000, 0.0445928000, 0.0802712000, 0.1849543000, 0.4855521000, 1.3424165000", \ - "0.0281990000, 0.0324699000, 0.0446393000, 0.0802144000, 0.1846714000, 0.4854236000, 1.3432372000", \ - "0.0288477000, 0.0329815000, 0.0451343000, 0.0804829000, 0.1848180000, 0.4845710000, 1.3444429000", \ - "0.0342104000, 0.0380553000, 0.0490158000, 0.0829606000, 0.1848191000, 0.4856701000, 1.3383241000", \ - "0.0458754000, 0.0495697000, 0.0594274000, 0.0891133000, 0.1875043000, 0.4830401000, 1.3414421000", \ - "0.0663666000, 0.0704418000, 0.0816070000, 0.1081710000, 0.1955013000, 0.4857607000, 1.3345847000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014212000, 0.0040396200, 0.0114822000, 0.0326370000, 0.0927673000, 0.2636820000"); - values("0.0419466000, 0.0463176000, 0.0580734000, 0.0896013000, 0.1749830000, 0.4126562000, 1.0970403000", \ - "0.0456981000, 0.0501679000, 0.0622156000, 0.0940691000, 0.1795395000, 0.4180998000, 1.1006346000", \ - "0.0536692000, 0.0581894000, 0.0703122000, 0.1026429000, 0.1887727000, 0.4275277000, 1.1007419000", \ - "0.0667134000, 0.0722692000, 0.0866107000, 0.1206770000, 0.2075038000, 0.4470758000, 1.1221272000", \ - "0.0835866000, 0.0916276000, 0.1112013000, 0.1561662000, 0.2527356000, 0.4932775000, 1.1678341000", \ - "0.0923782000, 0.1048840000, 0.1363209000, 0.2046733000, 0.3392061000, 0.6035559000, 1.2820868000", \ - "0.0694306000, 0.0894782000, 0.1384516000, 0.2451134000, 0.4484720000, 0.8184149000, 1.5418331000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014212000, 0.0040396200, 0.0114822000, 0.0326370000, 0.0927673000, 0.2636820000"); - values("0.0393675000, 0.0432741000, 0.0539956000, 0.0833979000, 0.1648898000, 0.3936637000, 1.0426991000", \ - "0.0441655000, 0.0480147000, 0.0589230000, 0.0887206000, 0.1704764000, 0.3999333000, 1.0481096000", \ - "0.0568564000, 0.0607735000, 0.0716327000, 0.1012825000, 0.1831060000, 0.4124573000, 1.0611944000", \ - "0.0865081000, 0.0913398000, 0.1032978000, 0.1317919000, 0.2144356000, 0.4447675000, 1.0930312000", \ - "0.1348203000, 0.1422178000, 0.1610120000, 0.2026890000, 0.2874514000, 0.5159891000, 1.1625928000", \ - "0.2131426000, 0.2246363000, 0.2535299000, 0.3199426000, 0.4466762000, 0.6869370000, 1.3353154000", \ - "0.3426026000, 0.3593975000, 0.4033345000, 0.5056950000, 0.7079970000, 1.0614756000, 1.7271098000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014212000, 0.0040396200, 0.0114822000, 0.0326370000, 0.0927673000, 0.2636820000"); - values("0.0286663000, 0.0342603000, 0.0497370000, 0.0919110000, 0.2078816000, 0.5323655000, 1.4617784000", \ - "0.0286436000, 0.0342216000, 0.0497835000, 0.0919950000, 0.2077909000, 0.5317539000, 1.4612902000", \ - "0.0295573000, 0.0349267000, 0.0499272000, 0.0922503000, 0.2078519000, 0.5332811000, 1.4523535000", \ - "0.0367977000, 0.0421879000, 0.0559355000, 0.0943127000, 0.2066241000, 0.5309221000, 1.4539179000", \ - "0.0541747000, 0.0603782000, 0.0759626000, 0.1140442000, 0.2152640000, 0.5303868000, 1.4539032000", \ - "0.0920749000, 0.1000331000, 0.1200278000, 0.1663846000, 0.2704140000, 0.5508221000, 1.4532301000", \ - "0.1644246000, 0.1747814000, 0.2026248000, 0.2666066000, 0.3973595000, 0.6843714000, 1.4855177000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014212000, 0.0040396200, 0.0114822000, 0.0326370000, 0.0927673000, 0.2636820000"); - values("0.0351797000, 0.0402501000, 0.0544686000, 0.0947152000, 0.2100824000, 0.5388781000, 1.4732445000", \ - "0.0352034000, 0.0402694000, 0.0545411000, 0.0949405000, 0.2101599000, 0.5390824000, 1.4735828000", \ - "0.0356205000, 0.0402934000, 0.0544268000, 0.0950333000, 0.2107280000, 0.5386057000, 1.4735640000", \ - "0.0479208000, 0.0516037000, 0.0622013000, 0.0970892000, 0.2107001000, 0.5384755000, 1.4735771000", \ - "0.0766045000, 0.0820518000, 0.0949103000, 0.1249793000, 0.2187712000, 0.5384150000, 1.4737764000", \ - "0.1237949000, 0.1323703000, 0.1533167000, 0.1977122000, 0.2853217000, 0.5554081000, 1.4747475000", \ - "0.1991227000, 0.2127354000, 0.2490509000, 0.3216535000, 0.4572105000, 0.7038929000, 1.4919807000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014212000, 0.0040396200, 0.0114822000, 0.0326370000, 0.0927673000, 0.2636820000"); - values("0.0471667000, 0.0514647000, 0.0632949000, 0.0951857000, 0.1808987000, 0.4187307000, 1.0919352000", \ - "0.0508127000, 0.0552297000, 0.0673096000, 0.0992603000, 0.1851926000, 0.4234117000, 1.0964353000", \ - "0.0579298000, 0.0624543000, 0.0746956000, 0.1072380000, 0.1931761000, 0.4307838000, 1.1040862000", \ - "0.0702185000, 0.0751805000, 0.0882293000, 0.1214110000, 0.2082027000, 0.4474257000, 1.1204841000", \ - "0.0865216000, 0.0927935000, 0.1089316000, 0.1477581000, 0.2399624000, 0.4796108000, 1.1537260000", \ - "0.0968568000, 0.1068625000, 0.1313111000, 0.1863027000, 0.3012917000, 0.5577911000, 1.2361827000", \ - "0.0714637000, 0.0881545000, 0.1289011000, 0.2177388000, 0.3875345000, 0.7128363000, 1.4202063000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014212000, 0.0040396200, 0.0114822000, 0.0326370000, 0.0927673000, 0.2636820000"); - values("0.0471912000, 0.0511379000, 0.0618837000, 0.0913834000, 0.1725410000, 0.4000229000, 1.0454706000", \ - "0.0524153000, 0.0563198000, 0.0671856000, 0.0967601000, 0.1780173000, 0.4054282000, 1.0499409000", \ - "0.0657194000, 0.0696939000, 0.0805968000, 0.1100472000, 0.1915865000, 0.4189931000, 1.0636830000", \ - "0.0975468000, 0.1019560000, 0.1125168000, 0.1416998000, 0.2228040000, 0.4502968000, 1.0955362000", \ - "0.1555215000, 0.1618633000, 0.1781587000, 0.2156134000, 0.2973458000, 0.5250886000, 1.1691846000", \ - "0.2481541000, 0.2580715000, 0.2838024000, 0.3433845000, 0.4638654000, 0.6976264000, 1.3380913000", \ - "0.4000821000, 0.4154052000, 0.4548934000, 0.5488129000, 0.7405309000, 1.0842369000, 1.7381203000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014212000, 0.0040396200, 0.0114822000, 0.0326370000, 0.0927673000, 0.2636820000"); - values("0.0289043000, 0.0347452000, 0.0501827000, 0.0926864000, 0.2077955000, 0.5304993000, 1.4515396000", \ - "0.0289575000, 0.0348014000, 0.0504312000, 0.0923493000, 0.2077615000, 0.5305980000, 1.4515364000", \ - "0.0292437000, 0.0347703000, 0.0504841000, 0.0923390000, 0.2081254000, 0.5321146000, 1.4509303000", \ - "0.0335532000, 0.0389729000, 0.0533392000, 0.0935441000, 0.2078217000, 0.5328047000, 1.4527365000", \ - "0.0449132000, 0.0504938000, 0.0656769000, 0.1055558000, 0.2125552000, 0.5307778000, 1.4532020000", \ - "0.0759798000, 0.0827595000, 0.0996110000, 0.1415710000, 0.2486331000, 0.5452215000, 1.4575734000", \ - "0.1449478000, 0.1536392000, 0.1755927000, 0.2267253000, 0.3418658000, 0.6353994000, 1.4770227000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014212000, 0.0040396200, 0.0114822000, 0.0326370000, 0.0927673000, 0.2636820000"); - values("0.0448074000, 0.0494654000, 0.0634457000, 0.1030936000, 0.2168144000, 0.5436304000, 1.4750522000", \ - "0.0445819000, 0.0495408000, 0.0633533000, 0.1029700000, 0.2168729000, 0.5436666000, 1.4742959000", \ - "0.0440903000, 0.0490330000, 0.0631198000, 0.1028004000, 0.2167335000, 0.5436301000, 1.4737709000", \ - "0.0518388000, 0.0558910000, 0.0674839000, 0.1036119000, 0.2167968000, 0.5438038000, 1.4752204000", \ - "0.0808992000, 0.0857747000, 0.0978252000, 0.1272216000, 0.2231863000, 0.5433591000, 1.4729988000", \ - "0.1302232000, 0.1378935000, 0.1571150000, 0.1989859000, 0.2866825000, 0.5571412000, 1.4750363000", \ - "0.2110670000, 0.2239737000, 0.2542752000, 0.3245779000, 0.4572659000, 0.7003671000, 1.4919892000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand3b_4") { - leakage_power () { - value : 0.0016853000; - when : "!A_N&!B&C"; - } - leakage_power () { - value : 0.0406824000; - when : "!A_N&!B&!C"; - } - leakage_power () { - value : 0.0147872000; - when : "!A_N&B&C"; - } - leakage_power () { - value : 0.0014284000; - when : "!A_N&B&!C"; - } - leakage_power () { - value : 0.0106302000; - when : "A_N&!B&C"; - } - leakage_power () { - value : 0.0304876000; - when : "A_N&!B&!C"; - } - leakage_power () { - value : 0.0123664000; - when : "A_N&B&C"; - } - leakage_power () { - value : 0.0106099000; - when : "A_N&B&!C"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__nand3b"; - cell_leakage_power : 0.0153347000; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0023570000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0174003000, 0.0172767000, 0.0169919000, 0.0171257000, 0.0174344000, 0.0181460000, 0.0197862000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0177306000, 0.0176176000, 0.0173572000, 0.0174806000, 0.0177651000, 0.0184210000, 0.0199330000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024570000; - } - pin ("B") { - capacitance : 0.0084720000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0170075000, 0.0170228000, 0.0170580000, 0.0170768000, 0.0171201000, 0.0172199000, 0.0174502000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015615000, -0.015614700, -0.015614000, -0.015578700, -0.015497200, -0.015309400, -0.014876400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087780000; - } - pin ("C") { - capacitance : 0.0088630000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0083910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0160060000, 0.0159939000, 0.0159659000, 0.0159707000, 0.0159818000, 0.0160073000, 0.0160663000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015963100, -0.015956300, -0.015940900, -0.015936000, -0.015924700, -0.015898800, -0.015838900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0093360000; - } - pin ("Y") { - direction : "output"; - function : "(A_N) | (!B) | (!C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015702790, 0.0049315550, 0.0154878400, 0.0486404600, 0.1527582000, 0.4797462000"); - values("0.0266510000, 0.0250244000, 0.0198749000, 0.0032153000, -0.050187500, -0.218799200, -0.748388800", \ - "0.0257413000, 0.0242108000, 0.0192150000, 0.0028392000, -0.050334900, -0.218787700, -0.748433800", \ - "0.0246982000, 0.0231658000, 0.0182499000, 0.0020986000, -0.050716100, -0.218938300, -0.748485500", \ - "0.0238481000, 0.0222537000, 0.0171579000, 0.0009900000, -0.051461300, -0.219276800, -0.748607300", \ - "0.0237294000, 0.0220582000, 0.0167759000, 0.0002114000, -0.052364800, -0.219835500, -0.748768100", \ - "0.0244620000, 0.0227318000, 0.0172665000, 0.0001963000, -0.053472000, -0.220851700, -0.749551600", \ - "0.0281929000, 0.0262978000, 0.0203509000, 0.0023069000, -0.052145100, -0.221157600, -0.750291900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015702790, 0.0049315550, 0.0154878400, 0.0486404600, 0.1527582000, 0.4797462000"); - values("0.0179628000, 0.0197003000, 0.0251863000, 0.0421871000, 0.0953592000, 0.2616700000, 0.7841056000", \ - "0.0169124000, 0.0187405000, 0.0242929000, 0.0415964000, 0.0951934000, 0.2619506000, 0.7842605000", \ - "0.0156523000, 0.0175341000, 0.0232279000, 0.0407541000, 0.0942446000, 0.2613913000, 0.7840640000", \ - "0.0149924000, 0.0168204000, 0.0223872000, 0.0394273000, 0.0934866000, 0.2608281000, 0.7846278000", \ - "0.0151299000, 0.0168440000, 0.0224133000, 0.0391933000, 0.0924784000, 0.2594812000, 0.7820618000", \ - "0.0157364000, 0.0174220000, 0.0228906000, 0.0399031000, 0.0928177000, 0.2579864000, 0.7814315000", \ - "0.0216191000, 0.0231116000, 0.0280478000, 0.0437986000, 0.0953670000, 0.2600684000, 0.7804887000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015702790, 0.0049315550, 0.0154878400, 0.0486404600, 0.1527582000, 0.4797462000"); - values("0.0268749000, 0.0252802000, 0.0200862000, 0.0034034000, -0.050051000, -0.218656800, -0.748306500", \ - "0.0260242000, 0.0244733000, 0.0194382000, 0.0030088000, -0.050245600, -0.218677100, -0.748316400", \ - "0.0250043000, 0.0234763000, 0.0184849000, 0.0022605000, -0.050612800, -0.218851800, -0.748432300", \ - "0.0241226000, 0.0225139000, 0.0174641000, 0.0012467000, -0.051304500, -0.219168900, -0.748553400", \ - "0.0239904000, 0.0223064000, 0.0170320000, 0.0005413000, -0.052145300, -0.219600500, -0.748697000", \ - "0.0247302000, 0.0229687000, 0.0175918000, 0.0006373000, -0.052965300, -0.220592700, -0.749161800", \ - "0.0283111000, 0.0264175000, 0.0205546000, 0.0027490000, -0.051561000, -0.220502600, -0.749555500"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015702790, 0.0049315550, 0.0154878400, 0.0486404600, 0.1527582000, 0.4797462000"); - values("0.0290896000, 0.0308315000, 0.0362425000, 0.0532609000, 0.1066170000, 0.2732143000, 0.7960479000", \ - "0.0283430000, 0.0301030000, 0.0355786000, 0.0527339000, 0.1060907000, 0.2727282000, 0.7955306000", \ - "0.0275097000, 0.0293136000, 0.0347162000, 0.0520712000, 0.1056178000, 0.2726434000, 0.7953638000", \ - "0.0269217000, 0.0285462000, 0.0340525000, 0.0513182000, 0.1050764000, 0.2720215000, 0.7951775000", \ - "0.0265505000, 0.0283504000, 0.0346645000, 0.0516250000, 0.1049876000, 0.2721296000, 0.7944279000", \ - "0.0296318000, 0.0312522000, 0.0361874000, 0.0533035000, 0.1061528000, 0.2722681000, 0.7947871000", \ - "0.0347915000, 0.0363888000, 0.0415053000, 0.0571303000, 0.1096756000, 0.2749691000, 0.7951327000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015702790, 0.0049315550, 0.0154878400, 0.0486404600, 0.1527582000, 0.4797462000"); - values("0.0211471000, 0.0195515000, 0.0146809000, -0.001368500, -0.053965200, -0.222244200, -0.751803500", \ - "0.0210703000, 0.0195457000, 0.0145782000, -0.001415300, -0.054017800, -0.222335300, -0.751645500", \ - "0.0211546000, 0.0195475000, 0.0146485000, -0.001466600, -0.054018200, -0.222083000, -0.751508400", \ - "0.0207063000, 0.0191381000, 0.0141002000, -0.002082600, -0.054680200, -0.222511600, -0.751971300", \ - "0.0199757000, 0.0183480000, 0.0132416000, -0.003027700, -0.055507700, -0.223208500, -0.752358800", \ - "0.0194001000, 0.0177594000, 0.0126277000, -0.003563700, -0.056207800, -0.223780600, -0.752750900", \ - "0.0212564000, 0.0197219000, 0.0145180000, -0.003327300, -0.056152200, -0.223572900, -0.752470200"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015702790, 0.0049315550, 0.0154878400, 0.0486404600, 0.1527582000, 0.4797462000"); - values("0.0104443000, 0.0124120000, 0.0185436000, 0.0368550000, 0.0912748000, 0.2578964000, 0.7819453000", \ - "0.0104369000, 0.0124022000, 0.0185458000, 0.0368669000, 0.0914161000, 0.2591642000, 0.7847402000", \ - "0.0104308000, 0.0123940000, 0.0185120000, 0.0368216000, 0.0914789000, 0.2593560000, 0.7846003000", \ - "0.0098187000, 0.0117915000, 0.0176513000, 0.0358767000, 0.0906376000, 0.2572733000, 0.7843387000", \ - "0.0092823000, 0.0111375000, 0.0170148000, 0.0345227000, 0.0891194000, 0.2571854000, 0.7847299000", \ - "0.0096181000, 0.0114284000, 0.0170757000, 0.0341332000, 0.0882604000, 0.2554784000, 0.7817247000", \ - "0.0104190000, 0.0120986000, 0.0176193000, 0.0348561000, 0.0885468000, 0.2567189000, 0.7771575000"); - } - } - max_capacitance : 0.4797460000; - max_transition : 1.5009230000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015702800, 0.0049315500, 0.0154878000, 0.0486405000, 0.1527580000, 0.4797460000"); - values("0.1191366000, 0.1225463000, 0.1324881000, 0.1596446000, 0.2344029000, 0.4612593000, 1.1710496000", \ - "0.1242498000, 0.1276723000, 0.1374356000, 0.1646353000, 0.2394109000, 0.4662578000, 1.1720903000", \ - "0.1370431000, 0.1403790000, 0.1502913000, 0.1774546000, 0.2523594000, 0.4795073000, 1.1848534000", \ - "0.1668169000, 0.1701978000, 0.1800872000, 0.2071883000, 0.2821046000, 0.5092182000, 1.2159658000", \ - "0.2338588000, 0.2373481000, 0.2473148000, 0.2745353000, 0.3497840000, 0.5770510000, 1.2822898000", \ - "0.3415476000, 0.3457228000, 0.3574393000, 0.3873691000, 0.4645696000, 0.6912926000, 1.3983498000", \ - "0.4985237000, 0.5041054000, 0.5192374000, 0.5550596000, 0.6367605000, 0.8634617000, 1.5713328000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015702800, 0.0049315500, 0.0154878000, 0.0486405000, 0.1527580000, 0.4797460000"); - values("0.0901781000, 0.0932362000, 0.1020195000, 0.1263525000, 0.1949108000, 0.4034368000, 1.0559008000", \ - "0.0949992000, 0.0980713000, 0.1068514000, 0.1311583000, 0.1998666000, 0.4089337000, 1.0638773000", \ - "0.1063483000, 0.1093826000, 0.1181626000, 0.1424630000, 0.2109921000, 0.4205519000, 1.0764991000", \ - "0.1327446000, 0.1357944000, 0.1443750000, 0.1684904000, 0.2373386000, 0.4461441000, 1.1031629000", \ - "0.1809226000, 0.1841163000, 0.1931397000, 0.2175707000, 0.2864329000, 0.4972662000, 1.1525100000", \ - "0.2456404000, 0.2494550000, 0.2601549000, 0.2863511000, 0.3560377000, 0.5657267000, 1.2182594000", \ - "0.3212991000, 0.3264379000, 0.3402536000, 0.3732111000, 0.4463276000, 0.6536567000, 1.3056021000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015702800, 0.0049315500, 0.0154878000, 0.0486405000, 0.1527580000, 0.4797460000"); - values("0.0370566000, 0.0404037000, 0.0504450000, 0.0814434000, 0.1781783000, 0.4896409000, 1.4740111000", \ - "0.0366744000, 0.0400980000, 0.0504061000, 0.0814488000, 0.1781694000, 0.4897263000, 1.4671169000", \ - "0.0367575000, 0.0404353000, 0.0504578000, 0.0814799000, 0.1782405000, 0.4887182000, 1.4671516000", \ - "0.0369792000, 0.0403010000, 0.0504579000, 0.0815747000, 0.1781718000, 0.4896353000, 1.4665542000", \ - "0.0395277000, 0.0427183000, 0.0525929000, 0.0827433000, 0.1785347000, 0.4898094000, 1.4662251000", \ - "0.0517718000, 0.0546820000, 0.0638251000, 0.0922593000, 0.1834285000, 0.4891494000, 1.4680083000", \ - "0.0725058000, 0.0761927000, 0.0863937000, 0.1150721000, 0.1976105000, 0.4926223000, 1.4670185000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015702800, 0.0049315500, 0.0154878000, 0.0486405000, 0.1527580000, 0.4797460000"); - values("0.0303122000, 0.0331092000, 0.0418229000, 0.0701160000, 0.1625738000, 0.4589830000, 1.3881527000", \ - "0.0303058000, 0.0331076000, 0.0418177000, 0.0700661000, 0.1624584000, 0.4595631000, 1.3895768000", \ - "0.0303291000, 0.0330486000, 0.0417854000, 0.0700785000, 0.1626998000, 0.4601722000, 1.3956889000", \ - "0.0306382000, 0.0333751000, 0.0421203000, 0.0703099000, 0.1627663000, 0.4566465000, 1.3944816000", \ - "0.0354991000, 0.0380856000, 0.0461099000, 0.0728798000, 0.1632660000, 0.4596766000, 1.3910008000", \ - "0.0466516000, 0.0497789000, 0.0567847000, 0.0806731000, 0.1660768000, 0.4567615000, 1.3849251000", \ - "0.0666052000, 0.0691891000, 0.0766783000, 0.0992635000, 0.1750948000, 0.4602752000, 1.3813464000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015702800, 0.0049315500, 0.0154878000, 0.0486405000, 0.1527580000, 0.4797460000"); - values("0.0452141000, 0.0479948000, 0.0564128000, 0.0808373000, 0.1540812000, 0.3793234000, 1.0861633000", \ - "0.0485300000, 0.0513546000, 0.0597799000, 0.0846099000, 0.1579929000, 0.3854434000, 1.0897576000", \ - "0.0554329000, 0.0582887000, 0.0668618000, 0.0921684000, 0.1660252000, 0.3917497000, 1.1008232000", \ - "0.0669452000, 0.0704555000, 0.0806042000, 0.1084241000, 0.1833451000, 0.4111851000, 1.1164716000", \ - "0.0810743000, 0.0864233000, 0.1013012000, 0.1378741000, 0.2253461000, 0.4558594000, 1.1700396000", \ - "0.0853288000, 0.0932677000, 0.1161507000, 0.1734303000, 0.2967092000, 0.5590428000, 1.2712620000", \ - "0.0515527000, 0.0642328000, 0.0998928000, 0.1891329000, 0.3799577000, 0.7475728000, 1.5149852000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015702800, 0.0049315500, 0.0154878000, 0.0486405000, 0.1527580000, 0.4797460000"); - values("0.0416727000, 0.0441413000, 0.0517778000, 0.0746762000, 0.1441739000, 0.3590455000, 1.0352060000", \ - "0.0463273000, 0.0488675000, 0.0566062000, 0.0798713000, 0.1498222000, 0.3646365000, 1.0371090000", \ - "0.0590877000, 0.0616468000, 0.0693824000, 0.0926944000, 0.1625179000, 0.3783361000, 1.0499238000", \ - "0.0896552000, 0.0925839000, 0.1011022000, 0.1239763000, 0.1940486000, 0.4096385000, 1.0809302000", \ - "0.1403772000, 0.1451532000, 0.1581444000, 0.1921379000, 0.2679885000, 0.4828816000, 1.1512726000", \ - "0.2238986000, 0.2311728000, 0.2519057000, 0.3060613000, 0.4231338000, 0.6550357000, 1.3255022000", \ - "0.3651864000, 0.3758370000, 0.4071855000, 0.4891718000, 0.6759310000, 1.0278599000, 1.7191143000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015702800, 0.0049315500, 0.0154878000, 0.0486405000, 0.1527580000, 0.4797460000"); - values("0.0295873000, 0.0332058000, 0.0441318000, 0.0770256000, 0.1767560000, 0.4884355000, 1.4657395000", \ - "0.0296910000, 0.0332275000, 0.0441031000, 0.0768863000, 0.1770241000, 0.4902257000, 1.4684657000", \ - "0.0305040000, 0.0338291000, 0.0443698000, 0.0769882000, 0.1768413000, 0.4884221000, 1.4682907000", \ - "0.0378351000, 0.0412913000, 0.0513911000, 0.0804991000, 0.1769555000, 0.4893059000, 1.4649482000", \ - "0.0554176000, 0.0593318000, 0.0705581000, 0.1013412000, 0.1886414000, 0.4890368000, 1.4751959000", \ - "0.0939410000, 0.0988371000, 0.1135898000, 0.1512629000, 0.2437899000, 0.5126334000, 1.4658322000", \ - "0.1670342000, 0.1734356000, 0.1936866000, 0.2449577000, 0.3636277000, 0.6468471000, 1.5009232000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015702800, 0.0049315500, 0.0154878000, 0.0486405000, 0.1527580000, 0.4797460000"); - values("0.0361157000, 0.0391290000, 0.0487357000, 0.0790692000, 0.1750073000, 0.4778776000, 1.4293092000", \ - "0.0360914000, 0.0391730000, 0.0485402000, 0.0790899000, 0.1746407000, 0.4769956000, 1.4274328000", \ - "0.0361495000, 0.0390027000, 0.0485650000, 0.0787882000, 0.1747521000, 0.4777148000, 1.4278919000", \ - "0.0473005000, 0.0495730000, 0.0565069000, 0.0817346000, 0.1751132000, 0.4768739000, 1.4278503000", \ - "0.0756978000, 0.0788384000, 0.0884898000, 0.1122098000, 0.1848608000, 0.4772447000, 1.4276865000", \ - "0.1225051000, 0.1277710000, 0.1429407000, 0.1798319000, 0.2590796000, 0.4978818000, 1.4280922000", \ - "0.1975622000, 0.2065075000, 0.2307627000, 0.2937536000, 0.4179737000, 0.6537552000, 1.4488832000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015702800, 0.0049315500, 0.0154878000, 0.0486405000, 0.1527580000, 0.4797460000"); - values("0.0495813000, 0.0524053000, 0.0605956000, 0.0850201000, 0.1580065000, 0.3852440000, 1.0983405000", \ - "0.0529712000, 0.0557614000, 0.0642696000, 0.0892434000, 0.1624468000, 0.3884690000, 1.1035713000", \ - "0.0593628000, 0.0622963000, 0.0708821000, 0.0958900000, 0.1698721000, 0.3954811000, 1.1019715000", \ - "0.0701946000, 0.0733147000, 0.0826137000, 0.1089041000, 0.1832931000, 0.4095457000, 1.1164373000", \ - "0.0843389000, 0.0882365000, 0.0996174000, 0.1308266000, 0.2123320000, 0.4412708000, 1.1480645000", \ - "0.0912469000, 0.0971590000, 0.1148724000, 0.1601636000, 0.2643945000, 0.5129533000, 1.2228275000", \ - "0.0601253000, 0.0705612000, 0.0999646000, 0.1733013000, 0.3297325000, 0.6497379000, 1.3954526000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015702800, 0.0049315500, 0.0154878000, 0.0486405000, 0.1527580000, 0.4797460000"); - values("0.0458201000, 0.0481417000, 0.0551874000, 0.0765031000, 0.1405917000, 0.3371333000, 0.9514662000", \ - "0.0508765000, 0.0532214000, 0.0603474000, 0.0817416000, 0.1459154000, 0.3426489000, 0.9572896000", \ - "0.0636935000, 0.0660390000, 0.0731522000, 0.0946295000, 0.1588401000, 0.3555549000, 0.9703552000", \ - "0.0951444000, 0.0980850000, 0.1053638000, 0.1264556000, 0.1908129000, 0.3878374000, 1.0020387000", \ - "0.1512288000, 0.1551232000, 0.1660054000, 0.1959005000, 0.2644245000, 0.4607042000, 1.0744067000", \ - "0.2405833000, 0.2465133000, 0.2630214000, 0.3111004000, 0.4161453000, 0.6319439000, 1.2428280000", \ - "0.3879606000, 0.3968824000, 0.4223415000, 0.4949054000, 0.6623679000, 0.9949905000, 1.6394993000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015702800, 0.0049315500, 0.0154878000, 0.0486405000, 0.1527580000, 0.4797460000"); - values("0.0297953000, 0.0332626000, 0.0441690000, 0.0769902000, 0.1768356000, 0.4900680000, 1.4747601000", \ - "0.0297799000, 0.0333344000, 0.0442301000, 0.0772467000, 0.1767847000, 0.4886754000, 1.4755112000", \ - "0.0299933000, 0.0335347000, 0.0443602000, 0.0769381000, 0.1768299000, 0.4883828000, 1.4678333000", \ - "0.0344440000, 0.0378222000, 0.0481691000, 0.0789389000, 0.1769489000, 0.4884926000, 1.4679992000", \ - "0.0458358000, 0.0493483000, 0.0598338000, 0.0916160000, 0.1843568000, 0.4888395000, 1.4685624000", \ - "0.0780212000, 0.0820401000, 0.0939982000, 0.1272669000, 0.2209548000, 0.5057670000, 1.4672780000", \ - "0.1486700000, 0.1535095000, 0.1681426000, 0.2093067000, 0.3110284000, 0.5979331000, 1.4919393000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015702800, 0.0049315500, 0.0154878000, 0.0486405000, 0.1527580000, 0.4797460000"); - values("0.0442696000, 0.0468705000, 0.0557587000, 0.0833089000, 0.1719372000, 0.4531153000, 1.3407062000", \ - "0.0441601000, 0.0468462000, 0.0556609000, 0.0834196000, 0.1719495000, 0.4538317000, 1.3411589000", \ - "0.0432973000, 0.0461415000, 0.0549870000, 0.0831360000, 0.1719710000, 0.4534165000, 1.3412093000", \ - "0.0517730000, 0.0538207000, 0.0610331000, 0.0853704000, 0.1717983000, 0.4538463000, 1.3401331000", \ - "0.0799606000, 0.0829280000, 0.0919140000, 0.1134097000, 0.1833648000, 0.4534407000, 1.3410469000", \ - "0.1279850000, 0.1331737000, 0.1461356000, 0.1808538000, 0.2562194000, 0.4777423000, 1.3408981000", \ - "0.2049712000, 0.2129739000, 0.2353072000, 0.2923546000, 0.4120191000, 0.6400757000, 1.3710747000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand4_1") { - leakage_power () { - value : 2.6603169e-05; - when : "!A&!B&!C&D"; - } - leakage_power () { - value : 1.9808313e-05; - when : "!A&!B&!C&!D"; - } - leakage_power () { - value : 4.3621706e-05; - when : "!A&!B&C&D"; - } - leakage_power () { - value : 2.4194187e-05; - when : "!A&!B&C&!D"; - } - leakage_power () { - value : 4.382749e-05; - when : "!A&B&!C&D"; - } - leakage_power () { - value : 2.4799466e-05; - when : "!A&B&!C&!D"; - } - leakage_power () { - value : 0.0003519000; - when : "!A&B&C&D"; - } - leakage_power () { - value : 3.617058e-05; - when : "!A&B&C&!D"; - } - leakage_power () { - value : 4.9541551e-05; - when : "A&!B&!C&D"; - } - leakage_power () { - value : 3.0294963e-05; - when : "A&!B&!C&!D"; - } - leakage_power () { - value : 0.0003530000; - when : "A&!B&C&D"; - } - leakage_power () { - value : 4.1828364e-05; - when : "A&!B&C&!D"; - } - leakage_power () { - value : 0.0003428000; - when : "A&B&!C&D"; - } - leakage_power () { - value : 4.7061493e-05; - when : "A&B&!C&!D"; - } - leakage_power () { - value : 0.0067214000; - when : "A&B&C&D"; - } - leakage_power () { - value : 0.0002583000; - when : "A&B&C&!D"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__nand4"; - cell_leakage_power : 0.0005259485; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023120000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048946000, 0.0048999000, 0.0049121000, 0.0049129000, 0.0049147000, 0.0049189000, 0.0049286000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003914800, -0.003907000, -0.003889100, -0.003882500, -0.003867400, -0.003832400, -0.003751700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023520000; - } - pin ("B") { - capacitance : 0.0023910000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023230000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045984000, 0.0045952000, 0.0045878000, 0.0046022000, 0.0046355000, 0.0047122000, 0.0048890000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004193200, -0.004190100, -0.004183100, -0.004182300, -0.004180600, -0.004176600, -0.004167500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024590000; - } - pin ("C") { - capacitance : 0.0023490000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039392000, 0.0039398000, 0.0039413000, 0.0039407000, 0.0039394000, 0.0039364000, 0.0039295000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003952300, -0.003944300, -0.003926000, -0.003925800, -0.003925200, -0.003923800, -0.003920700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024320000; - } - pin ("D") { - capacitance : 0.0022960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021860000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039969000, 0.0039955000, 0.0039921000, 0.0039934000, 0.0039965000, 0.0040036000, 0.0040198000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003991900, -0.003990900, -0.003988700, -0.003988700, -0.003988900, -0.003989300, -0.003990300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024050000; - } - pin ("Y") { - direction : "output"; - function : "(!A) | (!B) | (!C) | (!D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0044931000, 0.0033307000, 0.0003368000, -0.007284900, -0.026542600, -0.074869600, -0.196158400", \ - "0.0042524000, 0.0031278000, 0.0001873000, -0.007379500, -0.026592100, -0.074896000, -0.196173300", \ - "0.0039313000, 0.0028089000, -5.82000e-05, -0.007555800, -0.026696100, -0.074955600, -0.196169200", \ - "0.0037380000, 0.0025652000, -0.000341000, -0.007816600, -0.026852800, -0.075041000, -0.196244500", \ - "0.0036801000, 0.0024784000, -0.000512100, -0.008039600, -0.027103400, -0.075224600, -0.196375900", \ - "0.0044436000, 0.0032189000, -0.000127400, -0.007973200, -0.027065500, -0.075276400, -0.196436400", \ - "0.0061365000, 0.0047498000, 0.0011867000, -0.006786200, -0.026448300, -0.074419600, -0.196139600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0050914000, 0.0064189000, 0.0096166000, 0.0174334000, 0.0365496000, 0.0845929000, 0.2044707000", \ - "0.0048687000, 0.0062209000, 0.0094565000, 0.0172646000, 0.0365236000, 0.0847470000, 0.2044552000", \ - "0.0045714000, 0.0059181000, 0.0092092000, 0.0170198000, 0.0364446000, 0.0843759000, 0.2044911000", \ - "0.0044484000, 0.0057295000, 0.0088312000, 0.0166636000, 0.0361225000, 0.0844192000, 0.2030362000", \ - "0.0046098000, 0.0058325000, 0.0088549000, 0.0165468000, 0.0358141000, 0.0840601000, 0.2046447000", \ - "0.0049218000, 0.0060613000, 0.0090597000, 0.0168556000, 0.0356846000, 0.0837418000, 0.2040224000", \ - "0.0066547000, 0.0077023000, 0.0105464000, 0.0177055000, 0.0365959000, 0.0846656000, 0.2043267000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0050485000, 0.0038549000, 0.0008386000, -0.006802300, -0.026051000, -0.074397000, -0.195666600", \ - "0.0048740000, 0.0036990000, 0.0007346000, -0.006869400, -0.026094000, -0.074417400, -0.195701600", \ - "0.0045960000, 0.0034456000, 0.0005160000, -0.007007600, -0.026164200, -0.074447600, -0.195769900", \ - "0.0043173000, 0.0031599000, 0.0002390000, -0.007250200, -0.026305600, -0.074513000, -0.195748400", \ - "0.0041604000, 0.0029799000, 8.250000e-05, -0.007450800, -0.026484300, -0.074654800, -0.195806200", \ - "0.0043632000, 0.0031343000, 0.0001038000, -0.007632100, -0.026713700, -0.074842800, -0.195924900", \ - "0.0052782000, 0.0039857000, 0.0007600000, -0.007075700, -0.026533800, -0.074886400, -0.196019900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0081044000, 0.0093520000, 0.0124578000, 0.0201021000, 0.0392260000, 0.0869932000, 0.2062748000", \ - "0.0078956000, 0.0091677000, 0.0123154000, 0.0200203000, 0.0391672000, 0.0870221000, 0.2064994000", \ - "0.0075861000, 0.0088636000, 0.0119741000, 0.0198162000, 0.0389753000, 0.0866893000, 0.2065727000", \ - "0.0073548000, 0.0086412000, 0.0117409000, 0.0194902000, 0.0387250000, 0.0866097000, 0.2062140000", \ - "0.0074148000, 0.0086311000, 0.0117374000, 0.0192935000, 0.0385962000, 0.0866585000, 0.2054902000", \ - "0.0075090000, 0.0086500000, 0.0118409000, 0.0195726000, 0.0386675000, 0.0864862000, 0.2061132000", \ - "0.0087325000, 0.0098444000, 0.0127355000, 0.0199901000, 0.0390349000, 0.0865272000, 0.2052203000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0048227000, 0.0036439000, 0.0006186000, -0.007031000, -0.026296400, -0.074638900, -0.195938000", \ - "0.0046623000, 0.0035003000, 0.0005045000, -0.007105000, -0.026338100, -0.074662200, -0.195954100", \ - "0.0044043000, 0.0032439000, 0.0003043000, -0.007247800, -0.026407000, -0.074701700, -0.195955400", \ - "0.0041440000, 0.0029814000, 3.410000e-05, -0.007462100, -0.026555600, -0.074778800, -0.196039700", \ - "0.0039614000, 0.0027834000, -0.000135200, -0.007656800, -0.026706900, -0.074860200, -0.196049100", \ - "0.0040903000, 0.0028868000, -0.000144300, -0.007861000, -0.026967400, -0.075089200, -0.196174600", \ - "0.0048049000, 0.0035198000, 0.0003386000, -0.007438000, -0.026771200, -0.075149000, -0.196271200"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0110372000, 0.0122786000, 0.0153659000, 0.0230682000, 0.0421384000, 0.0899933000, 0.2095745000", \ - "0.0108177000, 0.0120572000, 0.0151667000, 0.0229017000, 0.0420479000, 0.0897690000, 0.2095444000", \ - "0.0105678000, 0.0118223000, 0.0149577000, 0.0226799000, 0.0418427000, 0.0897451000, 0.2092999000", \ - "0.0103761000, 0.0116129000, 0.0147212000, 0.0224770000, 0.0417048000, 0.0894831000, 0.2088775000", \ - "0.0103270000, 0.0115655000, 0.0146569000, 0.0222997000, 0.0411957000, 0.0890957000, 0.2085959000", \ - "0.0108968000, 0.0121396000, 0.0152379000, 0.0229020000, 0.0417348000, 0.0896547000, 0.2093423000", \ - "0.0121747000, 0.0133166000, 0.0162652000, 0.0235684000, 0.0424386000, 0.0899502000, 0.2084827000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0047066000, 0.0035046000, 0.0004830000, -0.007161800, -0.026409400, -0.074761600, -0.196062500", \ - "0.0045269000, 0.0033669000, 0.0003785000, -0.007228100, -0.026451400, -0.074782600, -0.196068100", \ - "0.0042924000, 0.0031355000, 0.0001720000, -0.007360000, -0.026522700, -0.074813700, -0.196083200", \ - "0.0040540000, 0.0028749000, -7.88000e-05, -0.007575100, -0.026655200, -0.074878300, -0.196095800", \ - "0.0038810000, 0.0026990000, -0.000224700, -0.007784100, -0.026837200, -0.074981200, -0.196185800", \ - "0.0040056000, 0.0027954000, -0.000229900, -0.007961600, -0.027064600, -0.075195600, -0.196299400", \ - "0.0047189000, 0.0034374000, 0.0002717000, -0.007519600, -0.026839400, -0.075239200, -0.196381000"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0131846000, 0.0144174000, 0.0174988000, 0.0252033000, 0.0444784000, 0.0923580000, 0.2120264000", \ - "0.0130436000, 0.0142828000, 0.0173677000, 0.0251072000, 0.0444058000, 0.0921773000, 0.2119485000", \ - "0.0128815000, 0.0141155000, 0.0172245000, 0.0249813000, 0.0441903000, 0.0921006000, 0.2119081000", \ - "0.0126927000, 0.0139122000, 0.0170260000, 0.0248739000, 0.0441763000, 0.0921807000, 0.2118205000", \ - "0.0127113000, 0.0139749000, 0.0170439000, 0.0247843000, 0.0438500000, 0.0916433000, 0.2109098000", \ - "0.0132098000, 0.0144473000, 0.0175018000, 0.0250824000, 0.0440186000, 0.0916648000, 0.2105694000", \ - "0.0152802000, 0.0164377000, 0.0192977000, 0.0266187000, 0.0462404000, 0.0921753000, 0.2121036000"); - } - } - max_capacitance : 0.1245220000; - max_transition : 1.4955400000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0376158000, 0.0443032000, 0.0608772000, 0.1019825000, 0.2036166000, 0.4553360000, 1.0882606000", \ - "0.0405108000, 0.0474240000, 0.0640716000, 0.1048114000, 0.2078877000, 0.4603052000, 1.0922253000", \ - "0.0489402000, 0.0555174000, 0.0724115000, 0.1139417000, 0.2150740000, 0.4677938000, 1.1005228000", \ - "0.0661707000, 0.0750106000, 0.0940285000, 0.1341086000, 0.2356575000, 0.4888838000, 1.1216398000", \ - "0.0859427000, 0.0989831000, 0.1270206000, 0.1827956000, 0.2866150000, 0.5395698000, 1.1729341000", \ - "0.1011085000, 0.1201085000, 0.1619268000, 0.2443916000, 0.3895047000, 0.6553940000, 1.2885455000", \ - "0.0945083000, 0.1227385000, 0.1836422000, 0.3053895000, 0.5262458000, 0.8985496000, 1.5553173000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0333140000, 0.0387839000, 0.0520902000, 0.0843289000, 0.1640568000, 0.3662832000, 0.8627147000", \ - "0.0384392000, 0.0439535000, 0.0572193000, 0.0900474000, 0.1700749000, 0.3714378000, 0.8686598000", \ - "0.0515028000, 0.0569320000, 0.0702385000, 0.1028845000, 0.1831833000, 0.3827992000, 0.8814081000", \ - "0.0784353000, 0.0859189000, 0.1013946000, 0.1340957000, 0.2149728000, 0.4167127000, 0.9109572000", \ - "0.1205921000, 0.1324863000, 0.1576456000, 0.2047007000, 0.2872414000, 0.4866841000, 0.9849710000", \ - "0.1870239000, 0.2063293000, 0.2462639000, 0.3213755000, 0.4487207000, 0.6538889000, 1.1478276000", \ - "0.2968650000, 0.3256883000, 0.3874530000, 0.5054032000, 0.7102338000, 1.0340715000, 1.5469769000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0393056000, 0.0480962000, 0.0696740000, 0.1242628000, 0.2591227000, 0.5984760000, 1.4445395000", \ - "0.0388637000, 0.0478133000, 0.0696481000, 0.1234385000, 0.2595719000, 0.5976856000, 1.4448581000", \ - "0.0395252000, 0.0476852000, 0.0689772000, 0.1238772000, 0.2581751000, 0.5961422000, 1.4463429000", \ - "0.0510706000, 0.0589585000, 0.0767586000, 0.1251037000, 0.2594624000, 0.5963321000, 1.4446636000", \ - "0.0755253000, 0.0849430000, 0.1067977000, 0.1530195000, 0.2662068000, 0.5961008000, 1.4454326000", \ - "0.1199470000, 0.1338575000, 0.1631971000, 0.2222271000, 0.3380702000, 0.6190602000, 1.4444684000", \ - "0.1955844000, 0.2155240000, 0.2608865000, 0.3495551000, 0.4990950000, 0.7920194000, 1.4955402000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0288817000, 0.0361371000, 0.0539329000, 0.0995640000, 0.2131328000, 0.5019487000, 1.2094932000", \ - "0.0289285000, 0.0361677000, 0.0539604000, 0.0994459000, 0.2126731000, 0.5011725000, 1.2091524000", \ - "0.0303103000, 0.0367483000, 0.0541012000, 0.0994389000, 0.2122825000, 0.4977495000, 1.2053046000", \ - "0.0454420000, 0.0498768000, 0.0628528000, 0.1010196000, 0.2127708000, 0.5008317000, 1.2057988000", \ - "0.0758272000, 0.0827261000, 0.0985694000, 0.1306269000, 0.2205417000, 0.4966896000, 1.2146678000", \ - "0.1247311000, 0.1373566000, 0.1624555000, 0.2087877000, 0.2911202000, 0.5165225000, 1.2131496000", \ - "0.2057549000, 0.2253868000, 0.2664119000, 0.3446214000, 0.4710232000, 0.6823883000, 1.2537056000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0492486000, 0.0556947000, 0.0721029000, 0.1124600000, 0.2133689000, 0.4658435000, 1.0985823000", \ - "0.0525319000, 0.0592739000, 0.0759328000, 0.1169083000, 0.2194322000, 0.4696028000, 1.1022905000", \ - "0.0600724000, 0.0670542000, 0.0837898000, 0.1246836000, 0.2259222000, 0.4785761000, 1.1112681000", \ - "0.0756360000, 0.0837089000, 0.1024871000, 0.1441444000, 0.2463743000, 0.5016575000, 1.1343128000", \ - "0.0965616000, 0.1082665000, 0.1342288000, 0.1860034000, 0.2929004000, 0.5472066000, 1.1829406000", \ - "0.1116606000, 0.1299020000, 0.1692411000, 0.2460282000, 0.3873788000, 0.6555121000, 1.2912120000", \ - "0.0966787000, 0.1249287000, 0.1857919000, 0.3040858000, 0.5141874000, 0.8749002000, 1.5431553000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0459891000, 0.0518668000, 0.0659862000, 0.1008781000, 0.1873523000, 0.4033550000, 0.9442862000", \ - "0.0512036000, 0.0571382000, 0.0714784000, 0.1066306000, 0.1931022000, 0.4092852000, 0.9500808000", \ - "0.0641732000, 0.0700596000, 0.0841501000, 0.1194418000, 0.2064787000, 0.4224298000, 0.9632733000", \ - "0.0956015000, 0.1021066000, 0.1161071000, 0.1515309000, 0.2385687000, 0.4519742000, 0.9928998000", \ - "0.1505331000, 0.1606804000, 0.1824967000, 0.2253501000, 0.3125189000, 0.5280172000, 1.0685798000", \ - "0.2397997000, 0.2559083000, 0.2914941000, 0.3606570000, 0.4825333000, 0.7023909000, 1.2414967000", \ - "0.3871819000, 0.4121302000, 0.4680744000, 0.5787332000, 0.7754237000, 1.0948000000, 1.6454278000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0397169000, 0.0481506000, 0.0696721000, 0.1234327000, 0.2583977000, 0.5965825000, 1.4433171000", \ - "0.0397221000, 0.0482640000, 0.0698510000, 0.1236889000, 0.2597507000, 0.5983884000, 1.4495731000", \ - "0.0401739000, 0.0485112000, 0.0698188000, 0.1237364000, 0.2595404000, 0.5955462000, 1.4432427000", \ - "0.0488937000, 0.0559749000, 0.0746977000, 0.1251496000, 0.2586629000, 0.6018031000, 1.4497015000", \ - "0.0699887000, 0.0782854000, 0.0984257000, 0.1442579000, 0.2656053000, 0.5972184000, 1.4475923000", \ - "0.1137321000, 0.1246564000, 0.1497648000, 0.2023183000, 0.3175695000, 0.6118787000, 1.4453873000", \ - "0.1910932000, 0.2071285000, 0.2418882000, 0.3138542000, 0.4526244000, 0.7388448000, 1.4774649000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0389247000, 0.0466661000, 0.0659881000, 0.1144805000, 0.2360458000, 0.5404594000, 1.3069545000", \ - "0.0389056000, 0.0467079000, 0.0659264000, 0.1144309000, 0.2361649000, 0.5406595000, 1.3037768000", \ - "0.0388813000, 0.0466683000, 0.0660062000, 0.1146094000, 0.2369004000, 0.5422998000, 1.3050222000", \ - "0.0483564000, 0.0542518000, 0.0708068000, 0.1150402000, 0.2364180000, 0.5409098000, 1.3055701000", \ - "0.0800841000, 0.0872626000, 0.1029244000, 0.1372848000, 0.2402638000, 0.5413683000, 1.3104512000", \ - "0.1322598000, 0.1446138000, 0.1690449000, 0.2150913000, 0.3006310000, 0.5555174000, 1.3060715000", \ - "0.2187250000, 0.2382566000, 0.2778830000, 0.3551758000, 0.4816681000, 0.6929442000, 1.3334612000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0550275000, 0.0617658000, 0.0781572000, 0.1190589000, 0.2204551000, 0.4733738000, 1.1052764000", \ - "0.0586787000, 0.0654099000, 0.0820153000, 0.1223316000, 0.2252762000, 0.4761069000, 1.1081468000", \ - "0.0660437000, 0.0728834000, 0.0894846000, 0.1303443000, 0.2315510000, 0.4841769000, 1.1169592000", \ - "0.0802389000, 0.0877845000, 0.1056712000, 0.1470350000, 0.2487032000, 0.5015581000, 1.1453397000", \ - "0.1005832000, 0.1108030000, 0.1334339000, 0.1821437000, 0.2873042000, 0.5410644000, 1.1745228000", \ - "0.1169543000, 0.1327903000, 0.1672495000, 0.2351042000, 0.3641872000, 0.6319419000, 1.2664254000", \ - "0.1011846000, 0.1261893000, 0.1811456000, 0.2877029000, 0.4768112000, 0.8079625000, 1.4771147000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0524538000, 0.0583115000, 0.0725801000, 0.1078404000, 0.1946118000, 0.4101385000, 0.9495322000", \ - "0.0575020000, 0.0635243000, 0.0778849000, 0.1132422000, 0.2000141000, 0.4160076000, 0.9552010000", \ - "0.0705305000, 0.0764009000, 0.0909325000, 0.1262912000, 0.2133517000, 0.4287310000, 0.9686417000", \ - "0.1029369000, 0.1086119000, 0.1232411000, 0.1583575000, 0.2454497000, 0.4585791000, 0.9981589000", \ - "0.1638223000, 0.1728502000, 0.1930364000, 0.2335978000, 0.3179934000, 0.5330079000, 1.0716569000", \ - "0.2633456000, 0.2778212000, 0.3100832000, 0.3742420000, 0.4897060000, 0.7078755000, 1.2437928000", \ - "0.4255436000, 0.4481359000, 0.4976986000, 0.6016890000, 0.7913159000, 1.1017695000, 1.6492826000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0395984000, 0.0483459000, 0.0697578000, 0.1243540000, 0.2589861000, 0.5981931000, 1.4453220000", \ - "0.0397231000, 0.0483446000, 0.0699921000, 0.1234686000, 0.2596158000, 0.5967926000, 1.4486393000", \ - "0.0399238000, 0.0484537000, 0.0700384000, 0.1238071000, 0.2582911000, 0.5964511000, 1.4419568000", \ - "0.0460645000, 0.0537433000, 0.0731802000, 0.1247707000, 0.2585907000, 0.5959679000, 1.4507172000", \ - "0.0632175000, 0.0714103000, 0.0909735000, 0.1390684000, 0.2633314000, 0.5961899000, 1.4425272000", \ - "0.1034705000, 0.1132770000, 0.1355308000, 0.1855687000, 0.3027786000, 0.6097723000, 1.4437158000", \ - "0.1818065000, 0.1947429000, 0.2248745000, 0.2889468000, 0.4161527000, 0.7046699000, 1.4790486000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0463519000, 0.0541380000, 0.0734231000, 0.1218621000, 0.2439030000, 0.5474405000, 1.3089411000", \ - "0.0463596000, 0.0540515000, 0.0733770000, 0.1220056000, 0.2428325000, 0.5477644000, 1.3098427000", \ - "0.0461408000, 0.0538811000, 0.0734416000, 0.1217507000, 0.2433721000, 0.5475154000, 1.3116622000", \ - "0.0526094000, 0.0592524000, 0.0759375000, 0.1218479000, 0.2433594000, 0.5482865000, 1.3141029000", \ - "0.0829507000, 0.0902356000, 0.1051001000, 0.1400336000, 0.2471433000, 0.5475788000, 1.3109447000", \ - "0.1372848000, 0.1486620000, 0.1723741000, 0.2180959000, 0.3042809000, 0.5603280000, 1.3112733000", \ - "0.2253429000, 0.2436135000, 0.2823692000, 0.3571377000, 0.4832982000, 0.6955326000, 1.3376370000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0586162000, 0.0652303000, 0.0816343000, 0.1220263000, 0.2229160000, 0.4758324000, 1.1087713000", \ - "0.0622769000, 0.0690709000, 0.0856553000, 0.1260464000, 0.2269186000, 0.4798923000, 1.1137050000", \ - "0.0698582000, 0.0765723000, 0.0932197000, 0.1341453000, 0.2363503000, 0.4879500000, 1.1219942000", \ - "0.0841582000, 0.0914310000, 0.1086477000, 0.1499243000, 0.2531856000, 0.5044969000, 1.1377432000", \ - "0.1053215000, 0.1141715000, 0.1346551000, 0.1808117000, 0.2848373000, 0.5381752000, 1.1723217000", \ - "0.1267640000, 0.1404201000, 0.1695462000, 0.2294117000, 0.3515965000, 0.6144009000, 1.2515881000", \ - "0.1241974000, 0.1448544000, 0.1924863000, 0.2849458000, 0.4526263000, 0.7681035000, 1.4257986000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0505282000, 0.0560131000, 0.0693182000, 0.1011975000, 0.1791862000, 0.3710053000, 0.8496482000", \ - "0.0558933000, 0.0613653000, 0.0746765000, 0.1067251000, 0.1844575000, 0.3774465000, 0.8555400000", \ - "0.0693295000, 0.0748718000, 0.0881800000, 0.1199806000, 0.1978687000, 0.3899928000, 0.8674135000", \ - "0.1015580000, 0.1069126000, 0.1199653000, 0.1518307000, 0.2296206000, 0.4215322000, 0.8989517000", \ - "0.1615419000, 0.1699851000, 0.1883433000, 0.2247804000, 0.3016451000, 0.4927593000, 0.9701073000", \ - "0.2574858000, 0.2704071000, 0.2995370000, 0.3593382000, 0.4676873000, 0.6655385000, 1.1348902000", \ - "0.4102999000, 0.4301036000, 0.4753817000, 0.5694828000, 0.7453104000, 1.0392119000, 1.5334389000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0397234000, 0.0482797000, 0.0698100000, 0.1238679000, 0.2593124000, 0.5985906000, 1.4450195000", \ - "0.0396343000, 0.0483022000, 0.0698295000, 0.1236290000, 0.2580337000, 0.5969225000, 1.4459374000", \ - "0.0398084000, 0.0483490000, 0.0699097000, 0.1234663000, 0.2588924000, 0.5967773000, 1.4430033000", \ - "0.0435828000, 0.0513691000, 0.0715639000, 0.1244259000, 0.2601832000, 0.5973042000, 1.4438515000", \ - "0.0561428000, 0.0643137000, 0.0846759000, 0.1343543000, 0.2621714000, 0.5973164000, 1.4434682000", \ - "0.0897072000, 0.0987139000, 0.1195541000, 0.1696196000, 0.2930544000, 0.6076473000, 1.4466403000", \ - "0.1625361000, 0.1737476000, 0.1997691000, 0.2564819000, 0.3822337000, 0.6878326000, 1.4733832000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0479623000, 0.0550360000, 0.0722188000, 0.1152642000, 0.2236467000, 0.4943612000, 1.1741644000", \ - "0.0479350000, 0.0548859000, 0.0723047000, 0.1155621000, 0.2237779000, 0.4957095000, 1.1747043000", \ - "0.0472621000, 0.0543430000, 0.0719787000, 0.1153214000, 0.2236046000, 0.4952181000, 1.1741804000", \ - "0.0534581000, 0.0593867000, 0.0748940000, 0.1155690000, 0.2236775000, 0.4947780000, 1.1783978000", \ - "0.0837695000, 0.0900061000, 0.1040344000, 0.1370896000, 0.2296195000, 0.4953692000, 1.1760050000", \ - "0.1377132000, 0.1477985000, 0.1697714000, 0.2130449000, 0.2920052000, 0.5129832000, 1.1759866000", \ - "0.2259437000, 0.2430334000, 0.2799759000, 0.3508111000, 0.4711437000, 0.6676097000, 1.2192818000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand4_2") { - leakage_power () { - value : 0.0010585000; - when : "!A&!B&!C&D"; - } - leakage_power () { - value : 0.0099957000; - when : "!A&!B&!C&!D"; - } - leakage_power () { - value : 0.0010781000; - when : "!A&!B&C&D"; - } - leakage_power () { - value : 0.0010614000; - when : "!A&!B&C&!D"; - } - leakage_power () { - value : 7.7395257e-05; - when : "!A&B&!C&D"; - } - leakage_power () { - value : 0.0100941000; - when : "!A&B&!C&!D"; - } - leakage_power () { - value : 0.0008277000; - when : "!A&B&C&D"; - } - leakage_power () { - value : 7.9341868e-05; - when : "!A&B&C&!D"; - } - leakage_power () { - value : 8.7701913e-05; - when : "A&!B&!C&D"; - } - leakage_power () { - value : 0.0201798000; - when : "A&!B&!C&!D"; - } - leakage_power () { - value : 0.0006145000; - when : "A&!B&C&D"; - } - leakage_power () { - value : 0.0002807000; - when : "A&!B&C&!D"; - } - leakage_power () { - value : 0.0006039000; - when : "A&B&!C&D"; - } - leakage_power () { - value : 0.0299277000; - when : "A&B&!C&!D"; - } - leakage_power () { - value : 0.0068021000; - when : "A&B&C&D"; - } - leakage_power () { - value : 0.0005982000; - when : "A&B&C&!D"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__nand4"; - cell_leakage_power : 0.0052104240; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0042940000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042220000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091602000, 0.0091623000, 0.0091672000, 0.0091684000, 0.0091713000, 0.0091779000, 0.0091931000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006165000, -0.006165500, -0.006166600, -0.006147800, -0.006104600, -0.006005000, -0.005775300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0043670000; - } - pin ("B") { - capacitance : 0.0043790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0086550000, 0.0086519000, 0.0086447000, 0.0086788000, 0.0087574000, 0.0089385000, 0.0093559000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008059100, -0.008057200, -0.008052600, -0.008049200, -0.008041400, -0.008023400, -0.007981800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044840000; - } - pin ("C") { - capacitance : 0.0043170000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041500000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078261000, 0.0078263000, 0.0078268000, 0.0078280000, 0.0078306000, 0.0078366000, 0.0078505000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007841800, -0.007833700, -0.007815000, -0.007812900, -0.007808200, -0.007797100, -0.007771500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044840000; - } - pin ("D") { - capacitance : 0.0044380000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042170000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078787000, 0.0078745000, 0.0078648000, 0.0078662000, 0.0078694000, 0.0078768000, 0.0078937000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007863000, -0.007864400, -0.007867500, -0.007867400, -0.007867300, -0.007866800, -0.007865600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046590000; - } - pin ("Y") { - direction : "output"; - function : "(!A) | (!B) | (!C) | (!D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0085530000, 0.0072425000, 0.0035841000, -0.006520700, -0.034248300, -0.109764300, -0.314949800", \ - "0.0081480000, 0.0068601000, 0.0032717000, -0.006691500, -0.034342200, -0.109802600, -0.314968600", \ - "0.0076512000, 0.0064037000, 0.0028142000, -0.007040200, -0.034500200, -0.109900400, -0.314995600", \ - "0.0072239000, 0.0059242000, 0.0023610000, -0.007539900, -0.034874500, -0.110050300, -0.315084900", \ - "0.0071232000, 0.0057606000, 0.0020690000, -0.007907100, -0.035247600, -0.110340600, -0.315247900", \ - "0.0081849000, 0.0066920000, 0.0028111000, -0.007601000, -0.035467900, -0.110756400, -0.315538500", \ - "0.0106327000, 0.0091261000, 0.0049772000, -0.005921900, -0.034463500, -0.110611200, -0.315782500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0073373000, 0.0088769000, 0.0129110000, 0.0233442000, 0.0513245000, 0.1251966000, 0.3289749000", \ - "0.0069634000, 0.0085050000, 0.0125909000, 0.0231660000, 0.0513348000, 0.1253225000, 0.3308996000", \ - "0.0065828000, 0.0081163000, 0.0121305000, 0.0227785000, 0.0511266000, 0.1265899000, 0.3292714000", \ - "0.0062095000, 0.0076729000, 0.0117808000, 0.0222119000, 0.0504574000, 0.1258162000, 0.3302184000", \ - "0.0063315000, 0.0077057000, 0.0115019000, 0.0217597000, 0.0495795000, 0.1243904000, 0.3296111000", \ - "0.0067103000, 0.0080181000, 0.0116326000, 0.0222924000, 0.0496433000, 0.1241519000, 0.3273418000", \ - "0.0107555000, 0.0119833000, 0.0156987000, 0.0260185000, 0.0509267000, 0.1247612000, 0.3263663000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0108476000, 0.0094965000, 0.0058415000, -0.004313000, -0.032090700, -0.107627400, -0.312817200", \ - "0.0104853000, 0.0091765000, 0.0055641000, -0.004473800, -0.032158800, -0.107654700, -0.312823100", \ - "0.0100358000, 0.0087253000, 0.0051435000, -0.004789600, -0.032325300, -0.107728900, -0.312828200", \ - "0.0095329000, 0.0082274000, 0.0046318000, -0.005260100, -0.032652700, -0.107873200, -0.312907300", \ - "0.0093270000, 0.0079802000, 0.0043441000, -0.005656200, -0.033023000, -0.108099700, -0.312989200", \ - "0.0094293000, 0.0080282000, 0.0041399000, -0.006020100, -0.033536400, -0.108586900, -0.313271400", \ - "0.0105589000, 0.0090920000, 0.0051633000, -0.005264900, -0.033258700, -0.108819700, -0.313601000"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0149977000, 0.0164023000, 0.0202602000, 0.0305507000, 0.0581091000, 0.1327664000, 0.3350718000", \ - "0.0145544000, 0.0160633000, 0.0199291000, 0.0303205000, 0.0580841000, 0.1327028000, 0.3356209000", \ - "0.0140393000, 0.0154950000, 0.0194693000, 0.0300315000, 0.0578712000, 0.1328885000, 0.3353403000", \ - "0.0134758000, 0.0149653000, 0.0187970000, 0.0292695000, 0.0572990000, 0.1323171000, 0.3347016000", \ - "0.0131879000, 0.0146167000, 0.0184973000, 0.0288818000, 0.0568072000, 0.1314886000, 0.3349408000", \ - "0.0131015000, 0.0145066000, 0.0184571000, 0.0288504000, 0.0565392000, 0.1313199000, 0.3342752000", \ - "0.0162516000, 0.0163812000, 0.0198972000, 0.0316875000, 0.0568924000, 0.1311195000, 0.3326460000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0106636000, 0.0093461000, 0.0056172000, -0.004532600, -0.032296200, -0.107840500, -0.313027600", \ - "0.0103300000, 0.0090389000, 0.0053801000, -0.004664900, -0.032338100, -0.107862200, -0.312980900", \ - "0.0098646000, 0.0085487000, 0.0049694000, -0.004993000, -0.032542200, -0.107944800, -0.313121200", \ - "0.0093876000, 0.0080607000, 0.0044443000, -0.005445900, -0.032845700, -0.108096000, -0.313170900", \ - "0.0091253000, 0.0078071000, 0.0041637000, -0.005806600, -0.033219900, -0.108301500, -0.313215200", \ - "0.0091747000, 0.0078009000, 0.0041013000, -0.006231400, -0.033732800, -0.108783900, -0.313538600", \ - "0.0099593000, 0.0086133000, 0.0047043000, -0.005627300, -0.033493400, -0.109019000, -0.313835900"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0205977000, 0.0220174000, 0.0258136000, 0.0361523000, 0.0638073000, 0.1388472000, 0.3409526000", \ - "0.0202780000, 0.0217149000, 0.0255528000, 0.0359111000, 0.0636803000, 0.1383968000, 0.3411157000", \ - "0.0197807000, 0.0212213000, 0.0250588000, 0.0355439000, 0.0634257000, 0.1384102000, 0.3410154000", \ - "0.0193508000, 0.0207789000, 0.0246344000, 0.0351079000, 0.0630957000, 0.1380139000, 0.3412877000", \ - "0.0193098000, 0.0207225000, 0.0245504000, 0.0348922000, 0.0628882000, 0.1371439000, 0.3408287000", \ - "0.0199518000, 0.0213135000, 0.0250153000, 0.0351447000, 0.0627993000, 0.1372474000, 0.3404056000", \ - "0.0219198000, 0.0232204000, 0.0267600000, 0.0365226000, 0.0641555000, 0.1379914000, 0.3394645000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0105190000, 0.0091976000, 0.0054733000, -0.004677400, -0.032437300, -0.107971600, -0.313154600", \ - "0.0101768000, 0.0088569000, 0.0052180000, -0.004834900, -0.032498100, -0.108002400, -0.313197200", \ - "0.0097187000, 0.0083998000, 0.0048217000, -0.005129800, -0.032678200, -0.108075300, -0.313207600", \ - "0.0092545000, 0.0079216000, 0.0043175000, -0.005585200, -0.032987900, -0.108226200, -0.313264600", \ - "0.0090860000, 0.0077155000, 0.0040779000, -0.005953600, -0.033353900, -0.108466300, -0.313329100", \ - "0.0091162000, 0.0077291000, 0.0040037000, -0.006322900, -0.033839200, -0.108949500, -0.313690500", \ - "0.0100308000, 0.0085802000, 0.0047330000, -0.005636000, -0.033474300, -0.109066800, -0.313905100"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0260840000, 0.0274754000, 0.0312623000, 0.0416018000, 0.0695573000, 0.1445181000, 0.3473231000", \ - "0.0257787000, 0.0271264000, 0.0309294000, 0.0412988000, 0.0693169000, 0.1442883000, 0.3469877000", \ - "0.0253378000, 0.0267551000, 0.0305855000, 0.0409854000, 0.0689407000, 0.1441473000, 0.3469367000", \ - "0.0248924000, 0.0262923000, 0.0300969000, 0.0406261000, 0.0687179000, 0.1439690000, 0.3467344000", \ - "0.0248058000, 0.0262156000, 0.0300852000, 0.0404023000, 0.0687030000, 0.1428729000, 0.3451199000", \ - "0.0268214000, 0.0278746000, 0.0307284000, 0.0407534000, 0.0689948000, 0.1440895000, 0.3465110000", \ - "0.0287527000, 0.0300636000, 0.0336789000, 0.0433596000, 0.0707276000, 0.1451940000, 0.3457913000"); - } - } - max_capacitance : 0.2005100000; - max_transition : 1.4964450000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0366782000, 0.0412760000, 0.0537110000, 0.0866774000, 0.1751574000, 0.4144290000, 1.0633583000", \ - "0.0394225000, 0.0440703000, 0.0566713000, 0.0903481000, 0.1786850000, 0.4182443000, 1.0672749000", \ - "0.0481018000, 0.0524234000, 0.0645812000, 0.0981597000, 0.1871719000, 0.4272707000, 1.0767099000", \ - "0.0651100000, 0.0710510000, 0.0856196000, 0.1181946000, 0.2077714000, 0.4481660000, 1.0975219000", \ - "0.0834543000, 0.0923982000, 0.1142344000, 0.1615262000, 0.2559058000, 0.4960755000, 1.1461130000", \ - "0.0946543000, 0.1072628000, 0.1400645000, 0.2112962000, 0.3515067000, 0.6132501000, 1.2619270000", \ - "0.0757197000, 0.0954567000, 0.1435045000, 0.2495453000, 0.4598073000, 0.8371093000, 1.5319632000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0317665000, 0.0352914000, 0.0443316000, 0.0679715000, 0.1306637000, 0.3005094000, 0.7583619000", \ - "0.0370821000, 0.0404796000, 0.0497513000, 0.0734966000, 0.1365867000, 0.3059243000, 0.7642629000", \ - "0.0505602000, 0.0539438000, 0.0629881000, 0.0871303000, 0.1506850000, 0.3192173000, 0.7799161000", \ - "0.0775400000, 0.0825512000, 0.0945140000, 0.1183177000, 0.1816342000, 0.3513182000, 0.8138189000", \ - "0.1206598000, 0.1286415000, 0.1473462000, 0.1861400000, 0.2573938000, 0.4267686000, 0.8904164000", \ - "0.1901118000, 0.2029517000, 0.2336055000, 0.2969490000, 0.4093730000, 0.6009269000, 1.0542153000", \ - "0.3106276000, 0.3295035000, 0.3759633000, 0.4743197000, 0.6587836000, 0.9619255000, 1.4618328000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0429532000, 0.0491339000, 0.0661347000, 0.1116034000, 0.2312898000, 0.5574955000, 1.4425207000", \ - "0.0421144000, 0.0485594000, 0.0657901000, 0.1111716000, 0.2314579000, 0.5575140000, 1.4429763000", \ - "0.0423072000, 0.0483011000, 0.0646034000, 0.1105475000, 0.2312808000, 0.5574329000, 1.4430580000", \ - "0.0525964000, 0.0591953000, 0.0733235000, 0.1127013000, 0.2311306000, 0.5586993000, 1.4427132000", \ - "0.0754324000, 0.0822206000, 0.0998436000, 0.1415057000, 0.2428968000, 0.5581361000, 1.4436654000", \ - "0.1194462000, 0.1293919000, 0.1531542000, 0.2048581000, 0.3149463000, 0.5845833000, 1.4460027000", \ - "0.1945502000, 0.2094012000, 0.2435261000, 0.3191345000, 0.4708536000, 0.7554387000, 1.4964449000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0264735000, 0.0308362000, 0.0428600000, 0.0755694000, 0.1649060000, 0.4056626000, 1.0579534000", \ - "0.0263815000, 0.0309248000, 0.0428734000, 0.0754666000, 0.1647457000, 0.4044073000, 1.0652674000", \ - "0.0280672000, 0.0318855000, 0.0430121000, 0.0756165000, 0.1644186000, 0.4063691000, 1.0661618000", \ - "0.0429617000, 0.0458032000, 0.0537479000, 0.0797303000, 0.1645735000, 0.4053602000, 1.0633415000", \ - "0.0713585000, 0.0762157000, 0.0875991000, 0.1117204000, 0.1769853000, 0.4053828000, 1.0700733000", \ - "0.1192296000, 0.1271753000, 0.1456069000, 0.1842449000, 0.2551086000, 0.4324135000, 1.0594516000", \ - "0.1981238000, 0.2111374000, 0.2414885000, 0.3048228000, 0.4191621000, 0.6046418000, 1.1093976000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0545066000, 0.0591063000, 0.0717409000, 0.1047622000, 0.1928175000, 0.4320058000, 1.0811904000", \ - "0.0580063000, 0.0625719000, 0.0750924000, 0.1083496000, 0.1968844000, 0.4363585000, 1.0856557000", \ - "0.0658536000, 0.0704613000, 0.0832910000, 0.1167834000, 0.2058056000, 0.4459836000, 1.0977153000", \ - "0.0825312000, 0.0881322000, 0.1021869000, 0.1365164000, 0.2269525000, 0.4663328000, 1.1239902000", \ - "0.1056027000, 0.1135280000, 0.1333025000, 0.1766502000, 0.2730952000, 0.5148746000, 1.1702678000", \ - "0.1229615000, 0.1353399000, 0.1658351000, 0.2322358000, 0.3629301000, 0.6256723000, 1.2772852000", \ - "0.1065158000, 0.1260839000, 0.1738807000, 0.2780488000, 0.4787604000, 0.8373974000, 1.5353158000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0471125000, 0.0508341000, 0.0603569000, 0.0859784000, 0.1540763000, 0.3376577000, 0.8345794000", \ - "0.0521955000, 0.0561523000, 0.0657105000, 0.0915552000, 0.1598947000, 0.3437778000, 0.8403441000", \ - "0.0654646000, 0.0692507000, 0.0790056000, 0.1050264000, 0.1737537000, 0.3575886000, 0.8536471000", \ - "0.0973504000, 0.1014175000, 0.1110720000, 0.1371466000, 0.2056487000, 0.3874762000, 0.8849786000", \ - "0.1545861000, 0.1609918000, 0.1767465000, 0.2106450000, 0.2815190000, 0.4646323000, 0.9612862000", \ - "0.2483148000, 0.2585433000, 0.2837075000, 0.3391795000, 0.4461037000, 0.6417473000, 1.1375305000", \ - "0.4047358000, 0.4204081000, 0.4606291000, 0.5478082000, 0.7226669000, 1.0237046000, 1.5464038000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0440647000, 0.0499771000, 0.0667575000, 0.1114571000, 0.2311749000, 0.5583321000, 1.4422498000", \ - "0.0439922000, 0.0499766000, 0.0665161000, 0.1111107000, 0.2313162000, 0.5578393000, 1.4428441000", \ - "0.0441116000, 0.0501030000, 0.0665764000, 0.1110851000, 0.2316004000, 0.5577574000, 1.4470092000", \ - "0.0516781000, 0.0568100000, 0.0712597000, 0.1128913000, 0.2319216000, 0.5580406000, 1.4520523000", \ - "0.0722231000, 0.0782318000, 0.0942466000, 0.1329936000, 0.2386749000, 0.5585463000, 1.4458093000", \ - "0.1169619000, 0.1244351000, 0.1436798000, 0.1887260000, 0.2950397000, 0.5778475000, 1.4457131000", \ - "0.1983582000, 0.2090303000, 0.2363356000, 0.2984658000, 0.4295628000, 0.7088766000, 1.4822380000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0389948000, 0.0437027000, 0.0566624000, 0.0916064000, 0.1870752000, 0.4474287000, 1.1511981000", \ - "0.0391445000, 0.0437519000, 0.0566361000, 0.0916398000, 0.1870713000, 0.4465830000, 1.1470412000", \ - "0.0389036000, 0.0436870000, 0.0565796000, 0.0918775000, 0.1870881000, 0.4458153000, 1.1481938000", \ - "0.0472474000, 0.0509771000, 0.0615253000, 0.0928961000, 0.1871349000, 0.4458823000, 1.1520388000", \ - "0.0773657000, 0.0819011000, 0.0929842000, 0.1176618000, 0.1952134000, 0.4460406000, 1.1490559000", \ - "0.1295746000, 0.1370136000, 0.1546280000, 0.1920977000, 0.2632345000, 0.4656062000, 1.1484634000", \ - "0.2151169000, 0.2295362000, 0.2581614000, 0.3190590000, 0.4311387000, 0.6239766000, 1.1869591000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0625068000, 0.0673535000, 0.0794025000, 0.1122183000, 0.2007157000, 0.4401163000, 1.0892236000", \ - "0.0658927000, 0.0707974000, 0.0830510000, 0.1166104000, 0.2049658000, 0.4451196000, 1.0951519000", \ - "0.0729875000, 0.0779188000, 0.0905579000, 0.1238334000, 0.2127580000, 0.4535194000, 1.1032036000", \ - "0.0866100000, 0.0917703000, 0.1053821000, 0.1394620000, 0.2287828000, 0.4718548000, 1.1213304000", \ - "0.1069113000, 0.1139132000, 0.1302378000, 0.1701967000, 0.2640204000, 0.5072129000, 1.1551322000", \ - "0.1244450000, 0.1346920000, 0.1598133000, 0.2158674000, 0.3316669000, 0.5876895000, 1.2406443000", \ - "0.1070780000, 0.1235931000, 0.1640461000, 0.2528981000, 0.4250229000, 0.7492872000, 1.4335810000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0543250000, 0.0579306000, 0.0678549000, 0.0935668000, 0.1618389000, 0.3444326000, 0.8378334000", \ - "0.0596924000, 0.0633345000, 0.0733358000, 0.0991498000, 0.1676296000, 0.3504845000, 0.8428565000", \ - "0.0727626000, 0.0765411000, 0.0864096000, 0.1124466000, 0.1809766000, 0.3635938000, 0.8572557000", \ - "0.1053567000, 0.1089521000, 0.1190149000, 0.1450249000, 0.2134818000, 0.3961781000, 0.8871262000", \ - "0.1690990000, 0.1747340000, 0.1888305000, 0.2206266000, 0.2895498000, 0.4713653000, 0.9640799000", \ - "0.2733681000, 0.2820401000, 0.3042880000, 0.3554797000, 0.4576577000, 0.6483575000, 1.1399778000", \ - "0.4477455000, 0.4616528000, 0.4965557000, 0.5764776000, 0.7409658000, 1.0325178000, 1.5477610000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0438913000, 0.0501664000, 0.0664038000, 0.1111375000, 0.2312125000, 0.5574914000, 1.4424363000", \ - "0.0438977000, 0.0502863000, 0.0664288000, 0.1114236000, 0.2318208000, 0.5590470000, 1.4471272000", \ - "0.0442206000, 0.0504381000, 0.0666957000, 0.1110178000, 0.2314578000, 0.5584543000, 1.4474727000", \ - "0.0493883000, 0.0545551000, 0.0697500000, 0.1126035000, 0.2314713000, 0.5595230000, 1.4456271000", \ - "0.0641837000, 0.0700697000, 0.0850520000, 0.1263195000, 0.2372839000, 0.5594025000, 1.4450524000", \ - "0.1025680000, 0.1092482000, 0.1260673000, 0.1678045000, 0.2760297000, 0.5708013000, 1.4444945000", \ - "0.1804095000, 0.1890407000, 0.2110638000, 0.2652236000, 0.3804597000, 0.6674796000, 1.4698333000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0477080000, 0.0526671000, 0.0652868000, 0.1001475000, 0.1945264000, 0.4522595000, 1.1518083000", \ - "0.0476381000, 0.0525402000, 0.0653339000, 0.1003518000, 0.1953738000, 0.4524423000, 1.1503543000", \ - "0.0473016000, 0.0521683000, 0.0650994000, 0.0999441000, 0.1951925000, 0.4528780000, 1.1499781000", \ - "0.0526971000, 0.0568746000, 0.0679702000, 0.1005133000, 0.1951801000, 0.4522064000, 1.1523740000", \ - "0.0818613000, 0.0861673000, 0.0964637000, 0.1219721000, 0.2015574000, 0.4531747000, 1.1514782000", \ - "0.1354280000, 0.1415347000, 0.1584889000, 0.1938920000, 0.2657315000, 0.4717239000, 1.1520697000", \ - "0.2247706000, 0.2361755000, 0.2640337000, 0.3233540000, 0.4326982000, 0.6239551000, 1.1930901000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0677319000, 0.0725549000, 0.0846400000, 0.1175427000, 0.2058110000, 0.4450028000, 1.0942857000", \ - "0.0713914000, 0.0761985000, 0.0885749000, 0.1217183000, 0.2107867000, 0.4509869000, 1.1003994000", \ - "0.0789329000, 0.0835925000, 0.0962844000, 0.1296138000, 0.2186189000, 0.4581734000, 1.1074044000", \ - "0.0925260000, 0.0976578000, 0.1105358000, 0.1443194000, 0.2337110000, 0.4738886000, 1.1233381000", \ - "0.1124282000, 0.1180973000, 0.1332906000, 0.1707610000, 0.2629291000, 0.5035450000, 1.1613500000", \ - "0.1316841000, 0.1400582000, 0.1608712000, 0.2089429000, 0.3165659000, 0.5686469000, 1.2204113000", \ - "0.1195598000, 0.1345224000, 0.1675050000, 0.2428085000, 0.3936891000, 0.6942958000, 1.3698656000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0538659000, 0.0574204000, 0.0669538000, 0.0910846000, 0.1539632000, 0.3194362000, 0.7643600000", \ - "0.0591924000, 0.0627918000, 0.0722833000, 0.0964694000, 0.1592660000, 0.3247327000, 0.7707776000", \ - "0.0725605000, 0.0761578000, 0.0857295000, 0.1096694000, 0.1724866000, 0.3379039000, 0.7829423000", \ - "0.1051539000, 0.1085510000, 0.1177597000, 0.1419749000, 0.2047956000, 0.3704029000, 0.8149882000", \ - "0.1693535000, 0.1740401000, 0.1866901000, 0.2163438000, 0.2787661000, 0.4425063000, 0.8859636000", \ - "0.2741531000, 0.2818386000, 0.3002063000, 0.3463768000, 0.4399685000, 0.6167616000, 1.0575747000", \ - "0.4433827000, 0.4554862000, 0.4842652000, 0.5570386000, 0.7075722000, 0.9825970000, 1.4613897000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0439051000, 0.0502622000, 0.0665362000, 0.1110282000, 0.2311544000, 0.5578156000, 1.4453064000", \ - "0.0439010000, 0.0500921000, 0.0664181000, 0.1110255000, 0.2317397000, 0.5590778000, 1.4444043000", \ - "0.0440255000, 0.0501839000, 0.0665197000, 0.1110061000, 0.2317344000, 0.5572462000, 1.4422731000", \ - "0.0469592000, 0.0525615000, 0.0682031000, 0.1117457000, 0.2313343000, 0.5573699000, 1.4426799000", \ - "0.0576042000, 0.0633993000, 0.0789588000, 0.1211828000, 0.2352818000, 0.5602021000, 1.4523192000", \ - "0.0878556000, 0.0938872000, 0.1095479000, 0.1514060000, 0.2636069000, 0.5692105000, 1.4463195000", \ - "0.1582841000, 0.1658709000, 0.1850316000, 0.2316429000, 0.3461606000, 0.6419663000, 1.4686848000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0524934000, 0.0568454000, 0.0685997000, 0.1001693000, 0.1860271000, 0.4195835000, 1.0524670000", \ - "0.0521330000, 0.0567152000, 0.0684781000, 0.1000899000, 0.1859883000, 0.4191110000, 1.0558344000", \ - "0.0511931000, 0.0558256000, 0.0680611000, 0.0999513000, 0.1860482000, 0.4203849000, 1.0528148000", \ - "0.0555736000, 0.0594691000, 0.0700527000, 0.1001010000, 0.1858474000, 0.4201668000, 1.0549365000", \ - "0.0840169000, 0.0882938000, 0.0979927000, 0.1220017000, 0.1938866000, 0.4190611000, 1.0540490000", \ - "0.1375057000, 0.1435853000, 0.1603460000, 0.1934745000, 0.2630137000, 0.4442398000, 1.0547636000", \ - "0.2261995000, 0.2368595000, 0.2613054000, 0.3172750000, 0.4254616000, 0.6129977000, 1.1091730000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand4_4") { - leakage_power () { - value : 0.0167977000; - when : "!A&!B&!C&D"; - } - leakage_power () { - value : 0.0167972000; - when : "!A&!B&!C&!D"; - } - leakage_power () { - value : 0.0001765000; - when : "!A&!B&C&D"; - } - leakage_power () { - value : 0.0001012000; - when : "!A&!B&C&!D"; - } - leakage_power () { - value : 0.0001796000; - when : "!A&B&!C&D"; - } - leakage_power () { - value : 0.0001051000; - when : "!A&B&!C&!D"; - } - leakage_power () { - value : 0.0019163000; - when : "!A&B&C&D"; - } - leakage_power () { - value : 0.0001843000; - when : "!A&B&C&!D"; - } - leakage_power () { - value : 0.0001981000; - when : "A&!B&!C&D"; - } - leakage_power () { - value : 0.0001091000; - when : "A&!B&!C&!D"; - } - leakage_power () { - value : 0.0014939000; - when : "A&!B&C&D"; - } - leakage_power () { - value : 0.0002020000; - when : "A&!B&C&!D"; - } - leakage_power () { - value : 0.0014645000; - when : "A&B&!C&D"; - } - leakage_power () { - value : 0.0002237000; - when : "A&B&!C&!D"; - } - leakage_power () { - value : 0.0105515000; - when : "A&B&C&D"; - } - leakage_power () { - value : 0.0014670000; - when : "A&B&C&!D"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__nand4"; - cell_leakage_power : 0.0032479900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0084590000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0083150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0182239000, 0.0182852000, 0.0184264000, 0.0184119000, 0.0183786000, 0.0183016000, 0.0181242000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.012986800, -0.012976000, -0.012951000, -0.012918800, -0.012844700, -0.012673700, -0.012279600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0086040000; - } - pin ("B") { - capacitance : 0.0084720000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082470000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0173805000, 0.0173653000, 0.0173305000, 0.0173935000, 0.0175389000, 0.0178738000, 0.0186459000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015845200, -0.015836000, -0.015815000, -0.015810800, -0.015801200, -0.015778900, -0.015727600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0086960000; - } - pin ("C") { - capacitance : 0.0085330000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0156250000, 0.0156266000, 0.0156301000, 0.0156303000, 0.0156307000, 0.0156316000, 0.0156337000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015610200, -0.015606800, -0.015598800, -0.015594500, -0.015584600, -0.015561900, -0.015509500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0088780000; - } - pin ("D") { - capacitance : 0.0087390000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0157077000, 0.0156991000, 0.0156792000, 0.0156825000, 0.0156903000, 0.0157082000, 0.0157494000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015654100, -0.015659700, -0.015672500, -0.015670500, -0.015665900, -0.015655500, -0.015631300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092020000; - } - pin ("Y") { - direction : "output"; - function : "(!A) | (!B) | (!C) | (!D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000"); - values("0.0186030000, 0.0170370000, 0.0124358000, -0.001633200, -0.044553200, -0.173494900, -0.559542800", \ - "0.0177491000, 0.0162761000, 0.0117649000, -0.002091900, -0.044761300, -0.173593800, -0.559543000", \ - "0.0165783000, 0.0151106000, 0.0107011000, -0.002933900, -0.045243900, -0.173788700, -0.559582200", \ - "0.0156784000, 0.0141756000, 0.0097288000, -0.004058600, -0.046174400, -0.174300200, -0.559803200", \ - "0.0155492000, 0.0139650000, 0.0092610000, -0.004754400, -0.047018300, -0.175035600, -0.560206700", \ - "0.0171759000, 0.0154705000, 0.0106172000, -0.003960600, -0.047219800, -0.175686300, -0.560886300", \ - "0.0229424000, 0.0210998000, 0.0155070000, -9.55000e-05, -0.044499700, -0.174742500, -0.560929800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000"); - values("0.0140159000, 0.0158510000, 0.0211570000, 0.0362073000, 0.0792957000, 0.2076847000, 0.5882907000", \ - "0.0132190000, 0.0150592000, 0.0204067000, 0.0356714000, 0.0792600000, 0.2077523000, 0.5863967000", \ - "0.0123073000, 0.0141224000, 0.0193596000, 0.0346594000, 0.0786105000, 0.2062564000, 0.5889083000", \ - "0.0118642000, 0.0136303000, 0.0186093000, 0.0334509000, 0.0778607000, 0.2066729000, 0.5903794000", \ - "0.0119212000, 0.0135386000, 0.0183647000, 0.0330424000, 0.0761926000, 0.2066711000, 0.5851748000", \ - "0.0127122000, 0.0142293000, 0.0188276000, 0.0339861000, 0.0766944000, 0.2032347000, 0.5902992000", \ - "0.0219010000, 0.0206307000, 0.0248099000, 0.0402238000, 0.0817538000, 0.2065960000, 0.5862779000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000"); - values("0.0220777000, 0.0204876000, 0.0157842000, 0.0015510000, -0.041459600, -0.170487500, -0.556516600", \ - "0.0213333000, 0.0198920000, 0.0152493000, 0.0011976000, -0.041636400, -0.170541700, -0.556488100", \ - "0.0203947000, 0.0188965000, 0.0143745000, 0.0004848000, -0.041993100, -0.170656900, -0.556556300", \ - "0.0193535000, 0.0178673000, 0.0132940000, -0.000469500, -0.042687900, -0.171021200, -0.556673600", \ - "0.0189795000, 0.0173926000, 0.0127677000, -0.001221800, -0.043496700, -0.171489000, -0.556764300", \ - "0.0187839000, 0.0171246000, 0.0123499000, -0.001829600, -0.044503900, -0.172448200, -0.557404000", \ - "0.0215435000, 0.0197755000, 0.0147902000, -3.00000e-06, -0.043467700, -0.172771900, -0.558006500"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000"); - values("0.0281140000, 0.0297558000, 0.0347118000, 0.0492496000, 0.0921554000, 0.2199161000, 0.6001347000", \ - "0.0274511000, 0.0291415000, 0.0341624000, 0.0489121000, 0.0921846000, 0.2199866000, 0.6003756000", \ - "0.0263587000, 0.0281735000, 0.0331630000, 0.0481618000, 0.0915770000, 0.2196078000, 0.6011851000", \ - "0.0252572000, 0.0270670000, 0.0319664000, 0.0469176000, 0.0904634000, 0.2183960000, 0.6010176000", \ - "0.0246571000, 0.0263426000, 0.0313667000, 0.0460568000, 0.0897376000, 0.2173856000, 0.6008098000", \ - "0.0254584000, 0.0270093000, 0.0316935000, 0.0458470000, 0.0893974000, 0.2168787000, 0.5987188000", \ - "0.0304787000, 0.0331522000, 0.0343500000, 0.0501817000, 0.0926933000, 0.2155185000, 0.5959053000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000"); - values("0.0218047000, 0.0202398000, 0.0155529000, 0.0012084000, -0.041831800, -0.170855600, -0.556788400", \ - "0.0211840000, 0.0196713000, 0.0149985000, 0.0008696000, -0.041999000, -0.170923000, -0.556909700", \ - "0.0201740000, 0.0186807000, 0.0141241000, 0.0002420000, -0.042361700, -0.171102200, -0.556985100", \ - "0.0192233000, 0.0176839000, 0.0130869000, -0.000716400, -0.043008400, -0.171382800, -0.557166400", \ - "0.0186758000, 0.0171753000, 0.0124886000, -0.001448100, -0.043778400, -0.171794500, -0.557230800", \ - "0.0187194000, 0.0171936000, 0.0124139000, -0.002236900, -0.044775500, -0.172748500, -0.557733000", \ - "0.0203069000, 0.0186431000, 0.0136697000, -0.000916300, -0.044122200, -0.173260100, -0.558327800"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000"); - values("0.0403066000, 0.0419327000, 0.0468289000, 0.0613239000, 0.1044702000, 0.2322445000, 0.6138008000", \ - "0.0397094000, 0.0413489000, 0.0462730000, 0.0609067000, 0.1040309000, 0.2319618000, 0.6134357000", \ - "0.0387135000, 0.0403693000, 0.0453671000, 0.0601428000, 0.1035639000, 0.2315899000, 0.6129827000", \ - "0.0376617000, 0.0395313000, 0.0443535000, 0.0590728000, 0.1026803000, 0.2307591000, 0.6126902000", \ - "0.0376329000, 0.0392940000, 0.0442787000, 0.0588390000, 0.1023200000, 0.2307906000, 0.6122943000", \ - "0.0385033000, 0.0400442000, 0.0447379000, 0.0592341000, 0.1023321000, 0.2301129000, 0.6092007000", \ - "0.0420935000, 0.0437422000, 0.0482471000, 0.0617512000, 0.1038994000, 0.2306266000, 0.6105945000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000"); - values("0.0215637000, 0.0199817000, 0.0151932000, 0.0009185000, -0.042162100, -0.171155300, -0.557244900", \ - "0.0208993000, 0.0193653000, 0.0146752000, 0.0005654000, -0.042346800, -0.171279500, -0.557253000", \ - "0.0199021000, 0.0184326000, 0.0138601000, -7.71000e-05, -0.042658900, -0.171425600, -0.557322700", \ - "0.0189905000, 0.0174441000, 0.0128708000, -0.000981100, -0.043300400, -0.171716200, -0.557491100", \ - "0.0185800000, 0.0170227000, 0.0123749000, -0.001659700, -0.044011500, -0.172131500, -0.557625800", \ - "0.0186345000, 0.0170465000, 0.0122777000, -0.002104700, -0.044927100, -0.173096400, -0.558217600", \ - "0.0204040000, 0.0187338000, 0.0137973000, -0.000897300, -0.044114000, -0.173317200, -0.558573000"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014954950, 0.0044730120, 0.0133787300, 0.0400156700, 0.1196865000, 0.3579811000"); - values("0.0505226000, 0.0521053000, 0.0569047000, 0.0713579000, 0.1148573000, 0.2429654000, 0.6249235000", \ - "0.0498700000, 0.0515053000, 0.0562804000, 0.0709126000, 0.1143761000, 0.2425654000, 0.6239257000", \ - "0.0491379000, 0.0507624000, 0.0556031000, 0.0701663000, 0.1138153000, 0.2423749000, 0.6237191000", \ - "0.0482390000, 0.0498540000, 0.0547318000, 0.0694301000, 0.1131392000, 0.2415523000, 0.6234690000", \ - "0.0486304000, 0.0502364000, 0.0550297000, 0.0696924000, 0.1124403000, 0.2412978000, 0.6230694000", \ - "0.0502503000, 0.0518118000, 0.0565862000, 0.0707688000, 0.1137540000, 0.2416436000, 0.6219960000", \ - "0.0547124000, 0.0562051000, 0.0606972000, 0.0742861000, 0.1165083000, 0.2442691000, 0.6236484000"); - } - } - max_capacitance : 0.3579810000; - max_transition : 1.4971000000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0383248000, 0.0412170000, 0.0502259000, 0.0763721000, 0.1521192000, 0.3783246000, 1.0518199000", \ - "0.0409280000, 0.0439713000, 0.0530262000, 0.0795689000, 0.1562497000, 0.3839961000, 1.0562029000", \ - "0.0491333000, 0.0519686000, 0.0608142000, 0.0871435000, 0.1640941000, 0.3909202000, 1.0647678000", \ - "0.0661648000, 0.0699966000, 0.0809398000, 0.1074941000, 0.1847658000, 0.4131731000, 1.0869045000", \ - "0.0846683000, 0.0904326000, 0.1063797000, 0.1465356000, 0.2344251000, 0.4609907000, 1.1395664000", \ - "0.0951928000, 0.1038334000, 0.1274654000, 0.1867923000, 0.3172921000, 0.5769888000, 1.2556032000", \ - "0.0751527000, 0.0874035000, 0.1220142000, 0.2096603000, 0.4040361000, 0.7831524000, 1.5182311000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0320231000, 0.0342172000, 0.0407722000, 0.0591831000, 0.1119233000, 0.2688587000, 0.7346339000", \ - "0.0372808000, 0.0395164000, 0.0460518000, 0.0646804000, 0.1177196000, 0.2744474000, 0.7397638000", \ - "0.0506795000, 0.0528485000, 0.0592148000, 0.0780178000, 0.1307937000, 0.2878198000, 0.7528559000", \ - "0.0778445000, 0.0810338000, 0.0894054000, 0.1095882000, 0.1629745000, 0.3209841000, 0.7890347000", \ - "0.1208821000, 0.1260411000, 0.1395053000, 0.1723104000, 0.2377375000, 0.3946107000, 0.8587291000", \ - "0.1908684000, 0.1989702000, 0.2205514000, 0.2729200000, 0.3786590000, 0.5678089000, 1.0323331000", \ - "0.3128083000, 0.3246755000, 0.3573060000, 0.4376828000, 0.6045421000, 0.9110664000, 1.4357773000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0465908000, 0.0506948000, 0.0627696000, 0.0980039000, 0.2022073000, 0.5150299000, 1.4446551000", \ - "0.0459403000, 0.0501147000, 0.0622082000, 0.0978410000, 0.2030880000, 0.5152956000, 1.4435187000", \ - "0.0456785000, 0.0494798000, 0.0610608000, 0.0971547000, 0.2022802000, 0.5142787000, 1.4446755000", \ - "0.0568068000, 0.0608516000, 0.0705341000, 0.1013083000, 0.2014810000, 0.5143643000, 1.4456937000", \ - "0.0779018000, 0.0823986000, 0.0953350000, 0.1304455000, 0.2177257000, 0.5145317000, 1.4513617000", \ - "0.1224332000, 0.1290262000, 0.1454763000, 0.1893772000, 0.2904866000, 0.5497775000, 1.4490301000", \ - "0.1992094000, 0.2078732000, 0.2329899000, 0.2954795000, 0.4333107000, 0.7241588000, 1.4971004000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0269890000, 0.0298184000, 0.0379982000, 0.0627903000, 0.1366193000, 0.3594950000, 1.0246688000", \ - "0.0270209000, 0.0297435000, 0.0380144000, 0.0626669000, 0.1371527000, 0.3604161000, 1.0210832000", \ - "0.0285000000, 0.0308154000, 0.0382813000, 0.0627584000, 0.1367493000, 0.3578145000, 1.0233289000", \ - "0.0430854000, 0.0450419000, 0.0501162000, 0.0683148000, 0.1371154000, 0.3615489000, 1.0326445000", \ - "0.0712801000, 0.0744411000, 0.0825470000, 0.1025241000, 0.1540045000, 0.3595024000, 1.0200362000", \ - "0.1191396000, 0.1240185000, 0.1372889000, 0.1691132000, 0.2346934000, 0.3939952000, 1.0271434000", \ - "0.1972724000, 0.2056550000, 0.2273586000, 0.2791839000, 0.3862603000, 0.5751788000, 1.0792415000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0564232000, 0.0592972000, 0.0679257000, 0.0936411000, 0.1695033000, 0.3950422000, 1.0733350000", \ - "0.0592226000, 0.0626147000, 0.0714253000, 0.0975395000, 0.1736428000, 0.3996748000, 1.0733352000", \ - "0.0667824000, 0.0698247000, 0.0789806000, 0.1053322000, 0.1832331000, 0.4086647000, 1.0828047000", \ - "0.0820233000, 0.0856965000, 0.0961493000, 0.1236358000, 0.2014552000, 0.4279437000, 1.1095331000", \ - "0.1040514000, 0.1089479000, 0.1230334000, 0.1589021000, 0.2456726000, 0.4741236000, 1.1590279000", \ - "0.1180670000, 0.1260621000, 0.1478439000, 0.2029441000, 0.3225964000, 0.5792105000, 1.2568759000", \ - "0.0943839000, 0.1068383000, 0.1412613000, 0.2268518000, 0.4119000000, 0.7686818000, 1.5029664000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0494571000, 0.0518314000, 0.0586071000, 0.0788354000, 0.1377354000, 0.3117282000, 0.8302024000", \ - "0.0545690000, 0.0571105000, 0.0640539000, 0.0845347000, 0.1435140000, 0.3176506000, 0.8364252000", \ - "0.0676315000, 0.0700706000, 0.0769611000, 0.0976507000, 0.1572765000, 0.3315875000, 0.8500119000", \ - "0.0994617000, 0.1021278000, 0.1090072000, 0.1290798000, 0.1892663000, 0.3622175000, 0.8810899000", \ - "0.1574275000, 0.1615592000, 0.1726635000, 0.2008218000, 0.2643418000, 0.4382104000, 0.9590705000", \ - "0.2526361000, 0.2590287000, 0.2769087000, 0.3226133000, 0.4221757000, 0.6146514000, 1.1324321000", \ - "0.4146563000, 0.4234545000, 0.4515470000, 0.5239902000, 0.6816458000, 0.9840294000, 1.5378615000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0475955000, 0.0516306000, 0.0632936000, 0.0980462000, 0.2022046000, 0.5136244000, 1.4484471000", \ - "0.0474994000, 0.0514681000, 0.0632948000, 0.0982687000, 0.2024012000, 0.5151591000, 1.4444035000", \ - "0.0479012000, 0.0515960000, 0.0631903000, 0.0981167000, 0.2031312000, 0.5145391000, 1.4455008000", \ - "0.0551396000, 0.0584668000, 0.0686356000, 0.1008030000, 0.2027597000, 0.5140930000, 1.4492454000", \ - "0.0753073000, 0.0792231000, 0.0906304000, 0.1223639000, 0.2134811000, 0.5137601000, 1.4541939000", \ - "0.1193523000, 0.1244552000, 0.1384168000, 0.1754402000, 0.2689590000, 0.5384117000, 1.4453108000", \ - "0.2014291000, 0.2082447000, 0.2274552000, 0.2781693000, 0.3969077000, 0.6706690000, 1.4817925000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0405677000, 0.0436433000, 0.0528979000, 0.0803751000, 0.1630906000, 0.4097949000, 1.1458070000", \ - "0.0405957000, 0.0436456000, 0.0529711000, 0.0803546000, 0.1627089000, 0.4085547000, 1.1455072000", \ - "0.0405017000, 0.0436525000, 0.0528305000, 0.0804912000, 0.1626279000, 0.4088739000, 1.1471558000", \ - "0.0482658000, 0.0507814000, 0.0580445000, 0.0822674000, 0.1627850000, 0.4090896000, 1.1473397000", \ - "0.0777250000, 0.0806908000, 0.0891086000, 0.1091726000, 0.1739770000, 0.4085788000, 1.1492137000", \ - "0.1305172000, 0.1351136000, 0.1476630000, 0.1791698000, 0.2468423000, 0.4343444000, 1.1456480000", \ - "0.2149340000, 0.2227109000, 0.2452325000, 0.2961059000, 0.4021536000, 0.5994781000, 1.1820025000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0652890000, 0.0681994000, 0.0772449000, 0.1028491000, 0.1783361000, 0.4080239000, 1.0798369000", \ - "0.0686755000, 0.0717234000, 0.0804349000, 0.1063814000, 0.1827352000, 0.4087265000, 1.0826818000", \ - "0.0752996000, 0.0784901000, 0.0873877000, 0.1140676000, 0.1903404000, 0.4162143000, 1.0993739000", \ - "0.0879464000, 0.0913843000, 0.1012223000, 0.1283717000, 0.2055718000, 0.4318974000, 1.1080041000", \ - "0.1065578000, 0.1113923000, 0.1230420000, 0.1549371000, 0.2378476000, 0.4671782000, 1.1407296000", \ - "0.1208487000, 0.1281018000, 0.1453682000, 0.1913714000, 0.2955071000, 0.5427521000, 1.2253755000", \ - "0.0949631000, 0.1053537000, 0.1352848000, 0.2077879000, 0.3666922000, 0.6823452000, 1.3972768000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0588805000, 0.0613960000, 0.0687566000, 0.0893115000, 0.1496031000, 0.3258106000, 0.8489254000", \ - "0.0644227000, 0.0668696000, 0.0740525000, 0.0948002000, 0.1552635000, 0.3314635000, 0.8554013000", \ - "0.0773820000, 0.0799932000, 0.0873357000, 0.1083606000, 0.1688762000, 0.3453456000, 0.8694455000", \ - "0.1093876000, 0.1119298000, 0.1189918000, 0.1402438000, 0.1994954000, 0.3761177000, 0.8997130000", \ - "0.1746809000, 0.1776163000, 0.1881863000, 0.2137537000, 0.2760516000, 0.4523831000, 0.9754229000", \ - "0.2830987000, 0.2887965000, 0.3047029000, 0.3454793000, 0.4382564000, 0.6284473000, 1.1483676000", \ - "0.4641965000, 0.4722497000, 0.4968665000, 0.5613176000, 0.7101667000, 1.0020798000, 1.5569466000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0477103000, 0.0516366000, 0.0631245000, 0.0981748000, 0.2022045000, 0.5158033000, 1.4480550000", \ - "0.0476622000, 0.0515453000, 0.0632446000, 0.0979800000, 0.2031891000, 0.5146217000, 1.4448752000", \ - "0.0478032000, 0.0516728000, 0.0634169000, 0.0983092000, 0.2022283000, 0.5135656000, 1.4494973000", \ - "0.0526658000, 0.0562183000, 0.0668323000, 0.1000082000, 0.2025289000, 0.5136342000, 1.4467236000", \ - "0.0674148000, 0.0712040000, 0.0821461000, 0.1143053000, 0.2097198000, 0.5153352000, 1.4454734000", \ - "0.1060815000, 0.1100126000, 0.1222642000, 0.1560352000, 0.2486376000, 0.5296001000, 1.4492019000", \ - "0.1849770000, 0.1908465000, 0.2059091000, 0.2488781000, 0.3535762000, 0.6260367000, 1.4754215000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0516851000, 0.0546727000, 0.0641072000, 0.0922306000, 0.1756981000, 0.4267575000, 1.1786517000", \ - "0.0515366000, 0.0547869000, 0.0640353000, 0.0921322000, 0.1762265000, 0.4278311000, 1.1795757000", \ - "0.0512628000, 0.0544465000, 0.0639008000, 0.0921495000, 0.1763074000, 0.4267857000, 1.1779488000", \ - "0.0555242000, 0.0582411000, 0.0665978000, 0.0926970000, 0.1761127000, 0.4277127000, 1.1796075000", \ - "0.0836743000, 0.0873643000, 0.0944414000, 0.1154349000, 0.1848166000, 0.4278768000, 1.1826061000", \ - "0.1375031000, 0.1420333000, 0.1541808000, 0.1858552000, 0.2527233000, 0.4503838000, 1.1789381000", \ - "0.2273271000, 0.2348182000, 0.2553369000, 0.3042236000, 0.4090115000, 0.6099796000, 1.2176564000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0699904000, 0.0729105000, 0.0814610000, 0.1075825000, 0.1829296000, 0.4083222000, 1.0889757000", \ - "0.0732969000, 0.0763512000, 0.0850481000, 0.1115129000, 0.1871218000, 0.4127720000, 1.0866029000", \ - "0.0796682000, 0.0829070000, 0.0918910000, 0.1180824000, 0.1958487000, 0.4231515000, 1.0952482000", \ - "0.0917260000, 0.0949946000, 0.1042593000, 0.1308856000, 0.2084355000, 0.4343566000, 1.1107135000", \ - "0.1081683000, 0.1118881000, 0.1225397000, 0.1518666000, 0.2327760000, 0.4614814000, 1.1380267000", \ - "0.1214042000, 0.1266018000, 0.1411784000, 0.1795803000, 0.2739188000, 0.5150339000, 1.1938822000", \ - "0.0978673000, 0.1066714000, 0.1304459000, 0.1904859000, 0.3246467000, 0.6125376000, 1.3180546000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0591857000, 0.0615771000, 0.0685171000, 0.0884810000, 0.1445956000, 0.3061654000, 0.7826487000", \ - "0.0643185000, 0.0667922000, 0.0738800000, 0.0937000000, 0.1499404000, 0.3123845000, 0.7888840000", \ - "0.0774459000, 0.0798603000, 0.0868999000, 0.1068108000, 0.1631279000, 0.3248235000, 0.8009272000", \ - "0.1100781000, 0.1123780000, 0.1191995000, 0.1391314000, 0.1954645000, 0.3574258000, 0.8358731000", \ - "0.1766741000, 0.1800810000, 0.1891874000, 0.2130239000, 0.2698201000, 0.4312131000, 0.9091851000", \ - "0.2865642000, 0.2915498000, 0.3058855000, 0.3417643000, 0.4289881000, 0.6055874000, 1.0792164000", \ - "0.4686883000, 0.4762776000, 0.4977991000, 0.5552884000, 0.6910016000, 0.9666764000, 1.4822619000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0476257000, 0.0514888000, 0.0633135000, 0.0983604000, 0.2020957000, 0.5132398000, 1.4489897000", \ - "0.0476120000, 0.0515083000, 0.0633064000, 0.0983355000, 0.2025261000, 0.5137091000, 1.4435493000", \ - "0.0477677000, 0.0515824000, 0.0633988000, 0.0982325000, 0.2032239000, 0.5153836000, 1.4453997000", \ - "0.0505571000, 0.0541632000, 0.0651085000, 0.0990137000, 0.2029405000, 0.5136631000, 1.4472985000", \ - "0.0602490000, 0.0640152000, 0.0751794000, 0.1086941000, 0.2073316000, 0.5147535000, 1.4461722000", \ - "0.0893699000, 0.0930717000, 0.1039494000, 0.1364164000, 0.2344835000, 0.5271774000, 1.4469789000", \ - "0.1594051000, 0.1639729000, 0.1770822000, 0.2134494000, 0.3110788000, 0.5946446000, 1.4693026000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014955000, 0.0044730100, 0.0133787000, 0.0400157000, 0.1196860000, 0.3579810000"); - values("0.0581279000, 0.0611742000, 0.0697912000, 0.0958916000, 0.1733021000, 0.4052824000, 1.1035320000", \ - "0.0579230000, 0.0608157000, 0.0698022000, 0.0957365000, 0.1733129000, 0.4059695000, 1.1025553000", \ - "0.0569226000, 0.0600051000, 0.0691459000, 0.0955081000, 0.1730569000, 0.4052540000, 1.1019998000", \ - "0.0601238000, 0.0628157000, 0.0709048000, 0.0956330000, 0.1728382000, 0.4058907000, 1.1046422000", \ - "0.0880659000, 0.0908521000, 0.0986434000, 0.1185795000, 0.1821149000, 0.4053787000, 1.1041379000", \ - "0.1409855000, 0.1450918000, 0.1565868000, 0.1855604000, 0.2515229000, 0.4331570000, 1.1024696000", \ - "0.2302440000, 0.2369736000, 0.2556582000, 0.3021644000, 0.4020892000, 0.5989952000, 1.1520200000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand4b_1") { - leakage_power () { - value : 0.0004407000; - when : "!A_N&!B&!C&D"; - } - leakage_power () { - value : 0.0004210000; - when : "!A_N&!B&!C&!D"; - } - leakage_power () { - value : 0.0007688000; - when : "!A_N&!B&C&D"; - } - leakage_power () { - value : 0.0004375000; - when : "!A_N&!B&C&!D"; - } - leakage_power () { - value : 0.0007679000; - when : "!A_N&B&!C&D"; - } - leakage_power () { - value : 0.0004429000; - when : "!A_N&B&!C&!D"; - } - leakage_power () { - value : 0.0058889000; - when : "!A_N&B&C&D"; - } - leakage_power () { - value : 0.0007284000; - when : "!A_N&B&C&!D"; - } - leakage_power () { - value : 0.0007748000; - when : "A_N&!B&!C&D"; - } - leakage_power () { - value : 0.0007683000; - when : "A_N&!B&!C&!D"; - } - leakage_power () { - value : 0.0007931000; - when : "A_N&!B&C&D"; - } - leakage_power () { - value : 0.0007739000; - when : "A_N&!B&C&!D"; - } - leakage_power () { - value : 0.0007945000; - when : "A_N&B&!C&D"; - } - leakage_power () { - value : 0.0007750000; - when : "A_N&B&!C&!D"; - } - leakage_power () { - value : 0.0011304000; - when : "A_N&B&C&D"; - } - leakage_power () { - value : 0.0007914000; - when : "A_N&B&C&!D"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__nand4b"; - cell_leakage_power : 0.0010310960; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0013760000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013240000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0074480000, 0.0073602000, 0.0071579000, 0.0072032000, 0.0073076000, 0.0075483000, 0.0081030000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044888000, 0.0044338000, 0.0043068000, 0.0043438000, 0.0044290000, 0.0046256000, 0.0050787000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014270000; - } - pin ("B") { - capacitance : 0.0023110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022440000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045902000, 0.0045897000, 0.0045885000, 0.0045930000, 0.0046034000, 0.0046274000, 0.0046827000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004192200, -0.004193100, -0.004195300, -0.004184200, -0.004158400, -0.004099000, -0.003962000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023780000; - } - pin ("C") { - capacitance : 0.0023280000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022430000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041437000, 0.0041424000, 0.0041394000, 0.0041549000, 0.0041907000, 0.0042732000, 0.0044635000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004066000, -0.004060100, -0.004046400, -0.004045800, -0.004044400, -0.004041000, -0.004033400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024130000; - } - pin ("D") { - capacitance : 0.0023190000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022100000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040193000, 0.0040175000, 0.0040132000, 0.0040148000, 0.0040186000, 0.0040274000, 0.0040476000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004013700, -0.004012000, -0.004008100, -0.004008100, -0.004008200, -0.004008300, -0.004008700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024290000; - } - pin ("Y") { - direction : "output"; - function : "(A_N) | (!B) | (!C) | (!D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0066412000, 0.0055245000, 0.0026508000, -0.004809500, -0.023882900, -0.072186500, -0.193410100", \ - "0.0066074000, 0.0054654000, 0.0025887000, -0.004846700, -0.023954400, -0.072221100, -0.193480800", \ - "0.0066281000, 0.0054995000, 0.0026246000, -0.004836100, -0.023929600, -0.072180200, -0.193441400", \ - "0.0064369000, 0.0052992000, 0.0024093000, -0.005053400, -0.024135000, -0.072386200, -0.193627800", \ - "0.0063024000, 0.0051547000, 0.0022553000, -0.005344700, -0.024366200, -0.072554100, -0.193760300", \ - "0.0059327000, 0.0048182000, 0.0019434000, -0.005234400, -0.024325600, -0.072412600, -0.193544200", \ - "0.0064294000, 0.0051911000, 0.0019871000, -0.005601600, -0.024551100, -0.072668100, -0.193756600"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0046268000, 0.0059505000, 0.0091633000, 0.0169597000, 0.0361598000, 0.0840601000, 0.2040674000", \ - "0.0046093000, 0.0059544000, 0.0091754000, 0.0169733000, 0.0361824000, 0.0840752000, 0.2042197000", \ - "0.0045852000, 0.0059046000, 0.0091330000, 0.0169437000, 0.0361980000, 0.0842642000, 0.2039911000", \ - "0.0042798000, 0.0055558000, 0.0087559000, 0.0166193000, 0.0359600000, 0.0844600000, 0.2050132000", \ - "0.0040640000, 0.0053309000, 0.0084414000, 0.0162634000, 0.0356116000, 0.0841551000, 0.2025966000", \ - "0.0040259000, 0.0052908000, 0.0084748000, 0.0161092000, 0.0355472000, 0.0831718000, 0.2038271000", \ - "0.0043487000, 0.0055726000, 0.0086818000, 0.0163568000, 0.0356444000, 0.0839743000, 0.2028954000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0074995000, 0.0063372000, 0.0033226000, -0.004299400, -0.023547500, -0.071885100, -0.193184000", \ - "0.0073409000, 0.0061873000, 0.0032223000, -0.004363100, -0.023573400, -0.071911300, -0.193201800", \ - "0.0071153000, 0.0059772000, 0.0030535000, -0.004481700, -0.023627300, -0.071951100, -0.193205500", \ - "0.0068597000, 0.0057102000, 0.0027955000, -0.004695200, -0.023784400, -0.072022300, -0.193263700", \ - "0.0067092000, 0.0055422000, 0.0025596000, -0.004900300, -0.023939300, -0.072157200, -0.193295200", \ - "0.0069195000, 0.0057058000, 0.0026547000, -0.005129000, -0.024247400, -0.072363500, -0.193461600", \ - "0.0076733000, 0.0063899000, 0.0031945000, -0.004666800, -0.024146700, -0.072518900, -0.193672900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0058952000, 0.0071225000, 0.0101911000, 0.0178066000, 0.0368259000, 0.0845173000, 0.2042538000", \ - "0.0056978000, 0.0069599000, 0.0100660000, 0.0177411000, 0.0368358000, 0.0845160000, 0.2041632000", \ - "0.0054396000, 0.0066940000, 0.0098256000, 0.0175487000, 0.0366676000, 0.0845628000, 0.2042436000", \ - "0.0052361000, 0.0064306000, 0.0095247000, 0.0172708000, 0.0363144000, 0.0843305000, 0.2041537000", \ - "0.0052699000, 0.0064942000, 0.0095224000, 0.0171297000, 0.0362133000, 0.0837487000, 0.2033220000", \ - "0.0054325000, 0.0065924000, 0.0097941000, 0.0174426000, 0.0363317000, 0.0840773000, 0.2029446000", \ - "0.0067073000, 0.0078257000, 0.0106981000, 0.0179690000, 0.0369309000, 0.0840176000, 0.2032464000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0077242000, 0.0065330000, 0.0035280000, -0.004088600, -0.023361100, -0.071703200, -0.192983300", \ - "0.0075496000, 0.0064049000, 0.0034260000, -0.004155600, -0.023384800, -0.071715700, -0.193009700", \ - "0.0073070000, 0.0061702000, 0.0032359000, -0.004298700, -0.023462200, -0.071754200, -0.193046500", \ - "0.0070669000, 0.0059091000, 0.0029786000, -0.004504300, -0.023588900, -0.071816600, -0.193048300", \ - "0.0069047000, 0.0057185000, 0.0027673000, -0.004695400, -0.023793100, -0.071933800, -0.193102000", \ - "0.0070765000, 0.0058620000, 0.0028265000, -0.004914000, -0.023982800, -0.072116200, -0.193239500", \ - "0.0077088000, 0.0064318000, 0.0032663000, -0.004499000, -0.023851900, -0.072193300, -0.193326100"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0087485000, 0.0099726000, 0.0130316000, 0.0206796000, 0.0397160000, 0.0874691000, 0.2071652000", \ - "0.0085662000, 0.0097704000, 0.0128515000, 0.0205314000, 0.0396398000, 0.0874412000, 0.2071104000", \ - "0.0083531000, 0.0096021000, 0.0127119000, 0.0203312000, 0.0394457000, 0.0873592000, 0.2072210000", \ - "0.0080523000, 0.0092856000, 0.0123800000, 0.0201316000, 0.0391228000, 0.0870891000, 0.2069635000", \ - "0.0079720000, 0.0092075000, 0.0122487000, 0.0199153000, 0.0388235000, 0.0867064000, 0.2059639000", \ - "0.0083288000, 0.0096107000, 0.0127266000, 0.0205547000, 0.0392096000, 0.0871231000, 0.2066123000", \ - "0.0093751000, 0.0105123000, 0.0134038000, 0.0207234000, 0.0396401000, 0.0871485000, 0.2061693000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0076171000, 0.0064405000, 0.0034363000, -0.004185500, -0.023450000, -0.071789200, -0.193097600", \ - "0.0074689000, 0.0062963000, 0.0033425000, -0.004250800, -0.023475600, -0.071805900, -0.193087600", \ - "0.0072278000, 0.0060727000, 0.0031443000, -0.004374700, -0.023545400, -0.071836200, -0.193111600", \ - "0.0069787000, 0.0058317000, 0.0028994000, -0.004583900, -0.023661800, -0.071891900, -0.193152100", \ - "0.0068357000, 0.0056641000, 0.0027025000, -0.004833400, -0.023894600, -0.072017600, -0.193216800", \ - "0.0070153000, 0.0057978000, 0.0027663000, -0.004982600, -0.024067200, -0.072209100, -0.193307700", \ - "0.0076201000, 0.0063513000, 0.0031932000, -0.004538300, -0.023854000, -0.072264800, -0.193414400"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012541490, 0.0031457800, 0.0078905540, 0.0197918600, 0.0496438900, 0.1245217000"); - values("0.0109791000, 0.0122233000, 0.0152957000, 0.0229897000, 0.0421330000, 0.0899624000, 0.2097148000", \ - "0.0108381000, 0.0120624000, 0.0151510000, 0.0228659000, 0.0420583000, 0.0899004000, 0.2096094000", \ - "0.0106586000, 0.0119015000, 0.0149994000, 0.0227388000, 0.0419720000, 0.0897642000, 0.2095400000", \ - "0.0104887000, 0.0117153000, 0.0148174000, 0.0225872000, 0.0417626000, 0.0898448000, 0.2095700000", \ - "0.0104978000, 0.0117338000, 0.0147952000, 0.0224923000, 0.0413666000, 0.0892012000, 0.2086873000", \ - "0.0109336000, 0.0121691000, 0.0151786000, 0.0228199000, 0.0416810000, 0.0893413000, 0.2082375000", \ - "0.0126580000, 0.0138271000, 0.0166772000, 0.0240294000, 0.0433368000, 0.0904531000, 0.2092359000"); - } - } - max_capacitance : 0.1245220000; - max_transition : 1.4920960000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.1240825000, 0.1318752000, 0.1501627000, 0.1925199000, 0.2948201000, 0.5486759000, 1.1842732000", \ - "0.1291518000, 0.1368204000, 0.1551485000, 0.1975239000, 0.2997926000, 0.5534526000, 1.1893317000", \ - "0.1418629000, 0.1496519000, 0.1679225000, 0.2103246000, 0.3127004000, 0.5663613000, 1.2022504000", \ - "0.1737615000, 0.1814525000, 0.1996373000, 0.2421427000, 0.3446368000, 0.5983706000, 1.2346743000", \ - "0.2437547000, 0.2515219000, 0.2697189000, 0.3126661000, 0.4152453000, 0.6694326000, 1.3051231000", \ - "0.3642490000, 0.3731304000, 0.3926783000, 0.4360624000, 0.5388683000, 0.7930810000, 1.4286189000", \ - "0.5542242000, 0.5647362000, 0.5884412000, 0.6363362000, 0.7405009000, 0.9944406000, 1.6309106000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0681532000, 0.0739964000, 0.0877894000, 0.1206248000, 0.2008982000, 0.4016053000, 0.9040030000", \ - "0.0731245000, 0.0789013000, 0.0927062000, 0.1254645000, 0.2058900000, 0.4061623000, 0.9076859000", \ - "0.0842837000, 0.0900603000, 0.1037980000, 0.1366302000, 0.2177570000, 0.4188620000, 0.9184903000", \ - "0.1064923000, 0.1122658000, 0.1260005000, 0.1590184000, 0.2398026000, 0.4402586000, 0.9404415000", \ - "0.1376733000, 0.1436929000, 0.1575917000, 0.1910336000, 0.2716763000, 0.4725423000, 0.9741800000", \ - "0.1745144000, 0.1811783000, 0.1961802000, 0.2293978000, 0.3101729000, 0.5119514000, 1.0139263000", \ - "0.1990085000, 0.2078771000, 0.2269969000, 0.2628825000, 0.3429559000, 0.5432527000, 1.0468343000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0470699000, 0.0555757000, 0.0769165000, 0.1296769000, 0.2640740000, 0.6045477000, 1.4561121000", \ - "0.0469918000, 0.0555927000, 0.0767982000, 0.1295664000, 0.2645637000, 0.6033964000, 1.4561015000", \ - "0.0470461000, 0.0556215000, 0.0767806000, 0.1296645000, 0.2639274000, 0.6035825000, 1.4570846000", \ - "0.0470111000, 0.0556457000, 0.0769157000, 0.1295784000, 0.2648426000, 0.6039976000, 1.4560108000", \ - "0.0493060000, 0.0575748000, 0.0781065000, 0.1301027000, 0.2647133000, 0.6035878000, 1.4560815000", \ - "0.0584001000, 0.0662770000, 0.0860640000, 0.1356730000, 0.2657824000, 0.6048041000, 1.4579209000", \ - "0.0777159000, 0.0853108000, 0.1034092000, 0.1491955000, 0.2721575000, 0.6063822000, 1.4564016000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0319103000, 0.0389280000, 0.0565662000, 0.1013273000, 0.2149602000, 0.5031309000, 1.2240146000", \ - "0.0320246000, 0.0388625000, 0.0565864000, 0.1016121000, 0.2157002000, 0.5024577000, 1.2189530000", \ - "0.0319994000, 0.0390032000, 0.0565569000, 0.1014592000, 0.2165206000, 0.5020867000, 1.2129573000", \ - "0.0330468000, 0.0397380000, 0.0569935000, 0.1016562000, 0.2157719000, 0.5038139000, 1.2213032000", \ - "0.0364232000, 0.0426046000, 0.0590916000, 0.1025724000, 0.2147357000, 0.5018043000, 1.2169681000", \ - "0.0452271000, 0.0507895000, 0.0647846000, 0.1054675000, 0.2165089000, 0.4984389000, 1.2148705000", \ - "0.0629788000, 0.0682519000, 0.0809567000, 0.1161883000, 0.2189918000, 0.5041255000, 1.2135483000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0507679000, 0.0576291000, 0.0742226000, 0.1157749000, 0.2172597000, 0.4722754000, 1.1065278000", \ - "0.0542792000, 0.0610625000, 0.0780001000, 0.1191596000, 0.2214423000, 0.4742720000, 1.1120443000", \ - "0.0615549000, 0.0686173000, 0.0856845000, 0.1276398000, 0.2295730000, 0.4827821000, 1.1220674000", \ - "0.0764795000, 0.0847751000, 0.1035228000, 0.1458462000, 0.2485841000, 0.5053381000, 1.1387629000", \ - "0.0973075000, 0.1093707000, 0.1342871000, 0.1864427000, 0.2941225000, 0.5500163000, 1.1908858000", \ - "0.1122252000, 0.1304042000, 0.1695593000, 0.2465343000, 0.3858247000, 0.6563900000, 1.2963877000", \ - "0.0954034000, 0.1235547000, 0.1842822000, 0.3028753000, 0.5134276000, 0.8720615000, 1.5481402000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0455083000, 0.0514467000, 0.0659483000, 0.1014809000, 0.1894662000, 0.4088779000, 0.9583688000", \ - "0.0508379000, 0.0568249000, 0.0715312000, 0.1074274000, 0.1953855000, 0.4151820000, 0.9642457000", \ - "0.0640073000, 0.0699740000, 0.0845485000, 0.1202827000, 0.2085979000, 0.4281980000, 0.9775760000", \ - "0.0956195000, 0.1023943000, 0.1167002000, 0.1524944000, 0.2399869000, 0.4596275000, 1.0120251000", \ - "0.1520440000, 0.1622146000, 0.1840890000, 0.2271328000, 0.3151223000, 0.5331064000, 1.0821108000", \ - "0.2439151000, 0.2601831000, 0.2955821000, 0.3651086000, 0.4871997000, 0.7100462000, 1.2552440000", \ - "0.3966993000, 0.4216979000, 0.4766537000, 0.5880833000, 0.7856814000, 1.1045925000, 1.6627347000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0420021000, 0.0511136000, 0.0731998000, 0.1284833000, 0.2639856000, 0.6050891000, 1.4554258000", \ - "0.0420536000, 0.0510130000, 0.0731034000, 0.1279798000, 0.2642634000, 0.6032037000, 1.4613963000", \ - "0.0423661000, 0.0512499000, 0.0733550000, 0.1277998000, 0.2648597000, 0.6033392000, 1.4614809000", \ - "0.0501413000, 0.0579269000, 0.0772218000, 0.1289506000, 0.2637659000, 0.6048614000, 1.4549436000", \ - "0.0701936000, 0.0791386000, 0.0994967000, 0.1469214000, 0.2696249000, 0.6038013000, 1.4597967000", \ - "0.1135334000, 0.1247978000, 0.1502130000, 0.2047875000, 0.3186289000, 0.6189535000, 1.4580988000", \ - "0.1919801000, 0.2083531000, 0.2434533000, 0.3170545000, 0.4548932000, 0.7402377000, 1.4920961000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0415542000, 0.0494026000, 0.0689721000, 0.1182148000, 0.2416921000, 0.5516647000, 1.3294924000", \ - "0.0416398000, 0.0494959000, 0.0689703000, 0.1180341000, 0.2414375000, 0.5514263000, 1.3266294000", \ - "0.0415668000, 0.0493023000, 0.0690983000, 0.1183556000, 0.2411535000, 0.5511839000, 1.3273592000", \ - "0.0514427000, 0.0568038000, 0.0729367000, 0.1183530000, 0.2415712000, 0.5509120000, 1.3290788000", \ - "0.0829742000, 0.0900307000, 0.1055231000, 0.1397586000, 0.2454459000, 0.5508877000, 1.3316667000", \ - "0.1362698000, 0.1474584000, 0.1721114000, 0.2178951000, 0.3034960000, 0.5626856000, 1.3277969000", \ - "0.2231491000, 0.2418981000, 0.2822467000, 0.3577667000, 0.4823449000, 0.6991300000, 1.3532149000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0594497000, 0.0662586000, 0.0830392000, 0.1241487000, 0.2254797000, 0.4789906000, 1.1140711000", \ - "0.0629961000, 0.0699714000, 0.0869050000, 0.1281816000, 0.2299339000, 0.4838774000, 1.1186915000", \ - "0.0701691000, 0.0772014000, 0.0944153000, 0.1362810000, 0.2377654000, 0.4921892000, 1.1337680000", \ - "0.0838130000, 0.0914815000, 0.1096318000, 0.1515660000, 0.2541052000, 0.5080927000, 1.1436406000", \ - "0.1035033000, 0.1135166000, 0.1361078000, 0.1848908000, 0.2909106000, 0.5459594000, 1.1847182000", \ - "0.1194125000, 0.1349373000, 0.1688926000, 0.2365316000, 0.3684054000, 0.6337565000, 1.2715087000", \ - "0.1008467000, 0.1257101000, 0.1800892000, 0.2864814000, 0.4758500000, 0.8104284000, 1.4797938000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0545616000, 0.0606210000, 0.0753246000, 0.1112934000, 0.1998526000, 0.4200555000, 0.9709028000", \ - "0.0599620000, 0.0658817000, 0.0807440000, 0.1168162000, 0.2054311000, 0.4258760000, 0.9764070000", \ - "0.0730787000, 0.0791360000, 0.0939402000, 0.1299024000, 0.2187188000, 0.4390533000, 0.9894972000", \ - "0.1055097000, 0.1113992000, 0.1261186000, 0.1622157000, 0.2499585000, 0.4707356000, 1.0214490000", \ - "0.1683358000, 0.1772665000, 0.1972458000, 0.2380159000, 0.3237160000, 0.5431143000, 1.0943527000", \ - "0.2717318000, 0.2860612000, 0.3180265000, 0.3818556000, 0.4969213000, 0.7187145000, 1.2664048000", \ - "0.4416166000, 0.4640069000, 0.5126241000, 0.6168794000, 0.8055479000, 1.1189807000, 1.6756808000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0421744000, 0.0511617000, 0.0732532000, 0.1283618000, 0.2637906000, 0.6037303000, 1.4572611000", \ - "0.0422809000, 0.0513088000, 0.0732745000, 0.1283624000, 0.2638878000, 0.6044254000, 1.4583753000", \ - "0.0424436000, 0.0514025000, 0.0735526000, 0.1278972000, 0.2640621000, 0.6044451000, 1.4598581000", \ - "0.0475521000, 0.0553490000, 0.0761079000, 0.1289184000, 0.2636830000, 0.6043124000, 1.4551221000", \ - "0.0633472000, 0.0719569000, 0.0924693000, 0.1420704000, 0.2686759000, 0.6036405000, 1.4600250000", \ - "0.1032145000, 0.1129708000, 0.1360646000, 0.1872647000, 0.3083364000, 0.6164433000, 1.4556485000", \ - "0.1818025000, 0.1948491000, 0.2258078000, 0.2894077000, 0.4199048000, 0.7146708000, 1.4842712000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0509406000, 0.0587187000, 0.0780615000, 0.1270458000, 0.2505978000, 0.5616024000, 1.3409212000", \ - "0.0509791000, 0.0586288000, 0.0780526000, 0.1273826000, 0.2505791000, 0.5609089000, 1.3411324000", \ - "0.0506642000, 0.0583944000, 0.0779086000, 0.1270925000, 0.2505852000, 0.5609682000, 1.3414652000", \ - "0.0560796000, 0.0625673000, 0.0800810000, 0.1269865000, 0.2505861000, 0.5617677000, 1.3453157000", \ - "0.0870157000, 0.0937323000, 0.1077260000, 0.1440251000, 0.2536834000, 0.5612734000, 1.3433361000", \ - "0.1417194000, 0.1527197000, 0.1759331000, 0.2210835000, 0.3082484000, 0.5716596000, 1.3411281000", \ - "0.2314346000, 0.2494977000, 0.2868336000, 0.3617148000, 0.4874958000, 0.7060216000, 1.3674957000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0632274000, 0.0699450000, 0.0867299000, 0.1280241000, 0.2291987000, 0.4827174000, 1.1178289000", \ - "0.0667272000, 0.0738466000, 0.0908570000, 0.1318549000, 0.2337955000, 0.4876615000, 1.1280415000", \ - "0.0739524000, 0.0810741000, 0.0982668000, 0.1398581000, 0.2418506000, 0.4954972000, 1.1310305000", \ - "0.0875439000, 0.0949008000, 0.1125230000, 0.1544782000, 0.2572587000, 0.5119565000, 1.1472100000", \ - "0.1066945000, 0.1156093000, 0.1361643000, 0.1825876000, 0.2872166000, 0.5438775000, 1.1822839000", \ - "0.1251940000, 0.1377587000, 0.1666506000, 0.2262303000, 0.3489906000, 0.6131883000, 1.2532645000", \ - "0.1112211000, 0.1337523000, 0.1798794000, 0.2730582000, 0.4420030000, 0.7571331000, 1.4174604000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0547279000, 0.0606051000, 0.0742538000, 0.1079575000, 0.1896673000, 0.3918903000, 0.8968973000", \ - "0.0601857000, 0.0658955000, 0.0796990000, 0.1133646000, 0.1951400000, 0.3977911000, 0.9021996000", \ - "0.0736007000, 0.0794726000, 0.0934198000, 0.1270883000, 0.2080655000, 0.4101153000, 0.9148813000", \ - "0.1060084000, 0.1115379000, 0.1252585000, 0.1588338000, 0.2407089000, 0.4429125000, 0.9455592000", \ - "0.1699966000, 0.1780805000, 0.1963361000, 0.2341049000, 0.3131127000, 0.5145922000, 1.0179568000", \ - "0.2733817000, 0.2862374000, 0.3153345000, 0.3748185000, 0.4840710000, 0.6896453000, 1.1836502000", \ - "0.4409470000, 0.4587395000, 0.5043375000, 0.6007417000, 0.7787954000, 1.0746543000, 1.5922987000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0422652000, 0.0512247000, 0.0732226000, 0.1283628000, 0.2638167000, 0.6037099000, 1.4547338000", \ - "0.0423854000, 0.0512451000, 0.0736499000, 0.1283507000, 0.2638915000, 0.6043351000, 1.4603890000", \ - "0.0423394000, 0.0512951000, 0.0732736000, 0.1283693000, 0.2637623000, 0.6043213000, 1.4552787000", \ - "0.0454911000, 0.0537453000, 0.0749381000, 0.1284750000, 0.2643721000, 0.6047931000, 1.4563624000", \ - "0.0567806000, 0.0656325000, 0.0867020000, 0.1376413000, 0.2672405000, 0.6054957000, 1.4666502000", \ - "0.0891377000, 0.0984577000, 0.1203142000, 0.1720029000, 0.2973031000, 0.6139518000, 1.4583973000", \ - "0.1619079000, 0.1737912000, 0.2004742000, 0.2597243000, 0.3866814000, 0.6919406000, 1.4812342000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012541500, 0.0031457800, 0.0078905500, 0.0197919000, 0.0496439000, 0.1245220000"); - values("0.0535523000, 0.0604849000, 0.0785279000, 0.1232767000, 0.2361265000, 0.5214879000, 1.2392568000", \ - "0.0532857000, 0.0603637000, 0.0784378000, 0.1233618000, 0.2361541000, 0.5224906000, 1.2396978000", \ - "0.0528347000, 0.0600520000, 0.0781733000, 0.1232638000, 0.2365200000, 0.5217775000, 1.2392685000", \ - "0.0575109000, 0.0636541000, 0.0798196000, 0.1228705000, 0.2363880000, 0.5220949000, 1.2402156000", \ - "0.0874572000, 0.0937671000, 0.1070734000, 0.1406023000, 0.2407517000, 0.5218982000, 1.2389174000", \ - "0.1419635000, 0.1517327000, 0.1736740000, 0.2165307000, 0.2981844000, 0.5354218000, 1.2390589000", \ - "0.2317487000, 0.2485011000, 0.2833903000, 0.3549025000, 0.4767586000, 0.6834385000, 1.2736504000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand4b_2") { - leakage_power () { - value : 0.0003079000; - when : "!A_N&!B&!C&D"; - } - leakage_power () { - value : 0.0236149000; - when : "!A_N&!B&!C&!D"; - } - leakage_power () { - value : 0.0008188000; - when : "!A_N&!B&C&D"; - } - leakage_power () { - value : 0.0027055000; - when : "!A_N&!B&C&!D"; - } - leakage_power () { - value : 0.0008209000; - when : "!A_N&B&!C&D"; - } - leakage_power () { - value : 0.0333430000; - when : "!A_N&B&!C&!D"; - } - leakage_power () { - value : 0.0071410000; - when : "!A_N&B&C&D"; - } - leakage_power () { - value : 0.0008235000; - when : "!A_N&B&C&!D"; - } - leakage_power () { - value : 0.0024095000; - when : "A_N&!B&!C&D"; - } - leakage_power () { - value : 0.0155860000; - when : "A_N&!B&!C&!D"; - } - leakage_power () { - value : 0.0024389000; - when : "A_N&!B&C&D"; - } - leakage_power () { - value : 0.0155875000; - when : "A_N&!B&C&!D"; - } - leakage_power () { - value : 0.0024412000; - when : "A_N&B&!C&D"; - } - leakage_power () { - value : 0.0156779000; - when : "A_N&B&!C&!D"; - } - leakage_power () { - value : 0.0031248000; - when : "A_N&B&C&D"; - } - leakage_power () { - value : 0.0024441000; - when : "A_N&B&C&!D"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__nand4b"; - cell_leakage_power : 0.0080803330; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0015060000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0102045000, 0.0101102000, 0.0098929000, 0.0099387000, 0.0100442000, 0.0102872000, 0.0108476000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087581000, 0.0087043000, 0.0085802000, 0.0086149000, 0.0086950000, 0.0088795000, 0.0093051000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015630000; - } - pin ("B") { - capacitance : 0.0044400000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043210000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0088964000, 0.0088961000, 0.0088955000, 0.0089026000, 0.0089189000, 0.0089566000, 0.0090435000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008089800, -0.008083300, -0.008068200, -0.008059000, -0.008037900, -0.007989200, -0.007876900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045600000; - } - pin ("C") { - capacitance : 0.0044460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0081200000, 0.0081201000, 0.0081201000, 0.0081393000, 0.0081836000, 0.0082856000, 0.0085207000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008021700, -0.008009700, -0.007981900, -0.007980600, -0.007977400, -0.007970000, -0.007953000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046010000; - } - pin ("D") { - capacitance : 0.0044730000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042510000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080114000, 0.0080069000, 0.0079967000, 0.0080000000, 0.0080077000, 0.0080252000, 0.0080658000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007999200, -0.007995800, -0.007988000, -0.007986200, -0.007982000, -0.007972100, -0.007949300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046950000; - } - pin ("Y") { - direction : "output"; - function : "(A_N) | (!B) | (!C) | (!D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0116228000, 0.0103348000, 0.0067525000, -0.002994900, -0.030326200, -0.105606600, -0.310570900", \ - "0.0115238000, 0.0102324000, 0.0067791000, -0.003057800, -0.030354200, -0.105637700, -0.310590100", \ - "0.0115884000, 0.0102852000, 0.0067591000, -0.002964100, -0.030320500, -0.105547500, -0.310550700", \ - "0.0114040000, 0.0101256000, 0.0065476000, -0.003269800, -0.030682900, -0.105773100, -0.310864100", \ - "0.0110620000, 0.0097450000, 0.0061357000, -0.003753800, -0.031068800, -0.106173200, -0.311180700", \ - "0.0108752000, 0.0095410000, 0.0058860000, -0.004040200, -0.031434800, -0.106502300, -0.311371200", \ - "0.0115083000, 0.0101036000, 0.0065202000, -0.003672600, -0.031201900, -0.106263800, -0.310997300"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0067452000, 0.0082922000, 0.0124023000, 0.0231212000, 0.0511307000, 0.1260400000, 0.3271913000", \ - "0.0067548000, 0.0082988000, 0.0124138000, 0.0231423000, 0.0511486000, 0.1267610000, 0.3295385000", \ - "0.0067200000, 0.0082743000, 0.0123912000, 0.0231427000, 0.0511347000, 0.1262001000, 0.3298131000", \ - "0.0063814000, 0.0078996000, 0.0119196000, 0.0226241000, 0.0508174000, 0.1253534000, 0.3297560000", \ - "0.0060549000, 0.0075488000, 0.0115469000, 0.0221254000, 0.0500617000, 0.1254755000, 0.3302718000", \ - "0.0061743000, 0.0076250000, 0.0116094000, 0.0218617000, 0.0497940000, 0.1249389000, 0.3285566000", \ - "0.0065029000, 0.0079119000, 0.0117649000, 0.0221733000, 0.0500653000, 0.1245639000, 0.3267905000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0149982000, 0.0136297000, 0.0100137000, -8.44000e-05, -0.027820800, -0.103348200, -0.308538700", \ - "0.0145923000, 0.0133047000, 0.0097479000, -0.000250400, -0.027889300, -0.103384100, -0.308563300", \ - "0.0141315000, 0.0128617000, 0.0093194000, -0.000576700, -0.028076700, -0.103472400, -0.308594000", \ - "0.0136355000, 0.0123343000, 0.0087927000, -0.001049700, -0.028398600, -0.103635900, -0.308644700", \ - "0.0134728000, 0.0121425000, 0.0084962000, -0.001441900, -0.028773700, -0.103859400, -0.308757700", \ - "0.0134712000, 0.0120592000, 0.0083218000, -0.001826200, -0.029318800, -0.104358000, -0.309161800", \ - "0.0147333000, 0.0133059000, 0.0093681000, -0.001062900, -0.029111200, -0.104665400, -0.309456600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0109964000, 0.0123901000, 0.0161019000, 0.0262499000, 0.0538169000, 0.1284525000, 0.3307778000", \ - "0.0105832000, 0.0119989000, 0.0158235000, 0.0260044000, 0.0537491000, 0.1285251000, 0.3307777000", \ - "0.0100097000, 0.0114541000, 0.0153571000, 0.0256856000, 0.0534443000, 0.1283357000, 0.3307103000", \ - "0.0094393000, 0.0108680000, 0.0147453000, 0.0250324000, 0.0529399000, 0.1278424000, 0.3302183000", \ - "0.0090806000, 0.0104924000, 0.0143482000, 0.0246115000, 0.0524924000, 0.1270925000, 0.3297234000", \ - "0.0088695000, 0.0102380000, 0.0141343000, 0.0245815000, 0.0522712000, 0.1271108000, 0.3298983000", \ - "0.0114155000, 0.0127261000, 0.0165132000, 0.0274185000, 0.0529410000, 0.1265598000, 0.3286235000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0151201000, 0.0137955000, 0.0101342000, 1.610000e-05, -0.027720500, -0.103240100, -0.308446500", \ - "0.0147579000, 0.0134691000, 0.0098760000, -0.000136300, -0.027792400, -0.103258900, -0.308471400", \ - "0.0142794000, 0.0129828000, 0.0094499000, -0.000446100, -0.027964000, -0.103364800, -0.308492600", \ - "0.0137994000, 0.0124778000, 0.0089342000, -0.000897700, -0.028252800, -0.103524400, -0.308568300", \ - "0.0135881000, 0.0122306000, 0.0086718000, -0.001296700, -0.028648600, -0.103735000, -0.308665900", \ - "0.0137593000, 0.0124364000, 0.0086415000, -0.001706900, -0.029070500, -0.104157300, -0.308879200", \ - "0.0145468000, 0.0131391000, 0.0092700000, -0.001054400, -0.028918200, -0.104389300, -0.309250900"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0163886000, 0.0177514000, 0.0214997000, 0.0316529000, 0.0591992000, 0.1339943000, 0.3364477000", \ - "0.0160631000, 0.0174480000, 0.0212157000, 0.0314412000, 0.0590622000, 0.1337414000, 0.3363414000", \ - "0.0155562000, 0.0169515000, 0.0207572000, 0.0311174000, 0.0588893000, 0.1335662000, 0.3361527000", \ - "0.0150466000, 0.0164369000, 0.0202381000, 0.0305638000, 0.0583842000, 0.1330881000, 0.3361725000", \ - "0.0150249000, 0.0164445000, 0.0202697000, 0.0304478000, 0.0582346000, 0.1331436000, 0.3357606000", \ - "0.0148591000, 0.0162447000, 0.0202743000, 0.0305641000, 0.0580613000, 0.1328420000, 0.3340571000", \ - "0.0185361000, 0.0199288000, 0.0216727000, 0.0313141000, 0.0590141000, 0.1328910000, 0.3341779000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0148233000, 0.0135158000, 0.0098212000, -0.000281500, -0.028011700, -0.103536900, -0.308779600", \ - "0.0144923000, 0.0132147000, 0.0095858000, -0.000447500, -0.028108400, -0.103612000, -0.308792400", \ - "0.0140278000, 0.0127699000, 0.0092136000, -0.000704900, -0.028259700, -0.103677600, -0.308823600", \ - "0.0135598000, 0.0122736000, 0.0087214000, -0.001137100, -0.028521500, -0.103802900, -0.308873600", \ - "0.0134014000, 0.0120986000, 0.0084477000, -0.001506700, -0.028895300, -0.104010500, -0.308958700", \ - "0.0135564000, 0.0121878000, 0.0084713000, -0.001902200, -0.029375600, -0.104469900, -0.309229300", \ - "0.0143258000, 0.0128326000, 0.0090277000, -0.001310300, -0.029105500, -0.104687200, -0.309529500"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0219672000, 0.0233859000, 0.0271885000, 0.0374634000, 0.0653006000, 0.1400641000, 0.3429497000", \ - "0.0216286000, 0.0230470000, 0.0268457000, 0.0372051000, 0.0650040000, 0.1400704000, 0.3426823000", \ - "0.0212288000, 0.0226429000, 0.0264531000, 0.0368177000, 0.0645753000, 0.1397775000, 0.3426355000", \ - "0.0208210000, 0.0222478000, 0.0260939000, 0.0364929000, 0.0644357000, 0.1393346000, 0.3425480000", \ - "0.0208551000, 0.0222375000, 0.0260273000, 0.0363779000, 0.0639291000, 0.1392699000, 0.3419383000", \ - "0.0216989000, 0.0230545000, 0.0267724000, 0.0369469000, 0.0643965000, 0.1393201000, 0.3408932000", \ - "0.0238965000, 0.0252314000, 0.0287558000, 0.0385230000, 0.0659966000, 0.1403955000, 0.3411441000"); - } - } - max_capacitance : 0.2005100000; - max_transition : 1.4944930000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.1553981000, 0.1612445000, 0.1758721000, 0.2124360000, 0.3037050000, 0.5463500000, 1.2048530000", \ - "0.1601095000, 0.1659157000, 0.1809194000, 0.2171033000, 0.3085045000, 0.5511919000, 1.2094540000", \ - "0.1722165000, 0.1784220000, 0.1932706000, 0.2297214000, 0.3211410000, 0.5646673000, 1.2211789000", \ - "0.2029481000, 0.2087026000, 0.2234911000, 0.2599014000, 0.3515456000, 0.5948331000, 1.2555447000", \ - "0.2740642000, 0.2798050000, 0.2944892000, 0.3305752000, 0.4221279000, 0.6653979000, 1.3225244000", \ - "0.4029048000, 0.4094581000, 0.4255926000, 0.4635141000, 0.5558844000, 0.7988298000, 1.4546810000", \ - "0.5982098000, 0.6065228000, 0.6267596000, 0.6701465000, 0.7651930000, 1.0072972000, 1.6636621000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0857938000, 0.0897580000, 0.0997894000, 0.1242560000, 0.1862642000, 0.3522299000, 0.7973858000", \ - "0.0906703000, 0.0946479000, 0.1046238000, 0.1290524000, 0.1910147000, 0.3569592000, 0.8041354000", \ - "0.1022729000, 0.1062115000, 0.1162335000, 0.1407443000, 0.2029319000, 0.3684333000, 0.8167508000", \ - "0.1287192000, 0.1326524000, 0.1425677000, 0.1669339000, 0.2293398000, 0.3943154000, 0.8438026000", \ - "0.1749224000, 0.1790900000, 0.1894470000, 0.2145015000, 0.2766011000, 0.4424596000, 0.8885223000", \ - "0.2368547000, 0.2421692000, 0.2537986000, 0.2800995000, 0.3432911000, 0.5085412000, 0.9561826000", \ - "0.3081934000, 0.3146448000, 0.3298101000, 0.3617733000, 0.4274645000, 0.5919413000, 1.0384678000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0518733000, 0.0582659000, 0.0748682000, 0.1189158000, 0.2381917000, 0.5655402000, 1.4666136000", \ - "0.0520879000, 0.0582106000, 0.0750018000, 0.1190182000, 0.2381853000, 0.5655698000, 1.4667891000", \ - "0.0520418000, 0.0582724000, 0.0749860000, 0.1189794000, 0.2380089000, 0.5681811000, 1.4606543000", \ - "0.0520012000, 0.0583725000, 0.0748792000, 0.1189413000, 0.2381756000, 0.5664041000, 1.4678155000", \ - "0.0525602000, 0.0591738000, 0.0758562000, 0.1193629000, 0.2383168000, 0.5660430000, 1.4613133000", \ - "0.0625228000, 0.0684354000, 0.0843447000, 0.1263083000, 0.2408096000, 0.5670837000, 1.4608165000", \ - "0.0842246000, 0.0897337000, 0.1052216000, 0.1440952000, 0.2514278000, 0.5700886000, 1.4612846000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0316715000, 0.0356467000, 0.0464302000, 0.0767322000, 0.1621598000, 0.3981576000, 1.0346985000", \ - "0.0316861000, 0.0356397000, 0.0463698000, 0.0767909000, 0.1619852000, 0.3976072000, 1.0384769000", \ - "0.0317170000, 0.0356164000, 0.0464568000, 0.0767773000, 0.1622010000, 0.3964686000, 1.0378364000", \ - "0.0322127000, 0.0360950000, 0.0469126000, 0.0769945000, 0.1622646000, 0.3972812000, 1.0391039000", \ - "0.0367604000, 0.0402856000, 0.0502601000, 0.0793245000, 0.1625401000, 0.3989861000, 1.0348895000", \ - "0.0471376000, 0.0505670000, 0.0595002000, 0.0855673000, 0.1652717000, 0.3959268000, 1.0338211000", \ - "0.0664757000, 0.0702205000, 0.0788991000, 0.1033994000, 0.1731856000, 0.3996527000, 1.0315138000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0593714000, 0.0643623000, 0.0774420000, 0.1118940000, 0.2010871000, 0.4428123000, 1.0983376000", \ - "0.0627730000, 0.0677556000, 0.0811286000, 0.1158122000, 0.2056359000, 0.4469510000, 1.1021917000", \ - "0.0701020000, 0.0752498000, 0.0887334000, 0.1235790000, 0.2137708000, 0.4560308000, 1.1116502000", \ - "0.0850232000, 0.0908323000, 0.1056386000, 0.1411138000, 0.2324727000, 0.4766942000, 1.1306321000", \ - "0.1073408000, 0.1152864000, 0.1348971000, 0.1794193000, 0.2772967000, 0.5227947000, 1.1824479000", \ - "0.1249263000, 0.1376016000, 0.1682336000, 0.2354884000, 0.3670423000, 0.6319102000, 1.2915274000", \ - "0.1097908000, 0.1294603000, 0.1773258000, 0.2820229000, 0.4836172000, 0.8437220000, 1.5474819000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0446262000, 0.0482320000, 0.0577737000, 0.0831087000, 0.1500595000, 0.3298751000, 0.8144558000", \ - "0.0498189000, 0.0534944000, 0.0632217000, 0.0886949000, 0.1559153000, 0.3352028000, 0.8205586000", \ - "0.0630559000, 0.0667752000, 0.0764572000, 0.1021945000, 0.1696095000, 0.3498723000, 0.8350278000", \ - "0.0950714000, 0.0992030000, 0.1087697000, 0.1338568000, 0.2014245000, 0.3792080000, 0.8650367000", \ - "0.1510700000, 0.1575402000, 0.1730761000, 0.2074961000, 0.2775415000, 0.4568916000, 0.9441325000", \ - "0.2427896000, 0.2530868000, 0.2782678000, 0.3340601000, 0.4408130000, 0.6334860000, 1.1178501000", \ - "0.3967637000, 0.4125467000, 0.4518360000, 0.5403951000, 0.7132351000, 1.0115789000, 1.5262519000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0447626000, 0.0513225000, 0.0687414000, 0.1149485000, 0.2369009000, 0.5659414000, 1.4597612000", \ - "0.0448130000, 0.0512997000, 0.0688170000, 0.1150329000, 0.2372341000, 0.5660412000, 1.4604872000", \ - "0.0449667000, 0.0514579000, 0.0687910000, 0.1146885000, 0.2372846000, 0.5657912000, 1.4590450000", \ - "0.0514108000, 0.0570278000, 0.0726034000, 0.1160413000, 0.2368471000, 0.5673390000, 1.4624101000", \ - "0.0695948000, 0.0762428000, 0.0929952000, 0.1343769000, 0.2435384000, 0.5672591000, 1.4610223000", \ - "0.1124426000, 0.1207306000, 0.1414070000, 0.1890819000, 0.2965935000, 0.5853243000, 1.4607490000", \ - "0.1926787000, 0.2038079000, 0.2327659000, 0.2967858000, 0.4280296000, 0.7106910000, 1.4944931000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0395720000, 0.0443391000, 0.0566879000, 0.0908790000, 0.1838096000, 0.4373991000, 1.1252220000", \ - "0.0397237000, 0.0443159000, 0.0569370000, 0.0909342000, 0.1839105000, 0.4367506000, 1.1249736000", \ - "0.0395325000, 0.0441808000, 0.0568523000, 0.0909395000, 0.1840476000, 0.4372515000, 1.1292968000", \ - "0.0489142000, 0.0523293000, 0.0621891000, 0.0922472000, 0.1840836000, 0.4367581000, 1.1285901000", \ - "0.0793460000, 0.0836370000, 0.0939603000, 0.1173789000, 0.1924492000, 0.4371671000, 1.1288593000", \ - "0.1320204000, 0.1392265000, 0.1559316000, 0.1921253000, 0.2621166000, 0.4590708000, 1.1258130000", \ - "0.2166582000, 0.2289876000, 0.2577678000, 0.3180959000, 0.4288385000, 0.6180643000, 1.1679472000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0674150000, 0.0722635000, 0.0853935000, 0.1192886000, 0.2093923000, 0.4527355000, 1.1055075000", \ - "0.0707587000, 0.0757015000, 0.0889874000, 0.1233195000, 0.2134930000, 0.4562206000, 1.1101765000", \ - "0.0775201000, 0.0825764000, 0.0960553000, 0.1305455000, 0.2212517000, 0.4654066000, 1.1184205000", \ - "0.0903326000, 0.0957976000, 0.1098180000, 0.1447593000, 0.2362948000, 0.4781741000, 1.1344183000", \ - "0.1094312000, 0.1158276000, 0.1327754000, 0.1729008000, 0.2687066000, 0.5136738000, 1.1716386000", \ - "0.1260997000, 0.1372534000, 0.1612818000, 0.2170229000, 0.3352053000, 0.5925884000, 1.2552078000", \ - "0.1076093000, 0.1259321000, 0.1650045000, 0.2539511000, 0.4267637000, 0.7584764000, 1.4418211000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0529825000, 0.0565944000, 0.0663759000, 0.0919584000, 0.1595305000, 0.3399597000, 0.8285833000", \ - "0.0584499000, 0.0620936000, 0.0718952000, 0.0976084000, 0.1652582000, 0.3463048000, 0.8340994000", \ - "0.0717647000, 0.0754658000, 0.0853509000, 0.1112350000, 0.1791476000, 0.3598074000, 0.8477277000", \ - "0.1045834000, 0.1081194000, 0.1177728000, 0.1435578000, 0.2114729000, 0.3911690000, 0.8789982000", \ - "0.1678302000, 0.1734117000, 0.1872987000, 0.2183925000, 0.2872725000, 0.4675292000, 0.9555128000", \ - "0.2719695000, 0.2809436000, 0.3032660000, 0.3540309000, 0.4547751000, 0.6450463000, 1.1292518000", \ - "0.4465446000, 0.4604113000, 0.4941473000, 0.5751197000, 0.7390422000, 1.0296229000, 1.5407540000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0449066000, 0.0512517000, 0.0688374000, 0.1146348000, 0.2371962000, 0.5682315000, 1.4584408000", \ - "0.0449098000, 0.0513481000, 0.0688936000, 0.1147779000, 0.2373270000, 0.5682546000, 1.4615270000", \ - "0.0450612000, 0.0515668000, 0.0688650000, 0.1145503000, 0.2372307000, 0.5685536000, 1.4619436000", \ - "0.0494035000, 0.0550585000, 0.0714256000, 0.1156235000, 0.2375544000, 0.5660581000, 1.4592865000", \ - "0.0619685000, 0.0681398000, 0.0848634000, 0.1280859000, 0.2418740000, 0.5670437000, 1.4607952000", \ - "0.0983008000, 0.1055254000, 0.1235929000, 0.1673794000, 0.2787428000, 0.5794987000, 1.4625349000", \ - "0.1754334000, 0.1850881000, 0.2079730000, 0.2621720000, 0.3807157000, 0.6797743000, 1.4860233000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0500273000, 0.0546240000, 0.0669874000, 0.1009572000, 0.1944938000, 0.4492437000, 1.1451742000", \ - "0.0499000000, 0.0546300000, 0.0670795000, 0.1009339000, 0.1944949000, 0.4497133000, 1.1449710000", \ - "0.0495287000, 0.0543603000, 0.0669128000, 0.1009970000, 0.1942469000, 0.4498905000, 1.1443732000", \ - "0.0550633000, 0.0589057000, 0.0698114000, 0.1014056000, 0.1944335000, 0.4498359000, 1.1449973000", \ - "0.0845465000, 0.0892497000, 0.0993377000, 0.1227542000, 0.2012242000, 0.4498148000, 1.1450190000", \ - "0.1396324000, 0.1458021000, 0.1604116000, 0.1957317000, 0.2656788000, 0.4695333000, 1.1449102000", \ - "0.2273971000, 0.2384789000, 0.2667603000, 0.3242693000, 0.4318679000, 0.6226891000, 1.1846801000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0727472000, 0.0777779000, 0.0905804000, 0.1249621000, 0.2143018000, 0.4566198000, 1.1108084000", \ - "0.0765030000, 0.0815585000, 0.0948099000, 0.1289756000, 0.2191750000, 0.4604634000, 1.1163481000", \ - "0.0838752000, 0.0889586000, 0.1023495000, 0.1371964000, 0.2274917000, 0.4691346000, 1.1247210000", \ - "0.0974197000, 0.1026249000, 0.1162906000, 0.1513188000, 0.2424721000, 0.4868098000, 1.1400469000", \ - "0.1166342000, 0.1226314000, 0.1378201000, 0.1758438000, 0.2695726000, 0.5133984000, 1.1695341000", \ - "0.1352019000, 0.1434696000, 0.1646315000, 0.2126940000, 0.3210271000, 0.5753477000, 1.2329344000", \ - "0.1228733000, 0.1358856000, 0.1692664000, 0.2445196000, 0.3954637000, 0.6957550000, 1.3802891000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0570105000, 0.0607612000, 0.0704273000, 0.0956264000, 0.1608086000, 0.3329435000, 0.7963425000", \ - "0.0623120000, 0.0660607000, 0.0757323000, 0.1009287000, 0.1661822000, 0.3387914000, 0.8023990000", \ - "0.0754077000, 0.0791770000, 0.0888597000, 0.1141234000, 0.1794410000, 0.3519969000, 0.8154011000", \ - "0.1082357000, 0.1117821000, 0.1213590000, 0.1465808000, 0.2119619000, 0.3844007000, 0.8478226000", \ - "0.1749474000, 0.1800219000, 0.1927653000, 0.2218092000, 0.2866871000, 0.4587286000, 0.9217432000", \ - "0.2836224000, 0.2906584000, 0.3100280000, 0.3569815000, 0.4524331000, 0.6338023000, 1.0950881000", \ - "0.4608872000, 0.4735293000, 0.5044785000, 0.5769711000, 0.7280523000, 1.0085107000, 1.4985256000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0447649000, 0.0514815000, 0.0687466000, 0.1148315000, 0.2370370000, 0.5662428000, 1.4580983000", \ - "0.0448213000, 0.0513644000, 0.0688646000, 0.1148419000, 0.2372272000, 0.5660413000, 1.4582789000", \ - "0.0449498000, 0.0515462000, 0.0688107000, 0.1148720000, 0.2366047000, 0.5660366000, 1.4599982000", \ - "0.0472080000, 0.0533891000, 0.0701968000, 0.1151760000, 0.2374275000, 0.5684851000, 1.4583794000", \ - "0.0560342000, 0.0624673000, 0.0794495000, 0.1235154000, 0.2401005000, 0.5661586000, 1.4614965000", \ - "0.0833502000, 0.0898217000, 0.1072495000, 0.1517741000, 0.2668133000, 0.5772752000, 1.4606902000", \ - "0.1524309000, 0.1602096000, 0.1810598000, 0.2295035000, 0.3467029000, 0.6471383000, 1.4831922000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0588457000, 0.0630493000, 0.0750380000, 0.1074130000, 0.1964548000, 0.4417913000, 1.1112180000", \ - "0.0586736000, 0.0628331000, 0.0749301000, 0.1074896000, 0.1965809000, 0.4420038000, 1.1111666000", \ - "0.0572897000, 0.0620276000, 0.0743525000, 0.1070602000, 0.1967440000, 0.4413018000, 1.1127838000", \ - "0.0609331000, 0.0648197000, 0.0758996000, 0.1069476000, 0.1962516000, 0.4416833000, 1.1098168000", \ - "0.0890096000, 0.0931301000, 0.1027477000, 0.1269393000, 0.2025311000, 0.4414211000, 1.1106912000", \ - "0.1429660000, 0.1487483000, 0.1641043000, 0.1982234000, 0.2675420000, 0.4631224000, 1.1122521000", \ - "0.2328472000, 0.2434986000, 0.2690576000, 0.3237035000, 0.4307499000, 0.6229042000, 1.1551666000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand4b_4") { - leakage_power () { - value : 0.0003959000; - when : "!A_N&!B&!C&D"; - } - leakage_power () { - value : 0.0406719000; - when : "!A_N&!B&!C&!D"; - } - leakage_power () { - value : 0.0016850000; - when : "!A_N&!B&C&D"; - } - leakage_power () { - value : 0.0003949000; - when : "!A_N&!B&C&!D"; - } - leakage_power () { - value : 0.0016604000; - when : "!A_N&B&!C&D"; - } - leakage_power () { - value : 0.0602537000; - when : "!A_N&B&!C&!D"; - } - leakage_power () { - value : 0.0107621000; - when : "!A_N&B&C&D"; - } - leakage_power () { - value : 0.0016603000; - when : "!A_N&B&C&!D"; - } - leakage_power () { - value : 0.0105546000; - when : "A_N&!B&!C&D"; - } - leakage_power () { - value : 0.0304875000; - when : "A_N&!B&!C&!D"; - } - leakage_power () { - value : 0.0106304000; - when : "A_N&!B&C&D"; - } - leakage_power () { - value : 0.0105558000; - when : "A_N&!B&C&!D"; - } - leakage_power () { - value : 0.0106336000; - when : "A_N&B&!C&D"; - } - leakage_power () { - value : 0.0307067000; - when : "A_N&B&!C&!D"; - } - leakage_power () { - value : 0.0123665000; - when : "A_N&B&C&D"; - } - leakage_power () { - value : 0.0106382000; - when : "A_N&B&C&!D"; - } - area : 23.772800000; - cell_footprint : "sky130_fd_sc_hd__nand4b"; - cell_leakage_power : 0.0152536100; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0023740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0173525000, 0.0172317000, 0.0169534000, 0.0170858000, 0.0173912000, 0.0180952000, 0.0197179000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0175856000, 0.0174760000, 0.0172232000, 0.0173328000, 0.0175856000, 0.0181683000, 0.0195115000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024800000; - } - pin ("B") { - capacitance : 0.0085040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0169471000, 0.0169429000, 0.0169329000, 0.0169528000, 0.0169986000, 0.0171042000, 0.0173475000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015540200, -0.015544600, -0.015554700, -0.015523200, -0.015450300, -0.015282400, -0.014895200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087360000; - } - pin ("C") { - capacitance : 0.0086320000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0161104000, 0.0161101000, 0.0161094000, 0.0161715000, 0.0163147000, 0.0166448000, 0.0174056000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015802500, -0.015782200, -0.015735300, -0.015729200, -0.015715200, -0.015683000, -0.015608500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0089830000; - } - pin ("D") { - capacitance : 0.0087340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082770000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0157072000, 0.0157041000, 0.0156969000, 0.0156999000, 0.0157068000, 0.0157228000, 0.0157595000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015708700, -0.015698200, -0.015674200, -0.015674700, -0.015675900, -0.015678700, -0.015685000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091920000; - } - pin ("Y") { - direction : "output"; - function : "(A_N) | (!B) | (!C) | (!D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014999240, 0.0044995460, 0.0134979600, 0.0404918300, 0.1214694000, 0.3643898000"); - values("0.0253315000, 0.0237651000, 0.0192805000, 0.0054584000, -0.037290200, -0.167896300, -0.561146800", \ - "0.0252654000, 0.0237808000, 0.0191843000, 0.0055234000, -0.037264200, -0.167871700, -0.561049800", \ - "0.0253755000, 0.0236989000, 0.0192566000, 0.0054719000, -0.037314500, -0.167863200, -0.561117600", \ - "0.0248129000, 0.0233383000, 0.0188077000, 0.0048193000, -0.037952500, -0.168327600, -0.561542100", \ - "0.0240029000, 0.0224530000, 0.0178788000, 0.0038712000, -0.038837300, -0.169055400, -0.562017300", \ - "0.0235685000, 0.0220220000, 0.0173769000, 0.0033669000, -0.039580000, -0.169683000, -0.562398300", \ - "0.0249469000, 0.0238064000, 0.0177374000, 0.0034470000, -0.039477900, -0.168681900, -0.561434100"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014999240, 0.0044995460, 0.0134979600, 0.0404918300, 0.1214694000, 0.3643898000"); - values("0.0125918000, 0.0144238000, 0.0198429000, 0.0354397000, 0.0800787000, 0.2104518000, 0.6038223000", \ - "0.0126096000, 0.0144274000, 0.0198370000, 0.0354237000, 0.0798975000, 0.2106991000, 0.6006288000", \ - "0.0125262000, 0.0143919000, 0.0197775000, 0.0353854000, 0.0800175000, 0.2104455000, 0.6040728000", \ - "0.0118891000, 0.0136907000, 0.0190195000, 0.0346089000, 0.0793276000, 0.2104037000, 0.6019046000", \ - "0.0112396000, 0.0129826000, 0.0182404000, 0.0334539000, 0.0779118000, 0.2089305000, 0.5958062000", \ - "0.0116074000, 0.0132907000, 0.0179589000, 0.0328724000, 0.0768417000, 0.2074592000, 0.5989113000", \ - "0.0120581000, 0.0139964000, 0.0188025000, 0.0332451000, 0.0773113000, 0.2076910000, 0.5952115000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014999240, 0.0044995460, 0.0134979600, 0.0404918300, 0.1214694000, 0.3643898000"); - values("0.0307837000, 0.0293025000, 0.0245768000, 0.0102556000, -0.033284200, -0.164389800, -0.557846200", \ - "0.0301097000, 0.0286158000, 0.0241000000, 0.0099198000, -0.033427900, -0.164439200, -0.557900500", \ - "0.0292218000, 0.0277151000, 0.0232068000, 0.0092436000, -0.033809900, -0.164558000, -0.557909900", \ - "0.0281785000, 0.0266293000, 0.0220776000, 0.0082017000, -0.034526300, -0.164924300, -0.558072400", \ - "0.0276679000, 0.0261029000, 0.0214822000, 0.0073380000, -0.035447500, -0.165516000, -0.558346200", \ - "0.0276683000, 0.0261180000, 0.0212785000, 0.0068173000, -0.036581600, -0.166670900, -0.558931100", \ - "0.0301191000, 0.0283213000, 0.0232166000, 0.0081944000, -0.035855200, -0.167004300, -0.559924700"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014999240, 0.0044995460, 0.0134979600, 0.0404918300, 0.1214694000, 0.3643898000"); - values("0.0198977000, 0.0215257000, 0.0264110000, 0.0409180000, 0.0842580000, 0.2136485000, 0.6023022000", \ - "0.0191726000, 0.0208183000, 0.0258211000, 0.0405709000, 0.0842370000, 0.2140650000, 0.6020894000", \ - "0.0181017000, 0.0197978000, 0.0249487000, 0.0398110000, 0.0837291000, 0.2135677000, 0.6027380000", \ - "0.0170006000, 0.0186716000, 0.0237382000, 0.0387657000, 0.0826188000, 0.2123321000, 0.6021721000", \ - "0.0168491000, 0.0183397000, 0.0233050000, 0.0375039000, 0.0810869000, 0.2121617000, 0.6015690000", \ - "0.0174021000, 0.0189929000, 0.0237027000, 0.0381097000, 0.0817217000, 0.2122965000, 0.5985972000", \ - "0.0207590000, 0.0256807000, 0.0299666000, 0.0404487000, 0.0827583000, 0.2120782000, 0.5984618000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014999240, 0.0044995460, 0.0134979600, 0.0404918300, 0.1214694000, 0.3643898000"); - values("0.0312842000, 0.0297402000, 0.0250612000, 0.0106428000, -0.032951200, -0.164064000, -0.557694000", \ - "0.0305877000, 0.0290616000, 0.0245014000, 0.0102708000, -0.033122100, -0.164165800, -0.557622100", \ - "0.0295663000, 0.0280892000, 0.0235446000, 0.0095937000, -0.033546200, -0.164338200, -0.557736400", \ - "0.0285733000, 0.0270251000, 0.0224865000, 0.0086052000, -0.034194000, -0.164666200, -0.557791800", \ - "0.0281051000, 0.0265725000, 0.0219068000, 0.0078374000, -0.034981300, -0.165093800, -0.557995100", \ - "0.0285085000, 0.0269072000, 0.0221288000, 0.0072923000, -0.035755300, -0.166016700, -0.558550900", \ - "0.0299018000, 0.0281222000, 0.0231985000, 0.0084235000, -0.035387200, -0.166458100, -0.559191900"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014999240, 0.0044995460, 0.0134979600, 0.0404918300, 0.1214694000, 0.3643898000"); - values("0.0313106000, 0.0329328000, 0.0377955000, 0.0523240000, 0.0956892000, 0.2254624000, 0.6138771000", \ - "0.0306790000, 0.0323014000, 0.0371878000, 0.0518394000, 0.0955062000, 0.2251936000, 0.6136282000", \ - "0.0296349000, 0.0312984000, 0.0362773000, 0.0510629000, 0.0949247000, 0.2250232000, 0.6133794000", \ - "0.0287258000, 0.0304039000, 0.0354195000, 0.0499360000, 0.0939500000, 0.2238550000, 0.6132370000", \ - "0.0278815000, 0.0295226000, 0.0344086000, 0.0490120000, 0.0929084000, 0.2234747000, 0.6116581000", \ - "0.0287864000, 0.0303775000, 0.0351331000, 0.0496689000, 0.0931476000, 0.2231552000, 0.6095522000", \ - "0.0313059000, 0.0328213000, 0.0374301000, 0.0512220000, 0.0939352000, 0.2228235000, 0.6096827000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014999240, 0.0044995460, 0.0134979600, 0.0404918300, 0.1214694000, 0.3643898000"); - values("0.0308279000, 0.0292583000, 0.0245661000, 0.0101716000, -0.033430600, -0.164670000, -0.558077900", \ - "0.0301812000, 0.0286337000, 0.0240259000, 0.0098066000, -0.033611900, -0.164663100, -0.558140900", \ - "0.0291794000, 0.0277439000, 0.0231378000, 0.0091593000, -0.034005800, -0.164809800, -0.558251300", \ - "0.0282566000, 0.0267326000, 0.0221771000, 0.0082386000, -0.034618600, -0.165135600, -0.558303100", \ - "0.0278442000, 0.0263436000, 0.0216385000, 0.0074866000, -0.035398700, -0.165550600, -0.558423600", \ - "0.0283369000, 0.0267302000, 0.0219460000, 0.0072742000, -0.036090600, -0.166336600, -0.559086800", \ - "0.0298756000, 0.0281520000, 0.0231728000, 0.0084208000, -0.035407800, -0.166679400, -0.559433300"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014999240, 0.0044995460, 0.0134979600, 0.0404918300, 0.1214694000, 0.3643898000"); - values("0.0416487000, 0.0432393000, 0.0481428000, 0.0628640000, 0.1066911000, 0.2368774000, 0.6258627000", \ - "0.0409820000, 0.0426341000, 0.0474741000, 0.0622383000, 0.1061699000, 0.2363021000, 0.6248989000", \ - "0.0401822000, 0.0418130000, 0.0467883000, 0.0615606000, 0.1056134000, 0.2361308000, 0.6247535000", \ - "0.0393422000, 0.0410035000, 0.0459371000, 0.0608182000, 0.1049592000, 0.2352186000, 0.6248913000", \ - "0.0389707000, 0.0407129000, 0.0456589000, 0.0601004000, 0.1044327000, 0.2346231000, 0.6243789000", \ - "0.0418927000, 0.0434365000, 0.0469355000, 0.0611128000, 0.1056513000, 0.2356826000, 0.6236888000", \ - "0.0457137000, 0.0472265000, 0.0520024000, 0.0658216000, 0.1090715000, 0.2383330000, 0.6248739000"); - } - } - max_capacitance : 0.3643900000; - max_transition : 1.4977150000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.1316373000, 0.1350986000, 0.1451933000, 0.1731124000, 0.2518179000, 0.4820106000, 1.1651677000", \ - "0.1366805000, 0.1401592000, 0.1502372000, 0.1782948000, 0.2564738000, 0.4863834000, 1.1705059000", \ - "0.1493562000, 0.1528317000, 0.1628811000, 0.1909168000, 0.2694741000, 0.4992331000, 1.1827481000", \ - "0.1790705000, 0.1825694000, 0.1925295000, 0.2205046000, 0.2990532000, 0.5294034000, 1.2129313000", \ - "0.2459768000, 0.2494701000, 0.2594796000, 0.2873196000, 0.3657808000, 0.5958352000, 1.2847121000", \ - "0.3559739000, 0.3599414000, 0.3712801000, 0.4005351000, 0.4798187000, 0.7090736000, 1.3957845000", \ - "0.5174426000, 0.5224827000, 0.5352067000, 0.5697405000, 0.6512270000, 0.8815329000, 1.5650513000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0944386000, 0.0971363000, 0.1047374000, 0.1255054000, 0.1819055000, 0.3447631000, 0.8310987000", \ - "0.0990464000, 0.1017473000, 0.1094233000, 0.1300911000, 0.1863250000, 0.3492347000, 0.8367187000", \ - "0.1105630000, 0.1132616000, 0.1208612000, 0.1416149000, 0.1980652000, 0.3615560000, 0.8464643000", \ - "0.1368516000, 0.1395315000, 0.1470582000, 0.1676242000, 0.2240601000, 0.3879866000, 0.8748853000", \ - "0.1851386000, 0.1879190000, 0.1957417000, 0.2167183000, 0.2732243000, 0.4372989000, 0.9225876000", \ - "0.2504926000, 0.2537021000, 0.2625276000, 0.2851366000, 0.3424980000, 0.5065949000, 0.9910995000", \ - "0.3278555000, 0.3324565000, 0.3437863000, 0.3710356000, 0.4320897000, 0.5950507000, 1.0818699000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0508424000, 0.0549135000, 0.0671208000, 0.1021094000, 0.2060961000, 0.5205003000, 1.4617714000", \ - "0.0509239000, 0.0550104000, 0.0672066000, 0.1021005000, 0.2059238000, 0.5214187000, 1.4636898000", \ - "0.0509363000, 0.0550733000, 0.0672390000, 0.1021340000, 0.2059705000, 0.5210942000, 1.4613686000", \ - "0.0511002000, 0.0551525000, 0.0672328000, 0.1021450000, 0.2061179000, 0.5205544000, 1.4602346000", \ - "0.0527733000, 0.0566924000, 0.0685994000, 0.1029133000, 0.2060157000, 0.5208193000, 1.4646557000", \ - "0.0625104000, 0.0663076000, 0.0776422000, 0.1104603000, 0.2098906000, 0.5203952000, 1.4617888000", \ - "0.0835338000, 0.0870385000, 0.0976413000, 0.1280651000, 0.2202912000, 0.5232884000, 1.4627279000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0346482000, 0.0371246000, 0.0449921000, 0.0691488000, 0.1443484000, 0.3744123000, 1.0691648000", \ - "0.0346444000, 0.0372347000, 0.0450578000, 0.0690963000, 0.1441751000, 0.3751819000, 1.0698274000", \ - "0.0347120000, 0.0371962000, 0.0450095000, 0.0691385000, 0.1442711000, 0.3739711000, 1.0691592000", \ - "0.0350050000, 0.0375150000, 0.0452121000, 0.0692320000, 0.1442984000, 0.3742308000, 1.0680457000", \ - "0.0394556000, 0.0417469000, 0.0489058000, 0.0720124000, 0.1447789000, 0.3735811000, 1.0605499000", \ - "0.0504003000, 0.0525648000, 0.0600044000, 0.0796904000, 0.1483532000, 0.3732164000, 1.0684000000", \ - "0.0701560000, 0.0731961000, 0.0788633000, 0.0984077000, 0.1585870000, 0.3771045000, 1.0600042000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0600948000, 0.0633855000, 0.0725272000, 0.0988444000, 0.1762017000, 0.4039716000, 1.0879418000", \ - "0.0631673000, 0.0663711000, 0.0759434000, 0.1024641000, 0.1801673000, 0.4082897000, 1.0992316000", \ - "0.0700404000, 0.0732222000, 0.0827966000, 0.1101039000, 0.1878796000, 0.4192643000, 1.1004821000", \ - "0.0835400000, 0.0872918000, 0.0979312000, 0.1262306000, 0.2058959000, 0.4350542000, 1.1194852000", \ - "0.1035333000, 0.1085898000, 0.1230748000, 0.1593655000, 0.2474959000, 0.4802385000, 1.1656830000", \ - "0.1173365000, 0.1253753000, 0.1473637000, 0.2024528000, 0.3238907000, 0.5828940000, 1.2726467000", \ - "0.0937562000, 0.1060841000, 0.1404411000, 0.2267663000, 0.4135040000, 0.7754244000, 1.5177499000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0477010000, 0.0500908000, 0.0573622000, 0.0782041000, 0.1389054000, 0.3178106000, 0.8523370000", \ - "0.0528399000, 0.0554124000, 0.0626917000, 0.0838930000, 0.1448376000, 0.3245026000, 0.8612197000", \ - "0.0659275000, 0.0683840000, 0.0757261000, 0.0969891000, 0.1584356000, 0.3382388000, 0.8718383000", \ - "0.0980164000, 0.1006640000, 0.1079229000, 0.1287494000, 0.1888261000, 0.3684856000, 0.9032645000", \ - "0.1555665000, 0.1596914000, 0.1710797000, 0.2003335000, 0.2653523000, 0.4447799000, 0.9778921000", \ - "0.2506663000, 0.2572975000, 0.2757122000, 0.3218585000, 0.4236470000, 0.6197149000, 1.1519183000", \ - "0.4121503000, 0.4220624000, 0.4506025000, 0.5223844000, 0.6854315000, 0.9933860000, 1.5614199000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0472734000, 0.0515457000, 0.0636621000, 0.0997342000, 0.2054593000, 0.5195624000, 1.4612026000", \ - "0.0472975000, 0.0514284000, 0.0638676000, 0.0996153000, 0.2056502000, 0.5203252000, 1.4654954000", \ - "0.0475387000, 0.0516639000, 0.0639301000, 0.0999549000, 0.2058761000, 0.5220380000, 1.4612046000", \ - "0.0542101000, 0.0579260000, 0.0685043000, 0.1021664000, 0.2059522000, 0.5200360000, 1.4623465000", \ - "0.0723386000, 0.0764899000, 0.0883944000, 0.1221186000, 0.2149478000, 0.5212399000, 1.4629031000", \ - "0.1155140000, 0.1205562000, 0.1350910000, 0.1738674000, 0.2697490000, 0.5432476000, 1.4601141000", \ - "0.1967374000, 0.2038838000, 0.2243376000, 0.2762731000, 0.3954499000, 0.6784527000, 1.4977153000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0428053000, 0.0458657000, 0.0553075000, 0.0826730000, 0.1664331000, 0.4180367000, 1.1735427000", \ - "0.0430643000, 0.0461307000, 0.0552961000, 0.0829064000, 0.1663018000, 0.4181328000, 1.1739173000", \ - "0.0427698000, 0.0459024000, 0.0552644000, 0.0828846000, 0.1664335000, 0.4174344000, 1.1718903000", \ - "0.0508797000, 0.0531843000, 0.0606103000, 0.0848991000, 0.1664483000, 0.4184067000, 1.1724401000", \ - "0.0816343000, 0.0845380000, 0.0925688000, 0.1115134000, 0.1771795000, 0.4173476000, 1.1739150000", \ - "0.1337967000, 0.1383055000, 0.1509399000, 0.1824041000, 0.2495762000, 0.4407866000, 1.1753984000", \ - "0.2188382000, 0.2257926000, 0.2475661000, 0.3003859000, 0.4051514000, 0.6054389000, 1.2104360000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0699235000, 0.0729505000, 0.0821198000, 0.1085678000, 0.1851953000, 0.4133089000, 1.1019632000", \ - "0.0730256000, 0.0761478000, 0.0856663000, 0.1122947000, 0.1892252000, 0.4176576000, 1.1023664000", \ - "0.0796376000, 0.0828017000, 0.0923114000, 0.1195257000, 0.1972395000, 0.4284842000, 1.1097659000", \ - "0.0917349000, 0.0952217000, 0.1051754000, 0.1327942000, 0.2109624000, 0.4407297000, 1.1244683000", \ - "0.1088344000, 0.1132518000, 0.1250551000, 0.1575040000, 0.2417171000, 0.4724587000, 1.1634811000", \ - "0.1222702000, 0.1286753000, 0.1470818000, 0.1927251000, 0.2986525000, 0.5480302000, 1.2351848000", \ - "0.0956967000, 0.1064965000, 0.1358451000, 0.2088434000, 0.3687109000, 0.6923108000, 1.4165994000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0590119000, 0.0613651000, 0.0687209000, 0.0897510000, 0.1508855000, 0.3304286000, 0.8661720000", \ - "0.0642260000, 0.0666972000, 0.0740399000, 0.0952192000, 0.1564714000, 0.3359973000, 0.8713516000", \ - "0.0772174000, 0.0797270000, 0.0871003000, 0.1085362000, 0.1700639000, 0.3503207000, 0.8853473000", \ - "0.1094229000, 0.1118268000, 0.1189895000, 0.1399189000, 0.2020989000, 0.3803762000, 0.9157540000", \ - "0.1744916000, 0.1780290000, 0.1881802000, 0.2143943000, 0.2772099000, 0.4571786000, 0.9892461000", \ - "0.2822765000, 0.2880615000, 0.3042217000, 0.3463515000, 0.4397905000, 0.6328782000, 1.1651745000", \ - "0.4636513000, 0.4723719000, 0.4970208000, 0.5625126000, 0.7131796000, 1.0094230000, 1.5733432000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0473161000, 0.0514047000, 0.0638784000, 0.0999989000, 0.2051367000, 0.5201918000, 1.4648115000", \ - "0.0473525000, 0.0514639000, 0.0639310000, 0.0997455000, 0.2058096000, 0.5206992000, 1.4653754000", \ - "0.0474766000, 0.0516239000, 0.0637518000, 0.0999827000, 0.2056092000, 0.5221641000, 1.4617554000", \ - "0.0517130000, 0.0555292000, 0.0668269000, 0.1011352000, 0.2055060000, 0.5200451000, 1.4610623000", \ - "0.0648631000, 0.0685211000, 0.0801584000, 0.1145826000, 0.2120238000, 0.5198469000, 1.4639195000", \ - "0.1017058000, 0.1060604000, 0.1190549000, 0.1541148000, 0.2512941000, 0.5354810000, 1.4617697000", \ - "0.1803107000, 0.1859639000, 0.2025545000, 0.2455342000, 0.3523539000, 0.6349059000, 1.4864174000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0547018000, 0.0579649000, 0.0669455000, 0.0946384000, 0.1788545000, 0.4327056000, 1.1995912000", \ - "0.0548917000, 0.0579041000, 0.0670156000, 0.0946579000, 0.1787740000, 0.4329205000, 1.1997180000", \ - "0.0545033000, 0.0576258000, 0.0668948000, 0.0945555000, 0.1784822000, 0.4339159000, 1.2015782000", \ - "0.0586244000, 0.0613086000, 0.0695091000, 0.0953706000, 0.1787878000, 0.4329717000, 1.1993375000", \ - "0.0869997000, 0.0896886000, 0.0974809000, 0.1171448000, 0.1864546000, 0.4340832000, 1.1986019000", \ - "0.1406310000, 0.1449011000, 0.1566974000, 0.1872472000, 0.2536410000, 0.4558660000, 1.1996731000", \ - "0.2309388000, 0.2380660000, 0.2577326000, 0.3059605000, 0.4101372000, 0.6114426000, 1.2340053000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0743834000, 0.0774103000, 0.0865444000, 0.1127059000, 0.1898494000, 0.4192246000, 1.1028254000", \ - "0.0777406000, 0.0808119000, 0.0900429000, 0.1166026000, 0.1941264000, 0.4219917000, 1.1060427000", \ - "0.0841820000, 0.0875332000, 0.0969109000, 0.1240858000, 0.2014787000, 0.4310553000, 1.1137984000", \ - "0.0959934000, 0.0993352000, 0.1089738000, 0.1363576000, 0.2148944000, 0.4437118000, 1.1277491000", \ - "0.1118708000, 0.1156287000, 0.1265048000, 0.1562626000, 0.2382637000, 0.4692442000, 1.1540905000", \ - "0.1248102000, 0.1299409000, 0.1447836000, 0.1827783000, 0.2779972000, 0.5224484000, 1.2108572000", \ - "0.1009186000, 0.1094493000, 0.1336176000, 0.1940125000, 0.3301029000, 0.6202087000, 1.3366821000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0598642000, 0.0621142000, 0.0690628000, 0.0890310000, 0.1456702000, 0.3097725000, 0.7942006000", \ - "0.0650806000, 0.0674916000, 0.0743415000, 0.0943069000, 0.1509636000, 0.3149792000, 0.8005923000", \ - "0.0780809000, 0.0803957000, 0.0873450000, 0.1073649000, 0.1641675000, 0.3284570000, 0.8129910000", \ - "0.1105084000, 0.1127849000, 0.1195976000, 0.1395103000, 0.1963932000, 0.3604685000, 0.8463659000", \ - "0.1773335000, 0.1805262000, 0.1896460000, 0.2128639000, 0.2711265000, 0.4350657000, 0.9216156000", \ - "0.2875984000, 0.2924795000, 0.3044587000, 0.3424941000, 0.4292654000, 0.6075406000, 1.0909976000", \ - "0.4684481000, 0.4759643000, 0.4966491000, 0.5532220000, 0.6930420000, 0.9697536000, 1.4938660000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0473442000, 0.0514611000, 0.0636660000, 0.0996604000, 0.2058805000, 0.5215563000, 1.4626897000", \ - "0.0473324000, 0.0514995000, 0.0637453000, 0.0996316000, 0.2055435000, 0.5196759000, 1.4628206000", \ - "0.0474734000, 0.0516869000, 0.0637358000, 0.0999923000, 0.2059371000, 0.5216715000, 1.4616660000", \ - "0.0498075000, 0.0537091000, 0.0654633000, 0.1004369000, 0.2056958000, 0.5202182000, 1.4613324000", \ - "0.0583966000, 0.0625128000, 0.0744006000, 0.1093237000, 0.2096927000, 0.5215356000, 1.4616768000", \ - "0.0856118000, 0.0894757000, 0.1016292000, 0.1355290000, 0.2361264000, 0.5326798000, 1.4634932000", \ - "0.1553269000, 0.1600331000, 0.1737283000, 0.2115843000, 0.3129938000, 0.5999666000, 1.4853687000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014999200, 0.0044995500, 0.0134980000, 0.0404918000, 0.1214690000, 0.3643900000"); - values("0.0618360000, 0.0646155000, 0.0730282000, 0.0982797000, 0.1752953000, 0.4095033000, 1.1166397000", \ - "0.0614405000, 0.0643516000, 0.0727947000, 0.0981301000, 0.1752962000, 0.4097994000, 1.1170652000", \ - "0.0604222000, 0.0634200000, 0.0720720000, 0.0977075000, 0.1750792000, 0.4095007000, 1.1167398000", \ - "0.0635751000, 0.0660265000, 0.0738323000, 0.0978404000, 0.1748568000, 0.4099589000, 1.1194179000", \ - "0.0909299000, 0.0937816000, 0.1010750000, 0.1196249000, 0.1834974000, 0.4092122000, 1.1176270000", \ - "0.1443408000, 0.1483411000, 0.1609267000, 0.1874481000, 0.2537322000, 0.4361940000, 1.1163366000", \ - "0.2341960000, 0.2406907000, 0.2577422000, 0.3041306000, 0.4055563000, 0.5994975000, 1.1634018000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand4bb_1") { - leakage_power () { - value : 0.0010409000; - when : "!A_N&!B_N&!C&D"; - } - leakage_power () { - value : 0.0007156000; - when : "!A_N&!B_N&!C&!D"; - } - leakage_power () { - value : 0.0060269000; - when : "!A_N&!B_N&C&D"; - } - leakage_power () { - value : 0.0010006000; - when : "!A_N&!B_N&C&!D"; - } - leakage_power () { - value : 0.0010715000; - when : "!A_N&B_N&!C&D"; - } - leakage_power () { - value : 0.0010520000; - when : "!A_N&B_N&!C&!D"; - } - leakage_power () { - value : 0.0013883000; - when : "!A_N&B_N&C&D"; - } - leakage_power () { - value : 0.0010685000; - when : "!A_N&B_N&C&!D"; - } - leakage_power () { - value : 0.0019236000; - when : "A_N&!B_N&!C&D"; - } - leakage_power () { - value : 0.0019047000; - when : "A_N&!B_N&!C&!D"; - } - leakage_power () { - value : 0.0022168000; - when : "A_N&!B_N&C&D"; - } - leakage_power () { - value : 0.0019207000; - when : "A_N&!B_N&C&!D"; - } - leakage_power () { - value : 0.0022628000; - when : "A_N&B_N&!C&D"; - } - leakage_power () { - value : 0.0022564000; - when : "A_N&B_N&!C&!D"; - } - leakage_power () { - value : 0.0022798000; - when : "A_N&B_N&C&D"; - } - leakage_power () { - value : 0.0022619000; - when : "A_N&B_N&C&!D"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__nand4bb"; - cell_leakage_power : 0.0018994410; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0015440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014800000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087580000, 0.0086485000, 0.0083960000, 0.0084467000, 0.0085635000, 0.0088328000, 0.0094536000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0053563000, 0.0052833000, 0.0051150000, 0.0051527000, 0.0052396000, 0.0054398000, 0.0059014000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016080000; - } - pin ("B_N") { - capacitance : 0.0014960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014370000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0074101000, 0.0073143000, 0.0070934000, 0.0071394000, 0.0072455000, 0.0074900000, 0.0080535000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042918000, 0.0042319000, 0.0040941000, 0.0041343000, 0.0042270000, 0.0044406000, 0.0049330000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015560000; - } - pin ("C") { - capacitance : 0.0022900000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045349000, 0.0045349000, 0.0045349000, 0.0045372000, 0.0045424000, 0.0045544000, 0.0045821000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004207700, -0.004207600, -0.004207400, -0.004203400, -0.004194100, -0.004172900, -0.004123800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023750000; - } - pin ("D") { - capacitance : 0.0023130000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022030000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040487000, 0.0040484000, 0.0040476000, 0.0040493000, 0.0040533000, 0.0040624000, 0.0040834000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004044300, -0.004042900, -0.004039800, -0.004040400, -0.004041700, -0.004044800, -0.004051900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024230000; - } - pin ("Y") { - direction : "output"; - function : "(A_N) | (B_N) | (!C) | (!D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012526080, 0.0031380510, 0.0078614930, 0.0196947300, 0.0493395400, 0.1236061000"); - values("0.0059668000, 0.0048483000, 0.0019983000, -0.005413400, -0.024411000, -0.072349700, -0.192639000", \ - "0.0059163000, 0.0047864000, 0.0019482000, -0.005465000, -0.024450000, -0.072377600, -0.192727800", \ - "0.0059571000, 0.0048389000, 0.0019789000, -0.005440800, -0.024414300, -0.072348200, -0.192644800", \ - "0.0057517000, 0.0046089000, 0.0017028000, -0.005696000, -0.024659200, -0.072524900, -0.192793600", \ - "0.0054173000, 0.0042826000, 0.0013795000, -0.006025400, -0.024945400, -0.072787200, -0.193009100", \ - "0.0051177000, 0.0040265000, 0.0011834000, -0.006278500, -0.025188000, -0.072958500, -0.193126100", \ - "0.0057280000, 0.0045776000, 0.0012955000, -0.006204700, -0.024974400, -0.072685000, -0.192832000"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012526080, 0.0031380510, 0.0078614930, 0.0196947300, 0.0493395400, 0.1236061000"); - values("0.0039845000, 0.0053144000, 0.0085491000, 0.0163271000, 0.0354305000, 0.0834184000, 0.2030039000", \ - "0.0039722000, 0.0053060000, 0.0085461000, 0.0163275000, 0.0354368000, 0.0830809000, 0.2024313000", \ - "0.0039413000, 0.0052726000, 0.0085038000, 0.0163096000, 0.0354260000, 0.0830515000, 0.2019326000", \ - "0.0036036000, 0.0049114000, 0.0081184000, 0.0159669000, 0.0351833000, 0.0826208000, 0.2020915000", \ - "0.0032389000, 0.0045258000, 0.0076802000, 0.0154770000, 0.0349241000, 0.0826353000, 0.2016494000", \ - "0.0032961000, 0.0045398000, 0.0076970000, 0.0152458000, 0.0345828000, 0.0820561000, 0.2015830000", \ - "0.0036287000, 0.0048410000, 0.0078597000, 0.0156506000, 0.0348652000, 0.0827221000, 0.2003165000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012526080, 0.0031380510, 0.0078614930, 0.0196947300, 0.0493395400, 0.1236061000"); - values("0.0094208000, 0.0083045000, 0.0054246000, -0.002016000, -0.021033100, -0.068980800, -0.189261800", \ - "0.0093597000, 0.0082341000, 0.0053523000, -0.002080400, -0.021084300, -0.069044700, -0.189326000", \ - "0.0094172000, 0.0082945000, 0.0054080000, -0.002029900, -0.021037900, -0.068983700, -0.189261100", \ - "0.0092247000, 0.0080851000, 0.0051982000, -0.002251500, -0.021213800, -0.069161200, -0.189388600", \ - "0.0089378000, 0.0077986000, 0.0048891000, -0.002551400, -0.021497000, -0.069354200, -0.189577800", \ - "0.0086729000, 0.0075587000, 0.0046806000, -0.002777200, -0.021715200, -0.069493700, -0.189707300", \ - "0.0088289000, 0.0076718000, 0.0047614000, -0.002778700, -0.021624400, -0.069318300, -0.189441100"); - } - related_pin : "B_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012526080, 0.0031380510, 0.0078614930, 0.0196947300, 0.0493395400, 0.1236061000"); - values("0.0055085000, 0.0067614000, 0.0098676000, 0.0174732000, 0.0364972000, 0.0837546000, 0.2023781000", \ - "0.0055133000, 0.0067626000, 0.0098658000, 0.0175248000, 0.0364651000, 0.0837334000, 0.2023525000", \ - "0.0054789000, 0.0067321000, 0.0098377000, 0.0175088000, 0.0364560000, 0.0836882000, 0.2024381000", \ - "0.0051240000, 0.0063633000, 0.0094896000, 0.0171839000, 0.0362349000, 0.0836918000, 0.2021390000", \ - "0.0048088000, 0.0060818000, 0.0092023000, 0.0168516000, 0.0359247000, 0.0834233000, 0.2021444000", \ - "0.0048212000, 0.0060730000, 0.0091083000, 0.0166578000, 0.0358000000, 0.0833007000, 0.2018744000", \ - "0.0050322000, 0.0062807000, 0.0092341000, 0.0168890000, 0.0359781000, 0.0834922000, 0.2019145000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012526080, 0.0031380510, 0.0078614930, 0.0196947300, 0.0493395400, 0.1236061000"); - values("0.0098400000, 0.0086910000, 0.0056913000, -0.001891200, -0.021028100, -0.069037700, -0.189322300", \ - "0.0097007000, 0.0085378000, 0.0055942000, -0.001948200, -0.021060800, -0.069051200, -0.189345000", \ - "0.0094616000, 0.0083323000, 0.0054167000, -0.002068600, -0.021108200, -0.069074500, -0.189355400", \ - "0.0092129000, 0.0080629000, 0.0051622000, -0.002271400, -0.021246600, -0.069144200, -0.189389800", \ - "0.0090654000, 0.0078812000, 0.0049925000, -0.002471900, -0.021413400, -0.069227100, -0.189451100", \ - "0.0092698000, 0.0080653000, 0.0050289000, -0.002711500, -0.021673700, -0.069449600, -0.189599700", \ - "0.0098443000, 0.0085633000, 0.0054392000, -0.002306600, -0.021540000, -0.069580000, -0.189712800"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012526080, 0.0031380510, 0.0078614930, 0.0196947300, 0.0493395400, 0.1236061000"); - values("0.0064101000, 0.0076205000, 0.0106524000, 0.0182406000, 0.0372601000, 0.0845910000, 0.2032388000", \ - "0.0062012000, 0.0074322000, 0.0104952000, 0.0181458000, 0.0371117000, 0.0844899000, 0.2031295000", \ - "0.0060160000, 0.0072563000, 0.0103471000, 0.0180253000, 0.0370267000, 0.0842333000, 0.2031018000", \ - "0.0058228000, 0.0070547000, 0.0101500000, 0.0178380000, 0.0366828000, 0.0841184000, 0.2025343000", \ - "0.0057203000, 0.0069367000, 0.0099567000, 0.0175657000, 0.0366209000, 0.0839117000, 0.2022515000", \ - "0.0064283000, 0.0076929000, 0.0106924000, 0.0182731000, 0.0370169000, 0.0843627000, 0.2031106000", \ - "0.0073376000, 0.0084223000, 0.0113166000, 0.0186063000, 0.0375021000, 0.0843491000, 0.2025823000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012526080, 0.0031380510, 0.0078614930, 0.0196947300, 0.0493395400, 0.1236061000"); - values("0.0099821000, 0.0087928000, 0.0058182000, -0.001767300, -0.020911800, -0.068933900, -0.189219400", \ - "0.0098036000, 0.0086750000, 0.0057149000, -0.001834500, -0.020926800, -0.068927800, -0.189220400", \ - "0.0095735000, 0.0084480000, 0.0055258000, -0.001959100, -0.020989900, -0.068966900, -0.189220000", \ - "0.0093281000, 0.0081735000, 0.0052815000, -0.002162700, -0.021119000, -0.069022600, -0.189277600", \ - "0.0092330000, 0.0080204000, 0.0051267000, -0.002363600, -0.021316800, -0.069099900, -0.189345100", \ - "0.0093855000, 0.0081756000, 0.0051518000, -0.002579200, -0.021532200, -0.069352400, -0.189434800", \ - "0.0099595000, 0.0086637000, 0.0055613000, -0.002166400, -0.021372100, -0.069384900, -0.189553600"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012526080, 0.0031380510, 0.0078614930, 0.0196947300, 0.0493395400, 0.1236061000"); - values("0.0090010000, 0.0102279000, 0.0132728000, 0.0209066000, 0.0398787000, 0.0873785000, 0.2060541000", \ - "0.0088513000, 0.0100682000, 0.0131196000, 0.0207811000, 0.0397957000, 0.0874383000, 0.2059784000", \ - "0.0086683000, 0.0099010000, 0.0129671000, 0.0206440000, 0.0396629000, 0.0873017000, 0.2059071000", \ - "0.0085016000, 0.0097198000, 0.0128102000, 0.0204757000, 0.0395206000, 0.0870499000, 0.2056639000", \ - "0.0084797000, 0.0096945000, 0.0127637000, 0.0203754000, 0.0391544000, 0.0865979000, 0.2050166000", \ - "0.0089265000, 0.0101114000, 0.0130985000, 0.0206928000, 0.0394458000, 0.0867799000, 0.2048318000", \ - "0.0104358000, 0.0116022000, 0.0144834000, 0.0216812000, 0.0409918000, 0.0874203000, 0.2053153000"); - } - } - max_capacitance : 0.1236060000; - max_transition : 1.4914760000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.1283473000, 0.1361495000, 0.1544717000, 0.1971018000, 0.2996026000, 0.5543778000, 1.1910574000", \ - "0.1330462000, 0.1408147000, 0.1592294000, 0.2017758000, 0.3042369000, 0.5593109000, 1.1955916000", \ - "0.1451874000, 0.1530724000, 0.1714066000, 0.2140013000, 0.3167075000, 0.5711659000, 1.2082446000", \ - "0.1761459000, 0.1839478000, 0.2022600000, 0.2448250000, 0.3476871000, 0.6044265000, 1.2393475000", \ - "0.2443949000, 0.2523106000, 0.2707153000, 0.3134535000, 0.4160709000, 0.6712433000, 1.3115518000", \ - "0.3582740000, 0.3671195000, 0.3870795000, 0.4312228000, 0.5350216000, 0.7904730000, 1.4297005000", \ - "0.5331178000, 0.5440172000, 0.5680007000, 0.6167802000, 0.7217631000, 0.9757233000, 1.6134812000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.0740475000, 0.0802151000, 0.0948988000, 0.1295855000, 0.2148874000, 0.4260788000, 0.9543887000", \ - "0.0788227000, 0.0849691000, 0.0996820000, 0.1344111000, 0.2196832000, 0.4313744000, 0.9629022000", \ - "0.0901317000, 0.0962717000, 0.1109590000, 0.1458338000, 0.2310127000, 0.4435689000, 0.9704573000", \ - "0.1136485000, 0.1197732000, 0.1343885000, 0.1692758000, 0.2549460000, 0.4668524000, 0.9960066000", \ - "0.1482070000, 0.1546197000, 0.1695123000, 0.2049267000, 0.2901775000, 0.5030266000, 1.0310183000", \ - "0.1922752000, 0.1993686000, 0.2151019000, 0.2499746000, 0.3360365000, 0.5479594000, 1.0784929000", \ - "0.2321275000, 0.2413456000, 0.2603248000, 0.2986039000, 0.3830559000, 0.5952944000, 1.1251602000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.0466805000, 0.0556658000, 0.0769448000, 0.1301270000, 0.2659960000, 0.6067719000, 1.4622875000", \ - "0.0466697000, 0.0555128000, 0.0770001000, 0.1303570000, 0.2657364000, 0.6066120000, 1.4589867000", \ - "0.0469369000, 0.0555868000, 0.0769056000, 0.1303854000, 0.2661655000, 0.6059976000, 1.4602093000", \ - "0.0469259000, 0.0557769000, 0.0769762000, 0.1300942000, 0.2662704000, 0.6091032000, 1.4606512000", \ - "0.0489415000, 0.0575928000, 0.0783633000, 0.1306478000, 0.2658250000, 0.6072725000, 1.4636088000", \ - "0.0585150000, 0.0671550000, 0.0866816000, 0.1364256000, 0.2677280000, 0.6060457000, 1.4631623000", \ - "0.0771436000, 0.0859813000, 0.1036432000, 0.1505099000, 0.2738187000, 0.6081271000, 1.4626457000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.0341025000, 0.0413809000, 0.0599785000, 0.1072630000, 0.2275955000, 0.5275353000, 1.2809650000", \ - "0.0341347000, 0.0413564000, 0.0600314000, 0.1073229000, 0.2269098000, 0.5260538000, 1.2825873000", \ - "0.0342021000, 0.0414312000, 0.0598491000, 0.1069952000, 0.2276573000, 0.5300311000, 1.2728073000", \ - "0.0350382000, 0.0420380000, 0.0603113000, 0.1073490000, 0.2271087000, 0.5255543000, 1.2821454000", \ - "0.0386499000, 0.0451926000, 0.0625421000, 0.1083752000, 0.2268255000, 0.5262586000, 1.2727468000", \ - "0.0470944000, 0.0528478000, 0.0679232000, 0.1109268000, 0.2277054000, 0.5238644000, 1.2768212000", \ - "0.0644054000, 0.0698955000, 0.0854193000, 0.1208130000, 0.2305546000, 0.5291677000, 1.2728094000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.1396916000, 0.1475760000, 0.1662847000, 0.2095962000, 0.3127540000, 0.5673906000, 1.2046547000", \ - "0.1442084000, 0.1523426000, 0.1710520000, 0.2142885000, 0.3174301000, 0.5730040000, 1.2088924000", \ - "0.1572900000, 0.1651804000, 0.1838856000, 0.2271918000, 0.3305401000, 0.5862791000, 1.2218577000", \ - "0.1889125000, 0.1968550000, 0.2153992000, 0.2586835000, 0.3621103000, 0.6180231000, 1.2534991000", \ - "0.2595714000, 0.2676177000, 0.2863493000, 0.3297797000, 0.4334058000, 0.6886354000, 1.3334573000", \ - "0.3811191000, 0.3896073000, 0.4095482000, 0.4543068000, 0.5587592000, 0.8144354000, 1.4515673000", \ - "0.5741809000, 0.5850788000, 0.6081687000, 0.6556600000, 0.7622232000, 1.0189102000, 1.6569358000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.0821883000, 0.0882349000, 0.1029384000, 0.1384720000, 0.2262436000, 0.4435764000, 0.9893761000", \ - "0.0869667000, 0.0929955000, 0.1076953000, 0.1433660000, 0.2307052000, 0.4481722000, 0.9931175000", \ - "0.0981915000, 0.1042504000, 0.1190162000, 0.1548129000, 0.2423889000, 0.4602300000, 1.0035422000", \ - "0.1209156000, 0.1268508000, 0.1416580000, 0.1776087000, 0.2653442000, 0.4829645000, 1.0265608000", \ - "0.1534170000, 0.1595769000, 0.1745530000, 0.2105984000, 0.2989964000, 0.5166035000, 1.0603391000", \ - "0.1930827000, 0.1995255000, 0.2144770000, 0.2504156000, 0.3387333000, 0.5564954000, 1.1001449000", \ - "0.2249802000, 0.2326879000, 0.2493457000, 0.2863467000, 0.3735541000, 0.5914277000, 1.1399079000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.0472847000, 0.0559891000, 0.0776172000, 0.1311103000, 0.2663447000, 0.6064383000, 1.4582129000", \ - "0.0473243000, 0.0559905000, 0.0776837000, 0.1311488000, 0.2660022000, 0.6063146000, 1.4594003000", \ - "0.0472600000, 0.0561050000, 0.0776341000, 0.1312345000, 0.2661100000, 0.6070616000, 1.4584652000", \ - "0.0473018000, 0.0561919000, 0.0776571000, 0.1312898000, 0.2666616000, 0.6070847000, 1.4584228000", \ - "0.0483548000, 0.0570237000, 0.0784061000, 0.1314565000, 0.2665191000, 0.6071895000, 1.4624933000", \ - "0.0545419000, 0.0626381000, 0.0831956000, 0.1347825000, 0.2671122000, 0.6076760000, 1.4577828000", \ - "0.0692885000, 0.0773168000, 0.0966262000, 0.1439229000, 0.2716095000, 0.6073612000, 1.4628208000"); - } - related_pin : "B_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.0431788000, 0.0510306000, 0.0699690000, 0.1182632000, 0.2406737000, 0.5457935000, 1.3136502000", \ - "0.0431617000, 0.0508905000, 0.0700008000, 0.1184065000, 0.2404366000, 0.5468255000, 1.3164125000", \ - "0.0431898000, 0.0507857000, 0.0698948000, 0.1184518000, 0.2401823000, 0.5466332000, 1.3132521000", \ - "0.0438500000, 0.0512470000, 0.0702151000, 0.1183446000, 0.2406582000, 0.5458017000, 1.3128125000", \ - "0.0460202000, 0.0531704000, 0.0717925000, 0.1193247000, 0.2406086000, 0.5458540000, 1.3123600000", \ - "0.0521341000, 0.0585501000, 0.0757019000, 0.1211439000, 0.2418557000, 0.5470697000, 1.3126229000", \ - "0.0671184000, 0.0729898000, 0.0883748000, 0.1286193000, 0.2434166000, 0.5479768000, 1.3131106000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.0603608000, 0.0675677000, 0.0848571000, 0.1264042000, 0.2287415000, 0.4836335000, 1.1191439000", \ - "0.0639769000, 0.0711105000, 0.0885948000, 0.1304655000, 0.2326073000, 0.4872942000, 1.1235441000", \ - "0.0708470000, 0.0780380000, 0.0956715000, 0.1380198000, 0.2402859000, 0.4949061000, 1.1344955000", \ - "0.0838354000, 0.0916887000, 0.1101177000, 0.1526547000, 0.2556970000, 0.5116728000, 1.1481458000", \ - "0.1033509000, 0.1133389000, 0.1361534000, 0.1853479000, 0.2919870000, 0.5486644000, 1.1852644000", \ - "0.1190220000, 0.1346345000, 0.1687243000, 0.2367437000, 0.3687461000, 0.6350737000, 1.2738268000", \ - "0.1000704000, 0.1251944000, 0.1797823000, 0.2862512000, 0.4756550000, 0.8195396000, 1.4820518000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.0530824000, 0.0590919000, 0.0740594000, 0.1102462000, 0.1991717000, 0.4194931000, 0.9691896000", \ - "0.0582892000, 0.0644834000, 0.0794520000, 0.1157923000, 0.2047202000, 0.4255129000, 0.9746639000", \ - "0.0716846000, 0.0777538000, 0.0928750000, 0.1292415000, 0.2185177000, 0.4382212000, 0.9877961000", \ - "0.1044780000, 0.1103242000, 0.1249642000, 0.1610349000, 0.2491576000, 0.4692589000, 1.0193687000", \ - "0.1676825000, 0.1766710000, 0.1969403000, 0.2376355000, 0.3260451000, 0.5425529000, 1.0921205000", \ - "0.2704848000, 0.2850653000, 0.3174107000, 0.3820860000, 0.4982704000, 0.7187343000, 1.2649309000", \ - "0.4420564000, 0.4634152000, 0.5140031000, 0.6175658000, 0.8085967000, 1.1197718000, 1.6754299000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.0433957000, 0.0525548000, 0.0750655000, 0.1298484000, 0.2662679000, 0.6072201000, 1.4579529000", \ - "0.0433897000, 0.0524949000, 0.0747884000, 0.1298462000, 0.2657130000, 0.6067027000, 1.4589008000", \ - "0.0435972000, 0.0526287000, 0.0750640000, 0.1298860000, 0.2664207000, 0.6062209000, 1.4647523000", \ - "0.0484173000, 0.0565856000, 0.0771985000, 0.1305323000, 0.2668170000, 0.6071619000, 1.4598812000", \ - "0.0635450000, 0.0723702000, 0.0932916000, 0.1431016000, 0.2703741000, 0.6066479000, 1.4584016000", \ - "0.1024008000, 0.1126462000, 0.1359637000, 0.1874846000, 0.3096442000, 0.6192283000, 1.4590938000", \ - "0.1806135000, 0.1938466000, 0.2245812000, 0.2897588000, 0.4207371000, 0.7249136000, 1.4869176000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.0503403000, 0.0582942000, 0.0778383000, 0.1270704000, 0.2505360000, 0.5599394000, 1.3351497000", \ - "0.0504048000, 0.0581795000, 0.0778171000, 0.1270793000, 0.2500979000, 0.5603528000, 1.3359107000", \ - "0.0499823000, 0.0581858000, 0.0777392000, 0.1270750000, 0.2503559000, 0.5600675000, 1.3359761000", \ - "0.0561755000, 0.0627073000, 0.0800617000, 0.1269262000, 0.2503804000, 0.5598418000, 1.3342824000", \ - "0.0866639000, 0.0933284000, 0.1077309000, 0.1442428000, 0.2528390000, 0.5602249000, 1.3392960000", \ - "0.1429339000, 0.1533726000, 0.1757072000, 0.2214939000, 0.3082253000, 0.5722939000, 1.3359163000", \ - "0.2325713000, 0.2501127000, 0.2873434000, 0.3615309000, 0.4867104000, 0.7040027000, 1.3551952000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.0652119000, 0.0723206000, 0.0896689000, 0.1313004000, 0.2331923000, 0.4874067000, 1.1246359000", \ - "0.0688837000, 0.0761737000, 0.0936219000, 0.1354493000, 0.2379319000, 0.4919817000, 1.1284557000", \ - "0.0760304000, 0.0832521000, 0.1008648000, 0.1432616000, 0.2459234000, 0.5022616000, 1.1450406000", \ - "0.0890418000, 0.0967224000, 0.1146132000, 0.1572566000, 0.2604982000, 0.5151067000, 1.1620175000", \ - "0.1078501000, 0.1167071000, 0.1373770000, 0.1842754000, 0.2897430000, 0.5466196000, 1.1830752000", \ - "0.1254577000, 0.1390975000, 0.1675715000, 0.2274143000, 0.3504869000, 0.6154710000, 1.2554956000", \ - "0.1118516000, 0.1336939000, 0.1808387000, 0.2727886000, 0.4404056000, 0.7576010000, 1.4194493000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.0565218000, 0.0625639000, 0.0768623000, 0.1119959000, 0.1970528000, 0.4066807000, 0.9295599000", \ - "0.0618324000, 0.0678460000, 0.0822306000, 0.1173008000, 0.2024643000, 0.4122056000, 0.9348012000", \ - "0.0752727000, 0.0814281000, 0.0959328000, 0.1306873000, 0.2153129000, 0.4255986000, 0.9477017000", \ - "0.1076865000, 0.1134909000, 0.1278513000, 0.1628523000, 0.2479879000, 0.4563893000, 0.9777573000", \ - "0.1727860000, 0.1810396000, 0.1999200000, 0.2383916000, 0.3203377000, 0.5293433000, 1.0511626000", \ - "0.2791015000, 0.2922002000, 0.3217894000, 0.3823580000, 0.4936111000, 0.7047432000, 1.2181592000", \ - "0.4511215000, 0.4709129000, 0.5166542000, 0.6129363000, 0.7941517000, 1.0942154000, 1.6258654000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.0436489000, 0.0526651000, 0.0749720000, 0.1298617000, 0.2666831000, 0.6088686000, 1.4582466000", \ - "0.0436692000, 0.0528174000, 0.0752700000, 0.1300019000, 0.2660617000, 0.6060443000, 1.4604908000", \ - "0.0436315000, 0.0528859000, 0.0750319000, 0.1301448000, 0.2659989000, 0.6074851000, 1.4690762000", \ - "0.0465201000, 0.0549527000, 0.0762733000, 0.1299983000, 0.2658520000, 0.6061766000, 1.4724596000", \ - "0.0571041000, 0.0660361000, 0.0875606000, 0.1390416000, 0.2691129000, 0.6071431000, 1.4601709000", \ - "0.0880816000, 0.0977437000, 0.1199999000, 0.1722649000, 0.2981264000, 0.6191987000, 1.4593554000", \ - "0.1599704000, 0.1717455000, 0.2000045000, 0.2578898000, 0.3854371000, 0.6937609000, 1.4914762000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012526100, 0.0031380500, 0.0078614900, 0.0196947000, 0.0493395000, 0.1236060000"); - values("0.0561386000, 0.0634829000, 0.0821608000, 0.1285478000, 0.2451834000, 0.5396877000, 1.2789741000", \ - "0.0561806000, 0.0633101000, 0.0820852000, 0.1287314000, 0.2452097000, 0.5396741000, 1.2781796000", \ - "0.0555163000, 0.0630177000, 0.0818801000, 0.1284020000, 0.2454416000, 0.5398509000, 1.2782415000", \ - "0.0596194000, 0.0661812000, 0.0833614000, 0.1279934000, 0.2452497000, 0.5397043000, 1.2809234000", \ - "0.0893582000, 0.0956755000, 0.1092985000, 0.1443358000, 0.2487744000, 0.5398010000, 1.2812936000", \ - "0.1447516000, 0.1547249000, 0.1768411000, 0.2198844000, 0.3033323000, 0.5532425000, 1.2820215000", \ - "0.2360884000, 0.2522225000, 0.2894220000, 0.3587806000, 0.4836934000, 0.6920213000, 1.3080154000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand4bb_2") { - leakage_power () { - value : 0.0013298000; - when : "!A_N&!B_N&!C&D"; - } - leakage_power () { - value : 0.0008363000; - when : "!A_N&!B_N&!C&!D"; - } - leakage_power () { - value : 0.0087330000; - when : "!A_N&!B_N&C&D"; - } - leakage_power () { - value : 0.0013309000; - when : "!A_N&!B_N&C&!D"; - } - leakage_power () { - value : 0.0014701000; - when : "!A_N&B_N&!C&D"; - } - leakage_power () { - value : 0.0014427000; - when : "!A_N&B_N&!C&!D"; - } - leakage_power () { - value : 0.0019814000; - when : "!A_N&B_N&C&D"; - } - leakage_power () { - value : 0.0014755000; - when : "!A_N&B_N&C&!D"; - } - leakage_power () { - value : 0.0010759000; - when : "A_N&!B_N&!C&D"; - } - leakage_power () { - value : 0.0010472000; - when : "A_N&!B_N&!C&!D"; - } - leakage_power () { - value : 0.0017604000; - when : "A_N&!B_N&C&D"; - } - leakage_power () { - value : 0.0010789000; - when : "A_N&!B_N&C&!D"; - } - leakage_power () { - value : 0.0016926000; - when : "A_N&B_N&!C&D"; - } - leakage_power () { - value : 0.0181058000; - when : "A_N&B_N&!C&!D"; - } - leakage_power () { - value : 0.0017219000; - when : "A_N&B_N&C&D"; - } - leakage_power () { - value : 0.0016932000; - when : "A_N&B_N&C&!D"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__nand4bb"; - cell_leakage_power : 0.0029234700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0015000000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0119788000, 0.0118940000, 0.0116985000, 0.0117663000, 0.0119226000, 0.0122829000, 0.0131135000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0100219000, 0.0099853000, 0.0099008000, 0.0099309000, 0.0100005000, 0.0101608000, 0.0105304000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015450000; - } - pin ("B_N") { - capacitance : 0.0014890000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0089561000, 0.0088790000, 0.0087012000, 0.0087382000, 0.0088235000, 0.0090201000, 0.0094733000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0093452000, 0.0092985000, 0.0091907000, 0.0092318000, 0.0093263000, 0.0095444000, 0.0100469000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015440000; - } - pin ("C") { - capacitance : 0.0044620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042840000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0085633000, 0.0085778000, 0.0086113000, 0.0086154000, 0.0086249000, 0.0086467000, 0.0086971000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007653000, -0.007653300, -0.007653900, -0.007641800, -0.007614000, -0.007549700, -0.007401600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046410000; - } - pin ("D") { - capacitance : 0.0044700000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042440000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079348000, 0.0079351000, 0.0079358000, 0.0079369000, 0.0079396000, 0.0079457000, 0.0079598000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007916300, -0.007915100, -0.007912300, -0.007911300, -0.007909000, -0.007903700, -0.007891400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046960000; - } - pin ("Y") { - direction : "output"; - function : "(A_N) | (B_N) | (!C) | (!D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0102354000, 0.0090002000, 0.0054376000, -0.004374100, -0.031646800, -0.106865200, -0.311981300", \ - "0.0102798000, 0.0089415000, 0.0053888000, -0.004387000, -0.031666700, -0.106865900, -0.311934600", \ - "0.0102668000, 0.0089922000, 0.0054684000, -0.004293200, -0.031633400, -0.106825200, -0.311833300", \ - "0.0100622000, 0.0087801000, 0.0052485000, -0.004555400, -0.031890200, -0.107099600, -0.312063400", \ - "0.0097874000, 0.0084776000, 0.0050713000, -0.004835000, -0.032192300, -0.107416700, -0.312316600", \ - "0.0095198000, 0.0081857000, 0.0048196000, -0.005169200, -0.032497100, -0.107596000, -0.312709100", \ - "0.0097843000, 0.0083789000, 0.0045927000, -0.005692200, -0.033095700, -0.108247700, -0.312957700"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0057837000, 0.0073240000, 0.0114125000, 0.0220225000, 0.0499968000, 0.1249911000, 0.3281905000", \ - "0.0057819000, 0.0073225000, 0.0114070000, 0.0220338000, 0.0500128000, 0.1248290000, 0.3282035000", \ - "0.0057212000, 0.0072637000, 0.0113444000, 0.0219851000, 0.0499845000, 0.1250085000, 0.3298239000", \ - "0.0053927000, 0.0068892000, 0.0109186000, 0.0215594000, 0.0496291000, 0.1247231000, 0.3298362000", \ - "0.0051918000, 0.0066713000, 0.0106617000, 0.0210101000, 0.0491907000, 0.1246736000, 0.3281877000", \ - "0.0050581000, 0.0065183000, 0.0104400000, 0.0209768000, 0.0487502000, 0.1233844000, 0.3275040000", \ - "0.0053373000, 0.0067976000, 0.0108001000, 0.0212994000, 0.0490027000, 0.1235258000, 0.3261182000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0179275000, 0.0166615000, 0.0131472000, 0.0033908000, -0.023973100, -0.099187300, -0.304250600", \ - "0.0179140000, 0.0166315000, 0.0131231000, 0.0033428000, -0.024008200, -0.099182400, -0.304289900", \ - "0.0179329000, 0.0166411000, 0.0131281000, 0.0033700000, -0.023974700, -0.099218600, -0.304287800", \ - "0.0177765000, 0.0164893000, 0.0129452000, 0.0031363000, -0.024210700, -0.099376100, -0.304426100", \ - "0.0175002000, 0.0162261000, 0.0126301000, 0.0027999000, -0.024525000, -0.099621200, -0.304639100", \ - "0.0172354000, 0.0159273000, 0.0123304000, 0.0024204000, -0.024894800, -0.099980600, -0.304850100", \ - "0.0174258000, 0.0160794000, 0.0123606000, 0.0022495000, -0.025032000, -0.100104100, -0.304902100"); - } - related_pin : "B_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0102330000, 0.0116774000, 0.0155804000, 0.0259603000, 0.0536818000, 0.1283497000, 0.3309013000", \ - "0.0102479000, 0.0116924000, 0.0155831000, 0.0259500000, 0.0537634000, 0.1284208000, 0.3304947000", \ - "0.0101923000, 0.0116469000, 0.0155301000, 0.0259371000, 0.0536921000, 0.1286392000, 0.3306523000", \ - "0.0097491000, 0.0112006000, 0.0150201000, 0.0254741000, 0.0533675000, 0.1282014000, 0.3309763000", \ - "0.0093499000, 0.0107864000, 0.0146332000, 0.0249027000, 0.0528083000, 0.1280070000, 0.3301416000", \ - "0.0089751000, 0.0103932000, 0.0142595000, 0.0246281000, 0.0524510000, 0.1272618000, 0.3301913000", \ - "0.0090987000, 0.0105021000, 0.0143531000, 0.0245247000, 0.0525169000, 0.1271066000, 0.3298927000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0192860000, 0.0179784000, 0.0143538000, 0.0042941000, -0.023395600, -0.098915500, -0.304102300", \ - "0.0189343000, 0.0176523000, 0.0140974000, 0.0041558000, -0.023460400, -0.098935700, -0.304114100", \ - "0.0184537000, 0.0172176000, 0.0137003000, 0.0038533000, -0.023635600, -0.099046800, -0.304139000", \ - "0.0179507000, 0.0166855000, 0.0131655000, 0.0033518000, -0.023948600, -0.099237600, -0.304150400", \ - "0.0177581000, 0.0164548000, 0.0128887000, 0.0029661000, -0.024341200, -0.099414400, -0.304292800", \ - "0.0179941000, 0.0166071000, 0.0128533000, 0.0025015000, -0.024903100, -0.099984000, -0.304667700", \ - "0.0187363000, 0.0172903000, 0.0134388000, 0.0031095000, -0.024814100, -0.100348400, -0.305121400"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0120811000, 0.0134431000, 0.0171127000, 0.0271871000, 0.0547192000, 0.1293271000, 0.3316766000", \ - "0.0117144000, 0.0130646000, 0.0167926000, 0.0269540000, 0.0544839000, 0.1290988000, 0.3315570000", \ - "0.0112132000, 0.0126002000, 0.0163723000, 0.0266054000, 0.0543246000, 0.1289906000, 0.3311792000", \ - "0.0107977000, 0.0121902000, 0.0158802000, 0.0260684000, 0.0537830000, 0.1284615000, 0.3314270000", \ - "0.0105727000, 0.0119653000, 0.0156907000, 0.0256317000, 0.0537398000, 0.1286116000, 0.3310094000", \ - "0.0105850000, 0.0120831000, 0.0159257000, 0.0263178000, 0.0537639000, 0.1285382000, 0.3295127000", \ - "0.0141424000, 0.0151796000, 0.0173471000, 0.0271734000, 0.0547415000, 0.1285526000, 0.3297229000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0194624000, 0.0181565000, 0.0145245000, 0.0044694000, -0.023217100, -0.098736600, -0.303924300", \ - "0.0191242000, 0.0178615000, 0.0142679000, 0.0043096000, -0.023305500, -0.098761800, -0.303944300", \ - "0.0186861000, 0.0174095000, 0.0138710000, 0.0040022000, -0.023449200, -0.098823900, -0.303965600", \ - "0.0181683000, 0.0168933000, 0.0133690000, 0.0035461000, -0.023762200, -0.098996200, -0.304050800", \ - "0.0180157000, 0.0166766000, 0.0130751000, 0.0031416000, -0.024171500, -0.099230300, -0.304109600", \ - "0.0183307000, 0.0169509000, 0.0131771000, 0.0028120000, -0.024622600, -0.099718800, -0.304424900", \ - "0.0192934000, 0.0178304000, 0.0139656000, 0.0036156000, -0.024257900, -0.099845900, -0.304697900"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013577850, 0.0036871630, 0.0100127500, 0.0271903400, 0.0738373000, 0.2005104000"); - values("0.0181549000, 0.0195119000, 0.0232314000, 0.0333880000, 0.0609270000, 0.1357293000, 0.3382050000", \ - "0.0177960000, 0.0191581000, 0.0228801000, 0.0330379000, 0.0606483000, 0.1353793000, 0.3380126000", \ - "0.0174237000, 0.0188185000, 0.0225697000, 0.0327689000, 0.0604737000, 0.1351414000, 0.3378413000", \ - "0.0169761000, 0.0183582000, 0.0220746000, 0.0323819000, 0.0601067000, 0.1348636000, 0.3372614000", \ - "0.0169485000, 0.0183152000, 0.0220494000, 0.0322744000, 0.0598951000, 0.1346824000, 0.3373415000", \ - "0.0175026000, 0.0189475000, 0.0226479000, 0.0327044000, 0.0602160000, 0.1347495000, 0.3368013000", \ - "0.0199594000, 0.0212869000, 0.0248277000, 0.0345576000, 0.0615924000, 0.1359701000, 0.3375494000"); - } - } - max_capacitance : 0.2005100000; - max_transition : 1.4991700000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.1729595000, 0.1790768000, 0.1943536000, 0.2316289000, 0.3236871000, 0.5674556000, 1.2238046000", \ - "0.1778843000, 0.1839689000, 0.1992357000, 0.2365954000, 0.3289586000, 0.5728191000, 1.2289718000", \ - "0.1904723000, 0.1973455000, 0.2127726000, 0.2499577000, 0.3419699000, 0.5851565000, 1.2496718000", \ - "0.2224110000, 0.2285091000, 0.2440941000, 0.2814435000, 0.3735326000, 0.6167126000, 1.2730536000", \ - "0.2973074000, 0.3033604000, 0.3183908000, 0.3553840000, 0.4472459000, 0.6907949000, 1.3480563000", \ - "0.4456431000, 0.4524204000, 0.4686511000, 0.5071543000, 0.5998593000, 0.8434817000, 1.5068302000", \ - "0.6832838000, 0.6918816000, 0.7123575000, 0.7569410000, 0.8539576000, 1.0963127000, 1.7556917000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0837018000, 0.0876816000, 0.0978583000, 0.1227700000, 0.1860467000, 0.3549986000, 0.8114216000", \ - "0.0885540000, 0.0926310000, 0.1027337000, 0.1276291000, 0.1909790000, 0.3595529000, 0.8178342000", \ - "0.0999739000, 0.1040336000, 0.1141272000, 0.1391211000, 0.2026888000, 0.3716465000, 0.8279478000", \ - "0.1256124000, 0.1296057000, 0.1396504000, 0.1646692000, 0.2284891000, 0.3983277000, 0.8573668000", \ - "0.1680773000, 0.1722552000, 0.1827803000, 0.2080712000, 0.2721899000, 0.4411124000, 0.8994470000", \ - "0.2219465000, 0.2272747000, 0.2389830000, 0.2659257000, 0.3302809000, 0.4995943000, 0.9563526000", \ - "0.2724467000, 0.2794178000, 0.2951689000, 0.3274441000, 0.3943497000, 0.5629211000, 1.0191374000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0548506000, 0.0611934000, 0.0778788000, 0.1221039000, 0.2415635000, 0.5731725000, 1.4758106000", \ - "0.0551393000, 0.0615765000, 0.0778248000, 0.1220552000, 0.2416714000, 0.5729680000, 1.4734235000", \ - "0.0548179000, 0.0612423000, 0.0777645000, 0.1220609000, 0.2418258000, 0.5724483000, 1.4814511000", \ - "0.0547280000, 0.0615142000, 0.0777170000, 0.1219545000, 0.2414814000, 0.5758777000, 1.4723922000", \ - "0.0552216000, 0.0616656000, 0.0782077000, 0.1222553000, 0.2419246000, 0.5738203000, 1.4763658000", \ - "0.0644126000, 0.0707128000, 0.0863520000, 0.1283496000, 0.2443042000, 0.5734085000, 1.4792774000", \ - "0.0870675000, 0.0931644000, 0.1080434000, 0.1469415000, 0.2555601000, 0.5789091000, 1.4740674000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0321898000, 0.0362340000, 0.0475858000, 0.0791792000, 0.1674591000, 0.4108205000, 1.0708656000", \ - "0.0321487000, 0.0363228000, 0.0474540000, 0.0791596000, 0.1675887000, 0.4098533000, 1.0717693000", \ - "0.0321672000, 0.0363273000, 0.0474741000, 0.0791683000, 0.1674403000, 0.4106413000, 1.0751277000", \ - "0.0328443000, 0.0368830000, 0.0479899000, 0.0793416000, 0.1675954000, 0.4112240000, 1.0748031000", \ - "0.0372606000, 0.0409922000, 0.0512993000, 0.0815938000, 0.1679637000, 0.4105807000, 1.0726388000", \ - "0.0476271000, 0.0512549000, 0.0605777000, 0.0874032000, 0.1704725000, 0.4100092000, 1.0678640000", \ - "0.0677868000, 0.0712413000, 0.0806626000, 0.1045132000, 0.1784568000, 0.4118923000, 1.0671528000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.1931726000, 0.1994319000, 0.2149549000, 0.2536709000, 0.3480024000, 0.5924718000, 1.2489112000", \ - "0.1982242000, 0.2043877000, 0.2200883000, 0.2587587000, 0.3530087000, 0.5974458000, 1.2539310000", \ - "0.2109248000, 0.2169656000, 0.2326670000, 0.2713461000, 0.3655045000, 0.6098791000, 1.2672016000", \ - "0.2419905000, 0.2482664000, 0.2638209000, 0.3024677000, 0.3967188000, 0.6411693000, 1.2976789000", \ - "0.3158660000, 0.3218619000, 0.3374574000, 0.3758046000, 0.4701000000, 0.7149822000, 1.3719107000", \ - "0.4619649000, 0.4685328000, 0.4851017000, 0.5244328000, 0.6200195000, 0.8648441000, 1.5224073000", \ - "0.6937998000, 0.7018248000, 0.7213382000, 0.7658035000, 0.8653234000, 1.1117873000, 1.7714528000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.1027618000, 0.1067228000, 0.1169998000, 0.1432111000, 0.2111038000, 0.3915936000, 0.8785095000", \ - "0.1076211000, 0.1115610000, 0.1218360000, 0.1480776000, 0.2160137000, 0.3961203000, 0.8855841000", \ - "0.1191326000, 0.1230850000, 0.1333839000, 0.1596561000, 0.2274849000, 0.4084912000, 0.8959284000", \ - "0.1451800000, 0.1491246000, 0.1594015000, 0.1857247000, 0.2537323000, 0.4343532000, 0.9215420000", \ - "0.1908673000, 0.1948773000, 0.2053097000, 0.2318475000, 0.2998703000, 0.4811800000, 0.9682476000", \ - "0.2526959000, 0.2570223000, 0.2679454000, 0.2951359000, 0.3628438000, 0.5438782000, 1.0321923000", \ - "0.3206981000, 0.3259848000, 0.3390150000, 0.3688624000, 0.4384131000, 0.6187333000, 1.1078621000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0544289000, 0.0612111000, 0.0782204000, 0.1233921000, 0.2436204000, 0.5738320000, 1.4729638000", \ - "0.0546388000, 0.0611340000, 0.0784215000, 0.1234141000, 0.2436810000, 0.5736258000, 1.4727176000", \ - "0.0546410000, 0.0611551000, 0.0783081000, 0.1233477000, 0.2435007000, 0.5732774000, 1.4752962000", \ - "0.0546738000, 0.0612304000, 0.0783087000, 0.1234850000, 0.2437630000, 0.5738244000, 1.4729375000", \ - "0.0548814000, 0.0612790000, 0.0786056000, 0.1235396000, 0.2434776000, 0.5736543000, 1.4738359000", \ - "0.0608230000, 0.0670370000, 0.0836047000, 0.1267806000, 0.2454643000, 0.5747119000, 1.4728598000", \ - "0.0793317000, 0.0847007000, 0.0995722000, 0.1399610000, 0.2529182000, 0.5768709000, 1.4751967000"); - } - related_pin : "B_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0446333000, 0.0489903000, 0.0611393000, 0.0943629000, 0.1868933000, 0.4406622000, 1.1304022000", \ - "0.0446389000, 0.0490525000, 0.0611187000, 0.0944555000, 0.1868086000, 0.4403878000, 1.1330137000", \ - "0.0445908000, 0.0491189000, 0.0612348000, 0.0945004000, 0.1867714000, 0.4409179000, 1.1336144000", \ - "0.0450337000, 0.0493652000, 0.0613938000, 0.0946059000, 0.1872354000, 0.4407560000, 1.1299492000", \ - "0.0480805000, 0.0521332000, 0.0636038000, 0.0959601000, 0.1871896000, 0.4408219000, 1.1324275000", \ - "0.0562808000, 0.0600732000, 0.0704132000, 0.1005815000, 0.1896956000, 0.4409693000, 1.1314640000", \ - "0.0743513000, 0.0779454000, 0.0874122000, 0.1152676000, 0.1955662000, 0.4429165000, 1.1316880000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0710201000, 0.0763060000, 0.0901275000, 0.1258912000, 0.2174903000, 0.4602400000, 1.1164584000", \ - "0.0742162000, 0.0796288000, 0.0937278000, 0.1301540000, 0.2213882000, 0.4649566000, 1.1206506000", \ - "0.0804513000, 0.0859983000, 0.1003623000, 0.1369553000, 0.2285204000, 0.4724561000, 1.1282761000", \ - "0.0921065000, 0.0979496000, 0.1126855000, 0.1491158000, 0.2422527000, 0.4864327000, 1.1431036000", \ - "0.1097196000, 0.1168460000, 0.1341022000, 0.1754401000, 0.2723836000, 0.5182187000, 1.1750301000", \ - "0.1268743000, 0.1373107000, 0.1622020000, 0.2183850000, 0.3369191000, 0.5961155000, 1.2620199000", \ - "0.1094066000, 0.1262476000, 0.1671062000, 0.2559178000, 0.4286384000, 0.7527821000, 1.4462795000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0505999000, 0.0543137000, 0.0641644000, 0.0899170000, 0.1576432000, 0.3377772000, 0.8228400000", \ - "0.0560627000, 0.0596784000, 0.0695876000, 0.0954492000, 0.1632206000, 0.3434034000, 0.8290831000", \ - "0.0692975000, 0.0730552000, 0.0830023000, 0.1091201000, 0.1771404000, 0.3565588000, 0.8418568000", \ - "0.1024820000, 0.1059695000, 0.1154339000, 0.1414764000, 0.2094299000, 0.3875779000, 0.8726453000", \ - "0.1650592000, 0.1707431000, 0.1847613000, 0.2165935000, 0.2848402000, 0.4645331000, 0.9497655000", \ - "0.2668200000, 0.2759607000, 0.2995411000, 0.3510549000, 0.4523528000, 0.6419940000, 1.1232757000", \ - "0.4407673000, 0.4544524000, 0.4885776000, 0.5704637000, 0.7345344000, 1.0251701000, 1.5344117000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0477080000, 0.0544816000, 0.0723296000, 0.1195832000, 0.2421570000, 0.5730258000, 1.4733172000", \ - "0.0476999000, 0.0544312000, 0.0723663000, 0.1193499000, 0.2417563000, 0.5751041000, 1.4731993000", \ - "0.0477342000, 0.0545933000, 0.0725679000, 0.1195076000, 0.2420711000, 0.5768666000, 1.4724085000", \ - "0.0517009000, 0.0577551000, 0.0747353000, 0.1197055000, 0.2420142000, 0.5743916000, 1.4740713000", \ - "0.0626591000, 0.0696929000, 0.0872034000, 0.1311576000, 0.2464447000, 0.5746023000, 1.4726812000", \ - "0.0972253000, 0.1046488000, 0.1231440000, 0.1685264000, 0.2823080000, 0.5862427000, 1.4787379000", \ - "0.1728860000, 0.1822270000, 0.2062498000, 0.2618571000, 0.3822106000, 0.6762786000, 1.4991705000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0498554000, 0.0546172000, 0.0672045000, 0.1009280000, 0.1934384000, 0.4458407000, 1.1339389000", \ - "0.0500047000, 0.0545366000, 0.0671672000, 0.1009667000, 0.1938818000, 0.4461822000, 1.1337603000", \ - "0.0493864000, 0.0542363000, 0.0669261000, 0.1009937000, 0.1934975000, 0.4456421000, 1.1352989000", \ - "0.0558767000, 0.0595336000, 0.0700815000, 0.1016572000, 0.1937565000, 0.4462351000, 1.1343456000", \ - "0.0863735000, 0.0903689000, 0.1005684000, 0.1230886000, 0.2005064000, 0.4457258000, 1.1341167000", \ - "0.1405253000, 0.1471701000, 0.1634173000, 0.1977272000, 0.2660589000, 0.4664876000, 1.1341488000", \ - "0.2303261000, 0.2412101000, 0.2688834000, 0.3253588000, 0.4323007000, 0.6204660000, 1.1750204000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0762011000, 0.0814487000, 0.0954553000, 0.1310058000, 0.2224805000, 0.4654243000, 1.1215689000", \ - "0.0797228000, 0.0852922000, 0.0992379000, 0.1351810000, 0.2271493000, 0.4700085000, 1.1262314000", \ - "0.0868151000, 0.0924597000, 0.1067842000, 0.1430472000, 0.2350482000, 0.4795557000, 1.1346070000", \ - "0.0997294000, 0.1052632000, 0.1195407000, 0.1561979000, 0.2488544000, 0.4922526000, 1.1494183000", \ - "0.1174278000, 0.1236749000, 0.1395545000, 0.1787686000, 0.2739292000, 0.5187298000, 1.1761410000", \ - "0.1356193000, 0.1439435000, 0.1647282000, 0.2139647000, 0.3233268000, 0.5787745000, 1.2380460000", \ - "0.1236265000, 0.1369317000, 0.1706156000, 0.2459170000, 0.3977033000, 0.6986519000, 1.3847421000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0528092000, 0.0563901000, 0.0658782000, 0.0902461000, 0.1531824000, 0.3187683000, 0.7638238000", \ - "0.0580458000, 0.0616332000, 0.0710864000, 0.0955157000, 0.1584972000, 0.3239971000, 0.7680164000", \ - "0.0712573000, 0.0748733000, 0.0844313000, 0.1089382000, 0.1720431000, 0.3372575000, 0.7816557000", \ - "0.1041638000, 0.1074729000, 0.1166175000, 0.1409521000, 0.2039727000, 0.3694489000, 0.8143851000", \ - "0.1684316000, 0.1735119000, 0.1862617000, 0.2153688000, 0.2788743000, 0.4432279000, 0.8874271000", \ - "0.2703943000, 0.2783260000, 0.2982561000, 0.3448206000, 0.4410225000, 0.6176685000, 1.0613840000", \ - "0.4406854000, 0.4527320000, 0.4837097000, 0.5557463000, 0.7051822000, 0.9828623000, 1.4627336000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0477393000, 0.0544330000, 0.0726215000, 0.1193621000, 0.2418104000, 0.5729812000, 1.4733188000", \ - "0.0476940000, 0.0545849000, 0.0724712000, 0.1193966000, 0.2419166000, 0.5729615000, 1.4732799000", \ - "0.0477870000, 0.0547904000, 0.0726256000, 0.1192373000, 0.2421597000, 0.5747313000, 1.4737488000", \ - "0.0496499000, 0.0561770000, 0.0734934000, 0.1195012000, 0.2421262000, 0.5736562000, 1.4749835000", \ - "0.0576678000, 0.0643853000, 0.0820332000, 0.1271155000, 0.2448683000, 0.5731150000, 1.4750112000", \ - "0.0827308000, 0.0894355000, 0.1072907000, 0.1539281000, 0.2710745000, 0.5835383000, 1.4746023000", \ - "0.1505545000, 0.1587720000, 0.1799557000, 0.2304234000, 0.3490539000, 0.6539365000, 1.4972187000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013577900, 0.0036871600, 0.0100128000, 0.0271903000, 0.0738373000, 0.2005100000"); - values("0.0575174000, 0.0617822000, 0.0729666000, 0.1037043000, 0.1878220000, 0.4201330000, 1.0548481000", \ - "0.0572453000, 0.0615423000, 0.0728602000, 0.1035075000, 0.1887154000, 0.4204180000, 1.0545359000", \ - "0.0559188000, 0.0601566000, 0.0721396000, 0.1035789000, 0.1880403000, 0.4203183000, 1.0550396000", \ - "0.0607398000, 0.0645090000, 0.0748431000, 0.1035752000, 0.1878933000, 0.4203727000, 1.0539468000", \ - "0.0902649000, 0.0941639000, 0.1031822000, 0.1257932000, 0.1953610000, 0.4205851000, 1.0549269000", \ - "0.1454971000, 0.1515121000, 0.1651417000, 0.1975894000, 0.2633641000, 0.4464884000, 1.0559427000", \ - "0.2335328000, 0.2436234000, 0.2682097000, 0.3226677000, 0.4258979000, 0.6127255000, 1.1088117000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nand4bb_4") { - leakage_power () { - value : 0.0021446000; - when : "!A_N&!B_N&!C&D"; - } - leakage_power () { - value : 0.0008800000; - when : "!A_N&!B_N&!C&!D"; - } - leakage_power () { - value : 0.0110036000; - when : "!A_N&!B_N&C&D"; - } - leakage_power () { - value : 0.0021369000; - when : "!A_N&!B_N&C&!D"; - } - leakage_power () { - value : 0.0023886000; - when : "!A_N&B_N&!C&D"; - } - leakage_power () { - value : 0.0023167000; - when : "!A_N&B_N&!C&!D"; - } - leakage_power () { - value : 0.0036834000; - when : "!A_N&B_N&C&D"; - } - leakage_power () { - value : 0.0023927000; - when : "!A_N&B_N&C&!D"; - } - leakage_power () { - value : 0.0038340000; - when : "A_N&!B_N&!C&D"; - } - leakage_power () { - value : 0.0037578000; - when : "A_N&!B_N&!C&!D"; - } - leakage_power () { - value : 0.0055639000; - when : "A_N&!B_N&C&D"; - } - leakage_power () { - value : 0.0038371000; - when : "A_N&!B_N&C&!D"; - } - leakage_power () { - value : 0.0052867000; - when : "A_N&B_N&!C&D"; - } - leakage_power () { - value : 0.0284557000; - when : "A_N&B_N&!C&!D"; - } - leakage_power () { - value : 0.0053618000; - when : "A_N&B_N&C&D"; - } - leakage_power () { - value : 0.0052875000; - when : "A_N&B_N&C&!D"; - } - area : 27.526400000; - cell_footprint : "sky130_fd_sc_hd__nand4bb"; - cell_leakage_power : 0.0055206760; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A_N") { - capacitance : 0.0023840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0218176000, 0.0217040000, 0.0214422000, 0.0215769000, 0.0218874000, 0.0226030000, 0.0242527000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0193043000, 0.0192187000, 0.0190213000, 0.0190986000, 0.0192770000, 0.0196881000, 0.0206357000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025000000; - } - pin ("B_N") { - capacitance : 0.0023850000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0156780000, 0.0155711000, 0.0153248000, 0.0154240000, 0.0156526000, 0.0161796000, 0.0173942000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0181510000, 0.0180644000, 0.0178646000, 0.0179548000, 0.0181626000, 0.0186416000, 0.0197458000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024990000; - } - pin ("C") { - capacitance : 0.0085950000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082580000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0165704000, 0.0166114000, 0.0167057000, 0.0166959000, 0.0166733000, 0.0166212000, 0.0165011000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015126200, -0.015130000, -0.015138600, -0.015123700, -0.015089400, -0.015010400, -0.014828300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0089330000; - } - pin ("D") { - capacitance : 0.0087430000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082840000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0157040000, 0.0157019000, 0.0156971000, 0.0156996000, 0.0157052000, 0.0157181000, 0.0157479000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015682300, -0.015677500, -0.015666300, -0.015664000, -0.015658800, -0.015646800, -0.015619200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092010000; - } - pin ("Y") { - direction : "output"; - function : "(A_N) | (B_N) | (!C) | (!D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014942180, 0.0044653720, 0.0133444700, 0.0398791000, 0.1191761000, 0.3561500000"); - values("0.0221397000, 0.0206874000, 0.0162441000, 0.0027047000, -0.039226600, -0.166930500, -0.550374800", \ - "0.0221083000, 0.0206331000, 0.0163271000, 0.0026859000, -0.039189900, -0.166916200, -0.550404700", \ - "0.0221753000, 0.0206881000, 0.0162050000, 0.0026652000, -0.039162200, -0.166822700, -0.550453300", \ - "0.0217697000, 0.0202910000, 0.0157901000, 0.0022015000, -0.039699100, -0.167256300, -0.550711100", \ - "0.0211700000, 0.0196405000, 0.0150784000, 0.0012501000, -0.040571400, -0.167975300, -0.551163700", \ - "0.0206103000, 0.0190510000, 0.0144713000, 0.0005778000, -0.041468500, -0.168729600, -0.551824400", \ - "0.0210715000, 0.0194597000, 0.0146713000, 0.0008356000, -0.041527600, -0.168929400, -0.552238300"); - } - related_pin : "A_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014942180, 0.0044653720, 0.0133444700, 0.0398791000, 0.1191761000, 0.3561500000"); - values("0.0104989000, 0.0123208000, 0.0176578000, 0.0330056000, 0.0767196000, 0.2047415000, 0.5819098000", \ - "0.0104762000, 0.0122904000, 0.0176064000, 0.0330070000, 0.0768519000, 0.2048132000, 0.5852412000", \ - "0.0104421000, 0.0122554000, 0.0175769000, 0.0329407000, 0.0768161000, 0.2047918000, 0.5851992000", \ - "0.0098336000, 0.0116210000, 0.0168993000, 0.0321812000, 0.0761459000, 0.2043266000, 0.5849690000", \ - "0.0092916000, 0.0110295000, 0.0161761000, 0.0310102000, 0.0749826000, 0.2035392000, 0.5845771000", \ - "0.0093579000, 0.0110283000, 0.0160816000, 0.0305019000, 0.0738088000, 0.2018364000, 0.5839186000", \ - "0.0101032000, 0.0116468000, 0.0166373000, 0.0311862000, 0.0743178000, 0.2019650000, 0.5812801000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014942180, 0.0044653720, 0.0133444700, 0.0398791000, 0.1191761000, 0.3561500000"); - values("0.0371745000, 0.0356867000, 0.0312335000, 0.0176570000, -0.024290600, -0.152143100, -0.535708400", \ - "0.0371504000, 0.0356100000, 0.0312239000, 0.0176458000, -0.024305700, -0.152137800, -0.535710000", \ - "0.0371665000, 0.0356520000, 0.0312464000, 0.0176487000, -0.024299500, -0.152073300, -0.535689000", \ - "0.0368576000, 0.0353711000, 0.0308898000, 0.0172386000, -0.024715800, -0.152420600, -0.535896400", \ - "0.0362949000, 0.0347817000, 0.0302111000, 0.0165001000, -0.025428500, -0.152984400, -0.536327700", \ - "0.0355775000, 0.0341452000, 0.0295631000, 0.0156993000, -0.026299500, -0.153731100, -0.536817400", \ - "0.0358960000, 0.0342149000, 0.0295141000, 0.0155353000, -0.026647800, -0.154009800, -0.536938300"); - } - related_pin : "B_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014942180, 0.0044653720, 0.0133444700, 0.0398791000, 0.1191761000, 0.3561500000"); - values("0.0186495000, 0.0203472000, 0.0253952000, 0.0400938000, 0.0832327000, 0.2104603000, 0.5887537000", \ - "0.0186816000, 0.0203768000, 0.0253940000, 0.0401730000, 0.0833155000, 0.2104353000, 0.5891327000", \ - "0.0185885000, 0.0203054000, 0.0253407000, 0.0400889000, 0.0832559000, 0.2103617000, 0.5897785000", \ - "0.0178395000, 0.0195297000, 0.0245524000, 0.0394019000, 0.0826180000, 0.2100109000, 0.5890551000", \ - "0.0169451000, 0.0186204000, 0.0235752000, 0.0383143000, 0.0814473000, 0.2092331000, 0.5884591000", \ - "0.0163357000, 0.0179824000, 0.0230960000, 0.0372624000, 0.0805192000, 0.2086517000, 0.5877551000", \ - "0.0163556000, 0.0180398000, 0.0229432000, 0.0373820000, 0.0806435000, 0.2074517000, 0.5872903000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014942180, 0.0044653720, 0.0133444700, 0.0398791000, 0.1191761000, 0.3561500000"); - values("0.0400806000, 0.0385412000, 0.0338268000, 0.0197126000, -0.023031800, -0.151402500, -0.535268200", \ - "0.0393243000, 0.0379090000, 0.0333197000, 0.0193787000, -0.023170000, -0.151548200, -0.535212800", \ - "0.0383884000, 0.0369015000, 0.0324251000, 0.0187214000, -0.023544300, -0.151591200, -0.535345900", \ - "0.0373594000, 0.0358507000, 0.0313797000, 0.0177549000, -0.024223900, -0.151958500, -0.535450700", \ - "0.0368911000, 0.0353974000, 0.0307954000, 0.0170290000, -0.025016000, -0.152422300, -0.535539500", \ - "0.0374382000, 0.0359037000, 0.0310400000, 0.0164613000, -0.026037300, -0.153465700, -0.536302300", \ - "0.0388234000, 0.0371006000, 0.0321845000, 0.0176533000, -0.025476600, -0.153975500, -0.537005000"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014942180, 0.0044653720, 0.0133444700, 0.0398791000, 0.1191761000, 0.3561500000"); - values("0.0227515000, 0.0243081000, 0.0290003000, 0.0431884000, 0.0855925000, 0.2126583000, 0.5911958000", \ - "0.0220718000, 0.0236497000, 0.0284193000, 0.0426966000, 0.0852815000, 0.2122179000, 0.5909421000", \ - "0.0209961000, 0.0226085000, 0.0274243000, 0.0418339000, 0.0847239000, 0.2117391000, 0.5912352000", \ - "0.0201828000, 0.0217986000, 0.0266686000, 0.0408656000, 0.0838654000, 0.2111411000, 0.5906211000", \ - "0.0195805000, 0.0211563000, 0.0259037000, 0.0402089000, 0.0830809000, 0.2108161000, 0.5900437000", \ - "0.0206264000, 0.0221831000, 0.0268940000, 0.0411445000, 0.0837508000, 0.2108594000, 0.5875913000", \ - "0.0241042000, 0.0253780000, 0.0299724000, 0.0435496000, 0.0855510000, 0.2113186000, 0.5885470000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014942180, 0.0044653720, 0.0133444700, 0.0398791000, 0.1191761000, 0.3561500000"); - values("0.0402242000, 0.0386815000, 0.0340679000, 0.0199412000, -0.022795400, -0.151287200, -0.535033300", \ - "0.0395817000, 0.0381590000, 0.0335455000, 0.0195792000, -0.023003200, -0.151338200, -0.535089700", \ - "0.0386206000, 0.0371516000, 0.0326822000, 0.0189374000, -0.023355400, -0.151503600, -0.535151600", \ - "0.0376824000, 0.0361858000, 0.0316980000, 0.0180277000, -0.023985800, -0.151812500, -0.535260400", \ - "0.0372876000, 0.0357776000, 0.0311421000, 0.0172958000, -0.024779800, -0.152228000, -0.535463200", \ - "0.0379417000, 0.0363693000, 0.0316084000, 0.0169052000, -0.025505700, -0.152991400, -0.535873500", \ - "0.0395494000, 0.0378701000, 0.0329131000, 0.0183267000, -0.024691100, -0.153400800, -0.536494000"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014942180, 0.0044653720, 0.0133444700, 0.0398791000, 0.1191761000, 0.3561500000"); - values("0.0342876000, 0.0358583000, 0.0406068000, 0.0548193000, 0.0974979000, 0.2247226000, 0.6040780000", \ - "0.0336445000, 0.0352536000, 0.0399430000, 0.0541989000, 0.0969033000, 0.2240804000, 0.6029840000", \ - "0.0328422000, 0.0344267000, 0.0392181000, 0.0535442000, 0.0963629000, 0.2237941000, 0.6033541000", \ - "0.0320347000, 0.0336412000, 0.0384433000, 0.0528206000, 0.0957763000, 0.2231373000, 0.6025175000", \ - "0.0316631000, 0.0332803000, 0.0380592000, 0.0521096000, 0.0952391000, 0.2224993000, 0.6027529000", \ - "0.0339609000, 0.0355083000, 0.0389607000, 0.0529531000, 0.0964913000, 0.2235480000, 0.6017278000", \ - "0.0374075000, 0.0389158000, 0.0433775000, 0.0571339000, 0.0995090000, 0.2263008000, 0.6030352000"); - } - } - max_capacitance : 0.3561500000; - max_transition : 1.4966030000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.1537746000, 0.1575625000, 0.1684753000, 0.1978556000, 0.2780254000, 0.5084203000, 1.1942745000", \ - "0.1588737000, 0.1626870000, 0.1735001000, 0.2030413000, 0.2831334000, 0.5134909000, 1.1994424000", \ - "0.1716907000, 0.1754724000, 0.1862845000, 0.2158836000, 0.2959757000, 0.5273847000, 1.2099058000", \ - "0.2020906000, 0.2058521000, 0.2166302000, 0.2459490000, 0.3259548000, 0.5578584000, 1.2402894000", \ - "0.2731690000, 0.2768774000, 0.2875007000, 0.3167906000, 0.3967951000, 0.6277053000, 1.3157020000", \ - "0.4013293000, 0.4055013000, 0.4171811000, 0.4477847000, 0.5289661000, 0.7595889000, 1.4444392000", \ - "0.5991769000, 0.6044388000, 0.6189047000, 0.6547442000, 0.7397054000, 0.9701830000, 1.6544834000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.0964563000, 0.0993018000, 0.1074176000, 0.1289327000, 0.1869881000, 0.3546990000, 0.8509086000", \ - "0.1011542000, 0.1039998000, 0.1120155000, 0.1336555000, 0.1919163000, 0.3587897000, 0.8558620000", \ - "0.1124033000, 0.1152407000, 0.1232849000, 0.1448895000, 0.2031924000, 0.3702715000, 0.8674710000", \ - "0.1379480000, 0.1407397000, 0.1487302000, 0.1702426000, 0.2285491000, 0.3959884000, 0.8930069000", \ - "0.1827268000, 0.1856720000, 0.1938830000, 0.2157712000, 0.2746176000, 0.4422483000, 0.9401993000", \ - "0.2413722000, 0.2447614000, 0.2539386000, 0.2768617000, 0.3363904000, 0.5048118000, 1.0000327000", \ - "0.3012455000, 0.3056475000, 0.3173298000, 0.3455112000, 0.4082155000, 0.5754057000, 1.0728043000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.0554860000, 0.0597176000, 0.0718923000, 0.1074823000, 0.2120498000, 0.5279622000, 1.4714878000", \ - "0.0555161000, 0.0597947000, 0.0720530000, 0.1075089000, 0.2121716000, 0.5280114000, 1.4713308000", \ - "0.0555693000, 0.0596619000, 0.0719015000, 0.1075726000, 0.2122251000, 0.5286621000, 1.4717588000", \ - "0.0554524000, 0.0596089000, 0.0716840000, 0.1074686000, 0.2120444000, 0.5283611000, 1.4718612000", \ - "0.0561743000, 0.0603471000, 0.0725495000, 0.1081580000, 0.2120928000, 0.5275615000, 1.4728881000", \ - "0.0657996000, 0.0696872000, 0.0814687000, 0.1147944000, 0.2154191000, 0.5293183000, 1.4734922000", \ - "0.0876984000, 0.0914831000, 0.1018461000, 0.1336990000, 0.2274843000, 0.5314845000, 1.4723268000"); - } - related_pin : "A_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.0371197000, 0.0397930000, 0.0481366000, 0.0731359000, 0.1506383000, 0.3872577000, 1.0940210000", \ - "0.0370986000, 0.0398245000, 0.0480459000, 0.0731913000, 0.1504514000, 0.3877701000, 1.0972407000", \ - "0.0370470000, 0.0398583000, 0.0481344000, 0.0731338000, 0.1503208000, 0.3874096000, 1.0974629000", \ - "0.0375616000, 0.0402113000, 0.0484185000, 0.0734480000, 0.1507335000, 0.3876130000, 1.0971202000", \ - "0.0415350000, 0.0440321000, 0.0517811000, 0.0760552000, 0.1515677000, 0.3867634000, 1.0960055000", \ - "0.0523036000, 0.0544391000, 0.0612247000, 0.0831051000, 0.1546439000, 0.3864394000, 1.0992356000", \ - "0.0714954000, 0.0735870000, 0.0804180000, 0.1004663000, 0.1646150000, 0.3902154000, 1.0920838000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.1822447000, 0.1862472000, 0.1976440000, 0.2288095000, 0.3111811000, 0.5425922000, 1.2268951000", \ - "0.1872193000, 0.1914124000, 0.2027272000, 0.2337979000, 0.3163278000, 0.5482355000, 1.2311978000", \ - "0.2005053000, 0.2044543000, 0.2157388000, 0.2469289000, 0.3293613000, 0.5611841000, 1.2450772000", \ - "0.2311008000, 0.2351606000, 0.2464189000, 0.2773455000, 0.3598173000, 0.5915467000, 1.2795357000", \ - "0.3039753000, 0.3080123000, 0.3191598000, 0.3499971000, 0.4325339000, 0.6649565000, 1.3488760000", \ - "0.4419446000, 0.4463158000, 0.4579585000, 0.4899988000, 0.5738215000, 0.8068365000, 1.4915680000", \ - "0.6613317000, 0.6659839000, 0.6803531000, 0.7164859000, 0.8036358000, 1.0387798000, 1.7253292000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.1125902000, 0.1152899000, 0.1230861000, 0.1451340000, 0.2068048000, 0.3856388000, 0.9186888000", \ - "0.1172100000, 0.1198937000, 0.1277383000, 0.1496690000, 0.2114380000, 0.3904663000, 0.9202823000", \ - "0.1283716000, 0.1310775000, 0.1388724000, 0.1609468000, 0.2226816000, 0.4016108000, 0.9317096000", \ - "0.1535352000, 0.1562277000, 0.1640044000, 0.1860206000, 0.2479658000, 0.4270579000, 0.9571761000", \ - "0.1990005000, 0.2017184000, 0.2095839000, 0.2317366000, 0.2938345000, 0.4737520000, 1.0036463000", \ - "0.2588087000, 0.2616952000, 0.2700448000, 0.2925428000, 0.3550245000, 0.5338985000, 1.0644050000", \ - "0.3196829000, 0.3231065000, 0.3328820000, 0.3581932000, 0.4222011000, 0.6007422000, 1.1329325000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.0574704000, 0.0616854000, 0.0743820000, 0.1105031000, 0.2150001000, 0.5303818000, 1.4737696000", \ - "0.0574918000, 0.0616808000, 0.0742213000, 0.1105688000, 0.2150336000, 0.5291698000, 1.4721169000", \ - "0.0575005000, 0.0616549000, 0.0743066000, 0.1104695000, 0.2150154000, 0.5295762000, 1.4739343000", \ - "0.0573756000, 0.0617878000, 0.0743416000, 0.1104944000, 0.2150179000, 0.5287166000, 1.4731469000", \ - "0.0578370000, 0.0621215000, 0.0747910000, 0.1107586000, 0.2150224000, 0.5289592000, 1.4707088000", \ - "0.0634270000, 0.0677287000, 0.0795624000, 0.1146104000, 0.2172858000, 0.5301495000, 1.4731727000", \ - "0.0810491000, 0.0841741000, 0.0950748000, 0.1274713000, 0.2249715000, 0.5324848000, 1.4736267000"); - } - related_pin : "B_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.0495974000, 0.0526547000, 0.0616221000, 0.0883820000, 0.1708184000, 0.4194460000, 1.1702745000", \ - "0.0496689000, 0.0525335000, 0.0614923000, 0.0885440000, 0.1707785000, 0.4197223000, 1.1672454000", \ - "0.0496424000, 0.0526411000, 0.0616375000, 0.0883589000, 0.1707375000, 0.4203358000, 1.1667475000", \ - "0.0498956000, 0.0528192000, 0.0616641000, 0.0885004000, 0.1706683000, 0.4202780000, 1.1662543000", \ - "0.0526384000, 0.0554397000, 0.0639268000, 0.0899550000, 0.1710921000, 0.4200844000, 1.1655235000", \ - "0.0605069000, 0.0631518000, 0.0711356000, 0.0954901000, 0.1733916000, 0.4199942000, 1.1680286000", \ - "0.0792148000, 0.0815496000, 0.0886861000, 0.1105135000, 0.1810145000, 0.4227985000, 1.1675296000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.0752285000, 0.0787905000, 0.0885354000, 0.1167996000, 0.1960963000, 0.4263179000, 1.1090491000", \ - "0.0781367000, 0.0818163000, 0.0920793000, 0.1205503000, 0.1998881000, 0.4309328000, 1.1132798000", \ - "0.0837970000, 0.0875520000, 0.0976881000, 0.1265638000, 0.2070705000, 0.4370134000, 1.1202880000", \ - "0.0942201000, 0.0979723000, 0.1087401000, 0.1379419000, 0.2189939000, 0.4508304000, 1.1340048000", \ - "0.1091507000, 0.1136550000, 0.1263134000, 0.1593888000, 0.2455135000, 0.4784297000, 1.1631299000", \ - "0.1227941000, 0.1288667000, 0.1466068000, 0.1929116000, 0.2986947000, 0.5490425000, 1.2363460000", \ - "0.0969279000, 0.1076442000, 0.1356837000, 0.2078937000, 0.3656893000, 0.6830863000, 1.4095601000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.0563355000, 0.0588553000, 0.0661509000, 0.0873370000, 0.1482518000, 0.3260749000, 0.8498481000", \ - "0.0615670000, 0.0641074000, 0.0715177000, 0.0928150000, 0.1539237000, 0.3312368000, 0.8558788000", \ - "0.0746823000, 0.0771972000, 0.0846843000, 0.1061484000, 0.1675929000, 0.3452671000, 0.8696150000", \ - "0.1073942000, 0.1097640000, 0.1168863000, 0.1376992000, 0.1982417000, 0.3763053000, 0.9008402000", \ - "0.1722833000, 0.1759727000, 0.1862328000, 0.2127822000, 0.2750418000, 0.4528732000, 0.9779011000", \ - "0.2800765000, 0.2856922000, 0.3015671000, 0.3443351000, 0.4374942000, 0.6283056000, 1.1498190000", \ - "0.4626069000, 0.4707231000, 0.4948442000, 0.5602255000, 0.7104183000, 1.0036453000, 1.5588748000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.0518914000, 0.0563679000, 0.0690152000, 0.1063415000, 0.2131262000, 0.5296853000, 1.4721759000", \ - "0.0518507000, 0.0562957000, 0.0693128000, 0.1063422000, 0.2132157000, 0.5290278000, 1.4714634000", \ - "0.0519186000, 0.0564003000, 0.0691588000, 0.1061959000, 0.2129621000, 0.5281846000, 1.4720349000", \ - "0.0554520000, 0.0594960000, 0.0716696000, 0.1073048000, 0.2132472000, 0.5292450000, 1.4740588000", \ - "0.0662890000, 0.0707464000, 0.0831817000, 0.1188672000, 0.2191652000, 0.5313559000, 1.4710861000", \ - "0.1006199000, 0.1053131000, 0.1183030000, 0.1551929000, 0.2546061000, 0.5439786000, 1.4734541000", \ - "0.1776992000, 0.1835891000, 0.2005097000, 0.2448608000, 0.3527376000, 0.6359255000, 1.4966027000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.0560571000, 0.0592206000, 0.0684871000, 0.0962039000, 0.1788659000, 0.4292932000, 1.1790201000", \ - "0.0560620000, 0.0592001000, 0.0685503000, 0.0962563000, 0.1797458000, 0.4285765000, 1.1802964000", \ - "0.0556139000, 0.0587826000, 0.0681438000, 0.0962068000, 0.1791926000, 0.4292256000, 1.1786654000", \ - "0.0605619000, 0.0631125000, 0.0711586000, 0.0966753000, 0.1795089000, 0.4292149000, 1.1792285000", \ - "0.0899013000, 0.0925148000, 0.1000667000, 0.1197594000, 0.1870583000, 0.4291287000, 1.1813421000", \ - "0.1451907000, 0.1493139000, 0.1607030000, 0.1899441000, 0.2547479000, 0.4519084000, 1.1789666000", \ - "0.2349645000, 0.2423885000, 0.2632557000, 0.3103498000, 0.4108085000, 0.6078145000, 1.2175695000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.0798999000, 0.0832495000, 0.0933242000, 0.1213912000, 0.2004263000, 0.4311964000, 1.1134357000", \ - "0.0829390000, 0.0865980000, 0.0967155000, 0.1253566000, 0.2046047000, 0.4356233000, 1.1180152000", \ - "0.0891352000, 0.0927080000, 0.1030749000, 0.1321834000, 0.2119062000, 0.4432254000, 1.1256276000", \ - "0.1002129000, 0.1038346000, 0.1142334000, 0.1433098000, 0.2237746000, 0.4554855000, 1.1383449000", \ - "0.1145179000, 0.1184833000, 0.1297135000, 0.1611253000, 0.2447672000, 0.4771581000, 1.1616244000", \ - "0.1265554000, 0.1315714000, 0.1460899000, 0.1845160000, 0.2804763000, 0.5258552000, 1.2142648000", \ - "0.1021362000, 0.1110435000, 0.1343840000, 0.1945654000, 0.3298972000, 0.6162684000, 1.3329740000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.0584689000, 0.0608304000, 0.0677967000, 0.0877165000, 0.1440105000, 0.3057224000, 0.7819270000", \ - "0.0637750000, 0.0661722000, 0.0730146000, 0.0930042000, 0.1493806000, 0.3111501000, 0.7862892000", \ - "0.0766836000, 0.0790793000, 0.0860827000, 0.1061142000, 0.1626312000, 0.3242726000, 0.8006209000", \ - "0.1094479000, 0.1117103000, 0.1184892000, 0.1383515000, 0.1948444000, 0.3565733000, 0.8318937000", \ - "0.1764568000, 0.1796795000, 0.1888038000, 0.2120499000, 0.2697906000, 0.4314618000, 0.9061112000", \ - "0.2871699000, 0.2920611000, 0.3046607000, 0.3421476000, 0.4279200000, 0.6040908000, 1.0776281000", \ - "0.4695195000, 0.4771336000, 0.4988466000, 0.5550660000, 0.6918994000, 0.9654348000, 1.4814058000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.0519309000, 0.0563303000, 0.0693041000, 0.1063565000, 0.2132269000, 0.5289784000, 1.4718987000", \ - "0.0519069000, 0.0563770000, 0.0693181000, 0.1064963000, 0.2132219000, 0.5290836000, 1.4708815000", \ - "0.0519751000, 0.0563702000, 0.0693330000, 0.1065285000, 0.2132453000, 0.5290531000, 1.4715772000", \ - "0.0536977000, 0.0579262000, 0.0704167000, 0.1065753000, 0.2132161000, 0.5289649000, 1.4716970000", \ - "0.0610923000, 0.0653855000, 0.0780649000, 0.1145186000, 0.2170970000, 0.5313168000, 1.4733976000", \ - "0.0845032000, 0.0885240000, 0.1011049000, 0.1375192000, 0.2408506000, 0.5408614000, 1.4766794000", \ - "0.1516657000, 0.1565636000, 0.1708774000, 0.2102028000, 0.3154788000, 0.6049368000, 1.4947379000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014942200, 0.0044653700, 0.0133445000, 0.0398791000, 0.1191760000, 0.3561500000"); - values("0.0643988000, 0.0671786000, 0.0755820000, 0.1006816000, 0.1760519000, 0.4066854000, 1.1002933000", \ - "0.0640369000, 0.0669435000, 0.0753799000, 0.1005291000, 0.1761830000, 0.4063167000, 1.0972115000", \ - "0.0628723000, 0.0658603000, 0.0744507000, 0.1001175000, 0.1759988000, 0.4063460000, 1.0979467000", \ - "0.0664748000, 0.0689370000, 0.0765853000, 0.1001573000, 0.1760706000, 0.4062581000, 1.0979747000", \ - "0.0945829000, 0.0971442000, 0.1041004000, 0.1222592000, 0.1847176000, 0.4070207000, 1.0979428000", \ - "0.1488095000, 0.1526727000, 0.1640613000, 0.1905898000, 0.2548909000, 0.4334452000, 1.0979301000", \ - "0.2391375000, 0.2450527000, 0.2628552000, 0.3068589000, 0.4064248000, 0.5969951000, 1.1472702000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor2_1") { - leakage_power () { - value : 0.0042527000; - when : "!A&B"; - } - leakage_power () { - value : 0.0005535000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0003034000; - when : "A&B"; - } - leakage_power () { - value : 0.0027691000; - when : "A&!B"; - } - area : 3.7536000000; - cell_footprint : "sky130_fd_sc_hd__nor2"; - cell_leakage_power : 0.0019697000; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023730000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040287000, 0.0040304000, 0.0040343000, 0.0040313000, 0.0040243000, 0.0040084000, 0.0039716000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003655800, -0.003724700, -0.003883500, -0.003886400, -0.003893300, -0.003909000, -0.003945400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024670000; - } - pin ("B") { - capacitance : 0.0023530000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022060000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0024958000, 0.0024923000, 0.0024842000, 0.0024914000, 0.0025080000, 0.0025464000, 0.0026348000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001703600, -0.001700200, -0.001692300, -0.001693300, -0.001695500, -0.001700800, -0.001712900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025010000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011792790, 0.0027813980, 0.0065600890, 0.0154723500, 0.0364924400, 0.0860695400"); - values("0.0033831000, 0.0023087000, -0.000245100, -0.006313400, -0.020688500, -0.054711300, -0.134953900", \ - "0.0032959000, 0.0022221000, -0.000312600, -0.006351000, -0.020726200, -0.054729100, -0.134959000", \ - "0.0032503000, 0.0021761000, -0.000331200, -0.006314900, -0.020650300, -0.054603000, -0.134870500", \ - "0.0030726000, 0.0020069000, -0.000507400, -0.006441700, -0.020731600, -0.054663600, -0.134880700", \ - "0.0032625000, 0.0021845000, -0.000354800, -0.006474000, -0.020854800, -0.054700800, -0.134913600", \ - "0.0041084000, 0.0029247000, 6.490000e-05, -0.005936600, -0.020424100, -0.054593100, -0.134844300", \ - "0.0058008000, 0.0046885000, 0.0018346000, -0.004658800, -0.019427000, -0.053837100, -0.134544700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011792790, 0.0027813980, 0.0065600890, 0.0154723500, 0.0364924400, 0.0860695400"); - values("0.0072133000, 0.0083823000, 0.0110600000, 0.0172660000, 0.0316026000, 0.0656529000, 0.1442872000", \ - "0.0070087000, 0.0081744000, 0.0108689000, 0.0171299000, 0.0314262000, 0.0651136000, 0.1442832000", \ - "0.0068800000, 0.0080154000, 0.0106724000, 0.0168250000, 0.0314744000, 0.0649365000, 0.1441691000", \ - "0.0067844000, 0.0079038000, 0.0105160000, 0.0166964000, 0.0310259000, 0.0647920000, 0.1440820000", \ - "0.0067126000, 0.0078404000, 0.0104623000, 0.0165733000, 0.0310240000, 0.0646135000, 0.1440148000", \ - "0.0065377000, 0.0076235000, 0.0104706000, 0.0166179000, 0.0310122000, 0.0648914000, 0.1440435000", \ - "0.0079056000, 0.0089073000, 0.0112540000, 0.0170768000, 0.0313416000, 0.0653377000, 0.1446737000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011792790, 0.0027813980, 0.0065600890, 0.0154723500, 0.0364924400, 0.0860695400"); - values("0.0001161000, -0.000884300, -0.003365600, -0.009388200, -0.023771700, -0.057797000, -0.138083900", \ - "-8.87000e-05, -0.001058900, -0.003479700, -0.009427700, -0.023753300, -0.057745400, -0.138026200", \ - "-0.000355700, -0.001342700, -0.003716400, -0.009594300, -0.023832200, -0.057775100, -0.138016100", \ - "-0.000425500, -0.001518700, -0.004020500, -0.009856300, -0.023974100, -0.057841600, -0.138049000", \ - "-0.000432100, -0.001497100, -0.004034400, -0.009923000, -0.024221000, -0.057956300, -0.138099100", \ - "0.0004539000, -0.000660000, -0.003442700, -0.009560000, -0.023989300, -0.058016800, -0.138155800", \ - "0.0026121000, 0.0012756000, -0.001701100, -0.008298900, -0.023249600, -0.057449100, -0.138009500"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011792790, 0.0027813980, 0.0065600890, 0.0154723500, 0.0364924400, 0.0860695400"); - values("0.0062893000, 0.0075031000, 0.0101938000, 0.0163798000, 0.0307311000, 0.0646927000, 0.1447920000", \ - "0.0060386000, 0.0072036000, 0.0099546000, 0.0161864000, 0.0306119000, 0.0642636000, 0.1445428000", \ - "0.0059374000, 0.0070815000, 0.0096878000, 0.0158973000, 0.0304077000, 0.0641823000, 0.1434526000", \ - "0.0058546000, 0.0069660000, 0.0096178000, 0.0156518000, 0.0301002000, 0.0639702000, 0.1433623000", \ - "0.0060840000, 0.0071573000, 0.0096762000, 0.0157862000, 0.0301081000, 0.0640169000, 0.1440335000", \ - "0.0067959000, 0.0078057000, 0.0102727000, 0.0162380000, 0.0303135000, 0.0636023000, 0.1429686000", \ - "0.0087301000, 0.0096610000, 0.0120748000, 0.0184535000, 0.0319003000, 0.0648031000, 0.1440411000"); - } - } - max_capacitance : 0.0860700000; - max_transition : 1.4944790000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011792800, 0.0027814000, 0.0065600900, 0.0154724000, 0.0364924000, 0.0860695000"); - values("0.0190360000, 0.0215947000, 0.0272198000, 0.0399461000, 0.0688945000, 0.1361845000, 0.2943552000", \ - "0.0238153000, 0.0263031000, 0.0319310000, 0.0446257000, 0.0735838000, 0.1409075000, 0.2990341000", \ - "0.0341733000, 0.0370188000, 0.0432750000, 0.0560966000, 0.0848920000, 0.1520304000, 0.3101353000", \ - "0.0477991000, 0.0526141000, 0.0623161000, 0.0802289000, 0.1116031000, 0.1786463000, 0.3368668000", \ - "0.0635656000, 0.0709430000, 0.0860044000, 0.1142329000, 0.1621650000, 0.2393694000, 0.3966667000", \ - "0.0750275000, 0.0862809000, 0.1098119000, 0.1529907000, 0.2291519000, 0.3512564000, 0.5416234000", \ - "0.0630542000, 0.0808831000, 0.1170295000, 0.1859119000, 0.3042667000, 0.4954561000, 0.7911051000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011792800, 0.0027814000, 0.0065600900, 0.0154724000, 0.0364924000, 0.0860695000"); - values("0.0535309000, 0.0624521000, 0.0827583000, 0.1296748000, 0.2403223000, 0.4964094000, 1.0910149000", \ - "0.0584658000, 0.0672655000, 0.0876976000, 0.1348434000, 0.2428248000, 0.5027195000, 1.0975248000", \ - "0.0704606000, 0.0790985000, 0.0992865000, 0.1457621000, 0.2562149000, 0.5105412000, 1.1113832000", \ - "0.0949138000, 0.1045912000, 0.1248307000, 0.1714398000, 0.2804020000, 0.5354027000, 1.1368554000", \ - "0.1338386000, 0.1471503000, 0.1748801000, 0.2287121000, 0.3391497000, 0.5938540000, 1.1942373000", \ - "0.1923603000, 0.2135827000, 0.2551632000, 0.3315146000, 0.4695703000, 0.7293924000, 1.3343304000", \ - "0.2908056000, 0.3221162000, 0.3846763000, 0.4995299000, 0.6933965000, 1.0300584000, 1.6428976000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011792800, 0.0027814000, 0.0065600900, 0.0154724000, 0.0364924000, 0.0860695000"); - values("0.0133173000, 0.0162387000, 0.0230975000, 0.0390614000, 0.0769627000, 0.1659429000, 0.3741285000", \ - "0.0132612000, 0.0160771000, 0.0229152000, 0.0390843000, 0.0769860000, 0.1659096000, 0.3747086000", \ - "0.0183004000, 0.0207453000, 0.0259583000, 0.0400061000, 0.0766724000, 0.1655208000, 0.3751109000", \ - "0.0296812000, 0.0331107000, 0.0402443000, 0.0528114000, 0.0824942000, 0.1650518000, 0.3746599000", \ - "0.0495575000, 0.0550513000, 0.0657323000, 0.0848695000, 0.1176947000, 0.1840762000, 0.3745960000", \ - "0.0846432000, 0.0934187000, 0.1097656000, 0.1411326000, 0.1905301000, 0.2697150000, 0.4213641000", \ - "0.1471779000, 0.1623777000, 0.1894495000, 0.2357859000, 0.3114916000, 0.4319934000, 0.6206120000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011792800, 0.0027814000, 0.0065600900, 0.0154724000, 0.0364924000, 0.0860695000"); - values("0.0397691000, 0.0511740000, 0.0780082000, 0.1417654000, 0.2909386000, 0.6475466000, 1.4665317000", \ - "0.0398254000, 0.0511983000, 0.0780980000, 0.1418391000, 0.2897509000, 0.6444150000, 1.4694822000", \ - "0.0398298000, 0.0512603000, 0.0781290000, 0.1409021000, 0.2915635000, 0.6396143000, 1.4640775000", \ - "0.0462081000, 0.0559536000, 0.0804090000, 0.1411384000, 0.2889892000, 0.6407167000, 1.4624811000", \ - "0.0668605000, 0.0775374000, 0.1017904000, 0.1540026000, 0.2925267000, 0.6394485000, 1.4691923000", \ - "0.1105513000, 0.1228485000, 0.1499894000, 0.2083700000, 0.3313576000, 0.6479490000, 1.4656883000", \ - "0.1863145000, 0.2034043000, 0.2404033000, 0.3140700000, 0.4557996000, 0.7493287000, 1.4878785000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011792800, 0.0027814000, 0.0065600900, 0.0154724000, 0.0364924000, 0.0860695000"); - values("0.0156296000, 0.0180104000, 0.0233638000, 0.0356609000, 0.0641406000, 0.1316836000, 0.2900434000", \ - "0.0203053000, 0.0227182000, 0.0281792000, 0.0404438000, 0.0691446000, 0.1361500000, 0.2948875000", \ - "0.0277223000, 0.0315402000, 0.0388281000, 0.0518945000, 0.0805566000, 0.1475413000, 0.3090947000", \ - "0.0359846000, 0.0422275000, 0.0539056000, 0.0741758000, 0.1070441000, 0.1739432000, 0.3341677000", \ - "0.0431602000, 0.0525956000, 0.0712007000, 0.1028897000, 0.1550372000, 0.2346605000, 0.3925809000", \ - "0.0404120000, 0.0560548000, 0.0842626000, 0.1340692000, 0.2156434000, 0.3426192000, 0.5366415000", \ - "0.0075956000, 0.0298035000, 0.0746855000, 0.1536548000, 0.2819046000, 0.4820727000, 0.7827049000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011792800, 0.0027814000, 0.0065600900, 0.0154724000, 0.0364924000, 0.0860695000"); - values("0.0409774000, 0.0500758000, 0.0706680000, 0.1172771000, 0.2268389000, 0.4830076000, 1.0878999000", \ - "0.0440666000, 0.0529172000, 0.0737508000, 0.1208034000, 0.2300006000, 0.4855337000, 1.0955047000", \ - "0.0553825000, 0.0640778000, 0.0837551000, 0.1309525000, 0.2402728000, 0.4961174000, 1.0969213000", \ - "0.0801953000, 0.0908979000, 0.1113433000, 0.1569330000, 0.2671649000, 0.5223477000, 1.1227607000", \ - "0.1184158000, 0.1348123000, 0.1659439000, 0.2226178000, 0.3299288000, 0.5862180000, 1.1893532000", \ - "0.1779249000, 0.2019334000, 0.2500242000, 0.3374095000, 0.4811848000, 0.7302756000, 1.3320954000", \ - "0.2813761000, 0.3149384000, 0.3830530000, 0.5107562000, 0.7322720000, 1.0770871000, 1.6773825000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011792800, 0.0027814000, 0.0065600900, 0.0154724000, 0.0364924000, 0.0860695000"); - values("0.0088665000, 0.0117921000, 0.0186609000, 0.0347135000, 0.0731656000, 0.1612023000, 0.3708196000", \ - "0.0096863000, 0.0122191000, 0.0186360000, 0.0344515000, 0.0726028000, 0.1622722000, 0.3730477000", \ - "0.0155484000, 0.0180238000, 0.0229369000, 0.0362456000, 0.0724510000, 0.1619080000, 0.3712491000", \ - "0.0266471000, 0.0300579000, 0.0372801000, 0.0514777000, 0.0790242000, 0.1632742000, 0.3706679000", \ - "0.0458007000, 0.0522172000, 0.0626350000, 0.0826596000, 0.1155174000, 0.1811881000, 0.3739281000", \ - "0.0806957000, 0.0910282000, 0.1072080000, 0.1383468000, 0.1888244000, 0.2668552000, 0.4209027000", \ - "0.1464894000, 0.1613612000, 0.1881425000, 0.2345852000, 0.3118086000, 0.4312395000, 0.6195141000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011792800, 0.0027814000, 0.0065600900, 0.0154724000, 0.0364924000, 0.0860695000"); - values("0.0397519000, 0.0512007000, 0.0779957000, 0.1409291000, 0.2896431000, 0.6418243000, 1.4797075000", \ - "0.0394845000, 0.0510389000, 0.0780166000, 0.1408854000, 0.2898597000, 0.6389512000, 1.4823202000", \ - "0.0400411000, 0.0509512000, 0.0778922000, 0.1410948000, 0.2898015000, 0.6397169000, 1.4658119000", \ - "0.0540333000, 0.0623788000, 0.0840599000, 0.1418888000, 0.2898861000, 0.6394330000, 1.4697440000", \ - "0.0787265000, 0.0913860000, 0.1159434000, 0.1637902000, 0.2942867000, 0.6427856000, 1.4728250000", \ - "0.1203677000, 0.1386865000, 0.1737311000, 0.2398944000, 0.3522298000, 0.6517846000, 1.4655828000", \ - "0.1892298000, 0.2147965000, 0.2652500000, 0.3617796000, 0.5241573000, 0.7896050000, 1.4944792000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor2_2") { - leakage_power () { - value : 0.0036845000; - when : "!A&B"; - } - leakage_power () { - value : 0.0015349000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0002441000; - when : "A&B"; - } - leakage_power () { - value : 0.0022266000; - when : "A&!B"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__nor2"; - cell_leakage_power : 0.0019225140; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0044010000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041900000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0083596000, 0.0083601000, 0.0083614000, 0.0083582000, 0.0083510000, 0.0083343000, 0.0082960000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007230700, -0.007335600, -0.007577500, -0.007590200, -0.007619600, -0.007687400, -0.007843500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046110000; - } - pin ("B") { - capacitance : 0.0044380000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041360000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042515000, 0.0042461000, 0.0042335000, 0.0042552000, 0.0043054000, 0.0044212000, 0.0046879000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003435100, -0.003434600, -0.003433400, -0.003431600, -0.003427500, -0.003417900, -0.003395900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047400000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000"); - values("0.0073745000, 0.0061358000, 0.0029227000, -0.005283900, -0.026426800, -0.080952600, -0.220968900", \ - "0.0071484000, 0.0059227000, 0.0027561000, -0.005426000, -0.026525600, -0.080995400, -0.221066300", \ - "0.0069652000, 0.0057606000, 0.0026709000, -0.005482000, -0.026516900, -0.080944700, -0.220989400", \ - "0.0066225000, 0.0054307000, 0.0022739000, -0.005784300, -0.026727600, -0.081110600, -0.221070700", \ - "0.0068860000, 0.0056776000, 0.0025148000, -0.005681200, -0.026949600, -0.081233700, -0.221170300", \ - "0.0081474000, 0.0068761000, 0.0036039000, -0.004755800, -0.026103200, -0.081095500, -0.221037300", \ - "0.0113450000, 0.0099364000, 0.0065013000, -0.002350900, -0.024201900, -0.079607500, -0.220424300"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000"); - values("0.0128950000, 0.0142341000, 0.0176613000, 0.0260292000, 0.0472620000, 0.1013471000, 0.2397798000", \ - "0.0125529000, 0.0138972000, 0.0172895000, 0.0257286000, 0.0471062000, 0.1014395000, 0.2397332000", \ - "0.0123128000, 0.0136308000, 0.0169836000, 0.0253620000, 0.0470654000, 0.1010472000, 0.2405892000", \ - "0.0121624000, 0.0134507000, 0.0167624000, 0.0251620000, 0.0466846000, 0.1011173000, 0.2394514000", \ - "0.0120071000, 0.0133236000, 0.0165791000, 0.0248679000, 0.0461908000, 0.1003002000, 0.2390999000", \ - "0.0120678000, 0.0133443000, 0.0165953000, 0.0249152000, 0.0460655000, 0.1006008000, 0.2386959000", \ - "0.0131879000, 0.0142743000, 0.0173908000, 0.0252415000, 0.0465944000, 0.1012898000, 0.2402129000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000"); - values("-0.000488800, -0.001610300, -0.004610500, -0.012699500, -0.033897600, -0.088527100, -0.228713000", \ - "-0.000860600, -0.001954500, -0.004867700, -0.012771300, -0.033849200, -0.088390900, -0.228544400", \ - "-0.001308000, -0.002404100, -0.005255500, -0.013066500, -0.033966500, -0.088371900, -0.228471900", \ - "-0.001682500, -0.002856900, -0.005747100, -0.013543600, -0.034294800, -0.088527400, -0.228496400", \ - "-0.001181600, -0.002629000, -0.005764800, -0.013812100, -0.034742900, -0.088823800, -0.228644100", \ - "4.680000e-05, -0.001317200, -0.004744900, -0.013213300, -0.034350400, -0.088936900, -0.228750400", \ - "0.0039358000, 0.0023853000, -0.001389300, -0.010687400, -0.032925900, -0.087914100, -0.228633000"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012817810, 0.0032859260, 0.0084236780, 0.0215946300, 0.0553591700, 0.1419167000"); - values("0.0120659000, 0.0135219000, 0.0170708000, 0.0256150000, 0.0471589000, 0.1013624000, 0.2405368000", \ - "0.0116343000, 0.0130064000, 0.0164398000, 0.0251373000, 0.0466608000, 0.1009385000, 0.2394738000", \ - "0.0114624000, 0.0127780000, 0.0161374000, 0.0245983000, 0.0461837000, 0.1014518000, 0.2399905000", \ - "0.0113236000, 0.0126046000, 0.0159888000, 0.0243982000, 0.0455761000, 0.1003875000, 0.2398083000", \ - "0.0119148000, 0.0131421000, 0.0162965000, 0.0243881000, 0.0456010000, 0.0996798000, 0.2386542000", \ - "0.0131292000, 0.0142935000, 0.0174108000, 0.0254979000, 0.0460363000, 0.0994893000, 0.2381229000", \ - "0.0159512000, 0.0169725000, 0.0197795000, 0.0275421000, 0.0484078000, 0.1013567000, 0.2383341000"); - } - } - max_capacitance : 0.1419170000; - max_transition : 1.4983880000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0169765000, 0.0186137000, 0.0225047000, 0.0317480000, 0.0538005000, 0.1082873000, 0.2463683000", \ - "0.0218970000, 0.0234217000, 0.0272035000, 0.0363347000, 0.0583460000, 0.1129020000, 0.2511329000", \ - "0.0315818000, 0.0336417000, 0.0382211000, 0.0476932000, 0.0692584000, 0.1237162000, 0.2619326000", \ - "0.0436403000, 0.0466044000, 0.0537263000, 0.0682395000, 0.0954174000, 0.1499542000, 0.2880661000", \ - "0.0557866000, 0.0604313000, 0.0712855000, 0.0937865000, 0.1360242000, 0.2087881000, 0.3476518000", \ - "0.0592939000, 0.0665427000, 0.0832647000, 0.1176225000, 0.1842997000, 0.2985803000, 0.4848358000", \ - "0.0289450000, 0.0404634000, 0.0666192000, 0.1215940000, 0.2253026000, 0.4052740000, 0.6964460000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0598512000, 0.0661608000, 0.0818005000, 0.1204893000, 0.2178144000, 0.4658339000, 1.1001068000", \ - "0.0645408000, 0.0707845000, 0.0864186000, 0.1252582000, 0.2230472000, 0.4756194000, 1.1065003000", \ - "0.0774803000, 0.0835427000, 0.0989149000, 0.1377132000, 0.2369799000, 0.4852300000, 1.1255878000", \ - "0.1046485000, 0.1111289000, 0.1266699000, 0.1651232000, 0.2640097000, 0.5126880000, 1.1476432000", \ - "0.1485323000, 0.1574588000, 0.1780745000, 0.2236462000, 0.3228659000, 0.5733475000, 1.2074964000", \ - "0.2165370000, 0.2308327000, 0.2627495000, 0.3270954000, 0.4536868000, 0.7105454000, 1.3508183000", \ - "0.3247446000, 0.3481303000, 0.3973368000, 0.4979204000, 0.6811013000, 1.0181618000, 1.6702481000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0121913000, 0.0139872000, 0.0184149000, 0.0295312000, 0.0577435000, 0.1302407000, 0.3149928000", \ - "0.0122711000, 0.0139194000, 0.0181640000, 0.0292849000, 0.0576226000, 0.1303335000, 0.3149339000", \ - "0.0174991000, 0.0189791000, 0.0224710000, 0.0313296000, 0.0576492000, 0.1302361000, 0.3154841000", \ - "0.0278304000, 0.0301365000, 0.0351356000, 0.0458227000, 0.0667332000, 0.1312678000, 0.3150509000", \ - "0.0462946000, 0.0497118000, 0.0579389000, 0.0734008000, 0.1022856000, 0.1564527000, 0.3181727000", \ - "0.0787279000, 0.0845512000, 0.0973526000, 0.1221569000, 0.1662236000, 0.2417725000, 0.3770034000", \ - "0.1377398000, 0.1473311000, 0.1670777000, 0.2068850000, 0.2752654000, 0.3887180000, 0.5769948000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0387164000, 0.0465397000, 0.0671545000, 0.1191083000, 0.2519610000, 0.5924332000, 1.4660573000", \ - "0.0387927000, 0.0467894000, 0.0671140000, 0.1193467000, 0.2531905000, 0.5963443000, 1.4642677000", \ - "0.0388228000, 0.0468128000, 0.0671166000, 0.1193101000, 0.2541284000, 0.5942123000, 1.4723758000", \ - "0.0428648000, 0.0500679000, 0.0690108000, 0.1196403000, 0.2542018000, 0.5942771000, 1.4705871000", \ - "0.0609025000, 0.0684849000, 0.0876629000, 0.1321587000, 0.2555057000, 0.5936081000, 1.4707048000", \ - "0.0995867000, 0.1085114000, 0.1304543000, 0.1799390000, 0.2948676000, 0.6014265000, 1.4671513000", \ - "0.1783026000, 0.1896907000, 0.2178831000, 0.2801153000, 0.4119040000, 0.7022209000, 1.4890928000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0141760000, 0.0156199000, 0.0191598000, 0.0278052000, 0.0492845000, 0.1040127000, 0.2438898000", \ - "0.0186334000, 0.0202421000, 0.0238568000, 0.0324836000, 0.0541217000, 0.1089733000, 0.2497110000", \ - "0.0246079000, 0.0272212000, 0.0327980000, 0.0435904000, 0.0654035000, 0.1199132000, 0.2625150000", \ - "0.0304144000, 0.0345370000, 0.0434582000, 0.0606458000, 0.0907239000, 0.1459215000, 0.2876185000", \ - "0.0320202000, 0.0386238000, 0.0528719000, 0.0800952000, 0.1274019000, 0.2048295000, 0.3456997000", \ - "0.0190370000, 0.0289388000, 0.0516526000, 0.0945865000, 0.1686562000, 0.2903307000, 0.4836087000", \ - "-0.037415200, -0.022069200, 0.0132257000, 0.0813341000, 0.1994799000, 0.3909838000, 0.6922216000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0395814000, 0.0463244000, 0.0626076000, 0.1021031000, 0.2018021000, 0.4509545000, 1.0874180000", \ - "0.0428289000, 0.0490655000, 0.0650582000, 0.1051309000, 0.2032878000, 0.4522231000, 1.0868446000", \ - "0.0543258000, 0.0604069000, 0.0758686000, 0.1145287000, 0.2132721000, 0.4656956000, 1.1023106000", \ - "0.0798983000, 0.0876051000, 0.1039779000, 0.1416236000, 0.2412836000, 0.4923889000, 1.1289197000", \ - "0.1193988000, 0.1314784000, 0.1568444000, 0.2073465000, 0.3057597000, 0.5536542000, 1.1896503000", \ - "0.1842142000, 0.2015711000, 0.2396233000, 0.3170890000, 0.4540920000, 0.7055990000, 1.3411834000", \ - "0.3025458000, 0.3261292000, 0.3795286000, 0.4912014000, 0.6986479000, 1.0530292000, 1.6922767000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0070459000, 0.0087223000, 0.0131490000, 0.0243219000, 0.0531277000, 0.1275299000, 0.3138667000", \ - "0.0082968000, 0.0096261000, 0.0134577000, 0.0243722000, 0.0530534000, 0.1277071000, 0.3147392000", \ - "0.0137204000, 0.0154245000, 0.0192885000, 0.0275155000, 0.0535135000, 0.1268677000, 0.3165656000", \ - "0.0235946000, 0.0260140000, 0.0315956000, 0.0427767000, 0.0643198000, 0.1286790000, 0.3161398000", \ - "0.0416952000, 0.0453546000, 0.0544462000, 0.0705738000, 0.1002252000, 0.1543953000, 0.3188899000", \ - "0.0737656000, 0.0801945000, 0.0942736000, 0.1194076000, 0.1649284000, 0.2402973000, 0.3775331000", \ - "0.1354639000, 0.1452008000, 0.1697280000, 0.2075639000, 0.2767224000, 0.3894367000, 0.5774533000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012817800, 0.0032859300, 0.0084236800, 0.0215946000, 0.0553592000, 0.1419170000"); - values("0.0383727000, 0.0464427000, 0.0670202000, 0.1193254000, 0.2544325000, 0.5955795000, 1.4724479000", \ - "0.0381824000, 0.0460213000, 0.0669146000, 0.1192609000, 0.2525813000, 0.5925727000, 1.4656973000", \ - "0.0381865000, 0.0458870000, 0.0664339000, 0.1192298000, 0.2523023000, 0.5972254000, 1.4709203000", \ - "0.0518557000, 0.0576092000, 0.0733776000, 0.1196992000, 0.2540167000, 0.5957025000, 1.4724416000", \ - "0.0736324000, 0.0839464000, 0.1035009000, 0.1448334000, 0.2575590000, 0.5951247000, 1.4645798000", \ - "0.1118042000, 0.1259139000, 0.1547507000, 0.2130005000, 0.3210224000, 0.6068745000, 1.4703324000", \ - "0.1760784000, 0.1950611000, 0.2384363000, 0.3231547000, 0.4775236000, 0.7496009000, 1.4983882000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor2_4") { - leakage_power () { - value : 0.0040121000; - when : "!A&B"; - } - leakage_power () { - value : 0.0038425000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0004326000; - when : "A&B"; - } - leakage_power () { - value : 0.0025266000; - when : "A&!B"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__nor2"; - cell_leakage_power : 0.0027034450; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0087330000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0083040000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0166262000, 0.0166196000, 0.0166045000, 0.0166015000, 0.0165948000, 0.0165793000, 0.0165435000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.014203000, -0.014451100, -0.015023100, -0.015052500, -0.015120400, -0.015276800, -0.015637300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091620000; - } - pin ("B") { - capacitance : 0.0086870000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0080850000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0081436000, 0.0081226000, 0.0080741000, 0.0081109000, 0.0081958000, 0.0083914000, 0.0088424000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006803300, -0.006799600, -0.006791000, -0.006789500, -0.006786200, -0.006778400, -0.006760500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092890000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000"); - values("0.0145888000, 0.0131288000, 0.0090218000, -0.002561700, -0.035331800, -0.128296000, -0.391244100", \ - "0.0142153000, 0.0127769000, 0.0086766000, -0.002845900, -0.035513400, -0.128443600, -0.391371600", \ - "0.0138944000, 0.0125050000, 0.0084683000, -0.002870700, -0.035438400, -0.128239700, -0.391195500", \ - "0.0130502000, 0.0116242000, 0.0076562000, -0.003648700, -0.035904100, -0.128573600, -0.391304800", \ - "0.0136694000, 0.0122110000, 0.0081994000, -0.003201600, -0.036291200, -0.128826400, -0.391466700", \ - "0.0160415000, 0.0146191000, 0.0104409000, -0.002234800, -0.035401700, -0.128272600, -0.391073900", \ - "0.0215214000, 0.0200398000, 0.0154086000, 0.0030774000, -0.031095100, -0.125725300, -0.390139800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000"); - values("0.0249433000, 0.0265426000, 0.0309809000, 0.0430860000, 0.0763783000, 0.1683058000, 0.4283017000", \ - "0.0242285000, 0.0258172000, 0.0302205000, 0.0424180000, 0.0757326000, 0.1680930000, 0.4281469000", \ - "0.0237443000, 0.0252968000, 0.0295957000, 0.0415580000, 0.0753023000, 0.1681136000, 0.4278681000", \ - "0.0234331000, 0.0249690000, 0.0291991000, 0.0410534000, 0.0741796000, 0.1671085000, 0.4310648000", \ - "0.0231148000, 0.0246845000, 0.0288640000, 0.0405805000, 0.0736300000, 0.1667428000, 0.4301930000", \ - "0.0232302000, 0.0247032000, 0.0288746000, 0.0406072000, 0.0737207000, 0.1665469000, 0.4262356000", \ - "0.0249650000, 0.0262105000, 0.0300107000, 0.0411471000, 0.0743474000, 0.1672062000, 0.4281072000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000"); - values("-5.18000e-05, -0.001346100, -0.005112600, -0.016321000, -0.049065600, -0.142248100, -0.405451700", \ - "-0.000782300, -0.002019900, -0.005667100, -0.016589700, -0.049012800, -0.142011800, -0.405117700", \ - "-0.001647300, -0.002906000, -0.006470400, -0.017263100, -0.049292900, -0.142004900, -0.404946800", \ - "-0.002350500, -0.003672800, -0.007446400, -0.018167700, -0.050101100, -0.142319400, -0.404969500", \ - "-0.001778100, -0.003062700, -0.007073500, -0.018577100, -0.050980400, -0.142884000, -0.405222200", \ - "0.0003238000, -0.001299400, -0.005673300, -0.017701800, -0.050703300, -0.143048500, -0.405359200", \ - "0.0081546000, 0.0065841000, 0.0007174000, -0.012229700, -0.047334100, -0.141374600, -0.405403900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014103010, 0.0039778980, 0.0112200700, 0.0316473500, 0.0892646000, 0.2517799000"); - values("0.0234499000, 0.0252083000, 0.0298260000, 0.0424246000, 0.0757155000, 0.1681166000, 0.4281616000", \ - "0.0225901000, 0.0242770000, 0.0287232000, 0.0410645000, 0.0751239000, 0.1682812000, 0.4278748000", \ - "0.0220176000, 0.0236259000, 0.0279958000, 0.0403448000, 0.0738392000, 0.1684640000, 0.4277499000", \ - "0.0224831000, 0.0239328000, 0.0280787000, 0.0397846000, 0.0728287000, 0.1662264000, 0.4279252000", \ - "0.0234005000, 0.0248091000, 0.0286947000, 0.0400777000, 0.0728604000, 0.1654970000, 0.4262714000", \ - "0.0253165000, 0.0268912000, 0.0307984000, 0.0422785000, 0.0742481000, 0.1664044000, 0.4285354000", \ - "0.0315872000, 0.0323786000, 0.0359486000, 0.0468016000, 0.0779553000, 0.1683928000, 0.4261670000"); - } - } - max_capacitance : 0.2517800000; - max_transition : 1.4964490000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0169715000, 0.0179898000, 0.0207708000, 0.0278877000, 0.0464088000, 0.0962407000, 0.2342976000", \ - "0.0218200000, 0.0227798000, 0.0254185000, 0.0324638000, 0.0509264000, 0.1007439000, 0.2387181000", \ - "0.0311648000, 0.0324597000, 0.0357109000, 0.0435209000, 0.0617677000, 0.1114110000, 0.2496350000", \ - "0.0424904000, 0.0444516000, 0.0494231000, 0.0614109000, 0.0862029000, 0.1371073000, 0.2749299000", \ - "0.0523674000, 0.0554190000, 0.0631869000, 0.0816469000, 0.1203738000, 0.1924431000, 0.3342928000", \ - "0.0511493000, 0.0557858000, 0.0678160000, 0.0966514000, 0.1572204000, 0.2690975000, 0.4647971000", \ - "0.0095339000, 0.0168776000, 0.0358525000, 0.0808120000, 0.1756667000, 0.3539680000, 0.6596342000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0622744000, 0.0664765000, 0.0779111000, 0.1094290000, 0.1954166000, 0.4332344000, 1.1041421000", \ - "0.0667856000, 0.0709660000, 0.0823530000, 0.1140250000, 0.2003005000, 0.4389736000, 1.1103100000", \ - "0.0798638000, 0.0838861000, 0.0952087000, 0.1260894000, 0.2131699000, 0.4561659000, 1.1249001000", \ - "0.1076138000, 0.1118374000, 0.1228784000, 0.1538689000, 0.2394934000, 0.4796278000, 1.1576793000", \ - "0.1527445000, 0.1587778000, 0.1737594000, 0.2112743000, 0.2997347000, 0.5399549000, 1.2174648000", \ - "0.2263442000, 0.2352630000, 0.2582115000, 0.3114440000, 0.4269287000, 0.6780203000, 1.3543581000", \ - "0.3456880000, 0.3598186000, 0.3963414000, 0.4799576000, 0.6491258000, 0.9774964000, 1.6728150000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0124766000, 0.0136194000, 0.0167281000, 0.0253355000, 0.0492240000, 0.1167727000, 0.3080123000", \ - "0.0125080000, 0.0135257000, 0.0164962000, 0.0249712000, 0.0490925000, 0.1167750000, 0.3080687000", \ - "0.0176730000, 0.0187179000, 0.0212990000, 0.0279611000, 0.0495384000, 0.1167363000, 0.3085307000", \ - "0.0277003000, 0.0291974000, 0.0329441000, 0.0415591000, 0.0609881000, 0.1191021000, 0.3082691000", \ - "0.0453959000, 0.0477238000, 0.0535742000, 0.0665357000, 0.0940910000, 0.1479985000, 0.3128849000", \ - "0.0771960000, 0.0809411000, 0.0904556000, 0.1114286000, 0.1515543000, 0.2297037000, 0.3762480000", \ - "0.1353600000, 0.1415310000, 0.1563482000, 0.1899870000, 0.2544940000, 0.3675451000, 0.5692316000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0402232000, 0.0454527000, 0.0599119000, 0.1017079000, 0.2190281000, 0.5447648000, 1.4649204000", \ - "0.0402643000, 0.0454902000, 0.0599241000, 0.1014302000, 0.2177543000, 0.5452596000, 1.4655323000", \ - "0.0403483000, 0.0455417000, 0.0602361000, 0.1015483000, 0.2189719000, 0.5471781000, 1.4664657000", \ - "0.0438942000, 0.0485808000, 0.0622658000, 0.1016263000, 0.2180911000, 0.5448665000, 1.4783624000", \ - "0.0609245000, 0.0662622000, 0.0800483000, 0.1158114000, 0.2220661000, 0.5454546000, 1.4779042000", \ - "0.0980405000, 0.1042219000, 0.1197569000, 0.1601521000, 0.2630935000, 0.5558799000, 1.4675843000", \ - "0.1757244000, 0.1831104000, 0.2033222000, 0.2535891000, 0.3716539000, 0.6541388000, 1.4863820000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0138624000, 0.0147903000, 0.0172767000, 0.0237678000, 0.0414504000, 0.0905806000, 0.2287096000", \ - "0.0182299000, 0.0193012000, 0.0218561000, 0.0283958000, 0.0462271000, 0.0953179000, 0.2356907000", \ - "0.0237826000, 0.0254619000, 0.0296104000, 0.0387528000, 0.0571306000, 0.1064740000, 0.2446131000", \ - "0.0285800000, 0.0312624000, 0.0379018000, 0.0522514000, 0.0802141000, 0.1320774000, 0.2707602000", \ - "0.0280358000, 0.0322023000, 0.0425710000, 0.0657560000, 0.1098734000, 0.1865268000, 0.3294210000", \ - "0.0095458000, 0.0162749000, 0.0325911000, 0.0691303000, 0.1390075000, 0.2584541000, 0.4607315000", \ - "-0.059366700, -0.048490700, -0.023510800, 0.0340899000, 0.1441704000, 0.3356903000, 0.6527048000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0419300000, 0.0465356000, 0.0584431000, 0.0910134000, 0.1781699000, 0.4159947000, 1.0871927000", \ - "0.0450207000, 0.0493287000, 0.0609082000, 0.0928722000, 0.1826169000, 0.4218549000, 1.0933071000", \ - "0.0562287000, 0.0602519000, 0.0715604000, 0.1031770000, 0.1898992000, 0.4331795000, 1.1038219000", \ - "0.0833635000, 0.0884081000, 0.1007163000, 0.1308756000, 0.2159345000, 0.4562961000, 1.1357739000", \ - "0.1253656000, 0.1329178000, 0.1526407000, 0.1948177000, 0.2835507000, 0.5216512000, 1.1936074000", \ - "0.1955487000, 0.2069636000, 0.2353216000, 0.3007529000, 0.4295797000, 0.6782531000, 1.3499469000", \ - "0.3272712000, 0.3421144000, 0.3818280000, 0.4756208000, 0.6683371000, 1.0252291000, 1.7070267000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0068857000, 0.0079172000, 0.0108661000, 0.0193111000, 0.0428918000, 0.1091994000, 0.2961055000", \ - "0.0081798000, 0.0089718000, 0.0114750000, 0.0193212000, 0.0429388000, 0.1092325000, 0.2976890000", \ - "0.0134535000, 0.0145305000, 0.0174647000, 0.0238283000, 0.0439932000, 0.1091723000, 0.2962383000", \ - "0.0230443000, 0.0246661000, 0.0286775000, 0.0381474000, 0.0569112000, 0.1120622000, 0.2984915000", \ - "0.0408158000, 0.0432319000, 0.0497885000, 0.0638828000, 0.0916016000, 0.1426618000, 0.3020172000", \ - "0.0731927000, 0.0775736000, 0.0871061000, 0.1086018000, 0.1501374000, 0.2266512000, 0.3695773000", \ - "0.1334633000, 0.1400780000, 0.1583683000, 0.1922647000, 0.2549426000, 0.3658019000, 0.5634401000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014103000, 0.0039779000, 0.0112201000, 0.0316474000, 0.0892646000, 0.2517800000"); - values("0.0398289000, 0.0452207000, 0.0597080000, 0.1014653000, 0.2181662000, 0.5454607000, 1.4650987000", \ - "0.0395948000, 0.0450032000, 0.0598076000, 0.1013858000, 0.2193156000, 0.5467820000, 1.4662073000", \ - "0.0394401000, 0.0444469000, 0.0593656000, 0.1013186000, 0.2176547000, 0.5498381000, 1.4670208000", \ - "0.0524386000, 0.0563191000, 0.0668484000, 0.1029512000, 0.2178261000, 0.5451701000, 1.4725396000", \ - "0.0749895000, 0.0802406000, 0.0969379000, 0.1303689000, 0.2258270000, 0.5478105000, 1.4688503000", \ - "0.1122800000, 0.1208849000, 0.1430223000, 0.1934740000, 0.2917642000, 0.5620057000, 1.4755858000", \ - "0.1741214000, 0.1877213000, 0.2188592000, 0.2915491000, 0.4369753000, 0.7131835000, 1.4964487000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor2_8") { - leakage_power () { - value : 0.0052252000; - when : "!A&B"; - } - leakage_power () { - value : 0.0089170000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0304538000; - when : "A&B"; - } - leakage_power () { - value : 0.0033195000; - when : "A&!B"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__nor2"; - cell_leakage_power : 0.0119788500; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0169770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0160990000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0328015000, 0.0327925000, 0.0327720000, 0.0327651000, 0.0327492000, 0.0327128000, 0.0326289000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.027975000, -0.028472500, -0.029619200, -0.029651700, -0.029726700, -0.029899600, -0.030298100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0178550000; - } - pin ("B") { - capacitance : 0.0168590000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0156850000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0155097000, 0.0154846000, 0.0154270000, 0.0154879000, 0.0156284000, 0.0159524000, 0.0166992000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013536600, -0.013546200, -0.013568400, -0.013561100, -0.013544500, -0.013506200, -0.013417900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0180320000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015437090, 0.0047660740, 0.0147148600, 0.0454309200, 0.1402642000, 0.4330543000"); - values("0.0287614000, 0.0270941000, 0.0219603000, 0.0059743000, -0.043253300, -0.196066300, -0.669809100", \ - "0.0281109000, 0.0264513000, 0.0213520000, 0.0055176000, -0.043629700, -0.196498400, -0.670061900", \ - "0.0276275000, 0.0260196000, 0.0210079000, 0.0053459000, -0.043439100, -0.196046000, -0.669560100", \ - "0.0259752000, 0.0243554000, 0.0193939000, 0.0039083000, -0.044347600, -0.196750900, -0.670088800", \ - "0.0264983000, 0.0248785000, 0.0199472000, 0.0037660000, -0.045685100, -0.197367300, -0.670335300", \ - "0.0299247000, 0.0281978000, 0.0229075000, 0.0072699000, -0.043729000, -0.196523500, -0.669801300", \ - "0.0405168000, 0.0386630000, 0.0329572000, 0.0159012000, -0.036029700, -0.192117600, -0.668230600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015437090, 0.0047660740, 0.0147148600, 0.0454309200, 0.1402642000, 0.4330543000"); - values("0.0485691000, 0.0504218000, 0.0560263000, 0.0727050000, 0.1220704000, 0.2740585000, 0.7422944000", \ - "0.0471913000, 0.0489983000, 0.0544773000, 0.0712350000, 0.1213667000, 0.2739965000, 0.7421079000", \ - "0.0461688000, 0.0479250000, 0.0534082000, 0.0701652000, 0.1202508000, 0.2750931000, 0.7479051000", \ - "0.0455308000, 0.0472694000, 0.0525746000, 0.0690197000, 0.1188887000, 0.2720387000, 0.7435090000", \ - "0.0448136000, 0.0465441000, 0.0518959000, 0.0680106000, 0.1177731000, 0.2705709000, 0.7422387000", \ - "0.0449695000, 0.0466591000, 0.0519315000, 0.0681252000, 0.1181533000, 0.2707345000, 0.7427643000", \ - "0.0476205000, 0.0491070000, 0.0537981000, 0.0690460000, 0.1194539000, 0.2737545000, 0.7412837000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015437090, 0.0047660740, 0.0147148600, 0.0454309200, 0.1402642000, 0.4330543000"); - values("0.0007795000, -0.000678300, -0.005322800, -0.020459200, -0.069333400, -0.222539500, -0.696716200", \ - "-0.000478100, -0.001882300, -0.006410000, -0.021119300, -0.069318100, -0.222131800, -0.696083900", \ - "-0.002137900, -0.003553800, -0.008058500, -0.022585400, -0.070042600, -0.222072400, -0.695667300", \ - "-0.003772600, -0.005207200, -0.009839200, -0.024467600, -0.071644000, -0.222766200, -0.695801800", \ - "-0.002548000, -0.004151900, -0.009763100, -0.025155600, -0.073038200, -0.224068500, -0.696349100", \ - "0.0008337000, -0.000971300, -0.006518600, -0.023116200, -0.072095300, -0.224076400, -0.696643600", \ - "0.0143394000, 0.0124367000, 0.0072470000, -0.013173800, -0.065824400, -0.222052100, -0.696855100"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015437090, 0.0047660740, 0.0147148600, 0.0454309200, 0.1402642000, 0.4330543000"); - values("0.0463488000, 0.0483530000, 0.0545126000, 0.0719742000, 0.1227204000, 0.2752506000, 0.7462117000", \ - "0.0444147000, 0.0463534000, 0.0520742000, 0.0695950000, 0.1211187000, 0.2756151000, 0.7436033000", \ - "0.0435556000, 0.0453259000, 0.0506918000, 0.0674969000, 0.1186648000, 0.2731151000, 0.7431602000", \ - "0.0436569000, 0.0454137000, 0.0506665000, 0.0670226000, 0.1166619000, 0.2709268000, 0.7418378000", \ - "0.0441636000, 0.0458296000, 0.0509624000, 0.0668216000, 0.1161251000, 0.2699872000, 0.7418663000", \ - "0.0485371000, 0.0501102000, 0.0549468000, 0.0700585000, 0.1207402000, 0.2712104000, 0.7366308000", \ - "0.0595213000, 0.0608326000, 0.0652265000, 0.0795306000, 0.1257943000, 0.2745302000, 0.7436020000"); - } - } - max_capacitance : 0.4330540000; - max_transition : 1.5005290000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015437100, 0.0047660700, 0.0147149000, 0.0454309000, 0.1402640000, 0.4330540000"); - values("0.0197774000, 0.0204758000, 0.0225789000, 0.0285550000, 0.0452383000, 0.0936334000, 0.2390254000", \ - "0.0243005000, 0.0249771000, 0.0270058000, 0.0329009000, 0.0495266000, 0.0978096000, 0.2436393000", \ - "0.0336286000, 0.0344923000, 0.0370112000, 0.0435521000, 0.0600810000, 0.1081399000, 0.2537492000", \ - "0.0449409000, 0.0462075000, 0.0498801000, 0.0595694000, 0.0825186000, 0.1328829000, 0.2783699000", \ - "0.0545276000, 0.0564866000, 0.0618402000, 0.0769283000, 0.1122493000, 0.1841828000, 0.3357790000", \ - "0.0506918000, 0.0536284000, 0.0621847000, 0.0852320000, 0.1399801000, 0.2508781000, 0.4605185000", \ - "0.0032813000, 0.0077170000, 0.0209127000, 0.0568531000, 0.1416175000, 0.3168178000, 0.6408177000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015437100, 0.0047660700, 0.0147149000, 0.0454309000, 0.1402640000, 0.4330540000"); - values("0.0687306000, 0.0715801000, 0.0802060000, 0.1054978000, 0.1803611000, 0.4089183000, 1.1125542000", \ - "0.0730061000, 0.0758128000, 0.0842936000, 0.1097438000, 0.1850888000, 0.4184216000, 1.1192198000", \ - "0.0857158000, 0.0884081000, 0.0967772000, 0.1221056000, 0.1977227000, 0.4299323000, 1.1402169000", \ - "0.1133531000, 0.1161430000, 0.1245646000, 0.1495367000, 0.2253747000, 0.4549209000, 1.1724933000", \ - "0.1594456000, 0.1631723000, 0.1743075000, 0.2045814000, 0.2837072000, 0.5136362000, 1.2238578000", \ - "0.2357745000, 0.2414359000, 0.2577646000, 0.3006094000, 0.4040986000, 0.6484052000, 1.3545438000", \ - "0.3637627000, 0.3726655000, 0.3984071000, 0.4654535000, 0.6168954000, 0.9368591000, 1.6680478000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015437100, 0.0047660700, 0.0147149000, 0.0454309000, 0.1402640000, 0.4330540000"); - values("0.0158439000, 0.0166219000, 0.0190921000, 0.0267082000, 0.0502291000, 0.1240099000, 0.3545708000", \ - "0.0154748000, 0.0162672000, 0.0186701000, 0.0262501000, 0.0500650000, 0.1241418000, 0.3544244000", \ - "0.0196745000, 0.0205146000, 0.0227867000, 0.0291055000, 0.0506472000, 0.1240789000, 0.3546221000", \ - "0.0290299000, 0.0300918000, 0.0329127000, 0.0413497000, 0.0622279000, 0.1271352000, 0.3544787000", \ - "0.0464337000, 0.0483598000, 0.0534009000, 0.0643186000, 0.0906441000, 0.1559740000, 0.3588972000", \ - "0.0790082000, 0.0813495000, 0.0880000000, 0.1053273000, 0.1440244000, 0.2277055000, 0.4211807000", \ - "0.1378229000, 0.1416394000, 0.1525105000, 0.1793503000, 0.2395067000, 0.3563106000, 0.5903218000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015437100, 0.0047660700, 0.0147149000, 0.0454309000, 0.1402640000, 0.4330540000"); - values("0.0452421000, 0.0486069000, 0.0593287000, 0.0923898000, 0.1940042000, 0.5082929000, 1.4714202000", \ - "0.0450887000, 0.0484647000, 0.0592052000, 0.0923456000, 0.1943445000, 0.5100345000, 1.4763820000", \ - "0.0452822000, 0.0487334000, 0.0593622000, 0.0924290000, 0.1940613000, 0.5109930000, 1.4901040000", \ - "0.0482495000, 0.0514302000, 0.0610977000, 0.0928649000, 0.1942859000, 0.5079019000, 1.4844482000", \ - "0.0646949000, 0.0679782000, 0.0783681000, 0.1076980000, 0.1998871000, 0.5093160000, 1.4769374000", \ - "0.1003077000, 0.1041185000, 0.1153314000, 0.1480234000, 0.2412771000, 0.5202619000, 1.4813695000", \ - "0.1771377000, 0.1818284000, 0.1956129000, 0.2354224000, 0.3402287000, 0.6185717000, 1.4929483000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015437100, 0.0047660700, 0.0147149000, 0.0454309000, 0.1402640000, 0.4330540000"); - values("0.0150784000, 0.0157153000, 0.0175184000, 0.0226916000, 0.0374287000, 0.0819137000, 0.2181240000", \ - "0.0193918000, 0.0200514000, 0.0219379000, 0.0271700000, 0.0421241000, 0.0864426000, 0.2227483000", \ - "0.0250808000, 0.0261405000, 0.0291474000, 0.0366447000, 0.0528500000, 0.0973343000, 0.2335750000", \ - "0.0296527000, 0.0313417000, 0.0360942000, 0.0479739000, 0.0733676000, 0.1226988000, 0.2594080000", \ - "0.0278524000, 0.0304945000, 0.0381239000, 0.0570227000, 0.0972732000, 0.1728238000, 0.3172400000", \ - "0.0061384000, 0.0104043000, 0.0224089000, 0.0522493000, 0.1157816000, 0.2341154000, 0.4445879000", \ - "-0.071036600, -0.064388500, -0.045444100, 0.0001765000, 0.1022099000, 0.2914796000, 0.6203900000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015437100, 0.0047660700, 0.0147149000, 0.0454309000, 0.1402640000, 0.4330540000"); - values("0.0471657000, 0.0501632000, 0.0594878000, 0.0860986000, 0.1624637000, 0.3917942000, 1.1025658000", \ - "0.0494162000, 0.0523813000, 0.0611073000, 0.0877580000, 0.1650027000, 0.3984238000, 1.1015601000", \ - "0.0604727000, 0.0632295000, 0.0714539000, 0.0968115000, 0.1748347000, 0.4056060000, 1.1123898000", \ - "0.0885102000, 0.0918695000, 0.1010090000, 0.1252865000, 0.2002996000, 0.4315593000, 1.1398196000", \ - "0.1332741000, 0.1381707000, 0.1521794000, 0.1882895000, 0.2681473000, 0.4984124000, 1.2075080000", \ - "0.2090579000, 0.2162937000, 0.2370784000, 0.2901058000, 0.4104640000, 0.6546906000, 1.3585501000", \ - "0.3511713000, 0.3607008000, 0.3894551000, 0.4656350000, 0.6416651000, 0.9977307000, 1.7166861000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015437100, 0.0047660700, 0.0147149000, 0.0454309000, 0.1402640000, 0.4330540000"); - values("0.0073398000, 0.0079919000, 0.0100282000, 0.0163893000, 0.0362007000, 0.0974516000, 0.2859552000", \ - "0.0084012000, 0.0089060000, 0.0106398000, 0.0165183000, 0.0362222000, 0.0973674000, 0.2860255000", \ - "0.0135331000, 0.0142641000, 0.0162065000, 0.0215728000, 0.0378543000, 0.0973951000, 0.2861389000", \ - "0.0230536000, 0.0240481000, 0.0269957000, 0.0344133000, 0.0521442000, 0.1014274000, 0.2866271000", \ - "0.0408479000, 0.0423801000, 0.0465306000, 0.0581317000, 0.0833450000, 0.1355983000, 0.2922917000", \ - "0.0729770000, 0.0755865000, 0.0829970000, 0.1002705000, 0.1386377000, 0.2133092000, 0.3653646000", \ - "0.1344481000, 0.1377043000, 0.1496298000, 0.1800755000, 0.2370084000, 0.3471598000, 0.5553930000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015437100, 0.0047660700, 0.0147149000, 0.0454309000, 0.1402640000, 0.4330540000"); - values("0.0448253000, 0.0483573000, 0.0592249000, 0.0922946000, 0.1938111000, 0.5068124000, 1.4812471000", \ - "0.0445498000, 0.0481733000, 0.0588824000, 0.0922444000, 0.1941897000, 0.5100114000, 1.4766717000", \ - "0.0438438000, 0.0473525000, 0.0583716000, 0.0920292000, 0.1943211000, 0.5067857000, 1.4758267000", \ - "0.0553540000, 0.0578717000, 0.0658475000, 0.0943102000, 0.1944571000, 0.5080103000, 1.4760185000", \ - "0.0783161000, 0.0823331000, 0.0942990000, 0.1246646000, 0.2040040000, 0.5104560000, 1.4789406000", \ - "0.1164724000, 0.1221573000, 0.1383999000, 0.1796805000, 0.2742779000, 0.5283255000, 1.4761402000", \ - "0.1805894000, 0.1868739000, 0.2114946000, 0.2703431000, 0.4069935000, 0.6812171000, 1.5005294000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor2b_1") { - leakage_power () { - value : 0.0015386000; - when : "!A&B_N"; - } - leakage_power () { - value : 0.0039870000; - when : "!A&!B_N"; - } - leakage_power () { - value : 0.0026465000; - when : "A&B_N"; - } - leakage_power () { - value : 0.0005469000; - when : "A&!B_N"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__nor2b"; - cell_leakage_power : 0.0021797420; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023670000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044630000, 0.0044628000, 0.0044622000, 0.0044611000, 0.0044586000, 0.0044529000, 0.0044396000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004251300, -0.004299700, -0.004411300, -0.004412800, -0.004416300, -0.004424300, -0.004442800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024610000; - } - pin ("B_N") { - capacitance : 0.0014900000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0084048000, 0.0083113000, 0.0080958000, 0.0081437000, 0.0082541000, 0.0085086000, 0.0090953000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0021945000, 0.0021386000, 0.0020097000, 0.0020521000, 0.0021497000, 0.0023748000, 0.0028936000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015460000; - } - pin ("Y") { - direction : "output"; - function : "(!A&B_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0019959000, 0.0011170000, -0.000964600, -0.006432700, -0.020072500, -0.052620600, -0.129005000", \ - "0.0019420000, 0.0010652000, -0.001015900, -0.006480800, -0.020112100, -0.052657700, -0.129129300", \ - "0.0019951000, 0.0011313000, -0.000983700, -0.006429700, -0.020051800, -0.052584200, -0.129022700", \ - "0.0017984000, 0.0009013000, -0.001231800, -0.006668500, -0.020270200, -0.052736300, -0.129201300", \ - "0.0015340000, 0.0005998000, -0.001549700, -0.006885200, -0.020452900, -0.052901700, -0.129325000", \ - "0.0020690000, 0.0013346000, -0.001238400, -0.007006800, -0.020474000, -0.052843600, -0.129192800", \ - "0.0024784000, 0.0013661000, -0.001154700, -0.006988800, -0.020591500, -0.053028500, -0.128991900"); - } - related_pin : "B_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0062081000, 0.0073988000, 0.0100980000, 0.0162584000, 0.0303019000, 0.0626561000, 0.1378758000", \ - "0.0061984000, 0.0073811000, 0.0100785000, 0.0161573000, 0.0302827000, 0.0626418000, 0.1386795000", \ - "0.0061770000, 0.0073431000, 0.0100121000, 0.0160940000, 0.0300661000, 0.0623932000, 0.1389808000", \ - "0.0059003000, 0.0069845000, 0.0096216000, 0.0157726000, 0.0298549000, 0.0621252000, 0.1376413000", \ - "0.0057059000, 0.0068304000, 0.0094326000, 0.0153845000, 0.0294059000, 0.0622426000, 0.1382316000", \ - "0.0057366000, 0.0068690000, 0.0094302000, 0.0152896000, 0.0291720000, 0.0616410000, 0.1372246000", \ - "0.0060673000, 0.0071511000, 0.0097353000, 0.0156278000, 0.0294027000, 0.0622476000, 0.1372378000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0043467000, 0.0032616000, 0.0007570000, -0.005155400, -0.019060100, -0.051674600, -0.128102900", \ - "0.0042298000, 0.0031698000, 0.0006738000, -0.005222400, -0.019080500, -0.051711300, -0.128122600", \ - "0.0041597000, 0.0031213000, 0.0006207000, -0.005225500, -0.019070700, -0.051654000, -0.128072100", \ - "0.0040279000, 0.0029579000, 0.0004872000, -0.005365000, -0.019160400, -0.051691600, -0.128092700", \ - "0.0041591000, 0.0030989000, 0.0006581000, -0.005295200, -0.019209700, -0.051750000, -0.128136900", \ - "0.0048380000, 0.0037489000, 0.0009825000, -0.005040200, -0.019010900, -0.051578800, -0.128010300", \ - "0.0065513000, 0.0053689000, 0.0026485000, -0.003573200, -0.017716500, -0.050744800, -0.127681400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0066008000, 0.0077505000, 0.0103407000, 0.0164114000, 0.0301479000, 0.0624061000, 0.1388924000", \ - "0.0064208000, 0.0075552000, 0.0101613000, 0.0161884000, 0.0301438000, 0.0623883000, 0.1378779000", \ - "0.0063009000, 0.0074306000, 0.0100108000, 0.0160060000, 0.0299740000, 0.0623264000, 0.1388762000", \ - "0.0062060000, 0.0073141000, 0.0098810000, 0.0158368000, 0.0297455000, 0.0626333000, 0.1384202000", \ - "0.0061486000, 0.0072566000, 0.0098213000, 0.0157594000, 0.0297279000, 0.0623760000, 0.1382774000", \ - "0.0059390000, 0.0070961000, 0.0098079000, 0.0157696000, 0.0297042000, 0.0622015000, 0.1375294000", \ - "0.0071454000, 0.0081128000, 0.0104537000, 0.0161582000, 0.0299921000, 0.0623358000, 0.1380558000"); - } - } - max_capacitance : 0.0824070000; - max_transition : 1.4969190000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0183489000, 0.0207866000, 0.0262815000, 0.0385245000, 0.0660583000, 0.1295483000, 0.2774052000", \ - "0.0231083000, 0.0255211000, 0.0309801000, 0.0431542000, 0.0707510000, 0.1342357000, 0.2820796000", \ - "0.0331671000, 0.0362230000, 0.0421659000, 0.0543237000, 0.0817818000, 0.1452322000, 0.2932948000", \ - "0.0461717000, 0.0509465000, 0.0604657000, 0.0780251000, 0.1083926000, 0.1717014000, 0.3195342000", \ - "0.0604451000, 0.0671588000, 0.0821356000, 0.1097163000, 0.1567125000, 0.2325757000, 0.3795523000", \ - "0.0680601000, 0.0791785000, 0.1018504000, 0.1447535000, 0.2186241000, 0.3350328000, 0.5197788000", \ - "0.0465838000, 0.0639966000, 0.0993808000, 0.1664107000, 0.2814258000, 0.4674255000, 0.7530149000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0585989000, 0.0678814000, 0.0885699000, 0.1367331000, 0.2464649000, 0.5022917000, 1.1080010000", \ - "0.0634510000, 0.0725376000, 0.0935227000, 0.1412510000, 0.2535409000, 0.5082342000, 1.1080564000", \ - "0.0754452000, 0.0844244000, 0.1052602000, 0.1528361000, 0.2633951000, 0.5203508000, 1.1302007000", \ - "0.1004400000, 0.1100178000, 0.1308328000, 0.1785383000, 0.2889456000, 0.5488303000, 1.1496514000", \ - "0.1424253000, 0.1557518000, 0.1835740000, 0.2374200000, 0.3482676000, 0.6076660000, 1.2075241000", \ - "0.2079334000, 0.2288835000, 0.2704523000, 0.3456357000, 0.4844529000, 0.7447173000, 1.3459400000", \ - "0.3192730000, 0.3507592000, 0.4131745000, 0.5271756000, 0.7205905000, 1.0537584000, 1.6667543000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0134957000, 0.0163520000, 0.0229247000, 0.0380548000, 0.0737932000, 0.1573593000, 0.3520182000", \ - "0.0135807000, 0.0163069000, 0.0226884000, 0.0379357000, 0.0736306000, 0.1572279000, 0.3521806000", \ - "0.0190673000, 0.0212445000, 0.0261168000, 0.0392940000, 0.0735478000, 0.1571358000, 0.3520781000", \ - "0.0311575000, 0.0339252000, 0.0410443000, 0.0529005000, 0.0800221000, 0.1572172000, 0.3524833000", \ - "0.0504336000, 0.0558982000, 0.0669238000, 0.0843911000, 0.1166909000, 0.1772618000, 0.3544365000", \ - "0.0855817000, 0.0940684000, 0.1103094000, 0.1390548000, 0.1865902000, 0.2669858000, 0.4050399000", \ - "0.1489061000, 0.1623999000, 0.1897607000, 0.2345995000, 0.3089887000, 0.4266958000, 0.6085345000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0439501000, 0.0558995000, 0.0836571000, 0.1488991000, 0.2984583000, 0.6524895000, 1.4865060000", \ - "0.0440366000, 0.0559742000, 0.0835085000, 0.1479901000, 0.2999269000, 0.6506792000, 1.4751167000", \ - "0.0442104000, 0.0559832000, 0.0837208000, 0.1479644000, 0.2996045000, 0.6523395000, 1.4889139000", \ - "0.0491786000, 0.0598096000, 0.0853109000, 0.1483279000, 0.2989474000, 0.6557169000, 1.4807034000", \ - "0.0696060000, 0.0806421000, 0.1053322000, 0.1591477000, 0.3010932000, 0.6547448000, 1.4788468000", \ - "0.1129302000, 0.1258505000, 0.1541389000, 0.2119272000, 0.3378151000, 0.6581760000, 1.4835150000", \ - "0.1903240000, 0.2085342000, 0.2462656000, 0.3197626000, 0.4621437000, 0.7558062000, 1.4969191000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0964098000, 0.1010860000, 0.1102523000, 0.1273195000, 0.1600110000, 0.2280251000, 0.3827862000", \ - "0.1012241000, 0.1058767000, 0.1152085000, 0.1322784000, 0.1650034000, 0.2330573000, 0.3878352000", \ - "0.1142060000, 0.1188111000, 0.1279525000, 0.1451122000, 0.1778948000, 0.2459654000, 0.4008484000", \ - "0.1460100000, 0.1506360000, 0.1597965000, 0.1770128000, 0.2097790000, 0.2780579000, 0.4326710000", \ - "0.2146767000, 0.2196822000, 0.2294603000, 0.2469999000, 0.2804278000, 0.3492035000, 0.5036980000", \ - "0.3266986000, 0.3331350000, 0.3453720000, 0.3666815000, 0.4035157000, 0.4744856000, 0.6297366000", \ - "0.5031695000, 0.5116762000, 0.5271391000, 0.5547521000, 0.5997640000, 0.6754318000, 0.8304952000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0739826000, 0.0835647000, 0.1051338000, 0.1539338000, 0.2665917000, 0.5243608000, 1.1219606000", \ - "0.0788807000, 0.0883915000, 0.1099354000, 0.1587790000, 0.2706097000, 0.5276034000, 1.1328408000", \ - "0.0899662000, 0.0993038000, 0.1205752000, 0.1688996000, 0.2799708000, 0.5374332000, 1.1435738000", \ - "0.1110085000, 0.1198304000, 0.1409138000, 0.1895600000, 0.3036836000, 0.5581809000, 1.1587908000", \ - "0.1402031000, 0.1492857000, 0.1701274000, 0.2181786000, 0.3293248000, 0.5890536000, 1.1930470000", \ - "0.1748854000, 0.1844042000, 0.2052351000, 0.2524772000, 0.3625855000, 0.6200887000, 1.2236121000", \ - "0.1987370000, 0.2104141000, 0.2338083000, 0.2808738000, 0.3899273000, 0.6477113000, 1.2476300000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0228015000, 0.0257814000, 0.0330566000, 0.0485313000, 0.0830718000, 0.1671984000, 0.3719465000", \ - "0.0225309000, 0.0257804000, 0.0331338000, 0.0485782000, 0.0834598000, 0.1667683000, 0.3712204000", \ - "0.0225978000, 0.0258449000, 0.0330889000, 0.0485857000, 0.0833986000, 0.1671392000, 0.3725490000", \ - "0.0226441000, 0.0262253000, 0.0330380000, 0.0487171000, 0.0832926000, 0.1672811000, 0.3748068000", \ - "0.0269756000, 0.0299821000, 0.0365149000, 0.0514093000, 0.0847900000, 0.1677483000, 0.3732379000", \ - "0.0384616000, 0.0416982000, 0.0488214000, 0.0624021000, 0.0944999000, 0.1725085000, 0.3726053000", \ - "0.0557503000, 0.0608906000, 0.0693901000, 0.0842106000, 0.1141633000, 0.1857527000, 0.3789422000"); - } - related_pin : "B_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0439679000, 0.0559289000, 0.0835110000, 0.1485700000, 0.3012280000, 0.6534101000, 1.4775490000", \ - "0.0439710000, 0.0557057000, 0.0835164000, 0.1481422000, 0.3014541000, 0.6529548000, 1.4901750000", \ - "0.0440178000, 0.0559424000, 0.0836399000, 0.1483531000, 0.2986190000, 0.6515350000, 1.4881395000", \ - "0.0445554000, 0.0562340000, 0.0836712000, 0.1485765000, 0.3010883000, 0.6526127000, 1.4787215000", \ - "0.0463223000, 0.0575298000, 0.0845476000, 0.1484604000, 0.2992479000, 0.6551769000, 1.4857059000", \ - "0.0527390000, 0.0625363000, 0.0872049000, 0.1496528000, 0.3006978000, 0.6504319000, 1.4771152000", \ - "0.0674572000, 0.0768115000, 0.0985232000, 0.1542040000, 0.3015296000, 0.6537398000, 1.4738359000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor2b_2") { - leakage_power () { - value : 0.0038944000; - when : "!A&B_N"; - } - leakage_power () { - value : 0.0039075000; - when : "!A&!B_N"; - } - leakage_power () { - value : 0.0045917000; - when : "A&B_N"; - } - leakage_power () { - value : 0.0004672000; - when : "A&!B_N"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__nor2b"; - cell_leakage_power : 0.0032152190; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0043250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082382000, 0.0082360000, 0.0082309000, 0.0082275000, 0.0082195000, 0.0082011000, 0.0081586000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007666700, -0.007719800, -0.007842100, -0.007845700, -0.007853900, -0.007873100, -0.007917100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045380000; - } - pin ("B_N") { - capacitance : 0.0014500000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014020000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0113285000, 0.0112417000, 0.0110417000, 0.0111014000, 0.0112392000, 0.0115568000, 0.0122889000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0036756000, 0.0036283000, 0.0035192000, 0.0035750000, 0.0037036000, 0.0040001000, 0.0046834000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014970000; - } - pin ("Y") { - direction : "output"; - function : "(!A&B_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0079985000, 0.0067413000, 0.0035105000, -0.004818600, -0.026161600, -0.081013200, -0.222111600", \ - "0.0076934000, 0.0064538000, 0.0032741000, -0.005016300, -0.026298700, -0.081160800, -0.222173900", \ - "0.0074126000, 0.0061968000, 0.0030406000, -0.005207400, -0.026411200, -0.081198900, -0.222252100", \ - "0.0068614000, 0.0056505000, 0.0025710000, -0.005512100, -0.026628800, -0.081341900, -0.222334600", \ - "0.0071687000, 0.0058998000, 0.0027311000, -0.005388100, -0.026913900, -0.081473900, -0.222399200", \ - "0.0082961000, 0.0070587000, 0.0037754000, -0.004638900, -0.026120400, -0.081463100, -0.222407000", \ - "0.0115054000, 0.0100427000, 0.0065630000, -0.002314800, -0.024369600, -0.080159600, -0.221917600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0125455000, 0.0139188000, 0.0173570000, 0.0258740000, 0.0472657000, 0.1014935000, 0.2410094000", \ - "0.0122328000, 0.0135635000, 0.0169968000, 0.0255700000, 0.0471809000, 0.1014729000, 0.2410170000", \ - "0.0120039000, 0.0133211000, 0.0166682000, 0.0251773000, 0.0466823000, 0.1016083000, 0.2428790000", \ - "0.0118657000, 0.0131603000, 0.0164843000, 0.0249059000, 0.0464883000, 0.1013532000, 0.2406952000", \ - "0.0116983000, 0.0130076000, 0.0163057000, 0.0246352000, 0.0460323000, 0.1006365000, 0.2403281000", \ - "0.0117932000, 0.0130768000, 0.0163116000, 0.0247032000, 0.0460415000, 0.1008541000, 0.2400256000", \ - "0.0128788000, 0.0139963000, 0.0170529000, 0.0250314000, 0.0464631000, 0.1008673000, 0.2415720000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0019774000, 0.0008895000, -0.001835800, -0.008938800, -0.029202800, -0.083576400, -0.224554700", \ - "0.0019624000, 0.0008847000, -0.001777000, -0.008930000, -0.029187300, -0.083564500, -0.224570900", \ - "0.0020111000, 0.0008919000, -0.001767200, -0.008899600, -0.029163700, -0.083538000, -0.224508500", \ - "0.0017734000, 0.0006720000, -0.002021200, -0.009227300, -0.029444500, -0.083781400, -0.224757200", \ - "0.0015652000, 0.0004042000, -0.002363300, -0.009645800, -0.029876600, -0.084131400, -0.224998300", \ - "0.0023957000, 0.0011162000, -0.002091500, -0.010279400, -0.030468900, -0.084525700, -0.225249200", \ - "0.0041358000, 0.0027719000, -9.86000e-05, -0.008704900, -0.030324300, -0.084775000, -0.225317200"); - } - related_pin : "B_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0110047000, 0.0123598000, 0.0158202000, 0.0244993000, 0.0461387000, 0.1015427000, 0.2404454000", \ - "0.0110199000, 0.0123639000, 0.0158282000, 0.0244937000, 0.0461430000, 0.1008110000, 0.2407682000", \ - "0.0110383000, 0.0123733000, 0.0158046000, 0.0244559000, 0.0462560000, 0.1014970000, 0.2406209000", \ - "0.0108948000, 0.0122061000, 0.0155791000, 0.0240453000, 0.0459114000, 0.1005815000, 0.2401687000", \ - "0.0105477000, 0.0118392000, 0.0151950000, 0.0235755000, 0.0452509000, 0.0999360000, 0.2398213000", \ - "0.0108543000, 0.0121172000, 0.0153525000, 0.0235553000, 0.0448561000, 0.0996035000, 0.2393984000", \ - "0.0110891000, 0.0123294000, 0.0155321000, 0.0238938000, 0.0448434000, 0.0999790000, 0.2392051000"); - } - } - max_capacitance : 0.1428320000; - max_transition : 1.4932400000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0159532000, 0.0176173000, 0.0216005000, 0.0309414000, 0.0531194000, 0.1078442000, 0.2462899000", \ - "0.0210041000, 0.0225194000, 0.0263262000, 0.0355495000, 0.0577057000, 0.1124223000, 0.2509850000", \ - "0.0305058000, 0.0325879000, 0.0373189000, 0.0469902000, 0.0686917000, 0.1233813000, 0.2619028000", \ - "0.0425369000, 0.0457016000, 0.0525812000, 0.0675312000, 0.0948940000, 0.1492459000, 0.2875701000", \ - "0.0548513000, 0.0594791000, 0.0700069000, 0.0929645000, 0.1356883000, 0.2081435000, 0.3476981000", \ - "0.0581121000, 0.0655313000, 0.0820781000, 0.1167581000, 0.1838952000, 0.2983156000, 0.4853830000", \ - "0.0276354000, 0.0389158000, 0.0654221000, 0.1208359000, 0.2254197000, 0.4044260000, 0.6965175000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0628951000, 0.0693736000, 0.0853091000, 0.1246943000, 0.2232756000, 0.4745561000, 1.1094881000", \ - "0.0674506000, 0.0739218000, 0.0897503000, 0.1292249000, 0.2286495000, 0.4773693000, 1.1145255000", \ - "0.0799971000, 0.0863048000, 0.1020295000, 0.1409156000, 0.2393778000, 0.4951272000, 1.1348853000", \ - "0.1061764000, 0.1126816000, 0.1285202000, 0.1673335000, 0.2658927000, 0.5164832000, 1.1546877000", \ - "0.1482087000, 0.1573537000, 0.1785602000, 0.2249016000, 0.3247476000, 0.5747048000, 1.2141246000", \ - "0.2147283000, 0.2300877000, 0.2617774000, 0.3272390000, 0.4548365000, 0.7127364000, 1.3518157000", \ - "0.3211828000, 0.3438194000, 0.3946946000, 0.4967464000, 0.6827007000, 1.0133437000, 1.6753906000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0121092000, 0.0140031000, 0.0185590000, 0.0296002000, 0.0572151000, 0.1284871000, 0.3130052000", \ - "0.0125308000, 0.0141061000, 0.0183185000, 0.0293277000, 0.0572436000, 0.1284171000, 0.3119147000", \ - "0.0183850000, 0.0198361000, 0.0229534000, 0.0316193000, 0.0572586000, 0.1283941000, 0.3122562000", \ - "0.0290410000, 0.0312239000, 0.0363334000, 0.0468043000, 0.0664812000, 0.1297147000, 0.3119242000", \ - "0.0478155000, 0.0515389000, 0.0586214000, 0.0749412000, 0.1026737000, 0.1555103000, 0.3153853000", \ - "0.0800461000, 0.0857045000, 0.0979205000, 0.1227889000, 0.1666031000, 0.2408371000, 0.3738677000", \ - "0.1388318000, 0.1477538000, 0.1676557000, 0.2070200000, 0.2755252000, 0.3879010000, 0.5762861000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0375735000, 0.0457924000, 0.0664655000, 0.1192451000, 0.2543901000, 0.5982274000, 1.4768614000", \ - "0.0377760000, 0.0457250000, 0.0663354000, 0.1192723000, 0.2540555000, 0.5960673000, 1.4718242000", \ - "0.0379286000, 0.0458753000, 0.0666592000, 0.1191234000, 0.2533607000, 0.5994678000, 1.4897832000", \ - "0.0412922000, 0.0488462000, 0.0679910000, 0.1196369000, 0.2540298000, 0.5974073000, 1.4804914000", \ - "0.0580544000, 0.0662801000, 0.0864110000, 0.1316856000, 0.2566202000, 0.5976389000, 1.4757714000", \ - "0.0951874000, 0.1050135000, 0.1280845000, 0.1795486000, 0.2949324000, 0.6059835000, 1.4805269000", \ - "0.1737621000, 0.1856034000, 0.2145132000, 0.2789017000, 0.4124240000, 0.6981005000, 1.4932403000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.1191507000, 0.1230982000, 0.1316109000, 0.1481851000, 0.1787895000, 0.2404221000, 0.3824831000", \ - "0.1241789000, 0.1280997000, 0.1365535000, 0.1529632000, 0.1837590000, 0.2456539000, 0.3876908000", \ - "0.1366735000, 0.1405968000, 0.1490155000, 0.1654451000, 0.1959146000, 0.2579473000, 0.3997786000", \ - "0.1672347000, 0.1711899000, 0.1796397000, 0.1961668000, 0.2272139000, 0.2889988000, 0.4307835000", \ - "0.2383217000, 0.2422754000, 0.2507481000, 0.2674242000, 0.2986537000, 0.3605578000, 0.5020364000", \ - "0.3603219000, 0.3652304000, 0.3761966000, 0.3962687000, 0.4318967000, 0.4976035000, 0.6402731000", \ - "0.5448403000, 0.5513525000, 0.5652900000, 0.5914524000, 0.6362414000, 0.7113335000, 0.8591082000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0850827000, 0.0915806000, 0.1077065000, 0.1477067000, 0.2471145000, 0.4983962000, 1.1352976000", \ - "0.0900440000, 0.0965464000, 0.1126415000, 0.1525025000, 0.2520509000, 0.5025037000, 1.1440479000", \ - "0.1017131000, 0.1082521000, 0.1241953000, 0.1639636000, 0.2639431000, 0.5156037000, 1.1547951000", \ - "0.1279568000, 0.1342575000, 0.1500619000, 0.1891261000, 0.2889944000, 0.5417804000, 1.1783942000", \ - "0.1717177000, 0.1782563000, 0.1941255000, 0.2332152000, 0.3318474000, 0.5829571000, 1.2209209000", \ - "0.2310062000, 0.2382372000, 0.2549623000, 0.2940025000, 0.3913674000, 0.6406796000, 1.2824904000", \ - "0.2973325000, 0.3069641000, 0.3274714000, 0.3695982000, 0.4649781000, 0.7149331000, 1.3520109000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0254083000, 0.0276955000, 0.0333064000, 0.0450796000, 0.0720788000, 0.1388267000, 0.3183009000", \ - "0.0254099000, 0.0280855000, 0.0332918000, 0.0453896000, 0.0723231000, 0.1389905000, 0.3192530000", \ - "0.0256039000, 0.0276651000, 0.0330740000, 0.0456818000, 0.0720882000, 0.1390372000, 0.3184676000", \ - "0.0254888000, 0.0278462000, 0.0333572000, 0.0453043000, 0.0719558000, 0.1390676000, 0.3184578000", \ - "0.0273382000, 0.0294373000, 0.0346769000, 0.0460064000, 0.0730632000, 0.1388552000, 0.3186862000", \ - "0.0394351000, 0.0427221000, 0.0478363000, 0.0592400000, 0.0846910000, 0.1455955000, 0.3208909000", \ - "0.0586966000, 0.0621029000, 0.0694690000, 0.0840776000, 0.1085623000, 0.1665664000, 0.3295225000"); - } - related_pin : "B_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0381011000, 0.0460886000, 0.0664559000, 0.1192002000, 0.2536772000, 0.6001803000, 1.4758188000", \ - "0.0380606000, 0.0460790000, 0.0663492000, 0.1190981000, 0.2537064000, 0.5975983000, 1.4793547000", \ - "0.0381432000, 0.0459972000, 0.0665279000, 0.1191819000, 0.2537545000, 0.5994495000, 1.4808624000", \ - "0.0383746000, 0.0464138000, 0.0666500000, 0.1190637000, 0.2545955000, 0.5979719000, 1.4765567000", \ - "0.0414338000, 0.0490315000, 0.0684923000, 0.1197716000, 0.2534887000, 0.5975594000, 1.4768212000", \ - "0.0494856000, 0.0566454000, 0.0740001000, 0.1223709000, 0.2546289000, 0.5966870000, 1.4775206000", \ - "0.0646317000, 0.0718417000, 0.0892738000, 0.1323175000, 0.2569990000, 0.6002753000, 1.4740861000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor2b_4") { - leakage_power () { - value : 0.0128888000; - when : "!A&B_N"; - } - leakage_power () { - value : 0.0041047000; - when : "!A&!B_N"; - } - leakage_power () { - value : 0.0115579000; - when : "A&B_N"; - } - leakage_power () { - value : 0.0004820000; - when : "A&!B_N"; - } - area : 13.763200000; - cell_footprint : "sky130_fd_sc_hd__nor2b"; - cell_leakage_power : 0.0072583460; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0086810000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082550000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0163411000, 0.0163396000, 0.0163361000, 0.0163320000, 0.0163224000, 0.0163003000, 0.0162493000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015076600, -0.015208700, -0.015513300, -0.015529200, -0.015565800, -0.015650100, -0.015844500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091070000; - } - pin ("B_N") { - capacitance : 0.0023750000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0213266000, 0.0211919000, 0.0208815000, 0.0210785000, 0.0215325000, 0.0225791000, 0.0249918000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0072082000, 0.0071060000, 0.0068704000, 0.0070089000, 0.0073282000, 0.0080641000, 0.0097604000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024760000; - } - pin ("Y") { - direction : "output"; - function : "(!A&B_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014128540, 0.0039923110, 0.0112811000, 0.0318770900, 0.0900753300, 0.2545265000"); - values("0.0156603000, 0.0141994000, 0.0100369000, -0.001723400, -0.034930800, -0.128921500, -0.394981000", \ - "0.0150968000, 0.0136758000, 0.0095519000, -0.002137600, -0.035224200, -0.129173400, -0.395237300", \ - "0.0145217000, 0.0131042000, 0.0091203000, -0.002464400, -0.035416800, -0.129164200, -0.395250200", \ - "0.0136036000, 0.0121971000, 0.0081973000, -0.003204400, -0.035828400, -0.129507500, -0.395382200", \ - "0.0140303000, 0.0125554000, 0.0085893000, -0.002827200, -0.036304200, -0.129805800, -0.395641900", \ - "0.0160356000, 0.0145337000, 0.0106329000, -0.001923400, -0.035522100, -0.129290700, -0.395321400", \ - "0.0218320000, 0.0201055000, 0.0156098000, 0.0031652000, -0.031426600, -0.126857100, -0.394530900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014128540, 0.0039923110, 0.0112811000, 0.0318770900, 0.0900753300, 0.2545265000"); - values("0.0247326000, 0.0263657000, 0.0308587000, 0.0430420000, 0.0764064000, 0.1701780000, 0.4328061000", \ - "0.0240680000, 0.0256324000, 0.0300775000, 0.0423163000, 0.0759481000, 0.1698034000, 0.4325939000", \ - "0.0235715000, 0.0251267000, 0.0294658000, 0.0415924000, 0.0754972000, 0.1690189000, 0.4325200000", \ - "0.0233170000, 0.0248277000, 0.0290898000, 0.0410541000, 0.0747304000, 0.1683390000, 0.4354687000", \ - "0.0230181000, 0.0245464000, 0.0287608000, 0.0405465000, 0.0738985000, 0.1675412000, 0.4313828000", \ - "0.0231349000, 0.0245862000, 0.0287762000, 0.0406785000, 0.0741701000, 0.1676452000, 0.4306002000", \ - "0.0248234000, 0.0260770000, 0.0299101000, 0.0411294000, 0.0747708000, 0.1686073000, 0.4324480000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014128540, 0.0039923110, 0.0112811000, 0.0318770900, 0.0900753300, 0.2545265000"); - values("0.0065992000, 0.0053411000, 0.0018174000, -0.008193900, -0.039279600, -0.132432400, -0.398443500", \ - "0.0064991000, 0.0052404000, 0.0018214000, -0.008170000, -0.039457300, -0.132463500, -0.398514500", \ - "0.0066055000, 0.0053591000, 0.0018310000, -0.008153400, -0.039342100, -0.132357200, -0.398414100", \ - "0.0060972000, 0.0048372000, 0.0012090000, -0.008906300, -0.040037200, -0.132942700, -0.398828500", \ - "0.0053456000, 0.0039680000, 0.0002217000, -0.009732400, -0.040815300, -0.133640500, -0.399373500", \ - "0.0065270000, 0.0050584000, 0.0011124000, -0.010326200, -0.042804900, -0.134755100, -0.399956500", \ - "0.0081062000, 0.0064969000, 0.0026281000, -0.009649200, -0.042647600, -0.135711800, -0.400351100"); - } - related_pin : "B_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014128540, 0.0039923110, 0.0112811000, 0.0318770900, 0.0900753300, 0.2545265000"); - values("0.0217529000, 0.0233573000, 0.0276966000, 0.0402582000, 0.0742913000, 0.1683187000, 0.4325166000", \ - "0.0217986000, 0.0232972000, 0.0277789000, 0.0402427000, 0.0742300000, 0.1683183000, 0.4352205000", \ - "0.0217274000, 0.0232999000, 0.0277585000, 0.0401276000, 0.0741001000, 0.1687842000, 0.4329226000", \ - "0.0213503000, 0.0227112000, 0.0270785000, 0.0394302000, 0.0732445000, 0.1674657000, 0.4325612000", \ - "0.0210015000, 0.0225164000, 0.0268145000, 0.0387879000, 0.0724291000, 0.1665589000, 0.4319569000", \ - "0.0212032000, 0.0226972000, 0.0268484000, 0.0383998000, 0.0715490000, 0.1657788000, 0.4296247000", \ - "0.0216327000, 0.0230409000, 0.0273182000, 0.0389346000, 0.0720717000, 0.1661579000, 0.4293406000"); - } - } - max_capacitance : 0.2545270000; - max_transition : 1.4968260000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0160142000, 0.0170734000, 0.0198829000, 0.0271018000, 0.0457914000, 0.0958116000, 0.2345839000", \ - "0.0209866000, 0.0219348000, 0.0245740000, 0.0316841000, 0.0503207000, 0.1003642000, 0.2391566000", \ - "0.0299907000, 0.0313558000, 0.0347635000, 0.0428326000, 0.0612158000, 0.1111690000, 0.2499775000", \ - "0.0412602000, 0.0432970000, 0.0485171000, 0.0606468000, 0.0858001000, 0.1368519000, 0.2754638000", \ - "0.0515882000, 0.0546736000, 0.0622945000, 0.0810431000, 0.1201033000, 0.1925900000, 0.3346948000", \ - "0.0502625000, 0.0544404000, 0.0668979000, 0.0957900000, 0.1570456000, 0.2696325000, 0.4662067000", \ - "0.0087570000, 0.0159149000, 0.0348840000, 0.0803524000, 0.1759627000, 0.3551769000, 0.6623609000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0662234000, 0.0705175000, 0.0824665000, 0.1142435000, 0.2004081000, 0.4451087000, 1.1203524000", \ - "0.0706156000, 0.0749206000, 0.0867009000, 0.1184648000, 0.2053521000, 0.4494732000, 1.1264520000", \ - "0.0832884000, 0.0875117000, 0.0989541000, 0.1304279000, 0.2186052000, 0.4599232000, 1.1387934000", \ - "0.1095305000, 0.1138895000, 0.1255137000, 0.1571903000, 0.2438829000, 0.4859941000, 1.1722423000", \ - "0.1533812000, 0.1594385000, 0.1747372000, 0.2127831000, 0.3023926000, 0.5442859000, 1.2249710000", \ - "0.2256816000, 0.2348750000, 0.2580792000, 0.3130704000, 0.4290217000, 0.6817658000, 1.3626513000", \ - "0.3433906000, 0.3580092000, 0.3950220000, 0.4795077000, 0.6500027000, 0.9837876000, 1.6847267000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0123489000, 0.0135213000, 0.0166915000, 0.0253564000, 0.0486799000, 0.1150753000, 0.3039048000", \ - "0.0127588000, 0.0137470000, 0.0166011000, 0.0249156000, 0.0486199000, 0.1146939000, 0.3035438000", \ - "0.0185441000, 0.0194579000, 0.0220905000, 0.0282152000, 0.0491085000, 0.1147665000, 0.3031523000", \ - "0.0293116000, 0.0307264000, 0.0343030000, 0.0425244000, 0.0607997000, 0.1171382000, 0.3027833000", \ - "0.0474978000, 0.0496781000, 0.0551350000, 0.0682077000, 0.0943894000, 0.1467603000, 0.3071406000", \ - "0.0790182000, 0.0829210000, 0.0916790000, 0.1126393000, 0.1530106000, 0.2291786000, 0.3717098000", \ - "0.1367200000, 0.1423041000, 0.1572647000, 0.1905674000, 0.2545001000, 0.3674498000, 0.5672125000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0398133000, 0.0450908000, 0.0601126000, 0.1017120000, 0.2191019000, 0.5513106000, 1.4809782000", \ - "0.0399206000, 0.0451020000, 0.0601242000, 0.1017813000, 0.2195742000, 0.5508926000, 1.4813188000", \ - "0.0399256000, 0.0453416000, 0.0601783000, 0.1017390000, 0.2198217000, 0.5492316000, 1.4773528000", \ - "0.0431177000, 0.0480061000, 0.0619649000, 0.1022666000, 0.2199265000, 0.5492986000, 1.4906608000", \ - "0.0588889000, 0.0643543000, 0.0789254000, 0.1159390000, 0.2236760000, 0.5507796000, 1.4812067000", \ - "0.0942571000, 0.1003694000, 0.1170020000, 0.1600984000, 0.2638552000, 0.5587937000, 1.4859194000", \ - "0.1712942000, 0.1784486000, 0.1994296000, 0.2516667000, 0.3731673000, 0.6576292000, 1.4968262000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0977795000, 0.1000745000, 0.1057360000, 0.1182200000, 0.1435915000, 0.1995470000, 0.3430505000", \ - "0.1029064000, 0.1051694000, 0.1107779000, 0.1232238000, 0.1487210000, 0.2046316000, 0.3481125000", \ - "0.1157972000, 0.1180654000, 0.1236615000, 0.1362148000, 0.1617443000, 0.2175607000, 0.3609215000", \ - "0.1460184000, 0.1483136000, 0.1539129000, 0.1667514000, 0.1923309000, 0.2483578000, 0.3919397000", \ - "0.2128946000, 0.2153297000, 0.2213365000, 0.2340903000, 0.2603124000, 0.3169101000, 0.4605892000", \ - "0.3175447000, 0.3206632000, 0.3284230000, 0.3448764000, 0.3763039000, 0.4371102000, 0.5801596000", \ - "0.4716567000, 0.4757089000, 0.4859146000, 0.5076093000, 0.5481544000, 0.6193909000, 0.7668251000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0928147000, 0.0971228000, 0.1088444000, 0.1413558000, 0.2293673000, 0.4721448000, 1.1573766000", \ - "0.0976597000, 0.1019375000, 0.1137729000, 0.1461654000, 0.2341311000, 0.4770579000, 1.1617509000", \ - "0.1089983000, 0.1133625000, 0.1252057000, 0.1574394000, 0.2453100000, 0.4886567000, 1.1716412000", \ - "0.1352985000, 0.1391838000, 0.1508148000, 0.1827285000, 0.2705369000, 0.5132372000, 1.2069526000", \ - "0.1804233000, 0.1847746000, 0.1965307000, 0.2280231000, 0.3147629000, 0.5573966000, 1.2497489000", \ - "0.2421779000, 0.2471262000, 0.2597159000, 0.2914139000, 0.3774774000, 0.6194486000, 1.3003699000", \ - "0.3121997000, 0.3183856000, 0.3343887000, 0.3694187000, 0.4550140000, 0.6956424000, 1.3740475000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0217630000, 0.0232819000, 0.0267479000, 0.0357588000, 0.0586312000, 0.1217876000, 0.3098992000", \ - "0.0218615000, 0.0231300000, 0.0268550000, 0.0357496000, 0.0586032000, 0.1214610000, 0.3095133000", \ - "0.0217502000, 0.0230926000, 0.0266367000, 0.0357710000, 0.0585876000, 0.1217580000, 0.3098468000", \ - "0.0217920000, 0.0231543000, 0.0266908000, 0.0357824000, 0.0586753000, 0.1217619000, 0.3100117000", \ - "0.0258091000, 0.0271669000, 0.0306292000, 0.0389277000, 0.0607739000, 0.1225645000, 0.3092109000", \ - "0.0382268000, 0.0398160000, 0.0441935000, 0.0530597000, 0.0735146000, 0.1310640000, 0.3120447000", \ - "0.0578787000, 0.0600356000, 0.0652876000, 0.0763967000, 0.0978210000, 0.1513619000, 0.3204470000"); - } - related_pin : "B_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014128500, 0.0039923100, 0.0112811000, 0.0318771000, 0.0900753000, 0.2545270000"); - values("0.0402938000, 0.0455372000, 0.0601489000, 0.1019518000, 0.2194860000, 0.5491805000, 1.4829701000", \ - "0.0404023000, 0.0455187000, 0.0603164000, 0.1019973000, 0.2189524000, 0.5492034000, 1.4915129000", \ - "0.0404294000, 0.0454191000, 0.0600965000, 0.1019582000, 0.2189981000, 0.5497766000, 1.4835964000", \ - "0.0405595000, 0.0457808000, 0.0604103000, 0.1019422000, 0.2195089000, 0.5481779000, 1.4865011000", \ - "0.0436174000, 0.0485572000, 0.0624350000, 0.1026194000, 0.2193180000, 0.5492477000, 1.4870921000", \ - "0.0517941000, 0.0564574000, 0.0690430000, 0.1063531000, 0.2205822000, 0.5498084000, 1.4836515000", \ - "0.0678176000, 0.0723064000, 0.0851565000, 0.1187569000, 0.2242531000, 0.5530017000, 1.4771380000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor3_1") { - leakage_power () { - value : 0.0029956000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0010077000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0001104000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0009729000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0002132000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0018331000; - when : "A&!B&!C"; - } - leakage_power () { - value : 7.6149604e-05; - when : "A&B&C"; - } - leakage_power () { - value : 0.0001922000; - when : "A&B&!C"; - } - area : 5.0048000000; - cell_footprint : "sky130_fd_sc_hd__nor3"; - cell_leakage_power : 0.0009251654; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024410000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023310000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040753000, 0.0040736000, 0.0040699000, 0.0040711000, 0.0040740000, 0.0040806000, 0.0040959000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003501000, -0.003540400, -0.003631100, -0.003645400, -0.003678500, -0.003754500, -0.003929900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025510000; - } - pin ("B") { - capacitance : 0.0023800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022310000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038040000, 0.0038034000, 0.0038021000, 0.0038012000, 0.0037991000, 0.0037943000, 0.0037831000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003295200, -0.003393500, -0.003620000, -0.003625200, -0.003637000, -0.003664400, -0.003727500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025300000; - } - pin ("C") { - capacitance : 0.0023250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021550000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025804000, 0.0025747000, 0.0025616000, 0.0025690000, 0.0025862000, 0.0026256000, 0.0027166000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001713400, -0.001713400, -0.001713300, -0.001713600, -0.001714300, -0.001715800, -0.001719200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024950000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&!C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010849590, 0.0023542710, 0.0051085730, 0.0110851800, 0.0240539300, 0.0521950400"); - values("0.0049567000, 0.0040170000, 0.0019687000, -0.002453500, -0.012030800, -0.032914900, -0.078364300", \ - "0.0048605000, 0.0039236000, 0.0018714000, -0.002547000, -0.012114200, -0.032979200, -0.078440400", \ - "0.0047772000, 0.0038370000, 0.0018077000, -0.002595700, -0.012161400, -0.033020500, -0.078467000", \ - "0.0045857000, 0.0036690000, 0.0016656000, -0.002734800, -0.012238300, -0.033096600, -0.078515400", \ - "0.0047352000, 0.0037963000, 0.0017431000, -0.002767600, -0.012305200, -0.033109300, -0.078579600", \ - "0.0052369000, 0.0042912000, 0.0022071000, -0.002273400, -0.011980300, -0.032859700, -0.078359300", \ - "0.0069291000, 0.0059805000, 0.0037494000, -0.000861500, -0.010561100, -0.031910600, -0.077880000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010849590, 0.0023542710, 0.0051085730, 0.0110851800, 0.0240539300, 0.0521950400"); - values("0.0115260000, 0.0125078000, 0.0146466000, 0.0190944000, 0.0287251000, 0.0494983000, 0.0947177000", \ - "0.0113135000, 0.0123277000, 0.0144086000, 0.0189265000, 0.0286103000, 0.0495400000, 0.0944870000", \ - "0.0111185000, 0.0121072000, 0.0141913000, 0.0187202000, 0.0284260000, 0.0494620000, 0.0945068000", \ - "0.0110106000, 0.0119801000, 0.0140427000, 0.0185547000, 0.0282142000, 0.0490890000, 0.0942705000", \ - "0.0109338000, 0.0118665000, 0.0139346000, 0.0183966000, 0.0280662000, 0.0491679000, 0.0942862000", \ - "0.0108809000, 0.0118390000, 0.0139334000, 0.0183734000, 0.0279332000, 0.0488871000, 0.0941751000", \ - "0.0108732000, 0.0117901000, 0.0137952000, 0.0183728000, 0.0281909000, 0.0488549000, 0.0939727000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010849590, 0.0023542710, 0.0051085730, 0.0110851800, 0.0240539300, 0.0521950400"); - values("0.0037824000, 0.0028465000, 0.0008207000, -0.003590100, -0.013233800, -0.034176400, -0.079729600", \ - "0.0038012000, 0.0028783000, 0.0008706000, -0.003528100, -0.013144100, -0.034103900, -0.079639000", \ - "0.0038626000, 0.0029542000, 0.0009643000, -0.003408800, -0.012970000, -0.033894700, -0.079411200", \ - "0.0036411000, 0.0027332000, 0.0007624000, -0.003551800, -0.013092800, -0.033964000, -0.079426200", \ - "0.0036610000, 0.0027452000, 0.0007697000, -0.003602500, -0.013236000, -0.034054200, -0.079485900", \ - "0.0040697000, 0.0031109000, 0.0010829000, -0.003518100, -0.013121200, -0.034107200, -0.079513500", \ - "0.0053354000, 0.0043308000, 0.0021701000, -0.002488400, -0.012340000, -0.033465400, -0.079217900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010849590, 0.0023542710, 0.0051085730, 0.0110851800, 0.0240539300, 0.0521950400"); - values("0.0093849000, 0.0103807000, 0.0124896000, 0.0169441000, 0.0265758000, 0.0473420000, 0.0924071000", \ - "0.0091423000, 0.0101584000, 0.0122532000, 0.0167799000, 0.0264494000, 0.0473524000, 0.0924395000", \ - "0.0089777000, 0.0099347000, 0.0120588000, 0.0165485000, 0.0262675000, 0.0471823000, 0.0926326000", \ - "0.0088491000, 0.0098228000, 0.0119100000, 0.0163591000, 0.0260528000, 0.0473180000, 0.0920529000", \ - "0.0087747000, 0.0097380000, 0.0117876000, 0.0162533000, 0.0259508000, 0.0470182000, 0.0921995000", \ - "0.0087400000, 0.0096930000, 0.0117804000, 0.0161939000, 0.0258984000, 0.0468316000, 0.0920098000", \ - "0.0091348000, 0.0099876000, 0.0120261000, 0.0165743000, 0.0261305000, 0.0466752000, 0.0921999000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010849590, 0.0023542710, 0.0051085730, 0.0110851800, 0.0240539300, 0.0521950400"); - values("0.0005491000, -0.000366900, -0.002364000, -0.006767700, -0.016402700, -0.037397000, -0.082953900", \ - "0.0004233000, -0.000469400, -0.002426300, -0.006778900, -0.016360600, -0.037296000, -0.082850500", \ - "0.0002389000, -0.000637700, -0.002570500, -0.006854800, -0.016384800, -0.037267400, -0.082788300", \ - "-2.25000e-05, -0.000868900, -0.002785100, -0.007026900, -0.016504200, -0.037314500, -0.082794200", \ - "-3.50000e-06, -0.000905800, -0.002870300, -0.007161800, -0.016712000, -0.037464600, -0.082861200", \ - "0.0005263000, -0.000451500, -0.002505700, -0.007117900, -0.016711000, -0.037587700, -0.082840000", \ - "0.0021888000, 0.0011038000, -0.001174000, -0.005933500, -0.015888000, -0.036839900, -0.082637700"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010849590, 0.0023542710, 0.0051085730, 0.0110851800, 0.0240539300, 0.0521950400"); - values("0.0083143000, 0.0093049000, 0.0114222000, 0.0159171000, 0.0255639000, 0.0463590000, 0.0913444000", \ - "0.0080377000, 0.0090128000, 0.0112009000, 0.0157282000, 0.0254451000, 0.0462978000, 0.0913635000", \ - "0.0078781000, 0.0088649000, 0.0109446000, 0.0155191000, 0.0253028000, 0.0463134000, 0.0916228000", \ - "0.0078639000, 0.0088126000, 0.0108694000, 0.0153055000, 0.0249743000, 0.0459102000, 0.0911193000", \ - "0.0078763000, 0.0089062000, 0.0109171000, 0.0154184000, 0.0248993000, 0.0459814000, 0.0909286000", \ - "0.0087964000, 0.0097255000, 0.0117056000, 0.0156374000, 0.0250920000, 0.0458588000, 0.0907415000", \ - "0.0101611000, 0.0110137000, 0.0129941000, 0.0174953000, 0.0263271000, 0.0466554000, 0.0913534000"); - } - } - max_capacitance : 0.0521950000; - max_transition : 1.4885470000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010849600, 0.0023542700, 0.0051085700, 0.0110852000, 0.0240539000, 0.0521950000"); - values("0.0223779000, 0.0248573000, 0.0299914000, 0.0404833000, 0.0618348000, 0.1060171000, 0.1994343000", \ - "0.0274590000, 0.0298325000, 0.0348444000, 0.0451921000, 0.0664637000, 0.1106190000, 0.2041279000", \ - "0.0393958000, 0.0419140000, 0.0467351000, 0.0566220000, 0.0775815000, 0.1216309000, 0.2150073000", \ - "0.0578836000, 0.0616330000, 0.0686824000, 0.0819609000, 0.1046338000, 0.1480674000, 0.2404814000", \ - "0.0807421000, 0.0862941000, 0.0971576000, 0.1174887000, 0.1522369000, 0.2081267000, 0.3020888000", \ - "0.1029177000, 0.1112546000, 0.1281341000, 0.1584279000, 0.2131086000, 0.2987582000, 0.4327963000", \ - "0.1038004000, 0.1170745000, 0.1429224000, 0.1914963000, 0.2750107000, 0.4123095000, 0.6225844000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010849600, 0.0023542700, 0.0051085700, 0.0110852000, 0.0240539000, 0.0521950000"); - values("0.1166974000, 0.1286098000, 0.1548863000, 0.2095078000, 0.3276015000, 0.5829396000, 1.1402208000", \ - "0.1200316000, 0.1324596000, 0.1582201000, 0.2142080000, 0.3347363000, 0.5908646000, 1.1397037000", \ - "0.1312744000, 0.1431035000, 0.1689481000, 0.2244508000, 0.3437401000, 0.6014493000, 1.1522986000", \ - "0.1559344000, 0.1677316000, 0.1934151000, 0.2491660000, 0.3671410000, 0.6235835000, 1.1767773000", \ - "0.2036687000, 0.2168362000, 0.2441977000, 0.2993804000, 0.4190064000, 0.6778352000, 1.2316493000", \ - "0.2797045000, 0.2964545000, 0.3304351000, 0.3978348000, 0.5276790000, 0.7844748000, 1.3429498000", \ - "0.3957481000, 0.4212154000, 0.4713954000, 0.5626083000, 0.7292523000, 1.0275748000, 1.5922126000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010849600, 0.0023542700, 0.0051085700, 0.0110852000, 0.0240539000, 0.0521950000"); - values("0.0198579000, 0.0227868000, 0.0289072000, 0.0416703000, 0.0682569000, 0.1254282000, 0.2478291000", \ - "0.0193091000, 0.0221149000, 0.0283740000, 0.0413227000, 0.0679454000, 0.1253828000, 0.2481216000", \ - "0.0233866000, 0.0254727000, 0.0303755000, 0.0417022000, 0.0677279000, 0.1249419000, 0.2477779000", \ - "0.0364752000, 0.0400397000, 0.0454260000, 0.0539389000, 0.0744490000, 0.1261939000, 0.2484911000", \ - "0.0594451000, 0.0633881000, 0.0711475000, 0.0858454000, 0.1092237000, 0.1503268000, 0.2555879000", \ - "0.0996707000, 0.1059827000, 0.1172480000, 0.1389884000, 0.1756151000, 0.2363399000, 0.3265884000", \ - "0.1715744000, 0.1814325000, 0.2024426000, 0.2353033000, 0.2907062000, 0.3776335000, 0.5141596000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010849600, 0.0023542700, 0.0051085700, 0.0110852000, 0.0240539000, 0.0521950000"); - values("0.0881264000, 0.1032275000, 0.1375830000, 0.2107693000, 0.3691070000, 0.7120426000, 1.4597853000", \ - "0.0880203000, 0.1036530000, 0.1370808000, 0.2106304000, 0.3696751000, 0.7145087000, 1.4586999000", \ - "0.0881068000, 0.1036259000, 0.1371008000, 0.2106986000, 0.3684462000, 0.7133800000, 1.4556183000", \ - "0.0880133000, 0.1039069000, 0.1372563000, 0.2110711000, 0.3693518000, 0.7118288000, 1.4571735000", \ - "0.1006545000, 0.1143089000, 0.1451950000, 0.2145348000, 0.3693177000, 0.7177247000, 1.4578582000", \ - "0.1339562000, 0.1491921000, 0.1816144000, 0.2498142000, 0.3902446000, 0.7183638000, 1.4637038000", \ - "0.2121132000, 0.2290739000, 0.2635516000, 0.3358471000, 0.4858989000, 0.7895865000, 1.4803729000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010849600, 0.0023542700, 0.0051085700, 0.0110852000, 0.0240539000, 0.0521950000"); - values("0.0222037000, 0.0243573000, 0.0287961000, 0.0381772000, 0.0578872000, 0.0998164000, 0.1902821000", \ - "0.0269927000, 0.0291385000, 0.0335863000, 0.0428861000, 0.0626090000, 0.1045893000, 0.1951109000", \ - "0.0377824000, 0.0401766000, 0.0449506000, 0.0540224000, 0.0736993000, 0.1156839000, 0.2060217000", \ - "0.0525181000, 0.0563875000, 0.0637277000, 0.0771295000, 0.0993809000, 0.1413379000, 0.2316343000", \ - "0.0683222000, 0.0742064000, 0.0857931000, 0.1068450000, 0.1426342000, 0.1992556000, 0.2924334000", \ - "0.0770364000, 0.0861866000, 0.1036352000, 0.1376908000, 0.1935789000, 0.2831463000, 0.4189156000", \ - "0.0533973000, 0.0678730000, 0.0965666000, 0.1487775000, 0.2380128000, 0.3793064000, 0.5924434000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010849600, 0.0023542700, 0.0051085700, 0.0110852000, 0.0240539000, 0.0521950000"); - values("0.1036205000, 0.1158502000, 0.1419130000, 0.1964600000, 0.3145612000, 0.5698142000, 1.1221449000", \ - "0.1064722000, 0.1185495000, 0.1443432000, 0.1999376000, 0.3187571000, 0.5781570000, 1.1260682000", \ - "0.1168151000, 0.1289084000, 0.1550693000, 0.2104873000, 0.3314946000, 0.5853068000, 1.1396241000", \ - "0.1428397000, 0.1544910000, 0.1802332000, 0.2352870000, 0.3539675000, 0.6138920000, 1.1725276000", \ - "0.1956481000, 0.2103857000, 0.2388781000, 0.2945761000, 0.4136486000, 0.6725693000, 1.2244086000", \ - "0.2830730000, 0.3034621000, 0.3438562000, 0.4171101000, 0.5514313000, 0.8085256000, 1.3632125000", \ - "0.4270884000, 0.4579581000, 0.5177886000, 0.6261613000, 0.8094654000, 1.1184271000, 1.6856744000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010849600, 0.0023542700, 0.0051085700, 0.0110852000, 0.0240539000, 0.0521950000"); - values("0.0165060000, 0.0190662000, 0.0245338000, 0.0363127000, 0.0619617000, 0.1174168000, 0.2386035000", \ - "0.0162023000, 0.0187501000, 0.0243415000, 0.0362204000, 0.0619240000, 0.1176792000, 0.2379625000", \ - "0.0203982000, 0.0222675000, 0.0267745000, 0.0373686000, 0.0618601000, 0.1171162000, 0.2371792000", \ - "0.0325467000, 0.0353194000, 0.0408662000, 0.0508973000, 0.0702751000, 0.1193677000, 0.2384764000", \ - "0.0545386000, 0.0585787000, 0.0678998000, 0.0817801000, 0.1060637000, 0.1465084000, 0.2466019000", \ - "0.0934596000, 0.1005363000, 0.1132776000, 0.1350039000, 0.1729007000, 0.2306009000, 0.3214849000", \ - "0.1637797000, 0.1747560000, 0.1951613000, 0.2304731000, 0.2862526000, 0.3755009000, 0.5084855000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010849600, 0.0023542700, 0.0051085700, 0.0110852000, 0.0240539000, 0.0521950000"); - values("0.0881116000, 0.1038072000, 0.1375114000, 0.2108149000, 0.3694675000, 0.7117603000, 1.4561437000", \ - "0.0880579000, 0.1036672000, 0.1370318000, 0.2104735000, 0.3684923000, 0.7144547000, 1.4585432000", \ - "0.0880083000, 0.1037146000, 0.1373921000, 0.2106245000, 0.3697528000, 0.7118736000, 1.4612811000", \ - "0.0893007000, 0.1040989000, 0.1376467000, 0.2105051000, 0.3692673000, 0.7168882000, 1.4604788000", \ - "0.1083803000, 0.1210635000, 0.1503650000, 0.2160926000, 0.3697309000, 0.7172291000, 1.4596752000", \ - "0.1557234000, 0.1710602000, 0.2017641000, 0.2647474000, 0.3974377000, 0.7185642000, 1.4627409000", \ - "0.2492607000, 0.2664994000, 0.3052597000, 0.3815225000, 0.5218503000, 0.7969438000, 1.4762105000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010849600, 0.0023542700, 0.0051085700, 0.0110852000, 0.0240539000, 0.0521950000"); - values("0.0183757000, 0.0204267000, 0.0248041000, 0.0341129000, 0.0540484000, 0.0967946000, 0.1898217000", \ - "0.0231186000, 0.0252282000, 0.0295758000, 0.0389844000, 0.0589146000, 0.1019543000, 0.1949964000", \ - "0.0321185000, 0.0350785000, 0.0406026000, 0.0503947000, 0.0703835000, 0.1132756000, 0.2062601000", \ - "0.0430320000, 0.0476979000, 0.0564396000, 0.0717774000, 0.0965654000, 0.1397285000, 0.2329502000", \ - "0.0530495000, 0.0604321000, 0.0743021000, 0.0985037000, 0.1377451000, 0.1981696000, 0.2937999000", \ - "0.0532513000, 0.0649054000, 0.0867136000, 0.1252709000, 0.1873312000, 0.2822663000, 0.4211877000", \ - "0.0193679000, 0.0376434000, 0.0727450000, 0.1330798000, 0.2312389000, 0.3814362000, 0.6029462000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010849600, 0.0023542700, 0.0051085700, 0.0110852000, 0.0240539000, 0.0521950000"); - values("0.0706407000, 0.0829719000, 0.1088799000, 0.1642288000, 0.2824118000, 0.5375335000, 1.0904911000", \ - "0.0720551000, 0.0843427000, 0.1114113000, 0.1666759000, 0.2855345000, 0.5411118000, 1.0939745000", \ - "0.0813392000, 0.0933133000, 0.1187876000, 0.1752096000, 0.2944012000, 0.5510316000, 1.1041823000", \ - "0.1078150000, 0.1188825000, 0.1439304000, 0.1983063000, 0.3165614000, 0.5731693000, 1.1268316000", \ - "0.1602081000, 0.1753421000, 0.2048158000, 0.2592737000, 0.3756721000, 0.6330114000, 1.1880874000", \ - "0.2419052000, 0.2640507000, 0.3071615000, 0.3855792000, 0.5212678000, 0.7727854000, 1.3231904000", \ - "0.3766652000, 0.4082859000, 0.4708227000, 0.5844570000, 0.7794746000, 1.1008784000, 1.6488680000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010849600, 0.0023542700, 0.0051085700, 0.0110852000, 0.0240539000, 0.0521950000"); - values("0.0122766000, 0.0149800000, 0.0206814000, 0.0328027000, 0.0595685000, 0.1175230000, 0.2424265000", \ - "0.0126863000, 0.0150781000, 0.0206744000, 0.0326668000, 0.0596368000, 0.1175904000, 0.2416489000", \ - "0.0183933000, 0.0203751000, 0.0244191000, 0.0345951000, 0.0599336000, 0.1177284000, 0.2415494000", \ - "0.0305955000, 0.0332681000, 0.0390209000, 0.0493668000, 0.0687000000, 0.1197948000, 0.2417397000", \ - "0.0526681000, 0.0562287000, 0.0648682000, 0.0806100000, 0.1050496000, 0.1469168000, 0.2509004000", \ - "0.0912088000, 0.0987438000, 0.1109737000, 0.1336316000, 0.1710175000, 0.2298633000, 0.3258146000", \ - "0.1646047000, 0.1747045000, 0.1953845000, 0.2311229000, 0.2872640000, 0.3768373000, 0.5127607000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010849600, 0.0023542700, 0.0051085700, 0.0110852000, 0.0240539000, 0.0521950000"); - values("0.0871604000, 0.1028754000, 0.1369202000, 0.2105918000, 0.3693316000, 0.7114782000, 1.4569882000", \ - "0.0867689000, 0.1024512000, 0.1370282000, 0.2103691000, 0.3691589000, 0.7117442000, 1.4568372000", \ - "0.0853038000, 0.1016379000, 0.1365589000, 0.2109107000, 0.3696691000, 0.7139908000, 1.4607628000", \ - "0.0891034000, 0.1033504000, 0.1356894000, 0.2096808000, 0.3691653000, 0.7123674000, 1.4586465000", \ - "0.1177742000, 0.1316064000, 0.1581999000, 0.2191809000, 0.3688420000, 0.7165707000, 1.4586491000", \ - "0.1640260000, 0.1822141000, 0.2184952000, 0.2873332000, 0.4113341000, 0.7193959000, 1.4573195000", \ - "0.2448390000, 0.2715110000, 0.3197312000, 0.4106201000, 0.5665758000, 0.8402844000, 1.4885466000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor3_2") { - leakage_power () { - value : 0.0079423000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0020798000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0002563000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0022883000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0002589000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0021588000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0001310000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0002484000; - when : "A&B&!C"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__nor3"; - cell_leakage_power : 0.0019204830; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0043840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0076233000, 0.0076199000, 0.0076121000, 0.0076081000, 0.0075988000, 0.0075775000, 0.0075282000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006782900, -0.006856700, -0.007026700, -0.007054100, -0.007117200, -0.007262600, -0.007597900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046010000; - } - pin ("B") { - capacitance : 0.0043790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040830000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0083130000, 0.0083128000, 0.0083122000, 0.0083120000, 0.0083116000, 0.0083107000, 0.0083086000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007173500, -0.007298000, -0.007585000, -0.007597200, -0.007625400, -0.007690300, -0.007839900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046750000; - } - pin ("C") { - capacitance : 0.0043460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040020000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043182000, 0.0043109000, 0.0042942000, 0.0043144000, 0.0043609000, 0.0044683000, 0.0047158000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003498600, -0.003499900, -0.003503100, -0.003501800, -0.003498700, -0.003491700, -0.003475600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046900000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&!C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011934790, 0.0028487860, 0.0067999350, 0.0162311600, 0.0387431200, 0.0924782300"); - values("0.0106688000, 0.0095273000, 0.0068632000, 0.0005111000, -0.014657600, -0.050738800, -0.137351000", \ - "0.0104799000, 0.0093597000, 0.0066955000, 0.0003271000, -0.014811100, -0.050876500, -0.137515500", \ - "0.0103154000, 0.0092127000, 0.0065453000, 0.0002241000, -0.014944900, -0.050997500, -0.137615000", \ - "0.0099610000, 0.0088683000, 0.0061823000, -9.83000e-05, -0.015146700, -0.051210800, -0.137798900", \ - "0.0101146000, 0.0089805000, 0.0062708000, -0.000122300, -0.015216800, -0.051224600, -0.137930100", \ - "0.0114859000, 0.0103664000, 0.0076071000, 0.0011966000, -0.014185600, -0.050896200, -0.137563700", \ - "0.0143078000, 0.0131627000, 0.0103313000, 0.0036246000, -0.011876900, -0.048846500, -0.136537800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011934790, 0.0028487860, 0.0067999350, 0.0162311600, 0.0387431200, 0.0924782300"); - values("0.0215073000, 0.0226951000, 0.0254730000, 0.0320173000, 0.0471108000, 0.0834076000, 0.1690139000", \ - "0.0211745000, 0.0223188000, 0.0251103000, 0.0316979000, 0.0470893000, 0.0834720000, 0.1691784000", \ - "0.0209143000, 0.0220779000, 0.0247942000, 0.0313495000, 0.0466681000, 0.0829366000, 0.1688959000", \ - "0.0207303000, 0.0218373000, 0.0245715000, 0.0309781000, 0.0462775000, 0.0826002000, 0.1688579000", \ - "0.0205403000, 0.0216643000, 0.0243344000, 0.0307348000, 0.0460814000, 0.0821601000, 0.1683314000", \ - "0.0205354000, 0.0217303000, 0.0244301000, 0.0308109000, 0.0458751000, 0.0821075000, 0.1688380000", \ - "0.0203514000, 0.0213801000, 0.0240413000, 0.0306535000, 0.0462390000, 0.0821522000, 0.1682115000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011934790, 0.0028487860, 0.0067999350, 0.0162311600, 0.0387431200, 0.0924782300"); - values("0.0075075000, 0.0063962000, 0.0037418000, -0.002557300, -0.017689900, -0.053936100, -0.140878400", \ - "0.0075224000, 0.0064195000, 0.0037903000, -0.002495700, -0.017594300, -0.053839500, -0.140726600", \ - "0.0075341000, 0.0064552000, 0.0038706000, -0.002370200, -0.017386200, -0.053599500, -0.140456000", \ - "0.0071591000, 0.0060651000, 0.0034939000, -0.002640000, -0.017573800, -0.053756600, -0.140528500", \ - "0.0073042000, 0.0062084000, 0.0035538000, -0.002644500, -0.017911400, -0.053876200, -0.140591000", \ - "0.0080502000, 0.0070128000, 0.0042220000, -0.002084200, -0.017226600, -0.053708900, -0.140563100", \ - "0.0108419000, 0.0096171000, 0.0075609000, 3.300000e-05, -0.015713400, -0.052457700, -0.139784600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011934790, 0.0028487860, 0.0067999350, 0.0162311600, 0.0387431200, 0.0924782300"); - values("0.0162315000, 0.0174025000, 0.0202206000, 0.0266846000, 0.0419560000, 0.0780828000, 0.1640609000", \ - "0.0158405000, 0.0169965000, 0.0198283000, 0.0264305000, 0.0418735000, 0.0781526000, 0.1643689000", \ - "0.0155444000, 0.0166997000, 0.0194662000, 0.0259669000, 0.0413343000, 0.0776388000, 0.1636447000", \ - "0.0153687000, 0.0165128000, 0.0192278000, 0.0256227000, 0.0409102000, 0.0775249000, 0.1636469000", \ - "0.0151264000, 0.0162847000, 0.0189579000, 0.0253797000, 0.0406601000, 0.0768339000, 0.1630344000", \ - "0.0151603000, 0.0162725000, 0.0189663000, 0.0253969000, 0.0406468000, 0.0768506000, 0.1633577000", \ - "0.0158262000, 0.0168831000, 0.0196415000, 0.0260324000, 0.0410306000, 0.0768941000, 0.1635405000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011934790, 0.0028487860, 0.0067999350, 0.0162311600, 0.0387431200, 0.0924782300"); - values("6.600000e-05, -0.001018300, -0.003647600, -0.009982200, -0.025169800, -0.061565300, -0.148583700", \ - "-0.000274000, -0.001305200, -0.003852100, -0.010033700, -0.025107900, -0.061397300, -0.148364000", \ - "-0.000702100, -0.001725700, -0.004185800, -0.010259500, -0.025148300, -0.061305300, -0.148202200", \ - "-0.001137400, -0.002151400, -0.004504500, -0.010690200, -0.025378600, -0.061394900, -0.148163300", \ - "-0.001034600, -0.002133600, -0.004683200, -0.010720400, -0.025749100, -0.061660400, -0.148271800", \ - "1.310000e-05, -0.001143600, -0.003846800, -0.010221100, -0.025465700, -0.061567600, -0.148386700", \ - "0.0035963000, 0.0023047000, -0.000650000, -0.007696300, -0.023788700, -0.060602800, -0.147801900"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011934790, 0.0028487860, 0.0067999350, 0.0162311600, 0.0387431200, 0.0924782300"); - values("0.0153646000, 0.0165746000, 0.0194064000, 0.0260877000, 0.0413307000, 0.0776651000, 0.1639553000", \ - "0.0148434000, 0.0160269000, 0.0189673000, 0.0255642000, 0.0410453000, 0.0773291000, 0.1632525000", \ - "0.0146049000, 0.0157495000, 0.0185388000, 0.0250320000, 0.0406465000, 0.0772111000, 0.1632344000", \ - "0.0144237000, 0.0155293000, 0.0182248000, 0.0247624000, 0.0401209000, 0.0769387000, 0.1629746000", \ - "0.0147220000, 0.0158232000, 0.0184241000, 0.0247479000, 0.0399357000, 0.0763057000, 0.1625118000", \ - "0.0162958000, 0.0173854000, 0.0199805000, 0.0262514000, 0.0410162000, 0.0762962000, 0.1621742000", \ - "0.0193720000, 0.0203672000, 0.0227595000, 0.0289704000, 0.0436227000, 0.0782466000, 0.1625150000"); - } - } - max_capacitance : 0.0924780000; - max_transition : 1.4918710000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000"); - values("0.0180192000, 0.0195338000, 0.0230281000, 0.0309241000, 0.0483616000, 0.0870205000, 0.1750691000", \ - "0.0234068000, 0.0248133000, 0.0281364000, 0.0357885000, 0.0530349000, 0.0915985000, 0.1796590000", \ - "0.0349668000, 0.0366474000, 0.0403144000, 0.0478425000, 0.0644515000, 0.1024366000, 0.1903702000", \ - "0.0513954000, 0.0538681000, 0.0593954000, 0.0703487000, 0.0907384000, 0.1288562000, 0.2161445000", \ - "0.0713610000, 0.0749838000, 0.0829686000, 0.0995072000, 0.1307642000, 0.1847252000, 0.2765064000", \ - "0.0872436000, 0.0926790000, 0.1049424000, 0.1289908000, 0.1780098000, 0.2620352000, 0.3995271000", \ - "0.0770244000, 0.0855287000, 0.1044339000, 0.1430147000, 0.2177024000, 0.3490510000, 0.5634211000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000"); - values("0.1192571000, 0.1272480000, 0.1466794000, 0.1915880000, 0.2961619000, 0.5442469000, 1.1335854000", \ - "0.1229934000, 0.1313087000, 0.1504393000, 0.1957595000, 0.3014201000, 0.5551559000, 1.1430371000", \ - "0.1351002000, 0.1431834000, 0.1619349000, 0.2073084000, 0.3123998000, 0.5607695000, 1.1519985000", \ - "0.1622162000, 0.1702912000, 0.1892310000, 0.2331391000, 0.3380395000, 0.5870323000, 1.1782173000", \ - "0.2136839000, 0.2227770000, 0.2427168000, 0.2878574000, 0.3925044000, 0.6408644000, 1.2318684000", \ - "0.2971453000, 0.3089482000, 0.3341699000, 0.3889666000, 0.5061874000, 0.7568577000, 1.3509794000", \ - "0.4248159000, 0.4419626000, 0.4795074000, 0.5571802000, 0.7085982000, 1.0039702000, 1.6080015000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000"); - values("0.0163760000, 0.0183232000, 0.0226945000, 0.0325105000, 0.0542124000, 0.1032848000, 0.2189168000", \ - "0.0166067000, 0.0182126000, 0.0221812000, 0.0318461000, 0.0537251000, 0.1032591000, 0.2193072000", \ - "0.0222770000, 0.0234979000, 0.0261960000, 0.0338651000, 0.0535508000, 0.1026708000, 0.2194888000", \ - "0.0348866000, 0.0366657000, 0.0402123000, 0.0487104000, 0.0633621000, 0.1055577000, 0.2193219000", \ - "0.0567760000, 0.0594052000, 0.0649372000, 0.0763893000, 0.0984294000, 0.1359585000, 0.2305395000", \ - "0.0947525000, 0.0990936000, 0.1081722000, 0.1261121000, 0.1583965000, 0.2132191000, 0.3083944000", \ - "0.1626390000, 0.1694147000, 0.1840777000, 0.2123723000, 0.2642556000, 0.3469187000, 0.4854929000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000"); - values("0.0808628000, 0.0911982000, 0.1163235000, 0.1760010000, 0.3172489000, 0.6560630000, 1.4569698000", \ - "0.0808818000, 0.0916592000, 0.1164129000, 0.1761280000, 0.3182998000, 0.6602326000, 1.4614724000", \ - "0.0809526000, 0.0913853000, 0.1163159000, 0.1761192000, 0.3174864000, 0.6532811000, 1.4576663000", \ - "0.0811579000, 0.0918420000, 0.1170058000, 0.1752404000, 0.3172034000, 0.6534515000, 1.4571113000", \ - "0.0910396000, 0.1003787000, 0.1231012000, 0.1802778000, 0.3186054000, 0.6552806000, 1.4589951000", \ - "0.1205687000, 0.1309373000, 0.1554205000, 0.2114025000, 0.3384175000, 0.6606767000, 1.4658142000", \ - "0.1943985000, 0.2056952000, 0.2316013000, 0.2911888000, 0.4279911000, 0.7268851000, 1.4782252000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000"); - values("0.0198151000, 0.0212261000, 0.0244935000, 0.0317904000, 0.0482294000, 0.0860520000, 0.1749170000", \ - "0.0247875000, 0.0261705000, 0.0293654000, 0.0366452000, 0.0528972000, 0.0907138000, 0.1795660000", \ - "0.0354105000, 0.0370800000, 0.0406849000, 0.0480194000, 0.0641751000, 0.1017904000, 0.1906813000", \ - "0.0494997000, 0.0518379000, 0.0575107000, 0.0687060000, 0.0895665000, 0.1275448000, 0.2162426000", \ - "0.0638559000, 0.0678133000, 0.0764543000, 0.0940316000, 0.1266664000, 0.1829667000, 0.2771487000", \ - "0.0703330000, 0.0755142000, 0.0901389000, 0.1172032000, 0.1686594000, 0.2572352000, 0.3986421000", \ - "0.0439507000, 0.0534383000, 0.0747050000, 0.1175037000, 0.1990237000, 0.3388039000, 0.5615635000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000"); - values("0.1012423000, 0.1096086000, 0.1290649000, 0.1738078000, 0.2783084000, 0.5259239000, 1.1153276000", \ - "0.1039090000, 0.1123512000, 0.1315497000, 0.1771361000, 0.2831775000, 0.5307318000, 1.1321487000", \ - "0.1143604000, 0.1226106000, 0.1415945000, 0.1868766000, 0.2920779000, 0.5412688000, 1.1320497000", \ - "0.1405215000, 0.1486174000, 0.1676892000, 0.2115472000, 0.3178989000, 0.5663139000, 1.1571590000", \ - "0.1901418000, 0.1999731000, 0.2216716000, 0.2687543000, 0.3742652000, 0.6225143000, 1.2142110000", \ - "0.2718747000, 0.2848611000, 0.3155482000, 0.3786029000, 0.5045304000, 0.7569499000, 1.3527888000", \ - "0.3981871000, 0.4197158000, 0.4672402000, 0.5626876000, 0.7361053000, 1.0519007000, 1.6609263000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000"); - values("0.0151347000, 0.0167081000, 0.0204223000, 0.0291919000, 0.0498491000, 0.0997281000, 0.2183485000", \ - "0.0148251000, 0.0163595000, 0.0200060000, 0.0289229000, 0.0498103000, 0.0996122000, 0.2181476000", \ - "0.0194746000, 0.0207652000, 0.0234023000, 0.0307002000, 0.0499539000, 0.0994422000, 0.2181565000", \ - "0.0305350000, 0.0324912000, 0.0366260000, 0.0444761000, 0.0603594000, 0.1028326000, 0.2191174000", \ - "0.0517070000, 0.0536054000, 0.0594791000, 0.0724514000, 0.0943070000, 0.1344293000, 0.2294022000", \ - "0.0868273000, 0.0919970000, 0.1021012000, 0.1204622000, 0.1554876000, 0.2131731000, 0.3082992000", \ - "0.1540477000, 0.1611533000, 0.1756686000, 0.2069314000, 0.2595353000, 0.3468892000, 0.4865705000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000"); - values("0.0809650000, 0.0911240000, 0.1157996000, 0.1755128000, 0.3170816000, 0.6533102000, 1.4555621000", \ - "0.0807924000, 0.0916262000, 0.1161253000, 0.1760597000, 0.3182103000, 0.6560292000, 1.4669059000", \ - "0.0810379000, 0.0912713000, 0.1161852000, 0.1759218000, 0.3171315000, 0.6555727000, 1.4579670000", \ - "0.0817986000, 0.0919843000, 0.1172938000, 0.1753804000, 0.3175639000, 0.6558323000, 1.4550605000", \ - "0.1002017000, 0.1091660000, 0.1301696000, 0.1840914000, 0.3184149000, 0.6541153000, 1.4603386000", \ - "0.1431423000, 0.1533258000, 0.1773887000, 0.2316761000, 0.3514919000, 0.6621160000, 1.4638540000", \ - "0.2328844000, 0.2455174000, 0.2746600000, 0.3402824000, 0.4699021000, 0.7531019000, 1.4786899000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000"); - values("0.0161211000, 0.0174194000, 0.0204020000, 0.0272388000, 0.0431558000, 0.0806425000, 0.1699278000", \ - "0.0208723000, 0.0221912000, 0.0252123000, 0.0320494000, 0.0481183000, 0.0856841000, 0.1750862000", \ - "0.0287282000, 0.0307799000, 0.0351099000, 0.0434321000, 0.0596523000, 0.0971493000, 0.1866508000", \ - "0.0376929000, 0.0409557000, 0.0476166000, 0.0611653000, 0.0845026000, 0.1238829000, 0.2131900000", \ - "0.0447543000, 0.0499651000, 0.0610246000, 0.0819721000, 0.1187796000, 0.1783979000, 0.2747998000", \ - "0.0405076000, 0.0483211000, 0.0663567000, 0.1000032000, 0.1575507000, 0.2520605000, 0.3989261000", \ - "-0.000384900, 0.0129002000, 0.0405654000, 0.0936333000, 0.1867645000, 0.3363437000, 0.5672157000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000"); - values("0.0585200000, 0.0669250000, 0.0865564000, 0.1321268000, 0.2380119000, 0.4859397000, 1.0782684000", \ - "0.0598769000, 0.0679902000, 0.0879869000, 0.1333030000, 0.2396777000, 0.4885050000, 1.0790221000", \ - "0.0697737000, 0.0773960000, 0.0962618000, 0.1409856000, 0.2492429000, 0.4975050000, 1.0885192000", \ - "0.0976371000, 0.1045972000, 0.1210865000, 0.1647992000, 0.2694617000, 0.5218974000, 1.1182378000", \ - "0.1443771000, 0.1556504000, 0.1792153000, 0.2272388000, 0.3286384000, 0.5789452000, 1.1678267000", \ - "0.2173730000, 0.2334118000, 0.2683050000, 0.3394845000, 0.4710013000, 0.7143550000, 1.3043402000", \ - "0.3404023000, 0.3623796000, 0.4110018000, 0.5112239000, 0.7004188000, 1.0347295000, 1.6241501000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000"); - values("0.0093026000, 0.0108646000, 0.0145066000, 0.0233681000, 0.0445808000, 0.0945522000, 0.2144443000", \ - "0.0099994000, 0.0113351000, 0.0146784000, 0.0234219000, 0.0442455000, 0.0949233000, 0.2158235000", \ - "0.0156944000, 0.0171827000, 0.0200155000, 0.0264418000, 0.0453795000, 0.0942715000, 0.2140308000", \ - "0.0262844000, 0.0283598000, 0.0328030000, 0.0410608000, 0.0572251000, 0.0983651000, 0.2146495000", \ - "0.0455990000, 0.0487671000, 0.0551348000, 0.0684368000, 0.0908972000, 0.1305008000, 0.2254242000", \ - "0.0810313000, 0.0859251000, 0.0973571000, 0.1163791000, 0.1514452000, 0.2091552000, 0.3043911000", \ - "0.1486753000, 0.1565375000, 0.1764466000, 0.2055809000, 0.2580053000, 0.3462731000, 0.4843387000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011934800, 0.0028487900, 0.0067999300, 0.0162312000, 0.0387431000, 0.0924782000"); - values("0.0775928000, 0.0889734000, 0.1146629000, 0.1756337000, 0.3172677000, 0.6556532000, 1.4628008000", \ - "0.0765637000, 0.0875773000, 0.1142167000, 0.1745212000, 0.3176377000, 0.6550397000, 1.4579350000", \ - "0.0740722000, 0.0855381000, 0.1125233000, 0.1740847000, 0.3182877000, 0.6553801000, 1.4592141000", \ - "0.0808659000, 0.0903725000, 0.1132441000, 0.1723522000, 0.3174746000, 0.6582961000, 1.4617477000", \ - "0.1063631000, 0.1180089000, 0.1410405000, 0.1892946000, 0.3187434000, 0.6568711000, 1.4580702000", \ - "0.1472233000, 0.1607485000, 0.1902894000, 0.2550368000, 0.3724421000, 0.6653958000, 1.4571947000", \ - "0.2190149000, 0.2376913000, 0.2766278000, 0.3636842000, 0.5158967000, 0.8065590000, 1.4918707000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor3_4") { - leakage_power () { - value : 0.0022547000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0063490000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0002338000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0021546000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0002616000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0022324000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0168094000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0040347000; - when : "A&B&!C"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__nor3"; - cell_leakage_power : 0.0042912730; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0086740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082190000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0142969000, 0.0143097000, 0.0143392000, 0.0143519000, 0.0143812000, 0.0144486000, 0.0146039000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013326700, -0.013483800, -0.013845900, -0.013901100, -0.014028400, -0.014321800, -0.014998200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091280000; - } - pin ("B") { - capacitance : 0.0090040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0083790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0163574000, 0.0163542000, 0.0163467000, 0.0163500000, 0.0163575000, 0.0163747000, 0.0164143000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013661800, -0.013966800, -0.014670000, -0.014698300, -0.014763700, -0.014914300, -0.015261500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0096290000; - } - pin ("C") { - capacitance : 0.0083840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0077610000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075804000, 0.0075711000, 0.0075497000, 0.0075769000, 0.0076396000, 0.0077840000, 0.0081169000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006788000, -0.006791100, -0.006798300, -0.006793500, -0.006782500, -0.006757000, -0.006698300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090080000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&!C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0199379000, 0.0186626000, 0.0153205000, 0.0065976000, -0.015967400, -0.074374600, -0.226809300", \ - "0.0196014000, 0.0183152000, 0.0149740000, 0.0062845000, -0.016262000, -0.074648500, -0.227148300", \ - "0.0193230000, 0.0180300000, 0.0146901000, 0.0061114000, -0.016482700, -0.074859800, -0.227308100", \ - "0.0184938000, 0.0172186000, 0.0139127000, 0.0055356000, -0.017009400, -0.075289800, -0.227694300", \ - "0.0183470000, 0.0171017000, 0.0137670000, 0.0052763000, -0.017156400, -0.075386800, -0.227853100", \ - "0.0208707000, 0.0195771000, 0.0156983000, 0.0069001000, -0.015753500, -0.074644600, -0.227468100", \ - "0.0258766000, 0.0245120000, 0.0210551000, 0.0119967000, -0.011104100, -0.071097600, -0.225411300"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0419332000, 0.0433019000, 0.0467233000, 0.0557576000, 0.0782246000, 0.1365093000, 0.2880104000", \ - "0.0411786000, 0.0425389000, 0.0459865000, 0.0549509000, 0.0778164000, 0.1364060000, 0.2879243000", \ - "0.0405733000, 0.0418956000, 0.0453065000, 0.0543634000, 0.0773700000, 0.1361863000, 0.2874550000", \ - "0.0401619000, 0.0414900000, 0.0448884000, 0.0536514000, 0.0767059000, 0.1356105000, 0.2870848000", \ - "0.0398210000, 0.0410637000, 0.0444403000, 0.0532134000, 0.0759599000, 0.1347622000, 0.2882585000", \ - "0.0397544000, 0.0410743000, 0.0444992000, 0.0532753000, 0.0755772000, 0.1343866000, 0.2858779000", \ - "0.0388516000, 0.0401609000, 0.0434223000, 0.0526392000, 0.0760440000, 0.1345737000, 0.2859439000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0142556000, 0.0129849000, 0.0096522000, 0.0010167000, -0.021434700, -0.080125200, -0.233151200", \ - "0.0143063000, 0.0130362000, 0.0097349000, 0.0011696000, -0.021232500, -0.079899600, -0.232886800", \ - "0.0145259000, 0.0131917000, 0.0099707000, 0.0015336000, -0.020778700, -0.079330800, -0.232229900", \ - "0.0135534000, 0.0123194000, 0.0091125000, 0.0007452000, -0.021302600, -0.079635200, -0.232389100", \ - "0.0135697000, 0.0123205000, 0.0091050000, 0.0006158000, -0.021710700, -0.080089300, -0.232568100", \ - "0.0147046000, 0.0134104000, 0.0101398000, 0.0014837000, -0.021296100, -0.079635500, -0.232641900", \ - "0.0194847000, 0.0180977000, 0.0145660000, 0.0053740000, -0.017976400, -0.077378900, -0.231021600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0314095000, 0.0328272000, 0.0364328000, 0.0455414000, 0.0682135000, 0.1269929000, 0.2780706000", \ - "0.0304710000, 0.0319002000, 0.0354974000, 0.0445383000, 0.0676230000, 0.1264213000, 0.2779885000", \ - "0.0297923000, 0.0311795000, 0.0346926000, 0.0436078000, 0.0669969000, 0.1260448000, 0.2775422000", \ - "0.0294258000, 0.0307273000, 0.0341787000, 0.0430974000, 0.0658411000, 0.1248810000, 0.2771386000", \ - "0.0289657000, 0.0302752000, 0.0336642000, 0.0424145000, 0.0652545000, 0.1239028000, 0.2761691000", \ - "0.0289157000, 0.0302567000, 0.0336725000, 0.0424800000, 0.0650870000, 0.1239872000, 0.2751327000", \ - "0.0306071000, 0.0318181000, 0.0350267000, 0.0434365000, 0.0657157000, 0.1235841000, 0.2764350000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0014765000, 0.0002150000, -0.003129700, -0.011829600, -0.034445400, -0.093324700, -0.246539700", \ - "0.0009819000, -0.000250400, -0.003471400, -0.011956900, -0.034359900, -0.093065100, -0.246197300", \ - "0.0001864000, -0.001003200, -0.004127800, -0.012373200, -0.034429500, -0.092879200, -0.245878400", \ - "-0.000619800, -0.001741000, -0.004796000, -0.012946800, -0.034882500, -0.092997000, -0.245715400", \ - "-0.000643700, -0.001880200, -0.005061700, -0.013256300, -0.035327200, -0.093434500, -0.245911400", \ - "0.0006571000, -0.000665300, -0.004055000, -0.012693300, -0.034746500, -0.092958100, -0.245984400", \ - "0.0058112000, 0.0043291000, 0.0005567000, -0.008775600, -0.032707200, -0.092377500, -0.245518400"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0300088000, 0.0314758000, 0.0351346000, 0.0443569000, 0.0673434000, 0.1257530000, 0.2773657000", \ - "0.0288508000, 0.0303594000, 0.0340765000, 0.0431939000, 0.0664739000, 0.1260338000, 0.2782887000", \ - "0.0282233000, 0.0295622000, 0.0330668000, 0.0420783000, 0.0655194000, 0.1246652000, 0.2767622000", \ - "0.0281496000, 0.0294557000, 0.0328368000, 0.0416365000, 0.0644769000, 0.1237586000, 0.2761190000", \ - "0.0281677000, 0.0294708000, 0.0327529000, 0.0414062000, 0.0639806000, 0.1226242000, 0.2748800000", \ - "0.0298666000, 0.0311652000, 0.0344254000, 0.0428357000, 0.0649640000, 0.1228135000, 0.2754221000", \ - "0.0349379000, 0.0357968000, 0.0388321000, 0.0469724000, 0.0683805000, 0.1253775000, 0.2758469000"); - } - } - max_capacitance : 0.1538190000; - max_transition : 1.4951450000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0174855000, 0.0184340000, 0.0208644000, 0.0268790000, 0.0413733000, 0.0759522000, 0.1607760000", \ - "0.0228412000, 0.0237286000, 0.0260120000, 0.0317810000, 0.0460489000, 0.0804793000, 0.1652044000", \ - "0.0338757000, 0.0350339000, 0.0376741000, 0.0436949000, 0.0572489000, 0.0912593000, 0.1757439000", \ - "0.0488273000, 0.0504366000, 0.0543635000, 0.0634235000, 0.0817694000, 0.1171124000, 0.2004549000", \ - "0.0659919000, 0.0682947000, 0.0740620000, 0.0873439000, 0.1152536000, 0.1675864000, 0.2599356000", \ - "0.0757500000, 0.0792676000, 0.0881226000, 0.1083624000, 0.1509201000, 0.2309555000, 0.3720089000", \ - "0.0510694000, 0.0561829000, 0.0692785000, 0.1004629000, 0.1667459000, 0.2929524000, 0.5115211000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.1298159000, 0.1355968000, 0.1501819000, 0.1872919000, 0.2819722000, 0.5220789000, 1.1467399000", \ - "0.1331755000, 0.1390662000, 0.1533607000, 0.1906723000, 0.2849966000, 0.5268181000, 1.1521017000", \ - "0.1451605000, 0.1509002000, 0.1650792000, 0.2025948000, 0.2982548000, 0.5447562000, 1.1666669000", \ - "0.1723862000, 0.1781808000, 0.1921589000, 0.2289811000, 0.3240281000, 0.5672227000, 1.1943477000", \ - "0.2262289000, 0.2321218000, 0.2472209000, 0.2847693000, 0.3784654000, 0.6209191000, 1.2532270000", \ - "0.3142628000, 0.3215296000, 0.3400843000, 0.3849722000, 0.4910379000, 0.7364828000, 1.3637210000", \ - "0.4568851000, 0.4693879000, 0.4948969000, 0.5562682000, 0.6914393000, 0.9795311000, 1.6215130000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0177636000, 0.0189838000, 0.0221398000, 0.0299893000, 0.0488784000, 0.0953409000, 0.2141622000", \ - "0.0180974000, 0.0191670000, 0.0219415000, 0.0292275000, 0.0484198000, 0.0951764000, 0.2139516000", \ - "0.0239326000, 0.0246984000, 0.0268349000, 0.0325979000, 0.0489265000, 0.0946129000, 0.2138524000", \ - "0.0362100000, 0.0373315000, 0.0400577000, 0.0468523000, 0.0611602000, 0.0993074000, 0.2135024000", \ - "0.0582597000, 0.0599486000, 0.0640821000, 0.0726279000, 0.0931257000, 0.1320733000, 0.2281107000", \ - "0.0947308000, 0.0974467000, 0.1044113000, 0.1177873000, 0.1462023000, 0.2026841000, 0.3063559000", \ - "0.1616153000, 0.1667246000, 0.1790753000, 0.2018979000, 0.2457702000, 0.3244041000, 0.4720148000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0878985000, 0.0951547000, 0.1140563000, 0.1634166000, 0.2900222000, 0.6166931000, 1.4705920000", \ - "0.0880411000, 0.0952453000, 0.1141172000, 0.1626418000, 0.2898620000, 0.6180101000, 1.4702175000", \ - "0.0881856000, 0.0953229000, 0.1141622000, 0.1634007000, 0.2904801000, 0.6214129000, 1.4663758000", \ - "0.0883323000, 0.0955404000, 0.1143988000, 0.1625666000, 0.2906717000, 0.6193145000, 1.4676541000", \ - "0.0965134000, 0.1029909000, 0.1205704000, 0.1668808000, 0.2902321000, 0.6186347000, 1.4799252000", \ - "0.1236126000, 0.1308136000, 0.1490097000, 0.1964444000, 0.3118961000, 0.6253068000, 1.4698887000", \ - "0.1882582000, 0.1963475000, 0.2163412000, 0.2653510000, 0.3898074000, 0.6904268000, 1.4880702000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0195721000, 0.0204865000, 0.0227717000, 0.0283697000, 0.0417606000, 0.0746293000, 0.1578625000", \ - "0.0244824000, 0.0253698000, 0.0275988000, 0.0330007000, 0.0463590000, 0.0791664000, 0.1624030000", \ - "0.0347018000, 0.0357575000, 0.0383862000, 0.0443344000, 0.0574041000, 0.0901648000, 0.1733458000", \ - "0.0475746000, 0.0491778000, 0.0531290000, 0.0620804000, 0.0805916000, 0.1153359000, 0.1984920000", \ - "0.0591916000, 0.0617303000, 0.0675750000, 0.0816729000, 0.1100720000, 0.1639329000, 0.2577930000", \ - "0.0582438000, 0.0621531000, 0.0715283000, 0.0939686000, 0.1395103000, 0.2241317000, 0.3678348000", \ - "0.0146769000, 0.0208107000, 0.0358447000, 0.0701333000, 0.1420067000, 0.2768593000, 0.5038059000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.1142725000, 0.1202723000, 0.1354291000, 0.1730752000, 0.2683934000, 0.5105107000, 1.1349477000", \ - "0.1157988000, 0.1218348000, 0.1367999000, 0.1742820000, 0.2696984000, 0.5125184000, 1.1383716000", \ - "0.1262602000, 0.1317984000, 0.1466168000, 0.1841995000, 0.2801167000, 0.5246776000, 1.1507342000", \ - "0.1525392000, 0.1581648000, 0.1727031000, 0.2095677000, 0.3040389000, 0.5477452000, 1.1756628000", \ - "0.2048156000, 0.2116040000, 0.2281908000, 0.2672846000, 0.3620047000, 0.6047445000, 1.2323484000", \ - "0.2927722000, 0.3019903000, 0.3251388000, 0.3769600000, 0.4912363000, 0.7390542000, 1.3666539000", \ - "0.4408492000, 0.4550161000, 0.4885838000, 0.5659982000, 0.7243198000, 1.0342206000, 1.6829288000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0156992000, 0.0166459000, 0.0190882000, 0.0255265000, 0.0419842000, 0.0853736000, 0.1989443000", \ - "0.0153676000, 0.0162562000, 0.0186041000, 0.0250300000, 0.0418266000, 0.0853272000, 0.1993425000", \ - "0.0204189000, 0.0209392000, 0.0227567000, 0.0276932000, 0.0425135000, 0.0850439000, 0.1990770000", \ - "0.0309497000, 0.0320449000, 0.0349263000, 0.0414388000, 0.0549837000, 0.0903609000, 0.1990117000", \ - "0.0509184000, 0.0526848000, 0.0567675000, 0.0660789000, 0.0865589000, 0.1247088000, 0.2135362000", \ - "0.0861778000, 0.0890312000, 0.0961018000, 0.1110217000, 0.1413703000, 0.1965280000, 0.2977827000", \ - "0.1523646000, 0.1570169000, 0.1680824000, 0.1918130000, 0.2389067000, 0.3234140000, 0.4659654000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0878828000, 0.0950797000, 0.1141156000, 0.1633197000, 0.2900672000, 0.6191880000, 1.4675007000", \ - "0.0879162000, 0.0951389000, 0.1142852000, 0.1628861000, 0.2897843000, 0.6175445000, 1.4675549000", \ - "0.0883621000, 0.0953542000, 0.1143070000, 0.1628883000, 0.2906612000, 0.6192415000, 1.4668564000", \ - "0.0886925000, 0.0958294000, 0.1141918000, 0.1634327000, 0.2896006000, 0.6173739000, 1.4705618000", \ - "0.1058244000, 0.1120284000, 0.1275556000, 0.1718821000, 0.2914304000, 0.6183120000, 1.4674681000", \ - "0.1457064000, 0.1530166000, 0.1717830000, 0.2175987000, 0.3257934000, 0.6258756000, 1.4674465000", \ - "0.2336381000, 0.2417454000, 0.2635151000, 0.3158614000, 0.4377489000, 0.7156046000, 1.4900667000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0166630000, 0.0175123000, 0.0196659000, 0.0248849000, 0.0380139000, 0.0714376000, 0.1578602000", \ - "0.0211700000, 0.0220372000, 0.0242017000, 0.0295231000, 0.0427048000, 0.0761763000, 0.1626047000", \ - "0.0284252000, 0.0297743000, 0.0329602000, 0.0398711000, 0.0536753000, 0.0872085000, 0.1739171000", \ - "0.0355065000, 0.0376028000, 0.0425859000, 0.0536003000, 0.0749217000, 0.1126652000, 0.1985515000", \ - "0.0375934000, 0.0409943000, 0.0490976000, 0.0665096000, 0.1003095000, 0.1588000000, 0.2579170000", \ - "0.0209890000, 0.0264177000, 0.0390681000, 0.0667888000, 0.1202230000, 0.2140151000, 0.3645091000", \ - "-0.050968400, -0.042378700, -0.021936900, 0.0225795000, 0.1080176000, 0.2571367000, 0.4986430000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0693758000, 0.0754909000, 0.0905052000, 0.1288806000, 0.2237668000, 0.4655428000, 1.0908835000", \ - "0.0700857000, 0.0760378000, 0.0912834000, 0.1296351000, 0.2253014000, 0.4738527000, 1.0958655000", \ - "0.0792077000, 0.0847351000, 0.0989169000, 0.1367923000, 0.2336180000, 0.4771583000, 1.1044766000", \ - "0.1084151000, 0.1132198000, 0.1264000000, 0.1620965000, 0.2567785000, 0.5011897000, 1.1289453000", \ - "0.1650485000, 0.1721184000, 0.1895115000, 0.2288432000, 0.3199367000, 0.5620018000, 1.1960951000", \ - "0.2580751000, 0.2690016000, 0.2942368000, 0.3524377000, 0.4726861000, 0.7108291000, 1.3397407000", \ - "0.4224762000, 0.4373680000, 0.4731002000, 0.5571136000, 0.7286381000, 1.0571489000, 1.6824081000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0099043000, 0.0108621000, 0.0134194000, 0.0202097000, 0.0377014000, 0.0834049000, 0.2023953000", \ - "0.0106335000, 0.0114928000, 0.0137850000, 0.0202230000, 0.0377256000, 0.0835781000, 0.2020942000", \ - "0.0163442000, 0.0172148000, 0.0194349000, 0.0245176000, 0.0392715000, 0.0833790000, 0.2019923000", \ - "0.0267918000, 0.0281645000, 0.0313672000, 0.0387342000, 0.0532375000, 0.0892817000, 0.2029456000", \ - "0.0465941000, 0.0486352000, 0.0537363000, 0.0636149000, 0.0848939000, 0.1263257000, 0.2165589000", \ - "0.0830219000, 0.0853947000, 0.0931576000, 0.1097660000, 0.1420419000, 0.2004886000, 0.3014893000", \ - "0.1508091000, 0.1559392000, 0.1679303000, 0.1970926000, 0.2415479000, 0.3278302000, 0.4744952000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0856598000, 0.0931410000, 0.1128413000, 0.1627234000, 0.2900939000, 0.6192534000, 1.4673779000", \ - "0.0849465000, 0.0924107000, 0.1121060000, 0.1620091000, 0.2896744000, 0.6224912000, 1.4742988000", \ - "0.0826841000, 0.0905084000, 0.1107553000, 0.1614921000, 0.2899253000, 0.6175083000, 1.4683749000", \ - "0.0861134000, 0.0929247000, 0.1106008000, 0.1594168000, 0.2897711000, 0.6186904000, 1.4664878000", \ - "0.1132848000, 0.1212698000, 0.1357074000, 0.1750334000, 0.2905699000, 0.6179647000, 1.4708655000", \ - "0.1542560000, 0.1646399000, 0.1855475000, 0.2364153000, 0.3448199000, 0.6276140000, 1.4774657000", \ - "0.2299706000, 0.2397104000, 0.2713543000, 0.3402219000, 0.4781893000, 0.7599425000, 1.4951451000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor3b_1") { - leakage_power () { - value : 0.0019918000; - when : "!A&!B&C_N"; - } - leakage_power () { - value : 0.0025105000; - when : "!A&!B&!C_N"; - } - leakage_power () { - value : 0.0015972000; - when : "!A&B&C_N"; - } - leakage_power () { - value : 0.0004610000; - when : "!A&B&!C_N"; - } - leakage_power () { - value : 0.0019535000; - when : "A&!B&C_N"; - } - leakage_power () { - value : 0.0005050000; - when : "A&!B&!C_N"; - } - leakage_power () { - value : 0.0009283000; - when : "A&B&C_N"; - } - leakage_power () { - value : 0.0004280000; - when : "A&B&!C_N"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__nor3b"; - cell_leakage_power : 0.0012968970; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023970000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040064000, 0.0040014000, 0.0039901000, 0.0039880000, 0.0039832000, 0.0039720000, 0.0039464000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003455800, -0.003495300, -0.003586300, -0.003599600, -0.003630100, -0.003700500, -0.003862800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024980000; - } - pin ("B") { - capacitance : 0.0023770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022370000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043529000, 0.0043522000, 0.0043507000, 0.0043515000, 0.0043535000, 0.0043581000, 0.0043686000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004067000, -0.004132000, -0.004281800, -0.004281900, -0.004282300, -0.004283000, -0.004284600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025160000; - } - pin ("C_N") { - capacitance : 0.0014530000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013940000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0085264000, 0.0084351000, 0.0082245000, 0.0082693000, 0.0083726000, 0.0086106000, 0.0091594000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025261000, 0.0024736000, 0.0023524000, 0.0023929000, 0.0024863000, 0.0027014000, 0.0031972000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015120000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&C_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010752280, 0.0023122290, 0.0049723460, 0.0106928100, 0.0229944100, 0.0494484600"); - values("0.0051551000, 0.0042163000, 0.0022277000, -0.002038500, -0.011220300, -0.031006300, -0.073732900", \ - "0.0050675000, 0.0041361000, 0.0021387000, -0.002128900, -0.011297400, -0.031094000, -0.073821000", \ - "0.0050047000, 0.0040848000, 0.0021279000, -0.002161800, -0.011332400, -0.031113900, -0.073836800", \ - "0.0048625000, 0.0039666000, 0.0019968000, -0.002277200, -0.011410400, -0.031205500, -0.073901500", \ - "0.0050165000, 0.0040902000, 0.0020796000, -0.002189700, -0.011431500, -0.031180300, -0.073931600", \ - "0.0056626000, 0.0047445000, 0.0027832000, -0.001775300, -0.011040400, -0.031107900, -0.073753900", \ - "0.0071398000, 0.0061578000, 0.0040358000, -0.000400000, -0.009738000, -0.030041700, -0.073146800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010752280, 0.0023122290, 0.0049723460, 0.0106928100, 0.0229944100, 0.0494484600"); - values("0.0111228000, 0.0120673000, 0.0141242000, 0.0184213000, 0.0276189000, 0.0473065000, 0.0896469000", \ - "0.0109420000, 0.0119231000, 0.0139360000, 0.0182876000, 0.0275941000, 0.0473096000, 0.0897103000", \ - "0.0108095000, 0.0117433000, 0.0138197000, 0.0181218000, 0.0273885000, 0.0471994000, 0.0895460000", \ - "0.0107201000, 0.0116551000, 0.0136616000, 0.0179686000, 0.0273355000, 0.0471389000, 0.0894502000", \ - "0.0106468000, 0.0115697000, 0.0135845000, 0.0178778000, 0.0270828000, 0.0468691000, 0.0893652000", \ - "0.0106316000, 0.0115595000, 0.0136101000, 0.0178505000, 0.0270056000, 0.0467903000, 0.0891365000", \ - "0.0105966000, 0.0115195000, 0.0134753000, 0.0179764000, 0.0273447000, 0.0468863000, 0.0893223000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010752280, 0.0023122290, 0.0049723460, 0.0106928100, 0.0229944100, 0.0494484600"); - values("0.0045602000, 0.0036397000, 0.0016574000, -0.002609700, -0.011844200, -0.031731700, -0.074524300", \ - "0.0045728000, 0.0036574000, 0.0016712000, -0.002578300, -0.011777200, -0.031644600, -0.074460100", \ - "0.0045915000, 0.0036860000, 0.0017377000, -0.002503800, -0.011668400, -0.031500400, -0.074278000", \ - "0.0043773000, 0.0034939000, 0.0015515000, -0.002636400, -0.011756200, -0.031562300, -0.074330300", \ - "0.0044287000, 0.0035280000, 0.0016000000, -0.002657500, -0.011924900, -0.031652400, -0.074375900", \ - "0.0047527000, 0.0038422000, 0.0019007000, -0.002620500, -0.011624500, -0.031572600, -0.074374000", \ - "0.0059307000, 0.0049453000, 0.0028266000, -0.001652600, -0.011109700, -0.031157700, -0.074120400"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010752280, 0.0023122290, 0.0049723460, 0.0106928100, 0.0229944100, 0.0494484600"); - values("0.0086274000, 0.0095777000, 0.0116731000, 0.0159577000, 0.0251504000, 0.0448529000, 0.0872731000", \ - "0.0084101000, 0.0094129000, 0.0114365000, 0.0158016000, 0.0250601000, 0.0448136000, 0.0874795000", \ - "0.0082754000, 0.0092428000, 0.0112590000, 0.0155984000, 0.0249615000, 0.0447399000, 0.0871714000", \ - "0.0081626000, 0.0091139000, 0.0111600000, 0.0154298000, 0.0247328000, 0.0446247000, 0.0871579000", \ - "0.0080997000, 0.0090253000, 0.0110315000, 0.0153365000, 0.0246498000, 0.0445782000, 0.0871003000", \ - "0.0080656000, 0.0090009000, 0.0110100000, 0.0152878000, 0.0245466000, 0.0442907000, 0.0870540000", \ - "0.0083487000, 0.0092239000, 0.0113082000, 0.0155865000, 0.0247596000, 0.0445737000, 0.0870062000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010752280, 0.0023122290, 0.0049723460, 0.0106928100, 0.0229944100, 0.0494484600"); - values("0.0023112000, 0.0015468000, -0.000121900, -0.004023400, -0.012943500, -0.032691500, -0.075440200", \ - "0.0022559000, 0.0015015000, -0.000178900, -0.004048200, -0.012969800, -0.032721500, -0.075486600", \ - "0.0022969000, 0.0015457000, -0.000130700, -0.004029900, -0.012926100, -0.032669100, -0.075426400", \ - "0.0021105000, 0.0013459000, -0.000340100, -0.004220000, -0.013133200, -0.032831600, -0.075572900", \ - "0.0019704000, 0.0011989000, -0.000512100, -0.004410800, -0.013335800, -0.032997300, -0.075707600", \ - "0.0022760000, 0.0013661000, -0.000557000, -0.004743800, -0.013318200, -0.033171500, -0.075842600", \ - "0.0027418000, 0.0018376000, -0.000134000, -0.004138800, -0.013528600, -0.033199400, -0.075857700"); - } - related_pin : "C_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010752280, 0.0023122290, 0.0049723460, 0.0106928100, 0.0229944100, 0.0494484600"); - values("0.0081902000, 0.0091722000, 0.0112973000, 0.0157014000, 0.0250116000, 0.0451254000, 0.0874120000", \ - "0.0081649000, 0.0091736000, 0.0112523000, 0.0157155000, 0.0251144000, 0.0448015000, 0.0872022000", \ - "0.0081284000, 0.0091034000, 0.0111897000, 0.0156535000, 0.0249473000, 0.0450832000, 0.0871680000", \ - "0.0078582000, 0.0088236000, 0.0108574000, 0.0152872000, 0.0246033000, 0.0445321000, 0.0868931000", \ - "0.0075674000, 0.0085247000, 0.0105533000, 0.0149201000, 0.0242808000, 0.0441455000, 0.0866008000", \ - "0.0076000000, 0.0085043000, 0.0105222000, 0.0148102000, 0.0240940000, 0.0440052000, 0.0867608000", \ - "0.0079152000, 0.0088510000, 0.0108457000, 0.0150594000, 0.0240871000, 0.0439972000, 0.0865431000"); - } - } - max_capacitance : 0.0494480000; - max_transition : 1.4967630000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010752300, 0.0023122300, 0.0049723500, 0.0106928000, 0.0229944000, 0.0494485000"); - values("0.0201224000, 0.0224065000, 0.0271684000, 0.0367779000, 0.0562002000, 0.0959399000, 0.1792086000", \ - "0.0252664000, 0.0274617000, 0.0320533000, 0.0415243000, 0.0608177000, 0.1004980000, 0.1836982000", \ - "0.0370479000, 0.0394366000, 0.0441150000, 0.0529515000, 0.0718907000, 0.1114449000, 0.1946323000", \ - "0.0537997000, 0.0574923000, 0.0644031000, 0.0770733000, 0.0985570000, 0.1369546000, 0.2199583000", \ - "0.0746953000, 0.0800968000, 0.0906210000, 0.1094863000, 0.1425028000, 0.1954487000, 0.2808705000", \ - "0.0910400000, 0.0991189000, 0.1145727000, 0.1449082000, 0.1968068000, 0.2792613000, 0.4035087000", \ - "0.0819214000, 0.0945774000, 0.1195526000, 0.1656209000, 0.2452482000, 0.3754730000, 0.5735640000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010752300, 0.0023122300, 0.0049723500, 0.0106928000, 0.0229944000, 0.0494485000"); - values("0.1233536000, 0.1359640000, 0.1630733000, 0.2191053000, 0.3395996000, 0.5972500000, 1.1507771000", \ - "0.1271663000, 0.1400526000, 0.1666012000, 0.2236379000, 0.3454917000, 0.6085397000, 1.1565883000", \ - "0.1390288000, 0.1514666000, 0.1784684000, 0.2348789000, 0.3562366000, 0.6151734000, 1.1698206000", \ - "0.1647270000, 0.1772564000, 0.2036949000, 0.2599285000, 0.3853496000, 0.6429225000, 1.2021832000", \ - "0.2149977000, 0.2286347000, 0.2562710000, 0.3128322000, 0.4334014000, 0.6922303000, 1.2472654000", \ - "0.2979482000, 0.3150995000, 0.3496113000, 0.4175952000, 0.5479686000, 0.8084229000, 1.3616064000", \ - "0.4299732000, 0.4546957000, 0.5044188000, 0.5972151000, 0.7636810000, 1.0616408000, 1.6263374000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010752300, 0.0023122300, 0.0049723500, 0.0106928000, 0.0229944000, 0.0494485000"); - values("0.0188828000, 0.0215447000, 0.0272004000, 0.0387132000, 0.0626389000, 0.1134835000, 0.2219731000", \ - "0.0186307000, 0.0211401000, 0.0265774000, 0.0382393000, 0.0624844000, 0.1131999000, 0.2224115000", \ - "0.0234069000, 0.0250992000, 0.0293283000, 0.0392741000, 0.0620323000, 0.1132265000, 0.2218917000", \ - "0.0367741000, 0.0392543000, 0.0440760000, 0.0530750000, 0.0701435000, 0.1149839000, 0.2220349000", \ - "0.0588981000, 0.0628183000, 0.0700100000, 0.0840150000, 0.1057796000, 0.1425454000, 0.2326944000", \ - "0.0987060000, 0.1048779000, 0.1167365000, 0.1355734000, 0.1715889000, 0.2240535000, 0.3114798000", \ - "0.1703011000, 0.1800227000, 0.1980877000, 0.2309827000, 0.2823701000, 0.3650451000, 0.4935419000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010752300, 0.0023122300, 0.0049723500, 0.0106928000, 0.0229944000, 0.0494485000"); - values("0.0944222000, 0.1106435000, 0.1460053000, 0.2218430000, 0.3833621000, 0.7311074000, 1.4787132000", \ - "0.0942916000, 0.1108252000, 0.1457097000, 0.2214804000, 0.3840627000, 0.7334590000, 1.4769638000", \ - "0.0944553000, 0.1105488000, 0.1462686000, 0.2217871000, 0.3833606000, 0.7303380000, 1.4744012000", \ - "0.0946895000, 0.1110768000, 0.1461941000, 0.2216568000, 0.3863308000, 0.7326029000, 1.4787044000", \ - "0.1052159000, 0.1195697000, 0.1525746000, 0.2245178000, 0.3832943000, 0.7295967000, 1.4771181000", \ - "0.1382806000, 0.1538840000, 0.1875708000, 0.2570373000, 0.4022198000, 0.7355604000, 1.4792408000", \ - "0.2175129000, 0.2340277000, 0.2700377000, 0.3443837000, 0.4955109000, 0.7975910000, 1.4959696000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010752300, 0.0023122300, 0.0049723500, 0.0106928000, 0.0229944000, 0.0494485000"); - values("0.0210286000, 0.0231584000, 0.0275486000, 0.0365832000, 0.0554018000, 0.0949617000, 0.1793860000", \ - "0.0258985000, 0.0279855000, 0.0322678000, 0.0413390000, 0.0601538000, 0.0997691000, 0.1840973000", \ - "0.0365900000, 0.0390049000, 0.0437149000, 0.0524915000, 0.0712008000, 0.1108314000, 0.1952663000", \ - "0.0511343000, 0.0548634000, 0.0621566000, 0.0750194000, 0.0972954000, 0.1368099000, 0.2209641000", \ - "0.0657911000, 0.0719522000, 0.0832957000, 0.1037809000, 0.1382351000, 0.1922022000, 0.2800002000", \ - "0.0731455000, 0.0821840000, 0.0991636000, 0.1321174000, 0.1856199000, 0.2725657000, 0.4034864000", \ - "0.0454279000, 0.0597746000, 0.0879312000, 0.1388164000, 0.2250413000, 0.3612277000, 0.5659628000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010752300, 0.0023122300, 0.0049723500, 0.0106928000, 0.0229944000, 0.0494485000"); - values("0.1096101000, 0.1220293000, 0.1491551000, 0.2067473000, 0.3260444000, 0.5841350000, 1.1379190000", \ - "0.1121614000, 0.1251089000, 0.1517632000, 0.2088597000, 0.3300787000, 0.5890414000, 1.1447465000", \ - "0.1228155000, 0.1353394000, 0.1622530000, 0.2200269000, 0.3440103000, 0.5996139000, 1.1543468000", \ - "0.1484477000, 0.1610899000, 0.1876089000, 0.2446796000, 0.3663149000, 0.6263850000, 1.1852558000", \ - "0.2020485000, 0.2170115000, 0.2461228000, 0.3031843000, 0.4248584000, 0.6858575000, 1.2386281000", \ - "0.2926099000, 0.3133344000, 0.3541158000, 0.4281659000, 0.5627825000, 0.8227253000, 1.3856188000", \ - "0.4430030000, 0.4742545000, 0.5343008000, 0.6424213000, 0.8253253000, 1.1432399000, 1.7019122000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010752300, 0.0023122300, 0.0049723500, 0.0106928000, 0.0229944000, 0.0494485000"); - values("0.0168559000, 0.0193129000, 0.0246190000, 0.0357858000, 0.0599648000, 0.1121479000, 0.2236199000", \ - "0.0166403000, 0.0190183000, 0.0242904000, 0.0357198000, 0.0598766000, 0.1117103000, 0.2241610000", \ - "0.0213267000, 0.0229445000, 0.0271114000, 0.0370695000, 0.0597701000, 0.1117940000, 0.2239768000", \ - "0.0336114000, 0.0368035000, 0.0413787000, 0.0507674000, 0.0686529000, 0.1140934000, 0.2235141000", \ - "0.0557973000, 0.0596945000, 0.0681523000, 0.0815656000, 0.1045662000, 0.1439184000, 0.2344467000", \ - "0.0946591000, 0.1011267000, 0.1139911000, 0.1341444000, 0.1711751000, 0.2263170000, 0.3130438000", \ - "0.1647028000, 0.1753020000, 0.1950407000, 0.2287502000, 0.2835396000, 0.3690432000, 0.4984266000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010752300, 0.0023122300, 0.0049723500, 0.0106928000, 0.0229944000, 0.0494485000"); - values("0.0944003000, 0.1104369000, 0.1463148000, 0.2218535000, 0.3837208000, 0.7300238000, 1.4742131000", \ - "0.0942127000, 0.1108378000, 0.1455763000, 0.2214235000, 0.3835396000, 0.7301357000, 1.4787938000", \ - "0.0940723000, 0.1108670000, 0.1461854000, 0.2218054000, 0.3856453000, 0.7315752000, 1.4750824000", \ - "0.0952639000, 0.1113436000, 0.1463161000, 0.2217400000, 0.3838563000, 0.7312788000, 1.4777809000", \ - "0.1128279000, 0.1261770000, 0.1569277000, 0.2268480000, 0.3851188000, 0.7345888000, 1.4806878000", \ - "0.1594441000, 0.1752715000, 0.2076292000, 0.2729004000, 0.4093734000, 0.7333292000, 1.4857413000", \ - "0.2524597000, 0.2731071000, 0.3109158000, 0.3873711000, 0.5294026000, 0.8205849000, 1.4967634000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010752300, 0.0023122300, 0.0049723500, 0.0106928000, 0.0229944000, 0.0494485000"); - values("0.1049400000, 0.1086010000, 0.1157049000, 0.1287366000, 0.1517693000, 0.1949430000, 0.2816807000", \ - "0.1099618000, 0.1136556000, 0.1207801000, 0.1337063000, 0.1567649000, 0.1999119000, 0.2865106000", \ - "0.1225243000, 0.1262875000, 0.1334463000, 0.1464383000, 0.1695171000, 0.2126436000, 0.2992513000", \ - "0.1542648000, 0.1580969000, 0.1652407000, 0.1782094000, 0.2012789000, 0.2444890000, 0.3313111000", \ - "0.2243720000, 0.2283376000, 0.2358079000, 0.2491652000, 0.2729637000, 0.3164929000, 0.4033025000", \ - "0.3405834000, 0.3456083000, 0.3551183000, 0.3711309000, 0.3976857000, 0.4440372000, 0.5321764000", \ - "0.5243336000, 0.5308660000, 0.5426786000, 0.5633771000, 0.5964688000, 0.6488755000, 0.7402265000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010752300, 0.0023122300, 0.0049723500, 0.0106928000, 0.0229944000, 0.0494485000"); - values("0.1046562000, 0.1179900000, 0.1458289000, 0.2035317000, 0.3253962000, 0.5872496000, 1.1399136000", \ - "0.1095578000, 0.1224714000, 0.1500447000, 0.2086278000, 0.3309595000, 0.5893082000, 1.1473925000", \ - "0.1200331000, 0.1330866000, 0.1603494000, 0.2188912000, 0.3422764000, 0.6070581000, 1.1539282000", \ - "0.1400120000, 0.1528475000, 0.1794729000, 0.2377921000, 0.3609195000, 0.6233822000, 1.1817225000", \ - "0.1684873000, 0.1809913000, 0.2079457000, 0.2650770000, 0.3883332000, 0.6469948000, 1.2026523000", \ - "0.2036617000, 0.2155551000, 0.2413925000, 0.2976870000, 0.4198009000, 0.6781411000, 1.2422402000", \ - "0.2312159000, 0.2429651000, 0.2683872000, 0.3220858000, 0.4436716000, 0.7020302000, 1.2563248000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010752300, 0.0023122300, 0.0049723500, 0.0106928000, 0.0229944000, 0.0494485000"); - values("0.0267340000, 0.0293355000, 0.0350343000, 0.0459943000, 0.0689356000, 0.1181318000, 0.2284928000", \ - "0.0268579000, 0.0292621000, 0.0348096000, 0.0462327000, 0.0689544000, 0.1181618000, 0.2284416000", \ - "0.0267697000, 0.0294714000, 0.0349946000, 0.0460835000, 0.0687714000, 0.1181917000, 0.2283969000", \ - "0.0267869000, 0.0294866000, 0.0349692000, 0.0457891000, 0.0689551000, 0.1176335000, 0.2276813000", \ - "0.0303503000, 0.0328129000, 0.0378979000, 0.0482481000, 0.0703991000, 0.1186136000, 0.2283465000", \ - "0.0423879000, 0.0450150000, 0.0500154000, 0.0602523000, 0.0811982000, 0.1269422000, 0.2316553000", \ - "0.0627294000, 0.0661105000, 0.0725152000, 0.0829351000, 0.1021870000, 0.1443833000, 0.2421607000"); - } - related_pin : "C_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010752300, 0.0023122300, 0.0049723500, 0.0106928000, 0.0229944000, 0.0494485000"); - values("0.0926988000, 0.1096847000, 0.1456341000, 0.2213401000, 0.3836514000, 0.7351700000, 1.4796802000", \ - "0.0926337000, 0.1097014000, 0.1451234000, 0.2216554000, 0.3848152000, 0.7316008000, 1.4774619000", \ - "0.0925810000, 0.1093648000, 0.1451516000, 0.2215642000, 0.3833383000, 0.7368203000, 1.4787099000", \ - "0.0921808000, 0.1088787000, 0.1451647000, 0.2216563000, 0.3836904000, 0.7320115000, 1.4789162000", \ - "0.0918261000, 0.1091095000, 0.1451189000, 0.2215155000, 0.3837113000, 0.7319699000, 1.4756265000", \ - "0.0933701000, 0.1095639000, 0.1452435000, 0.2213238000, 0.3868308000, 0.7303518000, 1.4850930000", \ - "0.1026232000, 0.1170962000, 0.1488300000, 0.2222510000, 0.3853950000, 0.7324820000, 1.4744607000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor3b_2") { - leakage_power () { - value : 0.0044615000; - when : "!A&!B&C_N"; - } - leakage_power () { - value : 0.0072634000; - when : "!A&!B&!C_N"; - } - leakage_power () { - value : 0.0046415000; - when : "!A&B&C_N"; - } - leakage_power () { - value : 0.0004774000; - when : "!A&B&!C_N"; - } - leakage_power () { - value : 0.0045214000; - when : "A&!B&C_N"; - } - leakage_power () { - value : 0.0004804000; - when : "A&!B&!C_N"; - } - leakage_power () { - value : 0.0026135000; - when : "A&B&C_N"; - } - leakage_power () { - value : 0.0003540000; - when : "A&B&!C_N"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__nor3b"; - cell_leakage_power : 0.0031016480; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0043910000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075918000, 0.0075932000, 0.0075965000, 0.0075996000, 0.0076069000, 0.0076236000, 0.0076623000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006883100, -0.006951500, -0.007109000, -0.007134000, -0.007191500, -0.007324100, -0.007629700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046090000; - } - pin ("B") { - capacitance : 0.0044790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082823000, 0.0082804000, 0.0082761000, 0.0082754000, 0.0082736000, 0.0082695000, 0.0082602000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007675200, -0.007753400, -0.007933600, -0.007938300, -0.007949200, -0.007974400, -0.008032300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047840000; - } - pin ("C_N") { - capacitance : 0.0012770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0012360000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0107861000, 0.0107096000, 0.0105331000, 0.0106023000, 0.0107618000, 0.0111295000, 0.0119771000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039553000, 0.0039133000, 0.0038164000, 0.0038793000, 0.0040243000, 0.0043584000, 0.0051287000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0013180000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&C_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011954410, 0.0028581560, 0.0068335120, 0.0163381100, 0.0390624900, 0.0933937600"); - values("0.0107893000, 0.0096694000, 0.0069844000, 0.0005851000, -0.014664500, -0.051092900, -0.138719200", \ - "0.0105982000, 0.0094919000, 0.0068103000, 0.0003965000, -0.014813000, -0.051221300, -0.138824800", \ - "0.0104374000, 0.0093726000, 0.0066936000, 0.0002979000, -0.014949000, -0.051369400, -0.138967500", \ - "0.0100202000, 0.0089192000, 0.0063010000, -2.10000e-06, -0.015168900, -0.051573000, -0.139145500", \ - "0.0103001000, 0.0091885000, 0.0064796000, 4.500000e-05, -0.015246400, -0.051597300, -0.139271700", \ - "0.0116435000, 0.0104817000, 0.0077404000, 0.0012278000, -0.014232900, -0.051275000, -0.139033400", \ - "0.0144693000, 0.0132807000, 0.0103912000, 0.0036545000, -0.011978800, -0.049259500, -0.137923000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011954410, 0.0028581560, 0.0068335120, 0.0163381100, 0.0390624900, 0.0933937600"); - values("0.0213880000, 0.0225970000, 0.0253390000, 0.0319624000, 0.0472258000, 0.0836420000, 0.1704598000", \ - "0.0210558000, 0.0222113000, 0.0249786000, 0.0316447000, 0.0471485000, 0.0838080000, 0.1704754000", \ - "0.0207804000, 0.0219268000, 0.0246925000, 0.0312087000, 0.0467952000, 0.0832667000, 0.1710101000", \ - "0.0205934000, 0.0217232000, 0.0244284000, 0.0309779000, 0.0463179000, 0.0829989000, 0.1705428000", \ - "0.0204035000, 0.0215481000, 0.0242238000, 0.0306734000, 0.0461361000, 0.0825455000, 0.1700490000", \ - "0.0203550000, 0.0215388000, 0.0242470000, 0.0307089000, 0.0458415000, 0.0825209000, 0.1694393000", \ - "0.0200165000, 0.0211351000, 0.0238200000, 0.0304510000, 0.0461480000, 0.0824068000, 0.1694417000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011954410, 0.0028581560, 0.0068335120, 0.0163381100, 0.0390624900, 0.0933937600"); - values("0.0082209000, 0.0070972000, 0.0044125000, -0.002001200, -0.017330900, -0.053966900, -0.141840200", \ - "0.0081600000, 0.0070532000, 0.0043903000, -0.001993600, -0.017280600, -0.053910200, -0.141784000", \ - "0.0080594000, 0.0069653000, 0.0043198000, -0.001989900, -0.017197300, -0.053764800, -0.141618400", \ - "0.0075029000, 0.0065096000, 0.0039215000, -0.002290000, -0.017387600, -0.053906700, -0.141642000", \ - "0.0075876000, 0.0064671000, 0.0038461000, -0.002404800, -0.017667400, -0.054056600, -0.141742900", \ - "0.0083601000, 0.0071911000, 0.0045759000, -0.001882000, -0.017282100, -0.053966800, -0.141746500", \ - "0.0109470000, 0.0097368000, 0.0069027000, 9.850000e-05, -0.015687100, -0.052877400, -0.141166500"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011954410, 0.0028581560, 0.0068335120, 0.0163381100, 0.0390624900, 0.0933937600"); - values("0.0163497000, 0.0175179000, 0.0203668000, 0.0270038000, 0.0423005000, 0.0787906000, 0.1658441000", \ - "0.0159531000, 0.0171337000, 0.0199084000, 0.0265460000, 0.0421391000, 0.0787681000, 0.1660968000", \ - "0.0156296000, 0.0168122000, 0.0195335000, 0.0262104000, 0.0416620000, 0.0783874000, 0.1655513000", \ - "0.0154559000, 0.0165818000, 0.0193104000, 0.0258712000, 0.0412491000, 0.0779584000, 0.1650595000", \ - "0.0152455000, 0.0163897000, 0.0190599000, 0.0255388000, 0.0409663000, 0.0774495000, 0.1646754000", \ - "0.0152134000, 0.0163480000, 0.0190819000, 0.0255286000, 0.0408873000, 0.0774978000, 0.1643801000", \ - "0.0162497000, 0.0173117000, 0.0198349000, 0.0260628000, 0.0413404000, 0.0773527000, 0.1650521000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011954410, 0.0028581560, 0.0068335120, 0.0163381100, 0.0390624900, 0.0933937600"); - values("0.0028831000, 0.0018979000, -0.000332500, -0.005851800, -0.020263300, -0.056389600, -0.144125800", \ - "0.0028257000, 0.0018421000, -0.000354500, -0.005904000, -0.020278100, -0.056414900, -0.144121100", \ - "0.0028831000, 0.0019193000, -0.000316300, -0.005843900, -0.020243200, -0.056383300, -0.144061700", \ - "0.0025799000, 0.0016108000, -0.000641200, -0.006208200, -0.020508300, -0.056595600, -0.144256600", \ - "0.0023162000, 0.0013403000, -0.000697800, -0.006392400, -0.020840800, -0.056919200, -0.144510300", \ - "0.0028259000, 0.0017329000, -0.000894900, -0.007147400, -0.021607100, -0.057397400, -0.144828400", \ - "0.0038442000, 0.0026835000, -1.63000e-05, -0.006413200, -0.021245000, -0.057520000, -0.144989100"); - } - related_pin : "C_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011954410, 0.0028581560, 0.0068335120, 0.0163381100, 0.0390624900, 0.0933937600"); - values("0.0143227000, 0.0155176000, 0.0183713000, 0.0251057000, 0.0406053000, 0.0776378000, 0.1643626000", \ - "0.0143073000, 0.0154798000, 0.0183519000, 0.0250838000, 0.0406998000, 0.0773535000, 0.1644024000", \ - "0.0143327000, 0.0155281000, 0.0183415000, 0.0250330000, 0.0406636000, 0.0777352000, 0.1645991000", \ - "0.0140944000, 0.0152684000, 0.0180395000, 0.0245907000, 0.0402068000, 0.0771009000, 0.1640245000", \ - "0.0138436000, 0.0149875000, 0.0177141000, 0.0241925000, 0.0396883000, 0.0767278000, 0.1636592000", \ - "0.0137399000, 0.0148477000, 0.0175464000, 0.0240513000, 0.0394364000, 0.0760998000, 0.1633900000", \ - "0.0141434000, 0.0152499000, 0.0179270000, 0.0242891000, 0.0391858000, 0.0758750000, 0.1631458000"); - } - } - max_capacitance : 0.0933940000; - max_transition : 1.4934560000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011954400, 0.0028581600, 0.0068335100, 0.0163381000, 0.0390625000, 0.0933938000"); - values("0.0174638000, 0.0190365000, 0.0226516000, 0.0307326000, 0.0484098000, 0.0873477000, 0.1759946000", \ - "0.0229612000, 0.0243895000, 0.0277855000, 0.0355942000, 0.0530597000, 0.0919029000, 0.1806034000", \ - "0.0347826000, 0.0362820000, 0.0400741000, 0.0476890000, 0.0643720000, 0.1027379000, 0.1913351000", \ - "0.0515127000, 0.0539243000, 0.0592660000, 0.0702706000, 0.0907974000, 0.1291494000, 0.2171356000", \ - "0.0713027000, 0.0748824000, 0.0828645000, 0.0995355000, 0.1308267000, 0.1850730000, 0.2774496000", \ - "0.0873729000, 0.0927860000, 0.1049497000, 0.1300376000, 0.1780211000, 0.2626681000, 0.4005083000", \ - "0.0767385000, 0.0857307000, 0.1037695000, 0.1431844000, 0.2174440000, 0.3500095000, 0.5657276000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011954400, 0.0028581600, 0.0068335100, 0.0163381000, 0.0390625000, 0.0933938000"); - values("0.1221256000, 0.1305824000, 0.1496599000, 0.1951484000, 0.3013165000, 0.5503449000, 1.1463153000", \ - "0.1261864000, 0.1341117000, 0.1535959000, 0.1991702000, 0.3063147000, 0.5557218000, 1.1528917000", \ - "0.1382274000, 0.1461819000, 0.1654061000, 0.2101782000, 0.3184524000, 0.5681136000, 1.1662157000", \ - "0.1651756000, 0.1729542000, 0.1923044000, 0.2369834000, 0.3428942000, 0.5937029000, 1.1959380000", \ - "0.2166414000, 0.2255109000, 0.2454650000, 0.2906873000, 0.3967636000, 0.6468667000, 1.2549816000", \ - "0.2994350000, 0.3112549000, 0.3361585000, 0.3917172000, 0.5093352000, 0.7624090000, 1.3618406000", \ - "0.4263933000, 0.4436008000, 0.4834204000, 0.5611777000, 0.7120740000, 1.0099822000, 1.6202764000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011954400, 0.0028581600, 0.0068335100, 0.0163381000, 0.0390625000, 0.0933938000"); - values("0.0172284000, 0.0191740000, 0.0235873000, 0.0333404000, 0.0547994000, 0.1037425000, 0.2199378000", \ - "0.0176181000, 0.0192377000, 0.0231761000, 0.0326919000, 0.0543472000, 0.1034575000, 0.2196236000", \ - "0.0235267000, 0.0246029000, 0.0272053000, 0.0347465000, 0.0540419000, 0.1029674000, 0.2194068000", \ - "0.0365299000, 0.0381868000, 0.0415610000, 0.0496586000, 0.0639097000, 0.1055603000, 0.2190303000", \ - "0.0584059000, 0.0609165000, 0.0664010000, 0.0773706000, 0.0990046000, 0.1360936000, 0.2301962000", \ - "0.0967209000, 0.1002059000, 0.1086585000, 0.1264877000, 0.1590051000, 0.2136456000, 0.3087806000", \ - "0.1640530000, 0.1705147000, 0.1849614000, 0.2130939000, 0.2629026000, 0.3475678000, 0.4856627000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011954400, 0.0028581600, 0.0068335100, 0.0163381000, 0.0390625000, 0.0933938000"); - values("0.0786376000, 0.0892441000, 0.1148131000, 0.1756683000, 0.3191343000, 0.6589938000, 1.4731059000", \ - "0.0787230000, 0.0894936000, 0.1148597000, 0.1756153000, 0.3200090000, 0.6607309000, 1.4710367000", \ - "0.0788195000, 0.0894819000, 0.1147593000, 0.1752546000, 0.3198784000, 0.6588909000, 1.4767021000", \ - "0.0791052000, 0.0897574000, 0.1148076000, 0.1757246000, 0.3187656000, 0.6583921000, 1.4756928000", \ - "0.0883152000, 0.0980765000, 0.1213959000, 0.1789737000, 0.3193649000, 0.6604566000, 1.4789494000", \ - "0.1171455000, 0.1277981000, 0.1528003000, 0.2104329000, 0.3399669000, 0.6661525000, 1.4718832000", \ - "0.1893233000, 0.2010237000, 0.2285320000, 0.2899236000, 0.4277675000, 0.7314248000, 1.4932042000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011954400, 0.0028581600, 0.0068335100, 0.0163381000, 0.0390625000, 0.0933938000"); - values("0.0193489000, 0.0208098000, 0.0241565000, 0.0316382000, 0.0484369000, 0.0868964000, 0.1771617000", \ - "0.0243250000, 0.0257413000, 0.0290197000, 0.0364772000, 0.0531105000, 0.0915847000, 0.1818195000", \ - "0.0349650000, 0.0366756000, 0.0404055000, 0.0479119000, 0.0642362000, 0.1026870000, 0.1929294000", \ - "0.0490542000, 0.0513882000, 0.0572468000, 0.0686824000, 0.0895352000, 0.1284876000, 0.2186378000", \ - "0.0634656000, 0.0674605000, 0.0762889000, 0.0941523000, 0.1268942000, 0.1840380000, 0.2794813000", \ - "0.0702492000, 0.0763105000, 0.0900865000, 0.1175766000, 0.1705429000, 0.2590498000, 0.4018602000", \ - "0.0443753000, 0.0538836000, 0.0751365000, 0.1183230000, 0.1998545000, 0.3415671000, 0.5659854000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011954400, 0.0028581600, 0.0068335100, 0.0163381000, 0.0390625000, 0.0933938000"); - values("0.1056506000, 0.1139644000, 0.1336405000, 0.1791973000, 0.2844225000, 0.5343299000, 1.1304507000", \ - "0.1081371000, 0.1164411000, 0.1359120000, 0.1812544000, 0.2909029000, 0.5400763000, 1.1384299000", \ - "0.1183909000, 0.1267085000, 0.1456014000, 0.1911606000, 0.2975707000, 0.5492276000, 1.1468408000", \ - "0.1441105000, 0.1521110000, 0.1709755000, 0.2160206000, 0.3219423000, 0.5735655000, 1.1720467000", \ - "0.1925439000, 0.2021914000, 0.2246456000, 0.2718669000, 0.3776812000, 0.6293186000, 1.2275156000", \ - "0.2729188000, 0.2873184000, 0.3177166000, 0.3814496000, 0.5076187000, 0.7628067000, 1.3611797000", \ - "0.3989712000, 0.4204742000, 0.4678765000, 0.5634965000, 0.7414186000, 1.0547635000, 1.6725850000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011954400, 0.0028581600, 0.0068335100, 0.0163381000, 0.0390625000, 0.0933938000"); - values("0.0157023000, 0.0173505000, 0.0211329000, 0.0300204000, 0.0507297000, 0.1004767000, 0.2201481000", \ - "0.0155179000, 0.0170636000, 0.0207184000, 0.0297086000, 0.0506304000, 0.1004902000, 0.2208906000", \ - "0.0207970000, 0.0217448000, 0.0242887000, 0.0315733000, 0.0507937000, 0.1005409000, 0.2210722000", \ - "0.0320793000, 0.0341693000, 0.0377584000, 0.0454598000, 0.0617161000, 0.1034529000, 0.2206680000", \ - "0.0529091000, 0.0558368000, 0.0619539000, 0.0739197000, 0.0955794000, 0.1350220000, 0.2307881000", \ - "0.0886423000, 0.0933428000, 0.1032525000, 0.1217018000, 0.1547436000, 0.2134803000, 0.3087702000", \ - "0.1549495000, 0.1623990000, 0.1782059000, 0.2080359000, 0.2597224000, 0.3481906000, 0.4895594000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011954400, 0.0028581600, 0.0068335100, 0.0163381000, 0.0390625000, 0.0933938000"); - values("0.0785831000, 0.0892690000, 0.1150037000, 0.1757348000, 0.3185399000, 0.6580178000, 1.4705089000", \ - "0.0786203000, 0.0891370000, 0.1148251000, 0.1751672000, 0.3192756000, 0.6605552000, 1.4763089000", \ - "0.0787095000, 0.0893925000, 0.1148128000, 0.1755939000, 0.3186210000, 0.6582317000, 1.4710358000", \ - "0.0797236000, 0.0900736000, 0.1153799000, 0.1756952000, 0.3188347000, 0.6593442000, 1.4729100000", \ - "0.0976543000, 0.1068154000, 0.1283792000, 0.1833857000, 0.3196129000, 0.6590216000, 1.4720406000", \ - "0.1385849000, 0.1496131000, 0.1744369000, 0.2303910000, 0.3510951000, 0.6659436000, 1.4702989000", \ - "0.2273998000, 0.2404269000, 0.2707507000, 0.3379102000, 0.4730307000, 0.7577503000, 1.4934559000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011954400, 0.0028581600, 0.0068335100, 0.0163381000, 0.0390625000, 0.0933938000"); - values("0.1233045000, 0.1265290000, 0.1332351000, 0.1462338000, 0.1701202000, 0.2151784000, 0.3091362000", \ - "0.1282925000, 0.1314595000, 0.1381607000, 0.1510252000, 0.1750510000, 0.2201314000, 0.3139676000", \ - "0.1410908000, 0.1442519000, 0.1509404000, 0.1640650000, 0.1878945000, 0.2329762000, 0.3267936000", \ - "0.1713641000, 0.1745782000, 0.1812683000, 0.1943339000, 0.2185243000, 0.2637316000, 0.3575383000", \ - "0.2426369000, 0.2458537000, 0.2524868000, 0.2657254000, 0.2900578000, 0.3353928000, 0.4293949000", \ - "0.3670427000, 0.3709447000, 0.3791542000, 0.3949755000, 0.4224959000, 0.4712806000, 0.5669943000", \ - "0.5537659000, 0.5586502000, 0.5696646000, 0.5898181000, 0.6253303000, 0.6826723000, 0.7839706000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011954400, 0.0028581600, 0.0068335100, 0.0163381000, 0.0390625000, 0.0933938000"); - values("0.1002572000, 0.1081896000, 0.1272421000, 0.1731871000, 0.2807507000, 0.5358910000, 1.1294202000", \ - "0.1052427000, 0.1131347000, 0.1320911000, 0.1778576000, 0.2857005000, 0.5373373000, 1.1393352000", \ - "0.1168936000, 0.1246580000, 0.1436795000, 0.1893183000, 0.2975079000, 0.5500173000, 1.1458181000", \ - "0.1426948000, 0.1503438000, 0.1690048000, 0.2138366000, 0.3214061000, 0.5732320000, 1.1712398000", \ - "0.1854434000, 0.1928193000, 0.2107946000, 0.2550296000, 0.3614146000, 0.6164616000, 1.2125616000", \ - "0.2440382000, 0.2515884000, 0.2691244000, 0.3120119000, 0.4178967000, 0.6685718000, 1.2673139000", \ - "0.3135723000, 0.3221469000, 0.3412599000, 0.3839925000, 0.4866147000, 0.7372059000, 1.3333868000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011954400, 0.0028581600, 0.0068335100, 0.0163381000, 0.0390625000, 0.0933938000"); - values("0.0287448000, 0.0306575000, 0.0350394000, 0.0450198000, 0.0651326000, 0.1109909000, 0.2255628000", \ - "0.0287221000, 0.0307057000, 0.0350281000, 0.0448600000, 0.0652021000, 0.1111103000, 0.2251626000", \ - "0.0287366000, 0.0306987000, 0.0350151000, 0.0447987000, 0.0651373000, 0.1108852000, 0.2252246000", \ - "0.0288938000, 0.0307854000, 0.0351056000, 0.0443648000, 0.0650648000, 0.1110938000, 0.2252963000", \ - "0.0304094000, 0.0325447000, 0.0366400000, 0.0460652000, 0.0659195000, 0.1113224000, 0.2250357000", \ - "0.0429485000, 0.0456606000, 0.0494942000, 0.0584037000, 0.0769245000, 0.1205395000, 0.2290940000", \ - "0.0637393000, 0.0662105000, 0.0718893000, 0.0828614000, 0.1015704000, 0.1425181000, 0.2426514000"); - } - related_pin : "C_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011954400, 0.0028581600, 0.0068335100, 0.0163381000, 0.0390625000, 0.0933938000"); - values("0.0734222000, 0.0847731000, 0.1115934000, 0.1744354000, 0.3186205000, 0.6607144000, 1.4717295000", \ - "0.0735121000, 0.0846716000, 0.1115487000, 0.1738498000, 0.3188737000, 0.6604176000, 1.4743652000", \ - "0.0735841000, 0.0847153000, 0.1113493000, 0.1743161000, 0.3192989000, 0.6606415000, 1.4718693000", \ - "0.0733090000, 0.0844364000, 0.1112093000, 0.1738484000, 0.3192622000, 0.6594578000, 1.4721421000", \ - "0.0739970000, 0.0852509000, 0.1112869000, 0.1733261000, 0.3186068000, 0.6617412000, 1.4708805000", \ - "0.0791018000, 0.0890866000, 0.1132724000, 0.1734408000, 0.3198989000, 0.6603864000, 1.4718283000", \ - "0.0913414000, 0.1010330000, 0.1237505000, 0.1781506000, 0.3193945000, 0.6599492000, 1.4705360000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor3b_4") { - leakage_power () { - value : 0.0088421000; - when : "!A&!B&C_N"; - } - leakage_power () { - value : 0.0045084000; - when : "!A&!B&!C_N"; - } - leakage_power () { - value : 0.0048605000; - when : "!A&B&C_N"; - } - leakage_power () { - value : 0.0006619000; - when : "!A&B&!C_N"; - } - leakage_power () { - value : 0.0053392000; - when : "A&!B&C_N"; - } - leakage_power () { - value : 0.0007225000; - when : "A&!B&!C_N"; - } - leakage_power () { - value : 0.0025465000; - when : "A&B&C_N"; - } - leakage_power () { - value : 0.0205818000; - when : "A&B&!C_N"; - } - area : 18.768000000; - cell_footprint : "sky130_fd_sc_hd__nor3b"; - cell_leakage_power : 0.0060078780; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0087190000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082400000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0150865000, 0.0150942000, 0.0151119000, 0.0151050000, 0.0150893000, 0.0150531000, 0.0149697000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013957700, -0.014081200, -0.014365800, -0.014413200, -0.014522500, -0.014774400, -0.015354900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091970000; - } - pin ("B") { - capacitance : 0.0084420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078320000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0164894000, 0.0164827000, 0.0164672000, 0.0164751000, 0.0164931000, 0.0165347000, 0.0166307000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.014960000, -0.015147100, -0.015578400, -0.015593000, -0.015626800, -0.015704700, -0.015884200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090510000; - } - pin ("C_N") { - capacitance : 0.0023650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022450000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0227238000, 0.0225835000, 0.0222600000, 0.0223986000, 0.0227180000, 0.0234544000, 0.0251518000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075975000, 0.0075120000, 0.0073150000, 0.0074166000, 0.0076509000, 0.0081910000, 0.0094358000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024850000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&C_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012885810, 0.0033208830, 0.0085584560, 0.0220565300, 0.0568432600, 0.1464943000"); - values("0.0205265000, 0.0192576000, 0.0159771000, 0.0075359000, -0.014213000, -0.070073300, -0.214661100", \ - "0.0201400000, 0.0188808000, 0.0156026000, 0.0071787000, -0.014523300, -0.070338100, -0.214921800", \ - "0.0198247000, 0.0185787000, 0.0154371000, 0.0069936000, -0.014764500, -0.070511400, -0.215117300", \ - "0.0192788000, 0.0180658000, 0.0148446000, 0.0065607000, -0.015205600, -0.070898200, -0.215375700", \ - "0.0191975000, 0.0179308000, 0.0146926000, 0.0063417000, -0.015299300, -0.070972400, -0.215521200", \ - "0.0218487000, 0.0205568000, 0.0172278000, 0.0086943000, -0.013237700, -0.070193400, -0.215000600", \ - "0.0273727000, 0.0261270000, 0.0225925000, 0.0137004000, -0.008641400, -0.066216200, -0.212700400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012885810, 0.0033208830, 0.0085584560, 0.0220565300, 0.0568432600, 0.1464943000"); - values("0.0410249000, 0.0422934000, 0.0457054000, 0.0542916000, 0.0764289000, 0.1318351000, 0.2754306000", \ - "0.0401734000, 0.0415701000, 0.0450416000, 0.0537622000, 0.0757115000, 0.1317289000, 0.2757093000", \ - "0.0395783000, 0.0409921000, 0.0443066000, 0.0529793000, 0.0750311000, 0.1313828000, 0.2761525000", \ - "0.0392858000, 0.0405775000, 0.0439536000, 0.0525188000, 0.0743789000, 0.1306416000, 0.2747238000", \ - "0.0388860000, 0.0401832000, 0.0434668000, 0.0520280000, 0.0740310000, 0.1298750000, 0.2746090000", \ - "0.0388747000, 0.0401450000, 0.0435032000, 0.0519931000, 0.0735029000, 0.1296196000, 0.2732577000", \ - "0.0385929000, 0.0398507000, 0.0430450000, 0.0522207000, 0.0746217000, 0.1301515000, 0.2737958000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012885810, 0.0033208830, 0.0085584560, 0.0220565300, 0.0568432600, 0.1464943000"); - values("0.0170515000, 0.0157864000, 0.0124912000, 0.0039824000, -0.017826100, -0.073958500, -0.218937200", \ - "0.0169781000, 0.0157247000, 0.0124642000, 0.0040254000, -0.017738200, -0.073778800, -0.218672000", \ - "0.0169168000, 0.0156576000, 0.0124554000, 0.0040733000, -0.017494300, -0.073401800, -0.218288600", \ - "0.0159538000, 0.0147269000, 0.0116219000, 0.0033481000, -0.017960800, -0.073702500, -0.218397400", \ - "0.0157713000, 0.0145258000, 0.0112883000, 0.0031822000, -0.018702800, -0.074148600, -0.218581700", \ - "0.0170710000, 0.0157939000, 0.0124926000, 0.0040716000, -0.017428900, -0.073572300, -0.218598800", \ - "0.0219701000, 0.0205908000, 0.0171117000, 0.0081900000, -0.014487400, -0.071318600, -0.217148800"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012885810, 0.0033208830, 0.0085584560, 0.0220565300, 0.0568432600, 0.1464943000"); - values("0.0306559000, 0.0320596000, 0.0354317000, 0.0441545000, 0.0660787000, 0.1219934000, 0.2651940000", \ - "0.0297777000, 0.0312406000, 0.0346643000, 0.0433761000, 0.0658171000, 0.1219756000, 0.2650885000", \ - "0.0292275000, 0.0305470000, 0.0339427000, 0.0425998000, 0.0650827000, 0.1213983000, 0.2650111000", \ - "0.0288246000, 0.0301290000, 0.0334467000, 0.0420950000, 0.0642290000, 0.1206317000, 0.2643764000", \ - "0.0284373000, 0.0297272000, 0.0329744000, 0.0415448000, 0.0634976000, 0.1193746000, 0.2634282000", \ - "0.0284368000, 0.0297053000, 0.0330267000, 0.0414675000, 0.0632604000, 0.1193483000, 0.2628844000", \ - "0.0301673000, 0.0313126000, 0.0344563000, 0.0426252000, 0.0642929000, 0.1192656000, 0.2638014000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012885810, 0.0033208830, 0.0085584560, 0.0220565300, 0.0568432600, 0.1464943000"); - values("0.0077723000, 0.0066569000, 0.0038859000, -0.003386200, -0.023104400, -0.077905500, -0.222399300", \ - "0.0077942000, 0.0066535000, 0.0038301000, -0.003386900, -0.023164200, -0.077865600, -0.222411200", \ - "0.0078465000, 0.0067685000, 0.0039899000, -0.003264400, -0.023025700, -0.077773700, -0.222303600", \ - "0.0072985000, 0.0061877000, 0.0033143000, -0.003815100, -0.023590700, -0.078241700, -0.222642900", \ - "0.0065307000, 0.0053670000, 0.0025472000, -0.004788000, -0.024158100, -0.078816000, -0.223181500", \ - "0.0066735000, 0.0054311000, 0.0022246000, -0.005867400, -0.025855100, -0.079681900, -0.223745500", \ - "0.0101043000, 0.0090607000, 0.0057433000, -0.002630300, -0.024307300, -0.079868700, -0.223965800"); - } - related_pin : "C_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012885810, 0.0033208830, 0.0085584560, 0.0220565300, 0.0568432600, 0.1464943000"); - values("0.0291150000, 0.0305081000, 0.0340275000, 0.0428900000, 0.0654537000, 0.1218777000, 0.2665862000", \ - "0.0291044000, 0.0305078000, 0.0339470000, 0.0428969000, 0.0653870000, 0.1218086000, 0.2658963000", \ - "0.0291373000, 0.0305074000, 0.0340070000, 0.0429077000, 0.0652458000, 0.1217964000, 0.2658010000", \ - "0.0286161000, 0.0299732000, 0.0334298000, 0.0422579000, 0.0645038000, 0.1216804000, 0.2664545000", \ - "0.0282182000, 0.0295141000, 0.0329095000, 0.0412928000, 0.0634525000, 0.1204693000, 0.2655062000", \ - "0.0280671000, 0.0293545000, 0.0326159000, 0.0412388000, 0.0627328000, 0.1192954000, 0.2646329000", \ - "0.0287413000, 0.0299935000, 0.0330009000, 0.0414953000, 0.0627559000, 0.1192294000, 0.2631032000"); - } - } - max_capacitance : 0.1464940000; - max_transition : 1.4983920000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0180535000, 0.0190306000, 0.0215461000, 0.0275991000, 0.0419278000, 0.0755601000, 0.1572186000", \ - "0.0233343000, 0.0242562000, 0.0265865000, 0.0324312000, 0.0464996000, 0.0799560000, 0.1614892000", \ - "0.0344013000, 0.0355037000, 0.0381037000, 0.0442771000, 0.0575425000, 0.0905679000, 0.1720237000", \ - "0.0496089000, 0.0511965000, 0.0550261000, 0.0637961000, 0.0816099000, 0.1160966000, 0.1964858000", \ - "0.0663308000, 0.0686086000, 0.0741816000, 0.0870521000, 0.1139484000, 0.1653060000, 0.2551916000", \ - "0.0747514000, 0.0781687000, 0.0865193000, 0.1058519000, 0.1472085000, 0.2258293000, 0.3626393000", \ - "0.0481251000, 0.0534651000, 0.0666529000, 0.0965894000, 0.1597645000, 0.2820445000, 0.4958208000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.1408186000, 0.1468816000, 0.1616228000, 0.1994349000, 0.2963655000, 0.5382451000, 1.1630007000", \ - "0.1437985000, 0.1496285000, 0.1650153000, 0.2033649000, 0.2991607000, 0.5428913000, 1.1743569000", \ - "0.1550015000, 0.1608562000, 0.1758124000, 0.2137805000, 0.3100277000, 0.5566993000, 1.1820869000", \ - "0.1799516000, 0.1856588000, 0.2004465000, 0.2381695000, 0.3339337000, 0.5789116000, 1.2159365000", \ - "0.2287550000, 0.2350445000, 0.2504359000, 0.2886105000, 0.3846719000, 0.6283352000, 1.2573574000", \ - "0.3086462000, 0.3160597000, 0.3344226000, 0.3800529000, 0.4865475000, 0.7348393000, 1.3612360000", \ - "0.4403033000, 0.4504767000, 0.4764006000, 0.5385576000, 0.6719524000, 0.9588654000, 1.6000756000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0189896000, 0.0202792000, 0.0233527000, 0.0309562000, 0.0493729000, 0.0947246000, 0.2109767000", \ - "0.0192050000, 0.0202645000, 0.0230848000, 0.0303121000, 0.0488392000, 0.0943826000, 0.2108743000", \ - "0.0248482000, 0.0256238000, 0.0277852000, 0.0333557000, 0.0492863000, 0.0938864000, 0.2105699000", \ - "0.0371174000, 0.0382770000, 0.0410656000, 0.0475828000, 0.0613222000, 0.0984779000, 0.2102863000", \ - "0.0582847000, 0.0599372000, 0.0638621000, 0.0730019000, 0.0925009000, 0.1312064000, 0.2254523000", \ - "0.0952870000, 0.0977261000, 0.1040076000, 0.1179937000, 0.1471434000, 0.2005569000, 0.3055344000", \ - "0.1630292000, 0.1671848000, 0.1772461000, 0.1988286000, 0.2431492000, 0.3215379000, 0.4679664000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0950738000, 0.1029152000, 0.1224635000, 0.1728771000, 0.3027454000, 0.6305259000, 1.4767154000", \ - "0.0955273000, 0.1030645000, 0.1226770000, 0.1731659000, 0.3017269000, 0.6311294000, 1.4795670000", \ - "0.0956322000, 0.1028962000, 0.1226437000, 0.1726269000, 0.3011289000, 0.6324990000, 1.4835865000", \ - "0.0956937000, 0.1033398000, 0.1229748000, 0.1733177000, 0.3017502000, 0.6309880000, 1.4808362000", \ - "0.1032361000, 0.1104927000, 0.1288875000, 0.1767701000, 0.3026409000, 0.6330331000, 1.4810247000", \ - "0.1297242000, 0.1372354000, 0.1567176000, 0.2053239000, 0.3236045000, 0.6380401000, 1.4780270000", \ - "0.1945596000, 0.2023014000, 0.2220948000, 0.2731890000, 0.3985198000, 0.7005056000, 1.4983920000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0196048000, 0.0205431000, 0.0228560000, 0.0284385000, 0.0417655000, 0.0741559000, 0.1554527000", \ - "0.0244357000, 0.0253297000, 0.0275815000, 0.0331140000, 0.0463956000, 0.0787738000, 0.1600187000", \ - "0.0345966000, 0.0356806000, 0.0381773000, 0.0441600000, 0.0571899000, 0.0895565000, 0.1707030000", \ - "0.0472281000, 0.0488480000, 0.0528226000, 0.0619533000, 0.0798711000, 0.1146033000, 0.1955783000", \ - "0.0590410000, 0.0615348000, 0.0674530000, 0.0812953000, 0.1097456000, 0.1619932000, 0.2542272000", \ - "0.0570921000, 0.0608698000, 0.0701627000, 0.0920702000, 0.1360611000, 0.2191647000, 0.3604516000", \ - "0.0122192000, 0.0182868000, 0.0328057000, 0.0663179000, 0.1354746000, 0.2669200000, 0.4880889000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.1242780000, 0.1305093000, 0.1460816000, 0.1844423000, 0.2796582000, 0.5259000000, 1.1487461000", \ - "0.1260200000, 0.1321965000, 0.1472952000, 0.1858265000, 0.2841344000, 0.5272467000, 1.1537064000", \ - "0.1360613000, 0.1420306000, 0.1568532000, 0.1950854000, 0.2933782000, 0.5377302000, 1.1641605000", \ - "0.1615900000, 0.1673524000, 0.1824098000, 0.2202732000, 0.3167724000, 0.5619148000, 1.1898783000", \ - "0.2126431000, 0.2196928000, 0.2366512000, 0.2761368000, 0.3721956000, 0.6186449000, 1.2448513000", \ - "0.2998622000, 0.3092387000, 0.3327338000, 0.3843003000, 0.4996342000, 0.7498871000, 1.3765812000", \ - "0.4463893000, 0.4610183000, 0.4946087000, 0.5719067000, 0.7311226000, 1.0406895000, 1.6890384000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0162089000, 0.0172588000, 0.0198495000, 0.0264885000, 0.0433868000, 0.0867963000, 0.1997371000", \ - "0.0161201000, 0.0170715000, 0.0195436000, 0.0262263000, 0.0432864000, 0.0868401000, 0.1998009000", \ - "0.0213030000, 0.0220149000, 0.0239451000, 0.0290526000, 0.0440094000, 0.0867227000, 0.1998797000", \ - "0.0326680000, 0.0338161000, 0.0365457000, 0.0426833000, 0.0567919000, 0.0918545000, 0.1999260000", \ - "0.0525430000, 0.0542633000, 0.0586016000, 0.0684457000, 0.0873786000, 0.1261002000, 0.2153077000", \ - "0.0886756000, 0.0914303000, 0.0981360000, 0.1126159000, 0.1426165000, 0.1967042000, 0.2984145000", \ - "0.1549547000, 0.1594875000, 0.1701660000, 0.1929726000, 0.2392072000, 0.3214980000, 0.4654595000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0953922000, 0.1030106000, 0.1225488000, 0.1730303000, 0.3018410000, 0.6323029000, 1.4763892000", \ - "0.0954791000, 0.1028664000, 0.1227349000, 0.1729386000, 0.3026973000, 0.6330446000, 1.4759819000", \ - "0.0952478000, 0.1029248000, 0.1228110000, 0.1726218000, 0.3026821000, 0.6329698000, 1.4766638000", \ - "0.0960570000, 0.1036865000, 0.1228511000, 0.1735074000, 0.3019045000, 0.6329706000, 1.4762763000", \ - "0.1116729000, 0.1177470000, 0.1350309000, 0.1811062000, 0.3036060000, 0.6321261000, 1.4771747000", \ - "0.1515120000, 0.1592445000, 0.1792248000, 0.2256245000, 0.3352074000, 0.6408961000, 1.4789051000", \ - "0.2399402000, 0.2489281000, 0.2719121000, 0.3256387000, 0.4501176000, 0.7292137000, 1.4968985000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.1217676000, 0.1236951000, 0.1283057000, 0.1383292000, 0.1580312000, 0.1968260000, 0.2800710000", \ - "0.1269149000, 0.1288598000, 0.1334889000, 0.1434775000, 0.1632674000, 0.2019990000, 0.2850465000", \ - "0.1401212000, 0.1421027000, 0.1466328000, 0.1566469000, 0.1765370000, 0.2154420000, 0.2984876000", \ - "0.1712354000, 0.1731794000, 0.1777596000, 0.1877963000, 0.2075607000, 0.2463654000, 0.3296623000", \ - "0.2443563000, 0.2462991000, 0.2508435000, 0.2609122000, 0.2808098000, 0.3198728000, 0.4032749000", \ - "0.3734126000, 0.3758364000, 0.3815577000, 0.3938881000, 0.4176470000, 0.4607292000, 0.5465864000", \ - "0.5755263000, 0.5787444000, 0.5860340000, 0.6019332000, 0.6324106000, 0.6852950000, 0.7787739000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.1240417000, 0.1298163000, 0.1449060000, 0.1836880000, 0.2818158000, 0.5284103000, 1.1570214000", \ - "0.1287793000, 0.1345236000, 0.1492848000, 0.1881340000, 0.2865634000, 0.5349404000, 1.1600875000", \ - "0.1396347000, 0.1455061000, 0.1604344000, 0.1990630000, 0.2969223000, 0.5437150000, 1.1764046000", \ - "0.1638765000, 0.1694684000, 0.1841512000, 0.2225209000, 0.3202998000, 0.5708960000, 1.1954854000", \ - "0.2067496000, 0.2121599000, 0.2265204000, 0.2629961000, 0.3599908000, 0.6096508000, 1.2353972000", \ - "0.2618571000, 0.2673492000, 0.2812557000, 0.3176624000, 0.4120826000, 0.6570098000, 1.2893641000", \ - "0.3179259000, 0.3237059000, 0.3368645000, 0.3730262000, 0.4663253000, 0.7110044000, 1.3365260000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0291893000, 0.0303341000, 0.0337264000, 0.0399628000, 0.0562205000, 0.0944228000, 0.1949947000", \ - "0.0293983000, 0.0306398000, 0.0336639000, 0.0401218000, 0.0561894000, 0.0943856000, 0.1950335000", \ - "0.0294955000, 0.0305560000, 0.0334966000, 0.0402924000, 0.0558031000, 0.0945647000, 0.1951346000", \ - "0.0292258000, 0.0303700000, 0.0331670000, 0.0401446000, 0.0560176000, 0.0944079000, 0.1948954000", \ - "0.0312065000, 0.0323110000, 0.0350164000, 0.0413892000, 0.0571945000, 0.0950048000, 0.1950770000", \ - "0.0453014000, 0.0466683000, 0.0488441000, 0.0556588000, 0.0696305000, 0.1050867000, 0.2000214000", \ - "0.0679940000, 0.0697095000, 0.0726788000, 0.0804793000, 0.0963640000, 0.1308921000, 0.2177179000"); - } - related_pin : "C_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012885800, 0.0033208800, 0.0085584600, 0.0220565000, 0.0568433000, 0.1464940000"); - values("0.0907781000, 0.0986370000, 0.1193003000, 0.1713047000, 0.3026186000, 0.6309996000, 1.4803733000", \ - "0.0908448000, 0.0986015000, 0.1189951000, 0.1715032000, 0.3020749000, 0.6321674000, 1.4787579000", \ - "0.0907744000, 0.0987428000, 0.1190272000, 0.1714875000, 0.3019106000, 0.6310896000, 1.4802358000", \ - "0.0904554000, 0.0984922000, 0.1187707000, 0.1707458000, 0.3018448000, 0.6348124000, 1.4831802000", \ - "0.0917778000, 0.0990126000, 0.1191050000, 0.1707175000, 0.3016631000, 0.6339339000, 1.4828816000", \ - "0.0945655000, 0.1019518000, 0.1209435000, 0.1712839000, 0.3015750000, 0.6325379000, 1.4859218000", \ - "0.1074184000, 0.1136480000, 0.1319202000, 0.1773732000, 0.3025064000, 0.6351541000, 1.4761002000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor4_1") { - leakage_power () { - value : 0.0025606000; - when : "!A&!B&!C&D"; - } - leakage_power () { - value : 0.0015417000; - when : "!A&!B&!C&!D"; - } - leakage_power () { - value : 8.2139272e-05; - when : "!A&!B&C&D"; - } - leakage_power () { - value : 0.0007090000; - when : "!A&!B&C&!D"; - } - leakage_power () { - value : 8.2465766e-05; - when : "!A&B&!C&D"; - } - leakage_power () { - value : 0.0006652000; - when : "!A&B&!C&!D"; - } - leakage_power () { - value : 4.4465012e-05; - when : "!A&B&C&D"; - } - leakage_power () { - value : 8.1062381e-05; - when : "!A&B&C&!D"; - } - leakage_power () { - value : 0.0001830000; - when : "A&!B&!C&D"; - } - leakage_power () { - value : 0.0015228000; - when : "A&!B&!C&!D"; - } - leakage_power () { - value : 5.9868919e-05; - when : "A&!B&C&D"; - } - leakage_power () { - value : 0.0001608000; - when : "A&!B&C&!D"; - } - leakage_power () { - value : 6.0456712e-05; - when : "A&B&!C&D"; - } - leakage_power () { - value : 0.0001640000; - when : "A&B&!C&!D"; - } - leakage_power () { - value : 3.8163977e-05; - when : "A&B&C&D"; - } - leakage_power () { - value : 6.2324413e-05; - when : "A&B&C&!D"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__nor4"; - cell_leakage_power : 0.0005011251; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023370000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022420000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040778000, 0.0040756000, 0.0040704000, 0.0040712000, 0.0040730000, 0.0040770000, 0.0040863000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003430000, -0.003465700, -0.003547900, -0.003562700, -0.003596900, -0.003675700, -0.003857300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024310000; - } - pin ("B") { - capacitance : 0.0023450000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039600000, 0.0039546000, 0.0039422000, 0.0039434000, 0.0039462000, 0.0039525000, 0.0039671000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003301900, -0.003334200, -0.003408700, -0.003426500, -0.003467600, -0.003562300, -0.003780600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024850000; - } - pin ("C") { - capacitance : 0.0024120000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022390000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039761000, 0.0039754000, 0.0039737000, 0.0039718000, 0.0039674000, 0.0039574000, 0.0039342000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003477300, -0.003567800, -0.003776400, -0.003784700, -0.003803700, -0.003847500, -0.003948400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025860000; - } - pin ("D") { - capacitance : 0.0023470000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025717000, 0.0025675000, 0.0025578000, 0.0025654000, 0.0025828000, 0.0026230000, 0.0027156000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001720900, -0.001720400, -0.001719400, -0.001719600, -0.001720100, -0.001721100, -0.001723600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025370000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&!C&!D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0060654000, 0.0052332000, 0.0035108000, -2.13000e-05, -0.007220600, -0.021887900, -0.051965000", \ - "0.0059871000, 0.0051474000, 0.0034220000, -0.000114800, -0.007326300, -0.021974100, -0.052052100", \ - "0.0059196000, 0.0050720000, 0.0033881000, -0.000150400, -0.007365100, -0.022023600, -0.052086300", \ - "0.0058000000, 0.0049591000, 0.0032555000, -0.000283200, -0.007446200, -0.022111200, -0.052204000", \ - "0.0057391000, 0.0048932000, 0.0031939000, -0.000320200, -0.007463200, -0.022083200, -0.052220900", \ - "0.0064913000, 0.0056394000, 0.0038823000, 0.0003151000, -0.006987800, -0.021737700, -0.051952300", \ - "0.0081163000, 0.0072458000, 0.0054579000, 0.0019091000, -0.005489500, -0.020580100, -0.051140400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0142613000, 0.0151427000, 0.0168861000, 0.0204365000, 0.0276530000, 0.0424198000, 0.0723222000", \ - "0.0140787000, 0.0149642000, 0.0167152000, 0.0203466000, 0.0275613000, 0.0422439000, 0.0721875000", \ - "0.0139397000, 0.0147946000, 0.0165406000, 0.0201524000, 0.0273895000, 0.0422600000, 0.0721865000", \ - "0.0138250000, 0.0146774000, 0.0164049000, 0.0199645000, 0.0271885000, 0.0419782000, 0.0720524000", \ - "0.0137477000, 0.0145890000, 0.0163334000, 0.0198769000, 0.0271297000, 0.0418042000, 0.0718651000", \ - "0.0137166000, 0.0145645000, 0.0162627000, 0.0198217000, 0.0269783000, 0.0416839000, 0.0718843000", \ - "0.0134399000, 0.0143007000, 0.0162468000, 0.0198522000, 0.0271237000, 0.0416147000, 0.0716850000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0049490000, 0.0041101000, 0.0023805000, -0.001141600, -0.008315100, -0.022996200, -0.053200800", \ - "0.0049325000, 0.0040985000, 0.0023758000, -0.001152400, -0.008323200, -0.023012400, -0.053215400", \ - "0.0048999000, 0.0040582000, 0.0023641000, -0.001181200, -0.008329500, -0.023001500, -0.053195800", \ - "0.0047109000, 0.0038860000, 0.0021900000, -0.001294900, -0.008421300, -0.023083300, -0.053253700", \ - "0.0048159000, 0.0039808000, 0.0022771000, -0.001312500, -0.008509500, -0.023116600, -0.053278400", \ - "0.0052945000, 0.0044547000, 0.0027770000, -0.000936500, -0.007965800, -0.022816000, -0.053192400", \ - "0.0065045000, 0.0056240000, 0.0038156000, 0.0001433000, -0.007096800, -0.021948700, -0.052514800"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0121848000, 0.0130485000, 0.0148254000, 0.0183818000, 0.0255868000, 0.0403321000, 0.0706061000", \ - "0.0119988000, 0.0128787000, 0.0146283000, 0.0182325000, 0.0254878000, 0.0402561000, 0.0703504000", \ - "0.0118459000, 0.0127146000, 0.0144410000, 0.0180946000, 0.0253310000, 0.0400786000, 0.0700751000", \ - "0.0117233000, 0.0125918000, 0.0143351000, 0.0178689000, 0.0251208000, 0.0399944000, 0.0701364000", \ - "0.0116471000, 0.0125035000, 0.0142421000, 0.0177568000, 0.0249851000, 0.0397038000, 0.0697252000", \ - "0.0116144000, 0.0124521000, 0.0141899000, 0.0176802000, 0.0248877000, 0.0396788000, 0.0695979000", \ - "0.0116168000, 0.0124588000, 0.0141781000, 0.0177481000, 0.0250403000, 0.0396278000, 0.0697780000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0035405000, 0.0026999000, 0.0009887000, -0.002521000, -0.009734700, -0.024520500, -0.054791800", \ - "0.0035960000, 0.0027660000, 0.0010664000, -0.002441000, -0.009630300, -0.024392700, -0.054681800", \ - "0.0037231000, 0.0028992000, 0.0012163000, -0.002252400, -0.009427400, -0.024157900, -0.054433100", \ - "0.0035517000, 0.0027418000, 0.0010676000, -0.002342400, -0.009474500, -0.024172800, -0.054403700", \ - "0.0035890000, 0.0027734000, 0.0010764000, -0.002396200, -0.009642400, -0.024254200, -0.054413200", \ - "0.0039189000, 0.0030894000, 0.0013649000, -0.002328400, -0.009461600, -0.024044800, -0.054349500", \ - "0.0049160000, 0.0040348000, 0.0022218000, -0.001453400, -0.008765600, -0.023496800, -0.053882300"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0102488000, 0.0111227000, 0.0128905000, 0.0164945000, 0.0236898000, 0.0383993000, 0.0683816000", \ - "0.0099952000, 0.0109143000, 0.0126782000, 0.0162778000, 0.0235811000, 0.0383314000, 0.0683017000", \ - "0.0098367000, 0.0106707000, 0.0124505000, 0.0160489000, 0.0233965000, 0.0381883000, 0.0681653000", \ - "0.0096899000, 0.0105463000, 0.0122993000, 0.0158550000, 0.0232022000, 0.0379239000, 0.0680330000", \ - "0.0096005000, 0.0104579000, 0.0121987000, 0.0157381000, 0.0230215000, 0.0378006000, 0.0678023000", \ - "0.0095872000, 0.0104146000, 0.0121582000, 0.0157008000, 0.0229368000, 0.0377579000, 0.0676959000", \ - "0.0100459000, 0.0108626000, 0.0125344000, 0.0160008000, 0.0232046000, 0.0378746000, 0.0679499000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0005212000, -0.000326600, -0.002064300, -0.005617400, -0.012870800, -0.027685300, -0.057986200", \ - "0.0003854000, -0.000438600, -0.002136000, -0.005648800, -0.012842700, -0.027604800, -0.057904100", \ - "0.0001836000, -0.000626300, -0.002284500, -0.005722100, -0.012868400, -0.027572600, -0.057809600", \ - "-9.93000e-05, -0.000870200, -0.002476500, -0.005873200, -0.012953800, -0.027598200, -0.057813000", \ - "-8.21000e-05, -0.000880500, -0.002554200, -0.005953000, -0.013108700, -0.027716100, -0.057851900", \ - "0.0003288000, -0.000512500, -0.002404200, -0.005954600, -0.013108100, -0.027750800, -0.057815600", \ - "0.0016551000, 0.0007570000, -0.001120700, -0.004935500, -0.012344000, -0.027077400, -0.057325200"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0092451000, 0.0101334000, 0.0119257000, 0.0155187000, 0.0227648000, 0.0374684000, 0.0673783000", \ - "0.0089509000, 0.0098345000, 0.0117285000, 0.0152949000, 0.0226748000, 0.0373767000, 0.0674132000", \ - "0.0087862000, 0.0096499000, 0.0113983000, 0.0150541000, 0.0224174000, 0.0372814000, 0.0672215000", \ - "0.0087552000, 0.0095827000, 0.0112793000, 0.0148597000, 0.0221415000, 0.0369441000, 0.0671033000", \ - "0.0088461000, 0.0097336000, 0.0114453000, 0.0148936000, 0.0220631000, 0.0367687000, 0.0671404000", \ - "0.0096227000, 0.0100854000, 0.0117091000, 0.0151192000, 0.0221987000, 0.0367944000, 0.0667377000", \ - "0.0106716000, 0.0114485000, 0.0130661000, 0.0164207000, 0.0233136000, 0.0375978000, 0.0671126000"); - } - } - max_capacitance : 0.0366310000; - max_transition : 1.4862940000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0212823000, 0.0234843000, 0.0278732000, 0.0365224000, 0.0533369000, 0.0859637000, 0.1497100000", \ - "0.0266493000, 0.0287580000, 0.0330124000, 0.0414868000, 0.0581550000, 0.0906175000, 0.1543478000", \ - "0.0390429000, 0.0412777000, 0.0454619000, 0.0534434000, 0.0696001000, 0.1017558000, 0.1653256000", \ - "0.0588975000, 0.0622019000, 0.0684135000, 0.0790917000, 0.0972438000, 0.1286306000, 0.1907604000", \ - "0.0851976000, 0.0900610000, 0.0985518000, 0.1153622000, 0.1427213000, 0.1862105000, 0.2531666000", \ - "0.1130083000, 0.1202760000, 0.1341262000, 0.1588652000, 0.2000950000, 0.2661626000, 0.3689386000", \ - "0.1226007000, 0.1336800000, 0.1547933000, 0.1924077000, 0.2568945000, 0.3621637000, 0.5214107000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1847200000, 0.1996022000, 0.2302879000, 0.2904752000, 0.4136790000, 0.6645016000, 1.1819372000", \ - "0.1878879000, 0.2030379000, 0.2339732000, 0.2954140000, 0.4180537000, 0.6691792000, 1.1812927000", \ - "0.1989508000, 0.2137425000, 0.2436191000, 0.3058041000, 0.4288770000, 0.6808631000, 1.2029691000", \ - "0.2235211000, 0.2383010000, 0.2682171000, 0.3290901000, 0.4539197000, 0.7040352000, 1.2174048000", \ - "0.2737899000, 0.2884173000, 0.3184587000, 0.3787085000, 0.5033303000, 0.7528986000, 1.2657013000", \ - "0.3589292000, 0.3758901000, 0.4107200000, 0.4771417000, 0.6033332000, 0.8546575000, 1.3722028000", \ - "0.4900051000, 0.5124120000, 0.5570517000, 0.6382261000, 0.7888656000, 1.0673695000, 1.5850897000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0207630000, 0.0235664000, 0.0291292000, 0.0402871000, 0.0613882000, 0.1028828000, 0.1840492000", \ - "0.0206008000, 0.0232644000, 0.0286594000, 0.0396696000, 0.0609522000, 0.1024281000, 0.1841818000", \ - "0.0252504000, 0.0272025000, 0.0314851000, 0.0406667000, 0.0606235000, 0.1018597000, 0.1844156000", \ - "0.0396411000, 0.0419485000, 0.0462475000, 0.0540672000, 0.0689655000, 0.1046904000, 0.1839909000", \ - "0.0647039000, 0.0681180000, 0.0747133000, 0.0856562000, 0.1055685000, 0.1347053000, 0.1978310000", \ - "0.1065801000, 0.1124303000, 0.1218484000, 0.1389722000, 0.1668071000, 0.2149118000, 0.2812705000", \ - "0.1832069000, 0.1911439000, 0.2060488000, 0.2337147000, 0.2765342000, 0.3449524000, 0.4455827000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1359170000, 0.1552237000, 0.1946831000, 0.2738837000, 0.4376941000, 0.7733209000, 1.4557837000", \ - "0.1359987000, 0.1553788000, 0.1947506000, 0.2751287000, 0.4383902000, 0.7727586000, 1.4525354000", \ - "0.1368614000, 0.1555785000, 0.1941481000, 0.2744453000, 0.4376826000, 0.7736397000, 1.4579907000", \ - "0.1369654000, 0.1556995000, 0.1941420000, 0.2746756000, 0.4385214000, 0.7703161000, 1.4514481000", \ - "0.1401409000, 0.1581084000, 0.1957535000, 0.2747009000, 0.4386802000, 0.7702323000, 1.4522956000", \ - "0.1660192000, 0.1838254000, 0.2212660000, 0.2951204000, 0.4481477000, 0.7738601000, 1.4576154000", \ - "0.2250565000, 0.2439809000, 0.2837575000, 0.3630928000, 0.5182175000, 0.8249998000, 1.4700795000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0219756000, 0.0241162000, 0.0282910000, 0.0364848000, 0.0522544000, 0.0829617000, 0.1438243000", \ - "0.0271751000, 0.0292312000, 0.0333311000, 0.0413375000, 0.0569317000, 0.0875474000, 0.1482678000", \ - "0.0391178000, 0.0412760000, 0.0453048000, 0.0527453000, 0.0680817000, 0.0985681000, 0.1592889000", \ - "0.0568738000, 0.0599541000, 0.0660293000, 0.0761284000, 0.0941247000, 0.1243690000, 0.1850269000", \ - "0.0776754000, 0.0825250000, 0.0916758000, 0.1080345000, 0.1353112000, 0.1789275000, 0.2442368000", \ - "0.0937662000, 0.1010725000, 0.1148336000, 0.1402461000, 0.1819362000, 0.2503735000, 0.3537707000", \ - "0.0802927000, 0.0919389000, 0.1140292000, 0.1529297000, 0.2207724000, 0.3268159000, 0.4894080000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1730702000, 0.1878031000, 0.2187547000, 0.2790272000, 0.4023048000, 0.6544730000, 1.1744684000", \ - "0.1749528000, 0.1905021000, 0.2204503000, 0.2825207000, 0.4067050000, 0.6588492000, 1.1705699000", \ - "0.1852613000, 0.2003009000, 0.2301761000, 0.2924643000, 0.4157092000, 0.6676407000, 1.1811239000", \ - "0.2107242000, 0.2258753000, 0.2557310000, 0.3166380000, 0.4403007000, 0.6924853000, 1.2090526000", \ - "0.2663138000, 0.2811116000, 0.3110725000, 0.3722297000, 0.4948983000, 0.7464220000, 1.2599082000", \ - "0.3633048000, 0.3815877000, 0.4179208000, 0.4870964000, 0.6154315000, 0.8674876000, 1.3787953000", \ - "0.5225874000, 0.5496246000, 0.6003883000, 0.6931884000, 0.8548106000, 1.1372531000, 1.6552956000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0201536000, 0.0227255000, 0.0277097000, 0.0376435000, 0.0570899000, 0.0960721000, 0.1758050000", \ - "0.0196702000, 0.0221198000, 0.0271489000, 0.0372012000, 0.0568365000, 0.0959606000, 0.1755532000", \ - "0.0236320000, 0.0254214000, 0.0294163000, 0.0380446000, 0.0565366000, 0.0957121000, 0.1752176000", \ - "0.0367760000, 0.0391241000, 0.0434045000, 0.0519419000, 0.0655839000, 0.0990892000, 0.1752644000", \ - "0.0598535000, 0.0631734000, 0.0698094000, 0.0817449000, 0.0997580000, 0.1311222000, 0.1919303000", \ - "0.1012209000, 0.1067407000, 0.1167907000, 0.1329488000, 0.1654638000, 0.2075611000, 0.2766635000", \ - "0.1749777000, 0.1835525000, 0.1992999000, 0.2277764000, 0.2702707000, 0.3416153000, 0.4406554000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1359203000, 0.1549453000, 0.1946697000, 0.2740058000, 0.4376358000, 0.7732493000, 1.4666257000", \ - "0.1361696000, 0.1554146000, 0.1942436000, 0.2746763000, 0.4382373000, 0.7730988000, 1.4562885000", \ - "0.1362172000, 0.1561478000, 0.1956037000, 0.2751581000, 0.4384815000, 0.7722958000, 1.4512166000", \ - "0.1364125000, 0.1555701000, 0.1947248000, 0.2743235000, 0.4376735000, 0.7734419000, 1.4567212000", \ - "0.1426283000, 0.1603590000, 0.1980671000, 0.2755797000, 0.4387952000, 0.7714703000, 1.4519383000", \ - "0.1778651000, 0.1957145000, 0.2325984000, 0.3020062000, 0.4530511000, 0.7743556000, 1.4525313000", \ - "0.2639897000, 0.2822733000, 0.3197553000, 0.3961242000, 0.5455561000, 0.8331036000, 1.4742604000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0224397000, 0.0243324000, 0.0280258000, 0.0354120000, 0.0500532000, 0.0794116000, 0.1389092000", \ - "0.0272887000, 0.0291664000, 0.0328565000, 0.0401676000, 0.0548114000, 0.0842154000, 0.1436600000", \ - "0.0381903000, 0.0403324000, 0.0442959000, 0.0515839000, 0.0659254000, 0.0953372000, 0.1547511000", \ - "0.0530838000, 0.0564647000, 0.0626311000, 0.0734947000, 0.0910741000, 0.1210523000, 0.1803969000", \ - "0.0687386000, 0.0739253000, 0.0836562000, 0.1008451000, 0.1292508000, 0.1736066000, 0.2411073000", \ - "0.0747862000, 0.0828898000, 0.0980596000, 0.1262407000, 0.1715829000, 0.2397811000, 0.3453614000", \ - "0.0459568000, 0.0590248000, 0.0834173000, 0.1265507000, 0.1985104000, 0.3091157000, 0.4749944000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1433813000, 0.1585324000, 0.1884837000, 0.2501398000, 0.3740720000, 0.6236380000, 1.1350662000", \ - "0.1443134000, 0.1599851000, 0.1900199000, 0.2519273000, 0.3763733000, 0.6324293000, 1.1393744000", \ - "0.1535532000, 0.1680074000, 0.1983770000, 0.2599530000, 0.3847517000, 0.6405465000, 1.1497204000", \ - "0.1779417000, 0.1923761000, 0.2228940000, 0.2844233000, 0.4102364000, 0.6600023000, 1.1740220000", \ - "0.2343332000, 0.2499545000, 0.2804208000, 0.3415210000, 0.4661353000, 0.7165806000, 1.2291163000", \ - "0.3338594000, 0.3549855000, 0.3951234000, 0.4693723000, 0.6007331000, 0.8520349000, 1.3667651000", \ - "0.5005567000, 0.5321879000, 0.5914930000, 0.6952653000, 0.8710038000, 1.1676040000, 1.6840942000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0169824000, 0.0192405000, 0.0237494000, 0.0329892000, 0.0519012000, 0.0902017000, 0.1694073000", \ - "0.0166974000, 0.0189479000, 0.0235484000, 0.0328492000, 0.0517856000, 0.0901972000, 0.1691870000", \ - "0.0207838000, 0.0224628000, 0.0260790000, 0.0343354000, 0.0520386000, 0.0901936000, 0.1691981000", \ - "0.0330604000, 0.0354796000, 0.0399349000, 0.0482197000, 0.0621188000, 0.0943873000, 0.1687799000", \ - "0.0548224000, 0.0585900000, 0.0657081000, 0.0772059000, 0.0963999000, 0.1285713000, 0.1869701000", \ - "0.0958434000, 0.1015715000, 0.1120935000, 0.1293489000, 0.1590652000, 0.2055605000, 0.2739545000", \ - "0.1679885000, 0.1772578000, 0.1937140000, 0.2224413000, 0.2667224000, 0.3382686000, 0.4399514000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1360922000, 0.1551485000, 0.1941492000, 0.2747421000, 0.4383641000, 0.7719844000, 1.4510000000", \ - "0.1356780000, 0.1554770000, 0.1942201000, 0.2742369000, 0.4382031000, 0.7729953000, 1.4550843000", \ - "0.1362572000, 0.1547840000, 0.1942124000, 0.2741087000, 0.4382508000, 0.7728392000, 1.4559206000", \ - "0.1357204000, 0.1547927000, 0.1940851000, 0.2746516000, 0.4407319000, 0.7703586000, 1.4518986000", \ - "0.1470584000, 0.1645234000, 0.2007092000, 0.2777870000, 0.4393268000, 0.7721175000, 1.4538620000", \ - "0.1958232000, 0.2133624000, 0.2491863000, 0.3161797000, 0.4583426000, 0.7767802000, 1.4528329000", \ - "0.2933375000, 0.3148246000, 0.3568567000, 0.4332570000, 0.5791093000, 0.8556169000, 1.4750566000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0188271000, 0.0206541000, 0.0243014000, 0.0316186000, 0.0463311000, 0.0763285000, 0.1373366000", \ - "0.0236101000, 0.0254682000, 0.0290591000, 0.0364206000, 0.0512959000, 0.0812439000, 0.1422077000", \ - "0.0328943000, 0.0354679000, 0.0400778000, 0.0479081000, 0.0627537000, 0.0925465000, 0.1537874000", \ - "0.0443728000, 0.0483836000, 0.0556682000, 0.0681012000, 0.0881235000, 0.1191564000, 0.1802909000", \ - "0.0551252000, 0.0613511000, 0.0730135000, 0.0926691000, 0.1242690000, 0.1716214000, 0.2414118000", \ - "0.0551735000, 0.0651094000, 0.0840851000, 0.1153661000, 0.1655855000, 0.2406581000, 0.3476230000", \ - "0.0175201000, 0.0340024000, 0.0638317000, 0.1144138000, 0.1943232000, 0.3141229000, 0.4858466000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0903391000, 0.1056903000, 0.1362071000, 0.1974330000, 0.3211541000, 0.5720612000, 1.0844540000", \ - "0.0901059000, 0.1050222000, 0.1370071000, 0.1984101000, 0.3247785000, 0.5747719000, 1.0867576000", \ - "0.0983467000, 0.1130281000, 0.1431094000, 0.2046621000, 0.3297016000, 0.5827376000, 1.0968489000", \ - "0.1238673000, 0.1373701000, 0.1657784000, 0.2261639000, 0.3509734000, 0.6027804000, 1.1166690000", \ - "0.1847425000, 0.1998574000, 0.2285653000, 0.2838802000, 0.4065415000, 0.6569106000, 1.1744924000", \ - "0.2795951000, 0.3011321000, 0.3424288000, 0.4175821000, 0.5453300000, 0.7918366000, 1.3017210000", \ - "0.4347989000, 0.4657564000, 0.5258790000, 0.6333455000, 0.8142279000, 1.1118314000, 1.6121059000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0129235000, 0.0151465000, 0.0199610000, 0.0293294000, 0.0491618000, 0.0893417000, 0.1706883000", \ - "0.0131855000, 0.0153364000, 0.0199276000, 0.0296028000, 0.0486574000, 0.0889930000, 0.1713389000", \ - "0.0188729000, 0.0206013000, 0.0238309000, 0.0316394000, 0.0494134000, 0.0884582000, 0.1712729000", \ - "0.0308751000, 0.0333286000, 0.0381233000, 0.0463886000, 0.0606838000, 0.0934619000, 0.1699213000", \ - "0.0523688000, 0.0565030000, 0.0635170000, 0.0763649000, 0.0956798000, 0.1272604000, 0.1878242000", \ - "0.0931540000, 0.0987046000, 0.1109001000, 0.1273295000, 0.1572639000, 0.2036202000, 0.2767707000", \ - "0.1689100000, 0.1797050000, 0.1945839000, 0.2226183000, 0.2672972000, 0.3369947000, 0.4423309000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1311981000, 0.1515316000, 0.1921048000, 0.2733487000, 0.4376697000, 0.7726835000, 1.4512873000", \ - "0.1297504000, 0.1504814000, 0.1922167000, 0.2730611000, 0.4388007000, 0.7707638000, 1.4517202000", \ - "0.1270757000, 0.1483907000, 0.1901530000, 0.2732212000, 0.4383436000, 0.7725835000, 1.4505380000", \ - "0.1264069000, 0.1455190000, 0.1860642000, 0.2710657000, 0.4381435000, 0.7699137000, 1.4508219000", \ - "0.1490536000, 0.1651557000, 0.1997201000, 0.2727860000, 0.4341423000, 0.7707072000, 1.4625751000", \ - "0.1963986000, 0.2166108000, 0.2573342000, 0.3285849000, 0.4654751000, 0.7745740000, 1.4522370000", \ - "0.2792530000, 0.3078553000, 0.3568472000, 0.4506795000, 0.6066595000, 0.8840420000, 1.4862936000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor4_2") { - leakage_power () { - value : 9.0724479e-05; - when : "A&B&C&D"; - } - leakage_power () { - value : 0.0001408000; - when : "A&B&C&!D"; - } - leakage_power () { - value : 0.0036845000; - when : "!A&!B&!C&D"; - } - leakage_power () { - value : 0.0030832000; - when : "!A&!B&!C&!D"; - } - leakage_power () { - value : 0.0002442000; - when : "!A&!B&C&D"; - } - leakage_power () { - value : 0.0022266000; - when : "!A&!B&C&!D"; - } - leakage_power () { - value : 0.0002444000; - when : "!A&B&!C&D"; - } - leakage_power () { - value : 0.0021358000; - when : "!A&B&!C&!D"; - } - leakage_power () { - value : 0.0001313000; - when : "!A&B&C&D"; - } - leakage_power () { - value : 0.0002461000; - when : "!A&B&C&!D"; - } - leakage_power () { - value : 0.0003365000; - when : "A&!B&!C&D"; - } - leakage_power () { - value : 0.0021041000; - when : "A&!B&!C&!D"; - } - leakage_power () { - value : 0.0001299000; - when : "A&!B&C&D"; - } - leakage_power () { - value : 0.0002487000; - when : "A&!B&C&!D"; - } - leakage_power () { - value : 0.0001265000; - when : "A&B&!C&D"; - } - leakage_power () { - value : 0.0002583000; - when : "A&B&!C&!D"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__nor4"; - cell_leakage_power : 0.0009644675; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0043590000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0074710000, 0.0074665000, 0.0074563000, 0.0074596000, 0.0074672000, 0.0074847000, 0.0075251000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006628400, -0.006705100, -0.006881800, -0.006916400, -0.006996200, -0.007180000, -0.007603800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045830000; - } - pin ("B") { - capacitance : 0.0043070000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040060000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091253000, 0.0091242000, 0.0091217000, 0.0091198000, 0.0091154000, 0.0091052000, 0.0090817000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006762800, -0.006810900, -0.006921600, -0.006954900, -0.007031600, -0.007208600, -0.007616500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046080000; - } - pin ("C") { - capacitance : 0.0043000000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039620000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082417000, 0.0082398000, 0.0082354000, 0.0082401000, 0.0082509000, 0.0082758000, 0.0083332000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006909900, -0.007055400, -0.007390800, -0.007408100, -0.007447900, -0.007539800, -0.007751500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046380000; - } - pin ("D") { - capacitance : 0.0042970000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039260000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044731000, 0.0044659000, 0.0044493000, 0.0044699000, 0.0045173000, 0.0046267000, 0.0048787000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003418300, -0.003418300, -0.003418300, -0.003416900, -0.003413600, -0.003406000, -0.003388400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046680000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&!C&!D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900"); - values("0.0130284000, 0.0120197000, 0.0097689000, 0.0047162000, -0.006651100, -0.032129900, -0.089100700", \ - "0.0128438000, 0.0118516000, 0.0095977000, 0.0045344000, -0.006823500, -0.032264500, -0.089255300", \ - "0.0127313000, 0.0117024000, 0.0094803000, 0.0044354000, -0.006966000, -0.032382400, -0.089363200", \ - "0.0124401000, 0.0114312000, 0.0091964000, 0.0041980000, -0.007210900, -0.032632400, -0.089601900", \ - "0.0121480000, 0.0111551000, 0.0089241000, 0.0039681000, -0.007296700, -0.032704600, -0.089605500", \ - "0.0137727000, 0.0127434000, 0.0104460000, 0.0052922000, -0.006110300, -0.032021800, -0.089372000", \ - "0.0166367000, 0.0155872000, 0.0132526000, 0.0081951000, -0.003491200, -0.029575700, -0.087639900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900"); - values("0.0287875000, 0.0298175000, 0.0321801000, 0.0372424000, 0.0486435000, 0.0740613000, 0.1307851000", \ - "0.0284711000, 0.0294753000, 0.0318289000, 0.0369529000, 0.0484236000, 0.0739263000, 0.1309251000", \ - "0.0281256000, 0.0291841000, 0.0314566000, 0.0366394000, 0.0481049000, 0.0738271000, 0.1311391000", \ - "0.0279422000, 0.0289971000, 0.0312730000, 0.0363411000, 0.0477663000, 0.0733794000, 0.1304999000", \ - "0.0277532000, 0.0287609000, 0.0310206000, 0.0361411000, 0.0475595000, 0.0729280000, 0.1301431000", \ - "0.0277163000, 0.0287145000, 0.0309642000, 0.0359990000, 0.0473639000, 0.0729720000, 0.1298406000", \ - "0.0273679000, 0.0285756000, 0.0308981000, 0.0359837000, 0.0475017000, 0.0725922000, 0.1298778000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900"); - values("0.0100157000, 0.0090120000, 0.0067623000, 0.0017005000, -0.009642100, -0.034994000, -0.092241800", \ - "0.0100179000, 0.0089974000, 0.0067469000, 0.0016838000, -0.009640200, -0.035004000, -0.092255300", \ - "0.0099416000, 0.0089481000, 0.0067072000, 0.0016771000, -0.009654100, -0.035013800, -0.092224500", \ - "0.0096152000, 0.0086280000, 0.0064091000, 0.0014305000, -0.009815700, -0.035097000, -0.092317100", \ - "0.0096692000, 0.0086541000, 0.0063838000, 0.0012875000, -0.009992500, -0.035220400, -0.092354100", \ - "0.0106974000, 0.0097364000, 0.0074863000, 0.0024766000, -0.008984500, -0.034708800, -0.092177800", \ - "0.0133206000, 0.0122639000, 0.0098848000, 0.0045972000, -0.006938000, -0.032910600, -0.090760800"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900"); - values("0.0225975000, 0.0236289000, 0.0259982000, 0.0310818000, 0.0425042000, 0.0679458000, 0.1255043000", \ - "0.0222309000, 0.0232462000, 0.0256109000, 0.0307767000, 0.0422472000, 0.0677990000, 0.1247980000", \ - "0.0218476000, 0.0228957000, 0.0252143000, 0.0304014000, 0.0419548000, 0.0675139000, 0.1245685000", \ - "0.0216468000, 0.0227051000, 0.0249418000, 0.0300889000, 0.0415880000, 0.0671324000, 0.1242576000", \ - "0.0214618000, 0.0224808000, 0.0247184000, 0.0298311000, 0.0413122000, 0.0666748000, 0.1241631000", \ - "0.0213697000, 0.0223914000, 0.0246852000, 0.0297651000, 0.0410355000, 0.0666059000, 0.1235866000", \ - "0.0215558000, 0.0225502000, 0.0248001000, 0.0298838000, 0.0415381000, 0.0666328000, 0.1238652000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900"); - values("0.0076335000, 0.0066295000, 0.0043907000, -0.000642900, -0.011968600, -0.037469700, -0.094917300", \ - "0.0076962000, 0.0067027000, 0.0044743000, -0.000529300, -0.011802300, -0.037296100, -0.094746400", \ - "0.0078558000, 0.0068779000, 0.0046771000, -0.000297300, -0.011508600, -0.036949500, -0.094312000", \ - "0.0075835000, 0.0065956000, 0.0044278000, -0.000492800, -0.011607500, -0.036976800, -0.094312900", \ - "0.0076475000, 0.0066783000, 0.0044629000, -0.000505900, -0.011917600, -0.037148100, -0.094338700", \ - "0.0082141000, 0.0072108000, 0.0049761000, 2.320000e-05, -0.011423300, -0.036887200, -0.094332600", \ - "0.0104270000, 0.0093551000, 0.0070069000, 0.0017269000, -0.010031900, -0.035761600, -0.093437300"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900"); - values("0.0191213000, 0.0201547000, 0.0224737000, 0.0276574000, 0.0391815000, 0.0645562000, 0.1218996000", \ - "0.0187518000, 0.0197560000, 0.0221517000, 0.0272985000, 0.0388998000, 0.0643722000, 0.1216919000", \ - "0.0184150000, 0.0194114000, 0.0217778000, 0.0269027000, 0.0384162000, 0.0640884000, 0.1211389000", \ - "0.0181649000, 0.0191547000, 0.0215042000, 0.0266030000, 0.0380941000, 0.0636854000, 0.1208614000", \ - "0.0179073000, 0.0189464000, 0.0211997000, 0.0263199000, 0.0376820000, 0.0632214000, 0.1203851000", \ - "0.0179127000, 0.0188916000, 0.0211581000, 0.0261939000, 0.0376541000, 0.0632177000, 0.1204888000", \ - "0.0184885000, 0.0194785000, 0.0216711000, 0.0266334000, 0.0379242000, 0.0631364000, 0.1205011000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900"); - values("0.0006149000, -0.000395700, -0.002676900, -0.007831600, -0.019354800, -0.045065300, -0.102640000", \ - "0.0003663000, -0.000628500, -0.002856700, -0.007898900, -0.019319200, -0.044916200, -0.102440000", \ - "-2.33000e-05, -0.000974600, -0.003155200, -0.008103100, -0.019365600, -0.044817000, -0.102252300", \ - "-0.000520400, -0.001401200, -0.003675800, -0.008466000, -0.019523400, -0.044841300, -0.102153600", \ - "-0.000576100, -0.001515600, -0.003656900, -0.008527500, -0.019618400, -0.045002400, -0.102195300", \ - "0.0001152000, -0.000874000, -0.003106700, -0.008411900, -0.019409000, -0.044749600, -0.102202300", \ - "0.0031988000, 0.0021786000, -0.000953500, -0.006409500, -0.018246400, -0.043900900, -0.101580900"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011227450, 0.0025211130, 0.0056611350, 0.0127120200, 0.0285447200, 0.0640968900"); - values("0.0178812000, 0.0189363000, 0.0213264000, 0.0266082000, 0.0380634000, 0.0637161000, 0.1208378000", \ - "0.0173390000, 0.0184400000, 0.0208636000, 0.0261402000, 0.0377266000, 0.0633700000, 0.1203746000", \ - "0.0170459000, 0.0181134000, 0.0204686000, 0.0256198000, 0.0373986000, 0.0630041000, 0.1202045000", \ - "0.0167815000, 0.0178156000, 0.0200932000, 0.0252549000, 0.0367066000, 0.0624425000, 0.1201492000", \ - "0.0169691000, 0.0179690000, 0.0201852000, 0.0252186000, 0.0365658000, 0.0620517000, 0.1195766000", \ - "0.0181963000, 0.0191985000, 0.0213997000, 0.0263195000, 0.0373943000, 0.0620773000, 0.1191686000", \ - "0.0205777000, 0.0215047000, 0.0235862000, 0.0283932000, 0.0392594000, 0.0640179000, 0.1198470000"); - } - } - max_capacitance : 0.0640970000; - max_transition : 1.4876160000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.0187713000, 0.0200900000, 0.0229711000, 0.0292571000, 0.0427578000, 0.0713906000, 0.1322000000", \ - "0.0242278000, 0.0254706000, 0.0282438000, 0.0343376000, 0.0476410000, 0.0760561000, 0.1367284000", \ - "0.0363310000, 0.0377925000, 0.0408142000, 0.0468365000, 0.0595051000, 0.0871717000, 0.1475017000", \ - "0.0542579000, 0.0564017000, 0.0609028000, 0.0697844000, 0.0857490000, 0.1143040000, 0.1737668000", \ - "0.0779258000, 0.0810440000, 0.0875971000, 0.1004099000, 0.1249966000, 0.1668213000, 0.2351737000", \ - "0.1005380000, 0.1052627000, 0.1152750000, 0.1350978000, 0.1713770000, 0.2362926000, 0.3406248000", \ - "0.1014119000, 0.1084063000, 0.1232528000, 0.1537416000, 0.2097768000, 0.3108372000, 0.4734844000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.2021592000, 0.2121610000, 0.2351810000, 0.2845141000, 0.3947034000, 0.6401782000, 1.1896456000", \ - "0.2050959000, 0.2153341000, 0.2379860000, 0.2879163000, 0.3988190000, 0.6451023000, 1.1950138000", \ - "0.2157930000, 0.2262210000, 0.2487763000, 0.2991486000, 0.4106315000, 0.6570174000, 1.2086372000", \ - "0.2420663000, 0.2525829000, 0.2748867000, 0.3246607000, 0.4346401000, 0.6815490000, 1.2336163000", \ - "0.2957066000, 0.3060008000, 0.3284738000, 0.3779119000, 0.4887682000, 0.7336964000, 1.2851961000", \ - "0.3877532000, 0.4000050000, 0.4246726000, 0.4793793000, 0.5930212000, 0.8400822000, 1.3896378000", \ - "0.5328565000, 0.5489976000, 0.5797829000, 0.6458979000, 0.7802328000, 1.0533104000, 1.6110900000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.0182040000, 0.0199483000, 0.0238064000, 0.0320937000, 0.0495666000, 0.0867199000, 0.1658401000", \ - "0.0186333000, 0.0201621000, 0.0236441000, 0.0316034000, 0.0492148000, 0.0861039000, 0.1651121000", \ - "0.0245480000, 0.0255077000, 0.0279948000, 0.0343272000, 0.0496870000, 0.0855214000, 0.1650973000", \ - "0.0385216000, 0.0400451000, 0.0431988000, 0.0495000000, 0.0613917000, 0.0903246000, 0.1645469000", \ - "0.0631187000, 0.0652830000, 0.0697092000, 0.0788308000, 0.0956127000, 0.1242121000, 0.1829912000", \ - "0.1047386000, 0.1079451000, 0.1150845000, 0.1290019000, 0.1540257000, 0.1964730000, 0.2669154000", \ - "0.1787154000, 0.1842280000, 0.1957056000, 0.2174704000, 0.2566652000, 0.3201756000, 0.4228498000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.1377540000, 0.1511649000, 0.1801583000, 0.2454214000, 0.3921349000, 0.7213515000, 1.4663045000", \ - "0.1377585000, 0.1511589000, 0.1802224000, 0.2454536000, 0.3922334000, 0.7210312000, 1.4586964000", \ - "0.1383394000, 0.1507140000, 0.1799706000, 0.2460100000, 0.3929798000, 0.7224180000, 1.4637810000", \ - "0.1379630000, 0.1509495000, 0.1802046000, 0.2454580000, 0.3920489000, 0.7218117000, 1.4621455000", \ - "0.1398204000, 0.1527884000, 0.1812334000, 0.2456763000, 0.3927944000, 0.7253197000, 1.4576160000", \ - "0.1628577000, 0.1754280000, 0.2034959000, 0.2652080000, 0.4030000000, 0.7252889000, 1.4585184000", \ - "0.2149677000, 0.2288669000, 0.2576873000, 0.3230915000, 0.4660621000, 0.7710041000, 1.4758222000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.0205020000, 0.0218853000, 0.0249181000, 0.0313845000, 0.0449763000, 0.0734497000, 0.1342683000", \ - "0.0259000000, 0.0272146000, 0.0301221000, 0.0364345000, 0.0497432000, 0.0781231000, 0.1389796000", \ - "0.0379640000, 0.0394073000, 0.0424105000, 0.0484528000, 0.0613532000, 0.0891981000, 0.1499283000", \ - "0.0557492000, 0.0578314000, 0.0622166000, 0.0710625000, 0.0872769000, 0.1156249000, 0.1755679000", \ - "0.0769549000, 0.0800970000, 0.0867486000, 0.1001722000, 0.1246736000, 0.1674124000, 0.2366232000", \ - "0.0940972000, 0.0988874000, 0.1089853000, 0.1286616000, 0.1679591000, 0.2343822000, 0.3413634000", \ - "0.0837898000, 0.0912886000, 0.1071710000, 0.1388587000, 0.1986557000, 0.3032246000, 0.4711492000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.1856914000, 0.1956623000, 0.2188012000, 0.2682820000, 0.3786415000, 0.6242029000, 1.1805115000", \ - "0.1872077000, 0.1974765000, 0.2203062000, 0.2705217000, 0.3816650000, 0.6280952000, 1.1785686000", \ - "0.1961708000, 0.2070160000, 0.2291097000, 0.2801662000, 0.3910944000, 0.6383251000, 1.1900632000", \ - "0.2206270000, 0.2310880000, 0.2532929000, 0.3035385000, 0.4137592000, 0.6610622000, 1.2139987000", \ - "0.2724156000, 0.2828647000, 0.3055573000, 0.3553229000, 0.4658849000, 0.7142763000, 1.2637343000", \ - "0.3610418000, 0.3731640000, 0.4004991000, 0.4574758000, 0.5758299000, 0.8222859000, 1.3731029000", \ - "0.5063613000, 0.5229732000, 0.5596443000, 0.6372055000, 0.7840609000, 1.0646799000, 1.6258637000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.0193990000, 0.0211193000, 0.0247596000, 0.0325912000, 0.0492847000, 0.0852555000, 0.1650886000", \ - "0.0191599000, 0.0207267000, 0.0241798000, 0.0319687000, 0.0488397000, 0.0849134000, 0.1647702000", \ - "0.0237732000, 0.0247912000, 0.0273543000, 0.0336639000, 0.0487530000, 0.0845155000, 0.1646243000", \ - "0.0367554000, 0.0383124000, 0.0415527000, 0.0475787000, 0.0594834000, 0.0889983000, 0.1642646000", \ - "0.0591660000, 0.0614879000, 0.0661688000, 0.0762613000, 0.0921304000, 0.1230434000, 0.1821868000", \ - "0.0991136000, 0.1023327000, 0.1096919000, 0.1243890000, 0.1503944000, 0.1933836000, 0.2670345000", \ - "0.1711792000, 0.1768957000, 0.1884156000, 0.2117673000, 0.2516994000, 0.3179647000, 0.4275300000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.1377950000, 0.1511312000, 0.1801559000, 0.2454065000, 0.3920954000, 0.7216397000, 1.4705931000", \ - "0.1377130000, 0.1511071000, 0.1801956000, 0.2453330000, 0.3919896000, 0.7222701000, 1.4617636000", \ - "0.1382779000, 0.1513673000, 0.1798752000, 0.2459108000, 0.3930725000, 0.7216129000, 1.4591206000", \ - "0.1380029000, 0.1509413000, 0.1800626000, 0.2459331000, 0.3932014000, 0.7216006000, 1.4588118000", \ - "0.1439691000, 0.1565999000, 0.1836523000, 0.2483049000, 0.3936408000, 0.7231271000, 1.4612626000", \ - "0.1762492000, 0.1892497000, 0.2174323000, 0.2770474000, 0.4104662000, 0.7261110000, 1.4612552000", \ - "0.2529504000, 0.2657997000, 0.2944827000, 0.3596138000, 0.4964409000, 0.7882297000, 1.4812508000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.0216120000, 0.0228902000, 0.0255837000, 0.0314185000, 0.0438818000, 0.0707258000, 0.1296757000", \ - "0.0265603000, 0.0277878000, 0.0304701000, 0.0362375000, 0.0485719000, 0.0753766000, 0.1344037000", \ - "0.0375941000, 0.0390060000, 0.0419489000, 0.0477379000, 0.0599892000, 0.0865145000, 0.1455928000", \ - "0.0527664000, 0.0548507000, 0.0593239000, 0.0683520000, 0.0847373000, 0.1129640000, 0.1712950000", \ - "0.0688405000, 0.0722905000, 0.0794599000, 0.0933890000, 0.1188772000, 0.1624653000, 0.2322647000", \ - "0.0772723000, 0.0825109000, 0.0936068000, 0.1146846000, 0.1560001000, 0.2248066000, 0.3342603000", \ - "0.0512867000, 0.0596190000, 0.0771141000, 0.1117583000, 0.1762825000, 0.2857898000, 0.4588651000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.1514140000, 0.1617506000, 0.1841764000, 0.2351577000, 0.3455518000, 0.5911918000, 1.1415748000", \ - "0.1527708000, 0.1629572000, 0.1860523000, 0.2360069000, 0.3476505000, 0.5943790000, 1.1465996000", \ - "0.1615051000, 0.1717103000, 0.1944209000, 0.2442186000, 0.3556051000, 0.6029989000, 1.1555557000", \ - "0.1862479000, 0.1965148000, 0.2189169000, 0.2688501000, 0.3795274000, 0.6260668000, 1.1784441000", \ - "0.2402174000, 0.2508022000, 0.2735293000, 0.3233146000, 0.4343078000, 0.6803584000, 1.2319756000", \ - "0.3344003000, 0.3485386000, 0.3786665000, 0.4402838000, 0.5633601000, 0.8108374000, 1.3668833000", \ - "0.4856856000, 0.5065109000, 0.5517989000, 0.6402815000, 0.8063080000, 1.1029881000, 1.6666297000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.0169181000, 0.0183546000, 0.0214442000, 0.0283262000, 0.0438264000, 0.0786185000, 0.1570244000", \ - "0.0165705000, 0.0179177000, 0.0210230000, 0.0280774000, 0.0436480000, 0.0784455000, 0.1570508000", \ - "0.0206743000, 0.0216923000, 0.0240572000, 0.0298291000, 0.0440414000, 0.0784080000, 0.1566564000", \ - "0.0326995000, 0.0340038000, 0.0370449000, 0.0435538000, 0.0553304000, 0.0834811000, 0.1569914000", \ - "0.0533300000, 0.0555703000, 0.0603298000, 0.0703329000, 0.0873846000, 0.1188899000, 0.1764350000", \ - "0.0912437000, 0.0951218000, 0.1030981000, 0.1182261000, 0.1442502000, 0.1886292000, 0.2621644000", \ - "0.1622568000, 0.1682467000, 0.1805942000, 0.2041181000, 0.2468874000, 0.3134864000, 0.4211128000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.1374286000, 0.1511236000, 0.1796196000, 0.2451614000, 0.3931216000, 0.7215971000, 1.4639783000", \ - "0.1375069000, 0.1510421000, 0.1801442000, 0.2453879000, 0.3932103000, 0.7215713000, 1.4641449000", \ - "0.1374815000, 0.1511065000, 0.1801194000, 0.2452506000, 0.3919482000, 0.7221174000, 1.4591633000", \ - "0.1373100000, 0.1509710000, 0.1800423000, 0.2460734000, 0.3930876000, 0.7218736000, 1.4611710000", \ - "0.1471619000, 0.1598649000, 0.1868355000, 0.2491729000, 0.3929941000, 0.7212250000, 1.4603294000", \ - "0.1911271000, 0.2042599000, 0.2322105000, 0.2898376000, 0.4188097000, 0.7279390000, 1.4661065000", \ - "0.2869661000, 0.3017702000, 0.3329722000, 0.4009302000, 0.5366951000, 0.8121874000, 1.4830173000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.0169959000, 0.0181058000, 0.0205276000, 0.0257937000, 0.0373433000, 0.0630078000, 0.1203365000", \ - "0.0217372000, 0.0228528000, 0.0253060000, 0.0305594000, 0.0422276000, 0.0679012000, 0.1252925000", \ - "0.0300306000, 0.0317050000, 0.0351282000, 0.0416667000, 0.0535804000, 0.0793001000, 0.1364757000", \ - "0.0396244000, 0.0422153000, 0.0479001000, 0.0582509000, 0.0761745000, 0.1057016000, 0.1630036000", \ - "0.0472996000, 0.0515440000, 0.0601235000, 0.0766317000, 0.1053158000, 0.1516068000, 0.2235958000", \ - "0.0407721000, 0.0475951000, 0.0616035000, 0.0880176000, 0.1330436000, 0.2076655000, 0.3200743000", \ - "-0.009724200, 0.0008977000, 0.0228811000, 0.0659229000, 0.1400355000, 0.2590225000, 0.4370896000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.0804117000, 0.0904259000, 0.1132455000, 0.1638580000, 0.2760722000, 0.5216027000, 1.0728735000", \ - "0.0806463000, 0.0904732000, 0.1138423000, 0.1641829000, 0.2763835000, 0.5238729000, 1.0759535000", \ - "0.0898037000, 0.0991102000, 0.1208590000, 0.1705456000, 0.2838461000, 0.5314615000, 1.0838892000", \ - "0.1169143000, 0.1252247000, 0.1453078000, 0.1934513000, 0.3040330000, 0.5540701000, 1.1056482000", \ - "0.1792698000, 0.1890038000, 0.2110261000, 0.2552701000, 0.3620110000, 0.6088967000, 1.1719743000", \ - "0.2767812000, 0.2923296000, 0.3247462000, 0.3883180000, 0.5075718000, 0.7424387000, 1.2969893000", \ - "0.4403280000, 0.4620364000, 0.5073551000, 0.5990093000, 0.7709046000, 1.0725857000, 1.6123999000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.0109336000, 0.0122294000, 0.0152554000, 0.0220382000, 0.0373313000, 0.0716860000, 0.1493370000", \ - "0.0115174000, 0.0127082000, 0.0154696000, 0.0220214000, 0.0370662000, 0.0710881000, 0.1476030000", \ - "0.0174120000, 0.0186324000, 0.0208857000, 0.0256597000, 0.0387168000, 0.0711522000, 0.1477194000", \ - "0.0286262000, 0.0307237000, 0.0335920000, 0.0401526000, 0.0532302000, 0.0784603000, 0.1496304000", \ - "0.0492103000, 0.0510806000, 0.0563373000, 0.0664338000, 0.0850067000, 0.1146736000, 0.1697201000", \ - "0.0865155000, 0.0905923000, 0.0995723000, 0.1156436000, 0.1414324000, 0.1860490000, 0.2580700000", \ - "0.1581574000, 0.1660289000, 0.1798481000, 0.2021549000, 0.2429249000, 0.3107040000, 0.4144504000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011227500, 0.0025211100, 0.0056611300, 0.0127120000, 0.0285447000, 0.0640969000"); - values("0.1280252000, 0.1418451000, 0.1737747000, 0.2431621000, 0.3923805000, 0.7227355000, 1.4632247000", \ - "0.1259774000, 0.1401429000, 0.1724934000, 0.2422378000, 0.3923987000, 0.7216677000, 1.4588630000", \ - "0.1227664000, 0.1376401000, 0.1696940000, 0.2406568000, 0.3926013000, 0.7211817000, 1.4614154000", \ - "0.1219119000, 0.1349427000, 0.1654521000, 0.2359255000, 0.3902280000, 0.7221867000, 1.4617676000", \ - "0.1454235000, 0.1568292000, 0.1827375000, 0.2423129000, 0.3876195000, 0.7203104000, 1.4687728000", \ - "0.1874968000, 0.2026039000, 0.2343778000, 0.3018862000, 0.4231266000, 0.7254627000, 1.4648500000", \ - "0.2683465000, 0.2865665000, 0.3270896000, 0.4068787000, 0.5633975000, 0.8439540000, 1.4876161000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor4_4") { - leakage_power () { - value : 0.0041413000; - when : "!A&!B&!C&D"; - } - leakage_power () { - value : 0.0089600000; - when : "!A&!B&!C&!D"; - } - leakage_power () { - value : 0.0002945000; - when : "!A&!B&C&D"; - } - leakage_power () { - value : 0.0026775000; - when : "!A&!B&C&!D"; - } - leakage_power () { - value : 0.0002967000; - when : "!A&B&!C&D"; - } - leakage_power () { - value : 0.0025928000; - when : "!A&B&!C&!D"; - } - leakage_power () { - value : 0.0001617000; - when : "!A&B&C&D"; - } - leakage_power () { - value : 0.0003091000; - when : "!A&B&C&!D"; - } - leakage_power () { - value : 0.0002871000; - when : "A&!B&!C&D"; - } - leakage_power () { - value : 0.0024317000; - when : "A&!B&!C&!D"; - } - leakage_power () { - value : 0.0001565000; - when : "A&!B&C&D"; - } - leakage_power () { - value : 0.0002989000; - when : "A&!B&C&!D"; - } - leakage_power () { - value : 0.0001561000; - when : "A&B&!C&D"; - } - leakage_power () { - value : 0.0003195000; - when : "A&B&!C&!D"; - } - leakage_power () { - value : 0.0169857000; - when : "A&B&C&D"; - } - leakage_power () { - value : 0.0001781000; - when : "A&B&C&!D"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__nor4"; - cell_leakage_power : 0.0025154460; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0086290000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081520000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0139736000, 0.0139653000, 0.0139464000, 0.0139425000, 0.0139336000, 0.0139130000, 0.0138654000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013126100, -0.013268400, -0.013596500, -0.013663900, -0.013819400, -0.014177600, -0.015003400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091060000; - } - pin ("B") { - capacitance : 0.0085660000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0079620000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0205394000, 0.0205346000, 0.0205236000, 0.0205256000, 0.0205301000, 0.0205404000, 0.0205641000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013206000, -0.013288200, -0.013477800, -0.013550800, -0.013719100, -0.014107000, -0.015001200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091700000; - } - pin ("C") { - capacitance : 0.0083640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0076970000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0165717000, 0.0165649000, 0.0165492000, 0.0165512000, 0.0165559000, 0.0165667000, 0.0165917000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013817000, -0.014080300, -0.014687200, -0.014716200, -0.014782900, -0.014936700, -0.015291100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090310000; - } - pin ("D") { - capacitance : 0.0085430000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0077880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0071704000, 0.0071577000, 0.0071283000, 0.0071537000, 0.0072123000, 0.0073472000, 0.0076583000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006809800, -0.006818000, -0.006836900, -0.006834000, -0.006827200, -0.006811600, -0.006775700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092980000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&!C&!D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000"); - values("0.0258536000, 0.0246675000, 0.0217951000, 0.0145956000, -0.003172300, -0.046845800, -0.154350300", \ - "0.0255606000, 0.0243752000, 0.0214335000, 0.0142787000, -0.003480400, -0.047186700, -0.154671200", \ - "0.0253191000, 0.0241583000, 0.0213516000, 0.0140476000, -0.003739200, -0.047392000, -0.154894000", \ - "0.0246075000, 0.0234827000, 0.0206176000, 0.0135902000, -0.004173900, -0.047836700, -0.155355600", \ - "0.0242454000, 0.0230725000, 0.0201942000, 0.0130485000, -0.004343300, -0.048061600, -0.155483400", \ - "0.0258922000, 0.0246844000, 0.0217390000, 0.0144945000, -0.003275400, -0.047504900, -0.154733300", \ - "0.0316230000, 0.0303862000, 0.0273510000, 0.0200466000, 0.0021243000, -0.042851700, -0.152236800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000"); - values("0.0568994000, 0.0581136000, 0.0610980000, 0.0683895000, 0.0861611000, 0.1297799000, 0.2364492000", \ - "0.0561970000, 0.0574093000, 0.0604668000, 0.0677914000, 0.0856868000, 0.1295917000, 0.2364734000", \ - "0.0556635000, 0.0567906000, 0.0598544000, 0.0672150000, 0.0851368000, 0.1289659000, 0.2369291000", \ - "0.0552329000, 0.0564561000, 0.0593433000, 0.0666809000, 0.0846081000, 0.1287856000, 0.2359779000", \ - "0.0548319000, 0.0560244000, 0.0589427000, 0.0662090000, 0.0839013000, 0.1276851000, 0.2353038000", \ - "0.0546776000, 0.0558880000, 0.0587411000, 0.0659636000, 0.0836877000, 0.1276639000, 0.2347430000", \ - "0.0547155000, 0.0559366000, 0.0588459000, 0.0660513000, 0.0841257000, 0.1271616000, 0.2347138000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000"); - values("0.0201957000, 0.0190150000, 0.0161015000, 0.0088895000, -0.008836400, -0.052396900, -0.160228400", \ - "0.0201400000, 0.0189480000, 0.0160408000, 0.0088509000, -0.008871200, -0.052406800, -0.160160000", \ - "0.0200465000, 0.0188703000, 0.0159483000, 0.0087606000, -0.008963600, -0.052492400, -0.160263600", \ - "0.0193000000, 0.0181201000, 0.0153573000, 0.0082912000, -0.009390900, -0.052747400, -0.160502300", \ - "0.0188840000, 0.0177187000, 0.0148436000, 0.0076942000, -0.009857300, -0.052986900, -0.160555000", \ - "0.0208977000, 0.0196907000, 0.0168061000, 0.0097550000, -0.008386900, -0.051843500, -0.160062000", \ - "0.0257932000, 0.0244995000, 0.0214592000, 0.0141110000, -0.004139400, -0.048523000, -0.157560600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000"); - values("0.0418712000, 0.0431004000, 0.0461302000, 0.0534739000, 0.0712791000, 0.1149089000, 0.2221098000", \ - "0.0410878000, 0.0423052000, 0.0453518000, 0.0529086000, 0.0710503000, 0.1150721000, 0.2219309000", \ - "0.0403595000, 0.0416194000, 0.0445829000, 0.0519048000, 0.0700102000, 0.1140205000, 0.2217857000", \ - "0.0398668000, 0.0411129000, 0.0440833000, 0.0513871000, 0.0692065000, 0.1132726000, 0.2210306000", \ - "0.0394266000, 0.0406540000, 0.0435935000, 0.0507979000, 0.0688461000, 0.1123686000, 0.2201341000", \ - "0.0393214000, 0.0405284000, 0.0435511000, 0.0506692000, 0.0683765000, 0.1122321000, 0.2195484000", \ - "0.0394760000, 0.0406609000, 0.0435315000, 0.0508074000, 0.0687894000, 0.1121211000, 0.2193161000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000"); - values("0.0151695000, 0.0139835000, 0.0110700000, 0.0038854000, -0.013791000, -0.057472300, -0.165693800", \ - "0.0153108000, 0.0141387000, 0.0112399000, 0.0040888000, -0.013503500, -0.057156000, -0.165345600", \ - "0.0156310000, 0.0144686000, 0.0116086000, 0.0045541000, -0.012963000, -0.056504200, -0.164545000", \ - "0.0150195000, 0.0139297000, 0.0111226000, 0.0041533000, -0.013153700, -0.056518100, -0.164483300", \ - "0.0153607000, 0.0142155000, 0.0113771000, 0.0042743000, -0.013485400, -0.056781400, -0.164544000", \ - "0.0164597000, 0.0152759000, 0.0123571000, 0.0053862000, -0.012897800, -0.055857900, -0.164305900", \ - "0.0204215000, 0.0191836000, 0.0160917000, 0.0085952000, -0.009594900, -0.053851300, -0.162710700"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000"); - values("0.0364060000, 0.0376655000, 0.0407342000, 0.0481260000, 0.0660603000, 0.1097205000, 0.2168759000", \ - "0.0355367000, 0.0367814000, 0.0398679000, 0.0473158000, 0.0654474000, 0.1093261000, 0.2167118000", \ - "0.0348295000, 0.0360504000, 0.0391555000, 0.0464541000, 0.0645920000, 0.1086825000, 0.2164088000", \ - "0.0344173000, 0.0356609000, 0.0385694000, 0.0458242000, 0.0637399000, 0.1079265000, 0.2158656000", \ - "0.0339125000, 0.0351212000, 0.0381331000, 0.0453186000, 0.0633486000, 0.1069773000, 0.2148989000", \ - "0.0339676000, 0.0350744000, 0.0380269000, 0.0452673000, 0.0630572000, 0.1067641000, 0.2148413000", \ - "0.0352447000, 0.0363975000, 0.0392781000, 0.0462103000, 0.0639357000, 0.1068490000, 0.2147592000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000"); - values("0.0020474000, 0.0008672000, -0.002068500, -0.009367400, -0.027435800, -0.071628900, -0.180092500", \ - "0.0015703000, 0.0004395000, -0.002438400, -0.009592900, -0.027414900, -0.071374100, -0.179722000", \ - "0.0007928000, -0.000329300, -0.003133800, -0.010131100, -0.027610300, -0.071204900, -0.179324100", \ - "-0.000324800, -0.001421200, -0.004120600, -0.010896900, -0.028061800, -0.071341400, -0.179173800", \ - "-0.000270800, -0.001399500, -0.004199400, -0.010879400, -0.028057000, -0.071574700, -0.179231400", \ - "0.0009318000, -0.000168100, -0.002994400, -0.010832800, -0.028448600, -0.071758400, -0.179181100", \ - "0.0063932000, 0.0039648000, 0.0008123000, -0.007008900, -0.025627700, -0.069590000, -0.177931800"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012333250, 0.0030421800, 0.0075039940, 0.0185097200, 0.0456570000, 0.1126198000"); - values("0.0363275000, 0.0376833000, 0.0408159000, 0.0484411000, 0.0665670000, 0.1104309000, 0.2181026000", \ - "0.0353127000, 0.0365789000, 0.0397452000, 0.0473135000, 0.0658773000, 0.1098842000, 0.2176014000", \ - "0.0345309000, 0.0357975000, 0.0388462000, 0.0464790000, 0.0647453000, 0.1090096000, 0.2180210000", \ - "0.0343549000, 0.0355494000, 0.0385228000, 0.0458748000, 0.0638758000, 0.1081298000, 0.2158983000", \ - "0.0345560000, 0.0356289000, 0.0384902000, 0.0459951000, 0.0633223000, 0.1071781000, 0.2150072000", \ - "0.0373415000, 0.0385124000, 0.0413760000, 0.0476575000, 0.0650933000, 0.1077903000, 0.2150446000", \ - "0.0409639000, 0.0420970000, 0.0448032000, 0.0514045000, 0.0683057000, 0.1103649000, 0.2158137000"); - } - } - max_capacitance : 0.1126200000; - max_transition : 1.4888180000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.0193964000, 0.0202370000, 0.0223514000, 0.0274228000, 0.0392381000, 0.0667027000, 0.1301931000", \ - "0.0247133000, 0.0255499000, 0.0275593000, 0.0324276000, 0.0440852000, 0.0712996000, 0.1345911000", \ - "0.0364115000, 0.0374181000, 0.0397948000, 0.0446742000, 0.0556589000, 0.0823060000, 0.1451904000", \ - "0.0534250000, 0.0548221000, 0.0582012000, 0.0655158000, 0.0805144000, 0.1087747000, 0.1707596000", \ - "0.0747592000, 0.0767650000, 0.0814943000, 0.0923522000, 0.1146586000, 0.1563677000, 0.2298305000", \ - "0.0935944000, 0.0965953000, 0.1036819000, 0.1196564000, 0.1530284000, 0.2158915000, 0.3271649000", \ - "0.0828202000, 0.0873171000, 0.0979523000, 0.1220692000, 0.1718244000, 0.2709675000, 0.4434555000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.2157051000, 0.2223377000, 0.2387324000, 0.2797581000, 0.3770114000, 0.6151051000, 1.2015151000", \ - "0.2181038000, 0.2248109000, 0.2418281000, 0.2828819000, 0.3817732000, 0.6210371000, 1.2076589000", \ - "0.2290101000, 0.2355684000, 0.2524831000, 0.2931838000, 0.3916164000, 0.6350207000, 1.2220954000", \ - "0.2549880000, 0.2620093000, 0.2788463000, 0.3189234000, 0.4177814000, 0.6575551000, 1.2473347000", \ - "0.3099524000, 0.3166008000, 0.3324913000, 0.3727015000, 0.4708845000, 0.7103442000, 1.2991488000", \ - "0.4066977000, 0.4137463000, 0.4321690000, 0.4768357000, 0.5783118000, 0.8183057000, 1.4111032000", \ - "0.5637575000, 0.5730733000, 0.5953374000, 0.6484591000, 0.7682323000, 1.0355933000, 1.6304902000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.0204699000, 0.0216928000, 0.0247275000, 0.0318782000, 0.0488783000, 0.0879051000, 0.1778832000", \ - "0.0206207000, 0.0218017000, 0.0245538000, 0.0314307000, 0.0483457000, 0.0873167000, 0.1779467000", \ - "0.0262347000, 0.0271475000, 0.0294873000, 0.0348189000, 0.0492424000, 0.0864460000, 0.1775064000", \ - "0.0398617000, 0.0409330000, 0.0434214000, 0.0496515000, 0.0617239000, 0.0925948000, 0.1772074000", \ - "0.0640157000, 0.0655679000, 0.0691402000, 0.0767606000, 0.0932471000, 0.1252015000, 0.1965112000", \ - "0.1058031000, 0.1081279000, 0.1135441000, 0.1241585000, 0.1468419000, 0.1911147000, 0.2757500000", \ - "0.1784763000, 0.1819669000, 0.1903398000, 0.2086242000, 0.2441687000, 0.3096373000, 0.4254224000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.1471014000, 0.1557794000, 0.1760432000, 0.2293268000, 0.3595388000, 0.6792555000, 1.4712923000", \ - "0.1472028000, 0.1559224000, 0.1772182000, 0.2293676000, 0.3595126000, 0.6793623000, 1.4629895000", \ - "0.1468696000, 0.1560581000, 0.1766923000, 0.2296580000, 0.3590153000, 0.6801698000, 1.4687057000", \ - "0.1470615000, 0.1556080000, 0.1775881000, 0.2297089000, 0.3600041000, 0.6793510000, 1.4642157000", \ - "0.1483388000, 0.1569298000, 0.1777967000, 0.2301762000, 0.3592500000, 0.6785599000, 1.4652298000", \ - "0.1703348000, 0.1787398000, 0.1990188000, 0.2486714000, 0.3701452000, 0.6820695000, 1.4680837000", \ - "0.2190299000, 0.2276419000, 0.2478266000, 0.3005848000, 0.4281617000, 0.7278557000, 1.4819532000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.0212197000, 0.0221721000, 0.0243960000, 0.0296707000, 0.0417172000, 0.0692400000, 0.1328612000", \ - "0.0264733000, 0.0273474000, 0.0295051000, 0.0346107000, 0.0465360000, 0.0738662000, 0.1373183000", \ - "0.0382906000, 0.0392549000, 0.0414879000, 0.0464551000, 0.0577554000, 0.0846028000, 0.1480137000", \ - "0.0548283000, 0.0562301000, 0.0594764000, 0.0668769000, 0.0819777000, 0.1101630000, 0.1725815000", \ - "0.0731851000, 0.0752699000, 0.0801991000, 0.0913982000, 0.1135221000, 0.1565475000, 0.2312242000", \ - "0.0831566000, 0.0862820000, 0.0936078000, 0.1096746000, 0.1454118000, 0.2107256000, 0.3255069000", \ - "0.0561493000, 0.0606212000, 0.0720514000, 0.0979217000, 0.1522031000, 0.2552490000, 0.4348051000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.2007191000, 0.2074685000, 0.2240368000, 0.2654947000, 0.3633754000, 0.6015873000, 1.1882382000", \ - "0.2014680000, 0.2085743000, 0.2251251000, 0.2667724000, 0.3665485000, 0.6078115000, 1.1919701000", \ - "0.2105524000, 0.2171880000, 0.2339913000, 0.2746164000, 0.3737511000, 0.6145652000, 1.2082482000", \ - "0.2352427000, 0.2421349000, 0.2587085000, 0.2992333000, 0.3981374000, 0.6383643000, 1.2278650000", \ - "0.2887728000, 0.2957519000, 0.3124322000, 0.3527074000, 0.4514963000, 0.6907956000, 1.2801494000", \ - "0.3835336000, 0.3915073000, 0.4112180000, 0.4583033000, 0.5644271000, 0.8048086000, 1.3932882000", \ - "0.5409192000, 0.5520773000, 0.5788349000, 0.6419716000, 0.7730525000, 1.0507950000, 1.6502183000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.0214002000, 0.0225405000, 0.0252722000, 0.0319387000, 0.0473617000, 0.0835541000, 0.1716677000", \ - "0.0209750000, 0.0220265000, 0.0246250000, 0.0311299000, 0.0467703000, 0.0832944000, 0.1714104000", \ - "0.0254215000, 0.0262074000, 0.0280637000, 0.0333234000, 0.0471840000, 0.0826224000, 0.1712895000", \ - "0.0376065000, 0.0386838000, 0.0414738000, 0.0469998000, 0.0589988000, 0.0884555000, 0.1708772000", \ - "0.0599613000, 0.0612903000, 0.0660632000, 0.0728643000, 0.0891194000, 0.1211973000, 0.1908433000", \ - "0.0994359000, 0.1015590000, 0.1070380000, 0.1190263000, 0.1431604000, 0.1888305000, 0.2715039000", \ - "0.1703333000, 0.1750433000, 0.1835578000, 0.2020261000, 0.2391993000, 0.3061655000, 0.4259288000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.1470063000, 0.1557003000, 0.1760016000, 0.2292738000, 0.3594780000, 0.6787073000, 1.4625005000", \ - "0.1466805000, 0.1557131000, 0.1771308000, 0.2299596000, 0.3600965000, 0.6822220000, 1.4648662000", \ - "0.1468064000, 0.1554268000, 0.1765428000, 0.2294096000, 0.3590177000, 0.6782149000, 1.4682039000", \ - "0.1470143000, 0.1556046000, 0.1768987000, 0.2297196000, 0.3594739000, 0.6786770000, 1.4640581000", \ - "0.1514297000, 0.1594034000, 0.1798394000, 0.2311494000, 0.3600773000, 0.6783745000, 1.4641387000", \ - "0.1835499000, 0.1921497000, 0.2125530000, 0.2597398000, 0.3777193000, 0.6835392000, 1.4641912000", \ - "0.2568987000, 0.2657791000, 0.2866783000, 0.3385827000, 0.4605740000, 0.7464262000, 1.4870626000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.0216729000, 0.0225063000, 0.0244658000, 0.0291382000, 0.0399077000, 0.0651272000, 0.1256967000", \ - "0.0264791000, 0.0272791000, 0.0292420000, 0.0338567000, 0.0445712000, 0.0697247000, 0.1303019000", \ - "0.0370599000, 0.0380074000, 0.0402478000, 0.0450609000, 0.0555991000, 0.0805266000, 0.1411087000", \ - "0.0510705000, 0.0525640000, 0.0559145000, 0.0632026000, 0.0780945000, 0.1061548000, 0.1660976000", \ - "0.0642806000, 0.0664421000, 0.0715440000, 0.0830597000, 0.1063718000, 0.1498335000, 0.2243730000", \ - "0.0649452000, 0.0683427000, 0.0761926000, 0.0930474000, 0.1311225000, 0.1987648000, 0.3155671000", \ - "0.0214212000, 0.0267248000, 0.0394508000, 0.0673107000, 0.1257935000, 0.2345920000, 0.4183102000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.1606854000, 0.1674417000, 0.1841279000, 0.2257041000, 0.3243719000, 0.5628746000, 1.1496002000", \ - "0.1607507000, 0.1674475000, 0.1845295000, 0.2258134000, 0.3251469000, 0.5655953000, 1.1537217000", \ - "0.1687135000, 0.1753466000, 0.1925603000, 0.2332726000, 0.3324687000, 0.5739989000, 1.1632834000", \ - "0.1935081000, 0.2002329000, 0.2164628000, 0.2573327000, 0.3571456000, 0.5963337000, 1.1863973000", \ - "0.2484653000, 0.2554921000, 0.2722799000, 0.3128889000, 0.4118929000, 0.6506989000, 1.2401521000", \ - "0.3469006000, 0.3561340000, 0.3779904000, 0.4289335000, 0.5402631000, 0.7832817000, 1.3783603000", \ - "0.5134955000, 0.5273993000, 0.5592642000, 0.6312767000, 0.7802052000, 1.0730298000, 1.6782256000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.0174449000, 0.0183922000, 0.0206117000, 0.0261409000, 0.0395629000, 0.0728496000, 0.1556640000", \ - "0.0171149000, 0.0179869000, 0.0201753000, 0.0257964000, 0.0393944000, 0.0728523000, 0.1557998000", \ - "0.0212648000, 0.0219881000, 0.0236047000, 0.0281575000, 0.0402865000, 0.0726890000, 0.1554258000", \ - "0.0326310000, 0.0335113000, 0.0358816000, 0.0413183000, 0.0531065000, 0.0796627000, 0.1560818000", \ - "0.0530578000, 0.0546040000, 0.0582501000, 0.0666165000, 0.0826685000, 0.1148760000, 0.1777592000", \ - "0.0906204000, 0.0931699000, 0.0990344000, 0.1117756000, 0.1360147000, 0.1820826000, 0.2615011000", \ - "0.1603888000, 0.1643540000, 0.1733663000, 0.1927346000, 0.2323397000, 0.3002042000, 0.4172471000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.1468896000, 0.1555396000, 0.1759198000, 0.2292294000, 0.3595376000, 0.6786939000, 1.4673825000", \ - "0.1468821000, 0.1553313000, 0.1770245000, 0.2288603000, 0.3589142000, 0.6778590000, 1.4659506000", \ - "0.1468382000, 0.1553025000, 0.1766459000, 0.2292690000, 0.3585940000, 0.6778250000, 1.4624771000", \ - "0.1463126000, 0.1549603000, 0.1768179000, 0.2292344000, 0.3595745000, 0.6794166000, 1.4629861000", \ - "0.1560615000, 0.1643192000, 0.1839754000, 0.2333342000, 0.3606122000, 0.6779409000, 1.4631959000", \ - "0.1979907000, 0.2062877000, 0.2276539000, 0.2752711000, 0.3864913000, 0.6871141000, 1.4698061000", \ - "0.2918360000, 0.3011892000, 0.3235449000, 0.3778484000, 0.4986511000, 0.7686763000, 1.4848925000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.0164159000, 0.0171596000, 0.0188569000, 0.0229135000, 0.0326080000, 0.0558092000, 0.1123402000", \ - "0.0210773000, 0.0218084000, 0.0235560000, 0.0276065000, 0.0373197000, 0.0605084000, 0.1175268000", \ - "0.0289974000, 0.0301058000, 0.0326460000, 0.0380456000, 0.0485006000, 0.0715594000, 0.1284588000", \ - "0.0376951000, 0.0394226000, 0.0433678000, 0.0518780000, 0.0684435000, 0.0974589000, 0.1543048000", \ - "0.0429256000, 0.0455104000, 0.0518244000, 0.0651084000, 0.0913644000, 0.1371303000, 0.2129550000", \ - "0.0308211000, 0.0351600000, 0.0450799000, 0.0670899000, 0.1089847000, 0.1824462000, 0.2995009000", \ - "-0.032950500, -0.026249000, -0.010231100, 0.0249926000, 0.0926665000, 0.2101262000, 0.3988873000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.0869582000, 0.0936298000, 0.1108970000, 0.1522951000, 0.2524986000, 0.4921450000, 1.0837348000", \ - "0.0866143000, 0.0933467000, 0.1099740000, 0.1510310000, 0.2525602000, 0.4943553000, 1.0828911000", \ - "0.0948040000, 0.1011967000, 0.1173059000, 0.1579510000, 0.2576952000, 0.5004837000, 1.0980566000", \ - "0.1227608000, 0.1282550000, 0.1427657000, 0.1813988000, 0.2794211000, 0.5239660000, 1.1120217000", \ - "0.1881298000, 0.1950101000, 0.2102194000, 0.2459316000, 0.3373394000, 0.5763717000, 1.1675954000", \ - "0.2933446000, 0.3034437000, 0.3272358000, 0.3789323000, 0.4876655000, 0.7161398000, 1.3117915000", \ - "0.4714776000, 0.4862213000, 0.5195100000, 0.5942608000, 0.7505704000, 1.0471086000, 1.6296657000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.0107609000, 0.0115777000, 0.0136564000, 0.0187260000, 0.0311013000, 0.0620105000, 0.1391110000", \ - "0.0113974000, 0.0120853000, 0.0139551000, 0.0187453000, 0.0311910000, 0.0624330000, 0.1380273000", \ - "0.0172111000, 0.0179458000, 0.0196500000, 0.0232600000, 0.0333000000, 0.0625213000, 0.1393195000", \ - "0.0283588000, 0.0294997000, 0.0316299000, 0.0370721000, 0.0484861000, 0.0709437000, 0.1396297000", \ - "0.0483265000, 0.0495506000, 0.0531997000, 0.0615611000, 0.0779724000, 0.1077036000, 0.1633238000", \ - "0.0854376000, 0.0881445000, 0.0941730000, 0.1070071000, 0.1321546000, 0.1740435000, 0.2517621000", \ - "0.1572322000, 0.1608975000, 0.1717755000, 0.1919131000, 0.2270973000, 0.2934396000, 0.4047209000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012333200, 0.0030421800, 0.0075039900, 0.0185097000, 0.0456570000, 0.1126200000"); - values("0.1369178000, 0.1460602000, 0.1696259000, 0.2252919000, 0.3581626000, 0.6784678000, 1.4686953000", \ - "0.1345313000, 0.1443000000, 0.1675846000, 0.2243238000, 0.3587755000, 0.6783206000, 1.4641419000", \ - "0.1310881000, 0.1409326000, 0.1644384000, 0.2220676000, 0.3578731000, 0.6782066000, 1.4767428000", \ - "0.1289892000, 0.1380813000, 0.1609174000, 0.2169620000, 0.3562587000, 0.6807450000, 1.4711325000", \ - "0.1516510000, 0.1586596000, 0.1781801000, 0.2257350000, 0.3515012000, 0.6788178000, 1.4637934000", \ - "0.1933094000, 0.2037902000, 0.2275826000, 0.2841264000, 0.3920864000, 0.6827688000, 1.4695545000", \ - "0.2750249000, 0.2872869000, 0.3156812000, 0.3820756000, 0.5217672000, 0.8019726000, 1.4888180000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor4b_1") { - leakage_power () { - value : 0.0024302000; - when : "!A&!B&!C&D_N"; - } - leakage_power () { - value : 0.0015074000; - when : "!A&!B&!C&!D_N"; - } - leakage_power () { - value : 0.0012771000; - when : "!A&!B&C&D_N"; - } - leakage_power () { - value : 0.0004415000; - when : "!A&!B&C&!D_N"; - } - leakage_power () { - value : 0.0012813000; - when : "!A&B&!C&D_N"; - } - leakage_power () { - value : 0.0004447000; - when : "!A&B&!C&!D_N"; - } - leakage_power () { - value : 0.0008103000; - when : "!A&B&C&D_N"; - } - leakage_power () { - value : 0.0004150000; - when : "!A&B&C&!D_N"; - } - leakage_power () { - value : 0.0016839000; - when : "A&!B&!C&D_N"; - } - leakage_power () { - value : 0.0004891000; - when : "A&!B&!C&!D_N"; - } - leakage_power () { - value : 0.0008486000; - when : "A&!B&C&D_N"; - } - leakage_power () { - value : 0.0004229000; - when : "A&!B&C&!D_N"; - } - leakage_power () { - value : 0.0008541000; - when : "A&B&!C&D_N"; - } - leakage_power () { - value : 0.0004246000; - when : "A&B&!C&!D_N"; - } - leakage_power () { - value : 0.0007921000; - when : "A&B&C&D_N"; - } - leakage_power () { - value : 0.0004092000; - when : "A&B&C&!D_N"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__nor4b"; - cell_leakage_power : 0.0009082530; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023910000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022840000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039721000, 0.0039702000, 0.0039658000, 0.0039667000, 0.0039688000, 0.0039737000, 0.0039851000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003371000, -0.003404800, -0.003482800, -0.003496800, -0.003529000, -0.003603200, -0.003774200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024990000; - } - pin ("B") { - capacitance : 0.0023770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038993000, 0.0038983000, 0.0038960000, 0.0038953000, 0.0038936000, 0.0038896000, 0.0038806000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003324500, -0.003348700, -0.003404400, -0.003421400, -0.003460500, -0.003550800, -0.003758900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025200000; - } - pin ("C") { - capacitance : 0.0023450000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021860000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043366000, 0.0043355000, 0.0043329000, 0.0043310000, 0.0043266000, 0.0043163000, 0.0042928000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004070300, -0.004125900, -0.004253900, -0.004258000, -0.004267600, -0.004289800, -0.004340800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025050000; - } - pin ("D_N") { - capacitance : 0.0014580000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013990000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0086550000, 0.0085641000, 0.0083546000, 0.0084020000, 0.0085111000, 0.0087628000, 0.0093429000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026292000, 0.0025761000, 0.0024538000, 0.0024937000, 0.0025857000, 0.0027978000, 0.0032865000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015170000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&!C&D_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0066619000, 0.0058464000, 0.0041968000, 0.0008442000, -0.005912100, -0.019502000, -0.046959600", \ - "0.0065843000, 0.0057590000, 0.0040993000, 0.0007614000, -0.006006400, -0.019588700, -0.047039400", \ - "0.0065370000, 0.0056933000, 0.0040536000, 0.0007174000, -0.006060200, -0.019640800, -0.047086700", \ - "0.0063880000, 0.0055633000, 0.0039323000, 0.0005812000, -0.006166200, -0.019720800, -0.047211800", \ - "0.0063741000, 0.0055452000, 0.0038789000, 0.0005555000, -0.006154100, -0.019683900, -0.047208300", \ - "0.0070636000, 0.0062307000, 0.0045424000, 0.0011586000, -0.005678500, -0.019304800, -0.046932800", \ - "0.0085904000, 0.0077375000, 0.0060428000, 0.0026570000, -0.004250000, -0.018202800, -0.046200100"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0139558000, 0.0147981000, 0.0164643000, 0.0198381000, 0.0265990000, 0.0401932000, 0.0675465000", \ - "0.0137748000, 0.0146306000, 0.0163036000, 0.0197600000, 0.0266204000, 0.0401276000, 0.0675392000", \ - "0.0136338000, 0.0144440000, 0.0161904000, 0.0195343000, 0.0263557000, 0.0399694000, 0.0676070000", \ - "0.0135035000, 0.0143353000, 0.0160788000, 0.0194217000, 0.0261817000, 0.0397891000, 0.0674402000", \ - "0.0134215000, 0.0142293000, 0.0159189000, 0.0192551000, 0.0260343000, 0.0396993000, 0.0672647000", \ - "0.0134052000, 0.0142336000, 0.0158733000, 0.0192038000, 0.0259641000, 0.0395592000, 0.0669660000", \ - "0.0132146000, 0.0142102000, 0.0158637000, 0.0192731000, 0.0260502000, 0.0394460000, 0.0669871000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0055998000, 0.0047761000, 0.0031072000, -0.000220400, -0.006940100, -0.020546500, -0.048107200", \ - "0.0055921000, 0.0047665000, 0.0031063000, -0.000234100, -0.006956400, -0.020553300, -0.048141500", \ - "0.0055444000, 0.0047457000, 0.0030967000, -0.000253300, -0.006981000, -0.020552700, -0.048144200", \ - "0.0053699000, 0.0045597000, 0.0029610000, -0.000379200, -0.007068900, -0.020628000, -0.048207400", \ - "0.0054888000, 0.0046671000, 0.0030157000, -0.000381700, -0.007148200, -0.020662700, -0.048216300", \ - "0.0059208000, 0.0051186000, 0.0034833000, -5.78000e-05, -0.006811900, -0.020316000, -0.048060500", \ - "0.0070257000, 0.0061921000, 0.0044323000, 0.0010428000, -0.005799300, -0.019549600, -0.047360400"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0119572000, 0.0127774000, 0.0145189000, 0.0178593000, 0.0246272000, 0.0382280000, 0.0655879000", \ - "0.0117513000, 0.0125798000, 0.0143533000, 0.0177035000, 0.0245245000, 0.0380898000, 0.0656605000", \ - "0.0115740000, 0.0123960000, 0.0141585000, 0.0175373000, 0.0243440000, 0.0379729000, 0.0656679000", \ - "0.0114398000, 0.0123013000, 0.0140211000, 0.0173299000, 0.0241415000, 0.0377847000, 0.0652561000", \ - "0.0113838000, 0.0122056000, 0.0138874000, 0.0172733000, 0.0240663000, 0.0376157000, 0.0652372000", \ - "0.0113469000, 0.0121800000, 0.0138474000, 0.0171477000, 0.0239413000, 0.0375880000, 0.0649536000", \ - "0.0113870000, 0.0122174000, 0.0138476000, 0.0172284000, 0.0240564000, 0.0375880000, 0.0650191000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0049440000, 0.0041222000, 0.0024557000, -0.000894200, -0.007647300, -0.021330300, -0.048984100", \ - "0.0049745000, 0.0041574000, 0.0024939000, -0.000828700, -0.007580200, -0.021245200, -0.048896400", \ - "0.0050362000, 0.0042317000, 0.0025989000, -0.000711800, -0.007451800, -0.021088000, -0.048718100", \ - "0.0048858000, 0.0040811000, 0.0024852000, -0.000773000, -0.007498000, -0.021094600, -0.048708800", \ - "0.0049134000, 0.0041093000, 0.0024829000, -0.000842200, -0.007620800, -0.021154200, -0.048714500", \ - "0.0051786000, 0.0043928000, 0.0025595000, -0.000775500, -0.007495700, -0.021106000, -0.048716200", \ - "0.0060478000, 0.0051892000, 0.0034487000, -9.40000e-06, -0.006861500, -0.020563800, -0.048399000"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0093518000, 0.0101752000, 0.0119371000, 0.0152769000, 0.0220147000, 0.0356681000, 0.0629693000", \ - "0.0091496000, 0.0099868000, 0.0117387000, 0.0151081000, 0.0219204000, 0.0356340000, 0.0629855000", \ - "0.0089598000, 0.0098016000, 0.0115018000, 0.0149680000, 0.0218541000, 0.0354127000, 0.0628900000", \ - "0.0088893000, 0.0097025000, 0.0113817000, 0.0147455000, 0.0215430000, 0.0352425000, 0.0627171000", \ - "0.0087496000, 0.0095889000, 0.0112538000, 0.0146389000, 0.0214368000, 0.0350228000, 0.0625065000", \ - "0.0087633000, 0.0095717000, 0.0112523000, 0.0146324000, 0.0213907000, 0.0350156000, 0.0623420000", \ - "0.0091216000, 0.0099315000, 0.0115457000, 0.0148538000, 0.0215492000, 0.0351606000, 0.0626561000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0028894000, 0.0022196000, 0.0007961000, -0.002231200, -0.008696900, -0.022208200, -0.049770000", \ - "0.0028651000, 0.0021830000, 0.0007522000, -0.002251500, -0.008727000, -0.022223400, -0.049814500", \ - "0.0029140000, 0.0022120000, 0.0008026000, -0.002198600, -0.008668300, -0.022177700, -0.049762500", \ - "0.0027133000, 0.0020419000, 0.0006094000, -0.002400600, -0.008838100, -0.022320800, -0.049905500", \ - "0.0025033000, 0.0018048000, 0.0003885000, -0.002640100, -0.009026300, -0.022484100, -0.050006600", \ - "0.0027002000, 0.0019135000, 0.0003068000, -0.002951100, -0.009480400, -0.022695800, -0.050203200", \ - "0.0032233000, 0.0023452000, 0.0007287000, -0.002340100, -0.009025800, -0.022773800, -0.050283600"); - } - related_pin : "D_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0089724000, 0.0098597000, 0.0115894000, 0.0150736000, 0.0219270000, 0.0357000000, 0.0631494000", \ - "0.0089665000, 0.0098190000, 0.0115899000, 0.0150690000, 0.0219337000, 0.0355796000, 0.0630025000", \ - "0.0089168000, 0.0097727000, 0.0115140000, 0.0150155000, 0.0218357000, 0.0355713000, 0.0631216000", \ - "0.0086079000, 0.0094731000, 0.0112124000, 0.0146128000, 0.0215013000, 0.0352998000, 0.0627418000", \ - "0.0083443000, 0.0091823000, 0.0108774000, 0.0143566000, 0.0211833000, 0.0350869000, 0.0627617000", \ - "0.0082584000, 0.0090984000, 0.0108056000, 0.0141363000, 0.0209061000, 0.0346880000, 0.0622047000", \ - "0.0085703000, 0.0094150000, 0.0110692000, 0.0142639000, 0.0210500000, 0.0349139000, 0.0622962000"); - } - } - max_capacitance : 0.0338840000; - max_transition : 1.4791600000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0202338000, 0.0222449000, 0.0262332000, 0.0339961000, 0.0489276000, 0.0776554000, 0.1331733000", \ - "0.0255936000, 0.0275353000, 0.0313815000, 0.0389696000, 0.0537386000, 0.0823214000, 0.1378008000", \ - "0.0378430000, 0.0397720000, 0.0437403000, 0.0508897000, 0.0651843000, 0.0933933000, 0.1486432000", \ - "0.0564512000, 0.0595777000, 0.0652307000, 0.0752066000, 0.0922927000, 0.1200981000, 0.1739916000", \ - "0.0803840000, 0.0849646000, 0.0935798000, 0.1082716000, 0.1340392000, 0.1746486000, 0.2358817000", \ - "0.1034364000, 0.1104487000, 0.1229221000, 0.1459253000, 0.1850252000, 0.2460792000, 0.3409733000", \ - "0.1025667000, 0.1132093000, 0.1333851000, 0.1684450000, 0.2288889000, 0.3266928000, 0.4734578000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.2030005000, 0.2188656000, 0.2495229000, 0.3126740000, 0.4384671000, 0.6852889000, 1.1883846000", \ - "0.2059491000, 0.2218591000, 0.2531218000, 0.3161517000, 0.4424782000, 0.6905281000, 1.1946450000", \ - "0.2171232000, 0.2323210000, 0.2640004000, 0.3258097000, 0.4510542000, 0.7022979000, 1.2096020000", \ - "0.2422358000, 0.2576419000, 0.2888449000, 0.3514784000, 0.4751653000, 0.7264858000, 1.2368632000", \ - "0.2947524000, 0.3100667000, 0.3412079000, 0.4030266000, 0.5271110000, 0.7787312000, 1.2832418000", \ - "0.3876111000, 0.4052616000, 0.4395433000, 0.5066580000, 0.6331043000, 0.8827701000, 1.3910459000", \ - "0.5346422000, 0.5584256000, 0.6011535000, 0.6825226000, 0.8319833000, 1.1050952000, 1.6148776000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0202574000, 0.0227689000, 0.0278020000, 0.0376776000, 0.0562781000, 0.0926301000, 0.1638602000", \ - "0.0203067000, 0.0226498000, 0.0274166000, 0.0370161000, 0.0559203000, 0.0924720000, 0.1636200000", \ - "0.0253494000, 0.0270457000, 0.0307667000, 0.0386415000, 0.0558353000, 0.0919802000, 0.1634024000", \ - "0.0397738000, 0.0419831000, 0.0460131000, 0.0533913000, 0.0653316000, 0.0955917000, 0.1632167000", \ - "0.0651325000, 0.0683655000, 0.0738889000, 0.0842789000, 0.1011898000, 0.1292209000, 0.1807419000", \ - "0.1065190000, 0.1111981000, 0.1203213000, 0.1361597000, 0.1619291000, 0.2070070000, 0.2667849000", \ - "0.1825803000, 0.1906436000, 0.2045970000, 0.2294882000, 0.2699974000, 0.3326708000, 0.4264321000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.1509433000, 0.1711600000, 0.2108937000, 0.2935228000, 0.4582356000, 0.7884571000, 1.4577365000", \ - "0.1509520000, 0.1712342000, 0.2115164000, 0.2940188000, 0.4607523000, 0.7895429000, 1.4581956000", \ - "0.1505351000, 0.1708215000, 0.2118687000, 0.2928325000, 0.4584877000, 0.7889869000, 1.4609910000", \ - "0.1508692000, 0.1708598000, 0.2119629000, 0.2938211000, 0.4586412000, 0.7887941000, 1.4610324000", \ - "0.1529150000, 0.1728235000, 0.2128568000, 0.2938767000, 0.4581575000, 0.7915021000, 1.4619870000", \ - "0.1783097000, 0.1973232000, 0.2358045000, 0.3103808000, 0.4671804000, 0.7930286000, 1.4607245000", \ - "0.2356808000, 0.2567632000, 0.2964243000, 0.3775571000, 0.5350412000, 0.8379318000, 1.4743311000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0220998000, 0.0241864000, 0.0282226000, 0.0360450000, 0.0508788000, 0.0793765000, 0.1350127000", \ - "0.0273036000, 0.0293120000, 0.0332693000, 0.0409052000, 0.0555830000, 0.0840143000, 0.1396444000", \ - "0.0392225000, 0.0412628000, 0.0452365000, 0.0524917000, 0.0666777000, 0.0949458000, 0.1504998000", \ - "0.0571310000, 0.0600872000, 0.0658490000, 0.0754648000, 0.0925368000, 0.1207270000, 0.1758967000", \ - "0.0775508000, 0.0824478000, 0.0912442000, 0.1067507000, 0.1326566000, 0.1739108000, 0.2364279000", \ - "0.0929613000, 0.0999894000, 0.1127935000, 0.1376734000, 0.1781607000, 0.2415098000, 0.3387289000", \ - "0.0774074000, 0.0878869000, 0.1099319000, 0.1470338000, 0.2107887000, 0.3123851000, 0.4646373000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.1904601000, 0.2058929000, 0.2374801000, 0.2991183000, 0.4235469000, 0.6730420000, 1.1757270000", \ - "0.1920427000, 0.2079132000, 0.2395938000, 0.3016967000, 0.4268861000, 0.6775583000, 1.1873573000", \ - "0.2014701000, 0.2172253000, 0.2489576000, 0.3122486000, 0.4364804000, 0.6880327000, 1.1932138000", \ - "0.2272986000, 0.2427260000, 0.2740266000, 0.3370269000, 0.4607589000, 0.7119118000, 1.2174324000", \ - "0.2824918000, 0.2980314000, 0.3292937000, 0.3911634000, 0.5168664000, 0.7684089000, 1.2723503000", \ - "0.3831833000, 0.4021843000, 0.4383270000, 0.5081154000, 0.6358883000, 0.8880474000, 1.3902204000", \ - "0.5509614000, 0.5775087000, 0.6272473000, 0.7179081000, 0.8773769000, 1.1571286000, 1.6674536000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0214270000, 0.0239037000, 0.0287403000, 0.0379626000, 0.0561977000, 0.0921292000, 0.1642898000", \ - "0.0210304000, 0.0233768000, 0.0280865000, 0.0375557000, 0.0557676000, 0.0918648000, 0.1642669000", \ - "0.0248126000, 0.0266767000, 0.0303947000, 0.0384310000, 0.0553456000, 0.0915823000, 0.1641704000", \ - "0.0382803000, 0.0403798000, 0.0446658000, 0.0524907000, 0.0651156000, 0.0953251000, 0.1639287000", \ - "0.0616586000, 0.0649765000, 0.0709543000, 0.0825462000, 0.0990193000, 0.1282970000, 0.1818151000", \ - "0.1031155000, 0.1081461000, 0.1178387000, 0.1329853000, 0.1600032000, 0.2043566000, 0.2696773000", \ - "0.1770852000, 0.1877723000, 0.2001075000, 0.2272613000, 0.2686021000, 0.3332499000, 0.4290246000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.1506800000, 0.1706265000, 0.2117023000, 0.2931155000, 0.4578444000, 0.7900471000, 1.4602175000", \ - "0.1509549000, 0.1706701000, 0.2117829000, 0.2929292000, 0.4583807000, 0.7887347000, 1.4616076000", \ - "0.1508419000, 0.1708432000, 0.2117905000, 0.2940062000, 0.4586527000, 0.7891969000, 1.4629921000", \ - "0.1508918000, 0.1711534000, 0.2119164000, 0.2935684000, 0.4573548000, 0.7909627000, 1.4569748000", \ - "0.1563841000, 0.1754224000, 0.2136057000, 0.2945532000, 0.4595220000, 0.7909939000, 1.4617879000", \ - "0.1908528000, 0.2096220000, 0.2464650000, 0.3186935000, 0.4717581000, 0.7938649000, 1.4598118000", \ - "0.2730217000, 0.2928159000, 0.3319875000, 0.4085007000, 0.5601503000, 0.8476769000, 1.4777255000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0220864000, 0.0239252000, 0.0276083000, 0.0346941000, 0.0485779000, 0.0760192000, 0.1307491000", \ - "0.0269572000, 0.0288166000, 0.0323531000, 0.0394466000, 0.0533639000, 0.0808115000, 0.1355539000", \ - "0.0379669000, 0.0400258000, 0.0439081000, 0.0509047000, 0.0644807000, 0.0919124000, 0.1466255000", \ - "0.0533919000, 0.0564551000, 0.0623849000, 0.0728916000, 0.0895483000, 0.1176774000, 0.1725370000", \ - "0.0691643000, 0.0743028000, 0.0838595000, 0.1000934000, 0.1271262000, 0.1691885000, 0.2314775000", \ - "0.0762127000, 0.0836879000, 0.0996611000, 0.1253428000, 0.1683395000, 0.2349536000, 0.3333893000", \ - "0.0471179000, 0.0598434000, 0.0831333000, 0.1244845000, 0.1925821000, 0.2984970000, 0.4547514000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.1553433000, 0.1706388000, 0.2026244000, 0.2641407000, 0.3890780000, 0.6426124000, 1.1521720000", \ - "0.1567886000, 0.1727133000, 0.2044531000, 0.2664560000, 0.3917185000, 0.6434692000, 1.1451585000", \ - "0.1655637000, 0.1809772000, 0.2129721000, 0.2761465000, 0.4032740000, 0.6517856000, 1.1570378000", \ - "0.1905436000, 0.2061433000, 0.2364778000, 0.2992464000, 0.4261934000, 0.6799877000, 1.1876653000", \ - "0.2468445000, 0.2627430000, 0.2940249000, 0.3563103000, 0.4817745000, 0.7310000000, 1.2359509000", \ - "0.3514307000, 0.3723185000, 0.4129506000, 0.4871368000, 0.6165335000, 0.8675891000, 1.3757677000", \ - "0.5287023000, 0.5598129000, 0.6175209000, 0.7191216000, 0.8909191000, 1.1826737000, 1.6908860000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0181395000, 0.0203808000, 0.0247340000, 0.0334242000, 0.0510260000, 0.0868344000, 0.1588187000", \ - "0.0179716000, 0.0200631000, 0.0244744000, 0.0332973000, 0.0509034000, 0.0866638000, 0.1587030000", \ - "0.0220684000, 0.0236553000, 0.0272005000, 0.0348920000, 0.0512105000, 0.0866384000, 0.1589036000", \ - "0.0345765000, 0.0368335000, 0.0417735000, 0.0489193000, 0.0618259000, 0.0912925000, 0.1592504000", \ - "0.0569223000, 0.0604440000, 0.0670211000, 0.0779368000, 0.0958037000, 0.1262558000, 0.1797496000", \ - "0.0980808000, 0.1038214000, 0.1128397000, 0.1296994000, 0.1579596000, 0.2003574000, 0.2664205000", \ - "0.1712852000, 0.1800559000, 0.1957654000, 0.2223792000, 0.2648465000, 0.3309427000, 0.4287418000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.1506641000, 0.1703828000, 0.2117683000, 0.2932300000, 0.4578525000, 0.7911933000, 1.4649979000", \ - "0.1508214000, 0.1705069000, 0.2116317000, 0.2931822000, 0.4578704000, 0.7915284000, 1.4577874000", \ - "0.1502728000, 0.1705830000, 0.2116459000, 0.2935217000, 0.4610695000, 0.7894170000, 1.4581907000", \ - "0.1507823000, 0.1704326000, 0.2113128000, 0.2930769000, 0.4583723000, 0.7921741000, 1.4616460000", \ - "0.1598029000, 0.1784733000, 0.2168259000, 0.2955295000, 0.4584650000, 0.7895214000, 1.4577816000", \ - "0.2061752000, 0.2243647000, 0.2615998000, 0.3301828000, 0.4765338000, 0.7928858000, 1.4604806000", \ - "0.3065214000, 0.3271039000, 0.3684703000, 0.4462734000, 0.5905869000, 0.8664516000, 1.4791597000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.1095944000, 0.1129144000, 0.1188885000, 0.1290702000, 0.1468742000, 0.1781014000, 0.2355173000", \ - "0.1146355000, 0.1177600000, 0.1237244000, 0.1340303000, 0.1518699000, 0.1831461000, 0.2406444000", \ - "0.1271807000, 0.1304286000, 0.1363713000, 0.1468391000, 0.1645902000, 0.1958047000, 0.2532938000", \ - "0.1589640000, 0.1622125000, 0.1681082000, 0.1784790000, 0.1963722000, 0.2276307000, 0.2850990000", \ - "0.2297876000, 0.2331856000, 0.2393848000, 0.2500947000, 0.2683175000, 0.2999157000, 0.3577900000", \ - "0.3487377000, 0.3530423000, 0.3606691000, 0.3735290000, 0.3945994000, 0.4288465000, 0.4885450000", \ - "0.5367655000, 0.5422278000, 0.5519982000, 0.5685544000, 0.5937229000, 0.6351508000, 0.6990706000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.1309237000, 0.1472732000, 0.1796033000, 0.2435223000, 0.3690866000, 0.6224199000, 1.1248359000", \ - "0.1356913000, 0.1515893000, 0.1836748000, 0.2477984000, 0.3751862000, 0.6247684000, 1.1320950000", \ - "0.1460868000, 0.1619981000, 0.1938134000, 0.2578426000, 0.3845587000, 0.6378221000, 1.1429938000", \ - "0.1657898000, 0.1811161000, 0.2127133000, 0.2766245000, 0.4027229000, 0.6554979000, 1.1663372000", \ - "0.1934199000, 0.2088188000, 0.2397502000, 0.3026477000, 0.4304765000, 0.6836924000, 1.1944548000", \ - "0.2277068000, 0.2424012000, 0.2726984000, 0.3343124000, 0.4601008000, 0.7119415000, 1.2172401000", \ - "0.2561513000, 0.2698803000, 0.2981629000, 0.3577418000, 0.4820703000, 0.7349515000, 1.2377535000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0284351000, 0.0311193000, 0.0355469000, 0.0446390000, 0.0615996000, 0.0954880000, 0.1661232000", \ - "0.0285695000, 0.0312914000, 0.0356040000, 0.0446635000, 0.0617588000, 0.0955941000, 0.1660298000", \ - "0.0288023000, 0.0311618000, 0.0357902000, 0.0446599000, 0.0616466000, 0.0955661000, 0.1661347000", \ - "0.0286441000, 0.0313046000, 0.0356501000, 0.0445915000, 0.0616225000, 0.0954154000, 0.1665683000", \ - "0.0318714000, 0.0340542000, 0.0384306000, 0.0466717000, 0.0632633000, 0.0963358000, 0.1667730000", \ - "0.0440320000, 0.0462475000, 0.0506510000, 0.0587379000, 0.0748020000, 0.1058233000, 0.1715344000", \ - "0.0643239000, 0.0671528000, 0.0732423000, 0.0820701000, 0.0963057000, 0.1253624000, 0.1869750000"); - } - related_pin : "D_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.1448795000, 0.1662235000, 0.2090490000, 0.2927804000, 0.4580973000, 0.7941331000, 1.4612344000", \ - "0.1446911000, 0.1663303000, 0.2091052000, 0.2931055000, 0.4590316000, 0.7897819000, 1.4606223000", \ - "0.1447393000, 0.1662121000, 0.2089008000, 0.2930963000, 0.4583125000, 0.7912220000, 1.4610887000", \ - "0.1433628000, 0.1653399000, 0.2082560000, 0.2923370000, 0.4579215000, 0.7917670000, 1.4606037000", \ - "0.1423510000, 0.1634986000, 0.2070443000, 0.2924067000, 0.4581648000, 0.7948446000, 1.4693232000", \ - "0.1411214000, 0.1627397000, 0.2059460000, 0.2915634000, 0.4578554000, 0.7914995000, 1.4587205000", \ - "0.1457890000, 0.1648814000, 0.2055034000, 0.2897073000, 0.4597119000, 0.7933698000, 1.4573576000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor4b_2") { - leakage_power () { - value : 0.0053971000; - when : "!A&!B&!C&D_N"; - } - leakage_power () { - value : 0.0039293000; - when : "!A&!B&!C&!D_N"; - } - leakage_power () { - value : 0.0047642000; - when : "!A&!B&C&D_N"; - } - leakage_power () { - value : 0.0004851000; - when : "!A&!B&C&!D_N"; - } - leakage_power () { - value : 0.0046828000; - when : "!A&B&!C&D_N"; - } - leakage_power () { - value : 0.0004865000; - when : "!A&B&!C&!D_N"; - } - leakage_power () { - value : 0.0026311000; - when : "!A&B&C&D_N"; - } - leakage_power () { - value : 0.0003625000; - when : "!A&B&C&!D_N"; - } - leakage_power () { - value : 0.0046485000; - when : "A&!B&!C&D_N"; - } - leakage_power () { - value : 0.0004887000; - when : "A&!B&!C&!D_N"; - } - leakage_power () { - value : 0.0026336000; - when : "A&!B&C&D_N"; - } - leakage_power () { - value : 0.0003626000; - when : "A&!B&C&!D_N"; - } - leakage_power () { - value : 0.0026434000; - when : "A&B&!C&D_N"; - } - leakage_power () { - value : 0.0003611000; - when : "A&B&!C&!D_N"; - } - leakage_power () { - value : 0.0025145000; - when : "A&B&C&D_N"; - } - leakage_power () { - value : 0.0003203000; - when : "A&B&C&!D_N"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__nor4b"; - cell_leakage_power : 0.0022944600; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0044560000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042310000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0070700000, 0.0070712000, 0.0070740000, 0.0070705000, 0.0070623000, 0.0070433000, 0.0069997000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006759200, -0.006835600, -0.007011800, -0.007045300, -0.007122600, -0.007300600, -0.007711000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046810000; - } - pin ("B") { - capacitance : 0.0044220000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041180000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0095209000, 0.0095328000, 0.0095602000, 0.0095624000, 0.0095676000, 0.0095795000, 0.0096068000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006959000, -0.006994900, -0.007077600, -0.007108700, -0.007180300, -0.007345400, -0.007726000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047260000; - } - pin ("C") { - capacitance : 0.0043030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0083312000, 0.0083290000, 0.0083240000, 0.0083282000, 0.0083379000, 0.0083604000, 0.0084121000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007520100, -0.007620400, -0.007851600, -0.007859600, -0.007878200, -0.007920900, -0.008019500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046400000; - } - pin ("D_N") { - capacitance : 0.0014290000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0115543000, 0.0114646000, 0.0112579000, 0.0113173000, 0.0114543000, 0.0117699000, 0.0124976000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039983000, 0.0039533000, 0.0038497000, 0.0039064000, 0.0040372000, 0.0043387000, 0.0050336000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014770000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&!C&D_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011254020, 0.0025330600, 0.0057014220, 0.0128327800, 0.0288840800, 0.0650124200"); - values("0.0101539000, 0.0091370000, 0.0068696000, 0.0017710000, -0.009677700, -0.035392600, -0.093545200", \ - "0.0101132000, 0.0091008000, 0.0068488000, 0.0017393000, -0.009700500, -0.035421700, -0.093582900", \ - "0.0100193000, 0.0090245000, 0.0068188000, 0.0017016000, -0.009737300, -0.035447300, -0.093591000", \ - "0.0097257000, 0.0087417000, 0.0065222000, 0.0014515000, -0.009902600, -0.035598700, -0.093734400", \ - "0.0097382000, 0.0087123000, 0.0064295000, 0.0013162000, -0.010098500, -0.035692500, -0.093780200", \ - "0.0107128000, 0.0096994000, 0.0074728000, 0.0024062000, -0.009155000, -0.035244400, -0.093621000", \ - "0.0133136000, 0.0122514000, 0.0098820000, 0.0045454000, -0.007155900, -0.033444400, -0.092250500"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011254020, 0.0025330600, 0.0057014220, 0.0128327800, 0.0288840800, 0.0650124200"); - values("0.0223660000, 0.0234470000, 0.0258083000, 0.0310941000, 0.0426993000, 0.0687509000, 0.1268420000", \ - "0.0219821000, 0.0230573000, 0.0253735000, 0.0307228000, 0.0422459000, 0.0681704000, 0.1261166000", \ - "0.0216218000, 0.0226292000, 0.0249916000, 0.0301968000, 0.0418462000, 0.0678404000, 0.1258896000", \ - "0.0213613000, 0.0223921000, 0.0247511000, 0.0298720000, 0.0414496000, 0.0674467000, 0.1255713000", \ - "0.0211579000, 0.0221772000, 0.0244865000, 0.0296317000, 0.0412138000, 0.0672025000, 0.1252691000", \ - "0.0211703000, 0.0221361000, 0.0244426000, 0.0295666000, 0.0410061000, 0.0669455000, 0.1246099000", \ - "0.0211682000, 0.0221773000, 0.0244431000, 0.0295679000, 0.0413514000, 0.0668512000, 0.1249871000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011254020, 0.0025330600, 0.0057014220, 0.0128327800, 0.0288840800, 0.0650124200"); - values("0.0083801000, 0.0073580000, 0.0050730000, -6.86000e-05, -0.011582100, -0.037458600, -0.095855500", \ - "0.0083742000, 0.0073672000, 0.0050989000, 3.700000e-06, -0.011461100, -0.037354500, -0.095726600", \ - "0.0084254000, 0.0074328000, 0.0051783000, 0.0001430000, -0.011257500, -0.037038100, -0.095393700", \ - "0.0079792000, 0.0070214000, 0.0048402000, -9.44000e-05, -0.011370600, -0.037142200, -0.095375000", \ - "0.0079541000, 0.0069719000, 0.0047537000, -0.000223500, -0.011730500, -0.037313500, -0.095432900", \ - "0.0085317000, 0.0075309000, 0.0052820000, 0.0003130000, -0.011257800, -0.037040800, -0.095483900", \ - "0.0106491000, 0.0095916000, 0.0071796000, 0.0018274000, -0.009978800, -0.036036400, -0.094731600"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011254020, 0.0025330600, 0.0057014220, 0.0128327800, 0.0288840800, 0.0650124200"); - values("0.0190065000, 0.0200683000, 0.0225172000, 0.0276582000, 0.0392380000, 0.0650940000, 0.1229486000", \ - "0.0186142000, 0.0196753000, 0.0221253000, 0.0273449000, 0.0391477000, 0.0649105000, 0.1230086000", \ - "0.0182868000, 0.0193682000, 0.0216889000, 0.0270008000, 0.0386579000, 0.0645944000, 0.1226875000", \ - "0.0180528000, 0.0191301000, 0.0213669000, 0.0265685000, 0.0381535000, 0.0641541000, 0.1223623000", \ - "0.0178451000, 0.0188635000, 0.0211610000, 0.0263144000, 0.0379705000, 0.0636632000, 0.1221725000", \ - "0.0178223000, 0.0188541000, 0.0210761000, 0.0262444000, 0.0377610000, 0.0637191000, 0.1214337000", \ - "0.0183968000, 0.0193725000, 0.0215800000, 0.0265997000, 0.0380040000, 0.0638506000, 0.1217914000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011254020, 0.0025330600, 0.0057014220, 0.0128327800, 0.0288840800, 0.0650124200"); - values("0.0032646000, 0.0024167000, 0.0004756000, -0.003986300, -0.014760000, -0.040095800, -0.098215000", \ - "0.0032705000, 0.0024026000, 0.0004760000, -0.003964200, -0.014729000, -0.040067300, -0.098242400", \ - "0.0033060000, 0.0024766000, 0.0005285000, -0.003942900, -0.014668600, -0.040026500, -0.098166000", \ - "0.0030666000, 0.0021612000, 0.0002337000, -0.004233300, -0.014930900, -0.040241400, -0.098365700", \ - "0.0027266000, 0.0018004000, -0.000148700, -0.004585300, -0.015280400, -0.040515500, -0.098576800", \ - "0.0030046000, 0.0020154000, -0.000219800, -0.005197900, -0.015963200, -0.040903800, -0.098832000", \ - "0.0046298000, 0.0032330000, 0.0009364000, -0.003698900, -0.014987200, -0.040405200, -0.098365300"); - } - related_pin : "D_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011254020, 0.0025330600, 0.0057014220, 0.0128327800, 0.0288840800, 0.0650124200"); - values("0.0169790000, 0.0180756000, 0.0203952000, 0.0257384000, 0.0376666000, 0.0636749000, 0.1221616000", \ - "0.0169747000, 0.0180378000, 0.0203924000, 0.0257360000, 0.0376161000, 0.0634414000, 0.1215938000", \ - "0.0169755000, 0.0180117000, 0.0204128000, 0.0257325000, 0.0375432000, 0.0635749000, 0.1220149000", \ - "0.0167509000, 0.0177742000, 0.0200685000, 0.0253405000, 0.0371589000, 0.0631217000, 0.1214424000", \ - "0.0163423000, 0.0174157000, 0.0196568000, 0.0248914000, 0.0365380000, 0.0625399000, 0.1209229000", \ - "0.0163611000, 0.0173804000, 0.0196915000, 0.0248221000, 0.0362475000, 0.0621666000, 0.1204382000", \ - "0.0166692000, 0.0176520000, 0.0199070000, 0.0248126000, 0.0360071000, 0.0620952000, 0.1201769000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011254020, 0.0025330600, 0.0057014220, 0.0128327800, 0.0288840800, 0.0650124200"); - values("0.0130550000, 0.0120672000, 0.0097934000, 0.0046899000, -0.006793600, -0.032586100, -0.090492300", \ - "0.0128628000, 0.0118840000, 0.0096060000, 0.0045015000, -0.006973400, -0.032748600, -0.090675700", \ - "0.0128136000, 0.0118013000, 0.0095360000, 0.0044046000, -0.007110600, -0.032887200, -0.090795700", \ - "0.0124565000, 0.0114759000, 0.0091434000, 0.0040899000, -0.007402000, -0.033146200, -0.091036400", \ - "0.0121441000, 0.0111504000, 0.0089051000, 0.0039119000, -0.007591500, -0.033201500, -0.091055900", \ - "0.0137676000, 0.0127496000, 0.0104242000, 0.0052269000, -0.006315400, -0.032605400, -0.090847100", \ - "0.0166500000, 0.0155983000, 0.0133816000, 0.0081300000, -0.003722600, -0.030173600, -0.089154500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011254020, 0.0025330600, 0.0057014220, 0.0128327800, 0.0288840800, 0.0650124200"); - values("0.0294802000, 0.0305464000, 0.0328810000, 0.0380203000, 0.0495689000, 0.0753424000, 0.1331858000", \ - "0.0291500000, 0.0301464000, 0.0325264000, 0.0377122000, 0.0493253000, 0.0751945000, 0.1331376000", \ - "0.0287862000, 0.0298197000, 0.0321729000, 0.0373326000, 0.0489751000, 0.0749211000, 0.1330279000", \ - "0.0285896000, 0.0296208000, 0.0319817000, 0.0370803000, 0.0486418000, 0.0746317000, 0.1327023000", \ - "0.0284351000, 0.0294247000, 0.0317269000, 0.0368936000, 0.0483363000, 0.0742095000, 0.1323028000", \ - "0.0284209000, 0.0294325000, 0.0317344000, 0.0368074000, 0.0482709000, 0.0741828000, 0.1320196000", \ - "0.0282191000, 0.0294202000, 0.0317162000, 0.0369095000, 0.0485005000, 0.0738742000, 0.1320172000"); - } - } - max_capacitance : 0.0650120000; - max_transition : 1.4960870000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.0186632000, 0.0200093000, 0.0230175000, 0.0295165000, 0.0433553000, 0.0724971000, 0.1343142000", \ - "0.0241686000, 0.0254482000, 0.0283126000, 0.0345879000, 0.0482243000, 0.0771602000, 0.1388345000", \ - "0.0363659000, 0.0378396000, 0.0409386000, 0.0471382000, 0.0600623000, 0.0882639000, 0.1496362000", \ - "0.0548664000, 0.0569780000, 0.0615629000, 0.0704305000, 0.0864111000, 0.1153562000, 0.1759212000", \ - "0.0791046000, 0.0822351000, 0.0886675000, 0.1017108000, 0.1263915000, 0.1682919000, 0.2372860000", \ - "0.1024775000, 0.1071016000, 0.1169468000, 0.1368959000, 0.1733908000, 0.2387484000, 0.3440505000", \ - "0.1040552000, 0.1110414000, 0.1262622000, 0.1567602000, 0.2130425000, 0.3150693000, 0.4793251000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.2044698000, 0.2150123000, 0.2379063000, 0.2873981000, 0.3985451000, 0.6467558000, 1.2035593000", \ - "0.2075834000, 0.2174245000, 0.2407182000, 0.2906650000, 0.4025024000, 0.6515601000, 1.2086064000", \ - "0.2184307000, 0.2283987000, 0.2514977000, 0.3012868000, 0.4133621000, 0.6631710000, 1.2211741000", \ - "0.2448003000, 0.2547065000, 0.2774685000, 0.3269904000, 0.4384668000, 0.6880117000, 1.2470907000", \ - "0.2983497000, 0.3079816000, 0.3307246000, 0.3803403000, 0.4909887000, 0.7399380000, 1.2989201000", \ - "0.3895819000, 0.4010304000, 0.4257880000, 0.4806190000, 0.5955501000, 0.8459356000, 1.4025668000", \ - "0.5317544000, 0.5476550000, 0.5785156000, 0.6451421000, 0.7804011000, 1.0573605000, 1.6219858000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.0193294000, 0.0211320000, 0.0249699000, 0.0333889000, 0.0509253000, 0.0879315000, 0.1675779000", \ - "0.0197887000, 0.0213057000, 0.0248294000, 0.0328435000, 0.0503555000, 0.0875695000, 0.1674917000", \ - "0.0255862000, 0.0265734000, 0.0290781000, 0.0354170000, 0.0507377000, 0.0866931000, 0.1672746000", \ - "0.0400517000, 0.0414601000, 0.0444251000, 0.0505661000, 0.0622364000, 0.0914182000, 0.1666211000", \ - "0.0646028000, 0.0666796000, 0.0709472000, 0.0800199000, 0.0964764000, 0.1254911000, 0.1847661000", \ - "0.1060829000, 0.1094396000, 0.1161821000, 0.1300536000, 0.1550127000, 0.1973516000, 0.2684455000", \ - "0.1796793000, 0.1851522000, 0.1972129000, 0.2185409000, 0.2558172000, 0.3211440000, 0.4250779000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.1346611000, 0.1477962000, 0.1775751000, 0.2439496000, 0.3923314000, 0.7247855000, 1.4698620000", \ - "0.1349133000, 0.1486691000, 0.1777256000, 0.2439828000, 0.3928024000, 0.7246754000, 1.4710442000", \ - "0.1355674000, 0.1480802000, 0.1777316000, 0.2439933000, 0.3927631000, 0.7247907000, 1.4710251000", \ - "0.1356868000, 0.1488784000, 0.1779475000, 0.2439246000, 0.3922228000, 0.7263226000, 1.4743609000", \ - "0.1370771000, 0.1498271000, 0.1790513000, 0.2441924000, 0.3927572000, 0.7247341000, 1.4710081000", \ - "0.1598321000, 0.1727376000, 0.2012967000, 0.2623354000, 0.4029828000, 0.7286488000, 1.4721835000", \ - "0.2110598000, 0.2244483000, 0.2542704000, 0.3204335000, 0.4656634000, 0.7755355000, 1.4896895000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.0205609000, 0.0220011000, 0.0251504000, 0.0318250000, 0.0457406000, 0.0747882000, 0.1369096000", \ - "0.0259750000, 0.0273382000, 0.0303496000, 0.0368618000, 0.0504921000, 0.0794342000, 0.1415619000", \ - "0.0382221000, 0.0396658000, 0.0426511000, 0.0488644000, 0.0620788000, 0.0904998000, 0.1525076000", \ - "0.0561807000, 0.0584331000, 0.0625519000, 0.0717866000, 0.0880976000, 0.1166034000, 0.1781720000", \ - "0.0781625000, 0.0813675000, 0.0879655000, 0.1010050000, 0.1260267000, 0.1691300000, 0.2391912000", \ - "0.0954638000, 0.1002375000, 0.1105526000, 0.1305765000, 0.1700815000, 0.2371827000, 0.3455121000", \ - "0.0870374000, 0.0945040000, 0.1103736000, 0.1421162000, 0.2021197000, 0.3078219000, 0.4781038000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.1883819000, 0.1990663000, 0.2221696000, 0.2728884000, 0.3854290000, 0.6357088000, 1.1992197000", \ - "0.1900130000, 0.2005001000, 0.2233290000, 0.2747317000, 0.3859237000, 0.6350693000, 1.1927174000", \ - "0.1994630000, 0.2092868000, 0.2324787000, 0.2826735000, 0.3949536000, 0.6452169000, 1.2033318000", \ - "0.2234791000, 0.2336274000, 0.2562580000, 0.3058560000, 0.4175510000, 0.6675872000, 1.2270398000", \ - "0.2746326000, 0.2849031000, 0.3078028000, 0.3577370000, 0.4694718000, 0.7219383000, 1.2788994000", \ - "0.3620431000, 0.3742144000, 0.4011605000, 0.4594173000, 0.5782834000, 0.8277563000, 1.3874671000", \ - "0.5037235000, 0.5204619000, 0.5575105000, 0.6348791000, 0.7837282000, 1.0684310000, 1.6360638000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.0206591000, 0.0223392000, 0.0260616000, 0.0338650000, 0.0505189000, 0.0867543000, 0.1677497000", \ - "0.0203258000, 0.0219700000, 0.0254252000, 0.0332988000, 0.0500422000, 0.0864975000, 0.1673992000", \ - "0.0247595000, 0.0258339000, 0.0284229000, 0.0348656000, 0.0500558000, 0.0860434000, 0.1674799000", \ - "0.0381762000, 0.0395195000, 0.0431067000, 0.0487096000, 0.0603990000, 0.0904958000, 0.1669218000", \ - "0.0607905000, 0.0630754000, 0.0679324000, 0.0770682000, 0.0931722000, 0.1241905000, 0.1846609000", \ - "0.1004926000, 0.1041117000, 0.1108009000, 0.1256006000, 0.1514247000, 0.1947261000, 0.2691527000", \ - "0.1717646000, 0.1774063000, 0.1891725000, 0.2123772000, 0.2529436000, 0.3198454000, 0.4268057000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.1351325000, 0.1479205000, 0.1775194000, 0.2446625000, 0.3933714000, 0.7312775000, 1.4853341000", \ - "0.1346411000, 0.1480721000, 0.1776622000, 0.2446394000, 0.3931734000, 0.7252523000, 1.4706846000", \ - "0.1349781000, 0.1487104000, 0.1777099000, 0.2440660000, 0.3924397000, 0.7258343000, 1.4739479000", \ - "0.1357326000, 0.1488293000, 0.1780014000, 0.2440674000, 0.3922700000, 0.7260786000, 1.4748249000", \ - "0.1408358000, 0.1531482000, 0.1812337000, 0.2456200000, 0.3936097000, 0.7283086000, 1.4755832000", \ - "0.1726623000, 0.1859145000, 0.2146309000, 0.2745536000, 0.4109048000, 0.7314293000, 1.4721280000", \ - "0.2482008000, 0.2616680000, 0.2911150000, 0.3560611000, 0.4960983000, 0.7945213000, 1.4960874000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.0213084000, 0.0226165000, 0.0254227000, 0.0314599000, 0.0443287000, 0.0721051000, 0.1332677000", \ - "0.0262541000, 0.0275277000, 0.0303069000, 0.0363200000, 0.0490416000, 0.0768230000, 0.1379876000", \ - "0.0373641000, 0.0388122000, 0.0418245000, 0.0478448000, 0.0604261000, 0.0880231000, 0.1492016000", \ - "0.0528684000, 0.0550580000, 0.0596618000, 0.0686706000, 0.0853237000, 0.1140207000, 0.1749713000", \ - "0.0693454000, 0.0727689000, 0.0799387000, 0.0941759000, 0.1202374000, 0.1647150000, 0.2361298000", \ - "0.0784278000, 0.0837212000, 0.0948385000, 0.1162068000, 0.1582377000, 0.2286636000, 0.3399715000", \ - "0.0538684000, 0.0622104000, 0.0798852000, 0.1149555000, 0.1805603000, 0.2923057000, 0.4680962000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.1534486000, 0.1634719000, 0.1870709000, 0.2368531000, 0.3485028000, 0.5970834000, 1.1538002000", \ - "0.1547759000, 0.1647612000, 0.1882500000, 0.2393573000, 0.3529239000, 0.6001366000, 1.1625025000", \ - "0.1630633000, 0.1736804000, 0.1964781000, 0.2471950000, 0.3617400000, 0.6089137000, 1.1681295000", \ - "0.1879292000, 0.1980341000, 0.2204339000, 0.2702449000, 0.3814452000, 0.6317225000, 1.1912361000", \ - "0.2406019000, 0.2512478000, 0.2742915000, 0.3244753000, 0.4365384000, 0.6876513000, 1.2446151000", \ - "0.3330090000, 0.3472325000, 0.3774679000, 0.4412578000, 0.5639560000, 0.8139463000, 1.3750072000", \ - "0.4807727000, 0.5022943000, 0.5475877000, 0.6371307000, 0.8045063000, 1.1077440000, 1.6756587000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.0176438000, 0.0190423000, 0.0223352000, 0.0295169000, 0.0453271000, 0.0807466000, 0.1611890000", \ - "0.0173254000, 0.0187231000, 0.0219172000, 0.0291847000, 0.0451575000, 0.0808490000, 0.1613074000", \ - "0.0216528000, 0.0226589000, 0.0250337000, 0.0309988000, 0.0454504000, 0.0806728000, 0.1612360000", \ - "0.0336408000, 0.0351560000, 0.0383311000, 0.0446774000, 0.0567015000, 0.0856956000, 0.1616740000", \ - "0.0555598000, 0.0579007000, 0.0629299000, 0.0721216000, 0.0890142000, 0.1210927000, 0.1799491000", \ - "0.0935062000, 0.0973593000, 0.1046275000, 0.1201056000, 0.1477075000, 0.1920192000, 0.2650272000", \ - "0.1639848000, 0.1700495000, 0.1823719000, 0.2059424000, 0.2485743000, 0.3165052000, 0.4257110000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.1350756000, 0.1483303000, 0.1777981000, 0.2441055000, 0.3926069000, 0.7271221000, 1.4714392000", \ - "0.1351070000, 0.1483616000, 0.1777268000, 0.2443659000, 0.3934198000, 0.7246193000, 1.4766478000", \ - "0.1349543000, 0.1475606000, 0.1773390000, 0.2448209000, 0.3940861000, 0.7245420000, 1.4710390000", \ - "0.1350328000, 0.1477279000, 0.1775061000, 0.2438129000, 0.3928720000, 0.7245784000, 1.4707604000", \ - "0.1447494000, 0.1570743000, 0.1845587000, 0.2479319000, 0.3941810000, 0.7271745000, 1.4751175000", \ - "0.1875926000, 0.2006290000, 0.2293206000, 0.2889854000, 0.4164276000, 0.7308317000, 1.4739823000", \ - "0.2826013000, 0.2978769000, 0.3302300000, 0.3982731000, 0.5332956000, 0.8134192000, 1.4941024000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.1285085000, 0.1311598000, 0.1365980000, 0.1468955000, 0.1656855000, 0.1992686000, 0.2633491000", \ - "0.1335115000, 0.1361451000, 0.1415540000, 0.1519137000, 0.1706665000, 0.2042004000, 0.2683475000", \ - "0.1458616000, 0.1485193000, 0.1539478000, 0.1641979000, 0.1830591000, 0.2165648000, 0.2808027000", \ - "0.1765748000, 0.1793395000, 0.1847440000, 0.1950829000, 0.2137162000, 0.2474426000, 0.3116019000", \ - "0.2479218000, 0.2505886000, 0.2560213000, 0.2664503000, 0.2854228000, 0.3191881000, 0.3834588000", \ - "0.3733421000, 0.3764130000, 0.3829861000, 0.3956506000, 0.4176283000, 0.4546363000, 0.5216240000", \ - "0.5625814000, 0.5666642000, 0.5748724000, 0.5912938000, 0.6192729000, 0.6635473000, 0.7375509000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.1226002000, 0.1318954000, 0.1536378000, 0.2049801000, 0.3187704000, 0.5685971000, 1.1320782000", \ - "0.1273497000, 0.1368930000, 0.1584790000, 0.2095396000, 0.3238496000, 0.5734062000, 1.1326861000", \ - "0.1388483000, 0.1483402000, 0.1702129000, 0.2208839000, 0.3344622000, 0.5846403000, 1.1482364000", \ - "0.1642013000, 0.1734835000, 0.1946140000, 0.2447459000, 0.3579998000, 0.6090619000, 1.1720931000", \ - "0.2075289000, 0.2165645000, 0.2371663000, 0.2865233000, 0.3987325000, 0.6494928000, 1.2111742000", \ - "0.2689307000, 0.2770957000, 0.2967649000, 0.3441664000, 0.4545544000, 0.7037120000, 1.2635821000", \ - "0.3438277000, 0.3520854000, 0.3714370000, 0.4158896000, 0.5235335000, 0.7724171000, 1.3306106000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.0313346000, 0.0330040000, 0.0365877000, 0.0444268000, 0.0593231000, 0.0918138000, 0.1649737000", \ - "0.0313619000, 0.0329763000, 0.0364718000, 0.0445680000, 0.0596979000, 0.0921617000, 0.1648310000", \ - "0.0317169000, 0.0332396000, 0.0368288000, 0.0443078000, 0.0597627000, 0.0918380000, 0.1652081000", \ - "0.0314594000, 0.0330900000, 0.0366542000, 0.0442975000, 0.0596994000, 0.0919163000, 0.1650873000", \ - "0.0330140000, 0.0344718000, 0.0377572000, 0.0449381000, 0.0603490000, 0.0923687000, 0.1651922000", \ - "0.0462064000, 0.0478950000, 0.0514967000, 0.0580369000, 0.0723905000, 0.1023287000, 0.1706055000", \ - "0.0682369000, 0.0705548000, 0.0740512000, 0.0827097000, 0.0974663000, 0.1268578000, 0.1902008000"); - } - related_pin : "D_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011254000, 0.0025330600, 0.0057014200, 0.0128328000, 0.0288841000, 0.0650124000"); - values("0.1210227000, 0.1354697000, 0.1680676000, 0.2393318000, 0.3930802000, 0.7269750000, 1.4813419000", \ - "0.1210790000, 0.1350836000, 0.1680505000, 0.2391247000, 0.3928001000, 0.7294864000, 1.4729304000", \ - "0.1209224000, 0.1358090000, 0.1678827000, 0.2397445000, 0.3930313000, 0.7271404000, 1.4813475000", \ - "0.1202398000, 0.1351923000, 0.1670446000, 0.2390963000, 0.3929236000, 0.7256535000, 1.4772134000", \ - "0.1196381000, 0.1336565000, 0.1656986000, 0.2374712000, 0.3917259000, 0.7262092000, 1.4756379000", \ - "0.1203981000, 0.1344698000, 0.1659312000, 0.2360576000, 0.3909487000, 0.7272080000, 1.4719349000", \ - "0.1290126000, 0.1413871000, 0.1706134000, 0.2362896000, 0.3887010000, 0.7290926000, 1.4707981000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor4b_4") { - leakage_power () { - value : 0.0184481000; - when : "!A&!B&!C&D_N"; - } - leakage_power () { - value : 0.0043410000; - when : "!A&!B&!C&!D_N"; - } - leakage_power () { - value : 0.0121737000; - when : "!A&!B&C&D_N"; - } - leakage_power () { - value : 0.0004944000; - when : "!A&!B&C&!D_N"; - } - leakage_power () { - value : 0.0120930000; - when : "!A&B&!C&D_N"; - } - leakage_power () { - value : 0.0004974000; - when : "!A&B&!C&!D_N"; - } - leakage_power () { - value : 0.0098057000; - when : "!A&B&C&D_N"; - } - leakage_power () { - value : 0.0003598000; - when : "!A&B&C&!D_N"; - } - leakage_power () { - value : 0.0120646000; - when : "A&!B&!C&D_N"; - } - leakage_power () { - value : 0.0004999000; - when : "A&!B&!C&!D_N"; - } - leakage_power () { - value : 0.0098089000; - when : "A&!B&C&D_N"; - } - leakage_power () { - value : 0.0005285000; - when : "A&!B&C&!D_N"; - } - leakage_power () { - value : 0.0098296000; - when : "A&B&!C&D_N"; - } - leakage_power () { - value : 0.0003652000; - when : "A&B&!C&!D_N"; - } - leakage_power () { - value : 0.0100500000; - when : "A&B&C&D_N"; - } - leakage_power () { - value : 0.0204222000; - when : "A&B&C&!D_N"; - } - area : 23.772800000; - cell_footprint : "sky130_fd_sc_hd__nor4b"; - cell_leakage_power : 0.0076113790; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0085850000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081190000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0140888000, 0.0140839000, 0.0140727000, 0.0140679000, 0.0140566000, 0.0140308000, 0.0139710000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013191200, -0.013329000, -0.013646500, -0.013706100, -0.013843600, -0.014160500, -0.014891000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090510000; - } - pin ("B") { - capacitance : 0.0084790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078900000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0214155000, 0.0214021000, 0.0213713000, 0.0213688000, 0.0213629000, 0.0213495000, 0.0213183000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013343800, -0.013418200, -0.013589800, -0.013656800, -0.013811300, -0.014167300, -0.014988100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090690000; - } - pin ("C") { - capacitance : 0.0083790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0077250000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0162977000, 0.0162931000, 0.0162824000, 0.0162908000, 0.0163101000, 0.0163547000, 0.0164572000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.014821200, -0.015005600, -0.015430600, -0.015443200, -0.015472200, -0.015539200, -0.015693500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090320000; - } - pin ("D_N") { - capacitance : 0.0024210000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0213841000, 0.0212423000, 0.0209156000, 0.0211059000, 0.0215444000, 0.0225555000, 0.0248861000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0066424000, 0.0065307000, 0.0062730000, 0.0064048000, 0.0067086000, 0.0074090000, 0.0090233000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025260000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&!C&D_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504020, 0.0075344330, 0.0186099000, 0.0459660900, 0.1135353000"); - values("0.0257232000, 0.0245343000, 0.0216000000, 0.0143801000, -0.003439200, -0.047482500, -0.155893500", \ - "0.0254330000, 0.0242402000, 0.0213062000, 0.0140567000, -0.003805700, -0.047801900, -0.156226700", \ - "0.0252551000, 0.0240921000, 0.0211945000, 0.0139196000, -0.004057000, -0.048038600, -0.156389700", \ - "0.0244680000, 0.0233089000, 0.0204341000, 0.0133095000, -0.004501500, -0.048475100, -0.156904000", \ - "0.0241985000, 0.0230025000, 0.0201746000, 0.0132356000, -0.004371600, -0.048684400, -0.156813900", \ - "0.0259097000, 0.0247019000, 0.0217657000, 0.0144606000, -0.003397200, -0.047978900, -0.156278500", \ - "0.0321938000, 0.0309709000, 0.0279488000, 0.0205547000, 0.0014235000, -0.043432400, -0.153099800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504020, 0.0075344330, 0.0186099000, 0.0459660900, 0.1135353000"); - values("0.0564816000, 0.0577213000, 0.0606919000, 0.0680693000, 0.0860170000, 0.1299101000, 0.2381069000", \ - "0.0558108000, 0.0570674000, 0.0600592000, 0.0676037000, 0.0854853000, 0.1295944000, 0.2378415000", \ - "0.0552264000, 0.0564675000, 0.0594082000, 0.0668749000, 0.0849120000, 0.1291958000, 0.2375805000", \ - "0.0547262000, 0.0559257000, 0.0588734000, 0.0663522000, 0.0846075000, 0.1286702000, 0.2370907000", \ - "0.0543675000, 0.0555627000, 0.0585624000, 0.0658486000, 0.0836725000, 0.1280006000, 0.2366473000", \ - "0.0542453000, 0.0553694000, 0.0583239000, 0.0655935000, 0.0834692000, 0.1278093000, 0.2364151000", \ - "0.0543306000, 0.0555162000, 0.0585303000, 0.0658423000, 0.0836543000, 0.1272830000, 0.2357103000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504020, 0.0075344330, 0.0186099000, 0.0459660900, 0.1135353000"); - values("0.0202089000, 0.0190304000, 0.0161044000, 0.0088742000, -0.008938000, -0.052798600, -0.161583400", \ - "0.0201459000, 0.0189567000, 0.0160281000, 0.0088001000, -0.008994300, -0.052852500, -0.161629600", \ - "0.0200806000, 0.0187789000, 0.0159497000, 0.0086463000, -0.009079900, -0.052925800, -0.161656600", \ - "0.0194549000, 0.0182816000, 0.0154017000, 0.0081715000, -0.009482800, -0.053170700, -0.161892700", \ - "0.0192086000, 0.0180445000, 0.0151448000, 0.0080449000, -0.009508600, -0.053471000, -0.161795700", \ - "0.0209358000, 0.0198717000, 0.0169054000, 0.0098235000, -0.008541000, -0.052308200, -0.161544500", \ - "0.0260012000, 0.0247641000, 0.0217372000, 0.0145423000, -0.003867600, -0.049032400, -0.158555500"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504020, 0.0075344330, 0.0186099000, 0.0459660900, 0.1135353000"); - values("0.0406750000, 0.0418467000, 0.0449291000, 0.0524219000, 0.0702068000, 0.1145793000, 0.2223907000", \ - "0.0398017000, 0.0409927000, 0.0440562000, 0.0517093000, 0.0697151000, 0.1137891000, 0.2222674000", \ - "0.0390965000, 0.0402719000, 0.0433467000, 0.0508888000, 0.0692972000, 0.1138424000, 0.2222444000", \ - "0.0386504000, 0.0398816000, 0.0427665000, 0.0500701000, 0.0681402000, 0.1126009000, 0.2213858000", \ - "0.0382371000, 0.0394337000, 0.0423881000, 0.0496235000, 0.0678398000, 0.1116653000, 0.2204343000", \ - "0.0380215000, 0.0392308000, 0.0422586000, 0.0494254000, 0.0671987000, 0.1114423000, 0.2197300000", \ - "0.0383654000, 0.0395644000, 0.0424515000, 0.0497109000, 0.0678282000, 0.1114585000, 0.2195871000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504020, 0.0075344330, 0.0186099000, 0.0459660900, 0.1135353000"); - values("0.0163545000, 0.0151351000, 0.0121803000, 0.0048871000, -0.013019000, -0.057175500, -0.166337100", \ - "0.0163452000, 0.0151638000, 0.0122534000, 0.0049953000, -0.012844300, -0.056886900, -0.166074300", \ - "0.0164911000, 0.0153197000, 0.0124118000, 0.0052321000, -0.012468400, -0.056385600, -0.165461800", \ - "0.0157979000, 0.0146637000, 0.0118353000, 0.0048749000, -0.012724700, -0.056434100, -0.165381000", \ - "0.0159478000, 0.0148004000, 0.0119772000, 0.0048403000, -0.012788200, -0.056663800, -0.165318800", \ - "0.0167506000, 0.0154403000, 0.0124985000, 0.0053363000, -0.012641000, -0.055783600, -0.165323300", \ - "0.0208523000, 0.0195630000, 0.0163011000, 0.0090601000, -0.009277400, -0.054286700, -0.163276400"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504020, 0.0075344330, 0.0186099000, 0.0459660900, 0.1135353000"); - values("0.0366084000, 0.0378584000, 0.0410811000, 0.0484186000, 0.0665173000, 0.1105769000, 0.2187962000", \ - "0.0356844000, 0.0369372000, 0.0401628000, 0.0475692000, 0.0658783000, 0.1101439000, 0.2186712000", \ - "0.0350549000, 0.0363092000, 0.0393303000, 0.0468518000, 0.0649886000, 0.1102637000, 0.2180457000", \ - "0.0344468000, 0.0356742000, 0.0387752000, 0.0459887000, 0.0641212000, 0.1084889000, 0.2175037000", \ - "0.0339981000, 0.0352393000, 0.0382144000, 0.0455060000, 0.0636779000, 0.1078420000, 0.2163901000", \ - "0.0340018000, 0.0351638000, 0.0380815000, 0.0453678000, 0.0633404000, 0.1075580000, 0.2156777000", \ - "0.0352285000, 0.0363529000, 0.0392439000, 0.0462479000, 0.0639143000, 0.1073548000, 0.2166201000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504020, 0.0075344330, 0.0186099000, 0.0459660900, 0.1135353000"); - values("0.0092062000, 0.0081750000, 0.0056279000, -0.000806200, -0.017496000, -0.060742600, -0.169596700", \ - "0.0092000000, 0.0081644000, 0.0055558000, -0.000816100, -0.017478300, -0.060768200, -0.169606300", \ - "0.0093173000, 0.0082749000, 0.0056680000, -0.000787800, -0.017494700, -0.060702100, -0.169507600", \ - "0.0087908000, 0.0077361000, 0.0051017000, -0.001341800, -0.018013400, -0.061155800, -0.169925400", \ - "0.0078767000, 0.0068459000, 0.0042145000, -0.002238800, -0.018811900, -0.061827700, -0.170288700", \ - "0.0080788000, 0.0069428000, 0.0041166000, -0.002736100, -0.020094800, -0.062722000, -0.170992200", \ - "0.0084863000, 0.0072203000, 0.0043313000, -0.002709700, -0.020172200, -0.063522000, -0.171894800"); - } - related_pin : "D_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504020, 0.0075344330, 0.0186099000, 0.0459660900, 0.1135353000"); - values("0.0341430000, 0.0354103000, 0.0385247000, 0.0460506000, 0.0648989000, 0.1091314000, 0.2180621000", \ - "0.0341404000, 0.0353976000, 0.0384918000, 0.0460953000, 0.0645202000, 0.1092432000, 0.2188019000", \ - "0.0341235000, 0.0353784000, 0.0385049000, 0.0460932000, 0.0644550000, 0.1092774000, 0.2189131000", \ - "0.0335249000, 0.0347343000, 0.0378860000, 0.0453248000, 0.0636197000, 0.1086994000, 0.2179155000", \ - "0.0330880000, 0.0343020000, 0.0373164000, 0.0445386000, 0.0629087000, 0.1075501000, 0.2164799000", \ - "0.0326890000, 0.0338645000, 0.0368853000, 0.0442233000, 0.0619804000, 0.1063979000, 0.2153029000", \ - "0.0336042000, 0.0348294000, 0.0377555000, 0.0446361000, 0.0622101000, 0.1062494000, 0.2152501000"); - } - } - max_capacitance : 0.1135350000; - max_transition : 1.4936780000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.0190220000, 0.0198998000, 0.0220757000, 0.0272302000, 0.0392796000, 0.0668758000, 0.1305074000", \ - "0.0244504000, 0.0252791000, 0.0273296000, 0.0323348000, 0.0440878000, 0.0715002000, 0.1349674000", \ - "0.0364463000, 0.0373925000, 0.0396126000, 0.0447100000, 0.0556925000, 0.0824421000, 0.1454633000", \ - "0.0539770000, 0.0553564000, 0.0585656000, 0.0656551000, 0.0807897000, 0.1089785000, 0.1709623000", \ - "0.0759961000, 0.0779775000, 0.0824882000, 0.0922438000, 0.1150999000, 0.1568789000, 0.2303104000", \ - "0.0947094000, 0.0976684000, 0.1046752000, 0.1205142000, 0.1538406000, 0.2168715000, 0.3285837000", \ - "0.0842810000, 0.0887441000, 0.0993147000, 0.1233217000, 0.1724988000, 0.2723542000, 0.4447409000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.2177670000, 0.2244380000, 0.2409266000, 0.2820803000, 0.3804499000, 0.6197703000, 1.2111756000", \ - "0.2200170000, 0.2271414000, 0.2440406000, 0.2850929000, 0.3833915000, 0.6244334000, 1.2166800000", \ - "0.2309873000, 0.2378531000, 0.2545820000, 0.2955319000, 0.3941812000, 0.6362645000, 1.2292695000", \ - "0.2571894000, 0.2636880000, 0.2800163000, 0.3209638000, 0.4211970000, 0.6628620000, 1.2564807000", \ - "0.3112007000, 0.3175821000, 0.3345902000, 0.3746350000, 0.4727276000, 0.7208608000, 1.3126626000", \ - "0.4069903000, 0.4143689000, 0.4328286000, 0.4771079000, 0.5795015000, 0.8215504000, 1.4241772000", \ - "0.5619865000, 0.5713987000, 0.5949027000, 0.6475080000, 0.7676566000, 1.0369414000, 1.6373666000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.0217038000, 0.0229255000, 0.0258310000, 0.0328212000, 0.0491690000, 0.0868988000, 0.1754608000", \ - "0.0220346000, 0.0230180000, 0.0256844000, 0.0323352000, 0.0485650000, 0.0864710000, 0.1751917000", \ - "0.0275042000, 0.0283244000, 0.0302947000, 0.0355363000, 0.0494824000, 0.0855314000, 0.1745595000", \ - "0.0412139000, 0.0421976000, 0.0448350000, 0.0499224000, 0.0619803000, 0.0915803000, 0.1745379000", \ - "0.0649435000, 0.0663885000, 0.0698573000, 0.0783694000, 0.0938753000, 0.1244407000, 0.1937385000", \ - "0.1064850000, 0.1086674000, 0.1135568000, 0.1247379000, 0.1474602000, 0.1908074000, 0.2743818000", \ - "0.1793015000, 0.1827597000, 0.1907817000, 0.2091357000, 0.2453509000, 0.3096548000, 0.4253260000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.1444006000, 0.1531266000, 0.1739067000, 0.2275191000, 0.3593074000, 0.6812474000, 1.4708169000", \ - "0.1437618000, 0.1526514000, 0.1743053000, 0.2282072000, 0.3587163000, 0.6817812000, 1.4708800000", \ - "0.1440752000, 0.1528300000, 0.1744574000, 0.2280504000, 0.3590217000, 0.6819843000, 1.4718458000", \ - "0.1447721000, 0.1535200000, 0.1741733000, 0.2281439000, 0.3599823000, 0.6820396000, 1.4724514000", \ - "0.1459070000, 0.1541044000, 0.1756087000, 0.2286138000, 0.3590204000, 0.6852168000, 1.4762309000", \ - "0.1673582000, 0.1765024000, 0.1966032000, 0.2459767000, 0.3697301000, 0.6851854000, 1.4819230000", \ - "0.2148652000, 0.2239204000, 0.2453118000, 0.2988337000, 0.4271922000, 0.7308202000, 1.4850724000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.0209873000, 0.0219406000, 0.0242269000, 0.0296338000, 0.0419805000, 0.0697622000, 0.1339411000", \ - "0.0262663000, 0.0271002000, 0.0292955000, 0.0345391000, 0.0466393000, 0.0743312000, 0.1382758000", \ - "0.0382489000, 0.0392508000, 0.0413917000, 0.0463650000, 0.0579552000, 0.0851138000, 0.1489716000", \ - "0.0551100000, 0.0564991000, 0.0597674000, 0.0671271000, 0.0820572000, 0.1103033000, 0.1737389000", \ - "0.0738956000, 0.0759325000, 0.0807486000, 0.0915591000, 0.1140576000, 0.1573278000, 0.2321416000", \ - "0.0843680000, 0.0872307000, 0.0940621000, 0.1102351000, 0.1463042000, 0.2121263000, 0.3276684000", \ - "0.0564777000, 0.0612357000, 0.0725211000, 0.0979798000, 0.1517364000, 0.2571939000, 0.4360594000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.2027566000, 0.2095227000, 0.2260106000, 0.2672034000, 0.3668265000, 0.6063223000, 1.1979407000", \ - "0.2033071000, 0.2100819000, 0.2267004000, 0.2686949000, 0.3674362000, 0.6139557000, 1.2015124000", \ - "0.2122932000, 0.2189309000, 0.2356707000, 0.2770221000, 0.3777225000, 0.6248969000, 1.2160665000", \ - "0.2370358000, 0.2438942000, 0.2599997000, 0.3005561000, 0.3995163000, 0.6420993000, 1.2372690000", \ - "0.2900934000, 0.2973583000, 0.3136922000, 0.3541615000, 0.4529855000, 0.6943742000, 1.2888616000", \ - "0.3832514000, 0.3916927000, 0.4117673000, 0.4586463000, 0.5653760000, 0.8075079000, 1.4011338000", \ - "0.5401576000, 0.5514932000, 0.5782723000, 0.6403656000, 0.7735780000, 1.0517081000, 1.6587496000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.0226563000, 0.0237033000, 0.0264522000, 0.0328505000, 0.0478991000, 0.0836001000, 0.1707711000", \ - "0.0223188000, 0.0232551000, 0.0258391000, 0.0321029000, 0.0474329000, 0.0833481000, 0.1707668000", \ - "0.0266161000, 0.0272429000, 0.0292273000, 0.0343229000, 0.0477499000, 0.0825304000, 0.1704846000", \ - "0.0394308000, 0.0404020000, 0.0428087000, 0.0479051000, 0.0593953000, 0.0883187000, 0.1703515000", \ - "0.0612904000, 0.0627725000, 0.0662097000, 0.0750832000, 0.0906474000, 0.1213875000, 0.1899574000", \ - "0.1005186000, 0.1030599000, 0.1081553000, 0.1205767000, 0.1436995000, 0.1892258000, 0.2712336000", \ - "0.1708268000, 0.1745195000, 0.1830867000, 0.2024053000, 0.2399731000, 0.3074695000, 0.4264730000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.1438758000, 0.1530392000, 0.1747091000, 0.2281514000, 0.3590769000, 0.6822428000, 1.4734780000", \ - "0.1439798000, 0.1531921000, 0.1740389000, 0.2282153000, 0.3590163000, 0.6819689000, 1.4720555000", \ - "0.1440825000, 0.1533100000, 0.1745157000, 0.2280853000, 0.3594655000, 0.6852767000, 1.4767236000", \ - "0.1442375000, 0.1529907000, 0.1751367000, 0.2276527000, 0.3582316000, 0.6816556000, 1.4705623000", \ - "0.1486434000, 0.1568505000, 0.1776655000, 0.2295551000, 0.3598359000, 0.6805698000, 1.4721333000", \ - "0.1803408000, 0.1890756000, 0.2099914000, 0.2578419000, 0.3776837000, 0.6863323000, 1.4723455000", \ - "0.2525249000, 0.2614149000, 0.2817807000, 0.3341342000, 0.4594993000, 0.7486147000, 1.4904202000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.0214138000, 0.0222679000, 0.0243254000, 0.0291454000, 0.0402933000, 0.0664479000, 0.1289664000", \ - "0.0261415000, 0.0269709000, 0.0289831000, 0.0337579000, 0.0449115000, 0.0710282000, 0.1336209000", \ - "0.0368430000, 0.0378006000, 0.0400244000, 0.0450160000, 0.0558631000, 0.0818939000, 0.1445156000", \ - "0.0511898000, 0.0526289000, 0.0560012000, 0.0634908000, 0.0787151000, 0.1071193000, 0.1695057000", \ - "0.0649713000, 0.0671791000, 0.0723577000, 0.0838706000, 0.1070692000, 0.1520238000, 0.2279326000", \ - "0.0655620000, 0.0690389000, 0.0771678000, 0.0955974000, 0.1332160000, 0.2018171000, 0.3210063000", \ - "0.0227291000, 0.0281146000, 0.0408591000, 0.0695993000, 0.1286255000, 0.2397215000, 0.4258357000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.1632324000, 0.1702423000, 0.1879373000, 0.2285589000, 0.3277938000, 0.5687936000, 1.1609305000", \ - "0.1636029000, 0.1703350000, 0.1879744000, 0.2289315000, 0.3290833000, 0.5716098000, 1.1647855000", \ - "0.1716817000, 0.1784989000, 0.1954075000, 0.2367998000, 0.3358767000, 0.5840121000, 1.1738349000", \ - "0.1957279000, 0.2022661000, 0.2191189000, 0.2590271000, 0.3581463000, 0.6015255000, 1.1968662000", \ - "0.2495613000, 0.2566507000, 0.2736460000, 0.3145064000, 0.4134826000, 0.6547093000, 1.2496016000", \ - "0.3465021000, 0.3557833000, 0.3778335000, 0.4287121000, 0.5416179000, 0.7852683000, 1.3797189000", \ - "0.5117060000, 0.5257477000, 0.5578912000, 0.6306755000, 0.7808232000, 1.0754870000, 1.6873406000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.0183264000, 0.0192151000, 0.0215312000, 0.0271167000, 0.0409655000, 0.0746984000, 0.1587006000", \ - "0.0180136000, 0.0189079000, 0.0211387000, 0.0268398000, 0.0407539000, 0.0746231000, 0.1586995000", \ - "0.0225357000, 0.0232587000, 0.0248041000, 0.0292984000, 0.0416084000, 0.0743642000, 0.1585906000", \ - "0.0343242000, 0.0354005000, 0.0378377000, 0.0431829000, 0.0541334000, 0.0811263000, 0.1589914000", \ - "0.0556035000, 0.0571254000, 0.0606428000, 0.0682526000, 0.0847406000, 0.1164339000, 0.1800305000", \ - "0.0934567000, 0.0955722000, 0.1012437000, 0.1134045000, 0.1379439000, 0.1848619000, 0.2652123000", \ - "0.1640681000, 0.1678347000, 0.1764742000, 0.1960744000, 0.2336615000, 0.3032662000, 0.4227903000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.1438764000, 0.1520371000, 0.1738949000, 0.2273639000, 0.3583166000, 0.6822101000, 1.4711260000", \ - "0.1441368000, 0.1528984000, 0.1738812000, 0.2274138000, 0.3585917000, 0.6794215000, 1.4711758000", \ - "0.1435348000, 0.1524048000, 0.1738761000, 0.2282091000, 0.3593029000, 0.6860024000, 1.4789852000", \ - "0.1440656000, 0.1528227000, 0.1737970000, 0.2273784000, 0.3586845000, 0.6804701000, 1.4726228000", \ - "0.1536609000, 0.1614546000, 0.1817067000, 0.2321277000, 0.3601242000, 0.6816869000, 1.4750487000", \ - "0.1948519000, 0.2034916000, 0.2245872000, 0.2727853000, 0.3862046000, 0.6869759000, 1.4723261000", \ - "0.2870231000, 0.2970338000, 0.3194756000, 0.3747212000, 0.4971162000, 0.7739629000, 1.4936781000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.1049657000, 0.1065145000, 0.1100418000, 0.1177060000, 0.1330479000, 0.1633508000, 0.2271826000", \ - "0.1100521000, 0.1115926000, 0.1151920000, 0.1228230000, 0.1380920000, 0.1684473000, 0.2322706000", \ - "0.1228726000, 0.1243920000, 0.1280027000, 0.1356623000, 0.1510533000, 0.1812499000, 0.2450712000", \ - "0.1531460000, 0.1546722000, 0.1582001000, 0.1659225000, 0.1812460000, 0.2115765000, 0.2755224000", \ - "0.2203262000, 0.2219181000, 0.2256788000, 0.2336193000, 0.2494984000, 0.2803399000, 0.3444867000", \ - "0.3269016000, 0.3289091000, 0.3335327000, 0.3434321000, 0.3626226000, 0.3974659000, 0.4644969000", \ - "0.4830484000, 0.4856231000, 0.4912105000, 0.5044078000, 0.5291084000, 0.5717861000, 0.6464083000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.1330785000, 0.1396044000, 0.1553466000, 0.1964676000, 0.2982562000, 0.5434181000, 1.1425277000", \ - "0.1379589000, 0.1441182000, 0.1601667000, 0.2014656000, 0.3027504000, 0.5482253000, 1.1466111000", \ - "0.1492758000, 0.1555509000, 0.1716672000, 0.2122791000, 0.3134087000, 0.5586207000, 1.1585899000", \ - "0.1741412000, 0.1803387000, 0.1961888000, 0.2363731000, 0.3367977000, 0.5842420000, 1.1775885000", \ - "0.2189419000, 0.2247489000, 0.2399257000, 0.2784408000, 0.3777277000, 0.6218357000, 1.2183552000", \ - "0.2817486000, 0.2872219000, 0.3016553000, 0.3394598000, 0.4372541000, 0.6790353000, 1.2764940000", \ - "0.3597995000, 0.3654492000, 0.3794903000, 0.4148921000, 0.5085112000, 0.7500673000, 1.3431389000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.0273159000, 0.0284459000, 0.0304919000, 0.0363460000, 0.0493806000, 0.0796781000, 0.1571535000", \ - "0.0274905000, 0.0284795000, 0.0308185000, 0.0364705000, 0.0492872000, 0.0796627000, 0.1571453000", \ - "0.0272184000, 0.0281950000, 0.0305298000, 0.0362083000, 0.0491551000, 0.0796145000, 0.1570055000", \ - "0.0273206000, 0.0282970000, 0.0306476000, 0.0360623000, 0.0491047000, 0.0797489000, 0.1570192000", \ - "0.0312980000, 0.0321217000, 0.0341953000, 0.0393339000, 0.0515400000, 0.0810649000, 0.1573812000", \ - "0.0443833000, 0.0453788000, 0.0477097000, 0.0534006000, 0.0646552000, 0.0923436000, 0.1633314000", \ - "0.0664640000, 0.0669446000, 0.0699678000, 0.0769884000, 0.0892868000, 0.1155583000, 0.1810952000"); - } - related_pin : "D_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012349900, 0.0030504000, 0.0075344300, 0.0186099000, 0.0459661000, 0.1135350000"); - values("0.1293662000, 0.1393586000, 0.1627660000, 0.2207978000, 0.3581302000, 0.6808074000, 1.4759219000", \ - "0.1295572000, 0.1390453000, 0.1627540000, 0.2208739000, 0.3569395000, 0.6808262000, 1.4818010000", \ - "0.1295976000, 0.1394853000, 0.1629574000, 0.2210934000, 0.3569845000, 0.6809475000, 1.4836593000", \ - "0.1288513000, 0.1386870000, 0.1622022000, 0.2201575000, 0.3566594000, 0.6829589000, 1.4778199000", \ - "0.1278568000, 0.1376917000, 0.1613368000, 0.2181915000, 0.3568303000, 0.6817079000, 1.4740067000", \ - "0.1289926000, 0.1380491000, 0.1610442000, 0.2173623000, 0.3553941000, 0.6813205000, 1.4729913000", \ - "0.1365343000, 0.1450520000, 0.1663287000, 0.2187215000, 0.3539017000, 0.6816844000, 1.4725725000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor4bb_1") { - leakage_power () { - value : 0.0034182000; - when : "!A&!B&!C_N&D_N"; - } - leakage_power () { - value : 0.0007199000; - when : "!A&!B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0062738000; - when : "!A&!B&C_N&D_N"; - } - leakage_power () { - value : 0.0053254000; - when : "!A&!B&C_N&!D_N"; - } - leakage_power () { - value : 0.0027817000; - when : "!A&B&!C_N&D_N"; - } - leakage_power () { - value : 0.0006817000; - when : "!A&B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0054229000; - when : "!A&B&C_N&D_N"; - } - leakage_power () { - value : 0.0027673000; - when : "!A&B&C_N&!D_N"; - } - leakage_power () { - value : 0.0028618000; - when : "A&!B&!C_N&D_N"; - } - leakage_power () { - value : 0.0006972000; - when : "A&!B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0062841000; - when : "A&!B&C_N&D_N"; - } - leakage_power () { - value : 0.0028685000; - when : "A&!B&C_N&!D_N"; - } - leakage_power () { - value : 0.0027625000; - when : "A&B&!C_N&D_N"; - } - leakage_power () { - value : 0.0006752000; - when : "A&B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0049120000; - when : "A&B&C_N&D_N"; - } - leakage_power () { - value : 0.0027447000; - when : "A&B&C_N&!D_N"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__nor4bb"; - cell_leakage_power : 0.0031998050; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023290000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022320000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040277000, 0.0040226000, 0.0040110000, 0.0040129000, 0.0040172000, 0.0040273000, 0.0040504000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003522800, -0.003562200, -0.003653000, -0.003665200, -0.003693400, -0.003758400, -0.003908200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024260000; - } - pin ("B") { - capacitance : 0.0023560000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022160000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043084000, 0.0043049000, 0.0042967000, 0.0042956000, 0.0042931000, 0.0042873000, 0.0042739000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004132100, -0.004157700, -0.004216700, -0.004218600, -0.004222800, -0.004232600, -0.004255200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024950000; - } - pin ("C_N") { - capacitance : 0.0015020000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082800000, 0.0081899000, 0.0079824000, 0.0080372000, 0.0081636000, 0.0084549000, 0.0091265000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045812000, 0.0045266000, 0.0044009000, 0.0044475000, 0.0045551000, 0.0048030000, 0.0053744000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015560000; - } - pin ("D_N") { - capacitance : 0.0013900000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013400000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0076430000, 0.0075598000, 0.0073683000, 0.0074332000, 0.0075830000, 0.0079282000, 0.0087239000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027673000, 0.0027079000, 0.0025712000, 0.0026338000, 0.0027780000, 0.0031106000, 0.0038773000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014400000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&C_N&D_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0058334000, 0.0049802000, 0.0032598000, -0.000251200, -0.007464400, -0.022112500, -0.052183800", \ - "0.0057584000, 0.0049119000, 0.0031826000, -0.000338200, -0.007552500, -0.022218900, -0.052273000", \ - "0.0057597000, 0.0048992000, 0.0031732000, -0.000365400, -0.007592700, -0.022238800, -0.052306000", \ - "0.0055733000, 0.0047322000, 0.0030737000, -0.000439100, -0.007697600, -0.022324500, -0.052392300", \ - "0.0055613000, 0.0047086000, 0.0029740000, -0.000577400, -0.007678700, -0.022361800, -0.052421400", \ - "0.0063230000, 0.0054616000, 0.0038750000, 0.0002775000, -0.007159000, -0.021979000, -0.052270600", \ - "0.0078741000, 0.0070416000, 0.0052394000, 0.0015878000, -0.005705800, -0.020847300, -0.051361600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0141630000, 0.0150381000, 0.0167812000, 0.0203386000, 0.0276320000, 0.0422494000, 0.0722439000", \ - "0.0139910000, 0.0148697000, 0.0166200000, 0.0202078000, 0.0274600000, 0.0423658000, 0.0725176000", \ - "0.0138440000, 0.0147111000, 0.0164573000, 0.0200956000, 0.0273817000, 0.0420803000, 0.0720481000", \ - "0.0137448000, 0.0146011000, 0.0163516000, 0.0198761000, 0.0270956000, 0.0418935000, 0.0719800000", \ - "0.0136716000, 0.0145133000, 0.0162611000, 0.0198111000, 0.0270523000, 0.0417207000, 0.0720922000", \ - "0.0136357000, 0.0144953000, 0.0161938000, 0.0196915000, 0.0268976000, 0.0416760000, 0.0719246000", \ - "0.0133512000, 0.0142055000, 0.0161563000, 0.0197462000, 0.0270246000, 0.0415107000, 0.0715105000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0054980000, 0.0046543000, 0.0029216000, -0.000587700, -0.007759000, -0.022455700, -0.052658600", \ - "0.0054768000, 0.0046313000, 0.0029164000, -0.000617200, -0.007786000, -0.022481500, -0.052667100", \ - "0.0054514000, 0.0046155000, 0.0028972000, -0.000644000, -0.007795500, -0.022482300, -0.052687100", \ - "0.0052635000, 0.0044352000, 0.0027367000, -0.000764800, -0.007866100, -0.022578100, -0.052766100", \ - "0.0053540000, 0.0045133000, 0.0027899000, -0.000762700, -0.007975500, -0.022624600, -0.052797200", \ - "0.0057483000, 0.0049234000, 0.0030161000, -0.000501000, -0.007656600, -0.022393300, -0.052713600", \ - "0.0067235000, 0.0058384000, 0.0040563000, 0.0004390000, -0.006981500, -0.021890800, -0.052380300"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0117095000, 0.0126059000, 0.0143852000, 0.0179433000, 0.0251610000, 0.0398835000, 0.0697671000", \ - "0.0115135000, 0.0124013000, 0.0141712000, 0.0177706000, 0.0250505000, 0.0399559000, 0.0698298000", \ - "0.0113739000, 0.0122432000, 0.0139843000, 0.0175796000, 0.0248794000, 0.0398474000, 0.0697458000", \ - "0.0112568000, 0.0121195000, 0.0138484000, 0.0173998000, 0.0246431000, 0.0394518000, 0.0695375000", \ - "0.0111764000, 0.0120261000, 0.0137718000, 0.0173170000, 0.0245691000, 0.0392526000, 0.0693595000", \ - "0.0111657000, 0.0120122000, 0.0137314000, 0.0172405000, 0.0244272000, 0.0391849000, 0.0691633000", \ - "0.0112025000, 0.0120485000, 0.0137663000, 0.0173180000, 0.0246213000, 0.0391926000, 0.0693569000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0047226000, 0.0039689000, 0.0023530000, -0.000966400, -0.008008400, -0.022702100, -0.052932300", \ - "0.0046632000, 0.0038827000, 0.0022873000, -0.001026000, -0.008061500, -0.022742200, -0.052979700", \ - "0.0047145000, 0.0039503000, 0.0023305000, -0.000981700, -0.008020500, -0.022697100, -0.052926300", \ - "0.0044883000, 0.0037152000, 0.0021245000, -0.001178900, -0.008218300, -0.022873500, -0.053098700", \ - "0.0041857000, 0.0034284000, 0.0018245000, -0.001462400, -0.008423500, -0.023033800, -0.053232100", \ - "0.0043285000, 0.0035102000, 0.0021693000, -0.001640400, -0.008787600, -0.023179700, -0.053305500", \ - "0.0049850000, 0.0041489000, 0.0024359000, -0.000969800, -0.008002600, -0.022851400, -0.053078200"); - } - related_pin : "C_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0096367000, 0.0105079000, 0.0123564000, 0.0159339000, 0.0232381000, 0.0379386000, 0.0681524000", \ - "0.0096068000, 0.0104858000, 0.0122854000, 0.0159736000, 0.0232045000, 0.0379658000, 0.0679268000", \ - "0.0095695000, 0.0104625000, 0.0122291000, 0.0158534000, 0.0231356000, 0.0379409000, 0.0679777000", \ - "0.0093034000, 0.0101474000, 0.0119190000, 0.0155192000, 0.0228665000, 0.0376693000, 0.0676951000", \ - "0.0090412000, 0.0098983000, 0.0116768000, 0.0152998000, 0.0225996000, 0.0372984000, 0.0675700000", \ - "0.0089603000, 0.0098190000, 0.0115545000, 0.0151475000, 0.0223450000, 0.0372023000, 0.0672094000", \ - "0.0094035000, 0.0102644000, 0.0120286000, 0.0154633000, 0.0227791000, 0.0374377000, 0.0674891000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0028661000, 0.0021648000, 0.0006227000, -0.002645600, -0.009651500, -0.024302000, -0.054555400", \ - "0.0028071000, 0.0020945000, 0.0005688000, -0.002687600, -0.009689600, -0.024355100, -0.054596600", \ - "0.0028169000, 0.0021033000, 0.0006004000, -0.002662200, -0.009666600, -0.024313500, -0.054558800", \ - "0.0026354000, 0.0019045000, 0.0003779000, -0.002870900, -0.009849300, -0.024500200, -0.054707800", \ - "0.0023173000, 0.0016031000, 0.0001249000, -0.003108000, -0.010031000, -0.024621100, -0.054813600", \ - "0.0025031000, 0.0017005000, 5.540000e-05, -0.003360900, -0.010431400, -0.024841300, -0.054950100", \ - "0.0028758000, 0.0021445000, 0.0003917000, -0.002924200, -0.010279400, -0.024501400, -0.054687000"); - } - related_pin : "D_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010227830, 0.0020921680, 0.0042796660, 0.0087543350, 0.0179075600, 0.0366310800"); - values("0.0084352000, 0.0093250000, 0.0111507000, 0.0147801000, 0.0220909000, 0.0367499000, 0.0668105000", \ - "0.0083893000, 0.0092910000, 0.0111234000, 0.0147993000, 0.0220531000, 0.0369490000, 0.0666871000", \ - "0.0083457000, 0.0092450000, 0.0110238000, 0.0146636000, 0.0219767000, 0.0368114000, 0.0669733000", \ - "0.0079936000, 0.0088824000, 0.0106530000, 0.0142822000, 0.0216858000, 0.0364402000, 0.0665429000", \ - "0.0077858000, 0.0086305000, 0.0103986000, 0.0139951000, 0.0213289000, 0.0360831000, 0.0662323000", \ - "0.0076650000, 0.0085322000, 0.0102902000, 0.0138198000, 0.0210520000, 0.0358891000, 0.0660543000", \ - "0.0080646000, 0.0089123000, 0.0106256000, 0.0141237000, 0.0212492000, 0.0360572000, 0.0661004000"); - } - } - max_capacitance : 0.0366310000; - max_transition : 1.4798240000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0190938000, 0.0212482000, 0.0255232000, 0.0339030000, 0.0501340000, 0.0815503000, 0.1428610000", \ - "0.0245840000, 0.0266164000, 0.0307243000, 0.0389156000, 0.0549770000, 0.0862286000, 0.1474670000", \ - "0.0370947000, 0.0393612000, 0.0435076000, 0.0511962000, 0.0665180000, 0.0973329000, 0.1584251000", \ - "0.0561749000, 0.0593966000, 0.0652261000, 0.0762218000, 0.0939051000, 0.1243462000, 0.1847677000", \ - "0.0817834000, 0.0866008000, 0.0956778000, 0.1113606000, 0.1384793000, 0.1811619000, 0.2453600000", \ - "0.1084912000, 0.1155588000, 0.1280969000, 0.1530382000, 0.1943077000, 0.2606456000, 0.3607868000", \ - "0.1155268000, 0.1265074000, 0.1481144000, 0.1857526000, 0.2490479000, 0.3526046000, 0.5095128000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1834300000, 0.1985314000, 0.2285446000, 0.2904139000, 0.4151522000, 0.6624642000, 1.1731844000", \ - "0.1867246000, 0.2018965000, 0.2318836000, 0.2936832000, 0.4175414000, 0.6743148000, 1.1864507000", \ - "0.1973787000, 0.2124869000, 0.2423160000, 0.3045095000, 0.4294965000, 0.6789815000, 1.1910980000", \ - "0.2220190000, 0.2369547000, 0.2672437000, 0.3281544000, 0.4523540000, 0.7020601000, 1.2144813000", \ - "0.2717197000, 0.2864790000, 0.3166548000, 0.3769645000, 0.5014277000, 0.7505425000, 1.2683428000", \ - "0.3550210000, 0.3724460000, 0.4071799000, 0.4742622000, 0.6004467000, 0.8518168000, 1.3662425000", \ - "0.4841714000, 0.5067636000, 0.5514952000, 0.6336341000, 0.7845579000, 1.0627154000, 1.5793437000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0199718000, 0.0227068000, 0.0281127000, 0.0385168000, 0.0587854000, 0.0978846000, 0.1756329000", \ - "0.0202172000, 0.0226936000, 0.0276889000, 0.0379894000, 0.0582848000, 0.0975296000, 0.1753167000", \ - "0.0257017000, 0.0273244000, 0.0311271000, 0.0397282000, 0.0578899000, 0.0969483000, 0.1752699000", \ - "0.0406576000, 0.0427452000, 0.0470807000, 0.0545603000, 0.0674562000, 0.1003017000, 0.1747166000", \ - "0.0654525000, 0.0687867000, 0.0748659000, 0.0851930000, 0.1043099000, 0.1312971000, 0.1910883000", \ - "0.1078861000, 0.1131112000, 0.1232113000, 0.1388945000, 0.1652311000, 0.2082978000, 0.2734123000", \ - "0.1839601000, 0.1928064000, 0.2073483000, 0.2330561000, 0.2749203000, 0.3402426000, 0.4382752000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1337570000, 0.1531806000, 0.1925829000, 0.2737222000, 0.4392521000, 0.7724744000, 1.4561922000", \ - "0.1338077000, 0.1531039000, 0.1926673000, 0.2736785000, 0.4380653000, 0.7798873000, 1.4704166000", \ - "0.1337550000, 0.1534372000, 0.1927643000, 0.2742421000, 0.4395030000, 0.7742970000, 1.4582687000", \ - "0.1339183000, 0.1535150000, 0.1931110000, 0.2737245000, 0.4385083000, 0.7731220000, 1.4552842000", \ - "0.1375532000, 0.1562420000, 0.1943244000, 0.2737834000, 0.4391402000, 0.7730446000, 1.4674952000", \ - "0.1629494000, 0.1821420000, 0.2204230000, 0.2943987000, 0.4486406000, 0.7781403000, 1.4634360000", \ - "0.2223332000, 0.2411003000, 0.2815372000, 0.3615663000, 0.5190706000, 0.8324915000, 1.4798243000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0206824000, 0.0228566000, 0.0271009000, 0.0353852000, 0.0512843000, 0.0821012000, 0.1430565000", \ - "0.0259255000, 0.0280045000, 0.0321210000, 0.0401774000, 0.0560008000, 0.0867664000, 0.1476883000", \ - "0.0378836000, 0.0401270000, 0.0442960000, 0.0517589000, 0.0671762000, 0.0977731000, 0.1586066000", \ - "0.0553420000, 0.0587454000, 0.0647988000, 0.0751467000, 0.0936457000, 0.1236524000, 0.1840842000", \ - "0.0757181000, 0.0808768000, 0.0903214000, 0.1067655000, 0.1344677000, 0.1781652000, 0.2449553000", \ - "0.0915086000, 0.0988397000, 0.1141035000, 0.1396561000, 0.1819895000, 0.2492087000, 0.3527493000", \ - "0.0781026000, 0.0898413000, 0.1122262000, 0.1512120000, 0.2196637000, 0.3270003000, 0.4884217000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1721425000, 0.1877357000, 0.2185932000, 0.2788188000, 0.4021502000, 0.6527378000, 1.1639557000", \ - "0.1744353000, 0.1897306000, 0.2200845000, 0.2823404000, 0.4063843000, 0.6645193000, 1.1674187000", \ - "0.1845469000, 0.1995826000, 0.2294586000, 0.2912919000, 0.4153992000, 0.6731900000, 1.1874808000", \ - "0.2094386000, 0.2244903000, 0.2540931000, 0.3156871000, 0.4398689000, 0.6948209000, 1.2104540000", \ - "0.2637373000, 0.2790551000, 0.3092220000, 0.3695890000, 0.4944515000, 0.7436840000, 1.2631518000", \ - "0.3586022000, 0.3776470000, 0.4145787000, 0.4838694000, 0.6123557000, 0.8652938000, 1.3749946000", \ - "0.5152776000, 0.5428056000, 0.5939160000, 0.6868245000, 0.8494073000, 1.1333745000, 1.6509583000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0204166000, 0.0229644000, 0.0279120000, 0.0377633000, 0.0569872000, 0.0955670000, 0.1740166000", \ - "0.0201913000, 0.0225446000, 0.0273202000, 0.0372749000, 0.0567896000, 0.0954662000, 0.1736715000", \ - "0.0247160000, 0.0263597000, 0.0301783000, 0.0384894000, 0.0564709000, 0.0950741000, 0.1737164000", \ - "0.0384855000, 0.0406367000, 0.0447069000, 0.0524382000, 0.0656570000, 0.0982739000, 0.1736619000", \ - "0.0619752000, 0.0651844000, 0.0712742000, 0.0833754000, 0.1016402000, 0.1308579000, 0.1904928000", \ - "0.1031106000, 0.1087508000, 0.1173200000, 0.1343911000, 0.1632661000, 0.2098279000, 0.2774492000", \ - "0.1769137000, 0.1853979000, 0.2002880000, 0.2289480000, 0.2724227000, 0.3394907000, 0.4415289000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1334762000, 0.1533391000, 0.1931932000, 0.2733530000, 0.4376653000, 0.7734128000, 1.4576470000", \ - "0.1336861000, 0.1533490000, 0.1925851000, 0.2737367000, 0.4380201000, 0.7804086000, 1.4559022000", \ - "0.1338140000, 0.1530203000, 0.1927784000, 0.2736603000, 0.4384259000, 0.7801474000, 1.4615716000", \ - "0.1340606000, 0.1529672000, 0.1928654000, 0.2736917000, 0.4381424000, 0.7755012000, 1.4620132000", \ - "0.1406833000, 0.1588825000, 0.1963900000, 0.2748755000, 0.4393438000, 0.7730847000, 1.4620789000", \ - "0.1757303000, 0.1947348000, 0.2312193000, 0.3023932000, 0.4529286000, 0.7777019000, 1.4573194000", \ - "0.2602868000, 0.2794778000, 0.3182811000, 0.3945062000, 0.5461737000, 0.8362004000, 1.4774346000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1104536000, 0.1132795000, 0.1186746000, 0.1285477000, 0.1463414000, 0.1785509000, 0.2399215000", \ - "0.1153433000, 0.1181695000, 0.1235656000, 0.1334203000, 0.1511544000, 0.1835342000, 0.2448145000", \ - "0.1277123000, 0.1305495000, 0.1358440000, 0.1459112000, 0.1635872000, 0.1959203000, 0.2572978000", \ - "0.1585329000, 0.1614651000, 0.1667986000, 0.1767418000, 0.1944709000, 0.2269104000, 0.2882956000", \ - "0.2253273000, 0.2283752000, 0.2341131000, 0.2445422000, 0.2626200000, 0.2953243000, 0.3567731000", \ - "0.3328236000, 0.3365367000, 0.3434595000, 0.3556068000, 0.3762067000, 0.4111206000, 0.4747493000", \ - "0.4958923000, 0.5001469000, 0.5098836000, 0.5240900000, 0.5504304000, 0.5912530000, 0.6577812000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1754939000, 0.1905689000, 0.2220003000, 0.2831841000, 0.4076578000, 0.6591224000, 1.1705297000", \ - "0.1796989000, 0.1947525000, 0.2263169000, 0.2889315000, 0.4119090000, 0.6632261000, 1.1744979000", \ - "0.1903606000, 0.2060514000, 0.2361842000, 0.2983972000, 0.4233827000, 0.6775748000, 1.1953363000", \ - "0.2119428000, 0.2270197000, 0.2568450000, 0.3185203000, 0.4430444000, 0.6964029000, 1.2141915000", \ - "0.2424516000, 0.2575160000, 0.2881417000, 0.3497158000, 0.4752173000, 0.7267397000, 1.2388217000", \ - "0.2819293000, 0.2969596000, 0.3268344000, 0.3891296000, 0.5129224000, 0.7640910000, 1.2778268000", \ - "0.3196120000, 0.3344345000, 0.3650386000, 0.4259767000, 0.5505715000, 0.8027749000, 1.3140732000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0305653000, 0.0326936000, 0.0371685000, 0.0463759000, 0.0639723000, 0.1001317000, 0.1757181000", \ - "0.0304150000, 0.0328006000, 0.0372011000, 0.0463266000, 0.0639204000, 0.1000495000, 0.1758448000", \ - "0.0305884000, 0.0327423000, 0.0372485000, 0.0462063000, 0.0640201000, 0.1001193000, 0.1756128000", \ - "0.0302798000, 0.0329870000, 0.0374569000, 0.0464014000, 0.0640241000, 0.1000540000, 0.1756954000", \ - "0.0334556000, 0.0356238000, 0.0399191000, 0.0487622000, 0.0656969000, 0.1009369000, 0.1762953000", \ - "0.0441771000, 0.0464792000, 0.0514838000, 0.0595416000, 0.0760647000, 0.1096851000, 0.1815709000", \ - "0.0615287000, 0.0645096000, 0.0697121000, 0.0802533000, 0.0959842000, 0.1274293000, 0.1944205000"); - } - related_pin : "C_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1332793000, 0.1529208000, 0.1930761000, 0.2728272000, 0.4377456000, 0.7730506000, 1.4618894000", \ - "0.1333498000, 0.1527639000, 0.1924043000, 0.2741938000, 0.4377979000, 0.7724161000, 1.4592838000", \ - "0.1333411000, 0.1533052000, 0.1922936000, 0.2738131000, 0.4384168000, 0.7753500000, 1.4618226000", \ - "0.1336286000, 0.1529481000, 0.1925496000, 0.2731373000, 0.4383090000, 0.7751399000, 1.4624116000", \ - "0.1337283000, 0.1531808000, 0.1925953000, 0.2742835000, 0.4398660000, 0.7738496000, 1.4589259000", \ - "0.1344414000, 0.1539988000, 0.1932045000, 0.2746461000, 0.4379419000, 0.7746707000, 1.4582905000", \ - "0.1376809000, 0.1562386000, 0.1947193000, 0.2746166000, 0.4400372000, 0.7745913000, 1.4565485000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0949603000, 0.0981555000, 0.1041297000, 0.1145257000, 0.1328629000, 0.1657691000, 0.2288375000", \ - "0.0996921000, 0.1030078000, 0.1088849000, 0.1193967000, 0.1377287000, 0.1707072000, 0.2337314000", \ - "0.1121037000, 0.1154567000, 0.1213763000, 0.1318959000, 0.1501593000, 0.1830757000, 0.2461406000", \ - "0.1430012000, 0.1462435000, 0.1521998000, 0.1627940000, 0.1812045000, 0.2141839000, 0.2772035000", \ - "0.2071325000, 0.2106408000, 0.2171188000, 0.2282513000, 0.2473076000, 0.2808881000, 0.3439588000", \ - "0.3076863000, 0.3120610000, 0.3198699000, 0.3332138000, 0.3549760000, 0.3908832000, 0.4560313000", \ - "0.4617172000, 0.4670582000, 0.4770232000, 0.4937035000, 0.5206108000, 0.5610995000, 0.6284128000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1150250000, 0.1302205000, 0.1612056000, 0.2235977000, 0.3478808000, 0.6001528000, 1.1097147000", \ - "0.1195969000, 0.1348632000, 0.1658715000, 0.2285010000, 0.3530242000, 0.6057018000, 1.1152289000", \ - "0.1298162000, 0.1449145000, 0.1759264000, 0.2376500000, 0.3631464000, 0.6151623000, 1.1277348000", \ - "0.1486553000, 0.1632492000, 0.1939060000, 0.2556518000, 0.3822096000, 0.6328136000, 1.1452347000", \ - "0.1768051000, 0.1908979000, 0.2209440000, 0.2821952000, 0.4082838000, 0.6594468000, 1.1718905000", \ - "0.2125449000, 0.2261141000, 0.2548841000, 0.3150917000, 0.4389779000, 0.6910022000, 1.2059070000", \ - "0.2467153000, 0.2597958000, 0.2865765000, 0.3447827000, 0.4685607000, 0.7191499000, 1.2306555000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.0254375000, 0.0275702000, 0.0326004000, 0.0417334000, 0.0602398000, 0.0979067000, 0.1778330000", \ - "0.0254427000, 0.0278090000, 0.0326735000, 0.0418311000, 0.0600895000, 0.0977767000, 0.1776616000", \ - "0.0255431000, 0.0278676000, 0.0327436000, 0.0418399000, 0.0602345000, 0.0979914000, 0.1778304000", \ - "0.0256433000, 0.0279896000, 0.0327395000, 0.0420848000, 0.0602293000, 0.0979847000, 0.1780373000", \ - "0.0300132000, 0.0321992000, 0.0368115000, 0.0451181000, 0.0628477000, 0.0994057000, 0.1780152000", \ - "0.0422484000, 0.0441973000, 0.0485349000, 0.0563042000, 0.0732353000, 0.1078899000, 0.1825046000", \ - "0.0600340000, 0.0623061000, 0.0681868000, 0.0766295000, 0.0929869000, 0.1251099000, 0.1943306000"); - } - related_pin : "D_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010227800, 0.0020921700, 0.0042796700, 0.0087543400, 0.0179076000, 0.0366311000"); - values("0.1267210000, 0.1477136000, 0.1898150000, 0.2721951000, 0.4371843000, 0.7728129000, 1.4562677000", \ - "0.1265860000, 0.1477458000, 0.1893839000, 0.2729006000, 0.4383036000, 0.7755973000, 1.4607411000", \ - "0.1263309000, 0.1474909000, 0.1890760000, 0.2721373000, 0.4381478000, 0.7745098000, 1.4625497000", \ - "0.1249802000, 0.1461947000, 0.1881129000, 0.2716380000, 0.4385285000, 0.7724221000, 1.4564697000", \ - "0.1241826000, 0.1451697000, 0.1874594000, 0.2711603000, 0.4385605000, 0.7739430000, 1.4573253000", \ - "0.1232848000, 0.1438521000, 0.1860441000, 0.2709331000, 0.4381586000, 0.7738076000, 1.4601259000", \ - "0.1288712000, 0.1473722000, 0.1869099000, 0.2687756000, 0.4385943000, 0.7751567000, 1.4579371000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor4bb_2") { - leakage_power () { - value : 0.0050802000; - when : "!A&!B&!C_N&D_N"; - } - leakage_power () { - value : 0.0008941000; - when : "!A&!B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0078535000; - when : "!A&!B&C_N&D_N"; - } - leakage_power () { - value : 0.0063874000; - when : "!A&!B&C_N&!D_N"; - } - leakage_power () { - value : 0.0029295000; - when : "!A&B&!C_N&D_N"; - } - leakage_power () { - value : 0.0007652000; - when : "!A&B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0068738000; - when : "!A&B&C_N&D_N"; - } - leakage_power () { - value : 0.0029257000; - when : "!A&B&C_N&!D_N"; - } - leakage_power () { - value : 0.0029356000; - when : "A&!B&!C_N&D_N"; - } - leakage_power () { - value : 0.0007679000; - when : "A&!B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0068746000; - when : "A&!B&C_N&D_N"; - } - leakage_power () { - value : 0.0029323000; - when : "A&!B&C_N&!D_N"; - } - leakage_power () { - value : 0.0030712000; - when : "A&B&!C_N&D_N"; - } - leakage_power () { - value : 0.0007259000; - when : "A&B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0049925000; - when : "A&B&C_N&D_N"; - } - leakage_power () { - value : 0.0028149000; - when : "A&B&C_N&!D_N"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__nor4bb"; - cell_leakage_power : 0.0036765220; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0043720000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041510000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0101327000, 0.0101318000, 0.0101298000, 0.0101278000, 0.0101233000, 0.0101128000, 0.0100886000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006812500, -0.006890400, -0.007069800, -0.007088300, -0.007131000, -0.007229500, -0.007456500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045930000; - } - pin ("B") { - capacitance : 0.0043280000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040300000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082907000, 0.0082925000, 0.0082965000, 0.0083005000, 0.0083097000, 0.0083310000, 0.0083799000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007482000, -0.007523800, -0.007620300, -0.007624200, -0.007633300, -0.007654100, -0.007702300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046270000; - } - pin ("C_N") { - capacitance : 0.0013610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013140000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0101479000, 0.0100740000, 0.0099036000, 0.0099457000, 0.0100426000, 0.0102659000, 0.0107808000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0083149000, 0.0082730000, 0.0081765000, 0.0082194000, 0.0083182000, 0.0085462000, 0.0090716000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014090000; - } - pin ("D_N") { - capacitance : 0.0014870000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014310000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0115589000, 0.0114650000, 0.0112486000, 0.0113128000, 0.0114607000, 0.0118016000, 0.0125873000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0057305000, 0.0056822000, 0.0055708000, 0.0056344000, 0.0057810000, 0.0061189000, 0.0068976000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015430000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&C_N&D_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721550, 0.0054970350, 0.0122231000, 0.0271790400, 0.0604347800"); - values("0.0046469000, 0.0037914000, 0.0019045000, -0.002381300, -0.012395900, -0.035885400, -0.089373000", \ - "0.0045924000, 0.0037383000, 0.0018357000, -0.002415500, -0.012434100, -0.035917400, -0.089375200", \ - "0.0046648000, 0.0038147000, 0.0019270000, -0.002329900, -0.012369500, -0.035893300, -0.089318900", \ - "0.0043580000, 0.0035633000, 0.0016433000, -0.002637000, -0.012655400, -0.036131600, -0.089530100", \ - "0.0040531000, 0.0031842000, 0.0012814000, -0.002988200, -0.012990500, -0.036389100, -0.089761800", \ - "0.0038222000, 0.0028591000, 0.0007198000, -0.003854100, -0.013612000, -0.036777700, -0.090026100", \ - "0.0060792000, 0.0050299000, 0.0028440000, -0.001994700, -0.012614800, -0.036368500, -0.089789500"); - } - related_pin : "D_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721550, 0.0054970350, 0.0122231000, 0.0271790400, 0.0604347800"); - values("0.0161465000, 0.0171996000, 0.0194364000, 0.0246722000, 0.0357832000, 0.0599847000, 0.1133350000", \ - "0.0161358000, 0.0171993000, 0.0195241000, 0.0246487000, 0.0356993000, 0.0600137000, 0.1135150000", \ - "0.0161519000, 0.0171984000, 0.0195317000, 0.0245823000, 0.0357283000, 0.0600296000, 0.1133989000", \ - "0.0158119000, 0.0168488000, 0.0191189000, 0.0241998000, 0.0353576000, 0.0595221000, 0.1131197000", \ - "0.0155818000, 0.0165678000, 0.0188191000, 0.0237489000, 0.0348705000, 0.0590358000, 0.1125801000", \ - "0.0153978000, 0.0164052000, 0.0185962000, 0.0234840000, 0.0342837000, 0.0584939000, 0.1121637000", \ - "0.0156748000, 0.0167326000, 0.0188881000, 0.0234889000, 0.0343283000, 0.0584904000, 0.1117673000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721550, 0.0054970350, 0.0122231000, 0.0271790400, 0.0604347800"); - values("0.0130554000, 0.0121013000, 0.0099012000, 0.0050235000, -0.005794100, -0.029831500, -0.083095100", \ - "0.0128753000, 0.0119110000, 0.0097063000, 0.0048291000, -0.005974300, -0.030001800, -0.083270600", \ - "0.0128316000, 0.0118587000, 0.0096556000, 0.0047544000, -0.006105600, -0.030161300, -0.083384700", \ - "0.0124119000, 0.0114416000, 0.0092559000, 0.0044620000, -0.006404200, -0.030375300, -0.083632700", \ - "0.0121580000, 0.0111833000, 0.0090163000, 0.0042068000, -0.006545100, -0.030500900, -0.083812600", \ - "0.0138350000, 0.0128314000, 0.0105785000, 0.0056272000, -0.005364400, -0.029780000, -0.083501800", \ - "0.0165045000, 0.0154797000, 0.0132048000, 0.0082177000, -0.003073000, -0.027663200, -0.081847800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721550, 0.0054970350, 0.0122231000, 0.0271790400, 0.0604347800"); - values("0.0260961000, 0.0271266000, 0.0293708000, 0.0343979000, 0.0454376000, 0.0691722000, 0.1226689000", \ - "0.0256985000, 0.0267741000, 0.0290143000, 0.0340812000, 0.0451458000, 0.0690596000, 0.1223484000", \ - "0.0254211000, 0.0264512000, 0.0287017000, 0.0336877000, 0.0448086000, 0.0691258000, 0.1221948000", \ - "0.0252430000, 0.0262428000, 0.0284370000, 0.0333333000, 0.0443324000, 0.0684496000, 0.1219797000", \ - "0.0250453000, 0.0260364000, 0.0282734000, 0.0331745000, 0.0439757000, 0.0680895000, 0.1215237000", \ - "0.0250513000, 0.0260163000, 0.0282395000, 0.0330966000, 0.0439008000, 0.0681397000, 0.1213001000", \ - "0.0250850000, 0.0261151000, 0.0282757000, 0.0332293000, 0.0441445000, 0.0678140000, 0.1213523000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721550, 0.0054970350, 0.0122231000, 0.0271790400, 0.0604347800"); - values("0.0106470000, 0.0096720000, 0.0074868000, 0.0026134000, -0.008197700, -0.032151400, -0.085680900", \ - "0.0106107000, 0.0096314000, 0.0074445000, 0.0025603000, -0.008226500, -0.032185900, -0.085678000", \ - "0.0105992000, 0.0096111000, 0.0073875000, 0.0025580000, -0.008239200, -0.032190200, -0.085752000", \ - "0.0102550000, 0.0093072000, 0.0071537000, 0.0023370000, -0.008405400, -0.032338400, -0.085850800", \ - "0.0103078000, 0.0093096000, 0.0070939000, 0.0022089000, -0.008628100, -0.032468300, -0.085931200", \ - "0.0111139000, 0.0101005000, 0.0079644000, 0.0031186000, -0.007815600, -0.032102100, -0.085924700", \ - "0.0132851000, 0.0122438000, 0.0099560000, 0.0048718000, -0.006185800, -0.030776800, -0.084793800"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721550, 0.0054970350, 0.0122231000, 0.0271790400, 0.0604347800"); - values("0.0234900000, 0.0245371000, 0.0267987000, 0.0318546000, 0.0429426000, 0.0667390000, 0.1199859000", \ - "0.0230334000, 0.0241156000, 0.0264152000, 0.0314670000, 0.0426293000, 0.0668970000, 0.1198844000", \ - "0.0227397000, 0.0237613000, 0.0260306000, 0.0309561000, 0.0419923000, 0.0663655000, 0.1196992000", \ - "0.0224659000, 0.0234944000, 0.0257416000, 0.0306997000, 0.0417910000, 0.0658100000, 0.1193432000", \ - "0.0222909000, 0.0232994000, 0.0255151000, 0.0304068000, 0.0414127000, 0.0654312000, 0.1188592000", \ - "0.0223477000, 0.0232960000, 0.0255213000, 0.0303773000, 0.0411354000, 0.0653172000, 0.1184543000", \ - "0.0223588000, 0.0233406000, 0.0255343000, 0.0304389000, 0.0415183000, 0.0652034000, 0.1186201000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721550, 0.0054970350, 0.0122231000, 0.0271790400, 0.0604347800"); - values("0.0098810000, 0.0089794000, 0.0069608000, 0.0024042000, -0.007959800, -0.031743100, -0.085301400", \ - "0.0098579000, 0.0089359000, 0.0068927000, 0.0023419000, -0.008013600, -0.031789400, -0.085343500", \ - "0.0098815000, 0.0089700000, 0.0069365000, 0.0023932000, -0.007988900, -0.031732800, -0.085298700", \ - "0.0096387000, 0.0087271000, 0.0066694000, 0.0021306000, -0.008187900, -0.031928800, -0.085483500", \ - "0.0094541000, 0.0085326000, 0.0064888000, 0.0020155000, -0.008353200, -0.031987500, -0.085541000", \ - "0.0089783000, 0.0080100000, 0.0058541000, 0.0012041000, -0.008864600, -0.032359700, -0.085769700", \ - "0.0104701000, 0.0095210000, 0.0073549000, 0.0025269000, -0.008087300, -0.032098500, -0.085773300"); - } - related_pin : "C_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721550, 0.0054970350, 0.0122231000, 0.0271790400, 0.0604347800"); - values("0.0176914000, 0.0187285000, 0.0210530000, 0.0259861000, 0.0370059000, 0.0614634000, 0.1143692000", \ - "0.0177175000, 0.0187536000, 0.0209894000, 0.0260024000, 0.0369515000, 0.0611414000, 0.1144848000", \ - "0.0176915000, 0.0187436000, 0.0209730000, 0.0259657000, 0.0369619000, 0.0611669000, 0.1147819000", \ - "0.0174320000, 0.0184750000, 0.0206901000, 0.0256608000, 0.0366633000, 0.0609524000, 0.1141884000", \ - "0.0171640000, 0.0181988000, 0.0204327000, 0.0253876000, 0.0364350000, 0.0604071000, 0.1140405000", \ - "0.0170736000, 0.0180829000, 0.0202652000, 0.0251908000, 0.0360706000, 0.0603318000, 0.1137832000", \ - "0.0174424000, 0.0184161000, 0.0205930000, 0.0253983000, 0.0362956000, 0.0603114000, 0.1137185000"); - } - } - max_capacitance : 0.0604350000; - max_transition : 1.4787620000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.0176752000, 0.0189566000, 0.0217865000, 0.0278480000, 0.0407542000, 0.0678194000, 0.1246771000", \ - "0.0231949000, 0.0243930000, 0.0270729000, 0.0329599000, 0.0456573000, 0.0725329000, 0.1292538000", \ - "0.0351900000, 0.0366346000, 0.0396186000, 0.0456075000, 0.0576053000, 0.0837103000, 0.1400938000", \ - "0.0533536000, 0.0555007000, 0.0597855000, 0.0683217000, 0.0837346000, 0.1110465000, 0.1664622000", \ - "0.0771003000, 0.0801686000, 0.0864105000, 0.0986098000, 0.1226300000, 0.1629390000, 0.2267250000", \ - "0.1000696000, 0.1045912000, 0.1141265000, 0.1324138000, 0.1690816000, 0.2305773000, 0.3303425000", \ - "0.1007273000, 0.1075733000, 0.1219381000, 0.1512738000, 0.2067536000, 0.3027596000, 0.4574700000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.2147116000, 0.2254782000, 0.2480830000, 0.2991541000, 0.4103698000, 0.6542170000, 1.1915806000", \ - "0.2168683000, 0.2278805000, 0.2509350000, 0.3020992000, 0.4150020000, 0.6601271000, 1.1997137000", \ - "0.2278952000, 0.2386838000, 0.2618177000, 0.3126457000, 0.4245837000, 0.6719831000, 1.2134018000", \ - "0.2542134000, 0.2648162000, 0.2868882000, 0.3372126000, 0.4475545000, 0.6920494000, 1.2324353000", \ - "0.3065249000, 0.3172422000, 0.3394917000, 0.3894763000, 0.4990909000, 0.7425418000, 1.2819467000", \ - "0.3973165000, 0.4087179000, 0.4344674000, 0.4883762000, 0.6017478000, 0.8457612000, 1.3835924000", \ - "0.5404337000, 0.5562378000, 0.5864045000, 0.6514106000, 0.7836766000, 1.0524241000, 1.5986078000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.0185520000, 0.0202838000, 0.0240279000, 0.0319445000, 0.0483731000, 0.0827008000, 0.1551451000", \ - "0.0193525000, 0.0208540000, 0.0240905000, 0.0315834000, 0.0478782000, 0.0823166000, 0.1546720000", \ - "0.0259642000, 0.0268629000, 0.0291950000, 0.0348113000, 0.0488036000, 0.0815563000, 0.1545564000", \ - "0.0411375000, 0.0424939000, 0.0452409000, 0.0510847000, 0.0613218000, 0.0872438000, 0.1541346000", \ - "0.0665903000, 0.0685522000, 0.0725427000, 0.0812667000, 0.0960769000, 0.1227990000, 0.1749730000", \ - "0.1098566000, 0.1130181000, 0.1193898000, 0.1324122000, 0.1544603000, 0.1948670000, 0.2597102000", \ - "0.1854790000, 0.1907075000, 0.2012250000, 0.2210518000, 0.2571032000, 0.3186075000, 0.4137807000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.1452965000, 0.1589922000, 0.1891828000, 0.2571378000, 0.4063865000, 0.7339233000, 1.4616487000", \ - "0.1450341000, 0.1588939000, 0.1892112000, 0.2571171000, 0.4063709000, 0.7348443000, 1.4629983000", \ - "0.1453917000, 0.1590196000, 0.1894696000, 0.2570975000, 0.4062731000, 0.7373867000, 1.4632946000", \ - "0.1456235000, 0.1592487000, 0.1894890000, 0.2565957000, 0.4052065000, 0.7320088000, 1.4586523000", \ - "0.1473834000, 0.1607583000, 0.1905695000, 0.2569266000, 0.4048894000, 0.7326972000, 1.4599235000", \ - "0.1691808000, 0.1822312000, 0.2111729000, 0.2740574000, 0.4142482000, 0.7364914000, 1.4575305000", \ - "0.2175705000, 0.2315314000, 0.2618019000, 0.3287966000, 0.4732146000, 0.7798474000, 1.4745021000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.0194549000, 0.0208378000, 0.0238064000, 0.0301019000, 0.0431968000, 0.0703471000, 0.1275783000", \ - "0.0248740000, 0.0261741000, 0.0290192000, 0.0350369000, 0.0479744000, 0.0750248000, 0.1322532000", \ - "0.0368530000, 0.0382977000, 0.0413926000, 0.0473108000, 0.0595901000, 0.0861522000, 0.1431934000", \ - "0.0547447000, 0.0568051000, 0.0611193000, 0.0696953000, 0.0851292000, 0.1125535000, 0.1689226000", \ - "0.0762667000, 0.0793533000, 0.0858318000, 0.0984187000, 0.1224486000, 0.1636132000, 0.2298536000", \ - "0.0931203000, 0.0978211000, 0.1075837000, 0.1273123000, 0.1650997000, 0.2290921000, 0.3318119000", \ - "0.0836429000, 0.0910084000, 0.1064226000, 0.1370859000, 0.1943227000, 0.2949863000, 0.4558104000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.1987626000, 0.2096060000, 0.2324196000, 0.2837694000, 0.3953582000, 0.6410917000, 1.1797515000", \ - "0.1994153000, 0.2105081000, 0.2339240000, 0.2855083000, 0.3976471000, 0.6445757000, 1.1846105000", \ - "0.2089389000, 0.2196683000, 0.2426591000, 0.2928179000, 0.4060929000, 0.6497156000, 1.1966591000", \ - "0.2323279000, 0.2428088000, 0.2659059000, 0.3163440000, 0.4278796000, 0.6712976000, 1.2127013000", \ - "0.2824361000, 0.2927489000, 0.3158318000, 0.3657030000, 0.4765343000, 0.7201219000, 1.2603036000", \ - "0.3689063000, 0.3819048000, 0.4092406000, 0.4667620000, 0.5837240000, 0.8276225000, 1.3685409000", \ - "0.5122324000, 0.5292894000, 0.5657231000, 0.6423578000, 0.7864104000, 1.0622855000, 1.6123514000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.0198325000, 0.0214633000, 0.0250822000, 0.0326733000, 0.0484301000, 0.0819377000, 0.1551567000", \ - "0.0198846000, 0.0213146000, 0.0246855000, 0.0320620000, 0.0480843000, 0.0815530000, 0.1547108000", \ - "0.0251609000, 0.0261465000, 0.0284393000, 0.0343017000, 0.0482893000, 0.0811089000, 0.1548627000", \ - "0.0391023000, 0.0404270000, 0.0433095000, 0.0488990000, 0.0599084000, 0.0862439000, 0.1549234000", \ - "0.0630138000, 0.0650687000, 0.0692767000, 0.0779394000, 0.0926561000, 0.1211408000, 0.1746895000", \ - "0.1031743000, 0.1066605000, 0.1136469000, 0.1267254000, 0.1505695000, 0.1910411000, 0.2582199000", \ - "0.1762618000, 0.1816586000, 0.1926208000, 0.2140042000, 0.2517687000, 0.3146839000, 0.4178901000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.1452365000, 0.1589302000, 0.1891239000, 0.2571119000, 0.4064096000, 0.7349427000, 1.4629516000", \ - "0.1449513000, 0.1588333000, 0.1891736000, 0.2568280000, 0.4063371000, 0.7369494000, 1.4628083000", \ - "0.1454311000, 0.1591058000, 0.1893589000, 0.2561186000, 0.4053063000, 0.7334258000, 1.4634807000", \ - "0.1462959000, 0.1590554000, 0.1895817000, 0.2569168000, 0.4062723000, 0.7321100000, 1.4589258000", \ - "0.1505993000, 0.1637559000, 0.1926461000, 0.2581849000, 0.4057920000, 0.7332882000, 1.4586663000", \ - "0.1819005000, 0.1957987000, 0.2247630000, 0.2854759000, 0.4217635000, 0.7385427000, 1.4595356000", \ - "0.2543290000, 0.2683023000, 0.2974077000, 0.3646694000, 0.5038984000, 0.7993101000, 1.4787620000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.1428356000, 0.1448874000, 0.1492108000, 0.1579535000, 0.1746564000, 0.2058274000, 0.2663680000", \ - "0.1475708000, 0.1496479000, 0.1539974000, 0.1627279000, 0.1795162000, 0.2106715000, 0.2711610000", \ - "0.1602439000, 0.1623194000, 0.1666617000, 0.1754012000, 0.1919865000, 0.2233946000, 0.2838799000", \ - "0.1905208000, 0.1926075000, 0.1968658000, 0.2056129000, 0.2224269000, 0.2537684000, 0.3142870000", \ - "0.2618425000, 0.2638716000, 0.2682206000, 0.2771198000, 0.2938502000, 0.3253065000, 0.3857480000", \ - "0.3915111000, 0.3939933000, 0.3991694000, 0.4094240000, 0.4284565000, 0.4623205000, 0.5248691000", \ - "0.5885104000, 0.5916374000, 0.5982456000, 0.6106736000, 0.6346614000, 0.6750705000, 0.7433330000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.2019255000, 0.2124187000, 0.2361443000, 0.2862388000, 0.3976548000, 0.6454236000, 1.1802543000", \ - "0.2069772000, 0.2176977000, 0.2403881000, 0.2915778000, 0.4026316000, 0.6471761000, 1.1864514000", \ - "0.2179008000, 0.2289648000, 0.2517304000, 0.3029803000, 0.4142659000, 0.6587347000, 1.1974138000", \ - "0.2424266000, 0.2533977000, 0.2759981000, 0.3268553000, 0.4377471000, 0.6820215000, 1.2221321000", \ - "0.2818926000, 0.2926031000, 0.3155978000, 0.3664016000, 0.4784604000, 0.7245452000, 1.2617118000", \ - "0.3344487000, 0.3447777000, 0.3673536000, 0.4175014000, 0.5283474000, 0.7744859000, 1.3127668000", \ - "0.3921380000, 0.4026153000, 0.4257068000, 0.4765299000, 0.5878271000, 0.8303189000, 1.3695972000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.0381488000, 0.0396004000, 0.0425590000, 0.0494433000, 0.0641083000, 0.0951074000, 0.1646918000", \ - "0.0377411000, 0.0392757000, 0.0424894000, 0.0497445000, 0.0640401000, 0.0951562000, 0.1650064000", \ - "0.0382191000, 0.0396377000, 0.0427194000, 0.0496748000, 0.0640969000, 0.0950856000, 0.1650014000", \ - "0.0377094000, 0.0396415000, 0.0425346000, 0.0497800000, 0.0640647000, 0.0950616000, 0.1648913000", \ - "0.0386092000, 0.0406125000, 0.0434238000, 0.0505287000, 0.0651055000, 0.0956278000, 0.1649443000", \ - "0.0506920000, 0.0522613000, 0.0555606000, 0.0620269000, 0.0758324000, 0.1046651000, 0.1708779000", \ - "0.0696724000, 0.0718883000, 0.0755535000, 0.0837027000, 0.0996344000, 0.1262693000, 0.1884907000"); - } - related_pin : "C_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.1455325000, 0.1592230000, 0.1893948000, 0.2561817000, 0.4046511000, 0.7366653000, 1.4664787000", \ - "0.1447890000, 0.1586768000, 0.1889807000, 0.2563730000, 0.4042136000, 0.7323038000, 1.4586988000", \ - "0.1448308000, 0.1584561000, 0.1889778000, 0.2563272000, 0.4053617000, 0.7332383000, 1.4613301000", \ - "0.1448435000, 0.1584799000, 0.1889845000, 0.2563606000, 0.4053734000, 0.7339030000, 1.4586803000", \ - "0.1451589000, 0.1587199000, 0.1892569000, 0.2565297000, 0.4061340000, 0.7347490000, 1.4599060000", \ - "0.1466509000, 0.1595202000, 0.1899053000, 0.2571213000, 0.4046534000, 0.7339426000, 1.4603811000", \ - "0.1494633000, 0.1629997000, 0.1920547000, 0.2586191000, 0.4065290000, 0.7324154000, 1.4590765000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.1382985000, 0.1409798000, 0.1464573000, 0.1568530000, 0.1756099000, 0.2088867000, 0.2707981000", \ - "0.1432512000, 0.1459403000, 0.1514234000, 0.1619015000, 0.1807634000, 0.2137864000, 0.2756742000", \ - "0.1555876000, 0.1582637000, 0.1637850000, 0.1743213000, 0.1930889000, 0.2261689000, 0.2879396000", \ - "0.1861237000, 0.1888034000, 0.1942846000, 0.2047178000, 0.2235483000, 0.2568254000, 0.3185961000", \ - "0.2580362000, 0.2607399000, 0.2661527000, 0.2766226000, 0.2954886000, 0.3289036000, 0.3908896000", \ - "0.3885676000, 0.3917782000, 0.3983010000, 0.4106874000, 0.4321704000, 0.4687009000, 0.5333812000", \ - "0.5878392000, 0.5919797000, 0.6003722000, 0.6163217000, 0.6438549000, 0.6880076000, 0.7590660000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.1324294000, 0.1420811000, 0.1642478000, 0.2161408000, 0.3287222000, 0.5736663000, 1.1144060000", \ - "0.1373876000, 0.1470416000, 0.1696422000, 0.2210804000, 0.3329917000, 0.5803314000, 1.1193511000", \ - "0.1486709000, 0.1586475000, 0.1810866000, 0.2314403000, 0.3441106000, 0.5903104000, 1.1294057000", \ - "0.1731610000, 0.1827125000, 0.2046969000, 0.2552152000, 0.3675517000, 0.6146185000, 1.1544202000", \ - "0.2160665000, 0.2250908000, 0.2464423000, 0.2960229000, 0.4077834000, 0.6518924000, 1.1970814000", \ - "0.2747631000, 0.2833004000, 0.3035463000, 0.3514312000, 0.4609947000, 0.7049211000, 1.2458674000", \ - "0.3439927000, 0.3527471000, 0.3721886000, 0.4167289000, 0.5246831000, 0.7687639000, 1.3068794000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.0343779000, 0.0360940000, 0.0396919000, 0.0469728000, 0.0623746000, 0.0934824000, 0.1629978000", \ - "0.0341565000, 0.0357932000, 0.0392933000, 0.0466632000, 0.0621169000, 0.0935520000, 0.1628095000", \ - "0.0344467000, 0.0361759000, 0.0395116000, 0.0465888000, 0.0620955000, 0.0935993000, 0.1627339000", \ - "0.0341961000, 0.0358934000, 0.0394245000, 0.0468192000, 0.0620671000, 0.0935335000, 0.1625357000", \ - "0.0356675000, 0.0370001000, 0.0403739000, 0.0480567000, 0.0630416000, 0.0938911000, 0.1627759000", \ - "0.0486820000, 0.0503768000, 0.0539101000, 0.0609861000, 0.0748165000, 0.1039523000, 0.1686711000", \ - "0.0725654000, 0.0737822000, 0.0791750000, 0.0858142000, 0.1003715000, 0.1281036000, 0.1885893000"); - } - related_pin : "D_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011117900, 0.0024721500, 0.0054970400, 0.0122231000, 0.0271790000, 0.0604348000"); - values("0.1308353000, 0.1460328000, 0.1790104000, 0.2514397000, 0.4046619000, 0.7325839000, 1.4602776000", \ - "0.1313148000, 0.1460454000, 0.1793808000, 0.2515627000, 0.4036064000, 0.7330344000, 1.4586881000", \ - "0.1311450000, 0.1464976000, 0.1793580000, 0.2514144000, 0.4044770000, 0.7332348000, 1.4592773000", \ - "0.1303538000, 0.1450360000, 0.1782704000, 0.2506405000, 0.4041312000, 0.7329738000, 1.4589495000", \ - "0.1294508000, 0.1448858000, 0.1770128000, 0.2493026000, 0.4041959000, 0.7326632000, 1.4603424000", \ - "0.1304832000, 0.1448330000, 0.1764144000, 0.2474788000, 0.4022508000, 0.7330663000, 1.4566738000", \ - "0.1387817000, 0.1520135000, 0.1814622000, 0.2475506000, 0.4011234000, 0.7353543000, 1.4573845000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__nor4bb_4") { - leakage_power () { - value : 0.0064806000; - when : "!A&!B&!C_N&D_N"; - } - leakage_power () { - value : 0.0008563000; - when : "!A&!B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0160276000; - when : "!A&!B&C_N&D_N"; - } - leakage_power () { - value : 0.0079382000; - when : "!A&!B&C_N&!D_N"; - } - leakage_power () { - value : 0.0041123000; - when : "!A&B&!C_N&D_N"; - } - leakage_power () { - value : 0.0007194000; - when : "!A&B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0096319000; - when : "!A&B&C_N&D_N"; - } - leakage_power () { - value : 0.0040918000; - when : "!A&B&C_N&!D_N"; - } - leakage_power () { - value : 0.0041026000; - when : "A&!B&!C_N&D_N"; - } - leakage_power () { - value : 0.0030763000; - when : "A&!B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0094708000; - when : "A&!B&C_N&D_N"; - } - leakage_power () { - value : 0.0040826000; - when : "A&!B&C_N&!D_N"; - } - leakage_power () { - value : 0.0043587000; - when : "A&B&!C_N&D_N"; - } - leakage_power () { - value : 0.0240213000; - when : "A&B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0705719000; - when : "A&B&C_N&D_N"; - } - leakage_power () { - value : 0.0275975000; - when : "A&B&C_N&!D_N"; - } - area : 25.024000000; - cell_footprint : "sky130_fd_sc_hd__nor4bb"; - cell_leakage_power : 0.0123212400; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0086380000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0180145000, 0.0180200000, 0.0180324000, 0.0180249000, 0.0180075000, 0.0179676000, 0.0178756000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013499500, -0.013645800, -0.013983000, -0.014028500, -0.014133300, -0.014375000, -0.014932000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091020000; - } - pin ("B") { - capacitance : 0.0085340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0079450000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0164138000, 0.0164108000, 0.0164037000, 0.0164099000, 0.0164243000, 0.0164574000, 0.0165338000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.014983700, -0.015078900, -0.015298300, -0.015311200, -0.015340900, -0.015409400, -0.015567300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091220000; - } - pin ("C_N") { - capacitance : 0.0023790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022550000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0202468000, 0.0201320000, 0.0198674000, 0.0200102000, 0.0203392000, 0.0210977000, 0.0228461000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0161162000, 0.0160346000, 0.0158465000, 0.0159151000, 0.0160733000, 0.0164378000, 0.0172782000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025030000; - } - pin ("D_N") { - capacitance : 0.0023990000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022780000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0211021000, 0.0209580000, 0.0206258000, 0.0207583000, 0.0210640000, 0.0217687000, 0.0233929000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0125330000, 0.0124316000, 0.0121978000, 0.0123131000, 0.0125789000, 0.0131914000, 0.0146036000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025190000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B&C_N&D_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012316480, 0.0030339140, 0.0074734290, 0.0184092700, 0.0453474900, 0.1117043000"); - values("0.0261679000, 0.0250024000, 0.0220948000, 0.0149223000, -0.002717400, -0.046085400, -0.152557200", \ - "0.0258397000, 0.0246402000, 0.0217401000, 0.0145954000, -0.003015100, -0.046412200, -0.152875600", \ - "0.0257137000, 0.0245035000, 0.0215588000, 0.0144132000, -0.003311700, -0.046627500, -0.153090500", \ - "0.0248968000, 0.0237243000, 0.0210457000, 0.0138277000, -0.003761900, -0.047076200, -0.153614500", \ - "0.0246038000, 0.0234193000, 0.0207173000, 0.0137366000, -0.003858700, -0.047089200, -0.153574400", \ - "0.0261879000, 0.0249925000, 0.0219811000, 0.0147275000, -0.003114000, -0.046657000, -0.153198500", \ - "0.0322499000, 0.0310570000, 0.0280373000, 0.0207550000, 0.0017578000, -0.041477000, -0.150172000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012316480, 0.0030339140, 0.0074734290, 0.0184092700, 0.0453474900, 0.1117043000"); - values("0.0534110000, 0.0546923000, 0.0576785000, 0.0648636000, 0.0825285000, 0.1257510000, 0.2320316000", \ - "0.0527235000, 0.0539763000, 0.0569537000, 0.0643451000, 0.0823640000, 0.1253644000, 0.2317544000", \ - "0.0520301000, 0.0532133000, 0.0562717000, 0.0636901000, 0.0814571000, 0.1250755000, 0.2314988000", \ - "0.0516156000, 0.0528892000, 0.0558583000, 0.0631309000, 0.0810942000, 0.1245538000, 0.2310087000", \ - "0.0512835000, 0.0524282000, 0.0554257000, 0.0625873000, 0.0804880000, 0.1236463000, 0.2303736000", \ - "0.0511220000, 0.0523338000, 0.0552533000, 0.0624708000, 0.0799908000, 0.1237299000, 0.2304662000", \ - "0.0513220000, 0.0525063000, 0.0554073000, 0.0626977000, 0.0804382000, 0.1232429000, 0.2302954000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012316480, 0.0030339140, 0.0074734290, 0.0184092700, 0.0453474900, 0.1117043000"); - values("0.0218480000, 0.0206727000, 0.0177677000, 0.0106091000, -0.007001100, -0.050254000, -0.157074300", \ - "0.0217722000, 0.0205839000, 0.0176845000, 0.0105275000, -0.007083700, -0.050316500, -0.157135900", \ - "0.0217595000, 0.0205700000, 0.0176557000, 0.0104680000, -0.007109500, -0.050334100, -0.157097900", \ - "0.0211102000, 0.0199581000, 0.0171279000, 0.0099796000, -0.007548200, -0.050592900, -0.157396500", \ - "0.0206259000, 0.0194547000, 0.0165901000, 0.0095802000, -0.007644500, -0.050641700, -0.157370600", \ - "0.0223129000, 0.0211267000, 0.0182243000, 0.0112374000, -0.006653800, -0.050341400, -0.157520800", \ - "0.0266076000, 0.0253548000, 0.0224697000, 0.0151410000, -0.003019300, -0.047555300, -0.155664600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012316480, 0.0030339140, 0.0074734290, 0.0184092700, 0.0453474900, 0.1117043000"); - values("0.0465409000, 0.0477125000, 0.0507351000, 0.0580684000, 0.0758054000, 0.1190535000, 0.2253513000", \ - "0.0456093000, 0.0468452000, 0.0499532000, 0.0572995000, 0.0752404000, 0.1187728000, 0.2264469000", \ - "0.0448928000, 0.0461073000, 0.0491139000, 0.0564837000, 0.0744696000, 0.1181535000, 0.2248308000", \ - "0.0444748000, 0.0456831000, 0.0486635000, 0.0558218000, 0.0737406000, 0.1173707000, 0.2248700000", \ - "0.0440403000, 0.0452195000, 0.0481356000, 0.0553489000, 0.0732214000, 0.1164868000, 0.2235607000", \ - "0.0438194000, 0.0450381000, 0.0479274000, 0.0551583000, 0.0727041000, 0.1163733000, 0.2223363000", \ - "0.0439871000, 0.0451738000, 0.0480537000, 0.0552810000, 0.0730318000, 0.1161129000, 0.2225080000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012316480, 0.0030339140, 0.0074734290, 0.0184092700, 0.0453474900, 0.1117043000"); - values("0.0195589000, 0.0184459000, 0.0157179000, 0.0089547000, -0.007888400, -0.050490000, -0.157401400", \ - "0.0194900000, 0.0183854000, 0.0156681000, 0.0089149000, -0.007888100, -0.050542300, -0.157427700", \ - "0.0195177000, 0.0183844000, 0.0156792000, 0.0089567000, -0.007865900, -0.050477800, -0.157353300", \ - "0.0190319000, 0.0179285000, 0.0152006000, 0.0084671000, -0.008276700, -0.050891400, -0.157690100", \ - "0.0181765000, 0.0171577000, 0.0144355000, 0.0077148000, -0.009036900, -0.051461300, -0.158165600", \ - "0.0164977000, 0.0154349000, 0.0126764000, 0.0059202000, -0.010170900, -0.052212900, -0.158720000", \ - "0.0190230000, 0.0178530000, 0.0149901000, 0.0078374000, -0.009486000, -0.052434300, -0.159412100"); - } - related_pin : "C_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012316480, 0.0030339140, 0.0074734290, 0.0184092700, 0.0453474900, 0.1117043000"); - values("0.0362399000, 0.0374740000, 0.0406211000, 0.0479483000, 0.0660662000, 0.1097450000, 0.2169569000", \ - "0.0362335000, 0.0374602000, 0.0405980000, 0.0479196000, 0.0663429000, 0.1099798000, 0.2164253000", \ - "0.0362844000, 0.0375254000, 0.0404831000, 0.0479865000, 0.0659587000, 0.1096050000, 0.2163765000", \ - "0.0357389000, 0.0369485000, 0.0400459000, 0.0474173000, 0.0655446000, 0.1093947000, 0.2159267000", \ - "0.0351878000, 0.0364889000, 0.0394553000, 0.0467605000, 0.0644885000, 0.1086132000, 0.2151738000", \ - "0.0347115000, 0.0359574000, 0.0388297000, 0.0460475000, 0.0638305000, 0.1074673000, 0.2142191000", \ - "0.0354971000, 0.0366985000, 0.0395613000, 0.0465756000, 0.0642266000, 0.1076947000, 0.2145201000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012316480, 0.0030339140, 0.0074734290, 0.0184092700, 0.0453474900, 0.1117043000"); - values("0.0093471000, 0.0083043000, 0.0058231000, -0.000578400, -0.016936700, -0.059252400, -0.165990300", \ - "0.0093417000, 0.0082825000, 0.0057503000, -0.000603300, -0.016904500, -0.059256100, -0.166070400", \ - "0.0093411000, 0.0083003000, 0.0057671000, -0.000538000, -0.016843500, -0.059160200, -0.165967900", \ - "0.0088862000, 0.0078558000, 0.0053040000, -0.001053200, -0.017420100, -0.059605000, -0.166291500", \ - "0.0081705000, 0.0071123000, 0.0045069000, -0.001869300, -0.018119900, -0.060196600, -0.166764500", \ - "0.0079082000, 0.0067658000, 0.0039487000, -0.002898200, -0.019455800, -0.060961200, -0.167218600", \ - "0.0097787000, 0.0086025000, 0.0056479000, -0.001320100, -0.018630300, -0.061325700, -0.167798400"); - } - related_pin : "D_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012316480, 0.0030339140, 0.0074734290, 0.0184092700, 0.0453474900, 0.1117043000"); - values("0.0287490000, 0.0300330000, 0.0329841000, 0.0406089000, 0.0589589000, 0.1026691000, 0.2096025000", \ - "0.0286431000, 0.0298961000, 0.0329920000, 0.0405561000, 0.0587851000, 0.1027750000, 0.2106404000", \ - "0.0287618000, 0.0299764000, 0.0331221000, 0.0405564000, 0.0588297000, 0.1028085000, 0.2094121000", \ - "0.0280538000, 0.0293160000, 0.0324022000, 0.0398925000, 0.0580351000, 0.1021391000, 0.2087720000", \ - "0.0277042000, 0.0289314000, 0.0319079000, 0.0390746000, 0.0571769000, 0.1008285000, 0.2086883000", \ - "0.0273099000, 0.0284859000, 0.0314317000, 0.0386660000, 0.0562420000, 0.0998883000, 0.2069987000", \ - "0.0279883000, 0.0291622000, 0.0319748000, 0.0388397000, 0.0561379000, 0.0998090000, 0.2065034000"); - } - } - max_capacitance : 0.1117040000; - max_transition : 1.4977500000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.0184547000, 0.0193525000, 0.0214495000, 0.0265288000, 0.0383737000, 0.0656831000, 0.1285700000", \ - "0.0238937000, 0.0247033000, 0.0267149000, 0.0315619000, 0.0431893000, 0.0702940000, 0.1330378000", \ - "0.0355958000, 0.0365624000, 0.0388478000, 0.0439119000, 0.0548316000, 0.0812620000, 0.1435396000", \ - "0.0529441000, 0.0543569000, 0.0571905000, 0.0648571000, 0.0798394000, 0.1079226000, 0.1691643000", \ - "0.0749583000, 0.0769440000, 0.0815199000, 0.0912329000, 0.1140006000, 0.1554430000, 0.2283298000", \ - "0.0936779000, 0.0966313000, 0.1034180000, 0.1192083000, 0.1529333000, 0.2151383000, 0.3256075000", \ - "0.0835856000, 0.0880136000, 0.0986038000, 0.1222146000, 0.1710587000, 0.2687032000, 0.4407061000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.2256218000, 0.2329843000, 0.2502770000, 0.2901171000, 0.3882226000, 0.6294515000, 1.2148484000", \ - "0.2283594000, 0.2353955000, 0.2523705000, 0.2941388000, 0.3937782000, 0.6350260000, 1.2202764000", \ - "0.2389644000, 0.2457694000, 0.2629999000, 0.3043217000, 0.4031484000, 0.6455798000, 1.2329336000", \ - "0.2647561000, 0.2718177000, 0.2890294000, 0.3295807000, 0.4293915000, 0.6698837000, 1.2592665000", \ - "0.3185872000, 0.3251584000, 0.3423632000, 0.3824857000, 0.4816801000, 0.7213172000, 1.3104065000", \ - "0.4139171000, 0.4212542000, 0.4404831000, 0.4844645000, 0.5865903000, 0.8278053000, 1.4250241000", \ - "0.5708014000, 0.5799626000, 0.6021708000, 0.6557005000, 0.7746663000, 1.0417400000, 1.6381834000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.0217909000, 0.0229684000, 0.0258597000, 0.0328726000, 0.0493271000, 0.0871695000, 0.1745460000", \ - "0.0222206000, 0.0233731000, 0.0260131000, 0.0326124000, 0.0488550000, 0.0867425000, 0.1742300000", \ - "0.0282124000, 0.0289944000, 0.0309758000, 0.0362629000, 0.0500315000, 0.0857761000, 0.1737944000", \ - "0.0428039000, 0.0437935000, 0.0466004000, 0.0510515000, 0.0629951000, 0.0922437000, 0.1737018000", \ - "0.0669909000, 0.0688737000, 0.0721992000, 0.0803523000, 0.0949520000, 0.1256818000, 0.1932632000", \ - "0.1098938000, 0.1120065000, 0.1169593000, 0.1276202000, 0.1498154000, 0.1937617000, 0.2740315000", \ - "0.1844097000, 0.1879475000, 0.1955946000, 0.2141893000, 0.2486453000, 0.3120978000, 0.4248909000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.1495676000, 0.1583462000, 0.1803900000, 0.2339718000, 0.3660098000, 0.6885191000, 1.4779047000", \ - "0.1498579000, 0.1587616000, 0.1808248000, 0.2344202000, 0.3666465000, 0.6892563000, 1.4776750000", \ - "0.1506326000, 0.1594747000, 0.1806076000, 0.2347085000, 0.3657427000, 0.6883629000, 1.4779945000", \ - "0.1497946000, 0.1587753000, 0.1806974000, 0.2346482000, 0.3668505000, 0.6884196000, 1.4778316000", \ - "0.1515807000, 0.1602357000, 0.1817111000, 0.2348451000, 0.3665008000, 0.6871645000, 1.4796631000", \ - "0.1732313000, 0.1816650000, 0.2016793000, 0.2511524000, 0.3757037000, 0.6920851000, 1.4841677000", \ - "0.2190536000, 0.2277447000, 0.2504828000, 0.3035239000, 0.4329916000, 0.7369411000, 1.4944760000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.0204359000, 0.0213706000, 0.0236499000, 0.0289585000, 0.0411123000, 0.0688303000, 0.1326077000", \ - "0.0256465000, 0.0265463000, 0.0286831000, 0.0337717000, 0.0457590000, 0.0733952000, 0.1370919000", \ - "0.0373573000, 0.0383156000, 0.0407231000, 0.0458060000, 0.0571460000, 0.0841990000, 0.1476463000", \ - "0.0540458000, 0.0554443000, 0.0587482000, 0.0662181000, 0.0811998000, 0.1096229000, 0.1725611000", \ - "0.0731142000, 0.0752060000, 0.0800899000, 0.0907891000, 0.1132390000, 0.1561425000, 0.2310250000", \ - "0.0836411000, 0.0867944000, 0.0934156000, 0.1096756000, 0.1454562000, 0.2116353000, 0.3269398000", \ - "0.0572767000, 0.0614265000, 0.0720858000, 0.0982888000, 0.1515317000, 0.2556568000, 0.4346900000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.2112975000, 0.2181605000, 0.2348600000, 0.2766070000, 0.3753152000, 0.6139565000, 1.2006127000", \ - "0.2115915000, 0.2184591000, 0.2361095000, 0.2775089000, 0.3772114000, 0.6171512000, 1.2130872000", \ - "0.2201595000, 0.2268940000, 0.2439320000, 0.2858883000, 0.3851272000, 0.6268720000, 1.2158131000", \ - "0.2443144000, 0.2514553000, 0.2684635000, 0.3084566000, 0.4075039000, 0.6514520000, 1.2404482000", \ - "0.2962635000, 0.3033287000, 0.3197506000, 0.3604549000, 0.4600144000, 0.7014335000, 1.2945964000", \ - "0.3889258000, 0.3970314000, 0.4168410000, 0.4643156000, 0.5706027000, 0.8119110000, 1.4026936000", \ - "0.5450878000, 0.5563887000, 0.5830235000, 0.6448836000, 0.7763231000, 1.0544442000, 1.6561306000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.0223661000, 0.0234538000, 0.0262372000, 0.0327377000, 0.0480283000, 0.0839765000, 0.1708084000", \ - "0.0222914000, 0.0232912000, 0.0258529000, 0.0321138000, 0.0476157000, 0.0837900000, 0.1706818000", \ - "0.0271328000, 0.0279898000, 0.0297411000, 0.0347489000, 0.0481887000, 0.0830143000, 0.1703890000", \ - "0.0405986000, 0.0415975000, 0.0440347000, 0.0490527000, 0.0605313000, 0.0891794000, 0.1702518000", \ - "0.0634968000, 0.0647864000, 0.0685969000, 0.0766760000, 0.0920999000, 0.1228224000, 0.1900133000", \ - "0.1041017000, 0.1062785000, 0.1112690000, 0.1233531000, 0.1457893000, 0.1904523000, 0.2731878000", \ - "0.1753990000, 0.1790020000, 0.1881875000, 0.2063116000, 0.2430046000, 0.3082280000, 0.4254296000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.1497030000, 0.1591168000, 0.1798898000, 0.2341157000, 0.3659286000, 0.6874428000, 1.4783930000", \ - "0.1504274000, 0.1592532000, 0.1805147000, 0.2341859000, 0.3658190000, 0.6880985000, 1.4904297000", \ - "0.1505825000, 0.1586088000, 0.1802494000, 0.2342274000, 0.3651879000, 0.6875158000, 1.4773677000", \ - "0.1501547000, 0.1590093000, 0.1807274000, 0.2342092000, 0.3660205000, 0.6886984000, 1.4818559000", \ - "0.1540306000, 0.1625985000, 0.1833961000, 0.2359611000, 0.3671201000, 0.6879679000, 1.4826075000", \ - "0.1859165000, 0.1946765000, 0.2149971000, 0.2637039000, 0.3843253000, 0.6926062000, 1.4778059000", \ - "0.2563101000, 0.2651906000, 0.2858020000, 0.3389760000, 0.4631068000, 0.7561076000, 1.4977503000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.1401381000, 0.1414878000, 0.1448587000, 0.1520351000, 0.1673426000, 0.1990187000, 0.2654301000", \ - "0.1451632000, 0.1465375000, 0.1496824000, 0.1568858000, 0.1723179000, 0.2039213000, 0.2704423000", \ - "0.1576090000, 0.1589095000, 0.1627117000, 0.1699260000, 0.1852450000, 0.2168673000, 0.2834143000", \ - "0.1883414000, 0.1897285000, 0.1930489000, 0.2002023000, 0.2156469000, 0.2471989000, 0.3137299000", \ - "0.2598475000, 0.2612521000, 0.2643888000, 0.2718218000, 0.2873993000, 0.3190476000, 0.3857399000", \ - "0.3885102000, 0.3900249000, 0.3938026000, 0.4019827000, 0.4200456000, 0.4545770000, 0.5233406000", \ - "0.5846490000, 0.5867308000, 0.5915697000, 0.6019007000, 0.6247266000, 0.6663464000, 0.7414088000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.2211398000, 0.2281523000, 0.2458371000, 0.2869246000, 0.3874079000, 0.6334631000, 1.2188829000", \ - "0.2257204000, 0.2326667000, 0.2504726000, 0.2914333000, 0.3935276000, 0.6350211000, 1.2234121000", \ - "0.2373048000, 0.2443621000, 0.2612440000, 0.3033497000, 0.4028267000, 0.6447941000, 1.2338999000", \ - "0.2611368000, 0.2680589000, 0.2858626000, 0.3272721000, 0.4280596000, 0.6684849000, 1.2581147000", \ - "0.3033536000, 0.3107804000, 0.3276351000, 0.3690228000, 0.4684251000, 0.7096119000, 1.3001134000", \ - "0.3593786000, 0.3666627000, 0.3834242000, 0.4243808000, 0.5235083000, 0.7650542000, 1.3566552000", \ - "0.4202025000, 0.4270942000, 0.4445335000, 0.4855861000, 0.5848566000, 0.8260125000, 1.4152353000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.0382306000, 0.0391958000, 0.0415772000, 0.0472909000, 0.0607907000, 0.0922642000, 0.1705557000", \ - "0.0381939000, 0.0388802000, 0.0418259000, 0.0474460000, 0.0605827000, 0.0920733000, 0.1706137000", \ - "0.0380298000, 0.0389922000, 0.0413397000, 0.0474039000, 0.0605726000, 0.0920526000, 0.1705195000", \ - "0.0381524000, 0.0392333000, 0.0416052000, 0.0474034000, 0.0605691000, 0.0921614000, 0.1706576000", \ - "0.0390675000, 0.0400085000, 0.0425801000, 0.0481282000, 0.0616453000, 0.0925890000, 0.1708563000", \ - "0.0513608000, 0.0522413000, 0.0546642000, 0.0605745000, 0.0733247000, 0.1022304000, 0.1764911000", \ - "0.0727002000, 0.0740364000, 0.0771133000, 0.0838703000, 0.0979513000, 0.1254886000, 0.1944803000"); - } - related_pin : "C_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.1500476000, 0.1589108000, 0.1800660000, 0.2338469000, 0.3657137000, 0.6897055000, 1.4806325000", \ - "0.1499006000, 0.1581106000, 0.1800377000, 0.2339098000, 0.3669434000, 0.6884635000, 1.4784801000", \ - "0.1494023000, 0.1583861000, 0.1804962000, 0.2342352000, 0.3659354000, 0.6875405000, 1.4769737000", \ - "0.1498418000, 0.1581042000, 0.1802114000, 0.2346219000, 0.3667674000, 0.6886916000, 1.4789828000", \ - "0.1495644000, 0.1585130000, 0.1804532000, 0.2341272000, 0.3658678000, 0.6889606000, 1.4787930000", \ - "0.1505459000, 0.1598041000, 0.1813885000, 0.2350476000, 0.3660272000, 0.6872584000, 1.4786758000", \ - "0.1557870000, 0.1636737000, 0.1849905000, 0.2375466000, 0.3672524000, 0.6893528000, 1.4774358000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.1244922000, 0.1261745000, 0.1301586000, 0.1385870000, 0.1552590000, 0.1876230000, 0.2538911000", \ - "0.1295375000, 0.1312268000, 0.1351157000, 0.1435250000, 0.1602527000, 0.1926344000, 0.2589330000", \ - "0.1418287000, 0.1435147000, 0.1478242000, 0.1562728000, 0.1730777000, 0.2053116000, 0.2716185000", \ - "0.1726941000, 0.1743717000, 0.1782361000, 0.1866947000, 0.2035198000, 0.2357843000, 0.3022431000", \ - "0.2436251000, 0.2452985000, 0.2491935000, 0.2576725000, 0.2746074000, 0.3073323000, 0.3737946000", \ - "0.3664153000, 0.3684866000, 0.3732626000, 0.3834477000, 0.4033424000, 0.4394975000, 0.5085817000", \ - "0.5537072000, 0.5563836000, 0.5625133000, 0.5756252000, 0.6010866000, 0.6455363000, 0.7219353000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.1364133000, 0.1429283000, 0.1588434000, 0.2000729000, 0.3010673000, 0.5440488000, 1.1343732000", \ - "0.1409222000, 0.1472032000, 0.1634815000, 0.2041952000, 0.3062746000, 0.5497469000, 1.1431130000", \ - "0.1522658000, 0.1587691000, 0.1747222000, 0.2155317000, 0.3164184000, 0.5592686000, 1.1560277000", \ - "0.1763142000, 0.1824587000, 0.1982170000, 0.2387160000, 0.3393198000, 0.5852516000, 1.1801153000", \ - "0.2192888000, 0.2251727000, 0.2404285000, 0.2790285000, 0.3780330000, 0.6209443000, 1.2240640000", \ - "0.2767775000, 0.2824688000, 0.2968691000, 0.3346768000, 0.4321971000, 0.6728242000, 1.2637241000", \ - "0.3414642000, 0.3471373000, 0.3610092000, 0.3964259000, 0.4897218000, 0.7310689000, 1.3187020000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.0319202000, 0.0329316000, 0.0353933000, 0.0411898000, 0.0548094000, 0.0861160000, 0.1631932000", \ - "0.0320186000, 0.0330508000, 0.0356648000, 0.0414741000, 0.0552116000, 0.0860110000, 0.1635663000", \ - "0.0319106000, 0.0329624000, 0.0354559000, 0.0415256000, 0.0550525000, 0.0860217000, 0.1635672000", \ - "0.0321712000, 0.0332389000, 0.0357775000, 0.0417097000, 0.0548957000, 0.0862037000, 0.1630439000", \ - "0.0341530000, 0.0352434000, 0.0373064000, 0.0431498000, 0.0562022000, 0.0870452000, 0.1633890000", \ - "0.0481383000, 0.0492346000, 0.0518591000, 0.0568084000, 0.0686108000, 0.0973318000, 0.1693360000", \ - "0.0714635000, 0.0726940000, 0.0747622000, 0.0819745000, 0.0951484000, 0.1216769000, 0.1881631000"); - } - related_pin : "D_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012316500, 0.0030339100, 0.0074734300, 0.0184093000, 0.0453475000, 0.1117040000"); - values("0.1339201000, 0.1437977000, 0.1675943000, 0.2260081000, 0.3638645000, 0.6867193000, 1.4788464000", \ - "0.1339566000, 0.1433783000, 0.1675716000, 0.2261569000, 0.3633775000, 0.6883519000, 1.4855742000", \ - "0.1340148000, 0.1439435000, 0.1676839000, 0.2259236000, 0.3632602000, 0.6889129000, 1.4819557000", \ - "0.1325770000, 0.1425466000, 0.1664284000, 0.2253180000, 0.3639018000, 0.6903358000, 1.4830598000", \ - "0.1322634000, 0.1421705000, 0.1660664000, 0.2235734000, 0.3629207000, 0.6867512000, 1.4881528000", \ - "0.1330410000, 0.1420465000, 0.1657445000, 0.2221719000, 0.3613668000, 0.6881017000, 1.4795958000", \ - "0.1407513000, 0.1493637000, 0.1715605000, 0.2237320000, 0.3596633000, 0.6899271000, 1.4780280000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o2111a_1") { - leakage_power () { - value : 0.0170728000; - when : "!A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0119733000; - when : "!A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0022061000; - when : "!A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0120179000; - when : "!A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0022003000; - when : "!A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0119748000; - when : "!A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0027321000; - when : "!A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0031950000; - when : "!A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0021797000; - when : "!A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0021531000; - when : "!A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0025234000; - when : "!A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0021737000; - when : "!A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0025089000; - when : "!A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0021710000; - when : "!A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0027416000; - when : "!A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0024917000; - when : "!A1&A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0021797000; - when : "A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0021531000; - when : "A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0025234000; - when : "A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0021737000; - when : "A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0025089000; - when : "A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0021710000; - when : "A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0031922000; - when : "A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0024917000; - when : "A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0021797000; - when : "A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0021531000; - when : "A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0025234000; - when : "A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0021737000; - when : "A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0025089000; - when : "A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0021710000; - when : "A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0020359000; - when : "A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0024917000; - when : "A1&A2&B1&C1&!D1"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__o2111a"; - cell_leakage_power : 0.0037483290; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022530000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039708000, 0.0039673000, 0.0039595000, 0.0039601000, 0.0039617000, 0.0039651000, 0.0039731000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003964400, -0.003963500, -0.003961300, -0.003957900, -0.003950200, -0.003932400, -0.003891300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024740000; - } - pin ("A2") { - capacitance : 0.0023410000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021820000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038764000, 0.0038703000, 0.0038563000, 0.0038569000, 0.0038583000, 0.0038616000, 0.0038691000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003865100, -0.003864200, -0.003862000, -0.003859700, -0.003854400, -0.003842300, -0.003814200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025000000; - } - pin ("B1") { - capacitance : 0.0023600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022840000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039332000, 0.0039345000, 0.0039376000, 0.0039379000, 0.0039386000, 0.0039404000, 0.0039443000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003935300, -0.003934400, -0.003932200, -0.003931100, -0.003928700, -0.003923200, -0.003910500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024350000; - } - pin ("C1") { - capacitance : 0.0023800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023170000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042736000, 0.0042701000, 0.0042621000, 0.0042766000, 0.0043099000, 0.0043868000, 0.0045641000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003928900, -0.003927100, -0.003922800, -0.003922200, -0.003920800, -0.003917600, -0.003910400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024430000; - } - pin ("D1") { - capacitance : 0.0023640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023160000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046323000, 0.0046320000, 0.0046314000, 0.0046307000, 0.0046291000, 0.0046254000, 0.0046168000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003586900, -0.003586800, -0.003586800, -0.003580200, -0.003565100, -0.003530400, -0.003450300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024110000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1&C1&D1) | (A2&B1&C1&D1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0190038000, 0.0181251000, 0.0157185000, 0.0080645000, -0.014427900, -0.075462800, -0.236436500", \ - "0.0189466000, 0.0180510000, 0.0156329000, 0.0079792000, -0.014541200, -0.075576400, -0.236604900", \ - "0.0188219000, 0.0179359000, 0.0155270000, 0.0078622000, -0.014655900, -0.075692200, -0.236705600", \ - "0.0186653000, 0.0178008000, 0.0153912000, 0.0077248000, -0.014803000, -0.075838100, -0.236794000", \ - "0.0185091000, 0.0176387000, 0.0152204000, 0.0075483000, -0.015003100, -0.076005100, -0.236962500", \ - "0.0183784000, 0.0171177000, 0.0148310000, 0.0073339000, -0.015113600, -0.076105100, -0.237022500", \ - "0.0218750000, 0.0205491000, 0.0171392000, 0.0082520000, -0.015076600, -0.075909600, -0.236734100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0153475000, 0.0168271000, 0.0205300000, 0.0297444000, 0.0532082000, 0.1148600000, 0.2739139000", \ - "0.0152424000, 0.0167041000, 0.0203892000, 0.0296004000, 0.0531464000, 0.1142712000, 0.2751454000", \ - "0.0150818000, 0.0165624000, 0.0202782000, 0.0294780000, 0.0529884000, 0.1146595000, 0.2738301000", \ - "0.0149228000, 0.0163894000, 0.0200708000, 0.0292949000, 0.0527801000, 0.1139708000, 0.2749190000", \ - "0.0147709000, 0.0161983000, 0.0199017000, 0.0291024000, 0.0526014000, 0.1138846000, 0.2746157000", \ - "0.0151059000, 0.0164434000, 0.0199986000, 0.0288527000, 0.0524243000, 0.1133045000, 0.2732993000", \ - "0.0154542000, 0.0168045000, 0.0202776000, 0.0292413000, 0.0527650000, 0.1138671000, 0.2725939000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0168892000, 0.0159960000, 0.0135913000, 0.0059413000, -0.016600600, -0.077615100, -0.238570000", \ - "0.0167427000, 0.0159000000, 0.0134664000, 0.0058189000, -0.016728400, -0.077743000, -0.238695800", \ - "0.0166813000, 0.0157852000, 0.0133218000, 0.0056668000, -0.016869100, -0.077897600, -0.238918000", \ - "0.0163696000, 0.0154900000, 0.0131102000, 0.0054473000, -0.017091100, -0.078112500, -0.239071300", \ - "0.0162769000, 0.0153905000, 0.0129701000, 0.0052673000, -0.017273400, -0.078258900, -0.239185600", \ - "0.0167399000, 0.0157754000, 0.0134053000, 0.0057812000, -0.016791800, -0.077752200, -0.238611700", \ - "0.0206523000, 0.0193056000, 0.0158539000, 0.0068969000, -0.016478900, -0.077236800, -0.238034800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0141324000, 0.0155825000, 0.0192675000, 0.0284792000, 0.0519271000, 0.1135673000, 0.2730472000", \ - "0.0140912000, 0.0155466000, 0.0192217000, 0.0284273000, 0.0518877000, 0.1128787000, 0.2735066000", \ - "0.0139177000, 0.0153723000, 0.0190748000, 0.0282728000, 0.0517031000, 0.1133740000, 0.2726513000", \ - "0.0136114000, 0.0150782000, 0.0187638000, 0.0279530000, 0.0513996000, 0.1131058000, 0.2718431000", \ - "0.0133350000, 0.0148036000, 0.0184249000, 0.0276061000, 0.0510742000, 0.1122723000, 0.2734908000", \ - "0.0135735000, 0.0149380000, 0.0184373000, 0.0273196000, 0.0508405000, 0.1115822000, 0.2720146000", \ - "0.0137752000, 0.0151302000, 0.0185622000, 0.0276808000, 0.0511576000, 0.1124474000, 0.2721788000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0157213000, 0.0149937000, 0.0126265000, 0.0047082000, -0.018297700, -0.079668700, -0.240839900", \ - "0.0155840000, 0.0148294000, 0.0125332000, 0.0046111000, -0.018411900, -0.079766000, -0.240944800", \ - "0.0153793000, 0.0146375000, 0.0123180000, 0.0043807000, -0.018621000, -0.079974700, -0.241160500", \ - "0.0151130000, 0.0143507000, 0.0119943000, 0.0040838000, -0.018891500, -0.080225600, -0.241414600", \ - "0.0148161000, 0.0140547000, 0.0116746000, 0.0037176000, -0.019217600, -0.080487300, -0.241631800", \ - "0.0169685000, 0.0156844000, 0.0122692000, 0.0038134000, -0.018899400, -0.080124900, -0.241236500", \ - "0.0186007000, 0.0172684000, 0.0138359000, 0.0049523000, -0.018364400, -0.079368200, -0.240379800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0155498000, 0.0170033000, 0.0207092000, 0.0299498000, 0.0534422000, 0.1146045000, 0.2742037000", \ - "0.0154922000, 0.0169721000, 0.0206731000, 0.0298909000, 0.0533785000, 0.1150407000, 0.2755427000", \ - "0.0153250000, 0.0168172000, 0.0205270000, 0.0297427000, 0.0532307000, 0.1149021000, 0.2753979000", \ - "0.0150948000, 0.0165498000, 0.0202778000, 0.0294848000, 0.0530003000, 0.1146900000, 0.2749979000", \ - "0.0149351000, 0.0163880000, 0.0200699000, 0.0292447000, 0.0527286000, 0.1139278000, 0.2747864000", \ - "0.0153014000, 0.0166237000, 0.0201112000, 0.0289549000, 0.0524266000, 0.1134104000, 0.2734365000", \ - "0.0157921000, 0.0171340000, 0.0204844000, 0.0295366000, 0.0529601000, 0.1141702000, 0.2732682000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0128021000, 0.0121055000, 0.0097207000, 0.0017783000, -0.021354700, -0.082817100, -0.244095400", \ - "0.0127284000, 0.0120056000, 0.0096687000, 0.0016781000, -0.021444300, -0.082905300, -0.244183900", \ - "0.0124735000, 0.0117601000, 0.0093990000, 0.0014098000, -0.021696700, -0.083157100, -0.244430900", \ - "0.0122097000, 0.0114470000, 0.0090475000, 0.0010825000, -0.021986500, -0.083420000, -0.244675000", \ - "0.0119617000, 0.0111862000, 0.0087589000, 0.0008104000, -0.022216700, -0.083574900, -0.244794900", \ - "0.0136722000, 0.0123973000, 0.0090508000, 0.0004254000, -0.022162600, -0.083459500, -0.244627600", \ - "0.0151983000, 0.0138428000, 0.0104474000, 0.0015417000, -0.021631500, -0.082720400, -0.243858300"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0155473000, 0.0170169000, 0.0206806000, 0.0299120000, 0.0534645000, 0.1146452000, 0.2746761000", \ - "0.0154838000, 0.0169578000, 0.0206303000, 0.0298421000, 0.0533627000, 0.1149768000, 0.2740737000", \ - "0.0153072000, 0.0167570000, 0.0204834000, 0.0297232000, 0.0532284000, 0.1148860000, 0.2754149000", \ - "0.0150791000, 0.0165561000, 0.0202562000, 0.0294588000, 0.0529579000, 0.1140449000, 0.2737992000", \ - "0.0149909000, 0.0164617000, 0.0200955000, 0.0292332000, 0.0526761000, 0.1138928000, 0.2737317000", \ - "0.0153900000, 0.0167163000, 0.0201492000, 0.0289719000, 0.0525183000, 0.1139410000, 0.2734574000", \ - "0.0159543000, 0.0173104000, 0.0206719000, 0.0297577000, 0.0531702000, 0.1145568000, 0.2739656000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0098896000, 0.0091531000, 0.0066587000, -0.001482300, -0.024805200, -0.086397300, -0.247735700", \ - "0.0097301000, 0.0089906000, 0.0065609000, -0.001614200, -0.024921800, -0.086518900, -0.247877500", \ - "0.0094658000, 0.0087132000, 0.0062235000, -0.001907400, -0.025181700, -0.086774300, -0.248121700", \ - "0.0091901000, 0.0084138000, 0.0059500000, -0.002195300, -0.025430000, -0.086989400, -0.248333000", \ - "0.0090174000, 0.0082001000, 0.0056939000, -0.002397700, -0.025582900, -0.087089700, -0.248417800", \ - "0.0107663000, 0.0094871000, 0.0061450000, -0.002773200, -0.025493000, -0.086957700, -0.248250400", \ - "0.0122412000, 0.0109082000, 0.0074915000, -0.001416800, -0.024751400, -0.085876500, -0.247131800"); - } - related_pin : "D1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013092120, 0.0034280750, 0.0089761560, 0.0235033900, 0.0615418700, 0.1611428000"); - values("0.0151381000, 0.0165984000, 0.0203180000, 0.0295435000, 0.0530288000, 0.1141902000, 0.2740008000", \ - "0.0150348000, 0.0165258000, 0.0202165000, 0.0294375000, 0.0529410000, 0.1145415000, 0.2737951000", \ - "0.0148649000, 0.0163260000, 0.0200477000, 0.0292756000, 0.0527433000, 0.1145125000, 0.2735537000", \ - "0.0146170000, 0.0160880000, 0.0197891000, 0.0289831000, 0.0524658000, 0.1136605000, 0.2745667000", \ - "0.0144355000, 0.0158661000, 0.0194908000, 0.0286903000, 0.0521564000, 0.1138959000, 0.2744172000", \ - "0.0149947000, 0.0163215000, 0.0197726000, 0.0285661000, 0.0520552000, 0.1130544000, 0.2731052000", \ - "0.0159169000, 0.0173627000, 0.0207343000, 0.0296498000, 0.0530132000, 0.1141712000, 0.2738313000"); - } - } - max_capacitance : 0.1611430000; - max_transition : 1.5041860000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.2036876000, 0.2118373000, 0.2284263000, 0.2602881000, 0.3219063000, 0.4600775000, 0.8077132000", \ - "0.2090474000, 0.2171617000, 0.2337849000, 0.2655198000, 0.3272821000, 0.4654427000, 0.8127332000", \ - "0.2215096000, 0.2296257000, 0.2462367000, 0.2779797000, 0.3397729000, 0.4779116000, 0.8253569000", \ - "0.2476633000, 0.2557759000, 0.2723584000, 0.3041215000, 0.3661403000, 0.5043142000, 0.8520172000", \ - "0.3064907000, 0.3146372000, 0.3312278000, 0.3629032000, 0.4253294000, 0.5636120000, 0.9109091000", \ - "0.4277441000, 0.4364856000, 0.4541735000, 0.4875294000, 0.5512343000, 0.6901173000, 1.0378012000", \ - "0.6371542000, 0.6475289000, 0.6683977000, 0.7061690000, 0.7758318000, 0.9189319000, 1.2670551000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1499049000, 0.1593606000, 0.1795253000, 0.2222874000, 0.3195502000, 0.5662066000, 1.2065446000", \ - "0.1542583000, 0.1636220000, 0.1837911000, 0.2265924000, 0.3240654000, 0.5696337000, 1.2133545000", \ - "0.1631139000, 0.1725671000, 0.1927637000, 0.2355234000, 0.3329399000, 0.5796795000, 1.2200617000", \ - "0.1798430000, 0.1892302000, 0.2094238000, 0.2521032000, 0.3497086000, 0.5955825000, 1.2363973000", \ - "0.2100601000, 0.2197651000, 0.2402522000, 0.2832737000, 0.3809772000, 0.6264052000, 1.2691974000", \ - "0.2571272000, 0.2676812000, 0.2898580000, 0.3347270000, 0.4336254000, 0.6798372000, 1.3203360000", \ - "0.3072066000, 0.3203328000, 0.3464805000, 0.3959210000, 0.4979855000, 0.7451912000, 1.3854371000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0296776000, 0.0349255000, 0.0465417000, 0.0725299000, 0.1350196000, 0.3018611000, 0.7601458000", \ - "0.0297115000, 0.0348475000, 0.0467886000, 0.0727299000, 0.1349400000, 0.3011389000, 0.7605875000", \ - "0.0296963000, 0.0348740000, 0.0467618000, 0.0726777000, 0.1349332000, 0.3010467000, 0.7594144000", \ - "0.0296265000, 0.0348847000, 0.0466555000, 0.0727137000, 0.1349096000, 0.3018725000, 0.7598491000", \ - "0.0295854000, 0.0347823000, 0.0467109000, 0.0726848000, 0.1343598000, 0.3013699000, 0.7634837000", \ - "0.0338319000, 0.0390033000, 0.0505127000, 0.0766026000, 0.1376611000, 0.3027139000, 0.7610921000", \ - "0.0426318000, 0.0487804000, 0.0612048000, 0.0873939000, 0.1486105000, 0.3086396000, 0.7588336000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0318163000, 0.0395975000, 0.0585704000, 0.1059220000, 0.2330478000, 0.5809126000, 1.4974897000", \ - "0.0316929000, 0.0397392000, 0.0586852000, 0.1057336000, 0.2335127000, 0.5810690000, 1.4937082000", \ - "0.0318647000, 0.0396050000, 0.0586609000, 0.1059229000, 0.2334375000, 0.5804324000, 1.4955904000", \ - "0.0317013000, 0.0396719000, 0.0586040000, 0.1058901000, 0.2330572000, 0.5801607000, 1.4981528000", \ - "0.0329841000, 0.0406470000, 0.0593414000, 0.1066284000, 0.2336224000, 0.5797346000, 1.4982992000", \ - "0.0367286000, 0.0452112000, 0.0640824000, 0.1103940000, 0.2352897000, 0.5801037000, 1.4936450000", \ - "0.0472920000, 0.0562602000, 0.0755263000, 0.1208472000, 0.2402658000, 0.5820506000, 1.4925868000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1911456000, 0.1991717000, 0.2157215000, 0.2476528000, 0.3098457000, 0.4481365000, 0.7956036000", \ - "0.1950676000, 0.2032344000, 0.2197506000, 0.2515709000, 0.3138114000, 0.4520694000, 0.7991690000", \ - "0.2057519000, 0.2138249000, 0.2303877000, 0.2621907000, 0.3241932000, 0.4623641000, 0.8098108000", \ - "0.2342671000, 0.2424010000, 0.2588346000, 0.2905962000, 0.3527441000, 0.4909311000, 0.8381545000", \ - "0.3025601000, 0.3105949000, 0.3271036000, 0.3588631000, 0.4213322000, 0.5595067000, 0.9069503000", \ - "0.4507758000, 0.4597803000, 0.4774360000, 0.5105366000, 0.5737624000, 0.7124209000, 1.0602278000", \ - "0.6954023000, 0.7070483000, 0.7295584000, 0.7686279000, 0.8369099000, 0.9792298000, 1.3281277000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1275007000, 0.1364398000, 0.1557567000, 0.1973211000, 0.2935182000, 0.5396293000, 1.1820824000", \ - "0.1321767000, 0.1410807000, 0.1604045000, 0.2020026000, 0.2980717000, 0.5443765000, 1.1885770000", \ - "0.1407655000, 0.1497106000, 0.1691069000, 0.2106160000, 0.3067984000, 0.5529803000, 1.1954182000", \ - "0.1565247000, 0.1655450000, 0.1848693000, 0.2263600000, 0.3225933000, 0.5686784000, 1.2197157000", \ - "0.1835185000, 0.1929065000, 0.2128676000, 0.2549085000, 0.3515598000, 0.5967051000, 1.2413677000", \ - "0.2207408000, 0.2311805000, 0.2530373000, 0.2972140000, 0.3952778000, 0.6407668000, 1.2844169000", \ - "0.2442996000, 0.2580055000, 0.2850323000, 0.3354115000, 0.4366982000, 0.6834567000, 1.3230510000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0301635000, 0.0349416000, 0.0470993000, 0.0725256000, 0.1350254000, 0.3015074000, 0.7590819000", \ - "0.0296657000, 0.0349333000, 0.0465726000, 0.0724599000, 0.1350426000, 0.3015005000, 0.7573229000", \ - "0.0298652000, 0.0350313000, 0.0468686000, 0.0724721000, 0.1352940000, 0.3010417000, 0.7580856000", \ - "0.0295992000, 0.0347971000, 0.0468130000, 0.0724708000, 0.1352779000, 0.3012419000, 0.7572487000", \ - "0.0299547000, 0.0349078000, 0.0470374000, 0.0723912000, 0.1349652000, 0.3016618000, 0.7594022000", \ - "0.0354281000, 0.0403050000, 0.0513105000, 0.0759401000, 0.1371177000, 0.3019459000, 0.7584424000", \ - "0.0497112000, 0.0555483000, 0.0672469000, 0.0906303000, 0.1480223000, 0.3080991000, 0.7573852000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0295748000, 0.0373715000, 0.0560245000, 0.1030061000, 0.2316159000, 0.5808187000, 1.4993079000", \ - "0.0295658000, 0.0373587000, 0.0560269000, 0.1031854000, 0.2313198000, 0.5806133000, 1.4997528000", \ - "0.0295982000, 0.0374189000, 0.0559898000, 0.1031405000, 0.2314786000, 0.5804559000, 1.5008215000", \ - "0.0294868000, 0.0373143000, 0.0559077000, 0.1029734000, 0.2316227000, 0.5808643000, 1.4975676000", \ - "0.0312362000, 0.0392599000, 0.0575836000, 0.1045323000, 0.2318349000, 0.5800829000, 1.5041859000", \ - "0.0362708000, 0.0448244000, 0.0633579000, 0.1090876000, 0.2340850000, 0.5784210000, 1.5004614000", \ - "0.0493631000, 0.0585533000, 0.0774906000, 0.1210882000, 0.2396526000, 0.5810281000, 1.4888003000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1014433000, 0.1078503000, 0.1217490000, 0.1498937000, 0.2070849000, 0.3409978000, 0.6858520000", \ - "0.1071619000, 0.1136446000, 0.1274664000, 0.1555897000, 0.2127760000, 0.3466926000, 0.6917059000", \ - "0.1202997000, 0.1267890000, 0.1406128000, 0.1687777000, 0.2259603000, 0.3596917000, 0.7062941000", \ - "0.1529053000, 0.1593504000, 0.1732538000, 0.2013592000, 0.2586385000, 0.3925939000, 0.7376546000", \ - "0.2266116000, 0.2333438000, 0.2476251000, 0.2761628000, 0.3337668000, 0.4678265000, 0.8142335000", \ - "0.3533839000, 0.3619073000, 0.3795830000, 0.4134303000, 0.4756244000, 0.6114447000, 0.9576440000", \ - "0.5595139000, 0.5708615000, 0.5942217000, 0.6380322000, 0.7109604000, 0.8524881000, 1.1984806000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1425556000, 0.1519794000, 0.1722570000, 0.2148975000, 0.3125203000, 0.5583511000, 1.1985948000", \ - "0.1464865000, 0.1559517000, 0.1761015000, 0.2188671000, 0.3161358000, 0.5626788000, 1.2029019000", \ - "0.1543412000, 0.1637969000, 0.1839594000, 0.2267256000, 0.3240021000, 0.5705242000, 1.2106984000", \ - "0.1707368000, 0.1801439000, 0.2003233000, 0.2430658000, 0.3404604000, 0.5866503000, 1.2301981000", \ - "0.2040998000, 0.2138564000, 0.2346266000, 0.2777697000, 0.3755257000, 0.6212043000, 1.2637507000", \ - "0.2537661000, 0.2640575000, 0.2863773000, 0.3316465000, 0.4307950000, 0.6775194000, 1.3177787000", \ - "0.2950628000, 0.3087032000, 0.3357189000, 0.3854424000, 0.4869902000, 0.7338532000, 1.3752449000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0209008000, 0.0259657000, 0.0379246000, 0.0633550000, 0.1250383000, 0.2965054000, 0.7527304000", \ - "0.0207319000, 0.0258378000, 0.0378103000, 0.0636339000, 0.1249899000, 0.2953879000, 0.7521789000", \ - "0.0208412000, 0.0257963000, 0.0378595000, 0.0634723000, 0.1249007000, 0.2949411000, 0.7577158000", \ - "0.0207325000, 0.0258963000, 0.0378139000, 0.0636284000, 0.1251415000, 0.2965632000, 0.7536436000", \ - "0.0228057000, 0.0278957000, 0.0393607000, 0.0643256000, 0.1254943000, 0.2947637000, 0.7555592000", \ - "0.0321849000, 0.0379995000, 0.0505288000, 0.0758184000, 0.1328752000, 0.2965476000, 0.7572048000", \ - "0.0466465000, 0.0533474000, 0.0691178000, 0.0979411000, 0.1529641000, 0.3050583000, 0.7513195000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0315954000, 0.0398564000, 0.0586904000, 0.1058007000, 0.2330648000, 0.5804457000, 1.4977340000", \ - "0.0317812000, 0.0396219000, 0.0585429000, 0.1058465000, 0.2333049000, 0.5814237000, 1.4976355000", \ - "0.0318098000, 0.0396485000, 0.0585563000, 0.1058951000, 0.2333206000, 0.5814698000, 1.4974890000", \ - "0.0316527000, 0.0396778000, 0.0585831000, 0.1056775000, 0.2335319000, 0.5814164000, 1.4945343000", \ - "0.0335077000, 0.0414636000, 0.0600334000, 0.1070435000, 0.2335621000, 0.5787348000, 1.4985632000", \ - "0.0386482000, 0.0469720000, 0.0653626000, 0.1111845000, 0.2361428000, 0.5806559000, 1.4980086000", \ - "0.0513426000, 0.0595063000, 0.0782741000, 0.1211105000, 0.2404157000, 0.5813163000, 1.4932109000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0918274000, 0.0980811000, 0.1116632000, 0.1395174000, 0.1965925000, 0.3302322000, 0.6764849000", \ - "0.0975602000, 0.1037392000, 0.1173486000, 0.1452324000, 0.2023059000, 0.3359200000, 0.6823143000", \ - "0.1111748000, 0.1173283000, 0.1309827000, 0.1587919000, 0.2158896000, 0.3495228000, 0.6959174000", \ - "0.1435898000, 0.1498184000, 0.1633802000, 0.1912449000, 0.2484311000, 0.3820751000, 0.7285983000", \ - "0.2141036000, 0.2209246000, 0.2351792000, 0.2640023000, 0.3217289000, 0.4555719000, 0.8015862000", \ - "0.3334098000, 0.3421656000, 0.3601759000, 0.3944106000, 0.4574891000, 0.5935734000, 0.9389858000", \ - "0.5263582000, 0.5378898000, 0.5616756000, 0.6064389000, 0.6802897000, 0.8232512000, 1.1690738000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1337003000, 0.1431203000, 0.1632828000, 0.2060351000, 0.3035789000, 0.5490888000, 1.1921742000", \ - "0.1374671000, 0.1469413000, 0.1670789000, 0.2098610000, 0.3072082000, 0.5539965000, 1.1940634000", \ - "0.1456463000, 0.1550474000, 0.1753160000, 0.2180623000, 0.3153745000, 0.5619138000, 1.2017592000", \ - "0.1648547000, 0.1743032000, 0.1945318000, 0.2372416000, 0.3346375000, 0.5816229000, 1.2217302000", \ - "0.2040837000, 0.2137108000, 0.2343921000, 0.2777207000, 0.3754155000, 0.6213999000, 1.2609660000", \ - "0.2590591000, 0.2698247000, 0.2916282000, 0.3362556000, 0.4350194000, 0.6821477000, 1.3244360000", \ - "0.3052713000, 0.3188906000, 0.3450044000, 0.3939356000, 0.4945615000, 0.7422233000, 1.3835106000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0196105000, 0.0248409000, 0.0366966000, 0.0629942000, 0.1247675000, 0.2937489000, 0.7551811000", \ - "0.0198161000, 0.0248388000, 0.0368411000, 0.0628748000, 0.1247366000, 0.2940946000, 0.7556850000", \ - "0.0196009000, 0.0248100000, 0.0367586000, 0.0628772000, 0.1247851000, 0.2939633000, 0.7554986000", \ - "0.0197786000, 0.0247651000, 0.0367520000, 0.0629647000, 0.1244686000, 0.2944895000, 0.7548372000", \ - "0.0230030000, 0.0278747000, 0.0395422000, 0.0648231000, 0.1255662000, 0.2944570000, 0.7581641000", \ - "0.0324096000, 0.0380906000, 0.0510284000, 0.0769574000, 0.1344363000, 0.2971383000, 0.7548974000", \ - "0.0476671000, 0.0543684000, 0.0706161000, 0.1009213000, 0.1575930000, 0.3068458000, 0.7513591000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0317375000, 0.0396383000, 0.0587517000, 0.1059736000, 0.2335146000, 0.5805006000, 1.4968048000", \ - "0.0315000000, 0.0396727000, 0.0587010000, 0.1060181000, 0.2330576000, 0.5809022000, 1.4978808000", \ - "0.0316735000, 0.0398898000, 0.0585925000, 0.1058882000, 0.2333229000, 0.5813832000, 1.4970273000", \ - "0.0317094000, 0.0394161000, 0.0585933000, 0.1059079000, 0.2334108000, 0.5814171000, 1.4913228000", \ - "0.0336128000, 0.0415762000, 0.0601785000, 0.1072980000, 0.2336318000, 0.5809038000, 1.4961014000", \ - "0.0385021000, 0.0464231000, 0.0651541000, 0.1107416000, 0.2361223000, 0.5797343000, 1.4961440000", \ - "0.0513920000, 0.0598524000, 0.0774787000, 0.1199290000, 0.2398189000, 0.5837373000, 1.4934266000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0796547000, 0.0857163000, 0.0989329000, 0.1261784000, 0.1825851000, 0.3156506000, 0.6619105000", \ - "0.0851571000, 0.0912241000, 0.1045312000, 0.1317356000, 0.1881765000, 0.3213285000, 0.6677310000", \ - "0.0986787000, 0.1046814000, 0.1179749000, 0.1452796000, 0.2017538000, 0.3348932000, 0.6813381000", \ - "0.1310713000, 0.1371033000, 0.1503349000, 0.1777148000, 0.2343529000, 0.3676883000, 0.7127591000", \ - "0.1965685000, 0.2035165000, 0.2181159000, 0.2472400000, 0.3048965000, 0.4384451000, 0.7836146000", \ - "0.3026201000, 0.3117266000, 0.3304958000, 0.3658189000, 0.4296684000, 0.5660749000, 0.9112335000", \ - "0.4731713000, 0.4850783000, 0.5097114000, 0.5567187000, 0.6348430000, 0.7780052000, 1.1242864000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.1216391000, 0.1310362000, 0.1512738000, 0.1940123000, 0.2915431000, 0.5374224000, 1.1769208000", \ - "0.1250960000, 0.1345403000, 0.1547441000, 0.1975094000, 0.2948254000, 0.5416161000, 1.1820372000", \ - "0.1336018000, 0.1430520000, 0.1632550000, 0.2060414000, 0.3033029000, 0.5499886000, 1.1907383000", \ - "0.1549574000, 0.1643666000, 0.1845887000, 0.2271938000, 0.3247552000, 0.5705413000, 1.2121528000", \ - "0.1976129000, 0.2070714000, 0.2276022000, 0.2706396000, 0.3680816000, 0.6144008000, 1.2547614000", \ - "0.2532399000, 0.2633797000, 0.2846630000, 0.3284340000, 0.4269032000, 0.6746678000, 1.3168672000", \ - "0.2998037000, 0.3129875000, 0.3388017000, 0.3857132000, 0.4845552000, 0.7321818000, 1.3742429000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0188039000, 0.0239886000, 0.0359924000, 0.0621731000, 0.1239371000, 0.2940910000, 0.7501401000", \ - "0.0188375000, 0.0239880000, 0.0359672000, 0.0621495000, 0.1242686000, 0.2937072000, 0.7549124000", \ - "0.0189864000, 0.0240566000, 0.0359961000, 0.0620608000, 0.1239637000, 0.2941089000, 0.7484754000", \ - "0.0191536000, 0.0241199000, 0.0361266000, 0.0623722000, 0.1242616000, 0.2948577000, 0.7510665000", \ - "0.0237385000, 0.0289499000, 0.0404838000, 0.0658187000, 0.1257716000, 0.2940669000, 0.7532299000", \ - "0.0339108000, 0.0399130000, 0.0531239000, 0.0796963000, 0.1366511000, 0.2969566000, 0.7593006000", \ - "0.0489195000, 0.0565292000, 0.0737493000, 0.1061352000, 0.1610947000, 0.3085977000, 0.7513655000"); - } - related_pin : "D1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013092100, 0.0034280700, 0.0089761600, 0.0235034000, 0.0615419000, 0.1611430000"); - values("0.0315478000, 0.0396691000, 0.0585907000, 0.1057190000, 0.2333294000, 0.5808556000, 1.4962600000", \ - "0.0318193000, 0.0396782000, 0.0586660000, 0.1059482000, 0.2329570000, 0.5799349000, 1.4962946000", \ - "0.0316972000, 0.0396716000, 0.0585599000, 0.1058092000, 0.2331129000, 0.5810025000, 1.4963718000", \ - "0.0316247000, 0.0395440000, 0.0585352000, 0.1058812000, 0.2328381000, 0.5794499000, 1.4985527000", \ - "0.0327015000, 0.0409560000, 0.0599145000, 0.1072582000, 0.2338954000, 0.5817284000, 1.4969396000", \ - "0.0384593000, 0.0457076000, 0.0636109000, 0.1097003000, 0.2363888000, 0.5813736000, 1.4926961000", \ - "0.0517028000, 0.0597941000, 0.0761612000, 0.1183410000, 0.2384080000, 0.5844388000, 1.4939628000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o2111a_2") { - leakage_power () { - value : 0.0028564000; - when : "!A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0029481000; - when : "!A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0028974000; - when : "!A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0028507000; - when : "!A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0028911000; - when : "!A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0028484000; - when : "!A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0034259000; - when : "!A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0029938000; - when : "!A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0028705000; - when : "!A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0028443000; - when : "!A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0032034000; - when : "!A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0028646000; - when : "!A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0031752000; - when : "!A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0028606000; - when : "!A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0028710000; - when : "!A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0031580000; - when : "!A1&A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0028705000; - when : "A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0028443000; - when : "A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0032034000; - when : "A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0028646000; - when : "A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0031752000; - when : "A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0028606000; - when : "A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0034392000; - when : "A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0031579000; - when : "A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0028705000; - when : "A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0028443000; - when : "A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0032034000; - when : "A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0028646000; - when : "A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0031752000; - when : "A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0028606000; - when : "A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0022976000; - when : "A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0031579000; - when : "A1&A2&B1&C1&!D1"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__o2111a"; - cell_leakage_power : 0.0029765370; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0024700000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023580000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044615000, 0.0044414000, 0.0043949000, 0.0043929000, 0.0043884000, 0.0043781000, 0.0043543000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004347900, -0.004361400, -0.004392700, -0.004392000, -0.004390500, -0.004386900, -0.004378800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025810000; - } - pin ("A2") { - capacitance : 0.0023830000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022240000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040626000, 0.0040702000, 0.0040876000, 0.0040878000, 0.0040882000, 0.0040890000, 0.0040910000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004074100, -0.004075900, -0.004079900, -0.004079300, -0.004077700, -0.004074000, -0.004065600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025430000; - } - pin ("B1") { - capacitance : 0.0023230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022280000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039164000, 0.0039147000, 0.0039108000, 0.0039105000, 0.0039098000, 0.0039082000, 0.0039045000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003913800, -0.003915800, -0.003920400, -0.003919300, -0.003916800, -0.003911100, -0.003897800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024180000; - } - pin ("C1") { - capacitance : 0.0024500000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023680000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044592000, 0.0044539000, 0.0044419000, 0.0044554000, 0.0044866000, 0.0045587000, 0.0047246000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004028700, -0.004030100, -0.004033200, -0.004032000, -0.004029300, -0.004023200, -0.004008900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025320000; - } - pin ("D1") { - capacitance : 0.0023650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047484000, 0.0047462000, 0.0047411000, 0.0047428000, 0.0047465000, 0.0047552000, 0.0047751000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003897700, -0.003899200, -0.003902800, -0.003895900, -0.003880100, -0.003843700, -0.003759800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024180000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1&C1&D1) | (A2&B1&C1&D1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0220624000, 0.0206054000, 0.0169284000, 0.0060178000, -0.029307000, -0.137652500, -0.454819900", \ - "0.0220005000, 0.0205413000, 0.0168123000, 0.0059605000, -0.029432800, -0.137744900, -0.455130300", \ - "0.0219177000, 0.0204813000, 0.0167925000, 0.0058761000, -0.029502500, -0.137824700, -0.455198200", \ - "0.0217413000, 0.0202894000, 0.0166554000, 0.0057896000, -0.029692500, -0.137950000, -0.455310000", \ - "0.0216091000, 0.0201919000, 0.0164870000, 0.0055348000, -0.029895000, -0.138140200, -0.455478200", \ - "0.0214545000, 0.0200756000, 0.0163478000, 0.0054487000, -0.030090400, -0.138331500, -0.455597100", \ - "0.0272895000, 0.0254098000, 0.0207484000, 0.0077125000, -0.029755600, -0.138237300, -0.455400700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0195618000, 0.0211917000, 0.0259767000, 0.0394433000, 0.0773743000, 0.1862403000, 0.5030952000", \ - "0.0194343000, 0.0210533000, 0.0257989000, 0.0394378000, 0.0773187000, 0.1860985000, 0.5031096000", \ - "0.0193454000, 0.0209595000, 0.0257148000, 0.0393580000, 0.0771993000, 0.1867386000, 0.5031018000", \ - "0.0192008000, 0.0208304000, 0.0255538000, 0.0391632000, 0.0770969000, 0.1858749000, 0.5029796000", \ - "0.0191278000, 0.0207383000, 0.0254881000, 0.0389613000, 0.0768749000, 0.1855566000, 0.5003785000", \ - "0.0197718000, 0.0213203000, 0.0258224000, 0.0387119000, 0.0766321000, 0.1850617000, 0.4998216000", \ - "0.0203411000, 0.0218997000, 0.0263281000, 0.0394898000, 0.0773125000, 0.1859845000, 0.5017724000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0199315000, 0.0184711000, 0.0149538000, 0.0038945000, -0.031544200, -0.139755800, -0.457121600", \ - "0.0198457000, 0.0183795000, 0.0146856000, 0.0038063000, -0.031686100, -0.139873300, -0.457190100", \ - "0.0196322000, 0.0181927000, 0.0145535000, 0.0036061000, -0.031826900, -0.140021000, -0.457439300", \ - "0.0194934000, 0.0180192000, 0.0143329000, 0.0034716000, -0.032061500, -0.140288100, -0.457642200", \ - "0.0193358000, 0.0178692000, 0.0142083000, 0.0032680000, -0.032273000, -0.140462500, -0.457789500", \ - "0.0196599000, 0.0181754000, 0.0146837000, 0.0037173000, -0.031882600, -0.140118500, -0.457323600", \ - "0.0256067000, 0.0238696000, 0.0192443000, 0.0060017000, -0.031528300, -0.139743600, -0.456868400"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0180415000, 0.0196481000, 0.0244675000, 0.0381140000, 0.0759380000, 0.1845238000, 0.5018827000", \ - "0.0180674000, 0.0197350000, 0.0245325000, 0.0380755000, 0.0759178000, 0.1845126000, 0.5018630000", \ - "0.0179217000, 0.0195841000, 0.0244187000, 0.0379227000, 0.0757902000, 0.1843700000, 0.5019428000", \ - "0.0176497000, 0.0193072000, 0.0241383000, 0.0376955000, 0.0755494000, 0.1842467000, 0.4989859000", \ - "0.0174504000, 0.0190973000, 0.0238706000, 0.0373076000, 0.0751154000, 0.1837192000, 0.4988330000", \ - "0.0179887000, 0.0196076000, 0.0241378000, 0.0370444000, 0.0749295000, 0.1833656000, 0.4955406000", \ - "0.0186398000, 0.0201281000, 0.0245838000, 0.0378736000, 0.0753758000, 0.1843321000, 0.4966838000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0180649000, 0.0169134000, 0.0139094000, 0.0033046000, -0.032547300, -0.141420100, -0.459087100", \ - "0.0179551000, 0.0168732000, 0.0138132000, 0.0032339000, -0.032661500, -0.141524100, -0.459194100", \ - "0.0177558000, 0.0165860000, 0.0135585000, 0.0030175000, -0.032824000, -0.141673300, -0.459350400", \ - "0.0175562000, 0.0164066000, 0.0133399000, 0.0027555000, -0.033110400, -0.141917700, -0.459560200", \ - "0.0173598000, 0.0161645000, 0.0129945000, 0.0025601000, -0.033565800, -0.142271500, -0.459852200", \ - "0.0196598000, 0.0181372000, 0.0136364000, 0.0019426000, -0.033325300, -0.142037100, -0.459551000", \ - "0.0239535000, 0.0222944000, 0.0176925000, 0.0044720000, -0.032901500, -0.141548000, -0.458865700"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0198891000, 0.0215337000, 0.0262424000, 0.0398842000, 0.0777337000, 0.1865574000, 0.5035658000", \ - "0.0198504000, 0.0215181000, 0.0262773000, 0.0397494000, 0.0776752000, 0.1865078000, 0.5032918000", \ - "0.0197163000, 0.0213591000, 0.0260658000, 0.0396491000, 0.0775707000, 0.1864079000, 0.5034314000", \ - "0.0194264000, 0.0210486000, 0.0258886000, 0.0394275000, 0.0773184000, 0.1870202000, 0.5031990000", \ - "0.0193376000, 0.0209426000, 0.0256171000, 0.0390797000, 0.0769511000, 0.1856917000, 0.5009318000", \ - "0.0199834000, 0.0215210000, 0.0260791000, 0.0388610000, 0.0767507000, 0.1852015000, 0.5001945000", \ - "0.0208024000, 0.0222597000, 0.0266221000, 0.0397231000, 0.0774058000, 0.1860734000, 0.4983143000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0151891000, 0.0139626000, 0.0108907000, 0.0003681000, -0.035614800, -0.144627300, -0.462407500", \ - "0.0150331000, 0.0138451000, 0.0108912000, 0.0003174000, -0.035689700, -0.144683900, -0.462460600", \ - "0.0148735000, 0.0136360000, 0.0106368000, 8.640000e-05, -0.035843100, -0.144851100, -0.462629300", \ - "0.0145520000, 0.0134281000, 0.0102945000, -0.000296300, -0.036226500, -0.145165100, -0.462926900", \ - "0.0143572000, 0.0131250000, 0.0099422000, -0.000752300, -0.036673600, -0.145474400, -0.463147100", \ - "0.0165162000, 0.0149607000, 0.0105229000, -0.001570600, -0.036904200, -0.145583800, -0.463134600", \ - "0.0202315000, 0.0185579000, 0.0138909000, 0.0009298000, -0.036478500, -0.145177300, -0.462571800"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0200299000, 0.0216814000, 0.0264602000, 0.0399057000, 0.0779004000, 0.1864354000, 0.5037416000", \ - "0.0199044000, 0.0215238000, 0.0262796000, 0.0399142000, 0.0778047000, 0.1866624000, 0.5012533000", \ - "0.0198071000, 0.0214535000, 0.0262382000, 0.0397163000, 0.0776589000, 0.1865121000, 0.5033195000", \ - "0.0195564000, 0.0211905000, 0.0259358000, 0.0395414000, 0.0774436000, 0.1862386000, 0.5028032000", \ - "0.0194382000, 0.0210379000, 0.0257049000, 0.0391870000, 0.0770257000, 0.1858228000, 0.5006686000", \ - "0.0201132000, 0.0216379000, 0.0261832000, 0.0389146000, 0.0765593000, 0.1850582000, 0.5004053000", \ - "0.0210872000, 0.0225810000, 0.0269482000, 0.0399423000, 0.0776194000, 0.1861028000, 0.4985405000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0127127000, 0.0116304000, 0.0085105000, -0.002373400, -0.038805700, -0.148088300, -0.465999000", \ - "0.0126593000, 0.0114654000, 0.0084191000, -0.002475000, -0.038887300, -0.148158200, -0.466085200", \ - "0.0123535000, 0.0111916000, 0.0081622000, -0.002676400, -0.039065300, -0.148331100, -0.466264000", \ - "0.0120520000, 0.0109268000, 0.0077790000, -0.003104100, -0.039445300, -0.148633100, -0.466521600", \ - "0.0121788000, 0.0108870000, 0.0076000000, -0.003406200, -0.039708200, -0.148812900, -0.466652200", \ - "0.0146614000, 0.0130931000, 0.0087599000, -0.004134200, -0.039861100, -0.148845200, -0.466596600", \ - "0.0174233000, 0.0157368000, 0.0111044000, -0.001865200, -0.039344100, -0.148259900, -0.465809400"); - } - related_pin : "D1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0197758000, 0.0213979000, 0.0261391000, 0.0397511000, 0.0777185000, 0.1864263000, 0.5033765000", \ - "0.0196510000, 0.0213078000, 0.0260563000, 0.0396927000, 0.0775800000, 0.1862770000, 0.5013628000", \ - "0.0194882000, 0.0211398000, 0.0259809000, 0.0394797000, 0.0774984000, 0.1860909000, 0.5007012000", \ - "0.0192463000, 0.0208838000, 0.0256719000, 0.0392604000, 0.0771104000, 0.1858005000, 0.5008313000", \ - "0.0190901000, 0.0206993000, 0.0253937000, 0.0388961000, 0.0766898000, 0.1854372000, 0.5003331000", \ - "0.0199535000, 0.0214856000, 0.0259229000, 0.0387106000, 0.0762675000, 0.1849232000, 0.4999833000", \ - "0.0212529000, 0.0227266000, 0.0270609000, 0.0398892000, 0.0774800000, 0.1860316000, 0.4984620000"); - } - } - max_capacitance : 0.2993870000; - max_transition : 1.5057730000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.2254194000, 0.2322189000, 0.2471249000, 0.2763244000, 0.3325414000, 0.4558522000, 0.7797867000", \ - "0.2307645000, 0.2375415000, 0.2524876000, 0.2816171000, 0.3375636000, 0.4609105000, 0.7842897000", \ - "0.2434245000, 0.2502105000, 0.2651809000, 0.2942911000, 0.3502772000, 0.4736301000, 0.7970135000", \ - "0.2698684000, 0.2766919000, 0.2916203000, 0.3203159000, 0.3771316000, 0.5004319000, 0.8243858000", \ - "0.3284476000, 0.3354106000, 0.3502245000, 0.3794535000, 0.4360388000, 0.5595355000, 0.8829671000", \ - "0.4538886000, 0.4618358000, 0.4776664000, 0.5082066000, 0.5658971000, 0.6897086000, 1.0136735000", \ - "0.6723973000, 0.6810692000, 0.6996923000, 0.7348258000, 0.7993888000, 0.9297884000, 1.2555994000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.1646369000, 0.1725484000, 0.1905947000, 0.2294332000, 0.3187046000, 0.5567753000, 1.2405263000", \ - "0.1689794000, 0.1768669000, 0.1948856000, 0.2338443000, 0.3230735000, 0.5611820000, 1.2442698000", \ - "0.1779051000, 0.1857937000, 0.2038192000, 0.2427796000, 0.3318795000, 0.5700996000, 1.2517858000", \ - "0.1947459000, 0.2026595000, 0.2206483000, 0.2595897000, 0.3488498000, 0.5866527000, 1.2690722000", \ - "0.2267714000, 0.2347570000, 0.2529036000, 0.2919925000, 0.3812570000, 0.6193333000, 1.3019813000", \ - "0.2780930000, 0.2868782000, 0.3065927000, 0.3477055000, 0.4388817000, 0.6769253000, 1.3601411000", \ - "0.3399695000, 0.3507713000, 0.3740091000, 0.4203386000, 0.5160262000, 0.7556133000, 1.4375975000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0315370000, 0.0354466000, 0.0443826000, 0.0645808000, 0.1129884000, 0.2476493000, 0.6666731000", \ - "0.0314833000, 0.0353929000, 0.0444009000, 0.0641381000, 0.1133548000, 0.2479923000, 0.6650277000", \ - "0.0315141000, 0.0359937000, 0.0452239000, 0.0641292000, 0.1133761000, 0.2477725000, 0.6647816000", \ - "0.0316480000, 0.0354194000, 0.0443617000, 0.0645887000, 0.1129032000, 0.2477243000, 0.6676824000", \ - "0.0315469000, 0.0357244000, 0.0444040000, 0.0644476000, 0.1128146000, 0.2480549000, 0.6644746000", \ - "0.0352335000, 0.0391824000, 0.0479881000, 0.0679601000, 0.1148233000, 0.2486552000, 0.6656584000", \ - "0.0455687000, 0.0499364000, 0.0597215000, 0.0808303000, 0.1293036000, 0.2580479000, 0.6646423000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0301403000, 0.0361729000, 0.0513045000, 0.0891168000, 0.1972985000, 0.5274175000, 1.5039389000", \ - "0.0302001000, 0.0364008000, 0.0514958000, 0.0890569000, 0.1968919000, 0.5269923000, 1.5043606000", \ - "0.0302540000, 0.0361001000, 0.0514766000, 0.0890435000, 0.1973740000, 0.5267400000, 1.5006648000", \ - "0.0301818000, 0.0362688000, 0.0514915000, 0.0889862000, 0.1966199000, 0.5261998000, 1.5033124000", \ - "0.0306909000, 0.0366265000, 0.0517102000, 0.0897640000, 0.1972667000, 0.5275773000, 1.5030961000", \ - "0.0344013000, 0.0408743000, 0.0564693000, 0.0939745000, 0.1996430000, 0.5275398000, 1.5027471000", \ - "0.0443124000, 0.0514371000, 0.0677370000, 0.1050294000, 0.2068988000, 0.5295724000, 1.4995670000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.2111182000, 0.2179423000, 0.2328294000, 0.2621067000, 0.3187131000, 0.4416582000, 0.7653337000", \ - "0.2151521000, 0.2219633000, 0.2368122000, 0.2660104000, 0.3227374000, 0.4454289000, 0.7690017000", \ - "0.2262040000, 0.2330354000, 0.2480517000, 0.2772191000, 0.3339129000, 0.4562418000, 0.7796614000", \ - "0.2551325000, 0.2619365000, 0.2766365000, 0.3057788000, 0.3624749000, 0.4851546000, 0.8087246000", \ - "0.3236837000, 0.3304870000, 0.3452832000, 0.3743914000, 0.4311316000, 0.5545478000, 0.8780865000", \ - "0.4788206000, 0.4864045000, 0.5021438000, 0.5319910000, 0.5892060000, 0.7128560000, 1.0366873000", \ - "0.7406829000, 0.7505196000, 0.7715359000, 0.8091833000, 0.8747832000, 1.0042074000, 1.3299118000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.1414645000, 0.1489740000, 0.1664053000, 0.2041040000, 0.2920023000, 0.5285088000, 1.2090909000", \ - "0.1463194000, 0.1539032000, 0.1711649000, 0.2088281000, 0.2967783000, 0.5333666000, 1.2142392000", \ - "0.1549689000, 0.1625123000, 0.1798803000, 0.2176053000, 0.3055052000, 0.5422032000, 1.2247150000", \ - "0.1707052000, 0.1782376000, 0.1956610000, 0.2333410000, 0.3210779000, 0.5576881000, 1.2405394000", \ - "0.1990898000, 0.2068876000, 0.2247664000, 0.2627570000, 0.3507218000, 0.5882382000, 1.2692100000", \ - "0.2405107000, 0.2491694000, 0.2685909000, 0.3091897000, 0.3993872000, 0.6366139000, 1.3212941000", \ - "0.2758923000, 0.2868238000, 0.3109799000, 0.3577985000, 0.4527563000, 0.6913554000, 1.3722923000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0317660000, 0.0354255000, 0.0443924000, 0.0644714000, 0.1132413000, 0.2474762000, 0.6649921000", \ - "0.0316758000, 0.0356740000, 0.0443982000, 0.0649139000, 0.1131805000, 0.2478404000, 0.6654619000", \ - "0.0317553000, 0.0359292000, 0.0446417000, 0.0640003000, 0.1131048000, 0.2482719000, 0.6659107000", \ - "0.0315211000, 0.0358649000, 0.0446001000, 0.0650037000, 0.1131917000, 0.2475230000, 0.6656107000", \ - "0.0315313000, 0.0357453000, 0.0446384000, 0.0649595000, 0.1126693000, 0.2478367000, 0.6641578000", \ - "0.0369156000, 0.0412785000, 0.0491152000, 0.0677975000, 0.1147127000, 0.2486979000, 0.6645916000", \ - "0.0544886000, 0.0587903000, 0.0686758000, 0.0882177000, 0.1310979000, 0.2580309000, 0.6679076000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0283892000, 0.0342655000, 0.0489390000, 0.0864779000, 0.1947288000, 0.5247459000, 1.5053647000", \ - "0.0284276000, 0.0342583000, 0.0489083000, 0.0863276000, 0.1947411000, 0.5244029000, 1.5057733000", \ - "0.0283786000, 0.0343273000, 0.0487168000, 0.0864486000, 0.1946370000, 0.5241091000, 1.5036165000", \ - "0.0283882000, 0.0343677000, 0.0488698000, 0.0864575000, 0.1946385000, 0.5260427000, 1.5000918000", \ - "0.0297968000, 0.0355634000, 0.0501283000, 0.0872624000, 0.1953706000, 0.5263418000, 1.5023787000", \ - "0.0341588000, 0.0406835000, 0.0558256000, 0.0926366000, 0.1982863000, 0.5254051000, 1.4990675000", \ - "0.0461103000, 0.0532856000, 0.0694928000, 0.1058282000, 0.2063756000, 0.5287485000, 1.4971294000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.1216515000, 0.1269630000, 0.1390729000, 0.1643708000, 0.2167393000, 0.3345840000, 0.6557234000", \ - "0.1270734000, 0.1324292000, 0.1444920000, 0.1698806000, 0.2222082000, 0.3400434000, 0.6607756000", \ - "0.1402548000, 0.1455662000, 0.1577047000, 0.1830069000, 0.2353132000, 0.3531393000, 0.6739987000", \ - "0.1721552000, 0.1774684000, 0.1894775000, 0.2148774000, 0.2672438000, 0.3851187000, 0.7061535000", \ - "0.2476494000, 0.2529695000, 0.2649871000, 0.2896573000, 0.3429322000, 0.4609572000, 0.7817092000", \ - "0.3868934000, 0.3936855000, 0.4086339000, 0.4390530000, 0.4960866000, 0.6167160000, 0.9381089000", \ - "0.6122733000, 0.6213062000, 0.6414941000, 0.6805074000, 0.7524110000, 0.8840780000, 1.2072889000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.1581799000, 0.1660651000, 0.1840712000, 0.2230132000, 0.3122822000, 0.5503871000, 1.2335771000", \ - "0.1622208000, 0.1701507000, 0.1881322000, 0.2269413000, 0.3162002000, 0.5543834000, 1.2386075000", \ - "0.1700766000, 0.1780530000, 0.1959888000, 0.2349249000, 0.3242043000, 0.5622379000, 1.2452780000", \ - "0.1864501000, 0.1943690000, 0.2124472000, 0.2512738000, 0.3403839000, 0.5786443000, 1.2601997000", \ - "0.2215598000, 0.2296722000, 0.2478656000, 0.2871632000, 0.3764629000, 0.6138782000, 1.2964820000", \ - "0.2772950000, 0.2862677000, 0.3063043000, 0.3474664000, 0.4385725000, 0.6771871000, 1.3603326000", \ - "0.3335419000, 0.3447284000, 0.3690534000, 0.4158648000, 0.5112468000, 0.7507711000, 1.4331825000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0211573000, 0.0246930000, 0.0337516000, 0.0549102000, 0.1031692000, 0.2385084000, 0.6608254000", \ - "0.0211590000, 0.0248721000, 0.0337723000, 0.0545504000, 0.1030001000, 0.2390817000, 0.6598558000", \ - "0.0210622000, 0.0246627000, 0.0335087000, 0.0547198000, 0.1033031000, 0.2391874000, 0.6588640000", \ - "0.0210687000, 0.0246223000, 0.0337077000, 0.0546854000, 0.1030496000, 0.2387155000, 0.6604800000", \ - "0.0219977000, 0.0254132000, 0.0342100000, 0.0554711000, 0.1035488000, 0.2392025000, 0.6596076000", \ - "0.0318628000, 0.0362696000, 0.0451127000, 0.0659914000, 0.1117812000, 0.2420184000, 0.6615055000", \ - "0.0485430000, 0.0536012000, 0.0646554000, 0.0897939000, 0.1377365000, 0.2570504000, 0.6600527000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0303242000, 0.0363822000, 0.0515020000, 0.0890854000, 0.1972454000, 0.5270286000, 1.5042981000", \ - "0.0301424000, 0.0361729000, 0.0512876000, 0.0890557000, 0.1973765000, 0.5275436000, 1.5031738000", \ - "0.0302059000, 0.0363825000, 0.0514961000, 0.0890633000, 0.1971343000, 0.5268830000, 1.5041934000", \ - "0.0301104000, 0.0361236000, 0.0512348000, 0.0889037000, 0.1973348000, 0.5271621000, 1.5021341000", \ - "0.0311423000, 0.0371826000, 0.0521917000, 0.0898961000, 0.1974372000, 0.5261989000, 1.4985153000", \ - "0.0358165000, 0.0421363000, 0.0573309000, 0.0946872000, 0.2002964000, 0.5280246000, 1.5040176000", \ - "0.0482595000, 0.0553386000, 0.0706178000, 0.1072716000, 0.2071777000, 0.5305529000, 1.4964346000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.1115644000, 0.1167317000, 0.1284885000, 0.1535189000, 0.2053578000, 0.3231161000, 0.6441827000", \ - "0.1172322000, 0.1223692000, 0.1341333000, 0.1591052000, 0.2111043000, 0.3288791000, 0.6497402000", \ - "0.1303321000, 0.1353030000, 0.1472303000, 0.1719564000, 0.2240930000, 0.3418587000, 0.6627471000", \ - "0.1621439000, 0.1673156000, 0.1790803000, 0.2039960000, 0.2561105000, 0.3738008000, 0.6946413000", \ - "0.2358364000, 0.2410765000, 0.2529263000, 0.2781522000, 0.3305733000, 0.4485698000, 0.7693174000", \ - "0.3680136000, 0.3749957000, 0.3902066000, 0.4203894000, 0.4788710000, 0.6001850000, 0.9210029000", \ - "0.5806149000, 0.5898509000, 0.6099951000, 0.6496584000, 0.7231127000, 0.8562229000, 1.1796943000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.1502279000, 0.1581275000, 0.1762519000, 0.2149726000, 0.3041173000, 0.5423640000, 1.2240011000", \ - "0.1539860000, 0.1618847000, 0.1799246000, 0.2188825000, 0.3081204000, 0.5458315000, 1.2278412000", \ - "0.1623319000, 0.1702551000, 0.1882942000, 0.2271165000, 0.3164033000, 0.5545526000, 1.2386743000", \ - "0.1817691000, 0.1897008000, 0.2076778000, 0.2465911000, 0.3358040000, 0.5739091000, 1.2588108000", \ - "0.2239890000, 0.2320044000, 0.2502630000, 0.2895400000, 0.3787469000, 0.6162231000, 1.2997908000", \ - "0.2885858000, 0.2975732000, 0.3174688000, 0.3583487000, 0.4493392000, 0.6885176000, 1.3721702000", \ - "0.3533798000, 0.3645220000, 0.3890770000, 0.4355442000, 0.5297323000, 0.7689153000, 1.4519905000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0200540000, 0.0237768000, 0.0324743000, 0.0534770000, 0.1027768000, 0.2384699000, 0.6617598000", \ - "0.0201162000, 0.0236065000, 0.0325359000, 0.0537783000, 0.1024572000, 0.2381644000, 0.6603797000", \ - "0.0200288000, 0.0239058000, 0.0323755000, 0.0537613000, 0.1026928000, 0.2382106000, 0.6604538000", \ - "0.0200800000, 0.0238091000, 0.0324167000, 0.0535425000, 0.1028309000, 0.2387247000, 0.6642227000", \ - "0.0218334000, 0.0253358000, 0.0337320000, 0.0544530000, 0.1032145000, 0.2389676000, 0.6579393000", \ - "0.0321574000, 0.0362399000, 0.0455008000, 0.0667051000, 0.1131940000, 0.2426926000, 0.6591164000", \ - "0.0490479000, 0.0543058000, 0.0656286000, 0.0913722000, 0.1405603000, 0.2593945000, 0.6596406000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0300662000, 0.0360743000, 0.0512165000, 0.0890057000, 0.1972856000, 0.5271146000, 1.5009531000", \ - "0.0300052000, 0.0361287000, 0.0514954000, 0.0890909000, 0.1969272000, 0.5266216000, 1.5026591000", \ - "0.0301702000, 0.0362021000, 0.0513019000, 0.0890641000, 0.1973034000, 0.5276092000, 1.5035438000", \ - "0.0302075000, 0.0363707000, 0.0514322000, 0.0889758000, 0.1969272000, 0.5276650000, 1.5004267000", \ - "0.0313986000, 0.0373812000, 0.0525233000, 0.0900315000, 0.1975725000, 0.5273848000, 1.5009327000", \ - "0.0370212000, 0.0431203000, 0.0580483000, 0.0945564000, 0.2007868000, 0.5274834000, 1.4998793000", \ - "0.0500880000, 0.0570709000, 0.0719650000, 0.1075225000, 0.2065170000, 0.5298565000, 1.4975321000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0937965000, 0.0986844000, 0.1099028000, 0.1336907000, 0.1839837000, 0.3002107000, 0.6204981000", \ - "0.0993590000, 0.1042004000, 0.1154263000, 0.1390179000, 0.1893504000, 0.3055316000, 0.6256492000", \ - "0.1128552000, 0.1177305000, 0.1289082000, 0.1526346000, 0.2029636000, 0.3191732000, 0.6391964000", \ - "0.1449948000, 0.1498979000, 0.1610241000, 0.1848892000, 0.2352823000, 0.3515906000, 0.6721270000", \ - "0.2166164000, 0.2218579000, 0.2336399000, 0.2582395000, 0.3092839000, 0.4259026000, 0.7465165000", \ - "0.3368225000, 0.3437785000, 0.3591286000, 0.3898036000, 0.4484747000, 0.5693453000, 0.8899146000", \ - "0.5304169000, 0.5396200000, 0.5598376000, 0.5998901000, 0.6749278000, 0.8082277000, 1.1298015000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.1392899000, 0.1471836000, 0.1652129000, 0.2041533000, 0.2934038000, 0.5316614000, 1.2154122000", \ - "0.1428769000, 0.1507966000, 0.1688231000, 0.2077746000, 0.2970150000, 0.5345302000, 1.2162640000", \ - "0.1515849000, 0.1594906000, 0.1775939000, 0.2164265000, 0.3055607000, 0.5436557000, 1.2260891000", \ - "0.1728260000, 0.1806974000, 0.1987891000, 0.2377196000, 0.3269437000, 0.5645651000, 1.2460430000", \ - "0.2203110000, 0.2282497000, 0.2464047000, 0.2855831000, 0.3745105000, 0.6117840000, 1.2955094000", \ - "0.2881172000, 0.2973281000, 0.3164125000, 0.3568124000, 0.4476403000, 0.6862092000, 1.3689627000", \ - "0.3538413000, 0.3653273000, 0.3899794000, 0.4361545000, 0.5292550000, 0.7671277000, 1.4512473000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0185178000, 0.0219267000, 0.0304821000, 0.0514189000, 0.0999143000, 0.2362299000, 0.6589642000", \ - "0.0184807000, 0.0219149000, 0.0304090000, 0.0514855000, 0.1000703000, 0.2370731000, 0.6564860000", \ - "0.0184703000, 0.0219054000, 0.0304847000, 0.0513190000, 0.0998615000, 0.2369771000, 0.6571101000", \ - "0.0183998000, 0.0219020000, 0.0304084000, 0.0513457000, 0.0999077000, 0.2361421000, 0.6594978000", \ - "0.0221991000, 0.0254468000, 0.0334512000, 0.0535030000, 0.1009707000, 0.2363922000, 0.6598115000", \ - "0.0323253000, 0.0365580000, 0.0463348000, 0.0676937000, 0.1136994000, 0.2423034000, 0.6604336000", \ - "0.0490510000, 0.0543364000, 0.0668554000, 0.0931695000, 0.1422612000, 0.2604950000, 0.6587521000"); - } - related_pin : "D1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0302122000, 0.0364038000, 0.0515090000, 0.0891206000, 0.1966653000, 0.5272927000, 1.5031965000", \ - "0.0301662000, 0.0363612000, 0.0513460000, 0.0889993000, 0.1970590000, 0.5255403000, 1.4992003000", \ - "0.0301202000, 0.0364798000, 0.0512591000, 0.0891337000, 0.1968586000, 0.5274927000, 1.5034437000", \ - "0.0303057000, 0.0363851000, 0.0512400000, 0.0889653000, 0.1969650000, 0.5254610000, 1.5011384000", \ - "0.0310058000, 0.0369858000, 0.0520440000, 0.0898380000, 0.1975507000, 0.5270809000, 1.4989009000", \ - "0.0381053000, 0.0438956000, 0.0583276000, 0.0942733000, 0.2011488000, 0.5285099000, 1.5044103000", \ - "0.0531348000, 0.0596148000, 0.0740805000, 0.1073808000, 0.2059513000, 0.5310352000, 1.4979399000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o2111a_4") { - leakage_power () { - value : 0.0074436000; - when : "!A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0304454000; - when : "!A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0406505000; - when : "!A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0303491000; - when : "!A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0088315000; - when : "!A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0077691000; - when : "!A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0075078000; - when : "!A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0074553000; - when : "!A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0081425000; - when : "!A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0074963000; - when : "!A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0080641000; - when : "!A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0074860000; - when : "!A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0093144000; - when : "!A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0082135000; - when : "!A1&A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0075078000; - when : "A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0074553000; - when : "A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0081425000; - when : "A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0074963000; - when : "A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0080642000; - when : "A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0074860000; - when : "A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0075948000; - when : "A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0082134000; - when : "A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0075078000; - when : "A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0074553000; - when : "A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0081425000; - when : "A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0074963000; - when : "A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0080641000; - when : "A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0074860000; - when : "A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0068680000; - when : "A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0082135000; - when : "A1&A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0405379000; - when : "!A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0303464000; - when : "!A1&!A2&!B1&!C1&!D1"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__o2111a"; - cell_leakage_power : 0.0119764800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0043670000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041190000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0076095000, 0.0076056000, 0.0075966000, 0.0075941000, 0.0075883000, 0.0075750000, 0.0075443000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007607900, -0.007607300, -0.007605900, -0.007603400, -0.007597400, -0.007583700, -0.007552000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046150000; - } - pin ("A2") { - capacitance : 0.0046810000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043270000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0081752000, 0.0081835000, 0.0082028000, 0.0082042000, 0.0082075000, 0.0082152000, 0.0082328000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008226200, -0.008221400, -0.008210100, -0.008211000, -0.008212700, -0.008216800, -0.008226200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050340000; - } - pin ("B1") { - capacitance : 0.0043640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075614000, 0.0075627000, 0.0075659000, 0.0075662000, 0.0075668000, 0.0075685000, 0.0075724000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007573100, -0.007569200, -0.007560400, -0.007561200, -0.007562900, -0.007566800, -0.007575700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045280000; - } - pin ("C1") { - capacitance : 0.0047180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0086781000, 0.0086731000, 0.0086618000, 0.0086915000, 0.0087598000, 0.0089175000, 0.0092809000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008064500, -0.008061300, -0.008053800, -0.008051900, -0.008047400, -0.008036900, -0.008012700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0048590000; - } - pin ("D1") { - capacitance : 0.0043290000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042060000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092212000, 0.0092207000, 0.0092195000, 0.0092177000, 0.0092137000, 0.0092046000, 0.0091836000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006132500, -0.006140500, -0.006159000, -0.006141400, -0.006100600, -0.006006500, -0.005789600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044520000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1&C1&D1) | (A2&B1&C1&D1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000"); - values("0.0441783000, 0.0423312000, 0.0368404000, 0.0219727000, -0.032644500, -0.221098800, -0.835070300", \ - "0.0439196000, 0.0420672000, 0.0365789000, 0.0216268000, -0.032866000, -0.221348700, -0.835252400", \ - "0.0437311000, 0.0419283000, 0.0364526000, 0.0211689000, -0.033198100, -0.221611500, -0.835295800", \ - "0.0434379000, 0.0418341000, 0.0360671000, 0.0210860000, -0.033464200, -0.221829500, -0.835772300", \ - "0.0431806000, 0.0412812000, 0.0358773000, 0.0205783000, -0.033851700, -0.222188500, -0.835980200", \ - "0.0432206000, 0.0413624000, 0.0358314000, 0.0203278000, -0.034125600, -0.222516300, -0.836228300", \ - "0.0538204000, 0.0517399000, 0.0454634000, 0.0265783000, -0.033153300, -0.222116200, -0.835947000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000"); - values("0.0401073000, 0.0419431000, 0.0480572000, 0.0674365000, 0.1276588000, 0.3169253000, 0.9278031000", \ - "0.0399501000, 0.0417902000, 0.0478449000, 0.0673304000, 0.1273674000, 0.3168929000, 0.9255981000", \ - "0.0397238000, 0.0416235000, 0.0476411000, 0.0670765000, 0.1272241000, 0.3164714000, 0.9235293000", \ - "0.0392998000, 0.0411089000, 0.0471468000, 0.0667367000, 0.1269228000, 0.3161890000, 0.9283335000", \ - "0.0390084000, 0.0408490000, 0.0467952000, 0.0663383000, 0.1263404000, 0.3160366000, 0.9250655000", \ - "0.0401578000, 0.0419167000, 0.0475526000, 0.0660016000, 0.1260606000, 0.3151828000, 0.9230707000", \ - "0.0415114000, 0.0432185000, 0.0488961000, 0.0675401000, 0.1272383000, 0.3163414000, 0.9210078000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000"); - values("0.0400325000, 0.0380623000, 0.0326307000, 0.0176223000, -0.036888000, -0.225365800, -0.839374700", \ - "0.0395855000, 0.0377455000, 0.0322777000, 0.0170068000, -0.037351800, -0.225736100, -0.839486000", \ - "0.0392409000, 0.0377309000, 0.0322803000, 0.0168785000, -0.037621300, -0.226025000, -0.839808200", \ - "0.0388424000, 0.0369467000, 0.0315701000, 0.0162804000, -0.038086900, -0.226449000, -0.840024600", \ - "0.0386020000, 0.0367262000, 0.0312499000, 0.0160692000, -0.038390100, -0.226772600, -0.840389500", \ - "0.0394603000, 0.0376588000, 0.0319804000, 0.0175169000, -0.037524300, -0.226380800, -0.840069300", \ - "0.0511154000, 0.0489289000, 0.0424276000, 0.0231701000, -0.036647100, -0.225452700, -0.838904900"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000"); - values("0.0374524000, 0.0392533000, 0.0453066000, 0.0648466000, 0.1246752000, 0.3130562000, 0.9217195000", \ - "0.0374387000, 0.0393142000, 0.0453817000, 0.0646340000, 0.1245410000, 0.3130850000, 0.9209969000", \ - "0.0373020000, 0.0390093000, 0.0451003000, 0.0646445000, 0.1244497000, 0.3130885000, 0.9215681000", \ - "0.0366623000, 0.0384396000, 0.0445449000, 0.0639424000, 0.1239457000, 0.3124492000, 0.9211862000", \ - "0.0360303000, 0.0378705000, 0.0439059000, 0.0632692000, 0.1229789000, 0.3118499000, 0.9210535000", \ - "0.0372267000, 0.0389945000, 0.0447376000, 0.0630050000, 0.1228420000, 0.3112282000, 0.9193957000", \ - "0.0389586000, 0.0406142000, 0.0462949000, 0.0647147000, 0.1236666000, 0.3127486000, 0.9171360000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000"); - values("0.0368966000, 0.0354680000, 0.0313584000, 0.0174107000, -0.037479300, -0.227073000, -0.841453900", \ - "0.0366596000, 0.0352378000, 0.0311423000, 0.0171783000, -0.037729400, -0.227264600, -0.841648200", \ - "0.0364813000, 0.0350407000, 0.0308956000, 0.0168165000, -0.038050100, -0.227582900, -0.841982500", \ - "0.0358290000, 0.0343847000, 0.0302759000, 0.0161300000, -0.038710700, -0.228172000, -0.842536700", \ - "0.0355085000, 0.0340289000, 0.0297900000, 0.0156112000, -0.039310100, -0.228556000, -0.842722700", \ - "0.0380899000, 0.0361935000, 0.0312629000, 0.0152534000, -0.039317300, -0.228609300, -0.842662000", \ - "0.0485055000, 0.0464353000, 0.0401718000, 0.0212559000, -0.038055900, -0.227748700, -0.841371600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000"); - values("0.0399451000, 0.0417728000, 0.0478068000, 0.0673825000, 0.1274831000, 0.3171974000, 0.9293730000", \ - "0.0398570000, 0.0416655000, 0.0476854000, 0.0672793000, 0.1273654000, 0.3170930000, 0.9292738000", \ - "0.0396069000, 0.0414740000, 0.0474414000, 0.0669336000, 0.1271887000, 0.3169004000, 0.9255274000", \ - "0.0392621000, 0.0410849000, 0.0470949000, 0.0666371000, 0.1269409000, 0.3163438000, 0.9286092000", \ - "0.0390649000, 0.0408461000, 0.0469362000, 0.0663473000, 0.1263922000, 0.3161739000, 0.9254726000", \ - "0.0401562000, 0.0419006000, 0.0476333000, 0.0661043000, 0.1258352000, 0.3153924000, 0.9237969000", \ - "0.0423284000, 0.0439997000, 0.0496205000, 0.0677953000, 0.1275105000, 0.3166045000, 0.9212894000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000"); - values("0.0325246000, 0.0311721000, 0.0269005000, 0.0125881000, -0.042857900, -0.232883900, -0.847494600", \ - "0.0323361000, 0.0310230000, 0.0266804000, 0.0124524000, -0.042964400, -0.232991100, -0.847633600", \ - "0.0320342000, 0.0306569000, 0.0265000000, 0.0121164000, -0.043264400, -0.233286200, -0.847917000", \ - "0.0315353000, 0.0302160000, 0.0259110000, 0.0115133000, -0.043890700, -0.233811200, -0.848388700", \ - "0.0310756000, 0.0296626000, 0.0251960000, 0.0105198000, -0.044867400, -0.234542400, -0.848948100", \ - "0.0341848000, 0.0323499000, 0.0264994000, 0.0088055000, -0.045602300, -0.235086000, -0.849231900", \ - "0.0419983000, 0.0399359000, 0.0336344000, 0.0147374000, -0.044488600, -0.234282900, -0.848133400"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000"); - values("0.0406602000, 0.0424492000, 0.0485227000, 0.0679710000, 0.1280500000, 0.3175056000, 0.9261202000", \ - "0.0405330000, 0.0422979000, 0.0483902000, 0.0678463000, 0.1279106000, 0.3174566000, 0.9264191000", \ - "0.0401224000, 0.0419887000, 0.0479577000, 0.0674478000, 0.1276723000, 0.3175467000, 0.9255134000", \ - "0.0396639000, 0.0414611000, 0.0475620000, 0.0671733000, 0.1274046000, 0.3169300000, 0.9280656000", \ - "0.0394639000, 0.0412665000, 0.0472349000, 0.0665172000, 0.1267968000, 0.3163810000, 0.9288188000", \ - "0.0403794000, 0.0421213000, 0.0477097000, 0.0660910000, 0.1261708000, 0.3153532000, 0.9254748000", \ - "0.0430264000, 0.0446790000, 0.0502479000, 0.0685309000, 0.1275100000, 0.3170297000, 0.9214530000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000"); - values("0.0249207000, 0.0237062000, 0.0196495000, 0.0053262000, -0.050041500, -0.240254000, -0.855085700", \ - "0.0248493000, 0.0235377000, 0.0193929000, 0.0053413000, -0.050126800, -0.240348900, -0.855186000", \ - "0.0245858000, 0.0232793000, 0.0191660000, 0.0049470000, -0.050486300, -0.240683500, -0.855522600", \ - "0.0240092000, 0.0226792000, 0.0185993000, 0.0042362000, -0.051137300, -0.241187700, -0.855945900", \ - "0.0238896000, 0.0224045000, 0.0180196000, 0.0033827000, -0.052133700, -0.241867400, -0.856223600", \ - "0.0291711000, 0.0272813000, 0.0214035000, 0.0030911000, -0.052363800, -0.241744400, -0.856104700", \ - "0.0363236000, 0.0342365000, 0.0279619000, 0.0090390000, -0.049426900, -0.239081600, -0.853840200"); - } - related_pin : "D1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016070190, 0.0051650180, 0.0166005600, 0.0533548200, 0.1714844000, 0.5511573000"); - values("0.0386657000, 0.0404757000, 0.0466355000, 0.0660187000, 0.1262190000, 0.3158923000, 0.9239549000", \ - "0.0384151000, 0.0402917000, 0.0463364000, 0.0657421000, 0.1261072000, 0.3160090000, 0.9248860000", \ - "0.0381134000, 0.0399122000, 0.0460337000, 0.0655829000, 0.1258048000, 0.3157250000, 0.9282169000", \ - "0.0376273000, 0.0393949000, 0.0455156000, 0.0651434000, 0.1252406000, 0.3149108000, 0.9231662000", \ - "0.0376440000, 0.0394706000, 0.0454046000, 0.0645595000, 0.1246346000, 0.3144336000, 0.9270766000", \ - "0.0391320000, 0.0408681000, 0.0464763000, 0.0644902000, 0.1244804000, 0.3134627000, 0.9238238000", \ - "0.0426738000, 0.0442880000, 0.0497616000, 0.0680749000, 0.1268561000, 0.3157442000, 0.9215466000"); - } - } - max_capacitance : 0.5511570000; - max_transition : 1.5038940000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.2600758000, 0.2648713000, 0.2772687000, 0.3043159000, 0.3598264000, 0.4810825000, 0.8100508000", \ - "0.2650182000, 0.2698231000, 0.2822260000, 0.3094296000, 0.3648625000, 0.4859994000, 0.8146566000", \ - "0.2779044000, 0.2827388000, 0.2950699000, 0.3223393000, 0.3778992000, 0.4987580000, 0.8283942000", \ - "0.3061385000, 0.3109706000, 0.3232873000, 0.3503926000, 0.4058213000, 0.5268018000, 0.8560520000", \ - "0.3690795000, 0.3739436000, 0.3861925000, 0.4134377000, 0.4689025000, 0.5903240000, 0.9196255000", \ - "0.5107875000, 0.5157632000, 0.5286565000, 0.5563661000, 0.6121743000, 0.7334398000, 1.0628854000", \ - "0.7715302000, 0.7774075000, 0.7924433000, 0.8248662000, 0.8875569000, 1.0168242000, 1.3494149000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.1830092000, 0.1885146000, 0.2031867000, 0.2377567000, 0.3198103000, 0.5449343000, 1.2522269000", \ - "0.1870629000, 0.1925903000, 0.2073191000, 0.2418648000, 0.3238095000, 0.5492934000, 1.2573618000", \ - "0.1951789000, 0.2006789000, 0.2153429000, 0.2498819000, 0.3319252000, 0.5573025000, 1.2653466000", \ - "0.2097039000, 0.2150895000, 0.2297776000, 0.2644948000, 0.3464835000, 0.5714950000, 1.2812775000", \ - "0.2368089000, 0.2424146000, 0.2571729000, 0.2920033000, 0.3740696000, 0.5997199000, 1.3076058000", \ - "0.2797568000, 0.2857617000, 0.3014788000, 0.3382440000, 0.4223780000, 0.6489594000, 1.3598693000", \ - "0.3265177000, 0.3335000000, 0.3517753000, 0.3927279000, 0.4823120000, 0.7113816000, 1.4191953000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.0359789000, 0.0389614000, 0.0456967000, 0.0631749000, 0.1054377000, 0.2319956000, 0.6562741000", \ - "0.0359700000, 0.0389284000, 0.0455560000, 0.0630060000, 0.1061089000, 0.2318342000, 0.6554182000", \ - "0.0357409000, 0.0385259000, 0.0458337000, 0.0629129000, 0.1060325000, 0.2320165000, 0.6547803000", \ - "0.0358009000, 0.0385149000, 0.0456455000, 0.0630163000, 0.1052437000, 0.2317773000, 0.6559253000", \ - "0.0359460000, 0.0388269000, 0.0460507000, 0.0629994000, 0.1061465000, 0.2315676000, 0.6561190000", \ - "0.0383700000, 0.0411142000, 0.0481017000, 0.0646310000, 0.1068361000, 0.2324090000, 0.6565896000", \ - "0.0497523000, 0.0524390000, 0.0603802000, 0.0786219000, 0.1214499000, 0.2431776000, 0.6586279000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.0325949000, 0.0371662000, 0.0488968000, 0.0801516000, 0.1722926000, 0.4803030000, 1.4980002000", \ - "0.0326157000, 0.0371006000, 0.0489051000, 0.0801975000, 0.1725151000, 0.4805744000, 1.4999246000", \ - "0.0329951000, 0.0371766000, 0.0487437000, 0.0801902000, 0.1726507000, 0.4809988000, 1.5000567000", \ - "0.0329048000, 0.0369969000, 0.0490606000, 0.0800941000, 0.1725102000, 0.4805559000, 1.5035541000", \ - "0.0334208000, 0.0377114000, 0.0495286000, 0.0808483000, 0.1727613000, 0.4809510000, 1.4996735000", \ - "0.0365236000, 0.0409789000, 0.0533265000, 0.0846674000, 0.1761202000, 0.4820694000, 1.5027664000", \ - "0.0450517000, 0.0500967000, 0.0632803000, 0.0958234000, 0.1837377000, 0.4837178000, 1.4991788000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.2416986000, 0.2465075000, 0.2589034000, 0.2860307000, 0.3413458000, 0.4625981000, 0.7916664000", \ - "0.2450555000, 0.2498540000, 0.2622564000, 0.2896452000, 0.3450120000, 0.4663486000, 0.7958327000", \ - "0.2554710000, 0.2602525000, 0.2726276000, 0.2999349000, 0.3553917000, 0.4768388000, 0.8062944000", \ - "0.2811763000, 0.2859745000, 0.2984335000, 0.3256602000, 0.3811274000, 0.5026439000, 0.8316006000", \ - "0.3464939000, 0.3512926000, 0.3637380000, 0.3910535000, 0.4464938000, 0.5678258000, 0.8974329000", \ - "0.4977654000, 0.5028099000, 0.5157421000, 0.5437792000, 0.5990355000, 0.7211132000, 1.0506951000", \ - "0.7574630000, 0.7637870000, 0.7801149000, 0.8156049000, 0.8806124000, 1.0085205000, 1.3412962000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.1595379000, 0.1648804000, 0.1790559000, 0.2128464000, 0.2932755000, 0.5161404000, 1.2239039000", \ - "0.1643021000, 0.1696299000, 0.1837976000, 0.2174247000, 0.2978245000, 0.5213908000, 1.2306339000", \ - "0.1724549000, 0.1776871000, 0.1918807000, 0.2256221000, 0.3060609000, 0.5290662000, 1.2363800000", \ - "0.1865313000, 0.1918663000, 0.2060766000, 0.2398279000, 0.3202265000, 0.5432466000, 1.2514185000", \ - "0.2123494000, 0.2178638000, 0.2323293000, 0.2664081000, 0.3472066000, 0.5705683000, 1.2791064000", \ - "0.2513070000, 0.2572270000, 0.2728528000, 0.3091558000, 0.3925932000, 0.6177195000, 1.3280740000", \ - "0.2891046000, 0.2963009000, 0.3148430000, 0.3567162000, 0.4453992000, 0.6731490000, 1.3805239000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.0359026000, 0.0388235000, 0.0456067000, 0.0629610000, 0.1065362000, 0.2317891000, 0.6554544000", \ - "0.0357602000, 0.0389293000, 0.0455949000, 0.0629758000, 0.1061759000, 0.2317056000, 0.6547772000", \ - "0.0357421000, 0.0387604000, 0.0458229000, 0.0629657000, 0.1061609000, 0.2316911000, 0.6545449000", \ - "0.0362265000, 0.0385955000, 0.0461672000, 0.0628312000, 0.1061898000, 0.2317684000, 0.6552551000", \ - "0.0361842000, 0.0390283000, 0.0456740000, 0.0628321000, 0.1062124000, 0.2318132000, 0.6544300000", \ - "0.0397538000, 0.0424307000, 0.0494805000, 0.0659171000, 0.1080009000, 0.2327799000, 0.6555006000", \ - "0.0572859000, 0.0605428000, 0.0692263000, 0.0872677000, 0.1258827000, 0.2440948000, 0.6583054000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.0314902000, 0.0355061000, 0.0471129000, 0.0781078000, 0.1703365000, 0.4790045000, 1.5002094000", \ - "0.0313036000, 0.0356929000, 0.0472873000, 0.0783000000, 0.1702252000, 0.4783920000, 1.4992946000", \ - "0.0314692000, 0.0354388000, 0.0469862000, 0.0781071000, 0.1703166000, 0.4792073000, 1.5012666000", \ - "0.0316583000, 0.0354666000, 0.0469965000, 0.0780602000, 0.1702174000, 0.4786749000, 1.5005920000", \ - "0.0325892000, 0.0366665000, 0.0479263000, 0.0791107000, 0.1712350000, 0.4790540000, 1.5029910000", \ - "0.0362683000, 0.0404855000, 0.0528866000, 0.0839315000, 0.1747142000, 0.4806874000, 1.5008672000", \ - "0.0464472000, 0.0515245000, 0.0647803000, 0.0961066000, 0.1840317000, 0.4835699000, 1.4974951000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.1265083000, 0.1300285000, 0.1393501000, 0.1613223000, 0.2103666000, 0.3239552000, 0.6487543000", \ - "0.1319075000, 0.1354243000, 0.1447653000, 0.1668033000, 0.2158120000, 0.3294144000, 0.6541658000", \ - "0.1449895000, 0.1484972000, 0.1577567000, 0.1798159000, 0.2289424000, 0.3425834000, 0.6676151000", \ - "0.1770172000, 0.1805288000, 0.1898412000, 0.2117687000, 0.2610130000, 0.3747012000, 0.6994024000", \ - "0.2517979000, 0.2552586000, 0.2645635000, 0.2857818000, 0.3351406000, 0.4488228000, 0.7737133000", \ - "0.3924484000, 0.3968623000, 0.4082844000, 0.4337422000, 0.4879239000, 0.6049334000, 0.9301586000", \ - "0.6203140000, 0.6260933000, 0.6410597000, 0.6744612000, 0.7420221000, 0.8718415000, 1.2001035000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.1755473000, 0.1811152000, 0.1957812000, 0.2305338000, 0.3124238000, 0.5378470000, 1.2478848000", \ - "0.1795886000, 0.1850705000, 0.1997424000, 0.2345258000, 0.3163764000, 0.5418415000, 1.2521987000", \ - "0.1877870000, 0.1933000000, 0.2079884000, 0.2426318000, 0.3246045000, 0.5501236000, 1.2586245000", \ - "0.2046628000, 0.2101800000, 0.2248382000, 0.2596098000, 0.3415926000, 0.5667460000, 1.2773843000", \ - "0.2410533000, 0.2466437000, 0.2615156000, 0.2964596000, 0.3783626000, 0.6040391000, 1.3123037000", \ - "0.3010741000, 0.3072150000, 0.3231971000, 0.3602133000, 0.4445640000, 0.6714015000, 1.3812174000", \ - "0.3659504000, 0.3733130000, 0.3926781000, 0.4349766000, 0.5243923000, 0.7530433000, 1.4623541000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.0216186000, 0.0239678000, 0.0305892000, 0.0484225000, 0.0931386000, 0.2196729000, 0.6488732000", \ - "0.0216142000, 0.0239239000, 0.0304852000, 0.0482961000, 0.0930967000, 0.2195584000, 0.6499278000", \ - "0.0216042000, 0.0239771000, 0.0305132000, 0.0484026000, 0.0930807000, 0.2193363000, 0.6489235000", \ - "0.0216093000, 0.0239559000, 0.0304680000, 0.0483926000, 0.0930162000, 0.2191810000, 0.6502928000", \ - "0.0222338000, 0.0244938000, 0.0310883000, 0.0487266000, 0.0932591000, 0.2198127000, 0.6488874000", \ - "0.0320039000, 0.0342094000, 0.0415937000, 0.0589797000, 0.1018983000, 0.2231466000, 0.6505829000", \ - "0.0480686000, 0.0514541000, 0.0610273000, 0.0802630000, 0.1264135000, 0.2403762000, 0.6521355000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.0328254000, 0.0369638000, 0.0490784000, 0.0803165000, 0.1727243000, 0.4808902000, 1.5038938000", \ - "0.0327813000, 0.0372981000, 0.0490313000, 0.0803552000, 0.1727082000, 0.4808995000, 1.5038307000", \ - "0.0327071000, 0.0372025000, 0.0489878000, 0.0803543000, 0.1726963000, 0.4809086000, 1.5021823000", \ - "0.0326292000, 0.0369426000, 0.0490830000, 0.0802402000, 0.1724637000, 0.4804808000, 1.5036071000", \ - "0.0338232000, 0.0379582000, 0.0495744000, 0.0811912000, 0.1728870000, 0.4809564000, 1.4996430000", \ - "0.0377078000, 0.0423272000, 0.0547528000, 0.0857029000, 0.1765764000, 0.4812765000, 1.5014376000", \ - "0.0492140000, 0.0540794000, 0.0671096000, 0.0981145000, 0.1849427000, 0.4845740000, 1.4996819000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.1138815000, 0.1172265000, 0.1261056000, 0.1470974000, 0.1937218000, 0.3038481000, 0.6274958000", \ - "0.1195590000, 0.1229042000, 0.1318068000, 0.1528279000, 0.1994192000, 0.3095228000, 0.6333150000", \ - "0.1329297000, 0.1362623000, 0.1451903000, 0.1661715000, 0.2127868000, 0.3230340000, 0.6465958000", \ - "0.1644375000, 0.1678074000, 0.1766586000, 0.1974861000, 0.2441681000, 0.3543641000, 0.6781338000", \ - "0.2382274000, 0.2416307000, 0.2506109000, 0.2716941000, 0.3187575000, 0.4292931000, 0.7527826000", \ - "0.3710255000, 0.3755459000, 0.3870194000, 0.4128290000, 0.4663195000, 0.5812423000, 0.9049958000", \ - "0.5837362000, 0.5895769000, 0.6046037000, 0.6385093000, 0.7070712000, 0.8363661000, 1.1639856000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.1679198000, 0.1734560000, 0.1881323000, 0.2226595000, 0.3046911000, 0.5304591000, 1.2397174000", \ - "0.1718558000, 0.1773871000, 0.1920520000, 0.2265749000, 0.3085946000, 0.5344112000, 1.2438023000", \ - "0.1801861000, 0.1856947000, 0.2003896000, 0.2350289000, 0.3170167000, 0.5426666000, 1.2537205000", \ - "0.1994108000, 0.2049020000, 0.2196039000, 0.2542920000, 0.3363481000, 0.5618913000, 1.2695955000", \ - "0.2425797000, 0.2482100000, 0.2628655000, 0.2978335000, 0.3799522000, 0.6051423000, 1.3151668000", \ - "0.3119874000, 0.3182107000, 0.3342616000, 0.3708055000, 0.4551225000, 0.6826680000, 1.3915428000", \ - "0.3866725000, 0.3941542000, 0.4135874000, 0.4558582000, 0.5443014000, 0.7725315000, 1.4819089000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.0200129000, 0.0222805000, 0.0286158000, 0.0455760000, 0.0888133000, 0.2153340000, 0.6471387000", \ - "0.0202052000, 0.0222291000, 0.0284757000, 0.0455754000, 0.0888313000, 0.2153479000, 0.6475410000", \ - "0.0201276000, 0.0224192000, 0.0286169000, 0.0455902000, 0.0887831000, 0.2153131000, 0.6504023000", \ - "0.0201641000, 0.0223041000, 0.0288687000, 0.0456320000, 0.0886650000, 0.2152575000, 0.6474703000", \ - "0.0217148000, 0.0236945000, 0.0301677000, 0.0465159000, 0.0892467000, 0.2150529000, 0.6480917000", \ - "0.0318767000, 0.0343064000, 0.0414223000, 0.0587089000, 0.1001429000, 0.2201356000, 0.6472439000", \ - "0.0483405000, 0.0516983000, 0.0606258000, 0.0822123000, 0.1276244000, 0.2391105000, 0.6505579000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.0331136000, 0.0368946000, 0.0487770000, 0.0802926000, 0.1727331000, 0.4808932000, 1.5019413000", \ - "0.0328171000, 0.0370512000, 0.0488220000, 0.0803301000, 0.1726977000, 0.4804198000, 1.5020009000", \ - "0.0327048000, 0.0372112000, 0.0489881000, 0.0803527000, 0.1726869000, 0.4808993000, 1.5031227000", \ - "0.0329171000, 0.0369194000, 0.0486273000, 0.0801643000, 0.1723102000, 0.4805630000, 1.4984389000", \ - "0.0338371000, 0.0377672000, 0.0496003000, 0.0810653000, 0.1725157000, 0.4801348000, 1.5023699000", \ - "0.0385426000, 0.0428178000, 0.0543125000, 0.0858593000, 0.1766628000, 0.4818523000, 1.5003864000", \ - "0.0512897000, 0.0558128000, 0.0682653000, 0.0985224000, 0.1842411000, 0.4847729000, 1.5003929000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.1020834000, 0.1053953000, 0.1142888000, 0.1353379000, 0.1826739000, 0.2938784000, 0.6177888000", \ - "0.1076027000, 0.1109234000, 0.1198054000, 0.1409041000, 0.1882216000, 0.2993955000, 0.6232161000", \ - "0.1200810000, 0.1233325000, 0.1326449000, 0.1537097000, 0.2010648000, 0.3123005000, 0.6357309000", \ - "0.1511767000, 0.1545301000, 0.1633483000, 0.1843548000, 0.2318144000, 0.3430708000, 0.6669178000", \ - "0.2192904000, 0.2228493000, 0.2322232000, 0.2539100000, 0.3021367000, 0.4138391000, 0.7374724000", \ - "0.3320571000, 0.3366195000, 0.3484414000, 0.3753503000, 0.4309087000, 0.5483127000, 0.8730697000", \ - "0.5088629000, 0.5146043000, 0.5299477000, 0.5643506000, 0.6362760000, 0.7684415000, 1.0965517000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.1513510000, 0.1568565000, 0.1715056000, 0.2060409000, 0.2881457000, 0.5138882000, 1.2220599000", \ - "0.1547050000, 0.1602175000, 0.1748528000, 0.2095304000, 0.2915171000, 0.5173821000, 1.2261684000", \ - "0.1631298000, 0.1686589000, 0.1833586000, 0.2180438000, 0.3001400000, 0.5257772000, 1.2364688000", \ - "0.1836412000, 0.1891711000, 0.2038856000, 0.2386116000, 0.3206730000, 0.5466375000, 1.2553842000", \ - "0.2309932000, 0.2364837000, 0.2511455000, 0.2860601000, 0.3678906000, 0.5932186000, 1.3039373000", \ - "0.3008376000, 0.3068608000, 0.3223780000, 0.3577389000, 0.4413547000, 0.6695536000, 1.3789817000", \ - "0.3723588000, 0.3797615000, 0.3986820000, 0.4403839000, 0.5261147000, 0.7534480000, 1.4635182000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.0195950000, 0.0219444000, 0.0283536000, 0.0457191000, 0.0897679000, 0.2165277000, 0.6503775000", \ - "0.0193650000, 0.0217046000, 0.0280546000, 0.0455991000, 0.0897432000, 0.2166131000, 0.6476383000", \ - "0.0195362000, 0.0216971000, 0.0284479000, 0.0456833000, 0.0897317000, 0.2163823000, 0.6497334000", \ - "0.0194883000, 0.0217223000, 0.0281984000, 0.0458085000, 0.0896996000, 0.2165330000, 0.6476311000", \ - "0.0227205000, 0.0250085000, 0.0311879000, 0.0480090000, 0.0908381000, 0.2167996000, 0.6503669000", \ - "0.0329345000, 0.0357156000, 0.0432636000, 0.0615166000, 0.1039657000, 0.2234429000, 0.6502628000", \ - "0.0490813000, 0.0529286000, 0.0624429000, 0.0842471000, 0.1328182000, 0.2448012000, 0.6514646000"); - } - related_pin : "D1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016070200, 0.0051650200, 0.0166006000, 0.0533548000, 0.1714840000, 0.5511570000"); - values("0.0329011000, 0.0369870000, 0.0487159000, 0.0801989000, 0.1725626000, 0.4808356000, 1.4991418000", \ - "0.0327238000, 0.0370870000, 0.0490777000, 0.0803339000, 0.1726390000, 0.4808822000, 1.5026579000", \ - "0.0329488000, 0.0370170000, 0.0487159000, 0.0801207000, 0.1726187000, 0.4808249000, 1.5038851000", \ - "0.0327354000, 0.0370019000, 0.0487296000, 0.0801524000, 0.1726559000, 0.4808428000, 1.5008652000", \ - "0.0330968000, 0.0372794000, 0.0493481000, 0.0809911000, 0.1732246000, 0.4802471000, 1.5033557000", \ - "0.0387425000, 0.0425938000, 0.0539733000, 0.0846298000, 0.1765580000, 0.4821578000, 1.5019056000", \ - "0.0520332000, 0.0567801000, 0.0694210000, 0.0980595000, 0.1833958000, 0.4854671000, 1.5003265000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o2111ai_1") { - leakage_power () { - value : 3.9556262e-05; - when : "!A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 2.3462865e-05; - when : "!A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 8.0724625e-05; - when : "!A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 3.3923642e-05; - when : "!A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 7.4281185e-05; - when : "!A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 3.1586394e-05; - when : "!A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0006096000; - when : "!A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 6.8105169e-05; - when : "!A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 5.3715601e-05; - when : "!A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 2.7532454e-05; - when : "!A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0003872000; - when : "!A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 4.7826079e-05; - when : "!A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0003586000; - when : "!A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 4.3744827e-05; - when : "!A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0046087000; - when : "!A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0003415000; - when : "!A1&A2&B1&C1&!D1"; - } - leakage_power () { - value : 5.3715601e-05; - when : "A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 2.7532454e-05; - when : "A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0003872000; - when : "A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 4.7826079e-05; - when : "A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0003586000; - when : "A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 4.3744827e-05; - when : "A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0051966000; - when : "A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0003418000; - when : "A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 5.3715601e-05; - when : "A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 2.7532454e-05; - when : "A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0003872000; - when : "A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 4.7826079e-05; - when : "A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0003586000; - when : "A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 4.3744827e-05; - when : "A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0039472000; - when : "A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0003413000; - when : "A1&A2&B1&C1&!D1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__o2111ai"; - cell_leakage_power : 0.0005779411; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022140000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039873000, 0.0039870000, 0.0039862000, 0.0039837000, 0.0039780000, 0.0039648000, 0.0039343000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003981800, -0.003981400, -0.003980500, -0.003979700, -0.003977900, -0.003973900, -0.003964500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024370000; - } - pin ("A2") { - capacitance : 0.0023850000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022250000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039413000, 0.0039404000, 0.0039382000, 0.0039384000, 0.0039387000, 0.0039394000, 0.0039412000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003939800, -0.003938000, -0.003934100, -0.003934600, -0.003935600, -0.003938200, -0.003943900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025440000; - } - pin ("B1") { - capacitance : 0.0023240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022380000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038694000, 0.0038703000, 0.0038724000, 0.0038727000, 0.0038734000, 0.0038751000, 0.0038790000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003886800, -0.003880300, -0.003865500, -0.003867100, -0.003870700, -0.003878900, -0.003898100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024090000; - } - pin ("C1") { - capacitance : 0.0024040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023350000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044113000, 0.0044069000, 0.0043969000, 0.0044099000, 0.0044397000, 0.0045084000, 0.0046669000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004016200, -0.004010800, -0.003998300, -0.003996800, -0.003993200, -0.003985100, -0.003966300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024730000; - } - pin ("D1") { - capacitance : 0.0023090000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047335000, 0.0047355000, 0.0047402000, 0.0047400000, 0.0047394000, 0.0047380000, 0.0047347000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003903400, -0.003903800, -0.003904600, -0.003897800, -0.003881900, -0.003845300, -0.003761000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023530000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2) | (!B1) | (!C1) | (!D1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0069581000, 0.0059201000, 0.0035568000, -0.001866900, -0.014291700, -0.042725100, -0.107764100", \ - "0.0068378000, 0.0058070000, 0.0034537000, -0.001972800, -0.014376500, -0.042820500, -0.107839700", \ - "0.0066845000, 0.0056667000, 0.0033245000, -0.002078300, -0.014463600, -0.042863100, -0.107901600", \ - "0.0064879000, 0.0054792000, 0.0031567000, -0.002209600, -0.014559200, -0.042931600, -0.107942200", \ - "0.0063812000, 0.0053799000, 0.0030431000, -0.002323000, -0.014645700, -0.042969300, -0.107942100", \ - "0.0063611000, 0.0053638000, 0.0029920000, -0.002546800, -0.014843000, -0.043156300, -0.108139000", \ - "0.0067881000, 0.0057225000, 0.0032897000, -0.002165500, -0.014642100, -0.043166900, -0.108114100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0170243000, 0.0180757000, 0.0204675000, 0.0259606000, 0.0384016000, 0.0666709000, 0.1312176000", \ - "0.0168941000, 0.0179711000, 0.0203686000, 0.0258408000, 0.0383131000, 0.0665706000, 0.1309287000", \ - "0.0167667000, 0.0178362000, 0.0202418000, 0.0257253000, 0.0382273000, 0.0664708000, 0.1308147000", \ - "0.0166115000, 0.0176803000, 0.0200963000, 0.0256309000, 0.0380855000, 0.0665043000, 0.1308267000", \ - "0.0165158000, 0.0175732000, 0.0199906000, 0.0255320000, 0.0380290000, 0.0663159000, 0.1307327000", \ - "0.0164643000, 0.0175541000, 0.0200003000, 0.0254699000, 0.0380708000, 0.0664717000, 0.1308758000", \ - "0.0167955000, 0.0178077000, 0.0200948000, 0.0256939000, 0.0382184000, 0.0666195000, 0.1310603000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0053715000, 0.0043414000, 0.0019962000, -0.003423200, -0.015870600, -0.044323500, -0.109405600", \ - "0.0052732000, 0.0042726000, 0.0019578000, -0.003425900, -0.015825300, -0.044270600, -0.109340200", \ - "0.0050726000, 0.0040988000, 0.0018209000, -0.003514700, -0.015860600, -0.044257600, -0.109309900", \ - "0.0047634000, 0.0037835000, 0.0015331000, -0.003723500, -0.015989200, -0.044321400, -0.109329400", \ - "0.0045356000, 0.0035477000, 0.0012683000, -0.004007200, -0.016223100, -0.044443600, -0.109365200", \ - "0.0045224000, 0.0034997000, 0.0011692000, -0.004190100, -0.016535000, -0.044734400, -0.109568400", \ - "0.0048489000, 0.0037863000, 0.0013915000, -0.004065800, -0.016475700, -0.044877100, -0.109759500"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0148528000, 0.0159100000, 0.0183206000, 0.0238020000, 0.0362691000, 0.0645838000, 0.1288832000", \ - "0.0147266000, 0.0157691000, 0.0181649000, 0.0236927000, 0.0361389000, 0.0644551000, 0.1287010000", \ - "0.0144632000, 0.0155583000, 0.0179805000, 0.0234930000, 0.0360561000, 0.0643128000, 0.1286889000", \ - "0.0142853000, 0.0153618000, 0.0177933000, 0.0233255000, 0.0358796000, 0.0641957000, 0.1286095000", \ - "0.0142297000, 0.0152704000, 0.0176670000, 0.0231039000, 0.0356844000, 0.0639932000, 0.1287437000", \ - "0.0144921000, 0.0155419000, 0.0179120000, 0.0232958000, 0.0357446000, 0.0636858000, 0.1282268000", \ - "0.0159207000, 0.0169364000, 0.0192064000, 0.0243810000, 0.0367710000, 0.0648995000, 0.1282134000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0071934000, 0.0061727000, 0.0038312000, -0.001582400, -0.013976700, -0.042422800, -0.107420900", \ - "0.0070905000, 0.0060826000, 0.0037489000, -0.001631800, -0.014022500, -0.042424400, -0.107436400", \ - "0.0069050000, 0.0059157000, 0.0035941000, -0.001759100, -0.014087400, -0.042454300, -0.107475200", \ - "0.0066709000, 0.0056673000, 0.0033608000, -0.001950800, -0.014233200, -0.042536200, -0.107506400", \ - "0.0064431000, 0.0054568000, 0.0031525000, -0.002171600, -0.014434900, -0.042696800, -0.107590500", \ - "0.0065663000, 0.0055440000, 0.0031454000, -0.002350400, -0.014621700, -0.042901400, -0.107727800", \ - "0.0070243000, 0.0060329000, 0.0035872000, -0.001913300, -0.014396700, -0.042924300, -0.107801400"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0131241000, 0.0141749000, 0.0165692000, 0.0220044000, 0.0343701000, 0.0625596000, 0.1267787000", \ - "0.0129663000, 0.0140390000, 0.0164192000, 0.0218759000, 0.0343268000, 0.0625044000, 0.1266574000", \ - "0.0126762000, 0.0137468000, 0.0162237000, 0.0217303000, 0.0341343000, 0.0624331000, 0.1266801000", \ - "0.0124825000, 0.0135455000, 0.0158813000, 0.0214832000, 0.0339557000, 0.0622010000, 0.1264511000", \ - "0.0124933000, 0.0135275000, 0.0158191000, 0.0212973000, 0.0337448000, 0.0618485000, 0.1259228000", \ - "0.0129417000, 0.0139396000, 0.0162820000, 0.0217657000, 0.0340443000, 0.0623583000, 0.1265795000", \ - "0.0139173000, 0.0148652000, 0.0171476000, 0.0222385000, 0.0345380000, 0.0626214000, 0.1265752000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0072948000, 0.0062718000, 0.0039336000, -0.001464900, -0.013867000, -0.042256500, -0.107288700", \ - "0.0071717000, 0.0061693000, 0.0038433000, -0.001525200, -0.013893200, -0.042283000, -0.107307900", \ - "0.0069902000, 0.0059899000, 0.0036871000, -0.001662700, -0.013983000, -0.042331900, -0.107338600", \ - "0.0067565000, 0.0057628000, 0.0034575000, -0.001843500, -0.014120100, -0.042428600, -0.107432200", \ - "0.0066178000, 0.0055416000, 0.0032360000, -0.002103500, -0.014357600, -0.042572700, -0.107464300", \ - "0.0066661000, 0.0056464000, 0.0032469000, -0.002214600, -0.014553300, -0.042786600, -0.107617400", \ - "0.0074058000, 0.0063350000, 0.0038642000, -0.001664400, -0.014223200, -0.042764500, -0.107710600"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0099544000, 0.0110250000, 0.0134315000, 0.0188965000, 0.0312319000, 0.0593274000, 0.1235845000", \ - "0.0097997000, 0.0108581000, 0.0133050000, 0.0188010000, 0.0311889000, 0.0594020000, 0.1236105000", \ - "0.0094762000, 0.0105598000, 0.0130133000, 0.0185591000, 0.0310718000, 0.0592435000, 0.1236712000", \ - "0.0092946000, 0.0103955000, 0.0128060000, 0.0183268000, 0.0308197000, 0.0591236000, 0.1233172000", \ - "0.0091473000, 0.0101987000, 0.0125889000, 0.0181790000, 0.0305374000, 0.0586147000, 0.1228438000", \ - "0.0098337000, 0.0108234000, 0.0130545000, 0.0183680000, 0.0307783000, 0.0589646000, 0.1232782000", \ - "0.0105521000, 0.0115120000, 0.0137110000, 0.0188319000, 0.0310198000, 0.0590163000, 0.1231321000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0070696000, 0.0060630000, 0.0037333000, -0.001633600, -0.014005300, -0.042394400, -0.107445900", \ - "0.0069444000, 0.0059442000, 0.0036462000, -0.001708400, -0.014055900, -0.042455200, -0.107464700", \ - "0.0067077000, 0.0057328000, 0.0034473000, -0.001853600, -0.014162000, -0.042515400, -0.107472800", \ - "0.0064648000, 0.0054646000, 0.0031892000, -0.002094500, -0.014359200, -0.042611300, -0.107585600", \ - "0.0063236000, 0.0053173000, 0.0029942000, -0.002314100, -0.014560800, -0.042842100, -0.107690900", \ - "0.0069373000, 0.0058099000, 0.0031380000, -0.002320400, -0.014714100, -0.042919200, -0.107768000", \ - "0.0080715000, 0.0069868000, 0.0044468000, -0.001144200, -0.013891400, -0.042420700, -0.107298200"); - } - related_pin : "D1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011431750, 0.0026136990, 0.0059758310, 0.0136628400, 0.0312380400, 0.0714211100"); - values("0.0070387000, 0.0081545000, 0.0106546000, 0.0161972000, 0.0285743000, 0.0567503000, 0.1208735000", \ - "0.0068009000, 0.0079533000, 0.0104876000, 0.0160788000, 0.0285469000, 0.0567799000, 0.1209574000", \ - "0.0065352000, 0.0076562000, 0.0102299000, 0.0158783000, 0.0284089000, 0.0566771000, 0.1209522000", \ - "0.0064094000, 0.0074768000, 0.0099277000, 0.0155059000, 0.0280745000, 0.0564689000, 0.1207111000", \ - "0.0065474000, 0.0075467000, 0.0099308000, 0.0153816000, 0.0277640000, 0.0560252000, 0.1202286000", \ - "0.0069535000, 0.0080542000, 0.0104269000, 0.0157911000, 0.0280625000, 0.0559332000, 0.1201543000", \ - "0.0085141000, 0.0094232000, 0.0116241000, 0.0166945000, 0.0286743000, 0.0569550000, 0.1204962000"); - } - } - max_capacitance : 0.0714210000; - max_transition : 1.4984840000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0811939000, 0.0871770000, 0.1010433000, 0.1320306000, 0.2016777000, 0.3593918000, 0.7189032000", \ - "0.0854237000, 0.0914689000, 0.1054935000, 0.1363451000, 0.2060285000, 0.3638628000, 0.7232603000", \ - "0.0941468000, 0.1002998000, 0.1142911000, 0.1453159000, 0.2151495000, 0.3732470000, 0.7335418000", \ - "0.1106691000, 0.1169818000, 0.1309128000, 0.1622188000, 0.2321707000, 0.3903224000, 0.7506925000", \ - "0.1373741000, 0.1446137000, 0.1601820000, 0.1940003000, 0.2656914000, 0.4240675000, 0.7843432000", \ - "0.1718744000, 0.1820152000, 0.2027057000, 0.2440149000, 0.3276745000, 0.4969959000, 0.8584839000", \ - "0.1921914000, 0.2090698000, 0.2408906000, 0.3033012000, 0.4177434000, 0.6244681000, 1.0240422000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.1342675000, 0.1441828000, 0.1659952000, 0.2161689000, 0.3265979000, 0.5760197000, 1.1410706000", \ - "0.1389262000, 0.1489751000, 0.1718156000, 0.2210164000, 0.3321437000, 0.5816261000, 1.1465697000", \ - "0.1514492000, 0.1614646000, 0.1843562000, 0.2339300000, 0.3448491000, 0.5946651000, 1.1597159000", \ - "0.1779104000, 0.1882311000, 0.2106172000, 0.2605193000, 0.3714705000, 0.6206373000, 1.1861907000", \ - "0.2368095000, 0.2470067000, 0.2693273000, 0.3190562000, 0.4299808000, 0.6797772000, 1.2454603000", \ - "0.3439364000, 0.3593603000, 0.3873600000, 0.4461970000, 0.5654112000, 0.8151907000, 1.3819079000", \ - "0.5281124000, 0.5467098000, 0.5882771000, 0.6696680000, 0.8251095000, 1.1209046000, 1.6951789000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0559792000, 0.0637143000, 0.0814349000, 0.1218376000, 0.2139646000, 0.4249570000, 0.9060365000", \ - "0.0559188000, 0.0637668000, 0.0814973000, 0.1218548000, 0.2140644000, 0.4249677000, 0.9049341000", \ - "0.0559135000, 0.0637841000, 0.0813696000, 0.1218536000, 0.2143081000, 0.4245464000, 0.9072470000", \ - "0.0572842000, 0.0648595000, 0.0821715000, 0.1219803000, 0.2139854000, 0.4249966000, 0.9085613000", \ - "0.0673034000, 0.0750639000, 0.0917878000, 0.1297380000, 0.2176387000, 0.4248195000, 0.9055600000", \ - "0.0962744000, 0.1043622000, 0.1219670000, 0.1602859000, 0.2466374000, 0.4404407000, 0.9097621000", \ - "0.1663410000, 0.1753726000, 0.1969374000, 0.2402261000, 0.3296248000, 0.5203947000, 0.9548687000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.1145698000, 0.1270342000, 0.1560320000, 0.2215240000, 0.3695563000, 0.7066469000, 1.4759763000", \ - "0.1147939000, 0.1271281000, 0.1559258000, 0.2212792000, 0.3688392000, 0.7087151000, 1.4763413000", \ - "0.1143862000, 0.1270430000, 0.1560081000, 0.2211375000, 0.3688511000, 0.7083621000, 1.4762555000", \ - "0.1139830000, 0.1269095000, 0.1558434000, 0.2212528000, 0.3697046000, 0.7061670000, 1.4769972000", \ - "0.1224072000, 0.1342844000, 0.1612976000, 0.2232200000, 0.3689712000, 0.7064694000, 1.4767032000", \ - "0.1631223000, 0.1767822000, 0.2032759000, 0.2597408000, 0.3902952000, 0.7103799000, 1.4772695000", \ - "0.2492404000, 0.2639460000, 0.2970305000, 0.3647371000, 0.4998685000, 0.7870907000, 1.4954757000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0639317000, 0.0696028000, 0.0823222000, 0.1114470000, 0.1775916000, 0.3254824000, 0.6663096000", \ - "0.0682436000, 0.0739593000, 0.0869282000, 0.1160089000, 0.1813437000, 0.3307117000, 0.6747702000", \ - "0.0765338000, 0.0824909000, 0.0957131000, 0.1247584000, 0.1906287000, 0.3400126000, 0.6811908000", \ - "0.0911922000, 0.0974160000, 0.1109484000, 0.1406917000, 0.2070760000, 0.3588137000, 0.7046553000", \ - "0.1119602000, 0.1193392000, 0.1351626000, 0.1687509000, 0.2383490000, 0.3893193000, 0.7304475000", \ - "0.1315733000, 0.1419450000, 0.1648824000, 0.2084405000, 0.2928298000, 0.4572727000, 0.8016137000", \ - "0.1157616000, 0.1347433000, 0.1709669000, 0.2410675000, 0.3620957000, 0.5748022000, 0.9567933000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.1199504000, 0.1297685000, 0.1522025000, 0.2020606000, 0.3128529000, 0.5620091000, 1.1270904000", \ - "0.1240382000, 0.1339855000, 0.1561182000, 0.2059322000, 0.3166974000, 0.5658045000, 1.1310751000", \ - "0.1347314000, 0.1448756000, 0.1670608000, 0.2169807000, 0.3281249000, 0.5773656000, 1.1427308000", \ - "0.1629363000, 0.1728824000, 0.1956826000, 0.2453854000, 0.3561127000, 0.6057249000, 1.1713647000", \ - "0.2311110000, 0.2420314000, 0.2642150000, 0.3114056000, 0.4218496000, 0.6712649000, 1.2368143000", \ - "0.3643734000, 0.3787524000, 0.4086296000, 0.4690934000, 0.5847139000, 0.8256318000, 1.3893511000", \ - "0.5792485000, 0.6016815000, 0.6472013000, 0.7382823000, 0.9091902000, 1.2028966000, 1.7614005000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0409519000, 0.0483162000, 0.0651593000, 0.1036910000, 0.1913792000, 0.3900108000, 0.8454043000", \ - "0.0408350000, 0.0481375000, 0.0650599000, 0.1034973000, 0.1906467000, 0.3909756000, 0.8500498000", \ - "0.0409033000, 0.0483346000, 0.0651843000, 0.1032539000, 0.1907702000, 0.3899523000, 0.8469974000", \ - "0.0439459000, 0.0505688000, 0.0668729000, 0.1040928000, 0.1907966000, 0.3914462000, 0.8516280000", \ - "0.0555263000, 0.0624907000, 0.0782694000, 0.1144260000, 0.1958807000, 0.3917705000, 0.8479608000", \ - "0.0875658000, 0.0951389000, 0.1116954000, 0.1475682000, 0.2293649000, 0.4104269000, 0.8550374000", \ - "0.1584078000, 0.1684605000, 0.1891858000, 0.2319823000, 0.3159256000, 0.5036379000, 0.9084351000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.1142259000, 0.1268603000, 0.1554881000, 0.2212890000, 0.3694486000, 0.7074356000, 1.4752751000", \ - "0.1146416000, 0.1272724000, 0.1554979000, 0.2209917000, 0.3686208000, 0.7063474000, 1.4766782000", \ - "0.1143421000, 0.1268916000, 0.1556805000, 0.2204312000, 0.3688262000, 0.7072303000, 1.4757086000", \ - "0.1137649000, 0.1267272000, 0.1558882000, 0.2209457000, 0.3689542000, 0.7071639000, 1.4758975000", \ - "0.1274816000, 0.1381531000, 0.1637593000, 0.2242004000, 0.3689747000, 0.7066312000, 1.4771451000", \ - "0.1878041000, 0.2005713000, 0.2264654000, 0.2781669000, 0.3979534000, 0.7093314000, 1.4799636000", \ - "0.2909574000, 0.3103144000, 0.3488672000, 0.4226652000, 0.5550561000, 0.8119759000, 1.4984838000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0741397000, 0.0802403000, 0.0943679000, 0.1253158000, 0.1949828000, 0.3527975000, 0.7123729000", \ - "0.0779269000, 0.0842679000, 0.0982052000, 0.1292489000, 0.1992323000, 0.3570177000, 0.7171660000", \ - "0.0855877000, 0.0919213000, 0.1059608000, 0.1373031000, 0.2073106000, 0.3657144000, 0.7251024000", \ - "0.1015280000, 0.1080783000, 0.1223285000, 0.1538119000, 0.2241698000, 0.3826862000, 0.7430685000", \ - "0.1278667000, 0.1361709000, 0.1533827000, 0.1886832000, 0.2619134000, 0.4211295000, 0.7812321000", \ - "0.1596840000, 0.1715523000, 0.1950123000, 0.2433045000, 0.3337264000, 0.5078310000, 0.8716892000", \ - "0.1655453000, 0.1835792000, 0.2225674000, 0.2962679000, 0.4308597000, 0.6584700000, 1.0725856000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0593388000, 0.0649686000, 0.0773359000, 0.1053096000, 0.1676991000, 0.3090685000, 0.6310953000", \ - "0.0647710000, 0.0703180000, 0.0826440000, 0.1107967000, 0.1734742000, 0.3148246000, 0.6369745000", \ - "0.0775289000, 0.0830656000, 0.0956617000, 0.1238147000, 0.1868951000, 0.3281617000, 0.6503598000", \ - "0.1095119000, 0.1149429000, 0.1267636000, 0.1556960000, 0.2186287000, 0.3578759000, 0.6807120000", \ - "0.1743008000, 0.1821943000, 0.1987308000, 0.2307735000, 0.2923765000, 0.4322606000, 0.7538776000", \ - "0.2813994000, 0.2940364000, 0.3203351000, 0.3707774000, 0.4586333000, 0.6081348000, 0.9287718000", \ - "0.4572949000, 0.4769923000, 0.5175262000, 0.5985609000, 0.7427224000, 0.9751513000, 1.3372822000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0559261000, 0.0637440000, 0.0815036000, 0.1218946000, 0.2140738000, 0.4249173000, 0.9059125000", \ - "0.0560177000, 0.0636606000, 0.0813988000, 0.1216510000, 0.2140200000, 0.4247245000, 0.9074737000", \ - "0.0560315000, 0.0637144000, 0.0815049000, 0.1218595000, 0.2138605000, 0.4245534000, 0.9068040000", \ - "0.0590616000, 0.0662188000, 0.0832415000, 0.1222222000, 0.2140326000, 0.4248743000, 0.9081733000", \ - "0.0748798000, 0.0820802000, 0.0985381000, 0.1347246000, 0.2196991000, 0.4256788000, 0.9064511000", \ - "0.1144170000, 0.1230479000, 0.1407600000, 0.1786224000, 0.2617879000, 0.4462645000, 0.9095985000", \ - "0.1915681000, 0.2039724000, 0.2288882000, 0.2777531000, 0.3714650000, 0.5563234000, 0.9743982000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0675369000, 0.0753246000, 0.0930247000, 0.1324272000, 0.2199845000, 0.4181966000, 0.8728267000", \ - "0.0675250000, 0.0753215000, 0.0929896000, 0.1324302000, 0.2201873000, 0.4190739000, 0.8756140000", \ - "0.0674205000, 0.0752715000, 0.0929652000, 0.1324031000, 0.2201355000, 0.4189594000, 0.8750029000", \ - "0.0725043000, 0.0794735000, 0.0952418000, 0.1325541000, 0.2202092000, 0.4189799000, 0.8736772000", \ - "0.1058561000, 0.1113123000, 0.1222325000, 0.1513402000, 0.2272959000, 0.4189734000, 0.8748038000", \ - "0.1747981000, 0.1826282000, 0.1990278000, 0.2309365000, 0.2912577000, 0.4474899000, 0.8755822000", \ - "0.2886398000, 0.3011009000, 0.3263766000, 0.3776870000, 0.4657575000, 0.6116228000, 0.9552275000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0661539000, 0.0723553000, 0.0862636000, 0.1172069000, 0.1870790000, 0.3448553000, 0.7045658000", \ - "0.0695957000, 0.0758942000, 0.0899979000, 0.1211157000, 0.1911145000, 0.3490000000, 0.7085447000", \ - "0.0777776000, 0.0841444000, 0.0982819000, 0.1295952000, 0.1997094000, 0.3580903000, 0.7177544000", \ - "0.0963176000, 0.1031876000, 0.1175320000, 0.1492763000, 0.2197080000, 0.3781385000, 0.7381055000", \ - "0.1266585000, 0.1360316000, 0.1546415000, 0.1913805000, 0.2657491000, 0.4257974000, 0.7862518000", \ - "0.1605985000, 0.1742565000, 0.2016869000, 0.2551617000, 0.3532240000, 0.5310765000, 0.8961802000", \ - "0.1738270000, 0.1943660000, 0.2358682000, 0.3187081000, 0.4666687000, 0.7165070000, 1.1410715000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0495629000, 0.0548616000, 0.0668510000, 0.0937941000, 0.1544340000, 0.2926144000, 0.6085422000", \ - "0.0547500000, 0.0599959000, 0.0721359000, 0.0993147000, 0.1602283000, 0.2984396000, 0.6141390000", \ - "0.0674009000, 0.0727691000, 0.0848964000, 0.1121043000, 0.1733899000, 0.3120108000, 0.6283912000", \ - "0.0992625000, 0.1048410000, 0.1166296000, 0.1440240000, 0.2053947000, 0.3442530000, 0.6568806000", \ - "0.1557443000, 0.1646468000, 0.1829856000, 0.2170878000, 0.2785561000, 0.4152554000, 0.7301987000", \ - "0.2479268000, 0.2624211000, 0.2918019000, 0.3456647000, 0.4400106000, 0.5899894000, 0.9039701000", \ - "0.4012433000, 0.4231578000, 0.4688154000, 0.5554754000, 0.7063388000, 0.9471465000, 1.3100176000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0560547000, 0.0635940000, 0.0813856000, 0.1218186000, 0.2141039000, 0.4247472000, 0.9049138000", \ - "0.0560285000, 0.0637389000, 0.0815082000, 0.1216375000, 0.2141446000, 0.4248011000, 0.9064736000", \ - "0.0558805000, 0.0636285000, 0.0814481000, 0.1218180000, 0.2141141000, 0.4245612000, 0.9051430000", \ - "0.0603424000, 0.0674069000, 0.0838092000, 0.1226229000, 0.2137998000, 0.4251400000, 0.9063852000", \ - "0.0812042000, 0.0880443000, 0.1047086000, 0.1394500000, 0.2222195000, 0.4248721000, 0.9061134000", \ - "0.1233303000, 0.1331010000, 0.1535220000, 0.1942013000, 0.2753818000, 0.4521412000, 0.9075481000", \ - "0.2019089000, 0.2163927000, 0.2451233000, 0.3006960000, 0.4017322000, 0.5912110000, 0.9881195000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0553950000, 0.0633726000, 0.0810527000, 0.1204517000, 0.2068779000, 0.4013472000, 0.8471536000", \ - "0.0554196000, 0.0632714000, 0.0810598000, 0.1203985000, 0.2068170000, 0.4016412000, 0.8470372000", \ - "0.0554078000, 0.0632918000, 0.0810308000, 0.1204257000, 0.2068420000, 0.4011953000, 0.8444427000", \ - "0.0639774000, 0.0703362000, 0.0853853000, 0.1213716000, 0.2069605000, 0.4014507000, 0.8468137000", \ - "0.1019768000, 0.1073369000, 0.1184298000, 0.1461719000, 0.2167820000, 0.4012533000, 0.8455272000", \ - "0.1704121000, 0.1783391000, 0.1954450000, 0.2274590000, 0.2864564000, 0.4344708000, 0.8461917000", \ - "0.2827790000, 0.2954141000, 0.3215384000, 0.3722445000, 0.4602417000, 0.6083585000, 0.9324727000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0553969000, 0.0617102000, 0.0757238000, 0.1068999000, 0.1766555000, 0.3346013000, 0.6943058000", \ - "0.0586661000, 0.0649027000, 0.0792769000, 0.1103610000, 0.1804860000, 0.3385573000, 0.6982935000", \ - "0.0668331000, 0.0733049000, 0.0876842000, 0.1191197000, 0.1895635000, 0.3478249000, 0.7077486000", \ - "0.0885534000, 0.0948746000, 0.1086650000, 0.1400508000, 0.2105349000, 0.3691001000, 0.7290850000", \ - "0.1204730000, 0.1299030000, 0.1496093000, 0.1883034000, 0.2605914000, 0.4190386000, 0.7790916000", \ - "0.1539063000, 0.1676542000, 0.1967410000, 0.2539451000, 0.3585368000, 0.5340109000, 0.8931502000", \ - "0.1737477000, 0.1944230000, 0.2371577000, 0.3221879000, 0.4754256000, 0.7376029000, 1.1597839000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0363125000, 0.0413036000, 0.0524373000, 0.0771370000, 0.1329145000, 0.2586737000, 0.5465668000", \ - "0.0412296000, 0.0463812000, 0.0574060000, 0.0826224000, 0.1381974000, 0.2643081000, 0.5512144000", \ - "0.0540841000, 0.0590714000, 0.0703152000, 0.0949619000, 0.1514100000, 0.2774891000, 0.5637287000", \ - "0.0817855000, 0.0883039000, 0.1010402000, 0.1260919000, 0.1818974000, 0.3091447000, 0.5945840000", \ - "0.1251943000, 0.1356156000, 0.1560736000, 0.1927494000, 0.2544647000, 0.3789927000, 0.6654620000", \ - "0.1935316000, 0.2096762000, 0.2424399000, 0.3019653000, 0.3982673000, 0.5499263000, 0.8354153000", \ - "0.3049587000, 0.3296216000, 0.3797742000, 0.4718947000, 0.6274615000, 0.8705346000, 1.2297059000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0559561000, 0.0636145000, 0.0813537000, 0.1219354000, 0.2139924000, 0.4240991000, 0.9066092000", \ - "0.0558193000, 0.0636667000, 0.0815121000, 0.1218598000, 0.2143168000, 0.4250694000, 0.9057398000", \ - "0.0548035000, 0.0626333000, 0.0810521000, 0.1217777000, 0.2142458000, 0.4252148000, 0.9069783000", \ - "0.0614819000, 0.0679616000, 0.0839413000, 0.1218712000, 0.2139998000, 0.4248953000, 0.9067974000", \ - "0.0846667000, 0.0929025000, 0.1103856000, 0.1457800000, 0.2236001000, 0.4257144000, 0.9070706000", \ - "0.1319694000, 0.1432442000, 0.1658860000, 0.2109099000, 0.2929729000, 0.4641672000, 0.9099344000", \ - "0.2105826000, 0.2275828000, 0.2630327000, 0.3265072000, 0.4424617000, 0.6366521000, 1.0233423000"); - } - related_pin : "D1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011431800, 0.0026137000, 0.0059758300, 0.0136628000, 0.0312380000, 0.0714211000"); - values("0.0420278000, 0.0493623000, 0.0657333000, 0.1020683000, 0.1817454000, 0.3598904000, 0.7668763000", \ - "0.0421222000, 0.0493850000, 0.0657895000, 0.1021785000, 0.1816930000, 0.3599216000, 0.7655955000", \ - "0.0432647000, 0.0497537000, 0.0657748000, 0.1021632000, 0.1816691000, 0.3599308000, 0.7669819000", \ - "0.0579794000, 0.0626516000, 0.0749881000, 0.1053204000, 0.1817053000, 0.3597073000, 0.7669135000", \ - "0.0990719000, 0.1040790000, 0.1149961000, 0.1368997000, 0.1957811000, 0.3602903000, 0.7669887000", \ - "0.1660906000, 0.1735844000, 0.1888836000, 0.2204292000, 0.2792777000, 0.4037247000, 0.7702795000", \ - "0.2742855000, 0.2857686000, 0.3102750000, 0.3604403000, 0.4490244000, 0.5929906000, 0.8809040000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o2111ai_2") { - leakage_power () { - value : 7.3309997e-05; - when : "!A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 4.1239117e-05; - when : "!A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0001679000; - when : "!A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 6.1341069e-05; - when : "!A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0001559000; - when : "!A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 5.8938965e-05; - when : "!A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0013858000; - when : "!A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0001513000; - when : "!A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 9.3501778e-05; - when : "!A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 4.6789114e-05; - when : "!A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0006377000; - when : "!A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 8.1139881e-05; - when : "!A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0006258000; - when : "!A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 7.7595685e-05; - when : "!A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0107021000; - when : "!A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0008243000; - when : "!A1&A2&B1&C1&!D1"; - } - leakage_power () { - value : 9.3515311e-05; - when : "A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 4.6789114e-05; - when : "A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0006377000; - when : "A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 8.115691e-05; - when : "A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0006258000; - when : "A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 7.7595685e-05; - when : "A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0094054000; - when : "A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0008254000; - when : "A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 9.3501778e-05; - when : "A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 4.6789114e-05; - when : "A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0006377000; - when : "A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 8.0836447e-05; - when : "A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0006258000; - when : "A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 7.7595685e-05; - when : "A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0077470000; - when : "A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0008246000; - when : "A1&A2&B1&C1&!D1"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__o2111ai"; - cell_leakage_power : 0.0011597490; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0043420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041210000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078961000, 0.0078977000, 0.0079014000, 0.0079047000, 0.0079122000, 0.0079296000, 0.0079697000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007907900, -0.007903500, -0.007893400, -0.007895100, -0.007898900, -0.007907900, -0.007928500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045640000; - } - pin ("A2") { - capacitance : 0.0043280000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040230000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078947000, 0.0078934000, 0.0078906000, 0.0078897000, 0.0078877000, 0.0078830000, 0.0078723000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007893700, -0.007892000, -0.007888000, -0.007886200, -0.007881800, -0.007871800, -0.007848800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046330000; - } - pin ("B1") { - capacitance : 0.0044470000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079695000, 0.0079709000, 0.0079740000, 0.0079744000, 0.0079755000, 0.0079779000, 0.0079835000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008000600, -0.007993700, -0.007977700, -0.007972400, -0.007960200, -0.007932300, -0.007867700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046050000; - } - pin ("C1") { - capacitance : 0.0043070000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0085067000, 0.0084964000, 0.0084726000, 0.0085056000, 0.0085818000, 0.0087574000, 0.0091623000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007916200, -0.007908000, -0.007889000, -0.007886000, -0.007879300, -0.007863800, -0.007828100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044200000; - } - pin ("D1") { - capacitance : 0.0042940000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042290000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091642000, 0.0091626000, 0.0091588000, 0.0091580000, 0.0091563000, 0.0091523000, 0.0091430000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006232700, -0.006228900, -0.006220300, -0.006201700, -0.006159000, -0.006060400, -0.005833300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0043590000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2) | (!B1) | (!C1) | (!D1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0146891000, 0.0134622000, 0.0103556000, 0.0025690000, -0.017163000, -0.067097400, -0.193183400", \ - "0.0144002000, 0.0132038000, 0.0101222000, 0.0023293000, -0.017391400, -0.067297900, -0.193389300", \ - "0.0140908000, 0.0128783000, 0.0098019000, 0.0020605000, -0.017620800, -0.067529100, -0.193605200", \ - "0.0136261000, 0.0124322000, 0.0094205000, 0.0017331000, -0.017867900, -0.067676000, -0.193732500", \ - "0.0133470000, 0.0121413000, 0.0091065000, 0.0014050000, -0.018099500, -0.067777600, -0.193793800", \ - "0.0132560000, 0.0120273000, 0.0089457000, 0.0010016000, -0.018454400, -0.068170200, -0.194058100", \ - "0.0139772000, 0.0127267000, 0.0096280000, 0.0017106000, -0.018128000, -0.068180800, -0.194049900"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0325767000, 0.0337617000, 0.0369118000, 0.0448543000, 0.0647558000, 0.1145779000, 0.2395004000", \ - "0.0323133000, 0.0335345000, 0.0367079000, 0.0446183000, 0.0644681000, 0.1142220000, 0.2391202000", \ - "0.0320257000, 0.0332922000, 0.0364006000, 0.0443602000, 0.0643024000, 0.1141322000, 0.2389571000", \ - "0.0317297000, 0.0329728000, 0.0361545000, 0.0440869000, 0.0640935000, 0.1138699000, 0.2388339000", \ - "0.0314081000, 0.0326474000, 0.0357957000, 0.0437439000, 0.0637788000, 0.1135967000, 0.2386491000", \ - "0.0313599000, 0.0326149000, 0.0358332000, 0.0437784000, 0.0638163000, 0.1137444000, 0.2387310000", \ - "0.0314776000, 0.0326730000, 0.0356805000, 0.0440051000, 0.0639811000, 0.1137301000, 0.2389751000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0103847000, 0.0091984000, 0.0061172000, -0.001675300, -0.021449200, -0.071461900, -0.197707300", \ - "0.0102313000, 0.0090538000, 0.0060628000, -0.001673200, -0.021367900, -0.071295400, -0.197561900", \ - "0.0098061000, 0.0086446000, 0.0057461000, -0.001837100, -0.021409100, -0.071270700, -0.197454800", \ - "0.0091890000, 0.0080412000, 0.0051428000, -0.002318700, -0.021699600, -0.071393700, -0.197466700", \ - "0.0087711000, 0.0075806000, 0.0046512000, -0.002905800, -0.022199600, -0.071675100, -0.197565600", \ - "0.0087481000, 0.0075266000, 0.0045131000, -0.003213200, -0.022841800, -0.072268100, -0.197890900", \ - "0.0097688000, 0.0084584000, 0.0052679000, -0.002675500, -0.022529100, -0.072474400, -0.198286400"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0279170000, 0.0291766000, 0.0323098000, 0.0402285000, 0.0601907000, 0.1100537000, 0.2346626000", \ - "0.0275828000, 0.0289307000, 0.0319816000, 0.0399576000, 0.0599360000, 0.1097784000, 0.2345756000", \ - "0.0271684000, 0.0284335000, 0.0316704000, 0.0396110000, 0.0596421000, 0.1095847000, 0.2343851000", \ - "0.0267647000, 0.0280386000, 0.0312093000, 0.0391974000, 0.0593210000, 0.1092111000, 0.2344288000", \ - "0.0266298000, 0.0278528000, 0.0310107000, 0.0389349000, 0.0590160000, 0.1090867000, 0.2340452000", \ - "0.0274251000, 0.0286898000, 0.0317987000, 0.0396063000, 0.0593262000, 0.1086885000, 0.2336632000", \ - "0.0303960000, 0.0315466000, 0.0344288000, 0.0418455000, 0.0613397000, 0.1104058000, 0.2342734000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0146827000, 0.0135042000, 0.0104965000, 0.0027418000, -0.016935300, -0.066804600, -0.192907700", \ - "0.0144494000, 0.0132733000, 0.0102988000, 0.0025896000, -0.017019200, -0.066860400, -0.192939200", \ - "0.0141299000, 0.0129407000, 0.0099635000, 0.0023150000, -0.017220800, -0.066973200, -0.192981400", \ - "0.0136559000, 0.0124795000, 0.0094964000, 0.0019088000, -0.017534200, -0.067151900, -0.193082200", \ - "0.0134417000, 0.0122325000, 0.0091915000, 0.0015792000, -0.017908700, -0.067414900, -0.193212000", \ - "0.0134250000, 0.0122612000, 0.0090559000, 0.0011564000, -0.018380700, -0.067934400, -0.193644500", \ - "0.0145182000, 0.0132559000, 0.0101005000, 0.0021223000, -0.017825000, -0.068012800, -0.193835900"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0252743000, 0.0265147000, 0.0296614000, 0.0375682000, 0.0573827000, 0.1069270000, 0.2316611000", \ - "0.0249858000, 0.0262415000, 0.0294061000, 0.0373811000, 0.0572526000, 0.1068091000, 0.2315609000", \ - "0.0245449000, 0.0258092000, 0.0290226000, 0.0370023000, 0.0570084000, 0.1066965000, 0.2316061000", \ - "0.0241045000, 0.0253509000, 0.0284859000, 0.0365361000, 0.0565610000, 0.1061802000, 0.2312296000", \ - "0.0237946000, 0.0250416000, 0.0282297000, 0.0363059000, 0.0562622000, 0.1055426000, 0.2308572000", \ - "0.0249686000, 0.0261814000, 0.0292409000, 0.0369452000, 0.0566146000, 0.1061530000, 0.2307892000", \ - "0.0275646000, 0.0287109000, 0.0315592000, 0.0389923000, 0.0583614000, 0.1089105000, 0.2320804000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0146576000, 0.0134604000, 0.0104319000, 0.0027081000, -0.016963300, -0.066871400, -0.192951300", \ - "0.0144275000, 0.0132368000, 0.0102654000, 0.0025693000, -0.017053000, -0.066901500, -0.192982400", \ - "0.0141133000, 0.0129240000, 0.0099412000, 0.0023020000, -0.017232100, -0.066989200, -0.193026300", \ - "0.0136971000, 0.0125161000, 0.0095312000, 0.0019005000, -0.017525600, -0.067163200, -0.193093900", \ - "0.0134285000, 0.0122242000, 0.0092862000, 0.0016339000, -0.017823000, -0.067394400, -0.193201500", \ - "0.0133102000, 0.0120920000, 0.0090455000, 0.0012465000, -0.018312400, -0.067877600, -0.193521700", \ - "0.0146238000, 0.0133426000, 0.0101794000, 0.0021882000, -0.017791900, -0.067927900, -0.193817500"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0195151000, 0.0207779000, 0.0239710000, 0.0318720000, 0.0516544000, 0.1011323000, 0.2256591000", \ - "0.0191422000, 0.0204271000, 0.0236642000, 0.0316673000, 0.0516039000, 0.1011411000, 0.2258158000", \ - "0.0185885000, 0.0198854000, 0.0231619000, 0.0312727000, 0.0513345000, 0.1010746000, 0.2257662000", \ - "0.0180457000, 0.0193654000, 0.0225211000, 0.0306406000, 0.0508077000, 0.1006095000, 0.2254738000", \ - "0.0175978000, 0.0188510000, 0.0220100000, 0.0300309000, 0.0501478000, 0.1000120000, 0.2249608000", \ - "0.0183197000, 0.0194912000, 0.0225339000, 0.0304363000, 0.0501297000, 0.0999149000, 0.2245652000", \ - "0.0199223000, 0.0210353000, 0.0239194000, 0.0314143000, 0.0507512000, 0.1006066000, 0.2247185000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0126077000, 0.0114345000, 0.0084650000, 0.0007953000, -0.018856400, -0.068739400, -0.194776300", \ - "0.0123194000, 0.0111500000, 0.0081988000, 0.0005597000, -0.018966300, -0.068807000, -0.194827700", \ - "0.0120082000, 0.0108379000, 0.0078802000, 0.0002584000, -0.019168100, -0.068889200, -0.194874800", \ - "0.0116707000, 0.0104717000, 0.0074846000, -0.000151300, -0.019530100, -0.069125700, -0.195018400", \ - "0.0114441000, 0.0102172000, 0.0072239000, -0.000339800, -0.019941900, -0.069465700, -0.195193700", \ - "0.0120946000, 0.0108070000, 0.0076428000, -3.18000e-05, -0.019885800, -0.069672600, -0.195534900", \ - "0.0146590000, 0.0133247000, 0.0100079000, 0.0017985000, -0.018568700, -0.069212600, -0.195568600"); - } - related_pin : "D1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012617180, 0.0031838650, 0.0080342800, 0.0202739900, 0.0511601300, 0.1290993000"); - values("0.0115986000, 0.0129661000, 0.0163517000, 0.0244707000, 0.0443675000, 0.0938555000, 0.2185337000", \ - "0.0112462000, 0.0126539000, 0.0160348000, 0.0242138000, 0.0443096000, 0.0939525000, 0.2187449000", \ - "0.0109438000, 0.0122980000, 0.0156320000, 0.0238462000, 0.0440724000, 0.0938362000, 0.2184801000", \ - "0.0106226000, 0.0118984000, 0.0152402000, 0.0233942000, 0.0435246000, 0.0936460000, 0.2185111000", \ - "0.0107022000, 0.0119368000, 0.0150595000, 0.0229782000, 0.0428841000, 0.0928838000, 0.2176272000", \ - "0.0115400000, 0.0128624000, 0.0160746000, 0.0236772000, 0.0432807000, 0.0924943000, 0.2174507000", \ - "0.0149758000, 0.0159221000, 0.0187031000, 0.0258677000, 0.0446931000, 0.0949227000, 0.2193357000"); - } - } - max_capacitance : 0.1290990000; - max_transition : 1.5017350000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0907011000, 0.0950418000, 0.1063241000, 0.1336657000, 0.2008871000, 0.3675017000, 0.7853508000", \ - "0.0944024000, 0.0990825000, 0.1101616000, 0.1374943000, 0.2046886000, 0.3713685000, 0.7895536000", \ - "0.1024404000, 0.1069622000, 0.1182087000, 0.1457115000, 0.2131106000, 0.3801196000, 0.7977046000", \ - "0.1166564000, 0.1212942000, 0.1325563000, 0.1603572000, 0.2279097000, 0.3952011000, 0.8127281000", \ - "0.1389904000, 0.1441208000, 0.1562561000, 0.1860678000, 0.2557055000, 0.4231319000, 0.8418969000", \ - "0.1671471000, 0.1736667000, 0.1892478000, 0.2245327000, 0.3039074000, 0.4818728000, 0.9021657000", \ - "0.1765508000, 0.1863374000, 0.2105244000, 0.2629829000, 0.3692497000, 0.5811777000, 1.0360408000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.1390183000, 0.1454867000, 0.1611564000, 0.2011699000, 0.2972489000, 0.5336737000, 1.1245666000", \ - "0.1435077000, 0.1498887000, 0.1667450000, 0.2056965000, 0.3021660000, 0.5389532000, 1.1294533000", \ - "0.1568221000, 0.1633659000, 0.1790973000, 0.2191636000, 0.3153515000, 0.5518534000, 1.1427438000", \ - "0.1841759000, 0.1905579000, 0.2071902000, 0.2464640000, 0.3430368000, 0.5801477000, 1.1710068000", \ - "0.2447848000, 0.2513926000, 0.2672935000, 0.3068208000, 0.4034962000, 0.6410928000, 1.2320159000", \ - "0.3601850000, 0.3686985000, 0.3891119000, 0.4359596000, 0.5422839000, 0.7798940000, 1.3720436000", \ - "0.5537795000, 0.5657160000, 0.5958720000, 0.6612367000, 0.8042501000, 1.0891000000, 1.6930483000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0644754000, 0.0697959000, 0.0839055000, 0.1188373000, 0.2080717000, 0.4335743000, 1.0021369000", \ - "0.0643925000, 0.0700306000, 0.0836677000, 0.1188111000, 0.2079130000, 0.4328262000, 1.0027357000", \ - "0.0644620000, 0.0697996000, 0.0838238000, 0.1188000000, 0.2080694000, 0.4337978000, 1.0021398000", \ - "0.0655397000, 0.0706847000, 0.0844084000, 0.1189829000, 0.2078729000, 0.4336004000, 1.0027180000", \ - "0.0736910000, 0.0788492000, 0.0922889000, 0.1259681000, 0.2114613000, 0.4335909000, 1.0018768000", \ - "0.0985077000, 0.1039010000, 0.1174587000, 0.1511986000, 0.2361709000, 0.4480614000, 1.0048673000", \ - "0.1645240000, 0.1711944000, 0.1875234000, 0.2239587000, 0.3090101000, 0.5151851000, 1.0432412000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.1166269000, 0.1248883000, 0.1456908000, 0.1978496000, 0.3281357000, 0.6550347000, 1.4821795000", \ - "0.1168157000, 0.1249641000, 0.1456110000, 0.1976890000, 0.3272683000, 0.6545057000, 1.4790165000", \ - "0.1165104000, 0.1250581000, 0.1456246000, 0.1977877000, 0.3280373000, 0.6543753000, 1.4789516000", \ - "0.1163876000, 0.1246788000, 0.1455420000, 0.1973552000, 0.3274915000, 0.6541983000, 1.4810771000", \ - "0.1230643000, 0.1306409000, 0.1505053000, 0.1999175000, 0.3273702000, 0.6555050000, 1.4795951000", \ - "0.1618368000, 0.1702054000, 0.1904469000, 0.2362290000, 0.3509492000, 0.6588268000, 1.4796471000", \ - "0.2472150000, 0.2563486000, 0.2805619000, 0.3354476000, 0.4592984000, 0.7389143000, 1.4922407000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0691867000, 0.0735538000, 0.0835555000, 0.1089848000, 0.1739218000, 0.3347716000, 0.7395983000", \ - "0.0736159000, 0.0779073000, 0.0882862000, 0.1137164000, 0.1788837000, 0.3375340000, 0.7412342000", \ - "0.0811330000, 0.0853179000, 0.0962481000, 0.1225274000, 0.1870223000, 0.3474178000, 0.7488718000", \ - "0.0942023000, 0.0988166000, 0.1098588000, 0.1365576000, 0.2011956000, 0.3616928000, 0.7655393000", \ - "0.1120183000, 0.1171633000, 0.1300315000, 0.1596118000, 0.2280673000, 0.3908435000, 0.7931250000", \ - "0.1270646000, 0.1346205000, 0.1529472000, 0.1908591000, 0.2722049000, 0.4473706000, 0.8547568000", \ - "0.1064594000, 0.1180145000, 0.1464308000, 0.2070409000, 0.3235744000, 0.5452491000, 0.9863030000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.1191663000, 0.1255621000, 0.1418499000, 0.1819075000, 0.2778043000, 0.5142319000, 1.1045641000", \ - "0.1223152000, 0.1295477000, 0.1459787000, 0.1849141000, 0.2811552000, 0.5179817000, 1.1092512000", \ - "0.1329741000, 0.1398093000, 0.1562313000, 0.1955756000, 0.2918169000, 0.5287123000, 1.1200548000", \ - "0.1601438000, 0.1668461000, 0.1830124000, 0.2227106000, 0.3187882000, 0.5557307000, 1.1464256000", \ - "0.2261798000, 0.2329251000, 0.2488261000, 0.2878872000, 0.3835831000, 0.6208814000, 1.2120650000", \ - "0.3508718000, 0.3604518000, 0.3825954000, 0.4337553000, 0.5399274000, 0.7730666000, 1.3631619000", \ - "0.5534752000, 0.5673156000, 0.5998010000, 0.6747367000, 0.8302393000, 1.1290128000, 1.7192838000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0431311000, 0.0486577000, 0.0621979000, 0.0964713000, 0.1839937000, 0.4027184000, 0.9544090000", \ - "0.0431260000, 0.0488031000, 0.0624782000, 0.0965715000, 0.1840049000, 0.4014726000, 0.9517532000", \ - "0.0432761000, 0.0485718000, 0.0624928000, 0.0968581000, 0.1831677000, 0.4013506000, 0.9501859000", \ - "0.0457683000, 0.0508281000, 0.0637306000, 0.0976130000, 0.1830707000, 0.4011001000, 0.9500589000", \ - "0.0560450000, 0.0612989000, 0.0741771000, 0.1069183000, 0.1881738000, 0.4030775000, 0.9506339000", \ - "0.0857880000, 0.0908623000, 0.1040389000, 0.1362516000, 0.2172570000, 0.4188203000, 0.9529650000", \ - "0.1556343000, 0.1622746000, 0.1779291000, 0.2145730000, 0.2962877000, 0.4992174000, 1.0000648000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.1164632000, 0.1246762000, 0.1454116000, 0.1974080000, 0.3279880000, 0.6540944000, 1.4779590000", \ - "0.1165467000, 0.1250946000, 0.1454724000, 0.1973052000, 0.3275621000, 0.6544189000, 1.4782868000", \ - "0.1167412000, 0.1247148000, 0.1459243000, 0.1972731000, 0.3273538000, 0.6540931000, 1.4812187000", \ - "0.1156659000, 0.1239897000, 0.1453956000, 0.1972363000, 0.3275905000, 0.6542309000, 1.4789241000", \ - "0.1299773000, 0.1372330000, 0.1553176000, 0.2021620000, 0.3275824000, 0.6547001000, 1.4789900000", \ - "0.1846325000, 0.1935241000, 0.2175702000, 0.2622746000, 0.3657956000, 0.6607635000, 1.4792729000", \ - "0.2809073000, 0.2939628000, 0.3250180000, 0.3904392000, 0.5221085000, 0.7814988000, 1.5017352000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0830480000, 0.0878417000, 0.0991480000, 0.1266552000, 0.1939326000, 0.3610893000, 0.7785810000", \ - "0.0867756000, 0.0913790000, 0.1030543000, 0.1307077000, 0.1981778000, 0.3654149000, 0.7829100000", \ - "0.0943314000, 0.0987611000, 0.1105880000, 0.1383556000, 0.2059986000, 0.3731596000, 0.7916428000", \ - "0.1097743000, 0.1144445000, 0.1259078000, 0.1538339000, 0.2219342000, 0.3897174000, 0.8077481000", \ - "0.1365483000, 0.1420223000, 0.1548189000, 0.1862619000, 0.2570142000, 0.4252305000, 0.8440710000", \ - "0.1703406000, 0.1780909000, 0.1974683000, 0.2371138000, 0.3229129000, 0.5056385000, 0.9271798000", \ - "0.1859480000, 0.1978545000, 0.2269623000, 0.2883691000, 0.4139868000, 0.6483840000, 1.1167376000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0505421000, 0.0536709000, 0.0612098000, 0.0799903000, 0.1258654000, 0.2385742000, 0.5213558000", \ - "0.0558921000, 0.0590556000, 0.0666597000, 0.0855136000, 0.1314508000, 0.2441322000, 0.5274782000", \ - "0.0688099000, 0.0720520000, 0.0798423000, 0.0987233000, 0.1447745000, 0.2578033000, 0.5407069000", \ - "0.1002887000, 0.1035557000, 0.1113762000, 0.1300434000, 0.1760600000, 0.2879227000, 0.5713223000", \ - "0.1592497000, 0.1642225000, 0.1756432000, 0.2007854000, 0.2504928000, 0.3629451000, 0.6452827000", \ - "0.2524571000, 0.2602888000, 0.2786348000, 0.3191659000, 0.3968418000, 0.5357416000, 0.8171525000", \ - "0.4053173000, 0.4178160000, 0.4457784000, 0.5080761000, 0.6321838000, 0.8533942000, 1.2163315000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0644627000, 0.0700719000, 0.0835497000, 0.1187296000, 0.2080111000, 0.4337232000, 1.0025374000", \ - "0.0645621000, 0.0698387000, 0.0836714000, 0.1189907000, 0.2077511000, 0.4334462000, 1.0024150000", \ - "0.0643154000, 0.0698503000, 0.0835377000, 0.1187357000, 0.2079865000, 0.4328292000, 1.0024686000", \ - "0.0664911000, 0.0716745000, 0.0850786000, 0.1191605000, 0.2078615000, 0.4334895000, 1.0026765000", \ - "0.0798117000, 0.0849888000, 0.0980261000, 0.1306215000, 0.2135868000, 0.4336063000, 1.0026765000", \ - "0.1157626000, 0.1220679000, 0.1357771000, 0.1693372000, 0.2497798000, 0.4544597000, 1.0053343000", \ - "0.1913358000, 0.1991293000, 0.2194179000, 0.2602786000, 0.3505328000, 0.5503982000, 1.0563908000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0558202000, 0.0602026000, 0.0713124000, 0.0989208000, 0.1663969000, 0.3292171000, 0.7349216000", \ - "0.0557906000, 0.0601969000, 0.0712712000, 0.0989085000, 0.1663836000, 0.3291466000, 0.7370892000", \ - "0.0553005000, 0.0598944000, 0.0711129000, 0.0987979000, 0.1663847000, 0.3290652000, 0.7365059000", \ - "0.0624798000, 0.0660622000, 0.0754957000, 0.1005594000, 0.1662769000, 0.3291758000, 0.7372731000", \ - "0.0973465000, 0.1007130000, 0.1088869000, 0.1277566000, 0.1810417000, 0.3303150000, 0.7358897000", \ - "0.1655688000, 0.1703366000, 0.1815940000, 0.2068854000, 0.2576778000, 0.3761529000, 0.7394499000", \ - "0.2761954000, 0.2838251000, 0.3010739000, 0.3410749000, 0.4181723000, 0.5613306000, 0.8517277000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0742282000, 0.0788130000, 0.0903550000, 0.1179416000, 0.1853321000, 0.3519501000, 0.7700317000", \ - "0.0779482000, 0.0825394000, 0.0940162000, 0.1218550000, 0.1893739000, 0.3560510000, 0.7742409000", \ - "0.0865871000, 0.0910451000, 0.1024960000, 0.1305852000, 0.1983238000, 0.3656577000, 0.7832114000", \ - "0.1060685000, 0.1107700000, 0.1224368000, 0.1502759000, 0.2185161000, 0.3862201000, 0.8050622000", \ - "0.1402560000, 0.1463104000, 0.1614152000, 0.1943197000, 0.2657067000, 0.4341581000, 0.8529835000", \ - "0.1798499000, 0.1888193000, 0.2103415000, 0.2576037000, 0.3534466000, 0.5418190000, 0.9654795000", \ - "0.1998284000, 0.2137631000, 0.2469891000, 0.3199759000, 0.4665787000, 0.7327893000, 1.2136719000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0457874000, 0.0489174000, 0.0567829000, 0.0760007000, 0.1235474000, 0.2416939000, 0.5394520000", \ - "0.0509487000, 0.0542045000, 0.0621134000, 0.0815444000, 0.1294286000, 0.2481085000, 0.5471001000", \ - "0.0641463000, 0.0673788000, 0.0754122000, 0.0950867000, 0.1430521000, 0.2611713000, 0.5591128000", \ - "0.0952405000, 0.0988205000, 0.1072987000, 0.1267291000, 0.1748973000, 0.2940551000, 0.5927521000", \ - "0.1507337000, 0.1563910000, 0.1694497000, 0.1972136000, 0.2500082000, 0.3686184000, 0.6671589000", \ - "0.2406611000, 0.2493928000, 0.2703263000, 0.3152672000, 0.3994892000, 0.5450425000, 0.8409234000", \ - "0.3906235000, 0.4040322000, 0.4365661000, 0.5075824000, 0.6422577000, 0.8760787000, 1.2491483000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0643790000, 0.0699251000, 0.0838844000, 0.1188452000, 0.2080675000, 0.4328964000, 1.0015142000", \ - "0.0643848000, 0.0699212000, 0.0835743000, 0.1188761000, 0.2079825000, 0.4328100000, 1.0033274000", \ - "0.0642965000, 0.0698315000, 0.0835937000, 0.1188358000, 0.2078096000, 0.4334560000, 1.0027000000", \ - "0.0670409000, 0.0723536000, 0.0853067000, 0.1193288000, 0.2078326000, 0.4331264000, 1.0026602000", \ - "0.0859882000, 0.0912739000, 0.1049485000, 0.1362553000, 0.2155213000, 0.4334103000, 1.0027590000", \ - "0.1293962000, 0.1360378000, 0.1519806000, 0.1887398000, 0.2690047000, 0.4591459000, 1.0040397000", \ - "0.2097705000, 0.2193437000, 0.2421116000, 0.2924085000, 0.3950938000, 0.5973396000, 1.0725999000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0474941000, 0.0519940000, 0.0641410000, 0.0936365000, 0.1648542000, 0.3351844000, 0.7606423000", \ - "0.0474895000, 0.0520777000, 0.0640087000, 0.0935626000, 0.1648443000, 0.3353163000, 0.7611444000", \ - "0.0474364000, 0.0519999000, 0.0639911000, 0.0934003000, 0.1648733000, 0.3352572000, 0.7600283000", \ - "0.0565700000, 0.0605487000, 0.0700122000, 0.0957818000, 0.1647802000, 0.3352137000, 0.7615055000", \ - "0.0943986000, 0.0978628000, 0.1060174000, 0.1248011000, 0.1788678000, 0.3359551000, 0.7618409000", \ - "0.1650284000, 0.1698623000, 0.1808330000, 0.2064811000, 0.2579977000, 0.3795939000, 0.7636893000", \ - "0.2795832000, 0.2874575000, 0.3053543000, 0.3441836000, 0.4212370000, 0.5625221000, 0.8646679000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0553888000, 0.0601340000, 0.0717097000, 0.0994842000, 0.1667338000, 0.3336309000, 0.7526770000", \ - "0.0585486000, 0.0632071000, 0.0749123000, 0.1025481000, 0.1703892000, 0.3375303000, 0.7552651000", \ - "0.0668188000, 0.0714738000, 0.0829734000, 0.1109060000, 0.1789307000, 0.3461158000, 0.7643627000", \ - "0.0889680000, 0.0934864000, 0.1041815000, 0.1312067000, 0.1997292000, 0.3672880000, 0.7857514000", \ - "0.1219726000, 0.1286768000, 0.1442984000, 0.1781178000, 0.2477971000, 0.4149131000, 0.8347446000", \ - "0.1558004000, 0.1650333000, 0.1885842000, 0.2376970000, 0.3410771000, 0.5318045000, 0.9491026000", \ - "0.1719792000, 0.1861888000, 0.2203748000, 0.2948378000, 0.4471023000, 0.7261931000, 1.2167964000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0302071000, 0.0332512000, 0.0407766000, 0.0585620000, 0.1022749000, 0.2111899000, 0.4867224000", \ - "0.0354398000, 0.0384867000, 0.0460005000, 0.0639625000, 0.1080606000, 0.2170685000, 0.4929798000", \ - "0.0489119000, 0.0518627000, 0.0592094000, 0.0773074000, 0.1216938000, 0.2302595000, 0.5045913000", \ - "0.0748127000, 0.0793401000, 0.0892577000, 0.1086167000, 0.1533102000, 0.2624705000, 0.5381888000", \ - "0.1153934000, 0.1225934000, 0.1384146000, 0.1703759000, 0.2269801000, 0.3367581000, 0.6096149000", \ - "0.1802453000, 0.1916273000, 0.2169014000, 0.2675706000, 0.3595446000, 0.5081540000, 0.7826111000", \ - "0.2917548000, 0.3080179000, 0.3456621000, 0.4244288000, 0.5689585000, 0.8085798000, 1.1761110000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0646015000, 0.0702364000, 0.0838006000, 0.1188083000, 0.2076059000, 0.4333174000, 1.0027392000", \ - "0.0646194000, 0.0698606000, 0.0836938000, 0.1189290000, 0.2078386000, 0.4334525000, 1.0028435000", \ - "0.0619020000, 0.0676776000, 0.0822439000, 0.1185981000, 0.2078955000, 0.4329454000, 1.0025055000", \ - "0.0678190000, 0.0726141000, 0.0852017000, 0.1181870000, 0.2073723000, 0.4331049000, 1.0026880000", \ - "0.0879091000, 0.0940148000, 0.1089331000, 0.1430982000, 0.2182667000, 0.4329320000, 1.0032709000", \ - "0.1314507000, 0.1398581000, 0.1596193000, 0.2013400000, 0.2872505000, 0.4722592000, 1.0038264000", \ - "0.2099235000, 0.2222721000, 0.2501776000, 0.3112175000, 0.4274199000, 0.6461852000, 1.1021205000"); - } - related_pin : "D1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012617200, 0.0031838600, 0.0080342800, 0.0202740000, 0.0511601000, 0.1290990000"); - values("0.0325904000, 0.0368022000, 0.0478567000, 0.0754419000, 0.1416361000, 0.2996484000, 0.6929137000", \ - "0.0325064000, 0.0368983000, 0.0476589000, 0.0754299000, 0.1417262000, 0.2998033000, 0.6932494000", \ - "0.0348070000, 0.0384184000, 0.0486466000, 0.0751671000, 0.1417129000, 0.2997585000, 0.6922760000", \ - "0.0522957000, 0.0539628000, 0.0606890000, 0.0818813000, 0.1423057000, 0.2998713000, 0.6929851000", \ - "0.0916570000, 0.0944715000, 0.1017389000, 0.1189674000, 0.1638284000, 0.3020283000, 0.6923753000", \ - "0.1612590000, 0.1651983000, 0.1750782000, 0.1992639000, 0.2490582000, 0.3561035000, 0.6974833000", \ - "0.2729485000, 0.2787249000, 0.2949947000, 0.3323077000, 0.4095786000, 0.5471864000, 0.8209010000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o2111ai_4") { - leakage_power () { - value : 0.0001554000; - when : "!A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 8.7651755e-05; - when : "!A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0003827000; - when : "!A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0001354000; - when : "!A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0003493000; - when : "!A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0001296000; - when : "!A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0031880000; - when : "!A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0003407000; - when : "!A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0002086000; - when : "!A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0001018000; - when : "!A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0015177000; - when : "!A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0001846000; - when : "!A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0014926000; - when : "!A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0001760000; - when : "!A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0107099000; - when : "!A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0019084000; - when : "!A1&A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0002086000; - when : "A1&!A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0001018000; - when : "A1&!A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0015177000; - when : "A1&!A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0001846000; - when : "A1&!A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0014926000; - when : "A1&!A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0001760000; - when : "A1&!A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0116937000; - when : "A1&!A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0019140000; - when : "A1&!A2&B1&C1&!D1"; - } - leakage_power () { - value : 0.0002086000; - when : "A1&A2&!B1&!C1&D1"; - } - leakage_power () { - value : 0.0001018000; - when : "A1&A2&!B1&!C1&!D1"; - } - leakage_power () { - value : 0.0015177000; - when : "A1&A2&!B1&C1&D1"; - } - leakage_power () { - value : 0.0001847000; - when : "A1&A2&!B1&C1&!D1"; - } - leakage_power () { - value : 0.0014926000; - when : "A1&A2&B1&!C1&D1"; - } - leakage_power () { - value : 0.0001760000; - when : "A1&A2&B1&!C1&!D1"; - } - leakage_power () { - value : 0.0074550000; - when : "A1&A2&B1&C1&D1"; - } - leakage_power () { - value : 0.0019123000; - when : "A1&A2&B1&C1&!D1"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__o2111ai"; - cell_leakage_power : 0.0016064340; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0086720000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0157913000, 0.0157868000, 0.0157763000, 0.0157820000, 0.0157949000, 0.0158248000, 0.0158938000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015767000, -0.015763000, -0.015753900, -0.015749700, -0.015740200, -0.015718200, -0.015667600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091090000; - } - pin ("A2") { - capacitance : 0.0084330000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0156436000, 0.0156443000, 0.0156460000, 0.0156436000, 0.0156381000, 0.0156254000, 0.0155961000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015630000, -0.015626200, -0.015617500, -0.015618900, -0.015622100, -0.015629400, -0.015646300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090530000; - } - pin ("B1") { - capacitance : 0.0084830000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081500000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0155884000, 0.0155809000, 0.0155634000, 0.0155662000, 0.0155726000, 0.0155872000, 0.0156210000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015641100, -0.015618500, -0.015566500, -0.015568400, -0.015572600, -0.015582300, -0.015604800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0088150000; - } - pin ("C1") { - capacitance : 0.0083670000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081210000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0172187000, 0.0172000000, 0.0171569000, 0.0172217000, 0.0173712000, 0.0177158000, 0.0185101000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015669800, -0.015653900, -0.015617200, -0.015612200, -0.015600600, -0.015574100, -0.015512800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0086130000; - } - pin ("D1") { - capacitance : 0.0083610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082350000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0181415000, 0.0181372000, 0.0181273000, 0.0181319000, 0.0181426000, 0.0181673000, 0.0182242000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013200900, -0.013192400, -0.013172600, -0.013138400, -0.013059600, -0.012877800, -0.012458900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0084880000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2) | (!B1) | (!C1) | (!D1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0293599000, 0.0279618000, 0.0241086000, 0.0134875000, -0.015752800, -0.096220400, -0.317678100", \ - "0.0288857000, 0.0274958000, 0.0236354000, 0.0130168000, -0.016200600, -0.096662600, -0.318086500", \ - "0.0283480000, 0.0269455000, 0.0230985000, 0.0125360000, -0.016626400, -0.097047800, -0.318484700", \ - "0.0275663000, 0.0261984000, 0.0224233000, 0.0119393000, -0.017072300, -0.097384700, -0.318784000", \ - "0.0270614000, 0.0256755000, 0.0218897000, 0.0114137000, -0.017488000, -0.097582000, -0.318771900", \ - "0.0271324000, 0.0257253000, 0.0219557000, 0.0108749000, -0.018193100, -0.098073400, -0.319109300", \ - "0.0285013000, 0.0270623000, 0.0231505000, 0.0123822000, -0.017114700, -0.098144600, -0.319298900"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0627156000, 0.0641428000, 0.0680539000, 0.0789226000, 0.1084044000, 0.1887059000, 0.4084574000", \ - "0.0621957000, 0.0636102000, 0.0676441000, 0.0784631000, 0.1079312000, 0.1885161000, 0.4076963000", \ - "0.0617554000, 0.0632225000, 0.0671715000, 0.0778205000, 0.1073967000, 0.1879968000, 0.4073141000", \ - "0.0611965000, 0.0626319000, 0.0666038000, 0.0774428000, 0.1070465000, 0.1874808000, 0.4068492000", \ - "0.0605595000, 0.0619223000, 0.0658368000, 0.0766932000, 0.1064624000, 0.1871028000, 0.4068529000", \ - "0.0605196000, 0.0618667000, 0.0658120000, 0.0767553000, 0.1064494000, 0.1866863000, 0.4066561000", \ - "0.0612353000, 0.0625989000, 0.0665092000, 0.0777664000, 0.1071570000, 0.1880082000, 0.4071944000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0237637000, 0.0223278000, 0.0184909000, 0.0078835000, -0.021370500, -0.102048200, -0.323679300", \ - "0.0234533000, 0.0220870000, 0.0183065000, 0.0078249000, -0.021293100, -0.101868200, -0.323531200", \ - "0.0225110000, 0.0212182000, 0.0175882000, 0.0074140000, -0.021497500, -0.101830100, -0.323402200", \ - "0.0212434000, 0.0199388000, 0.0163758000, 0.0063380000, -0.022131100, -0.102111800, -0.323416200", \ - "0.0203242000, 0.0190180000, 0.0152957000, 0.0051344000, -0.023239000, -0.102728800, -0.323611400", \ - "0.0203124000, 0.0189276000, 0.0151702000, 0.0046905000, -0.024351600, -0.103767600, -0.324255000", \ - "0.0216964000, 0.0202483000, 0.0162569000, 0.0055070000, -0.023844800, -0.104382900, -0.325040400"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0540709000, 0.0554951000, 0.0594145000, 0.0701521000, 0.0997897000, 0.1803881000, 0.3994325000", \ - "0.0533807000, 0.0547759000, 0.0589468000, 0.0695594000, 0.0991941000, 0.1796296000, 0.3992679000", \ - "0.0525721000, 0.0540039000, 0.0579809000, 0.0690113000, 0.0985685000, 0.1792605000, 0.3983983000", \ - "0.0516806000, 0.0531223000, 0.0570568000, 0.0681105000, 0.0977944000, 0.1787185000, 0.3981380000", \ - "0.0510980000, 0.0525407000, 0.0564879000, 0.0672821000, 0.0971271000, 0.1779685000, 0.3977742000", \ - "0.0532048000, 0.0546019000, 0.0586343000, 0.0689002000, 0.0982488000, 0.1788694000, 0.3969841000", \ - "0.0570959000, 0.0584128000, 0.0620680000, 0.0722889000, 0.1010952000, 0.1807988000, 0.3985185000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0302835000, 0.0288812000, 0.0250811000, 0.0145084000, -0.014663200, -0.095104300, -0.316553800", \ - "0.0298594000, 0.0284935000, 0.0247441000, 0.0142301000, -0.014838100, -0.095229500, -0.316645900", \ - "0.0291473000, 0.0277984000, 0.0240291000, 0.0136565000, -0.015263600, -0.095474700, -0.316801900", \ - "0.0281520000, 0.0268281000, 0.0231365000, 0.0128128000, -0.015908000, -0.095857500, -0.316977200", \ - "0.0275585000, 0.0261802000, 0.0223649000, 0.0120009000, -0.016690700, -0.096413100, -0.317218100", \ - "0.0272003000, 0.0257765000, 0.0219254000, 0.0113967000, -0.017502600, -0.097207300, -0.317814900", \ - "0.0287836000, 0.0273395000, 0.0234080000, 0.0126725000, -0.016829200, -0.097653700, -0.318309600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0485962000, 0.0500262000, 0.0539626000, 0.0647042000, 0.0940175000, 0.1740641000, 0.3930927000", \ - "0.0481110000, 0.0495550000, 0.0535393000, 0.0643612000, 0.0937979000, 0.1738627000, 0.3927983000", \ - "0.0472025000, 0.0486660000, 0.0526659000, 0.0636487000, 0.0932662000, 0.1735187000, 0.3927410000", \ - "0.0460750000, 0.0475491000, 0.0516065000, 0.0625599000, 0.0922723000, 0.1729004000, 0.3926693000", \ - "0.0452585000, 0.0466936000, 0.0507831000, 0.0616950000, 0.0913832000, 0.1719597000, 0.3912006000", \ - "0.0466784000, 0.0480953000, 0.0519699000, 0.0627801000, 0.0919398000, 0.1721272000, 0.3897555000", \ - "0.0500457000, 0.0513682000, 0.0550152000, 0.0651407000, 0.0943503000, 0.1743362000, 0.3914808000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0302733000, 0.0288302000, 0.0250294000, 0.0145276000, -0.014624800, -0.095044300, -0.316496700", \ - "0.0298159000, 0.0284094000, 0.0246616000, 0.0142400000, -0.014790600, -0.095143400, -0.316521300", \ - "0.0290477000, 0.0277161000, 0.0240003000, 0.0136390000, -0.015204800, -0.095372200, -0.316665700", \ - "0.0281828000, 0.0268145000, 0.0231114000, 0.0128159000, -0.015877600, -0.095769200, -0.316837400", \ - "0.0275722000, 0.0262333000, 0.0224872000, 0.0120323000, -0.016640600, -0.096352100, -0.317130400", \ - "0.0273511000, 0.0260201000, 0.0221165000, 0.0115425000, -0.017494800, -0.097353500, -0.317826900", \ - "0.0294383000, 0.0279982000, 0.0240279000, 0.0131505000, -0.016444700, -0.097379500, -0.318199400"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0364276000, 0.0378726000, 0.0418588000, 0.0526452000, 0.0820126000, 0.1618470000, 0.3804085000", \ - "0.0358185000, 0.0373039000, 0.0413738000, 0.0523486000, 0.0819467000, 0.1619298000, 0.3809844000", \ - "0.0348127000, 0.0363112000, 0.0404822000, 0.0515670000, 0.0813868000, 0.1618274000, 0.3810843000", \ - "0.0337501000, 0.0352941000, 0.0393913000, 0.0506588000, 0.0803992000, 0.1611680000, 0.3803382000", \ - "0.0327154000, 0.0341630000, 0.0381264000, 0.0490754000, 0.0789462000, 0.1590363000, 0.3793920000", \ - "0.0336493000, 0.0350508000, 0.0388672000, 0.0495188000, 0.0790628000, 0.1596913000, 0.3770027000", \ - "0.0363057000, 0.0376019000, 0.0411963000, 0.0513652000, 0.0800385000, 0.1605926000, 0.3781336000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0272400000, 0.0259039000, 0.0221821000, 0.0118326000, -0.017186900, -0.097511300, -0.318971400", \ - "0.0266919000, 0.0253683000, 0.0216929000, 0.0113543000, -0.017421600, -0.097634300, -0.318982500", \ - "0.0259480000, 0.0246268000, 0.0209838000, 0.0107284000, -0.017960100, -0.097914100, -0.319086200", \ - "0.0250758000, 0.0237931000, 0.0200363000, 0.0098301000, -0.018732500, -0.098481900, -0.319366900", \ - "0.0250213000, 0.0236537000, 0.0198589000, 0.0091601000, -0.019453900, -0.099112400, -0.319795700", \ - "0.0260831000, 0.0247346000, 0.0205124000, 0.0098197000, -0.019998800, -0.100124900, -0.320760300", \ - "0.0301817000, 0.0286602000, 0.0244245000, 0.0132647000, -0.016536500, -0.097617000, -0.320684100"); - } - related_pin : "D1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0223177000, 0.0239674000, 0.0283127000, 0.0395483000, 0.0692876000, 0.1493142000, 0.3678410000", \ - "0.0216396000, 0.0232453000, 0.0276617000, 0.0390821000, 0.0690413000, 0.1493720000, 0.3682449000", \ - "0.0209610000, 0.0225342000, 0.0268117000, 0.0381864000, 0.0685223000, 0.1488837000, 0.3685210000", \ - "0.0204153000, 0.0219237000, 0.0260788000, 0.0373304000, 0.0673895000, 0.1483576000, 0.3676264000", \ - "0.0202662000, 0.0217194000, 0.0256432000, 0.0365707000, 0.0665466000, 0.1474383000, 0.3671629000", \ - "0.0222389000, 0.0235884000, 0.0273633000, 0.0379982000, 0.0675904000, 0.1467535000, 0.3660350000", \ - "0.0270011000, 0.0282117000, 0.0316494000, 0.0417159000, 0.0695425000, 0.1499453000, 0.3656871000"); - } - } - max_capacitance : 0.2151590000; - max_transition : 1.4993560000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0941140000, 0.0971742000, 0.1051024000, 0.1263719000, 0.1827369000, 0.3343156000, 0.7464022000", \ - "0.0978351000, 0.1007795000, 0.1089318000, 0.1301303000, 0.1865516000, 0.3378409000, 0.7499820000", \ - "0.1054103000, 0.1082900000, 0.1161228000, 0.1373599000, 0.1940448000, 0.3458023000, 0.7578397000", \ - "0.1184979000, 0.1214506000, 0.1295139000, 0.1508152000, 0.2076543000, 0.3592291000, 0.7719902000", \ - "0.1380046000, 0.1412574000, 0.1500367000, 0.1728719000, 0.2316938000, 0.3842929000, 0.7981483000", \ - "0.1613026000, 0.1651645000, 0.1764360000, 0.2027806000, 0.2696545000, 0.4326089000, 0.8489896000", \ - "0.1630797000, 0.1692171000, 0.1856343000, 0.2255834000, 0.3155349000, 0.5094819000, 0.9603388000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.1534684000, 0.1576660000, 0.1695477000, 0.2013185000, 0.2849416000, 0.5094677000, 1.1164690000", \ - "0.1577345000, 0.1625299000, 0.1736757000, 0.2061510000, 0.2902120000, 0.5140974000, 1.1213244000", \ - "0.1694235000, 0.1741220000, 0.1866113000, 0.2176698000, 0.3026329000, 0.5272990000, 1.1335998000", \ - "0.1948486000, 0.1991849000, 0.2110167000, 0.2431896000, 0.3272292000, 0.5521982000, 1.1592673000", \ - "0.2495590000, 0.2538817000, 0.2656240000, 0.2975657000, 0.3815246000, 0.6068342000, 1.2142019000", \ - "0.3541757000, 0.3591999000, 0.3725810000, 0.4111055000, 0.5036497000, 0.7311124000, 1.3404725000", \ - "0.5363499000, 0.5436003000, 0.5628917000, 0.6114267000, 0.7313721000, 1.0058717000, 1.6291671000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0724996000, 0.0762502000, 0.0856673000, 0.1125423000, 0.1871444000, 0.3941778000, 0.9632862000", \ - "0.0727635000, 0.0763118000, 0.0857342000, 0.1125435000, 0.1871213000, 0.3939626000, 0.9625576000", \ - "0.0726455000, 0.0761801000, 0.0859569000, 0.1125758000, 0.1870699000, 0.3942226000, 0.9628887000", \ - "0.0736208000, 0.0770953000, 0.0863308000, 0.1130836000, 0.1870974000, 0.3940775000, 0.9655641000", \ - "0.0811597000, 0.0845674000, 0.0940251000, 0.1197714000, 0.1913534000, 0.3947749000, 0.9643601000", \ - "0.1041061000, 0.1075451000, 0.1170020000, 0.1428628000, 0.2140393000, 0.4107110000, 0.9666725000", \ - "0.1695776000, 0.1737673000, 0.1835468000, 0.2117524000, 0.2828891000, 0.4723587000, 1.0089753000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.1341151000, 0.1397363000, 0.1546077000, 0.1966087000, 0.3100704000, 0.6212041000, 1.4754494000", \ - "0.1342076000, 0.1393886000, 0.1550907000, 0.1970649000, 0.3108153000, 0.6216280000, 1.4752867000", \ - "0.1342099000, 0.1397978000, 0.1549803000, 0.1962974000, 0.3098499000, 0.6220033000, 1.4757725000", \ - "0.1338665000, 0.1394828000, 0.1546653000, 0.1966115000, 0.3103667000, 0.6210570000, 1.4746945000", \ - "0.1403998000, 0.1452780000, 0.1602580000, 0.2001905000, 0.3106480000, 0.6221431000, 1.4769923000", \ - "0.1762582000, 0.1811887000, 0.1956005000, 0.2339035000, 0.3357570000, 0.6311361000, 1.4798937000", \ - "0.2531555000, 0.2595251000, 0.2758582000, 0.3187715000, 0.4292053000, 0.7060559000, 1.4985411000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0760160000, 0.0786072000, 0.0859069000, 0.1057907000, 0.1602798000, 0.3069585000, 0.7096973000", \ - "0.0800928000, 0.0829275000, 0.0901699000, 0.1102573000, 0.1650643000, 0.3115701000, 0.7144820000", \ - "0.0870801000, 0.0899543000, 0.0975827000, 0.1184237000, 0.1725405000, 0.3209079000, 0.7224112000", \ - "0.0990497000, 0.1020810000, 0.1100926000, 0.1312047000, 0.1865866000, 0.3341088000, 0.7399523000", \ - "0.1151578000, 0.1183927000, 0.1271825000, 0.1509808000, 0.2099352000, 0.3591558000, 0.7628376000", \ - "0.1276721000, 0.1323852000, 0.1448537000, 0.1745471000, 0.2446169000, 0.4079311000, 0.8163544000", \ - "0.1006937000, 0.1083167000, 0.1280052000, 0.1754038000, 0.2765408000, 0.4846594000, 0.9290038000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.1397753000, 0.1441482000, 0.1557864000, 0.1872005000, 0.2711803000, 0.4954558000, 1.1031719000", \ - "0.1424562000, 0.1463764000, 0.1591707000, 0.1912353000, 0.2751503000, 0.4992758000, 1.1062510000", \ - "0.1527138000, 0.1575942000, 0.1691882000, 0.2013555000, 0.2852295000, 0.5096087000, 1.1174096000", \ - "0.1794854000, 0.1843144000, 0.1956890000, 0.2271689000, 0.3120451000, 0.5368989000, 1.1448654000", \ - "0.2472000000, 0.2514821000, 0.2632334000, 0.2945424000, 0.3787239000, 0.6043404000, 1.2118873000", \ - "0.3839896000, 0.3899255000, 0.4054121000, 0.4453770000, 0.5361619000, 0.7578445000, 1.3627706000", \ - "0.6068266000, 0.6154857000, 0.6385362000, 0.6971986000, 0.8348348000, 1.1225303000, 1.7299455000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0513772000, 0.0549110000, 0.0646019000, 0.0915668000, 0.1657456000, 0.3696824000, 0.9264256000", \ - "0.0512721000, 0.0548003000, 0.0646946000, 0.0915665000, 0.1657472000, 0.3681329000, 0.9273901000", \ - "0.0514116000, 0.0549755000, 0.0644617000, 0.0913109000, 0.1651590000, 0.3695107000, 0.9245654000", \ - "0.0534847000, 0.0569198000, 0.0664507000, 0.0923178000, 0.1654128000, 0.3677423000, 0.9270412000", \ - "0.0634008000, 0.0667034000, 0.0759648000, 0.1015116000, 0.1710870000, 0.3696808000, 0.9248851000", \ - "0.0921178000, 0.0955514000, 0.1045843000, 0.1292330000, 0.1984963000, 0.3884146000, 0.9293246000", \ - "0.1632193000, 0.1670047000, 0.1774102000, 0.2054089000, 0.2760334000, 0.4629114000, 0.9734270000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.1339347000, 0.1395181000, 0.1548045000, 0.1963728000, 0.3100705000, 0.6208255000, 1.4754538000", \ - "0.1339210000, 0.1395396000, 0.1553216000, 0.1964909000, 0.3109591000, 0.6217405000, 1.4750341000", \ - "0.1342321000, 0.1393978000, 0.1546724000, 0.1968870000, 0.3104930000, 0.6210383000, 1.4738415000", \ - "0.1335123000, 0.1393088000, 0.1548100000, 0.1966955000, 0.3100170000, 0.6214972000, 1.4771574000", \ - "0.1432459000, 0.1482150000, 0.1618465000, 0.2005517000, 0.3100640000, 0.6221548000, 1.4768257000", \ - "0.2010815000, 0.2067360000, 0.2220654000, 0.2597758000, 0.3503526000, 0.6278539000, 1.4775622000", \ - "0.3028257000, 0.3109770000, 0.3322061000, 0.3846877000, 0.5008961000, 0.7529418000, 1.4993560000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0886280000, 0.0916511000, 0.0997693000, 0.1211666000, 0.1775659000, 0.3288372000, 0.7415755000", \ - "0.0923864000, 0.0954768000, 0.1035443000, 0.1250165000, 0.1815129000, 0.3331050000, 0.7458810000", \ - "0.0993144000, 0.1026521000, 0.1107357000, 0.1324091000, 0.1892672000, 0.3414233000, 0.7561367000", \ - "0.1139438000, 0.1170153000, 0.1252042000, 0.1469373000, 0.2041111000, 0.3563968000, 0.7692990000", \ - "0.1387530000, 0.1422928000, 0.1510816000, 0.1753362000, 0.2363396000, 0.3894008000, 0.8032124000", \ - "0.1695511000, 0.1744337000, 0.1876542000, 0.2194043000, 0.2931171000, 0.4639133000, 0.8820225000", \ - "0.1751403000, 0.1833677000, 0.2032453000, 0.2530279000, 0.3629043000, 0.5855808000, 1.0556034000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0647950000, 0.0671382000, 0.0734468000, 0.0901813000, 0.1348611000, 0.2545822000, 0.5807281000", \ - "0.0701705000, 0.0725373000, 0.0787427000, 0.0956855000, 0.1405810000, 0.2604318000, 0.5863885000", \ - "0.0828799000, 0.0852590000, 0.0917638000, 0.1087664000, 0.1537852000, 0.2739058000, 0.5997784000", \ - "0.1143356000, 0.1166651000, 0.1229851000, 0.1399853000, 0.1852371000, 0.3055572000, 0.6325518000", \ - "0.1806258000, 0.1838794000, 0.1924161000, 0.2135744000, 0.2601070000, 0.3802245000, 0.7055854000", \ - "0.2905612000, 0.2956319000, 0.3089647000, 0.3423474000, 0.4134764000, 0.5550003000, 0.8781912000", \ - "0.4757837000, 0.4834886000, 0.5037908000, 0.5546832000, 0.6683799000, 0.8919132000, 1.2835325000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0728218000, 0.0759812000, 0.0856751000, 0.1127046000, 0.1872187000, 0.3939862000, 0.9635447000", \ - "0.0727671000, 0.0761650000, 0.0858354000, 0.1125629000, 0.1872129000, 0.3939543000, 0.9633966000", \ - "0.0727739000, 0.0761637000, 0.0859014000, 0.1125765000, 0.1870880000, 0.3941938000, 0.9680803000", \ - "0.0745392000, 0.0780023000, 0.0872661000, 0.1132145000, 0.1869744000, 0.3940203000, 0.9644734000", \ - "0.0874501000, 0.0906586000, 0.0997918000, 0.1250720000, 0.1938761000, 0.3949596000, 0.9633122000", \ - "0.1232031000, 0.1266938000, 0.1363375000, 0.1620146000, 0.2301386000, 0.4172347000, 0.9687739000", \ - "0.2021078000, 0.2074223000, 0.2200710000, 0.2520133000, 0.3295995000, 0.5170425000, 1.0210267000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0722812000, 0.0755569000, 0.0845451000, 0.1088400000, 0.1731284000, 0.3449092000, 0.8171291000", \ - "0.0722910000, 0.0755739000, 0.0844720000, 0.1088554000, 0.1731568000, 0.3445318000, 0.8142285000", \ - "0.0721658000, 0.0754335000, 0.0843572000, 0.1088356000, 0.1731427000, 0.3448620000, 0.8136640000", \ - "0.0755544000, 0.0785685000, 0.0866399000, 0.1095785000, 0.1730703000, 0.3450017000, 0.8164152000", \ - "0.1068881000, 0.1092078000, 0.1148695000, 0.1324788000, 0.1849574000, 0.3451482000, 0.8145668000", \ - "0.1753579000, 0.1785747000, 0.1871794000, 0.2088220000, 0.2569335000, 0.3848008000, 0.8173088000", \ - "0.2909557000, 0.2959413000, 0.3091115000, 0.3415519000, 0.4143104000, 0.5583520000, 0.9041002000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0785515000, 0.0814092000, 0.0894685000, 0.1107255000, 0.1672262000, 0.3187917000, 0.7338403000", \ - "0.0819922000, 0.0849072000, 0.0930903000, 0.1146204000, 0.1713923000, 0.3233540000, 0.7354525000", \ - "0.0898344000, 0.0929462000, 0.1011813000, 0.1229230000, 0.1798992000, 0.3319546000, 0.7444821000", \ - "0.1083781000, 0.1114168000, 0.1196049000, 0.1413272000, 0.1986573000, 0.3512451000, 0.7644003000", \ - "0.1413384000, 0.1452935000, 0.1554847000, 0.1806008000, 0.2431682000, 0.3964395000, 0.8105194000", \ - "0.1776976000, 0.1837991000, 0.1988105000, 0.2361308000, 0.3203174000, 0.4973082000, 0.9175152000", \ - "0.1914504000, 0.2000898000, 0.2232195000, 0.2812325000, 0.4091784000, 0.6646414000, 1.1607619000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0525856000, 0.0548283000, 0.0607541000, 0.0767183000, 0.1194630000, 0.2347836000, 0.5501399000", \ - "0.0578490000, 0.0601109000, 0.0662282000, 0.0823475000, 0.1254481000, 0.2410898000, 0.5570508000", \ - "0.0706086000, 0.0728760000, 0.0789685000, 0.0953500000, 0.1388416000, 0.2553548000, 0.5715177000", \ - "0.1025593000, 0.1047912000, 0.1106967000, 0.1266031000, 0.1698469000, 0.2864718000, 0.6023499000", \ - "0.1611025000, 0.1647284000, 0.1741139000, 0.1967338000, 0.2451042000, 0.3605480000, 0.6762483000", \ - "0.2579934000, 0.2637292000, 0.2786341000, 0.3146514000, 0.3911134000, 0.5364073000, 0.8491541000", \ - "0.4208505000, 0.4295975000, 0.4525125000, 0.5088596000, 0.6309899000, 0.8624558000, 1.2582216000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0726057000, 0.0761776000, 0.0856180000, 0.1124856000, 0.1869913000, 0.3939631000, 0.9681821000", \ - "0.0726396000, 0.0762495000, 0.0857780000, 0.1124350000, 0.1872280000, 0.3942393000, 0.9648627000", \ - "0.0723877000, 0.0760702000, 0.0855653000, 0.1126741000, 0.1872207000, 0.3937432000, 0.9635697000", \ - "0.0750521000, 0.0785633000, 0.0877885000, 0.1138815000, 0.1868933000, 0.3940092000, 0.9638932000", \ - "0.0935546000, 0.0972152000, 0.1065843000, 0.1303779000, 0.1967514000, 0.3952858000, 0.9633023000", \ - "0.1364528000, 0.1413256000, 0.1518903000, 0.1802830000, 0.2506095000, 0.4255159000, 0.9659874000", \ - "0.2185490000, 0.2248429000, 0.2404999000, 0.2806714000, 0.3701394000, 0.5623589000, 1.0459513000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0548211000, 0.0580456000, 0.0668686000, 0.0910436000, 0.1546159000, 0.3202937000, 0.7707204000", \ - "0.0548282000, 0.0580887000, 0.0669537000, 0.0911196000, 0.1546113000, 0.3203340000, 0.7712103000", \ - "0.0547628000, 0.0579846000, 0.0669019000, 0.0911548000, 0.1545978000, 0.3201978000, 0.7714306000", \ - "0.0618653000, 0.0646237000, 0.0720596000, 0.0936534000, 0.1545902000, 0.3202623000, 0.7698250000", \ - "0.0982808000, 0.1006105000, 0.1068747000, 0.1222649000, 0.1703022000, 0.3211689000, 0.7716175000", \ - "0.1682671000, 0.1714341000, 0.1798011000, 0.2009177000, 0.2487122000, 0.3660685000, 0.7746038000", \ - "0.2833010000, 0.2882238000, 0.3011755000, 0.3333082000, 0.4052226000, 0.5463668000, 0.8709081000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0602019000, 0.0632141000, 0.0714034000, 0.0929501000, 0.1499309000, 0.3017916000, 0.7141247000", \ - "0.0630937000, 0.0662154000, 0.0743828000, 0.0961304000, 0.1534448000, 0.3053968000, 0.7179388000", \ - "0.0709888000, 0.0741094000, 0.0824268000, 0.1044320000, 0.1620261000, 0.3140375000, 0.7269005000", \ - "0.0927640000, 0.0957623000, 0.1035068000, 0.1244735000, 0.1820423000, 0.3345665000, 0.7494479000", \ - "0.1261513000, 0.1303935000, 0.1414455000, 0.1683121000, 0.2312433000, 0.3832352000, 0.7967388000", \ - "0.1599389000, 0.1664446000, 0.1827681000, 0.2228619000, 0.3132334000, 0.4972570000, 0.9116297000", \ - "0.1725433000, 0.1817129000, 0.2059492000, 0.2653051000, 0.3991029000, 0.6698010000, 1.1771796000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0334581000, 0.0355775000, 0.0412950000, 0.0558542000, 0.0940118000, 0.1965460000, 0.4781147000", \ - "0.0386511000, 0.0407454000, 0.0463935000, 0.0612287000, 0.0996988000, 0.2033822000, 0.4857110000", \ - "0.0520141000, 0.0540824000, 0.0595392000, 0.0743651000, 0.1132032000, 0.2166052000, 0.4971525000", \ - "0.0799953000, 0.0827223000, 0.0898567000, 0.1059192000, 0.1447695000, 0.2485343000, 0.5282410000", \ - "0.1242326000, 0.1287398000, 0.1400914000, 0.1658750000, 0.2174084000, 0.3218464000, 0.6026981000", \ - "0.1961354000, 0.2031711000, 0.2210601000, 0.2621010000, 0.3446363000, 0.4932130000, 0.7713217000", \ - "0.3218961000, 0.3305490000, 0.3572452000, 0.4206069000, 0.5519124000, 0.7857145000, 1.1726715000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0731234000, 0.0765758000, 0.0858617000, 0.1126220000, 0.1872518000, 0.3942733000, 0.9636598000", \ - "0.0728070000, 0.0762459000, 0.0861322000, 0.1129590000, 0.1871716000, 0.3938089000, 0.9644716000", \ - "0.0702424000, 0.0739780000, 0.0840711000, 0.1121006000, 0.1871341000, 0.3947898000, 0.9627156000", \ - "0.0750645000, 0.0782486000, 0.0866521000, 0.1123147000, 0.1861310000, 0.3939927000, 0.9640923000", \ - "0.0960381000, 0.1000957000, 0.1109924000, 0.1376426000, 0.2009216000, 0.3953388000, 0.9643283000", \ - "0.1410183000, 0.1462591000, 0.1599506000, 0.1945169000, 0.2688623000, 0.4407490000, 0.9659028000", \ - "0.2194027000, 0.2269846000, 0.2465415000, 0.2942794000, 0.4010527000, 0.6169533000, 1.0768456000"); - } - related_pin : "D1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0362883000, 0.0392157000, 0.0471847000, 0.0681305000, 0.1252954000, 0.2730591000, 0.6717015000", \ - "0.0363335000, 0.0390590000, 0.0469335000, 0.0682687000, 0.1253207000, 0.2731349000, 0.6712884000", \ - "0.0375793000, 0.0402140000, 0.0473608000, 0.0683300000, 0.1253701000, 0.2731650000, 0.6714541000", \ - "0.0525144000, 0.0541264000, 0.0589023000, 0.0751666000, 0.1262081000, 0.2732443000, 0.6713114000", \ - "0.0916611000, 0.0935817000, 0.0989376000, 0.1128703000, 0.1500460000, 0.2768074000, 0.6711742000", \ - "0.1605230000, 0.1631735000, 0.1702008000, 0.1902293000, 0.2351738000, 0.3341262000, 0.6772689000", \ - "0.2719483000, 0.2763956000, 0.2877932000, 0.3171503000, 0.3863656000, 0.5211892000, 0.7957215000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o211a_1") { - leakage_power () { - value : 0.0104688000; - when : "!A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0203015000; - when : "!A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0110478000; - when : "!A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0203640000; - when : "!A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0108196000; - when : "!A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0104991000; - when : "!A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0040491000; - when : "!A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0108088000; - when : "!A1&A2&B1&!C1"; - } - leakage_power () { - value : 0.0108196000; - when : "A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0104991000; - when : "A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0046375000; - when : "A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0108088000; - when : "A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0108196000; - when : "A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0104991000; - when : "A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0032714000; - when : "A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0108088000; - when : "A1&A2&B1&!C1"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__o211a"; - cell_leakage_power : 0.0106576600; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023550000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022390000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041078000, 0.0041011000, 0.0040857000, 0.0040869000, 0.0040897000, 0.0040961000, 0.0041112000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004087400, -0.004089800, -0.004095500, -0.004094500, -0.004092400, -0.004087100, -0.004075100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024720000; - } - pin ("A2") { - capacitance : 0.0023450000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021850000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039684000, 0.0039646000, 0.0039556000, 0.0039560000, 0.0039569000, 0.0039589000, 0.0039638000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003955800, -0.003954100, -0.003950300, -0.003949700, -0.003948200, -0.003944600, -0.003936300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025050000; - } - pin ("B1") { - capacitance : 0.0023110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022280000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041155000, 0.0041031000, 0.0040745000, 0.0040816000, 0.0040980000, 0.0041360000, 0.0042235000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004010900, -0.004008100, -0.004001800, -0.004001600, -0.004001300, -0.004000400, -0.003998200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023940000; - } - pin ("C1") { - capacitance : 0.0023460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047467000, 0.0047473000, 0.0047484000, 0.0047491000, 0.0047506000, 0.0047542000, 0.0047626000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003706500, -0.003707200, -0.003708800, -0.003702800, -0.003688800, -0.003656600, -0.003582200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024050000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1&C1) | (A2&B1&C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0168119000, 0.0157553000, 0.0132132000, 0.0046567000, -0.020482900, -0.089526100, -0.274947100", \ - "0.0166670000, 0.0157275000, 0.0130656000, 0.0045296000, -0.020626000, -0.089622400, -0.275172200", \ - "0.0164964000, 0.0155521000, 0.0128959000, 0.0043735000, -0.020773300, -0.089805100, -0.275303400", \ - "0.0162785000, 0.0153549000, 0.0127121000, 0.0041614000, -0.020982600, -0.089998900, -0.275482100", \ - "0.0161322000, 0.0152031000, 0.0124952000, 0.0039779000, -0.021203300, -0.090166900, -0.275618300", \ - "0.0168537000, 0.0154894000, 0.0118612000, 0.0037095000, -0.021371600, -0.090313300, -0.275726000", \ - "0.0191931000, 0.0177972000, 0.0141423000, 0.0044947000, -0.021279600, -0.090043300, -0.275357200"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0149390000, 0.0164256000, 0.0202966000, 0.0301740000, 0.0560084000, 0.1249253000, 0.3099603000", \ - "0.0148354000, 0.0163053000, 0.0201558000, 0.0300491000, 0.0559444000, 0.1253147000, 0.3088587000", \ - "0.0147025000, 0.0161919000, 0.0200040000, 0.0299214000, 0.0557789000, 0.1250723000, 0.3096579000", \ - "0.0144788000, 0.0159672000, 0.0198279000, 0.0296810000, 0.0555407000, 0.1243887000, 0.3081252000", \ - "0.0143225000, 0.0157861000, 0.0196235000, 0.0294599000, 0.0553034000, 0.1241576000, 0.3077309000", \ - "0.0146852000, 0.0160614000, 0.0197045000, 0.0292954000, 0.0552699000, 0.1244379000, 0.3091345000", \ - "0.0152356000, 0.0166097000, 0.0202066000, 0.0298628000, 0.0557455000, 0.1248092000, 0.3068276000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0145716000, 0.0136496000, 0.0109977000, 0.0025284000, -0.022608400, -0.091586300, -0.277187400", \ - "0.0143703000, 0.0135738000, 0.0108718000, 0.0023942000, -0.022781700, -0.091821700, -0.277215600", \ - "0.0142065000, 0.0132597000, 0.0105844000, 0.0021135000, -0.023018700, -0.092021100, -0.277501000", \ - "0.0139923000, 0.0130785000, 0.0103990000, 0.0019147000, -0.023215700, -0.092208200, -0.277673000", \ - "0.0142388000, 0.0133054000, 0.0105514000, 0.0019757000, -0.023224800, -0.092220000, -0.277670100", \ - "0.0149236000, 0.0135316000, 0.0104858000, 0.0022296000, -0.022921300, -0.091851500, -0.277234100", \ - "0.0181978000, 0.0167603000, 0.0130553000, 0.0033704000, -0.022484400, -0.091212100, -0.276524400"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0134621000, 0.0149466000, 0.0188155000, 0.0286427000, 0.0544534000, 0.1232694000, 0.3068095000", \ - "0.0134150000, 0.0148980000, 0.0187185000, 0.0285929000, 0.0544011000, 0.1238064000, 0.3070757000", \ - "0.0132442000, 0.0147255000, 0.0185266000, 0.0283999000, 0.0544238000, 0.1230313000, 0.3072296000", \ - "0.0129103000, 0.0143876000, 0.0182115000, 0.0280621000, 0.0540833000, 0.1229105000, 0.3078735000", \ - "0.0126812000, 0.0140931000, 0.0179153000, 0.0276469000, 0.0534826000, 0.1225237000, 0.3079018000", \ - "0.0128963000, 0.0142773000, 0.0179782000, 0.0276052000, 0.0534235000, 0.1224013000, 0.3059627000", \ - "0.0132783000, 0.0146510000, 0.0183953000, 0.0282170000, 0.0540383000, 0.1229167000, 0.3058121000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0129824000, 0.0122440000, 0.0097501000, 0.0010888000, -0.024445200, -0.093738000, -0.279392900", \ - "0.0128306000, 0.0121085000, 0.0096083000, 0.0009511000, -0.024584700, -0.093869400, -0.279526200", \ - "0.0126313000, 0.0119057000, 0.0093941000, 0.0007300000, -0.024797800, -0.094068800, -0.279722500", \ - "0.0123306000, 0.0115762000, 0.0090343000, 0.0003621000, -0.025130700, -0.094362500, -0.280006700", \ - "0.0122387000, 0.0114235000, 0.0088484000, 0.0001971000, -0.025257800, -0.094438200, -0.280026400", \ - "0.0143173000, 0.0129943000, 0.0094442000, -0.000175100, -0.025059400, -0.094167700, -0.279694400", \ - "0.0161368000, 0.0147839000, 0.0119969000, 0.0022639000, -0.023545100, -0.092399200, -0.277878100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0150401000, 0.0165014000, 0.0203360000, 0.0302451000, 0.0561529000, 0.1254893000, 0.3096662000", \ - "0.0149600000, 0.0164444000, 0.0202575000, 0.0301641000, 0.0560200000, 0.1247181000, 0.3084218000", \ - "0.0147682000, 0.0162432000, 0.0201234000, 0.0299933000, 0.0558488000, 0.1247400000, 0.3097913000", \ - "0.0145616000, 0.0160408000, 0.0198784000, 0.0297507000, 0.0555952000, 0.1244570000, 0.3080033000", \ - "0.0143965000, 0.0158812000, 0.0196840000, 0.0294220000, 0.0552561000, 0.1247696000, 0.3093222000", \ - "0.0148198000, 0.0161666000, 0.0198287000, 0.0293780000, 0.0553163000, 0.1243906000, 0.3093847000", \ - "0.0157021000, 0.0171013000, 0.0205700000, 0.0302797000, 0.0561319000, 0.1252490000, 0.3086489000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0099617000, 0.0092590000, 0.0067253000, -0.002045300, -0.027720300, -0.097094300, -0.282822200", \ - "0.0097737000, 0.0090976000, 0.0065607000, -0.002208000, -0.027879900, -0.097246600, -0.282961200", \ - "0.0094730000, 0.0087620000, 0.0061907000, -0.002565600, -0.028190800, -0.097537900, -0.283259600", \ - "0.0091634000, 0.0084445000, 0.0058429000, -0.002917900, -0.028496400, -0.097813200, -0.283519100", \ - "0.0086752000, 0.0080300000, 0.0056373000, -0.003014700, -0.028542600, -0.097796400, -0.283461500", \ - "0.0114592000, 0.0101156000, 0.0065877000, -0.002849100, -0.028156800, -0.097358300, -0.282996100", \ - "0.0133380000, 0.0120348000, 0.0083525000, -0.001292700, -0.026921000, -0.095883700, -0.281450700"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0145789000, 0.0160742000, 0.0198828000, 0.0298098000, 0.0556739000, 0.1250760000, 0.3096286000", \ - "0.0144545000, 0.0159600000, 0.0198019000, 0.0296747000, 0.0555706000, 0.1244199000, 0.3079228000", \ - "0.0142529000, 0.0157396000, 0.0195775000, 0.0294810000, 0.0553490000, 0.1241807000, 0.3092311000", \ - "0.0140253000, 0.0155050000, 0.0193683000, 0.0291798000, 0.0550395000, 0.1239401000, 0.3076368000", \ - "0.0138410000, 0.0153356000, 0.0191035000, 0.0288761000, 0.0547463000, 0.1237088000, 0.3074280000", \ - "0.0148210000, 0.0161557000, 0.0197949000, 0.0293305000, 0.0552161000, 0.1235892000, 0.3090799000", \ - "0.0161107000, 0.0175137000, 0.0210140000, 0.0306489000, 0.0563750000, 0.1254382000, 0.3088523000"); - } - } - max_capacitance : 0.1831150000; - max_transition : 1.5032510000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.1834713000, 0.1911791000, 0.2069496000, 0.2385668000, 0.3022844000, 0.4500007000, 0.8339674000", \ - "0.1886115000, 0.1962125000, 0.2122543000, 0.2437984000, 0.3074493000, 0.4551767000, 0.8398802000", \ - "0.2007182000, 0.2084008000, 0.2244567000, 0.2559996000, 0.3194324000, 0.4671062000, 0.8512598000", \ - "0.2264016000, 0.2340457000, 0.2501116000, 0.2815914000, 0.3453655000, 0.4930377000, 0.8772410000", \ - "0.2844687000, 0.2920758000, 0.3080828000, 0.3395491000, 0.4034409000, 0.5511172000, 0.9351577000", \ - "0.3980783000, 0.4065251000, 0.4241044000, 0.4576698000, 0.5239225000, 0.6728994000, 1.0569819000", \ - "0.5902466000, 0.6003312000, 0.6211468000, 0.6597587000, 0.7320264000, 0.8848763000, 1.2700859000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.1141335000, 0.1220220000, 0.1394294000, 0.1776934000, 0.2703985000, 0.5133813000, 1.1617853000", \ - "0.1185723000, 0.1264295000, 0.1438439000, 0.1821854000, 0.2749900000, 0.5183234000, 1.1687278000", \ - "0.1277426000, 0.1355608000, 0.1528920000, 0.1913025000, 0.2840629000, 0.5282355000, 1.1750733000", \ - "0.1453754000, 0.1532688000, 0.1706346000, 0.2088632000, 0.3015653000, 0.5450937000, 1.1920278000", \ - "0.1781119000, 0.1863567000, 0.2043074000, 0.2432460000, 0.3362589000, 0.5797940000, 1.2283039000", \ - "0.2254597000, 0.2349918000, 0.2543979000, 0.2956394000, 0.3895703000, 0.6329105000, 1.2825202000", \ - "0.2684523000, 0.2807693000, 0.3055314000, 0.3519763000, 0.4489545000, 0.6924266000, 1.3406863000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0269979000, 0.0319695000, 0.0444786000, 0.0707953000, 0.1358124000, 0.3171103000, 0.8207786000", \ - "0.0270426000, 0.0321968000, 0.0438507000, 0.0705437000, 0.1359349000, 0.3168930000, 0.8195211000", \ - "0.0273643000, 0.0320106000, 0.0438212000, 0.0701832000, 0.1355281000, 0.3170187000, 0.8163031000", \ - "0.0269530000, 0.0325756000, 0.0437880000, 0.0704511000, 0.1355926000, 0.3171627000, 0.8176643000", \ - "0.0269255000, 0.0320504000, 0.0437822000, 0.0704729000, 0.1355015000, 0.3172107000, 0.8204726000", \ - "0.0314610000, 0.0369057000, 0.0494710000, 0.0758758000, 0.1390240000, 0.3185037000, 0.8175664000", \ - "0.0406328000, 0.0470162000, 0.0598143000, 0.0870221000, 0.1507480000, 0.3247034000, 0.8209590000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0257089000, 0.0325334000, 0.0496639000, 0.0947914000, 0.2209148000, 0.5660918000, 1.4964250000", \ - "0.0254762000, 0.0325277000, 0.0497158000, 0.0950203000, 0.2207031000, 0.5684856000, 1.4954047000", \ - "0.0255992000, 0.0324969000, 0.0497210000, 0.0949104000, 0.2210415000, 0.5669848000, 1.4956558000", \ - "0.0255637000, 0.0324157000, 0.0497182000, 0.0948224000, 0.2210058000, 0.5668031000, 1.4912840000", \ - "0.0274696000, 0.0345805000, 0.0516042000, 0.0960714000, 0.2212077000, 0.5679029000, 1.4953901000", \ - "0.0325457000, 0.0396223000, 0.0570042000, 0.1001449000, 0.2230074000, 0.5659307000, 1.4950192000", \ - "0.0441869000, 0.0522020000, 0.0710067000, 0.1107832000, 0.2271646000, 0.5676657000, 1.4925137000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.1689593000, 0.1766582000, 0.1927077000, 0.2240431000, 0.2876695000, 0.4354436000, 0.8201860000", \ - "0.1725742000, 0.1801192000, 0.1961950000, 0.2274050000, 0.2912615000, 0.4390419000, 0.8231462000", \ - "0.1832347000, 0.1908850000, 0.2068515000, 0.2384436000, 0.3020699000, 0.4497461000, 0.8342747000", \ - "0.2110605000, 0.2187249000, 0.2347313000, 0.2662622000, 0.3299036000, 0.4774485000, 0.8618921000", \ - "0.2789832000, 0.2866186000, 0.3024994000, 0.3338866000, 0.3978388000, 0.5455834000, 0.9303665000", \ - "0.4196353000, 0.4283084000, 0.4459363000, 0.4793242000, 0.5448643000, 0.6936104000, 1.0782150000", \ - "0.6451211000, 0.6564015000, 0.6782272000, 0.7179730000, 0.7886490000, 0.9404726000, 1.3265675000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0965892000, 0.1041330000, 0.1208733000, 0.1582695000, 0.2501216000, 0.4935592000, 1.1429052000", \ - "0.1012635000, 0.1087583000, 0.1255277000, 0.1629798000, 0.2549579000, 0.4979487000, 1.1486905000", \ - "0.1101221000, 0.1176018000, 0.1342823000, 0.1718025000, 0.2638311000, 0.5072245000, 1.1592595000", \ - "0.1267578000, 0.1342422000, 0.1509411000, 0.1884164000, 0.2805242000, 0.5242637000, 1.1808182000", \ - "0.1545882000, 0.1626652000, 0.1803005000, 0.2187195000, 0.3112947000, 0.5536825000, 1.2059884000", \ - "0.1888246000, 0.1985669000, 0.2185391000, 0.2591569000, 0.3527540000, 0.5956638000, 1.2465350000", \ - "0.2014209000, 0.2144482000, 0.2404468000, 0.2881080000, 0.3848843000, 0.6291460000, 1.2759868000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0269117000, 0.0326822000, 0.0438051000, 0.0707699000, 0.1355994000, 0.3168771000, 0.8197142000", \ - "0.0268095000, 0.0322041000, 0.0438365000, 0.0708900000, 0.1359359000, 0.3156481000, 0.8254766000", \ - "0.0272859000, 0.0322827000, 0.0436272000, 0.0703425000, 0.1356677000, 0.3176705000, 0.8259989000", \ - "0.0268969000, 0.0320485000, 0.0442360000, 0.0696750000, 0.1356789000, 0.3176480000, 0.8264349000", \ - "0.0272601000, 0.0328548000, 0.0440886000, 0.0707922000, 0.1359642000, 0.3161625000, 0.8243909000", \ - "0.0336397000, 0.0389077000, 0.0500880000, 0.0758778000, 0.1391660000, 0.3182649000, 0.8272402000", \ - "0.0476779000, 0.0538878000, 0.0663769000, 0.0906868000, 0.1501483000, 0.3248781000, 0.8232683000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0239138000, 0.0307623000, 0.0477249000, 0.0931097000, 0.2198106000, 0.5691929000, 1.4953758000", \ - "0.0238559000, 0.0307069000, 0.0476811000, 0.0930101000, 0.2193166000, 0.5673510000, 1.5007723000", \ - "0.0239712000, 0.0306614000, 0.0477225000, 0.0928555000, 0.2197476000, 0.5667966000, 1.4999190000", \ - "0.0241572000, 0.0308953000, 0.0478591000, 0.0931061000, 0.2197789000, 0.5660594000, 1.5032507000", \ - "0.0268985000, 0.0336456000, 0.0504802000, 0.0947326000, 0.2199635000, 0.5672678000, 1.5025938000", \ - "0.0335043000, 0.0406942000, 0.0571590000, 0.0993581000, 0.2223455000, 0.5658140000, 1.4934677000", \ - "0.0467325000, 0.0554697000, 0.0737525000, 0.1131560000, 0.2269858000, 0.5679773000, 1.4906922000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0888085000, 0.0950328000, 0.1088347000, 0.1374643000, 0.1972286000, 0.3417186000, 0.7258898000", \ - "0.0942517000, 0.1004806000, 0.1143151000, 0.1429452000, 0.2026923000, 0.3471850000, 0.7313010000", \ - "0.1074639000, 0.1137292000, 0.1275035000, 0.1560738000, 0.2158657000, 0.3603879000, 0.7446571000", \ - "0.1394995000, 0.1457143000, 0.1594932000, 0.1881271000, 0.2479763000, 0.3927062000, 0.7757077000", \ - "0.2078832000, 0.2147273000, 0.2294195000, 0.2591719000, 0.3196934000, 0.4646165000, 0.8471737000", \ - "0.3201356000, 0.3290081000, 0.3474765000, 0.3831429000, 0.4492589000, 0.5962155000, 0.9794340000", \ - "0.5008423000, 0.5120818000, 0.5372144000, 0.5835987000, 0.6619225000, 0.8147837000, 1.1990589000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.1059578000, 0.1137941000, 0.1312019000, 0.1695842000, 0.2624223000, 0.5062993000, 1.1565733000", \ - "0.1100413000, 0.1178595000, 0.1352044000, 0.1735813000, 0.2662425000, 0.5111290000, 1.1582152000", \ - "0.1185960000, 0.1264329000, 0.1438533000, 0.1821273000, 0.2749382000, 0.5176414000, 1.1670377000", \ - "0.1383926000, 0.1461610000, 0.1634849000, 0.2017764000, 0.2944106000, 0.5379208000, 1.1864120000", \ - "0.1747488000, 0.1830046000, 0.2009973000, 0.2401024000, 0.3331052000, 0.5778369000, 1.2251182000", \ - "0.2222942000, 0.2317031000, 0.2515175000, 0.2921712000, 0.3860597000, 0.6299583000, 1.2791046000", \ - "0.2566902000, 0.2691471000, 0.2935429000, 0.3393731000, 0.4351043000, 0.6793223000, 1.3275142000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0190550000, 0.0242182000, 0.0363758000, 0.0629468000, 0.1280066000, 0.3117447000, 0.8231794000", \ - "0.0190708000, 0.0242896000, 0.0363800000, 0.0629484000, 0.1280382000, 0.3118595000, 0.8233123000", \ - "0.0190621000, 0.0241333000, 0.0363386000, 0.0628838000, 0.1277892000, 0.3128299000, 0.8225003000", \ - "0.0192194000, 0.0242161000, 0.0362569000, 0.0630833000, 0.1280168000, 0.3114095000, 0.8148541000", \ - "0.0226441000, 0.0277772000, 0.0394150000, 0.0653499000, 0.1288763000, 0.3146801000, 0.8148467000", \ - "0.0320934000, 0.0379346000, 0.0511647000, 0.0775272000, 0.1378765000, 0.3149090000, 0.8255712000", \ - "0.0460089000, 0.0530599000, 0.0698595000, 0.1012810000, 0.1584959000, 0.3226024000, 0.8179816000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0256001000, 0.0325961000, 0.0497561000, 0.0949973000, 0.2209615000, 0.5678911000, 1.4929464000", \ - "0.0255639000, 0.0325415000, 0.0497160000, 0.0949407000, 0.2204426000, 0.5680217000, 1.4960352000", \ - "0.0257013000, 0.0325195000, 0.0497685000, 0.0947321000, 0.2208292000, 0.5670212000, 1.4972749000", \ - "0.0254831000, 0.0324837000, 0.0496761000, 0.0948912000, 0.2206280000, 0.5680375000, 1.4950399000", \ - "0.0281473000, 0.0351088000, 0.0519924000, 0.0965343000, 0.2213947000, 0.5680084000, 1.4967472000", \ - "0.0342075000, 0.0408108000, 0.0573896000, 0.0999481000, 0.2232646000, 0.5667704000, 1.4981058000", \ - "0.0462141000, 0.0542268000, 0.0709916000, 0.1099566000, 0.2263019000, 0.5702230000, 1.4919967000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0742470000, 0.0802683000, 0.0936618000, 0.1215044000, 0.1805454000, 0.3246143000, 0.7082862000", \ - "0.0795443000, 0.0855682000, 0.0988942000, 0.1267439000, 0.1857934000, 0.3298586000, 0.7149746000", \ - "0.0924636000, 0.0984422000, 0.1117542000, 0.1396203000, 0.1987493000, 0.3429516000, 0.7262252000", \ - "0.1235539000, 0.1293231000, 0.1426197000, 0.1706332000, 0.2298686000, 0.3742219000, 0.7586827000", \ - "0.1821905000, 0.1892419000, 0.2041460000, 0.2342281000, 0.2949226000, 0.4395466000, 0.8241735000", \ - "0.2735686000, 0.2825815000, 0.3015908000, 0.3378570000, 0.4049527000, 0.5522070000, 0.9349432000", \ - "0.4168980000, 0.4292691000, 0.4536985000, 0.5014740000, 0.5812563000, 0.7350711000, 1.1185678000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0976449000, 0.1054930000, 0.1228207000, 0.1612373000, 0.2540615000, 0.4985007000, 1.1456061000", \ - "0.1012633000, 0.1091571000, 0.1265332000, 0.1648030000, 0.2574836000, 0.5011135000, 1.1487434000", \ - "0.1104023000, 0.1182176000, 0.1356039000, 0.1739851000, 0.2668151000, 0.5096090000, 1.1598114000", \ - "0.1328281000, 0.1406393000, 0.1579324000, 0.1960821000, 0.2887989000, 0.5324319000, 1.1794785000", \ - "0.1727079000, 0.1807469000, 0.1985624000, 0.2373912000, 0.3306180000, 0.5744514000, 1.2213788000", \ - "0.2208417000, 0.2301930000, 0.2495042000, 0.2894918000, 0.3829570000, 0.6271966000, 1.2787293000", \ - "0.2608172000, 0.2733521000, 0.2973406000, 0.3416127000, 0.4355610000, 0.6797343000, 1.3288491000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0176365000, 0.0226805000, 0.0347304000, 0.0613253000, 0.1267779000, 0.3116399000, 0.8144895000", \ - "0.0176525000, 0.0226940000, 0.0347219000, 0.0614252000, 0.1268537000, 0.3121316000, 0.8152470000", \ - "0.0176699000, 0.0226963000, 0.0347546000, 0.0614520000, 0.1271580000, 0.3131018000, 0.8296473000", \ - "0.0181207000, 0.0230721000, 0.0351258000, 0.0619154000, 0.1271949000, 0.3124269000, 0.8180421000", \ - "0.0232931000, 0.0283403000, 0.0402364000, 0.0661310000, 0.1291983000, 0.3141767000, 0.8157275000", \ - "0.0328210000, 0.0390400000, 0.0526568000, 0.0799140000, 0.1392932000, 0.3147728000, 0.8256829000", \ - "0.0460285000, 0.0538169000, 0.0716424000, 0.1052021000, 0.1624690000, 0.3242590000, 0.8151433000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0256426000, 0.0324781000, 0.0497493000, 0.0948929000, 0.2210238000, 0.5672914000, 1.4965493000", \ - "0.0255817000, 0.0325123000, 0.0497853000, 0.0948346000, 0.2209861000, 0.5673014000, 1.4915869000", \ - "0.0255941000, 0.0325005000, 0.0496924000, 0.0948141000, 0.2204974000, 0.5677738000, 1.4975244000", \ - "0.0257271000, 0.0326132000, 0.0498646000, 0.0949056000, 0.2210091000, 0.5666799000, 1.4931318000", \ - "0.0278103000, 0.0345572000, 0.0517923000, 0.0964843000, 0.2214578000, 0.5670993000, 1.4927535000", \ - "0.0344560000, 0.0409646000, 0.0571855000, 0.0994738000, 0.2235649000, 0.5671505000, 1.4980799000", \ - "0.0472187000, 0.0550050000, 0.0700543000, 0.1091059000, 0.2255518000, 0.5691194000, 1.4913433000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o211a_2") { - leakage_power () { - value : 0.0038162000; - when : "A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0032149000; - when : "A1&A2&B1&!C1"; - } - leakage_power () { - value : 0.0030042000; - when : "!A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0029646000; - when : "!A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0035052000; - when : "!A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0030019000; - when : "!A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0031535000; - when : "!A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0029699000; - when : "!A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0043709000; - when : "!A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0032149000; - when : "!A1&A2&B1&!C1"; - } - leakage_power () { - value : 0.0031535000; - when : "A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0029699000; - when : "A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0041706000; - when : "A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0032149000; - when : "A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0031535000; - when : "A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0029699000; - when : "A1&A2&!B1&!C1"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__o211a"; - cell_leakage_power : 0.0033030240; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0024100000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042431000, 0.0042451000, 0.0042496000, 0.0042507000, 0.0042532000, 0.0042592000, 0.0042728000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004248600, -0.004247500, -0.004245000, -0.004245900, -0.004248100, -0.004253000, -0.004264500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025400000; - } - pin ("A2") { - capacitance : 0.0023240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021610000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039540000, 0.0039392000, 0.0039052000, 0.0039057000, 0.0039070000, 0.0039099000, 0.0039166000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003914500, -0.003913000, -0.003909400, -0.003910000, -0.003911300, -0.003914200, -0.003920900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024870000; - } - pin ("B1") { - capacitance : 0.0023420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022600000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042840000, 0.0042693000, 0.0042352000, 0.0042445000, 0.0042659000, 0.0043151000, 0.0044287000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004082300, -0.004080900, -0.004077700, -0.004077000, -0.004075200, -0.004071000, -0.004061400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024230000; - } - pin ("C1") { - capacitance : 0.0023710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023190000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048311000, 0.0048315000, 0.0048326000, 0.0048339000, 0.0048369000, 0.0048437000, 0.0048595000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003999900, -0.004005000, -0.004016600, -0.004009800, -0.003993900, -0.003957500, -0.003873400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024230000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1&C1) | (A2&B1&C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014252820, 0.0040628580, 0.0115814400, 0.0330136300, 0.0941074800, 0.2682594000"); - values("0.0194563000, 0.0181173000, 0.0144544000, 0.0041945000, -0.028668500, -0.126923400, -0.408840200", \ - "0.0192967000, 0.0178653000, 0.0144263000, 0.0040016000, -0.028797100, -0.127033100, -0.408938800", \ - "0.0193017000, 0.0177663000, 0.0141608000, 0.0039393000, -0.028969000, -0.127202300, -0.409090400", \ - "0.0189398000, 0.0175147000, 0.0139025000, 0.0036213000, -0.029189500, -0.127384800, -0.409270000", \ - "0.0187675000, 0.0173223000, 0.0137334000, 0.0034046000, -0.029441400, -0.127571000, -0.409428400", \ - "0.0186811000, 0.0171837000, 0.0135394000, 0.0031493000, -0.029594200, -0.127667200, -0.409466200", \ - "0.0237617000, 0.0218993000, 0.0177098000, 0.0053340000, -0.029345200, -0.127573500, -0.409294500"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014252820, 0.0040628580, 0.0115814400, 0.0330136300, 0.0941074800, 0.2682594000"); - values("0.0207355000, 0.0223530000, 0.0268324000, 0.0393099000, 0.0736744000, 0.1709998000, 0.4492098000", \ - "0.0206683000, 0.0222924000, 0.0267740000, 0.0392092000, 0.0735871000, 0.1709515000, 0.4492447000", \ - "0.0205596000, 0.0221623000, 0.0266910000, 0.0390488000, 0.0734421000, 0.1711325000, 0.4497113000", \ - "0.0203044000, 0.0218955000, 0.0264463000, 0.0388838000, 0.0732301000, 0.1708798000, 0.4495743000", \ - "0.0202430000, 0.0218116000, 0.0262457000, 0.0386874000, 0.0730983000, 0.1707506000, 0.4490447000", \ - "0.0207193000, 0.0221874000, 0.0264965000, 0.0385018000, 0.0730618000, 0.1703231000, 0.4490826000", \ - "0.0212892000, 0.0227488000, 0.0269758000, 0.0390473000, 0.0736576000, 0.1715142000, 0.4487095000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014252820, 0.0040628580, 0.0115814400, 0.0330136300, 0.0941074800, 0.2682594000"); - values("0.0174939000, 0.0159484000, 0.0123660000, 0.0021552000, -0.030737200, -0.128846700, -0.410682000", \ - "0.0172955000, 0.0158487000, 0.0121818000, 0.0018931000, -0.030879100, -0.128955000, -0.410784300", \ - "0.0171184000, 0.0158665000, 0.0122774000, 0.0019145000, -0.030939700, -0.129078800, -0.410894500", \ - "0.0169831000, 0.0155345000, 0.0118895000, 0.0015807000, -0.031208300, -0.129284400, -0.411088900", \ - "0.0167590000, 0.0153158000, 0.0117179000, 0.0013492000, -0.031432800, -0.129469500, -0.411247900", \ - "0.0170450000, 0.0155307000, 0.0122791000, 0.0017749000, -0.031027400, -0.129077200, -0.410805000", \ - "0.0228994000, 0.0211677000, 0.0165400000, 0.0041461000, -0.030568100, -0.128650600, -0.410381100"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014252820, 0.0040628580, 0.0115814400, 0.0330136300, 0.0941074800, 0.2682594000"); - values("0.0192442000, 0.0208551000, 0.0253817000, 0.0379894000, 0.0728654000, 0.1712124000, 0.4490083000", \ - "0.0191756000, 0.0208031000, 0.0253446000, 0.0380087000, 0.0728739000, 0.1709435000, 0.4506852000", \ - "0.0190800000, 0.0206860000, 0.0252613000, 0.0378106000, 0.0727148000, 0.1708609000, 0.4502301000", \ - "0.0188032000, 0.0204012000, 0.0249929000, 0.0376051000, 0.0724427000, 0.1707357000, 0.4499446000", \ - "0.0186088000, 0.0201788000, 0.0247562000, 0.0372113000, 0.0720209000, 0.1703284000, 0.4498761000", \ - "0.0191479000, 0.0206485000, 0.0250575000, 0.0370384000, 0.0715929000, 0.1695797000, 0.4490430000", \ - "0.0194128000, 0.0208818000, 0.0251043000, 0.0373727000, 0.0719450000, 0.1701237000, 0.4480394000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014252820, 0.0040628580, 0.0115814400, 0.0330136300, 0.0941074800, 0.2682594000"); - values("0.0161945000, 0.0150737000, 0.0121250000, 0.0020583000, -0.031179400, -0.129745000, -0.411729200", \ - "0.0160548000, 0.0150232000, 0.0119981000, 0.0019545000, -0.031271500, -0.129854100, -0.411840400", \ - "0.0159307000, 0.0147863000, 0.0118435000, 0.0017817000, -0.031439200, -0.129992500, -0.411969500", \ - "0.0155966000, 0.0144889000, 0.0114874000, 0.0013993000, -0.031792200, -0.130303000, -0.412256300", \ - "0.0154120000, 0.0142793000, 0.0111034000, 0.0009264000, -0.032243600, -0.130657400, -0.412550600", \ - "0.0181567000, 0.0166300000, 0.0123977000, 0.0003686000, -0.032254100, -0.130361200, -0.412233500", \ - "0.0209164000, 0.0192923000, 0.0148283000, 0.0026048000, -0.031854500, -0.130234800, -0.411753200"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014252820, 0.0040628580, 0.0115814400, 0.0330136300, 0.0941074800, 0.2682594000"); - values("0.0207273000, 0.0222930000, 0.0267854000, 0.0393149000, 0.0736874000, 0.1711900000, 0.4512097000", \ - "0.0206489000, 0.0222139000, 0.0267051000, 0.0392360000, 0.0736174000, 0.1711512000, 0.4511617000", \ - "0.0205282000, 0.0221456000, 0.0265936000, 0.0390307000, 0.0734566000, 0.1712707000, 0.4501237000", \ - "0.0202393000, 0.0218214000, 0.0263538000, 0.0388121000, 0.0732673000, 0.1710413000, 0.4495122000", \ - "0.0200539000, 0.0216084000, 0.0262553000, 0.0385157000, 0.0729386000, 0.1707919000, 0.4492128000", \ - "0.0208031000, 0.0222874000, 0.0265459000, 0.0384895000, 0.0729014000, 0.1704492000, 0.4498753000", \ - "0.0215444000, 0.0229907000, 0.0272086000, 0.0393890000, 0.0736910000, 0.1715602000, 0.4488451000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014252820, 0.0040628580, 0.0115814400, 0.0330136300, 0.0941074800, 0.2682594000"); - values("0.0135709000, 0.0125178000, 0.0095631000, -0.000550300, -0.033974000, -0.132661000, -0.414719800", \ - "0.0134168000, 0.0123913000, 0.0094771000, -0.000635600, -0.034082400, -0.132773600, -0.414834300", \ - "0.0132071000, 0.0121021000, 0.0091988000, -0.000952800, -0.034363500, -0.133037300, -0.415102600", \ - "0.0128871000, 0.0118164000, 0.0087940000, -0.001389100, -0.034750100, -0.133345900, -0.415383000", \ - "0.0125781000, 0.0113979000, 0.0081700000, -0.001816000, -0.035091600, -0.133618100, -0.415619600", \ - "0.0160182000, 0.0145028000, 0.0102819000, -0.001339800, -0.034915100, -0.133310800, -0.415233700", \ - "0.0184634000, 0.0168132000, 0.0123874000, 0.0002190000, -0.034163200, -0.132731600, -0.414113500"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014252820, 0.0040628580, 0.0115814400, 0.0330136300, 0.0941074800, 0.2682594000"); - values("0.0205911000, 0.0221754000, 0.0266967000, 0.0390866000, 0.0735621000, 0.1713328000, 0.4499288000", \ - "0.0204752000, 0.0220730000, 0.0265567000, 0.0389789000, 0.0734881000, 0.1712516000, 0.4498835000", \ - "0.0203101000, 0.0219114000, 0.0263910000, 0.0388195000, 0.0733354000, 0.1714167000, 0.4503846000", \ - "0.0199864000, 0.0216047000, 0.0261248000, 0.0385786000, 0.0730883000, 0.1711884000, 0.4501616000", \ - "0.0198649000, 0.0214391000, 0.0259300000, 0.0382303000, 0.0727396000, 0.1708397000, 0.4493742000", \ - "0.0209021000, 0.0223680000, 0.0265677000, 0.0385309000, 0.0727861000, 0.1706617000, 0.4494409000", \ - "0.0222928000, 0.0237169000, 0.0278835000, 0.0398780000, 0.0742369000, 0.1720758000, 0.4486706000"); - } - } - max_capacitance : 0.2682590000; - max_transition : 1.5034830000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.2217476000, 0.2282682000, 0.2424470000, 0.2699399000, 0.3229881000, 0.4343484000, 0.7163563000", \ - "0.2269902000, 0.2335282000, 0.2476158000, 0.2754842000, 0.3279919000, 0.4396631000, 0.7217086000", \ - "0.2398739000, 0.2463467000, 0.2606099000, 0.2883573000, 0.3413636000, 0.4524823000, 0.7348405000", \ - "0.2674625000, 0.2739511000, 0.2881649000, 0.3159080000, 0.3685674000, 0.4803163000, 0.7624015000", \ - "0.3304078000, 0.3368981000, 0.3510892000, 0.3788085000, 0.4319533000, 0.5438224000, 0.8260381000", \ - "0.4665963000, 0.4735384000, 0.4885820000, 0.5176678000, 0.5720827000, 0.6843122000, 0.9669453000", \ - "0.7086574000, 0.7164581000, 0.7351398000, 0.7689860000, 0.8305676000, 0.9502863000, 1.2360846000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.1400998000, 0.1471940000, 0.1633919000, 0.1991827000, 0.2838346000, 0.5126372000, 1.1648074000", \ - "0.1444461000, 0.1514705000, 0.1677211000, 0.2034326000, 0.2881635000, 0.5169596000, 1.1692172000", \ - "0.1526532000, 0.1597118000, 0.1759016000, 0.2116820000, 0.2963549000, 0.5257041000, 1.1765691000", \ - "0.1684004000, 0.1754920000, 0.1916555000, 0.2275152000, 0.3120928000, 0.5415146000, 1.1924559000", \ - "0.1994343000, 0.2068327000, 0.2233519000, 0.2595235000, 0.3446945000, 0.5741557000, 1.2263733000", \ - "0.2469966000, 0.2550327000, 0.2731658000, 0.3115552000, 0.3983138000, 0.6285029000, 1.2821727000", \ - "0.2941601000, 0.3042007000, 0.3263464000, 0.3694535000, 0.4603749000, 0.6912191000, 1.3422178000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.0326750000, 0.0365375000, 0.0448780000, 0.0642812000, 0.1083526000, 0.2272046000, 0.5876584000", \ - "0.0328144000, 0.0365571000, 0.0457328000, 0.0638011000, 0.1087130000, 0.2271620000, 0.5872309000", \ - "0.0327128000, 0.0365076000, 0.0454638000, 0.0637982000, 0.1084777000, 0.2276064000, 0.5887358000", \ - "0.0327384000, 0.0366309000, 0.0454824000, 0.0641079000, 0.1083288000, 0.2272802000, 0.5875107000", \ - "0.0327159000, 0.0365473000, 0.0449322000, 0.0642802000, 0.1081373000, 0.2270107000, 0.5889051000", \ - "0.0367934000, 0.0408468000, 0.0490427000, 0.0672237000, 0.1106889000, 0.2283918000, 0.5889331000", \ - "0.0481034000, 0.0528104000, 0.0619106000, 0.0817882000, 0.1256527000, 0.2408541000, 0.5905810000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.0286341000, 0.0345938000, 0.0494951000, 0.0885087000, 0.2012489000, 0.5357352000, 1.4983127000", \ - "0.0290166000, 0.0347850000, 0.0496076000, 0.0884744000, 0.2010516000, 0.5360072000, 1.4962147000", \ - "0.0288330000, 0.0346525000, 0.0493419000, 0.0886661000, 0.2013173000, 0.5361016000, 1.5008013000", \ - "0.0286267000, 0.0344805000, 0.0494782000, 0.0886053000, 0.2007953000, 0.5357091000, 1.5008089000", \ - "0.0302409000, 0.0359324000, 0.0508634000, 0.0894237000, 0.2014520000, 0.5359609000, 1.4960613000", \ - "0.0347287000, 0.0406867000, 0.0559143000, 0.0938969000, 0.2043413000, 0.5366571000, 1.4977568000", \ - "0.0453319000, 0.0523643000, 0.0686436000, 0.1050942000, 0.2100780000, 0.5383199000, 1.4983301000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.2047710000, 0.2112231000, 0.2255051000, 0.2532043000, 0.3057636000, 0.4175967000, 0.6997858000", \ - "0.2086536000, 0.2150848000, 0.2293222000, 0.2570684000, 0.3101867000, 0.4211556000, 0.7037737000", \ - "0.2195150000, 0.2260256000, 0.2402213000, 0.2680728000, 0.3211435000, 0.4324662000, 0.7148968000", \ - "0.2475761000, 0.2540477000, 0.2686607000, 0.2964139000, 0.3492241000, 0.4610873000, 0.7432378000", \ - "0.3161310000, 0.3225546000, 0.3369391000, 0.3646137000, 0.4177983000, 0.5297824000, 0.8117020000", \ - "0.4678048000, 0.4749286000, 0.4899670000, 0.5193615000, 0.5735862000, 0.6862558000, 0.9688991000", \ - "0.7192373000, 0.7287616000, 0.7489752000, 0.7866334000, 0.8504745000, 0.9699667000, 1.2551555000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.1283099000, 0.1353827000, 0.1515413000, 0.1877149000, 0.2731868000, 0.5039706000, 1.1549183000", \ - "0.1330931000, 0.1401428000, 0.1564359000, 0.1924536000, 0.2779429000, 0.5081268000, 1.1589808000", \ - "0.1417956000, 0.1488411000, 0.1651206000, 0.2011890000, 0.2866083000, 0.5168303000, 1.1699237000", \ - "0.1582292000, 0.1652648000, 0.1815703000, 0.2175699000, 0.3031197000, 0.5332215000, 1.1904698000", \ - "0.1900733000, 0.1974263000, 0.2143236000, 0.2507381000, 0.3365604000, 0.5677869000, 1.2204860000", \ - "0.2381084000, 0.2463841000, 0.2647448000, 0.3035762000, 0.3911491000, 0.6218137000, 1.2771063000", \ - "0.2840032000, 0.2945200000, 0.3175496000, 0.3628781000, 0.4538195000, 0.6857303000, 1.3366943000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.0327751000, 0.0368597000, 0.0455501000, 0.0638042000, 0.1084341000, 0.2271194000, 0.5862724000", \ - "0.0326509000, 0.0363962000, 0.0452578000, 0.0635898000, 0.1081503000, 0.2278029000, 0.5906549000", \ - "0.0325842000, 0.0364959000, 0.0455848000, 0.0637790000, 0.1084382000, 0.2274885000, 0.5885482000", \ - "0.0327315000, 0.0364988000, 0.0453445000, 0.0638819000, 0.1081682000, 0.2271428000, 0.5876461000", \ - "0.0326435000, 0.0365181000, 0.0454432000, 0.0642437000, 0.1078443000, 0.2271647000, 0.5884222000", \ - "0.0393119000, 0.0435317000, 0.0510754000, 0.0686845000, 0.1113283000, 0.2288125000, 0.5877444000", \ - "0.0575945000, 0.0621486000, 0.0723830000, 0.0912865000, 0.1301372000, 0.2410399000, 0.5914109000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.0283995000, 0.0341726000, 0.0490542000, 0.0880083000, 0.2007330000, 0.5355719000, 1.4962263000", \ - "0.0283751000, 0.0341990000, 0.0490508000, 0.0878667000, 0.2006730000, 0.5349440000, 1.5009549000", \ - "0.0284884000, 0.0341677000, 0.0489308000, 0.0880004000, 0.2005008000, 0.5359593000, 1.5009518000", \ - "0.0285203000, 0.0342108000, 0.0489581000, 0.0878484000, 0.2008384000, 0.5358980000, 1.5034833000", \ - "0.0300340000, 0.0356884000, 0.0509481000, 0.0891015000, 0.2013367000, 0.5358712000, 1.5028719000", \ - "0.0351919000, 0.0412458000, 0.0568005000, 0.0944211000, 0.2042304000, 0.5360489000, 1.5029440000", \ - "0.0481918000, 0.0550393000, 0.0705626000, 0.1076180000, 0.2117427000, 0.5383716000, 1.4978135000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.1018380000, 0.1064813000, 0.1169600000, 0.1390845000, 0.1848172000, 0.2883742000, 0.5677137000", \ - "0.1072182000, 0.1118595000, 0.1224514000, 0.1447173000, 0.1902511000, 0.2938110000, 0.5731395000", \ - "0.1206461000, 0.1252397000, 0.1358345000, 0.1578638000, 0.2036646000, 0.3072131000, 0.5859488000", \ - "0.1526712000, 0.1573390000, 0.1678402000, 0.1900446000, 0.2358495000, 0.3394732000, 0.6188298000", \ - "0.2255315000, 0.2304533000, 0.2413411000, 0.2639690000, 0.3102210000, 0.4140797000, 0.6933434000", \ - "0.3495460000, 0.3559730000, 0.3703792000, 0.3983700000, 0.4511528000, 0.5575816000, 0.8366148000", \ - "0.5464369000, 0.5550985000, 0.5739510000, 0.6104870000, 0.6781312000, 0.7981211000, 1.0800107000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.1336350000, 0.1406906000, 0.1569114000, 0.1927144000, 0.2773658000, 0.5066566000, 1.1588263000", \ - "0.1379074000, 0.1449604000, 0.1611802000, 0.1969841000, 0.2816794000, 0.5110127000, 1.1632442000", \ - "0.1472223000, 0.1542890000, 0.1703885000, 0.2062718000, 0.2909932000, 0.5204940000, 1.1739897000", \ - "0.1682960000, 0.1753364000, 0.1915920000, 0.2274473000, 0.3122896000, 0.5417605000, 1.1944045000", \ - "0.2130837000, 0.2203938000, 0.2373576000, 0.2735578000, 0.3585054000, 0.5883862000, 1.2411271000", \ - "0.2820121000, 0.2903776000, 0.3085222000, 0.3468468000, 0.4337379000, 0.6639153000, 1.3167881000", \ - "0.3575689000, 0.3680369000, 0.3911945000, 0.4350424000, 0.5251287000, 0.7563881000, 1.4076866000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.0192992000, 0.0222942000, 0.0303062000, 0.0489508000, 0.0926170000, 0.2139845000, 0.5807095000", \ - "0.0192346000, 0.0224173000, 0.0301736000, 0.0488924000, 0.0928653000, 0.2139938000, 0.5815756000", \ - "0.0193728000, 0.0223642000, 0.0301930000, 0.0489083000, 0.0926789000, 0.2136624000, 0.5817538000", \ - "0.0191020000, 0.0224341000, 0.0300987000, 0.0488674000, 0.0926406000, 0.2139285000, 0.5812275000", \ - "0.0217743000, 0.0248215000, 0.0322764000, 0.0502958000, 0.0933339000, 0.2140723000, 0.5818855000", \ - "0.0324971000, 0.0358568000, 0.0446357000, 0.0633242000, 0.1049811000, 0.2191938000, 0.5819891000", \ - "0.0490807000, 0.0536113000, 0.0642418000, 0.0877835000, 0.1325093000, 0.2368596000, 0.5821083000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.0289077000, 0.0345871000, 0.0495546000, 0.0886339000, 0.2014164000, 0.5344811000, 1.5003573000", \ - "0.0289106000, 0.0345737000, 0.0495413000, 0.0886281000, 0.2013907000, 0.5347979000, 1.4996951000", \ - "0.0288464000, 0.0346594000, 0.0495000000, 0.0886476000, 0.2007733000, 0.5358870000, 1.4987462000", \ - "0.0289146000, 0.0345832000, 0.0494882000, 0.0885735000, 0.2013060000, 0.5362940000, 1.4952666000", \ - "0.0306632000, 0.0362139000, 0.0508095000, 0.0897861000, 0.2016051000, 0.5358067000, 1.4980946000", \ - "0.0365945000, 0.0424423000, 0.0571305000, 0.0943707000, 0.2045297000, 0.5369843000, 1.5011113000", \ - "0.0493807000, 0.0562151000, 0.0716167000, 0.1067434000, 0.2101021000, 0.5390901000, 1.4985840000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.0864407000, 0.0907945000, 0.1009777000, 0.1223327000, 0.1671408000, 0.2701023000, 0.5489301000", \ - "0.0917735000, 0.0961599000, 0.1061954000, 0.1277221000, 0.1725123000, 0.2754678000, 0.5545227000", \ - "0.1048617000, 0.1092824000, 0.1192857000, 0.1408011000, 0.1856340000, 0.2885778000, 0.5675936000", \ - "0.1360201000, 0.1404135000, 0.1504279000, 0.1718947000, 0.2168177000, 0.3197873000, 0.5990013000", \ - "0.2016259000, 0.2065503000, 0.2176123000, 0.2399355000, 0.2858927000, 0.3892723000, 0.6684676000", \ - "0.3055327000, 0.3119691000, 0.3262439000, 0.3540270000, 0.4077712000, 0.5161476000, 0.7952930000", \ - "0.4653364000, 0.4736513000, 0.4923533000, 0.5288897000, 0.5971977000, 0.7179796000, 0.9988081000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.1260133000, 0.1330289000, 0.1493477000, 0.1849972000, 0.2699598000, 0.4996091000, 1.1527510000", \ - "0.1297205000, 0.1367617000, 0.1530081000, 0.1887601000, 0.2737258000, 0.5033899000, 1.1565549000", \ - "0.1389294000, 0.1459889000, 0.1622868000, 0.1979868000, 0.2828375000, 0.5129622000, 1.1648315000", \ - "0.1616331000, 0.1687317000, 0.1849237000, 0.2208255000, 0.3057914000, 0.5359861000, 1.1876921000", \ - "0.2101333000, 0.2173605000, 0.2339720000, 0.2703713000, 0.3556736000, 0.5862202000, 1.2364700000", \ - "0.2778947000, 0.2862875000, 0.3045887000, 0.3422957000, 0.4282929000, 0.6592480000, 1.3103649000", \ - "0.3490747000, 0.3598343000, 0.3830646000, 0.4270235000, 0.5164142000, 0.7460905000, 1.3984027000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.0175261000, 0.0206987000, 0.0282417000, 0.0470680000, 0.0913475000, 0.2132049000, 0.5815160000", \ - "0.0174898000, 0.0207100000, 0.0283131000, 0.0470569000, 0.0911489000, 0.2127944000, 0.5815184000", \ - "0.0176164000, 0.0205505000, 0.0283560000, 0.0471513000, 0.0913183000, 0.2130705000, 0.5816056000", \ - "0.0176106000, 0.0206395000, 0.0284679000, 0.0471301000, 0.0912602000, 0.2131569000, 0.5816115000", \ - "0.0218662000, 0.0249668000, 0.0326300000, 0.0506079000, 0.0932472000, 0.2134719000, 0.5848043000", \ - "0.0322604000, 0.0362308000, 0.0447786000, 0.0648415000, 0.1064241000, 0.2193815000, 0.5837164000", \ - "0.0482516000, 0.0533701000, 0.0646008000, 0.0881289000, 0.1339900000, 0.2379103000, 0.5835695000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014252800, 0.0040628600, 0.0115814000, 0.0330136000, 0.0941075000, 0.2682590000"); - values("0.0287197000, 0.0345456000, 0.0496032000, 0.0884584000, 0.2010468000, 0.5352642000, 1.4965684000", \ - "0.0287203000, 0.0345584000, 0.0496067000, 0.0885375000, 0.2011408000, 0.5357648000, 1.4969268000", \ - "0.0288329000, 0.0346620000, 0.0494311000, 0.0886138000, 0.2008527000, 0.5361152000, 1.5006720000", \ - "0.0288207000, 0.0346987000, 0.0494345000, 0.0885632000, 0.2012933000, 0.5358691000, 1.5007401000", \ - "0.0307930000, 0.0363594000, 0.0508836000, 0.0898984000, 0.2017858000, 0.5359651000, 1.5005064000", \ - "0.0382608000, 0.0438495000, 0.0575533000, 0.0945196000, 0.2048224000, 0.5370154000, 1.5009521000", \ - "0.0525581000, 0.0589951000, 0.0735061000, 0.1085262000, 0.2100615000, 0.5384179000, 1.4995759000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o211a_4") { - leakage_power () { - value : 0.0038445000; - when : "!A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0266621000; - when : "!A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0051182000; - when : "!A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0040349000; - when : "!A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0043986000; - when : "!A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0037725000; - when : "!A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0045757000; - when : "!A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0047141000; - when : "!A1&A2&B1&!C1"; - } - leakage_power () { - value : 0.0043986000; - when : "A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0037725000; - when : "A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0048331000; - when : "A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0047140000; - when : "A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0043986000; - when : "A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0037725000; - when : "A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0034708000; - when : "A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0047141000; - when : "A1&A2&B1&!C1"; - } - area : 17.516800000; - cell_footprint : "sky130_fd_sc_hd__o211a"; - cell_leakage_power : 0.0056996750; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0048630000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0046190000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0081188000, 0.0081014000, 0.0080613000, 0.0080617000, 0.0080626000, 0.0080648000, 0.0080698000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008052100, -0.008049700, -0.008044100, -0.008042500, -0.008038600, -0.008029800, -0.008009400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0051060000; - } - pin ("A2") { - capacitance : 0.0044610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041390000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0076146000, 0.0076106000, 0.0076014000, 0.0076020000, 0.0076034000, 0.0076068000, 0.0076144000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007570300, -0.007568800, -0.007565500, -0.007567100, -0.007571000, -0.007580000, -0.007600800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047840000; - } - pin ("B1") { - capacitance : 0.0049490000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0047410000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0089587000, 0.0089267000, 0.0088528000, 0.0088677000, 0.0089022000, 0.0089816000, 0.0091648000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008602600, -0.008607300, -0.008618200, -0.008618300, -0.008618700, -0.008619700, -0.008621700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0051560000; - } - pin ("C1") { - capacitance : 0.0044470000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087786000, 0.0087868000, 0.0088055000, 0.0088074000, 0.0088118000, 0.0088220000, 0.0088455000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006996100, -0.006999800, -0.007008200, -0.006997100, -0.006971700, -0.006913100, -0.006777900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046150000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1&C1) | (A2&B1&C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015858690, 0.0050299640, 0.0159537300, 0.0506010700, 0.1604934000, 0.5090431000"); - values("0.0403545000, 0.0386221000, 0.0337810000, 0.0192240000, -0.032360400, -0.208086800, -0.771826800", \ - "0.0400661000, 0.0383702000, 0.0332899000, 0.0187459000, -0.032514000, -0.208323600, -0.772028900", \ - "0.0397890000, 0.0381225000, 0.0329580000, 0.0184530000, -0.032999800, -0.208560500, -0.772336000", \ - "0.0394584000, 0.0377937000, 0.0326977000, 0.0182690000, -0.033325900, -0.208928600, -0.772656000", \ - "0.0391687000, 0.0374884000, 0.0323733000, 0.0177919000, -0.033805600, -0.209324900, -0.772953700", \ - "0.0388882000, 0.0370956000, 0.0319230000, 0.0174408000, -0.034265200, -0.209722900, -0.773215000", \ - "0.0483455000, 0.0463830000, 0.0404291000, 0.0224941000, -0.033337700, -0.209545900, -0.772782300"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015858690, 0.0050299640, 0.0159537300, 0.0506010700, 0.1604934000, 0.5090431000"); - values("0.0370410000, 0.0389005000, 0.0448476000, 0.0634282000, 0.1203252000, 0.2971230000, 0.8559693000", \ - "0.0367825000, 0.0386808000, 0.0447107000, 0.0632256000, 0.1200007000, 0.2970512000, 0.8551811000", \ - "0.0365718000, 0.0384374000, 0.0444474000, 0.0629830000, 0.1199384000, 0.2966531000, 0.8559409000", \ - "0.0360864000, 0.0379990000, 0.0439839000, 0.0626132000, 0.1193668000, 0.2965920000, 0.8584498000", \ - "0.0359287000, 0.0377789000, 0.0437988000, 0.0622034000, 0.1188157000, 0.2961554000, 0.8568808000", \ - "0.0371435000, 0.0389250000, 0.0446221000, 0.0623160000, 0.1184258000, 0.2947947000, 0.8563670000", \ - "0.0380410000, 0.0397432000, 0.0452927000, 0.0629016000, 0.1193955000, 0.2957617000, 0.8530323000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015858690, 0.0050299640, 0.0159537300, 0.0506010700, 0.1604934000, 0.5090431000"); - values("0.0359939000, 0.0342495000, 0.0291707000, 0.0145876000, -0.036788900, -0.212426100, -0.776119900", \ - "0.0356515000, 0.0339514000, 0.0288755000, 0.0145026000, -0.037128300, -0.212660300, -0.776332200", \ - "0.0353694000, 0.0336778000, 0.0285521000, 0.0140022000, -0.037468300, -0.213031600, -0.776739800", \ - "0.0350960000, 0.0333892000, 0.0282740000, 0.0138055000, -0.037770400, -0.213428800, -0.777070700", \ - "0.0348643000, 0.0331528000, 0.0279677000, 0.0135280000, -0.038066400, -0.213685300, -0.777290100", \ - "0.0352705000, 0.0334793000, 0.0292965000, 0.0138360000, -0.037981400, -0.213015600, -0.776649900", \ - "0.0457215000, 0.0437068000, 0.0376496000, 0.0197922000, -0.036275000, -0.212350600, -0.775403800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015858690, 0.0050299640, 0.0159537300, 0.0506010700, 0.1604934000, 0.5090431000"); - values("0.0338291000, 0.0357015000, 0.0416601000, 0.0603330000, 0.1171679000, 0.2942909000, 0.8526292000", \ - "0.0337862000, 0.0356723000, 0.0417248000, 0.0602549000, 0.1172064000, 0.2939488000, 0.8539640000", \ - "0.0335731000, 0.0354598000, 0.0415059000, 0.0600638000, 0.1170135000, 0.2940109000, 0.8538713000", \ - "0.0331011000, 0.0349765000, 0.0410266000, 0.0596686000, 0.1163619000, 0.2935333000, 0.8487609000", \ - "0.0326406000, 0.0345182000, 0.0405183000, 0.0588553000, 0.1157334000, 0.2925353000, 0.8492046000", \ - "0.0333469000, 0.0351349000, 0.0409040000, 0.0587935000, 0.1148817000, 0.2907815000, 0.8517842000", \ - "0.0344392000, 0.0361476000, 0.0417217000, 0.0600541000, 0.1159707000, 0.2922944000, 0.8486613000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015858690, 0.0050299640, 0.0159537300, 0.0506010700, 0.1604934000, 0.5090431000"); - values("0.0312223000, 0.0299077000, 0.0259624000, 0.0121427000, -0.040438600, -0.217581100, -0.782014000", \ - "0.0310057000, 0.0296984000, 0.0256957000, 0.0118885000, -0.040678600, -0.217801400, -0.782243200", \ - "0.0305765000, 0.0292916000, 0.0253310000, 0.0115813000, -0.040995800, -0.218119100, -0.782539200", \ - "0.0299512000, 0.0286042000, 0.0246124000, 0.0107572000, -0.041737900, -0.218715500, -0.783069900", \ - "0.0297256000, 0.0282533000, 0.0241362000, 0.0105253000, -0.042072800, -0.218922400, -0.783217900", \ - "0.0336053000, 0.0318169000, 0.0260396000, 0.0086997000, -0.042428700, -0.218924100, -0.783015800", \ - "0.0403913000, 0.0384099000, 0.0325173000, 0.0146618000, -0.041123800, -0.217976300, -0.781664300"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015858690, 0.0050299640, 0.0159537300, 0.0506010700, 0.1604934000, 0.5090431000"); - values("0.0379152000, 0.0398029000, 0.0458036000, 0.0643951000, 0.1211690000, 0.2982370000, 0.8556372000", \ - "0.0378216000, 0.0397032000, 0.0457293000, 0.0642273000, 0.1211595000, 0.2981242000, 0.8576962000", \ - "0.0374362000, 0.0393383000, 0.0453729000, 0.0639731000, 0.1208270000, 0.2980359000, 0.8588988000", \ - "0.0370040000, 0.0388498000, 0.0448968000, 0.0635120000, 0.1202070000, 0.2975978000, 0.8563385000", \ - "0.0367901000, 0.0386101000, 0.0445570000, 0.0627523000, 0.1193672000, 0.2964493000, 0.8559105000", \ - "0.0376589000, 0.0394494000, 0.0450969000, 0.0626817000, 0.1190063000, 0.2953828000, 0.8542286000", \ - "0.0391872000, 0.0408724000, 0.0463049000, 0.0638915000, 0.1202447000, 0.2970800000, 0.8523415000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015858690, 0.0050299640, 0.0159537300, 0.0506010700, 0.1604934000, 0.5090431000"); - values("0.0249713000, 0.0236042000, 0.0198581000, 0.0064441000, -0.045576400, -0.222452900, -0.786896800", \ - "0.0247918000, 0.0234043000, 0.0196145000, 0.0062196000, -0.045779500, -0.222657500, -0.787107800", \ - "0.0243416000, 0.0229562000, 0.0191641000, 0.0056582000, -0.046288600, -0.223137600, -0.787581500", \ - "0.0236930000, 0.0222610000, 0.0184253000, 0.0048707000, -0.047090100, -0.223791700, -0.788159200", \ - "0.0235394000, 0.0220436000, 0.0183422000, 0.0041780000, -0.047715000, -0.223965700, -0.788270300", \ - "0.0278592000, 0.0260478000, 0.0203875000, 0.0032239000, -0.047846400, -0.223980600, -0.787969300", \ - "0.0351631000, 0.0331786000, 0.0272856000, 0.0094311000, -0.046157800, -0.222761000, -0.786260400"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015858690, 0.0050299640, 0.0159537300, 0.0506010700, 0.1604934000, 0.5090431000"); - values("0.0365837000, 0.0384314000, 0.0444590000, 0.0629609000, 0.1198988000, 0.2967689000, 0.8565507000", \ - "0.0364539000, 0.0383204000, 0.0443393000, 0.0628291000, 0.1196708000, 0.2969240000, 0.8561108000", \ - "0.0360861000, 0.0379732000, 0.0439255000, 0.0626250000, 0.1193965000, 0.2965680000, 0.8585553000", \ - "0.0356603000, 0.0375578000, 0.0435635000, 0.0621549000, 0.1188436000, 0.2961493000, 0.8554442000", \ - "0.0357740000, 0.0374352000, 0.0432341000, 0.0612232000, 0.1178458000, 0.2953191000, 0.8564819000", \ - "0.0366481000, 0.0384019000, 0.0440495000, 0.0616383000, 0.1174631000, 0.2937713000, 0.8537200000", \ - "0.0389917000, 0.0406929000, 0.0462083000, 0.0636019000, 0.1195778000, 0.2959787000, 0.8527548000"); - } - } - max_capacitance : 0.5090430000; - max_transition : 1.5050520000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.2127835000, 0.2170772000, 0.2279269000, 0.2518614000, 0.3010265000, 0.4111745000, 0.7165624000", \ - "0.2178994000, 0.2221897000, 0.2331205000, 0.2571673000, 0.3058178000, 0.4163616000, 0.7217793000", \ - "0.2305002000, 0.2347938000, 0.2457309000, 0.2697542000, 0.3190568000, 0.4290036000, 0.7343355000", \ - "0.2576417000, 0.2619693000, 0.2729174000, 0.2967578000, 0.3458853000, 0.4562179000, 0.7616271000", \ - "0.3184256000, 0.3227338000, 0.3336883000, 0.3575954000, 0.4069580000, 0.5172888000, 0.8226964000", \ - "0.4473981000, 0.4520390000, 0.4637776000, 0.4891834000, 0.5402385000, 0.6519204000, 0.9572518000", \ - "0.6728384000, 0.6784291000, 0.6926074000, 0.7226290000, 0.7806354000, 0.8997627000, 1.2086433000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.1257112000, 0.1302431000, 0.1422445000, 0.1718437000, 0.2480020000, 0.4728346000, 1.1794451000", \ - "0.1299950000, 0.1345384000, 0.1465840000, 0.1762516000, 0.2521764000, 0.4777512000, 1.1859159000", \ - "0.1388509000, 0.1433954000, 0.1554314000, 0.1849914000, 0.2611460000, 0.4856439000, 1.1928725000", \ - "0.1559841000, 0.1605086000, 0.1725707000, 0.2022388000, 0.2783036000, 0.5039332000, 1.2107123000", \ - "0.1889314000, 0.1936326000, 0.2060900000, 0.2362466000, 0.3126871000, 0.5381121000, 1.2435530000", \ - "0.2377828000, 0.2431090000, 0.2567529000, 0.2888497000, 0.3669232000, 0.5920344000, 1.2998861000", \ - "0.2818327000, 0.2886784000, 0.3060623000, 0.3432155000, 0.4260119000, 0.6521261000, 1.3578854000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.0308254000, 0.0333251000, 0.0400450000, 0.0555127000, 0.0955248000, 0.2149574000, 0.6141182000", \ - "0.0308827000, 0.0334292000, 0.0400886000, 0.0557874000, 0.0962980000, 0.2148122000, 0.6133549000", \ - "0.0309172000, 0.0334545000, 0.0395824000, 0.0556430000, 0.0955523000, 0.2147633000, 0.6139337000", \ - "0.0309373000, 0.0333968000, 0.0399685000, 0.0555195000, 0.0960888000, 0.2155196000, 0.6126441000", \ - "0.0308715000, 0.0333394000, 0.0399334000, 0.0552637000, 0.0957617000, 0.2149654000, 0.6147712000", \ - "0.0351997000, 0.0379437000, 0.0441658000, 0.0597680000, 0.0987484000, 0.2165792000, 0.6127236000", \ - "0.0471704000, 0.0494986000, 0.0567373000, 0.0732942000, 0.1136800000, 0.2283399000, 0.6157219000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.0258615000, 0.0295180000, 0.0401823000, 0.0703907000, 0.1661379000, 0.4834680000, 1.5013885000", \ - "0.0260334000, 0.0296890000, 0.0399249000, 0.0704866000, 0.1664281000, 0.4833764000, 1.5030428000", \ - "0.0259343000, 0.0295698000, 0.0400982000, 0.0703726000, 0.1664507000, 0.4840660000, 1.5018345000", \ - "0.0259406000, 0.0295163000, 0.0400738000, 0.0702120000, 0.1665220000, 0.4842485000, 1.5021990000", \ - "0.0276287000, 0.0313835000, 0.0416944000, 0.0715710000, 0.1668616000, 0.4852433000, 1.4972248000", \ - "0.0322227000, 0.0359205000, 0.0470240000, 0.0764582000, 0.1696003000, 0.4839585000, 1.4990992000", \ - "0.0443072000, 0.0481261000, 0.0597901000, 0.0891782000, 0.1765972000, 0.4856144000, 1.4982044000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.1960775000, 0.2003949000, 0.2113038000, 0.2352598000, 0.2846157000, 0.3943906000, 0.6997021000", \ - "0.1999004000, 0.2042136000, 0.2151410000, 0.2389393000, 0.2882940000, 0.3982427000, 0.7036733000", \ - "0.2109037000, 0.2151925000, 0.2261663000, 0.2500851000, 0.2993739000, 0.4094045000, 0.7148155000", \ - "0.2386236000, 0.2429228000, 0.2538592000, 0.2773647000, 0.3264553000, 0.4367380000, 0.7418264000", \ - "0.3075390000, 0.3117969000, 0.3227375000, 0.3467906000, 0.3960529000, 0.5064545000, 0.8117619000", \ - "0.4570181000, 0.4618290000, 0.4739962000, 0.4997376000, 0.5504772000, 0.6605255000, 0.9661528000", \ - "0.7051297000, 0.7114333000, 0.7272878000, 0.7606995000, 0.8204600000, 0.9384917000, 1.2473471000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.1160347000, 0.1206003000, 0.1327159000, 0.1627151000, 0.2390165000, 0.4640734000, 1.1682955000", \ - "0.1206859000, 0.1252639000, 0.1374677000, 0.1673959000, 0.2437931000, 0.4683173000, 1.1767322000", \ - "0.1291156000, 0.1336905000, 0.1458885000, 0.1758308000, 0.2522006000, 0.4770079000, 1.1872188000", \ - "0.1447989000, 0.1493542000, 0.1615655000, 0.1914758000, 0.2676053000, 0.4922047000, 1.1991912000", \ - "0.1729701000, 0.1777736000, 0.1904509000, 0.2211401000, 0.2980405000, 0.5224303000, 1.2362832000", \ - "0.2115015000, 0.2169655000, 0.2311383000, 0.2640457000, 0.3428061000, 0.5679976000, 1.2783367000", \ - "0.2355663000, 0.2426343000, 0.2606738000, 0.2999790000, 0.3837570000, 0.6099440000, 1.3157231000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.0309152000, 0.0333297000, 0.0398072000, 0.0551718000, 0.0958352000, 0.2154041000, 0.6124632000", \ - "0.0308558000, 0.0333859000, 0.0399925000, 0.0555327000, 0.0955361000, 0.2149668000, 0.6130446000", \ - "0.0308869000, 0.0334215000, 0.0400127000, 0.0556066000, 0.0956197000, 0.2148059000, 0.6143188000", \ - "0.0309429000, 0.0334784000, 0.0401575000, 0.0556861000, 0.0962040000, 0.2151990000, 0.6122905000", \ - "0.0311472000, 0.0333115000, 0.0399815000, 0.0555306000, 0.0961271000, 0.2148602000, 0.6143033000", \ - "0.0378776000, 0.0399819000, 0.0464903000, 0.0609804000, 0.0992566000, 0.2169779000, 0.6141138000", \ - "0.0553973000, 0.0587189000, 0.0662982000, 0.0821370000, 0.1187608000, 0.2286460000, 0.6162427000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.0260244000, 0.0297029000, 0.0402790000, 0.0705339000, 0.1665887000, 0.4838147000, 1.5007506000", \ - "0.0259430000, 0.0295999000, 0.0400882000, 0.0706783000, 0.1662239000, 0.4841884000, 1.5050521000", \ - "0.0259302000, 0.0295937000, 0.0400899000, 0.0707393000, 0.1663202000, 0.4849453000, 1.5022732000", \ - "0.0260312000, 0.0297282000, 0.0401469000, 0.0706903000, 0.1662256000, 0.4835808000, 1.4996491000", \ - "0.0280105000, 0.0318398000, 0.0423816000, 0.0722943000, 0.1670050000, 0.4835492000, 1.5010517000", \ - "0.0334566000, 0.0373518000, 0.0485127000, 0.0778252000, 0.1705750000, 0.4828487000, 1.5010259000", \ - "0.0464091000, 0.0511091000, 0.0624177000, 0.0920719000, 0.1786106000, 0.4862376000, 1.4972166000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.1077575000, 0.1109628000, 0.1193560000, 0.1390643000, 0.1832240000, 0.2877767000, 0.5895326000", \ - "0.1129685000, 0.1161664000, 0.1244883000, 0.1442921000, 0.1884842000, 0.2930837000, 0.5954834000", \ - "0.1262440000, 0.1294453000, 0.1377705000, 0.1574510000, 0.2018199000, 0.3064313000, 0.6082357000", \ - "0.1581160000, 0.1613418000, 0.1697044000, 0.1893163000, 0.2337554000, 0.3384245000, 0.6402978000", \ - "0.2317303000, 0.2350859000, 0.2440750000, 0.2635669000, 0.3083039000, 0.4132764000, 0.7154198000", \ - "0.3614565000, 0.3658740000, 0.3772701000, 0.4019036000, 0.4529674000, 0.5625356000, 0.8653102000", \ - "0.5716171000, 0.5774727000, 0.5921631000, 0.6249219000, 0.6900595000, 0.8133763000, 1.1190853000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.1198430000, 0.1243628000, 0.1364522000, 0.1660392000, 0.2421403000, 0.4673922000, 1.1720130000", \ - "0.1239242000, 0.1284613000, 0.1405105000, 0.1701198000, 0.2462681000, 0.4706613000, 1.1777216000", \ - "0.1327500000, 0.1372627000, 0.1493811000, 0.1789869000, 0.2551284000, 0.4804828000, 1.1868749000", \ - "0.1531546000, 0.1576441000, 0.1696761000, 0.1993464000, 0.2752184000, 0.5003579000, 1.2063552000", \ - "0.1935249000, 0.1982780000, 0.2107160000, 0.2410764000, 0.3174246000, 0.5419802000, 1.2496589000", \ - "0.2490874000, 0.2545678000, 0.2684721000, 0.3002585000, 0.3781337000, 0.6040009000, 1.3112685000", \ - "0.2976234000, 0.3045710000, 0.3219320000, 0.3596216000, 0.4411113000, 0.6668358000, 1.3739230000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.0194788000, 0.0216078000, 0.0276816000, 0.0440361000, 0.0862116000, 0.2058476000, 0.6084135000", \ - "0.0195772000, 0.0216691000, 0.0279614000, 0.0439529000, 0.0860718000, 0.2059048000, 0.6104481000", \ - "0.0196989000, 0.0216005000, 0.0276377000, 0.0441740000, 0.0860304000, 0.2059957000, 0.6080347000", \ - "0.0196221000, 0.0218057000, 0.0276806000, 0.0439832000, 0.0861203000, 0.2060275000, 0.6080190000", \ - "0.0218460000, 0.0237858000, 0.0295236000, 0.0454706000, 0.0869180000, 0.2061900000, 0.6088096000", \ - "0.0324863000, 0.0351136000, 0.0413010000, 0.0574657000, 0.0978745000, 0.2114955000, 0.6093842000", \ - "0.0493809000, 0.0528117000, 0.0607471000, 0.0802166000, 0.1246517000, 0.2306024000, 0.6118449000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.0258601000, 0.0295149000, 0.0401899000, 0.0703032000, 0.1665345000, 0.4850577000, 1.4986771000", \ - "0.0259068000, 0.0295533000, 0.0399735000, 0.0703315000, 0.1664690000, 0.4842694000, 1.5018460000", \ - "0.0259852000, 0.0295624000, 0.0401773000, 0.0703106000, 0.1664456000, 0.4849738000, 1.5001391000", \ - "0.0259544000, 0.0295053000, 0.0399872000, 0.0704247000, 0.1662943000, 0.4841825000, 1.5013142000", \ - "0.0277782000, 0.0316594000, 0.0421932000, 0.0720855000, 0.1671525000, 0.4842147000, 1.5017465000", \ - "0.0338240000, 0.0375287000, 0.0475274000, 0.0768484000, 0.1701504000, 0.4849304000, 1.4999538000", \ - "0.0463618000, 0.0507206000, 0.0617270000, 0.0891185000, 0.1759793000, 0.4862371000, 1.4984232000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.1055886000, 0.1089676000, 0.1177464000, 0.1382948000, 0.1843797000, 0.2909691000, 0.5937917000", \ - "0.1108617000, 0.1142001000, 0.1230012000, 0.1435706000, 0.1896689000, 0.2962615000, 0.5990874000", \ - "0.1235968000, 0.1269186000, 0.1357066000, 0.1561264000, 0.2022432000, 0.3089010000, 0.6116936000", \ - "0.1549366000, 0.1582428000, 0.1669827000, 0.1875067000, 0.2336513000, 0.3403613000, 0.6427672000", \ - "0.2266898000, 0.2302187000, 0.2394379000, 0.2602054000, 0.3067953000, 0.4130500000, 0.7158622000", \ - "0.3506486000, 0.3551796000, 0.3669176000, 0.3928486000, 0.4465850000, 0.5587482000, 0.8619659000", \ - "0.5525678000, 0.5583263000, 0.5732799000, 0.6068919000, 0.6751981000, 0.8027914000, 1.1100652000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.1105377000, 0.1150514000, 0.1271036000, 0.1566764000, 0.2328673000, 0.4574831000, 1.1639729000", \ - "0.1143064000, 0.1188591000, 0.1308437000, 0.1604515000, 0.2365292000, 0.4613690000, 1.1672402000", \ - "0.1230777000, 0.1276208000, 0.1396807000, 0.1693672000, 0.2454550000, 0.4710738000, 1.1780397000", \ - "0.1452756000, 0.1498721000, 0.1618442000, 0.1914432000, 0.2672991000, 0.4924087000, 1.1985474000", \ - "0.1869516000, 0.1915845000, 0.2038095000, 0.2338497000, 0.3103814000, 0.5356312000, 1.2412859000", \ - "0.2380171000, 0.2434443000, 0.2571535000, 0.2883928000, 0.3655966000, 0.5915233000, 1.3014881000", \ - "0.2741641000, 0.2812389000, 0.2988069000, 0.3361699000, 0.4160994000, 0.6405972000, 1.3480529000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.0203402000, 0.0226476000, 0.0288216000, 0.0458513000, 0.0886716000, 0.2083072000, 0.6107899000", \ - "0.0201841000, 0.0224808000, 0.0288055000, 0.0458489000, 0.0886854000, 0.2082791000, 0.6108108000", \ - "0.0204566000, 0.0226109000, 0.0287794000, 0.0459037000, 0.0886589000, 0.2082752000, 0.6100634000", \ - "0.0201974000, 0.0226306000, 0.0289825000, 0.0457962000, 0.0887488000, 0.2084227000, 0.6080976000", \ - "0.0230698000, 0.0252297000, 0.0311893000, 0.0473469000, 0.0896107000, 0.2087765000, 0.6097878000", \ - "0.0338558000, 0.0366770000, 0.0440286000, 0.0608004000, 0.1022975000, 0.2151209000, 0.6110019000", \ - "0.0509873000, 0.0544625000, 0.0635245000, 0.0846204000, 0.1310825000, 0.2368594000, 0.6133961000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015858700, 0.0050299600, 0.0159537000, 0.0506011000, 0.1604930000, 0.5090430000"); - values("0.0259350000, 0.0296271000, 0.0399939000, 0.0703697000, 0.1663318000, 0.4841771000, 1.5016919000", \ - "0.0259302000, 0.0295801000, 0.0399726000, 0.0703176000, 0.1663806000, 0.4838279000, 1.4991246000", \ - "0.0258877000, 0.0295133000, 0.0400251000, 0.0702997000, 0.1665173000, 0.4844901000, 1.5023199000", \ - "0.0258720000, 0.0295655000, 0.0399447000, 0.0704319000, 0.1662095000, 0.4843828000, 1.4996422000", \ - "0.0277761000, 0.0314069000, 0.0418012000, 0.0720644000, 0.1671423000, 0.4854874000, 1.4980476000", \ - "0.0349739000, 0.0382258000, 0.0482231000, 0.0765758000, 0.1700954000, 0.4849907000, 1.5038295000", \ - "0.0486782000, 0.0530496000, 0.0636629000, 0.0903155000, 0.1760718000, 0.4870440000, 1.4994752000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o211ai_1") { - leakage_power () { - value : 7.4987779e-05; - when : "!A1&!A2&!B1&C1"; - } - leakage_power () { - value : 8.8724194e-05; - when : "!A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0006068000; - when : "!A1&!A2&B1&C1"; - } - leakage_power () { - value : 7.0753089e-05; - when : "!A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0003833000; - when : "!A1&A2&!B1&C1"; - } - leakage_power () { - value : 4.8189554e-05; - when : "!A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0027003000; - when : "!A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0004553000; - when : "!A1&A2&B1&!C1"; - } - leakage_power () { - value : 0.0003833000; - when : "A1&!A2&!B1&C1"; - } - leakage_power () { - value : 4.8189554e-05; - when : "A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0031689000; - when : "A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0004553000; - when : "A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0003833000; - when : "A1&A2&!B1&C1"; - } - leakage_power () { - value : 4.8189554e-05; - when : "A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0018754000; - when : "A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0004553000; - when : "A1&A2&B1&!C1"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__o211ai"; - cell_leakage_power : 0.0007028808; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023410000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039649000, 0.0039654000, 0.0039664000, 0.0039663000, 0.0039658000, 0.0039648000, 0.0039624000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003960700, -0.003960300, -0.003959400, -0.003958400, -0.003956100, -0.003950900, -0.003938800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024500000; - } - pin ("A2") { - capacitance : 0.0023310000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021770000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038776000, 0.0038692000, 0.0038497000, 0.0038502000, 0.0038515000, 0.0038544000, 0.0038611000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003858500, -0.003855200, -0.003847600, -0.003847000, -0.003845600, -0.003842500, -0.003835100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024860000; - } - pin ("B1") { - capacitance : 0.0023400000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022590000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041206000, 0.0041055000, 0.0040705000, 0.0040777000, 0.0040943000, 0.0041325000, 0.0042205000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003948600, -0.003946900, -0.003942800, -0.003940000, -0.003933500, -0.003918500, -0.003884000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024210000; - } - pin ("C1") { - capacitance : 0.0023590000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048043000, 0.0048026000, 0.0047987000, 0.0048001000, 0.0048032000, 0.0048103000, 0.0048268000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003963000, -0.003965200, -0.003970200, -0.003964400, -0.003951200, -0.003920700, -0.003850300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024180000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2) | (!B1) | (!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0072172000, 0.0061723000, 0.0037672000, -0.001792600, -0.014594700, -0.044066400, -0.111953100", \ - "0.0071045000, 0.0060667000, 0.0036642000, -0.001879600, -0.014671100, -0.044152300, -0.112022700", \ - "0.0069730000, 0.0059414000, 0.0035466000, -0.001986000, -0.014756800, -0.044220800, -0.112102700", \ - "0.0067949000, 0.0057612000, 0.0033818000, -0.002115500, -0.014853500, -0.044287100, -0.112144100", \ - "0.0066629000, 0.0056265000, 0.0032511000, -0.002230500, -0.014929900, -0.044325700, -0.112145600", \ - "0.0067321000, 0.0056652000, 0.0032607000, -0.002272500, -0.015119000, -0.044454900, -0.112255900", \ - "0.0072383000, 0.0061758000, 0.0037249000, -0.001896200, -0.014777900, -0.044410500, -0.112224400"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0147845000, 0.0158461000, 0.0182722000, 0.0238550000, 0.0366242000, 0.0658389000, 0.1328937000", \ - "0.0146570000, 0.0157277000, 0.0181738000, 0.0237610000, 0.0365366000, 0.0658431000, 0.1330247000", \ - "0.0145057000, 0.0155763000, 0.0180206000, 0.0236353000, 0.0364406000, 0.0656862000, 0.1328242000", \ - "0.0143415000, 0.0154164000, 0.0178776000, 0.0235045000, 0.0363187000, 0.0656103000, 0.1327391000", \ - "0.0142732000, 0.0153353000, 0.0177999000, 0.0233978000, 0.0361792000, 0.0655020000, 0.1327899000", \ - "0.0142141000, 0.0152546000, 0.0177500000, 0.0233597000, 0.0362610000, 0.0655696000, 0.1326601000", \ - "0.0146058000, 0.0156289000, 0.0179596000, 0.0235017000, 0.0364811000, 0.0657581000, 0.1328563000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0059053000, 0.0048617000, 0.0024816000, -0.003068600, -0.015890900, -0.045403300, -0.113323900", \ - "0.0058224000, 0.0048068000, 0.0024275000, -0.003084600, -0.015861300, -0.045353600, -0.113250700", \ - "0.0056082000, 0.0046088000, 0.0022847000, -0.003180100, -0.015902400, -0.045355400, -0.113242800", \ - "0.0053019000, 0.0043162000, 0.0020003000, -0.003398700, -0.016042600, -0.045421300, -0.113266500", \ - "0.0051801000, 0.0040904000, 0.0017298000, -0.003655500, -0.016272400, -0.045556700, -0.113316400", \ - "0.0050802000, 0.0040540000, 0.0016677000, -0.003840800, -0.016582300, -0.045822000, -0.113505600", \ - "0.0056518000, 0.0045436000, 0.0020667000, -0.003554100, -0.016418200, -0.045937000, -0.113633800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0126180000, 0.0136515000, 0.0160885000, 0.0216788000, 0.0344594000, 0.0637723000, 0.1306976000", \ - "0.0124016000, 0.0134763000, 0.0159407000, 0.0215729000, 0.0343233000, 0.0636813000, 0.1306807000", \ - "0.0122437000, 0.0132936000, 0.0157741000, 0.0214210000, 0.0342774000, 0.0635289000, 0.1307568000", \ - "0.0119871000, 0.0130372000, 0.0154975000, 0.0212069000, 0.0340704000, 0.0634601000, 0.1305400000", \ - "0.0121765000, 0.0132501000, 0.0156746000, 0.0212712000, 0.0338457000, 0.0632087000, 0.1304983000", \ - "0.0128561000, 0.0139230000, 0.0161886000, 0.0212924000, 0.0342411000, 0.0634505000, 0.1300971000", \ - "0.0135811000, 0.0145267000, 0.0168748000, 0.0224780000, 0.0351553000, 0.0644531000, 0.1307485000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0075118000, 0.0064697000, 0.0040813000, -0.001453200, -0.014240400, -0.043725200, -0.111617000", \ - "0.0074056000, 0.0063869000, 0.0040038000, -0.001506500, -0.014264100, -0.043730800, -0.111604000", \ - "0.0072387000, 0.0062223000, 0.0038649000, -0.001619800, -0.014338500, -0.043764300, -0.111595100", \ - "0.0069983000, 0.0059908000, 0.0036393000, -0.001814200, -0.014477100, -0.043854300, -0.111675200", \ - "0.0068525000, 0.0058228000, 0.0034437000, -0.002005400, -0.014643200, -0.044017300, -0.111751900", \ - "0.0069456000, 0.0058851000, 0.0034772000, -0.002090100, -0.014882800, -0.044196100, -0.111848900", \ - "0.0076763000, 0.0065837000, 0.0040750000, -0.001608600, -0.014530900, -0.044186700, -0.111966600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0105648000, 0.0116354000, 0.0140808000, 0.0196630000, 0.0323575000, 0.0615357000, 0.1285424000", \ - "0.0103919000, 0.0114785000, 0.0139590000, 0.0195732000, 0.0323384000, 0.0615393000, 0.1285768000", \ - "0.0101790000, 0.0112780000, 0.0136774000, 0.0193847000, 0.0322109000, 0.0614593000, 0.1285960000", \ - "0.0098858000, 0.0109703000, 0.0135314000, 0.0192150000, 0.0320696000, 0.0611281000, 0.1282186000", \ - "0.0098539000, 0.0108990000, 0.0133342000, 0.0188928000, 0.0316969000, 0.0609808000, 0.1278927000", \ - "0.0104720000, 0.0115165000, 0.0136033000, 0.0194744000, 0.0316850000, 0.0608025000, 0.1278386000", \ - "0.0113892000, 0.0123699000, 0.0146675000, 0.0199623000, 0.0325639000, 0.0616643000, 0.1287244000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0074320000, 0.0064133000, 0.0040471000, -0.001473900, -0.014237700, -0.043710300, -0.111591500", \ - "0.0072986000, 0.0062906000, 0.0039594000, -0.001534100, -0.014268900, -0.043713500, -0.111581700", \ - "0.0070834000, 0.0061113000, 0.0037710000, -0.001669000, -0.014347300, -0.043761200, -0.111591500", \ - "0.0068504000, 0.0058424000, 0.0035008000, -0.001937100, -0.014543100, -0.043861900, -0.111627400", \ - "0.0066698000, 0.0056441000, 0.0032905000, -0.002143500, -0.014773000, -0.044046700, -0.111781500", \ - "0.0072690000, 0.0059649000, 0.0035135000, -0.002147200, -0.014762800, -0.044209900, -0.111842100", \ - "0.0082805000, 0.0071620000, 0.0045895000, -0.001114200, -0.014145000, -0.043559300, -0.111321400"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011503870, 0.0026467830, 0.0060896510, 0.0140109200, 0.0322359600, 0.0741676900"); - values("0.0074389000, 0.0085562000, 0.0110901000, 0.0167319000, 0.0295084000, 0.0587218000, 0.1255661000", \ - "0.0071686000, 0.0083159000, 0.0109213000, 0.0165884000, 0.0294261000, 0.0586772000, 0.1255376000", \ - "0.0068361000, 0.0079902000, 0.0105945000, 0.0163641000, 0.0292607000, 0.0586083000, 0.1256489000", \ - "0.0066770000, 0.0077783000, 0.0103048000, 0.0159762000, 0.0288847000, 0.0582555000, 0.1253313000", \ - "0.0067713000, 0.0078298000, 0.0101333000, 0.0157225000, 0.0285476000, 0.0579186000, 0.1248218000", \ - "0.0072696000, 0.0083166000, 0.0108877000, 0.0164356000, 0.0285202000, 0.0576553000, 0.1235530000", \ - "0.0084791000, 0.0093972000, 0.0116482000, 0.0169041000, 0.0294867000, 0.0586092000, 0.1246745000"); - } - } - max_capacitance : 0.0741680000; - max_transition : 1.4934270000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0610228000, 0.0657417000, 0.0766812000, 0.1013980000, 0.1573026000, 0.2846874000, 0.5771610000", \ - "0.0654483000, 0.0702702000, 0.0811512000, 0.1058069000, 0.1617110000, 0.2893973000, 0.5815572000", \ - "0.0744520000, 0.0793001000, 0.0902885000, 0.1150677000, 0.1711031000, 0.2985716000, 0.5910539000", \ - "0.0917570000, 0.0968928000, 0.1082316000, 0.1331717000, 0.1891901000, 0.3168976000, 0.6093022000", \ - "0.1191971000, 0.1256738000, 0.1392788000, 0.1683906000, 0.2284554000, 0.3568424000, 0.6501527000", \ - "0.1520206000, 0.1616048000, 0.1818113000, 0.2225806000, 0.2984516000, 0.4447419000, 0.7420055000", \ - "0.1677513000, 0.1834365000, 0.2156007000, 0.2795535000, 0.3943539000, 0.5907804000, 0.9401153000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.1190023000, 0.1281138000, 0.1497984000, 0.1978959000, 0.3067559000, 0.5553476000, 1.1251248000", \ - "0.1241694000, 0.1338712000, 0.1550573000, 0.2030611000, 0.3125169000, 0.5609530000, 1.1307525000", \ - "0.1365339000, 0.1457781000, 0.1673729000, 0.2158644000, 0.3249786000, 0.5737978000, 1.1432665000", \ - "0.1626281000, 0.1719436000, 0.1934173000, 0.2418134000, 0.3511986000, 0.6002832000, 1.1704678000", \ - "0.2196579000, 0.2302579000, 0.2524155000, 0.3004605000, 0.4100605000, 0.6593647000, 1.2294359000", \ - "0.3229761000, 0.3359077000, 0.3649346000, 0.4251430000, 0.5459908000, 0.7958701000, 1.3664326000", \ - "0.4951994000, 0.5149079000, 0.5568747000, 0.6415689000, 0.8012035000, 1.1015248000, 1.6818154000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0442562000, 0.0503759000, 0.0643050000, 0.0964463000, 0.1702826000, 0.3391227000, 0.7307380000", \ - "0.0442435000, 0.0502622000, 0.0642843000, 0.0964054000, 0.1703216000, 0.3396364000, 0.7300660000", \ - "0.0443193000, 0.0502831000, 0.0642390000, 0.0963018000, 0.1704401000, 0.3398298000, 0.7300144000", \ - "0.0476763000, 0.0530887000, 0.0661441000, 0.0973344000, 0.1702246000, 0.3396780000, 0.7307432000", \ - "0.0622408000, 0.0682749000, 0.0808974000, 0.1102677000, 0.1773518000, 0.3417912000, 0.7329191000", \ - "0.0983870000, 0.1052077000, 0.1200513000, 0.1513156000, 0.2181565000, 0.3684389000, 0.7364778000", \ - "0.1711673000, 0.1803597000, 0.2012842000, 0.2414110000, 0.3190465000, 0.4711341000, 0.8117252000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.1023585000, 0.1146740000, 0.1426552000, 0.2066463000, 0.3546423000, 0.6925264000, 1.4707139000", \ - "0.1021680000, 0.1144612000, 0.1429424000, 0.2073017000, 0.3542514000, 0.6931609000, 1.4701278000", \ - "0.1022466000, 0.1146513000, 0.1426628000, 0.2066846000, 0.3545516000, 0.6926246000, 1.4704767000", \ - "0.1019774000, 0.1142508000, 0.1425620000, 0.2071221000, 0.3542067000, 0.6926953000, 1.4694337000", \ - "0.1141828000, 0.1251913000, 0.1506580000, 0.2106849000, 0.3546847000, 0.6935676000, 1.4710219000", \ - "0.1583432000, 0.1695126000, 0.1964384000, 0.2523345000, 0.3796456000, 0.6988018000, 1.4702827000", \ - "0.2453202000, 0.2599762000, 0.2912404000, 0.3583369000, 0.4926783000, 0.7783362000, 1.4867988000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0486528000, 0.0529760000, 0.0630062000, 0.0859158000, 0.1375850000, 0.2570877000, 0.5317882000", \ - "0.0531142000, 0.0575802000, 0.0675765000, 0.0905178000, 0.1426884000, 0.2621150000, 0.5384533000", \ - "0.0616059000, 0.0661680000, 0.0764164000, 0.0994582000, 0.1518412000, 0.2714714000, 0.5466034000", \ - "0.0765419000, 0.0816958000, 0.0929418000, 0.1167910000, 0.1695057000, 0.2895097000, 0.5643238000", \ - "0.0967351000, 0.1037028000, 0.1187455000, 0.1484576000, 0.2068238000, 0.3283159000, 0.6055076000", \ - "0.1124623000, 0.1235873000, 0.1465517000, 0.1903186000, 0.2684296000, 0.4118294000, 0.6930637000", \ - "0.0936909000, 0.1121755000, 0.1496255000, 0.2206176000, 0.3419759000, 0.5426338000, 0.8828474000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.1066426000, 0.1161629000, 0.1371263000, 0.1855845000, 0.2944390000, 0.5430930000, 1.1129404000", \ - "0.1099777000, 0.1193713000, 0.1410197000, 0.1893097000, 0.2988838000, 0.5473325000, 1.1171233000", \ - "0.1208019000, 0.1304019000, 0.1520832000, 0.2004276000, 0.3101172000, 0.5590068000, 1.1285131000", \ - "0.1486841000, 0.1577564000, 0.1790647000, 0.2286621000, 0.3386517000, 0.5878696000, 1.1583488000", \ - "0.2172577000, 0.2267568000, 0.2488603000, 0.2966757000, 0.4039868000, 0.6528379000, 1.2226287000", \ - "0.3394711000, 0.3530441000, 0.3842344000, 0.4479413000, 0.5634949000, 0.8090024000, 1.3756781000", \ - "0.5370351000, 0.5578426000, 0.6056571000, 0.7030212000, 0.8801938000, 1.1817328000, 1.7453932000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0326616000, 0.0383205000, 0.0515571000, 0.0818822000, 0.1511673000, 0.3099868000, 0.6763636000", \ - "0.0326126000, 0.0383743000, 0.0513303000, 0.0815185000, 0.1508412000, 0.3098886000, 0.6806099000", \ - "0.0328179000, 0.0384885000, 0.0515217000, 0.0815116000, 0.1510790000, 0.3098129000, 0.6782119000", \ - "0.0381106000, 0.0432535000, 0.0549608000, 0.0832585000, 0.1507647000, 0.3107200000, 0.6761381000", \ - "0.0546503000, 0.0599243000, 0.0721270000, 0.0997064000, 0.1606087000, 0.3138046000, 0.6799097000", \ - "0.0919189000, 0.0988141000, 0.1131111000, 0.1437758000, 0.2058668000, 0.3450272000, 0.6865402000", \ - "0.1663927000, 0.1756313000, 0.1960308000, 0.2349575000, 0.3101948000, 0.4550482000, 0.7697284000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.1022379000, 0.1142443000, 0.1422385000, 0.2071532000, 0.3547780000, 0.6932059000, 1.4740273000", \ - "0.1019215000, 0.1141872000, 0.1424675000, 0.2068104000, 0.3546285000, 0.6930954000, 1.4686674000", \ - "0.1021252000, 0.1141161000, 0.1425092000, 0.2066989000, 0.3544212000, 0.6930997000, 1.4711981000", \ - "0.1023176000, 0.1141406000, 0.1423999000, 0.2066785000, 0.3542865000, 0.6939930000, 1.4738014000", \ - "0.1224196000, 0.1323710000, 0.1556149000, 0.2124037000, 0.3544664000, 0.6934219000, 1.4701724000", \ - "0.1816384000, 0.1942706000, 0.2211619000, 0.2733376000, 0.3927119000, 0.6991725000, 1.4743223000", \ - "0.2826584000, 0.3020774000, 0.3416788000, 0.4177747000, 0.5514206000, 0.8092117000, 1.4934273000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0539922000, 0.0588550000, 0.0698942000, 0.0946182000, 0.1506136000, 0.2781775000, 0.5704718000", \ - "0.0580179000, 0.0628814000, 0.0739500000, 0.0986946000, 0.1547524000, 0.2824195000, 0.5747031000", \ - "0.0665400000, 0.0714891000, 0.0826511000, 0.1076085000, 0.1638035000, 0.2917109000, 0.5840201000", \ - "0.0851258000, 0.0907486000, 0.1027005000, 0.1280314000, 0.1846314000, 0.3129386000, 0.6055925000", \ - "0.1134976000, 0.1211571000, 0.1367781000, 0.1690450000, 0.2321097000, 0.3620974000, 0.6556976000", \ - "0.1418426000, 0.1526653000, 0.1773741000, 0.2254699000, 0.3133013000, 0.4673846000, 0.7684565000", \ - "0.1453870000, 0.1636950000, 0.2021218000, 0.2772385000, 0.4114553000, 0.6360686000, 1.0102731000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0520409000, 0.0574072000, 0.0696604000, 0.0972434000, 0.1606863000, 0.3047767000, 0.6366758000", \ - "0.0572742000, 0.0627011000, 0.0750648000, 0.1029038000, 0.1664501000, 0.3105329000, 0.6425848000", \ - "0.0701560000, 0.0756127000, 0.0876584000, 0.1156057000, 0.1789774000, 0.3235979000, 0.6554829000", \ - "0.1022102000, 0.1076492000, 0.1197078000, 0.1471356000, 0.2105097000, 0.3543944000, 0.6867108000", \ - "0.1612119000, 0.1699255000, 0.1879671000, 0.2219241000, 0.2859607000, 0.4297705000, 0.7585099000", \ - "0.2582424000, 0.2718490000, 0.3001799000, 0.3545285000, 0.4496785000, 0.6042452000, 0.9345804000", \ - "0.4194376000, 0.4408991000, 0.4850914000, 0.5716582000, 0.7235291000, 0.9669169000, 1.3365108000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0443735000, 0.0503800000, 0.0643606000, 0.0964300000, 0.1704520000, 0.3396464000, 0.7304567000", \ - "0.0442473000, 0.0503988000, 0.0641637000, 0.0963990000, 0.1702337000, 0.3402194000, 0.7303582000", \ - "0.0442748000, 0.0503184000, 0.0642353000, 0.0963387000, 0.1702116000, 0.3401243000, 0.7301534000", \ - "0.0511559000, 0.0560462000, 0.0683345000, 0.0982396000, 0.1702669000, 0.3397301000, 0.7307368000", \ - "0.0720465000, 0.0779729000, 0.0913632000, 0.1189390000, 0.1819811000, 0.3424619000, 0.7303109000", \ - "0.1150069000, 0.1226905000, 0.1398456000, 0.1736426000, 0.2407425000, 0.3784888000, 0.7363669000", \ - "0.1909533000, 0.2029980000, 0.2272256000, 0.2758632000, 0.3649209000, 0.5216160000, 0.8414367000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0596593000, 0.0675639000, 0.0853075000, 0.1249293000, 0.2139759000, 0.4167298000, 0.8883104000", \ - "0.0596223000, 0.0675024000, 0.0853277000, 0.1249408000, 0.2141011000, 0.4173650000, 0.8849182000", \ - "0.0596692000, 0.0675436000, 0.0853325000, 0.1249958000, 0.2140294000, 0.4173756000, 0.8882697000", \ - "0.0673690000, 0.0738401000, 0.0891733000, 0.1258064000, 0.2140365000, 0.4174804000, 0.8842309000", \ - "0.1042434000, 0.1095018000, 0.1205753000, 0.1480752000, 0.2219840000, 0.4169144000, 0.8858806000", \ - "0.1724777000, 0.1799132000, 0.1969980000, 0.2295616000, 0.2891669000, 0.4458567000, 0.8888485000", \ - "0.2829771000, 0.2958046000, 0.3219598000, 0.3739604000, 0.4648067000, 0.6165932000, 0.9699762000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0471693000, 0.0520841000, 0.0633381000, 0.0880234000, 0.1440994000, 0.2718026000, 0.5640279000", \ - "0.0507396000, 0.0556648000, 0.0669861000, 0.0916976000, 0.1478912000, 0.2756513000, 0.5690729000", \ - "0.0594727000, 0.0646008000, 0.0759812000, 0.1010056000, 0.1572936000, 0.2852665000, 0.5778095000", \ - "0.0805010000, 0.0859789000, 0.0977249000, 0.1222742000, 0.1786615000, 0.3067628000, 0.5994397000", \ - "0.1070930000, 0.1155124000, 0.1331542000, 0.1677574000, 0.2309594000, 0.3586750000, 0.6515649000", \ - "0.1306138000, 0.1439315000, 0.1697739000, 0.2218456000, 0.3138123000, 0.4743164000, 0.7691646000", \ - "0.1304209000, 0.1495540000, 0.1892496000, 0.2679296000, 0.4096700000, 0.6495547000, 1.0325858000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0418186000, 0.0473257000, 0.0595980000, 0.0870895000, 0.1489548000, 0.2912853000, 0.6154053000", \ - "0.0467372000, 0.0522307000, 0.0646025000, 0.0920062000, 0.1542324000, 0.2960422000, 0.6217277000", \ - "0.0593370000, 0.0649312000, 0.0774309000, 0.1052270000, 0.1675573000, 0.3102409000, 0.6339322000", \ - "0.0897235000, 0.0961200000, 0.1087649000, 0.1359691000, 0.1990992000, 0.3387684000, 0.6643185000", \ - "0.1401026000, 0.1502658000, 0.1706972000, 0.2079161000, 0.2727293000, 0.4139023000, 0.7361384000", \ - "0.2212233000, 0.2374104000, 0.2703432000, 0.3302567000, 0.4301733000, 0.5872413000, 0.9025166000", \ - "0.3588506000, 0.3833356000, 0.4345613000, 0.5280514000, 0.6890494000, 0.9379327000, 1.3123891000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0443908000, 0.0504016000, 0.0644042000, 0.0962822000, 0.1704503000, 0.3399166000, 0.7306125000", \ - "0.0441497000, 0.0502892000, 0.0643146000, 0.0964177000, 0.1703407000, 0.3398833000, 0.7305087000", \ - "0.0439330000, 0.0499316000, 0.0638895000, 0.0963626000, 0.1701904000, 0.3393662000, 0.7296328000", \ - "0.0541440000, 0.0589523000, 0.0705195000, 0.0991066000, 0.1703986000, 0.3406758000, 0.7300279000", \ - "0.0783603000, 0.0852123000, 0.0993954000, 0.1288069000, 0.1876179000, 0.3419801000, 0.7300804000", \ - "0.1251158000, 0.1344882000, 0.1549336000, 0.1940747000, 0.2672617000, 0.3982289000, 0.7412851000", \ - "0.2047432000, 0.2196771000, 0.2508080000, 0.3071115000, 0.4093288000, 0.5815924000, 0.8887929000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011503900, 0.0026467800, 0.0060896500, 0.0140109000, 0.0322360000, 0.0741677000"); - values("0.0492216000, 0.0570390000, 0.0745591000, 0.1136751000, 0.2009389000, 0.3992948000, 0.8561933000", \ - "0.0491486000, 0.0570114000, 0.0745876000, 0.1136743000, 0.2009192000, 0.3995038000, 0.8582060000", \ - "0.0496166000, 0.0570164000, 0.0745915000, 0.1137203000, 0.2009239000, 0.3993680000, 0.8566171000", \ - "0.0618933000, 0.0673498000, 0.0809096000, 0.1153434000, 0.2009498000, 0.3994796000, 0.8583603000", \ - "0.1024475000, 0.1073478000, 0.1182149000, 0.1423602000, 0.2112774000, 0.3994680000, 0.8592612000", \ - "0.1700617000, 0.1774228000, 0.1930376000, 0.2256110000, 0.2842052000, 0.4323714000, 0.8593415000", \ - "0.2785389000, 0.2909148000, 0.3169569000, 0.3678088000, 0.4579494000, 0.6122696000, 0.9411655000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o211ai_2") { - leakage_power () { - value : 0.0001345000; - when : "!A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0099902000; - when : "!A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0011590000; - when : "!A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0001281000; - when : "!A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0006140000; - when : "!A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0010767000; - when : "!A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0107590000; - when : "!A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0007845000; - when : "!A1&A2&B1&!C1"; - } - leakage_power () { - value : 0.0006140000; - when : "A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0010767000; - when : "A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0094929000; - when : "A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0007834000; - when : "A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0006140000; - when : "A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0099988000; - when : "A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0074242000; - when : "A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0007829000; - when : "A1&A2&B1&!C1"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__o211ai"; - cell_leakage_power : 0.0034645460; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0043840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041590000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079226000, 0.0079212000, 0.0079182000, 0.0079202000, 0.0079247000, 0.0079353000, 0.0079596000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007898200, -0.007899900, -0.007903800, -0.007905700, -0.007909900, -0.007919800, -0.007942400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046090000; - } - pin ("A2") { - capacitance : 0.0043690000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040510000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079478000, 0.0079448000, 0.0079380000, 0.0079389000, 0.0079411000, 0.0079462000, 0.0079578000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007941700, -0.007934100, -0.007916600, -0.007912000, -0.007901500, -0.007877100, -0.007821000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046870000; - } - pin ("B1") { - capacitance : 0.0043810000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042440000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0083323000, 0.0083109000, 0.0082616000, 0.0082814000, 0.0083270000, 0.0084320000, 0.0086741000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008066000, -0.008055000, -0.008029700, -0.008024800, -0.008013400, -0.007987100, -0.007926500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045180000; - } - pin ("C1") { - capacitance : 0.0043510000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042530000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092862000, 0.0092898000, 0.0092981000, 0.0092987000, 0.0093001000, 0.0093032000, 0.0093106000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006405000, -0.006401700, -0.006394000, -0.006373800, -0.006327400, -0.006220500, -0.005973900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044480000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2) | (!B1) | (!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0124568000, 0.0112152000, 0.0080976000, 0.0001313000, -0.020130400, -0.071711000, -0.202882700", \ - "0.0121981000, 0.0109606000, 0.0078617000, -8.09000e-05, -0.020336300, -0.071892400, -0.203039000", \ - "0.0118575000, 0.0106503000, 0.0075600000, -0.000336900, -0.020563200, -0.072100100, -0.203186600", \ - "0.0114022000, 0.0102014000, 0.0071487000, -0.000681900, -0.020784200, -0.072247000, -0.203260700", \ - "0.0111225000, 0.0099230000, 0.0068720000, -0.000936800, -0.021010800, -0.072378600, -0.203277700", \ - "0.0113066000, 0.0100694000, 0.0069134000, -0.001055600, -0.021321000, -0.072668400, -0.203565000", \ - "0.0124916000, 0.0112016000, 0.0079643000, -0.000128900, -0.020610600, -0.072534600, -0.203447100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0254998000, 0.0267398000, 0.0299126000, 0.0379728000, 0.0583049000, 0.1095301000, 0.2392151000", \ - "0.0252721000, 0.0265069000, 0.0297181000, 0.0377683000, 0.0581200000, 0.1093878000, 0.2390376000", \ - "0.0250246000, 0.0262810000, 0.0294817000, 0.0376153000, 0.0579924000, 0.1093602000, 0.2389875000", \ - "0.0247427000, 0.0259821000, 0.0292367000, 0.0373510000, 0.0578018000, 0.1091281000, 0.2387596000", \ - "0.0245642000, 0.0258147000, 0.0289807000, 0.0370900000, 0.0574951000, 0.1088805000, 0.2386789000", \ - "0.0244758000, 0.0257098000, 0.0289102000, 0.0370917000, 0.0576855000, 0.1088715000, 0.2384869000", \ - "0.0249944000, 0.0262142000, 0.0292347000, 0.0369332000, 0.0578173000, 0.1094311000, 0.2393284000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0084517000, 0.0072928000, 0.0042152000, -0.003707600, -0.024023300, -0.075687400, -0.206875300", \ - "0.0081743000, 0.0070624000, 0.0040674000, -0.003739000, -0.023936200, -0.075554900, -0.206753300", \ - "0.0076765000, 0.0065625000, 0.0036672000, -0.003993500, -0.024021800, -0.075522100, -0.206657800", \ - "0.0070702000, 0.0059386000, 0.0030339000, -0.004538400, -0.024358200, -0.075667000, -0.206669100", \ - "0.0069139000, 0.0056203000, 0.0024999000, -0.005132800, -0.024897900, -0.075957000, -0.206773300", \ - "0.0068843000, 0.0056243000, 0.0025418000, -0.005314000, -0.025547800, -0.076587100, -0.207214600", \ - "0.0083755000, 0.0070480000, 0.0037179000, -0.004516600, -0.025013800, -0.076767000, -0.207509000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0209710000, 0.0221817000, 0.0254176000, 0.0334772000, 0.0537985000, 0.1050354000, 0.2349062000", \ - "0.0206660000, 0.0218339000, 0.0250864000, 0.0332265000, 0.0536281000, 0.1049622000, 0.2345506000", \ - "0.0201985000, 0.0215168000, 0.0247110000, 0.0328803000, 0.0533661000, 0.1047251000, 0.2342487000", \ - "0.0197906000, 0.0210501000, 0.0243342000, 0.0324192000, 0.0530772000, 0.1045513000, 0.2343398000", \ - "0.0197081000, 0.0209628000, 0.0241409000, 0.0322912000, 0.0527659000, 0.1041724000, 0.2341619000", \ - "0.0205760000, 0.0218104000, 0.0249298000, 0.0329224000, 0.0529741000, 0.1039153000, 0.2336681000", \ - "0.0245436000, 0.0253348000, 0.0280675000, 0.0356142000, 0.0555278000, 0.1075637000, 0.2360920000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0125468000, 0.0113565000, 0.0082760000, 0.0003970000, -0.019815100, -0.071367500, -0.202390000", \ - "0.0122856000, 0.0110920000, 0.0080523000, 0.0002215000, -0.019898500, -0.071386600, -0.202458200", \ - "0.0119000000, 0.0107087000, 0.0077020000, -7.51000e-05, -0.020113300, -0.071526800, -0.202590200", \ - "0.0114715000, 0.0103193000, 0.0072745000, -0.000491300, -0.020434300, -0.071721600, -0.202662900", \ - "0.0113063000, 0.0101007000, 0.0070150000, -0.000765400, -0.020709100, -0.071974600, -0.202687500", \ - "0.0115892000, 0.0103529000, 0.0072030000, -0.000831900, -0.021192100, -0.072408100, -0.203088800", \ - "0.0133887000, 0.0120814000, 0.0088008000, 0.0004906000, -0.020153500, -0.072177500, -0.203135100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0188283000, 0.0201040000, 0.0233433000, 0.0314464000, 0.0517710000, 0.1029391000, 0.2323981000", \ - "0.0183768000, 0.0196719000, 0.0229819000, 0.0311863000, 0.0516392000, 0.1028110000, 0.2323991000", \ - "0.0177479000, 0.0190356000, 0.0224172000, 0.0307259000, 0.0513007000, 0.1027362000, 0.2323677000", \ - "0.0172986000, 0.0185991000, 0.0218398000, 0.0300924000, 0.0507288000, 0.1021949000, 0.2321566000", \ - "0.0173041000, 0.0185736000, 0.0217548000, 0.0298824000, 0.0504323000, 0.1013869000, 0.2316000000", \ - "0.0182447000, 0.0195441000, 0.0226186000, 0.0304165000, 0.0508328000, 0.1022720000, 0.2307267000", \ - "0.0227117000, 0.0223852000, 0.0259750000, 0.0327902000, 0.0521321000, 0.1047407000, 0.2310041000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0105616000, 0.0093761000, 0.0063489000, -0.001474500, -0.021633400, -0.073146500, -0.204190700", \ - "0.0102603000, 0.0091010000, 0.0060836000, -0.001680500, -0.021756700, -0.073229600, -0.204263800", \ - "0.0099191000, 0.0087418000, 0.0057588000, -0.002000100, -0.021960500, -0.073317000, -0.204312600", \ - "0.0095151000, 0.0083524000, 0.0053245000, -0.002450900, -0.022308400, -0.073549400, -0.204394900", \ - "0.0093823000, 0.0081551000, 0.0050769000, -0.002717800, -0.022626600, -0.073895900, -0.204630600", \ - "0.0102520000, 0.0089470000, 0.0059723000, -0.002191400, -0.022645200, -0.074102300, -0.204907100", \ - "0.0131773000, 0.0117970000, 0.0083652000, -0.000105500, -0.021094800, -0.073578700, -0.204920100"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012690670, 0.0032210600, 0.0081754800, 0.0207504600, 0.0526674300, 0.1336770000"); - values("0.0107393000, 0.0121651000, 0.0156592000, 0.0240026000, 0.0444524000, 0.0956213000, 0.2250277000", \ - "0.0103133000, 0.0117287000, 0.0152542000, 0.0236638000, 0.0443164000, 0.0956445000, 0.2251409000", \ - "0.0099352000, 0.0113180000, 0.0147638000, 0.0230913000, 0.0439533000, 0.0954490000, 0.2251323000", \ - "0.0098194000, 0.0110864000, 0.0142961000, 0.0227331000, 0.0436713000, 0.0951296000, 0.2247604000", \ - "0.0099751000, 0.0111773000, 0.0143276000, 0.0223653000, 0.0427130000, 0.0943023000, 0.2240712000", \ - "0.0107565000, 0.0120792000, 0.0153626000, 0.0231316000, 0.0430559000, 0.0942605000, 0.2234984000", \ - "0.0148534000, 0.0163623000, 0.0187316000, 0.0257327000, 0.0450077000, 0.0964586000, 0.2257735000"); - } - } - max_capacitance : 0.1336770000; - max_transition : 1.4955910000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0591538000, 0.0625918000, 0.0715450000, 0.0929008000, 0.1453798000, 0.2765507000, 0.6064041000", \ - "0.0630490000, 0.0665328000, 0.0755514000, 0.0968595000, 0.1493932000, 0.2804381000, 0.6104964000", \ - "0.0706901000, 0.0742452000, 0.0832514000, 0.1046430000, 0.1575058000, 0.2886864000, 0.6184204000", \ - "0.0846570000, 0.0885706000, 0.0978862000, 0.1199596000, 0.1727481000, 0.3041186000, 0.6342395000", \ - "0.1066370000, 0.1112923000, 0.1223206000, 0.1472528000, 0.2044683000, 0.3371565000, 0.6682506000", \ - "0.1308115000, 0.1375080000, 0.1525440000, 0.1871562000, 0.2595635000, 0.4083189000, 0.7445340000", \ - "0.1295184000, 0.1398686000, 0.1651760000, 0.2194601000, 0.3264332000, 0.5233244000, 0.9088610000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.1122025000, 0.1184912000, 0.1339225000, 0.1729845000, 0.2696360000, 0.5104730000, 1.1189119000", \ - "0.1171609000, 0.1232150000, 0.1395558000, 0.1779018000, 0.2749022000, 0.5160751000, 1.1244704000", \ - "0.1301940000, 0.1364910000, 0.1520077000, 0.1914921000, 0.2881788000, 0.5291595000, 1.1376733000", \ - "0.1573818000, 0.1636364000, 0.1797378000, 0.2187534000, 0.3157214000, 0.5571493000, 1.1651384000", \ - "0.2151456000, 0.2220716000, 0.2392275000, 0.2784562000, 0.3753458000, 0.6169900000, 1.2258197000", \ - "0.3167061000, 0.3255946000, 0.3472022000, 0.3985631000, 0.5102541000, 0.7539574000, 1.3634464000", \ - "0.4838703000, 0.4981316000, 0.5309531000, 0.6036818000, 0.7566682000, 1.0578118000, 1.6800592000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0411738000, 0.0454361000, 0.0561279000, 0.0835308000, 0.1530697000, 0.3300381000, 0.7803145000", \ - "0.0411620000, 0.0454175000, 0.0560597000, 0.0835710000, 0.1529154000, 0.3303518000, 0.7800532000", \ - "0.0410526000, 0.0453027000, 0.0560004000, 0.0834465000, 0.1530495000, 0.3301973000, 0.7789271000", \ - "0.0442762000, 0.0484176000, 0.0583659000, 0.0846570000, 0.1532165000, 0.3300113000, 0.7793041000", \ - "0.0558505000, 0.0599457000, 0.0704833000, 0.0963465000, 0.1610417000, 0.3320417000, 0.7798452000", \ - "0.0871903000, 0.0919517000, 0.1034515000, 0.1305868000, 0.1961117000, 0.3558180000, 0.7847196000", \ - "0.1535152000, 0.1599546000, 0.1754578000, 0.2105070000, 0.2841689000, 0.4453579000, 0.8477399000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0875822000, 0.0957427000, 0.1164546000, 0.1684883000, 0.2999753000, 0.6296774000, 1.4697485000", \ - "0.0877486000, 0.0958544000, 0.1162066000, 0.1684010000, 0.2990885000, 0.6308344000, 1.4760305000", \ - "0.0875547000, 0.0958422000, 0.1163512000, 0.1683879000, 0.3000238000, 0.6303387000, 1.4728749000", \ - "0.0875306000, 0.0955739000, 0.1162233000, 0.1680828000, 0.2997172000, 0.6302996000, 1.4712204000", \ - "0.0996315000, 0.1066220000, 0.1249811000, 0.1734739000, 0.2997254000, 0.6300362000, 1.4708988000", \ - "0.1383592000, 0.1464534000, 0.1665283000, 0.2146531000, 0.3285833000, 0.6368190000, 1.4745585000", \ - "0.2208197000, 0.2310070000, 0.2572026000, 0.3138015000, 0.4404961000, 0.7216794000, 1.4876540000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0460402000, 0.0494933000, 0.0578243000, 0.0783485000, 0.1293154000, 0.2586932000, 0.5865309000", \ - "0.0500556000, 0.0536291000, 0.0619673000, 0.0827697000, 0.1343395000, 0.2635941000, 0.5916895000", \ - "0.0574397000, 0.0609351000, 0.0697559000, 0.0906974000, 0.1425085000, 0.2728441000, 0.6013300000", \ - "0.0695208000, 0.0734451000, 0.0832147000, 0.1055834000, 0.1582748000, 0.2883483000, 0.6201849000", \ - "0.0852926000, 0.0906049000, 0.1027161000, 0.1303432000, 0.1892511000, 0.3219955000, 0.6508290000", \ - "0.0938226000, 0.1023119000, 0.1217657000, 0.1629620000, 0.2390515000, 0.3932332000, 0.7286826000", \ - "0.0649761000, 0.0792861000, 0.1112594000, 0.1766756000, 0.2965187000, 0.5058910000, 0.8943322000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0936761000, 0.0996471000, 0.1160509000, 0.1546725000, 0.2514154000, 0.4925772000, 1.1006109000", \ - "0.0970545000, 0.1035624000, 0.1195437000, 0.1586762000, 0.2550164000, 0.4962870000, 1.1047864000", \ - "0.1070859000, 0.1137992000, 0.1300806000, 0.1690263000, 0.2661723000, 0.5075787000, 1.1165260000", \ - "0.1343003000, 0.1407124000, 0.1572783000, 0.1955099000, 0.2924426000, 0.5344571000, 1.1430904000", \ - "0.1991368000, 0.2064051000, 0.2226604000, 0.2623280000, 0.3585317000, 0.6001780000, 1.2094102000", \ - "0.3071352000, 0.3178513000, 0.3429629000, 0.3988175000, 0.5122773000, 0.7490762000, 1.3556817000", \ - "0.4822864000, 0.4966600000, 0.5325377000, 0.6161736000, 0.7876279000, 1.1036864000, 1.7105555000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0270021000, 0.0313343000, 0.0422616000, 0.0698610000, 0.1392352000, 0.3152991000, 0.7629499000", \ - "0.0270071000, 0.0313417000, 0.0423555000, 0.0697382000, 0.1395873000, 0.3154675000, 0.7628434000", \ - "0.0273106000, 0.0315515000, 0.0422636000, 0.0695314000, 0.1392054000, 0.3165901000, 0.7630887000", \ - "0.0322298000, 0.0362792000, 0.0462879000, 0.0718425000, 0.1399423000, 0.3157395000, 0.7703313000", \ - "0.0460011000, 0.0501304000, 0.0601473000, 0.0858804000, 0.1498085000, 0.3184263000, 0.7636648000", \ - "0.0792882000, 0.0842213000, 0.0962860000, 0.1240631000, 0.1870798000, 0.3458546000, 0.7704195000", \ - "0.1488909000, 0.1552691000, 0.1711769000, 0.2062507000, 0.2803063000, 0.4397206000, 0.8365448000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0877192000, 0.0955633000, 0.1162350000, 0.1680558000, 0.2990737000, 0.6303025000, 1.4714414000", \ - "0.0876719000, 0.0954840000, 0.1164987000, 0.1683389000, 0.2993639000, 0.6302245000, 1.4743347000", \ - "0.0874388000, 0.0955987000, 0.1160864000, 0.1680343000, 0.2990935000, 0.6298723000, 1.4759984000", \ - "0.0878007000, 0.0956394000, 0.1158765000, 0.1680942000, 0.2992991000, 0.6304020000, 1.4706856000", \ - "0.1105781000, 0.1171638000, 0.1336713000, 0.1775469000, 0.3003171000, 0.6302619000, 1.4749908000", \ - "0.1617906000, 0.1716875000, 0.1942957000, 0.2433739000, 0.3462310000, 0.6399993000, 1.4731305000", \ - "0.2479590000, 0.2624911000, 0.2963342000, 0.3652099000, 0.5054389000, 0.7717100000, 1.4955905000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0536654000, 0.0573716000, 0.0661741000, 0.0877486000, 0.1406000000, 0.2717330000, 0.6017866000", \ - "0.0577067000, 0.0613083000, 0.0703794000, 0.0921534000, 0.1449603000, 0.2762416000, 0.6060900000", \ - "0.0665030000, 0.0701378000, 0.0791654000, 0.1011110000, 0.1541440000, 0.2856625000, 0.6156908000", \ - "0.0850698000, 0.0894135000, 0.0990757000, 0.1214982000, 0.1750023000, 0.3067931000, 0.6371227000", \ - "0.1137439000, 0.1194440000, 0.1318780000, 0.1614372000, 0.2221914000, 0.3560114000, 0.6875207000", \ - "0.1423473000, 0.1511182000, 0.1710693000, 0.2140758000, 0.2998132000, 0.4607805000, 0.8004238000", \ - "0.1510303000, 0.1641184000, 0.1946918000, 0.2609436000, 0.3930529000, 0.6298935000, 1.0445855000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0389677000, 0.0419800000, 0.0493312000, 0.0676168000, 0.1126327000, 0.2254563000, 0.5120920000", \ - "0.0439721000, 0.0470047000, 0.0545771000, 0.0729837000, 0.1182037000, 0.2311682000, 0.5171468000", \ - "0.0565800000, 0.0595963000, 0.0670722000, 0.0855212000, 0.1312622000, 0.2443457000, 0.5298421000", \ - "0.0861812000, 0.0898858000, 0.0984803000, 0.1170874000, 0.1627051000, 0.2761034000, 0.5606793000", \ - "0.1347480000, 0.1406708000, 0.1542480000, 0.1826526000, 0.2368267000, 0.3488080000, 0.6345376000", \ - "0.2119424000, 0.2206874000, 0.2422785000, 0.2880676000, 0.3741016000, 0.5191522000, 0.8036253000", \ - "0.3396441000, 0.3541029000, 0.3869293000, 0.4571975000, 0.5933633000, 0.8279135000, 1.2009478000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0411657000, 0.0453573000, 0.0561570000, 0.0833859000, 0.1532174000, 0.3301862000, 0.7787471000", \ - "0.0411368000, 0.0454070000, 0.0561023000, 0.0835236000, 0.1531397000, 0.3298512000, 0.7789881000", \ - "0.0408975000, 0.0452068000, 0.0559965000, 0.0834421000, 0.1531490000, 0.3300605000, 0.7794773000", \ - "0.0472593000, 0.0510678000, 0.0601887000, 0.0853405000, 0.1529522000, 0.3300267000, 0.7792840000", \ - "0.0661748000, 0.0706829000, 0.0817616000, 0.1066041000, 0.1658757000, 0.3323828000, 0.7793771000", \ - "0.1051164000, 0.1110556000, 0.1263148000, 0.1569836000, 0.2221228000, 0.3701302000, 0.7857308000", \ - "0.1766510000, 0.1854474000, 0.2057480000, 0.2502741000, 0.3396205000, 0.5100708000, 0.8800320000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0408161000, 0.0452156000, 0.0564076000, 0.0844003000, 0.1519250000, 0.3151692000, 0.7283172000", \ - "0.0407479000, 0.0452353000, 0.0564289000, 0.0844416000, 0.1518997000, 0.3151995000, 0.7278348000", \ - "0.0413347000, 0.0454557000, 0.0563867000, 0.0844373000, 0.1519485000, 0.3151526000, 0.7272660000", \ - "0.0535179000, 0.0565490000, 0.0650223000, 0.0886153000, 0.1519820000, 0.3151960000, 0.7271989000", \ - "0.0916700000, 0.0949782000, 0.1031435000, 0.1212400000, 0.1714283000, 0.3168170000, 0.7271547000", \ - "0.1570412000, 0.1620950000, 0.1741951000, 0.2000827000, 0.2527998000, 0.3676325000, 0.7319070000", \ - "0.2596247000, 0.2679000000, 0.2867601000, 0.3280945000, 0.4096979000, 0.5556297000, 0.8429479000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0419311000, 0.0455392000, 0.0546331000, 0.0763683000, 0.1290586000, 0.2601199000, 0.5903144000", \ - "0.0452768000, 0.0490532000, 0.0581061000, 0.0799848000, 0.1329985000, 0.2640569000, 0.5944085000", \ - "0.0543521000, 0.0580085000, 0.0670443000, 0.0888486000, 0.1421146000, 0.2734538000, 0.6037356000", \ - "0.0751731000, 0.0794189000, 0.0891432000, 0.1106530000, 0.1636145000, 0.2953711000, 0.6268466000", \ - "0.1011206000, 0.1073678000, 0.1217633000, 0.1533564000, 0.2152925000, 0.3465832000, 0.6768326000", \ - "0.1246171000, 0.1339292000, 0.1550948000, 0.2015864000, 0.2956800000, 0.4655846000, 0.7990978000", \ - "0.1266108000, 0.1402001000, 0.1725323000, 0.2432022000, 0.3834560000, 0.6372424000, 1.0736434000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0279085000, 0.0311276000, 0.0390137000, 0.0577338000, 0.1036695000, 0.2189183000, 0.5109412000", \ - "0.0329736000, 0.0361740000, 0.0440280000, 0.0629087000, 0.1091458000, 0.2245482000, 0.5159757000", \ - "0.0463027000, 0.0493931000, 0.0569713000, 0.0755208000, 0.1224802000, 0.2383956000, 0.5283519000", \ - "0.0701320000, 0.0749955000, 0.0860152000, 0.1071573000, 0.1529687000, 0.2690823000, 0.5599808000", \ - "0.1073431000, 0.1152880000, 0.1326644000, 0.1668133000, 0.2266979000, 0.3423854000, 0.6322572000", \ - "0.1678496000, 0.1793123000, 0.2065580000, 0.2610032000, 0.3568876000, 0.5132224000, 0.8027497000", \ - "0.2726939000, 0.2902647000, 0.3304889000, 0.4133762000, 0.5647837000, 0.8133668000, 1.1957966000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0413478000, 0.0455470000, 0.0561966000, 0.0835545000, 0.1530880000, 0.3299019000, 0.7792126000", \ - "0.0411474000, 0.0454063000, 0.0560901000, 0.0835840000, 0.1532437000, 0.3299900000, 0.7789762000", \ - "0.0403586000, 0.0444194000, 0.0552781000, 0.0832758000, 0.1530820000, 0.3304670000, 0.7792235000", \ - "0.0495403000, 0.0539095000, 0.0629579000, 0.0867686000, 0.1529508000, 0.3301925000, 0.7798842000", \ - "0.0703106000, 0.0755811000, 0.0884730000, 0.1157411000, 0.1726701000, 0.3328950000, 0.7799415000", \ - "0.1099673000, 0.1177756000, 0.1368669000, 0.1733180000, 0.2449667000, 0.3903730000, 0.7864452000", \ - "0.1807875000, 0.1927398000, 0.2188732000, 0.2746733000, 0.3791000000, 0.5683107000, 0.9223469000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012690700, 0.0032210600, 0.0081754800, 0.0207505000, 0.0526674000, 0.1336770000"); - values("0.0306503000, 0.0352029000, 0.0468700000, 0.0754916000, 0.1444859000, 0.3103605000, 0.7271777000", \ - "0.0306699000, 0.0349929000, 0.0467837000, 0.0755686000, 0.1444709000, 0.3102305000, 0.7276290000", \ - "0.0337603000, 0.0374657000, 0.0475487000, 0.0754821000, 0.1444446000, 0.3101813000, 0.7280885000", \ - "0.0535771000, 0.0552994000, 0.0613247000, 0.0825375000, 0.1450929000, 0.3102758000, 0.7271099000", \ - "0.0928494000, 0.0956132000, 0.1027922000, 0.1207958000, 0.1670939000, 0.3122316000, 0.7274403000", \ - "0.1584977000, 0.1627837000, 0.1737765000, 0.1994755000, 0.2519140000, 0.3652917000, 0.7311299000", \ - "0.2635086000, 0.2695938000, 0.2867552000, 0.3269043000, 0.4089890000, 0.5555826000, 0.8503025000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o211ai_4") { - leakage_power () { - value : 0.0003630000; - when : "!A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0001428000; - when : "!A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0033077000; - when : "!A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0003565000; - when : "!A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0017760000; - when : "!A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0002065000; - when : "!A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0054324000; - when : "!A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0022967000; - when : "!A1&A2&B1&!C1"; - } - leakage_power () { - value : 0.0017760000; - when : "A1&!A2&!B1&C1"; - } - leakage_power () { - value : 0.0002065000; - when : "A1&!A2&!B1&!C1"; - } - leakage_power () { - value : 0.0059303000; - when : "A1&!A2&B1&C1"; - } - leakage_power () { - value : 0.0023150000; - when : "A1&!A2&B1&!C1"; - } - leakage_power () { - value : 0.0384252000; - when : "A1&A2&!B1&C1"; - } - leakage_power () { - value : 0.0004460000; - when : "A1&A2&!B1&!C1"; - } - leakage_power () { - value : 0.0039680000; - when : "A1&A2&B1&C1"; - } - leakage_power () { - value : 0.0023148000; - when : "A1&A2&B1&!C1"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__o211ai"; - cell_leakage_power : 0.0043289680; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0090560000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0085870000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0158396000, 0.0158298000, 0.0158073000, 0.0158052000, 0.0158005000, 0.0157897000, 0.0157645000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015753900, -0.015745700, -0.015726800, -0.015730100, -0.015737700, -0.015755400, -0.015796100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0095250000; - } - pin ("A2") { - capacitance : 0.0085000000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0151545000, 0.0151449000, 0.0151227000, 0.0151228000, 0.0151231000, 0.0151238000, 0.0151252000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015101100, -0.015084200, -0.015045400, -0.015044600, -0.015042700, -0.015038400, -0.015028600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091060000; - } - pin ("B1") { - capacitance : 0.0090450000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0086680000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0170414000, 0.0169638000, 0.0167847000, 0.0168208000, 0.0169041000, 0.0170960000, 0.0175383000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.016275100, -0.016262500, -0.016233400, -0.016237100, -0.016245500, -0.016264900, -0.016309700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0094230000; - } - pin ("C1") { - capacitance : 0.0084390000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081700000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0173801000, 0.0173819000, 0.0173861000, 0.0173877000, 0.0173915000, 0.0174000000, 0.0174200000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013280600, -0.013278500, -0.013273600, -0.013250300, -0.013196400, -0.013072300, -0.012786300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087080000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2) | (!B1) | (!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0240838000, 0.0226844000, 0.0188426000, 0.0082419000, -0.020943900, -0.101377800, -0.322897100", \ - "0.0235919000, 0.0222194000, 0.0183588000, 0.0077934000, -0.021365600, -0.101824800, -0.323257400", \ - "0.0229151000, 0.0215451000, 0.0177580000, 0.0072550000, -0.021834000, -0.102198000, -0.323628300", \ - "0.0221517000, 0.0207607000, 0.0170134000, 0.0065777000, -0.022346900, -0.102590800, -0.323909200", \ - "0.0215916000, 0.0202248000, 0.0164810000, 0.0061138000, -0.022712200, -0.102768300, -0.324002300", \ - "0.0218767000, 0.0204468000, 0.0166344000, 0.0059554000, -0.023527000, -0.103361600, -0.324402900", \ - "0.0237297000, 0.0221625000, 0.0182107000, 0.0073970000, -0.022108400, -0.102822900, -0.324367500"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0510168000, 0.0524593000, 0.0562353000, 0.0671046000, 0.0964604000, 0.1765977000, 0.3957580000", \ - "0.0504190000, 0.0518554000, 0.0556689000, 0.0665335000, 0.0960400000, 0.1762100000, 0.3956141000", \ - "0.0495818000, 0.0511162000, 0.0551317000, 0.0659177000, 0.0954509000, 0.1757528000, 0.3948161000", \ - "0.0489888000, 0.0504602000, 0.0544150000, 0.0653327000, 0.0950217000, 0.1754568000, 0.3946718000", \ - "0.0484223000, 0.0498504000, 0.0537940000, 0.0646328000, 0.0943181000, 0.1747923000, 0.3943403000", \ - "0.0484200000, 0.0498654000, 0.0538778000, 0.0647109000, 0.0943654000, 0.1748612000, 0.3940103000", \ - "0.0488274000, 0.0502238000, 0.0539450000, 0.0646605000, 0.0946985000, 0.1753306000, 0.3947753000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0175122000, 0.0161577000, 0.0123669000, 0.0017959000, -0.027482200, -0.108118200, -0.329810000", \ - "0.0170912000, 0.0157839000, 0.0121204000, 0.0017277000, -0.027374800, -0.107912600, -0.329548400", \ - "0.0162109000, 0.0149652000, 0.0113898000, 0.0012471000, -0.027532700, -0.107895400, -0.329404500", \ - "0.0150955000, 0.0138296000, 0.0102862000, 0.0002921000, -0.028135300, -0.108093200, -0.329404900", \ - "0.0148095000, 0.0132806000, 0.0093887000, -0.000739400, -0.029066800, -0.108658000, -0.329460100", \ - "0.0145349000, 0.0131497000, 0.0093434000, -0.001070100, -0.030139800, -0.109672600, -0.330272000", \ - "0.0165176000, 0.0150365000, 0.0110027000, 0.0001216000, -0.029385000, -0.109670100, -0.330823900"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0422243000, 0.0436605000, 0.0475829000, 0.0583764000, 0.0878478000, 0.1679816000, 0.3872399000", \ - "0.0415361000, 0.0429981000, 0.0469407000, 0.0578205000, 0.0873650000, 0.1677112000, 0.3866726000", \ - "0.0408132000, 0.0422894000, 0.0461729000, 0.0569691000, 0.0867709000, 0.1672201000, 0.3863267000", \ - "0.0400178000, 0.0414711000, 0.0455299000, 0.0562381000, 0.0859850000, 0.1668731000, 0.3861258000", \ - "0.0395582000, 0.0409951000, 0.0449544000, 0.0557622000, 0.0853409000, 0.1660719000, 0.3861659000", \ - "0.0406637000, 0.0420580000, 0.0459463000, 0.0565943000, 0.0856495000, 0.1657972000, 0.3852624000", \ - "0.0459332000, 0.0471858000, 0.0507188000, 0.0607686000, 0.0892574000, 0.1691341000, 0.3850542000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0246326000, 0.0233016000, 0.0195319000, 0.0090803000, -0.019991200, -0.100379600, -0.321794400", \ - "0.0241250000, 0.0227885000, 0.0191070000, 0.0087588000, -0.020176500, -0.100467300, -0.321835200", \ - "0.0234035000, 0.0220847000, 0.0184083000, 0.0081447000, -0.020603300, -0.100694400, -0.321939900", \ - "0.0226063000, 0.0212759000, 0.0175987000, 0.0073169000, -0.021277400, -0.101119500, -0.322157100", \ - "0.0220920000, 0.0207103000, 0.0170371000, 0.0066928000, -0.021901300, -0.101534000, -0.322392600", \ - "0.0226461000, 0.0212105000, 0.0173459000, 0.0067924000, -0.022741300, -0.102520300, -0.322965800", \ - "0.0255813000, 0.0240712000, 0.0200372000, 0.0090168000, -0.020762500, -0.102038600, -0.323259200"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0343818000, 0.0358508000, 0.0398526000, 0.0507250000, 0.0800924000, 0.1599070000, 0.3785905000", \ - "0.0334895000, 0.0349984000, 0.0390997000, 0.0501480000, 0.0798175000, 0.1597923000, 0.3787772000", \ - "0.0324348000, 0.0339535000, 0.0380706000, 0.0492351000, 0.0791885000, 0.1595797000, 0.3790105000", \ - "0.0315233000, 0.0329735000, 0.0369201000, 0.0481397000, 0.0780095000, 0.1586801000, 0.3782294000", \ - "0.0311915000, 0.0326072000, 0.0364960000, 0.0472457000, 0.0770292000, 0.1575077000, 0.3771349000", \ - "0.0328205000, 0.0341793000, 0.0379508000, 0.0484528000, 0.0776810000, 0.1568930000, 0.3762500000", \ - "0.0373984000, 0.0394133000, 0.0438239000, 0.0518766000, 0.0801466000, 0.1602825000, 0.3792036000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0216793000, 0.0203516000, 0.0166480000, 0.0062356000, -0.022796700, -0.103136700, -0.324465600", \ - "0.0211308000, 0.0198262000, 0.0161894000, 0.0058585000, -0.022974800, -0.103227600, -0.324601600", \ - "0.0205229000, 0.0191263000, 0.0154613000, 0.0052845000, -0.023394400, -0.103448000, -0.324664500", \ - "0.0196981000, 0.0183588000, 0.0146601000, 0.0045047000, -0.024075000, -0.103880200, -0.324912000", \ - "0.0193773000, 0.0179940000, 0.0142054000, 0.0039530000, -0.024881300, -0.104546000, -0.325229100", \ - "0.0212361000, 0.0197876000, 0.0158178000, 0.0050082000, -0.024616200, -0.104853200, -0.325800300", \ - "0.0251822000, 0.0236294000, 0.0194656000, 0.0080719000, -0.021995500, -0.103438900, -0.325092200"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013738360, 0.0037748500, 0.0103720500, 0.0284989900, 0.0783058700, 0.2151589000"); - values("0.0206364000, 0.0222702000, 0.0266420000, 0.0379126000, 0.0675146000, 0.1473698000, 0.3661292000", \ - "0.0197136000, 0.0213684000, 0.0257320000, 0.0371789000, 0.0672506000, 0.1475164000, 0.3662003000", \ - "0.0188696000, 0.0204632000, 0.0247966000, 0.0362800000, 0.0663743000, 0.1471708000, 0.3661926000", \ - "0.0184389000, 0.0199553000, 0.0240279000, 0.0353249000, 0.0651631000, 0.1460992000, 0.3657744000", \ - "0.0187313000, 0.0201066000, 0.0239606000, 0.0345409000, 0.0643810000, 0.1443688000, 0.3646020000", \ - "0.0209790000, 0.0223109000, 0.0260136000, 0.0361475000, 0.0649602000, 0.1452851000, 0.3624555000", \ - "0.0262052000, 0.0273900000, 0.0315049000, 0.0401652000, 0.0683411000, 0.1483196000, 0.3641188000"); - } - } - max_capacitance : 0.2151590000; - max_transition : 1.4928460000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0545961000, 0.0566207000, 0.0620174000, 0.0762722000, 0.1138111000, 0.2135186000, 0.4843529000", \ - "0.0587434000, 0.0608442000, 0.0661999000, 0.0805231000, 0.1179502000, 0.2175840000, 0.4885105000", \ - "0.0669352000, 0.0690040000, 0.0744390000, 0.0888835000, 0.1262841000, 0.2262276000, 0.4971147000", \ - "0.0813899000, 0.0836363000, 0.0895707000, 0.1045101000, 0.1422106000, 0.2423024000, 0.5129423000", \ - "0.1021179000, 0.1048667000, 0.1120774000, 0.1297666000, 0.1727038000, 0.2759414000, 0.5473808000", \ - "0.1205969000, 0.1242913000, 0.1356097000, 0.1612092000, 0.2186398000, 0.3424244000, 0.6247804000", \ - "0.1064234000, 0.1119858000, 0.1295568000, 0.1707177000, 0.2607450000, 0.4361727000, 0.7779457000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.1326331000, 0.1370274000, 0.1491096000, 0.1803668000, 0.2660390000, 0.4958744000, 1.1221988000", \ - "0.1372679000, 0.1416299000, 0.1538742000, 0.1853879000, 0.2708508000, 0.5008884000, 1.1258757000", \ - "0.1489765000, 0.1530669000, 0.1659111000, 0.1981291000, 0.2840558000, 0.5141035000, 1.1396774000", \ - "0.1758217000, 0.1800951000, 0.1919317000, 0.2243437000, 0.3103004000, 0.5410046000, 1.1665486000", \ - "0.2346069000, 0.2392960000, 0.2514795000, 0.2833578000, 0.3689880000, 0.6000778000, 1.2260908000", \ - "0.3428211000, 0.3488558000, 0.3649177000, 0.4037342000, 0.5018061000, 0.7351169000, 1.3625984000", \ - "0.5295929000, 0.5385034000, 0.5618518000, 0.6178729000, 0.7467814000, 1.0336241000, 1.6753937000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0395774000, 0.0418727000, 0.0482390000, 0.0658909000, 0.1154009000, 0.2526623000, 0.6314368000", \ - "0.0395322000, 0.0417970000, 0.0482266000, 0.0659491000, 0.1153685000, 0.2526019000, 0.6310296000", \ - "0.0393637000, 0.0416984000, 0.0480929000, 0.0658915000, 0.1152021000, 0.2526704000, 0.6311550000", \ - "0.0431856000, 0.0453125000, 0.0513154000, 0.0679250000, 0.1159913000, 0.2523955000, 0.6311258000", \ - "0.0554671000, 0.0577678000, 0.0639228000, 0.0809572000, 0.1271801000, 0.2562876000, 0.6310791000", \ - "0.0878253000, 0.0908120000, 0.0976903000, 0.1164348000, 0.1640172000, 0.2894820000, 0.6419295000", \ - "0.1545513000, 0.1580920000, 0.1681190000, 0.1934496000, 0.2520753000, 0.3839631000, 0.7206437000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.1065052000, 0.1120644000, 0.1273010000, 0.1701833000, 0.2857996000, 0.6046454000, 1.4793862000", \ - "0.1063543000, 0.1120409000, 0.1273124000, 0.1701351000, 0.2858816000, 0.6039136000, 1.4751601000", \ - "0.1060878000, 0.1120387000, 0.1275814000, 0.1696541000, 0.2855921000, 0.6035941000, 1.4760301000", \ - "0.1061337000, 0.1118991000, 0.1273770000, 0.1699001000, 0.2858743000, 0.6039982000, 1.4760163000", \ - "0.1152284000, 0.1204533000, 0.1351618000, 0.1745793000, 0.2865919000, 0.6043085000, 1.4764753000", \ - "0.1523029000, 0.1581690000, 0.1740351000, 0.2130105000, 0.3148120000, 0.6106157000, 1.4753883000", \ - "0.2313598000, 0.2381653000, 0.2556066000, 0.3030711000, 0.4140832000, 0.6939467000, 1.4928460000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0457458000, 0.0476212000, 0.0530154000, 0.0672387000, 0.1052225000, 0.2092501000, 0.4965252000", \ - "0.0498160000, 0.0518352000, 0.0574565000, 0.0716308000, 0.1099154000, 0.2150535000, 0.5006000000", \ - "0.0573952000, 0.0594602000, 0.0651172000, 0.0798595000, 0.1183428000, 0.2228797000, 0.5108176000", \ - "0.0686936000, 0.0712506000, 0.0776731000, 0.0937852000, 0.1333590000, 0.2384018000, 0.5250006000", \ - "0.0824217000, 0.0856530000, 0.0936869000, 0.1141620000, 0.1603699000, 0.2698766000, 0.5581494000", \ - "0.0835853000, 0.0887097000, 0.1019288000, 0.1330436000, 0.1980787000, 0.3312670000, 0.6296928000", \ - "0.0364603000, 0.0450957000, 0.0667953000, 0.1185106000, 0.2215061000, 0.4131743000, 0.7739708000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.1135202000, 0.1178214000, 0.1300675000, 0.1616791000, 0.2472987000, 0.4770818000, 1.1022314000", \ - "0.1172521000, 0.1215664000, 0.1332684000, 0.1653204000, 0.2511283000, 0.4806041000, 1.1067700000", \ - "0.1272965000, 0.1317630000, 0.1440492000, 0.1753740000, 0.2615484000, 0.4917748000, 1.1176720000", \ - "0.1545172000, 0.1588205000, 0.1708890000, 0.2028171000, 0.2888477000, 0.5194072000, 1.1456015000", \ - "0.2228493000, 0.2270266000, 0.2401034000, 0.2716848000, 0.3568106000, 0.5878299000, 1.2141699000", \ - "0.3509804000, 0.3576906000, 0.3741800000, 0.4179985000, 0.5165247000, 0.7464413000, 1.3712127000", \ - "0.5637972000, 0.5733547000, 0.5982966000, 0.6614490000, 0.8094998000, 1.1123171000, 1.7362227000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0278596000, 0.0304107000, 0.0375028000, 0.0570106000, 0.1103246000, 0.2564399000, 0.6591549000", \ - "0.0278554000, 0.0303922000, 0.0374996000, 0.0568274000, 0.1101466000, 0.2567542000, 0.6589223000", \ - "0.0281870000, 0.0306760000, 0.0376142000, 0.0570026000, 0.1102334000, 0.2563846000, 0.6583303000", \ - "0.0331126000, 0.0355836000, 0.0421828000, 0.0599878000, 0.1111378000, 0.2565971000, 0.6579402000", \ - "0.0471535000, 0.0495894000, 0.0560923000, 0.0743043000, 0.1235505000, 0.2604615000, 0.6583971000", \ - "0.0813759000, 0.0842878000, 0.0921143000, 0.1122609000, 0.1617930000, 0.2945763000, 0.6682891000", \ - "0.1513950000, 0.1553948000, 0.1660120000, 0.1925990000, 0.2527418000, 0.3912452000, 0.7468592000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.1060569000, 0.1118111000, 0.1272341000, 0.1697368000, 0.2856946000, 0.6040330000, 1.4767886000", \ - "0.1059785000, 0.1116956000, 0.1273344000, 0.1697386000, 0.2857012000, 0.6034077000, 1.4818179000", \ - "0.1066465000, 0.1120015000, 0.1272673000, 0.1696989000, 0.2857889000, 0.6035893000, 1.4765820000", \ - "0.1057308000, 0.1113165000, 0.1271494000, 0.1698643000, 0.2857545000, 0.6038298000, 1.4783562000", \ - "0.1216866000, 0.1262938000, 0.1400068000, 0.1773617000, 0.2866368000, 0.6045058000, 1.4765272000", \ - "0.1757320000, 0.1820861000, 0.1981760000, 0.2375252000, 0.3296432000, 0.6112905000, 1.4770262000", \ - "0.2666350000, 0.2756927000, 0.2996921000, 0.3556592000, 0.4817222000, 0.7321014000, 1.4928431000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0475751000, 0.0497378000, 0.0551749000, 0.0696296000, 0.1072362000, 0.2068853000, 0.4785683000", \ - "0.0513862000, 0.0534315000, 0.0590367000, 0.0735785000, 0.1112366000, 0.2112980000, 0.4821066000", \ - "0.0595334000, 0.0616570000, 0.0672266000, 0.0819542000, 0.1199095000, 0.2200741000, 0.4911448000", \ - "0.0762039000, 0.0786692000, 0.0851058000, 0.1008267000, 0.1392136000, 0.2398142000, 0.5116196000", \ - "0.0989771000, 0.1023492000, 0.1112867000, 0.1325544000, 0.1798654000, 0.2854398000, 0.5577006000", \ - "0.1168398000, 0.1217508000, 0.1349178000, 0.1673020000, 0.2365708000, 0.3747136000, 0.6643843000", \ - "0.1007052000, 0.1086361000, 0.1291594000, 0.1788284000, 0.2869243000, 0.4955707000, 0.8746054000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0473856000, 0.0496510000, 0.0557312000, 0.0718208000, 0.1148860000, 0.2313237000, 0.5495802000", \ - "0.0521254000, 0.0544413000, 0.0606413000, 0.0770154000, 0.1204223000, 0.2374009000, 0.5561031000", \ - "0.0647059000, 0.0669607000, 0.0731492000, 0.0896378000, 0.1334193000, 0.2502444000, 0.5692416000", \ - "0.0958999000, 0.0984713000, 0.1048157000, 0.1209220000, 0.1646247000, 0.2821696000, 0.5990005000", \ - "0.1510221000, 0.1549445000, 0.1649466000, 0.1890164000, 0.2394972000, 0.3564709000, 0.6742520000", \ - "0.2425634000, 0.2487256000, 0.2647057000, 0.3025400000, 0.3827260000, 0.5300164000, 0.8471237000", \ - "0.3996002000, 0.4101479000, 0.4336818000, 0.4908773000, 0.6162797000, 0.8527849000, 1.2529300000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0396353000, 0.0419095000, 0.0482836000, 0.0659659000, 0.1153062000, 0.2525867000, 0.6313955000", \ - "0.0395337000, 0.0418659000, 0.0482076000, 0.0658232000, 0.1153007000, 0.2523875000, 0.6309650000", \ - "0.0394555000, 0.0417170000, 0.0478692000, 0.0656171000, 0.1152803000, 0.2525289000, 0.6312290000", \ - "0.0465032000, 0.0487168000, 0.0542683000, 0.0698788000, 0.1164506000, 0.2525865000, 0.6315696000", \ - "0.0643354000, 0.0668691000, 0.0735179000, 0.0910153000, 0.1351366000, 0.2584694000, 0.6310494000", \ - "0.1018701000, 0.1051980000, 0.1143245000, 0.1370266000, 0.1885607000, 0.3086927000, 0.6458485000", \ - "0.1704803000, 0.1756510000, 0.1883293000, 0.2209636000, 0.2915082000, 0.4365245000, 0.7585071000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0480995000, 0.0513576000, 0.0602972000, 0.0846427000, 0.1494804000, 0.3175924000, 0.7750696000", \ - "0.0481014000, 0.0513474000, 0.0602962000, 0.0845880000, 0.1494776000, 0.3174548000, 0.7741950000", \ - "0.0480232000, 0.0512478000, 0.0602557000, 0.0846356000, 0.1495656000, 0.3175788000, 0.7751292000", \ - "0.0572670000, 0.0597256000, 0.0670696000, 0.0881244000, 0.1494820000, 0.3178377000, 0.7746906000", \ - "0.0934391000, 0.0960033000, 0.1028455000, 0.1191995000, 0.1672553000, 0.3191281000, 0.7753068000", \ - "0.1590769000, 0.1625002000, 0.1716453000, 0.1945629000, 0.2456267000, 0.3646362000, 0.7763754000", \ - "0.2629946000, 0.2687857000, 0.2829912000, 0.3181222000, 0.3951323000, 0.5435047000, 0.8769757000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0372129000, 0.0392769000, 0.0449346000, 0.0594258000, 0.0971087000, 0.1967592000, 0.4681517000", \ - "0.0405789000, 0.0427162000, 0.0483789000, 0.0630774000, 0.1007619000, 0.2006925000, 0.4715629000", \ - "0.0497350000, 0.0516839000, 0.0572026000, 0.0717919000, 0.1100225000, 0.2101596000, 0.4810207000", \ - "0.0686931000, 0.0712745000, 0.0779794000, 0.0941542000, 0.1314405000, 0.2318317000, 0.5031447000", \ - "0.0895007000, 0.0932933000, 0.1030922000, 0.1263544000, 0.1773513000, 0.2816507000, 0.5532540000", \ - "0.1014828000, 0.1071493000, 0.1217958000, 0.1573118000, 0.2331705000, 0.3838394000, 0.6707865000", \ - "0.0784628000, 0.0868805000, 0.1086714000, 0.1616636000, 0.2786440000, 0.5056786000, 0.9139134000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0340956000, 0.0366732000, 0.0434915000, 0.0610089000, 0.1068729000, 0.2327572000, 0.5691091000", \ - "0.0388386000, 0.0413820000, 0.0481746000, 0.0659124000, 0.1123526000, 0.2372450000, 0.5773794000", \ - "0.0518530000, 0.0544060000, 0.0609712000, 0.0784770000, 0.1249682000, 0.2502350000, 0.5888673000", \ - "0.0792940000, 0.0827573000, 0.0912791000, 0.1098946000, 0.1564120000, 0.2813275000, 0.6206221000", \ - "0.1236499000, 0.1290517000, 0.1422927000, 0.1719466000, 0.2301859000, 0.3541588000, 0.6949413000", \ - "0.1980873000, 0.2063836000, 0.2275624000, 0.2736284000, 0.3661960000, 0.5277469000, 0.8639179000", \ - "0.3339720000, 0.3458213000, 0.3759638000, 0.4458363000, 0.5889326000, 0.8455730000, 1.2676624000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0397840000, 0.0420542000, 0.0483585000, 0.0659496000, 0.1154214000, 0.2524443000, 0.6309198000", \ - "0.0392205000, 0.0416366000, 0.0481243000, 0.0659204000, 0.1152891000, 0.2524560000, 0.6310529000", \ - "0.0387586000, 0.0410022000, 0.0472491000, 0.0649087000, 0.1153041000, 0.2524257000, 0.6310543000", \ - "0.0480224000, 0.0505157000, 0.0568539000, 0.0721249000, 0.1168078000, 0.2522760000, 0.6315657000", \ - "0.0684240000, 0.0715577000, 0.0795966000, 0.0989371000, 0.1442888000, 0.2614234000, 0.6314190000", \ - "0.1079402000, 0.1125576000, 0.1237165000, 0.1526083000, 0.2118751000, 0.3330546000, 0.6514554000", \ - "0.1760871000, 0.1831959000, 0.2011313000, 0.2427495000, 0.3290027000, 0.4903800000, 0.8156661000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013738400, 0.0037748500, 0.0103721000, 0.0284990000, 0.0783059000, 0.2151590000"); - values("0.0383459000, 0.0416444000, 0.0515491000, 0.0776050000, 0.1465384000, 0.3250101000, 0.8068481000", \ - "0.0382623000, 0.0419311000, 0.0513976000, 0.0776550000, 0.1465212000, 0.3248218000, 0.8066165000", \ - "0.0399477000, 0.0428938000, 0.0515586000, 0.0776884000, 0.1465022000, 0.3246615000, 0.8070269000", \ - "0.0555741000, 0.0575802000, 0.0635143000, 0.0839132000, 0.1467418000, 0.3245769000, 0.8075267000", \ - "0.0949042000, 0.0971328000, 0.1035633000, 0.1207724000, 0.1672700000, 0.3259334000, 0.8079076000", \ - "0.1605735000, 0.1637957000, 0.1727351000, 0.1961822000, 0.2488699000, 0.3737163000, 0.8090458000", \ - "0.2662080000, 0.2705497000, 0.2834981000, 0.3182356000, 0.3977162000, 0.5541602000, 0.9034182000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o21a_1") { - leakage_power () { - value : 0.0011118000; - when : "A1&A2&B1"; - } - leakage_power () { - value : 0.0024572000; - when : "A1&A2&!B1"; - } - leakage_power () { - value : 0.0026866000; - when : "!A1&!A2&B1"; - } - leakage_power () { - value : 0.0022050000; - when : "!A1&!A2&!B1"; - } - leakage_power () { - value : 0.0018589000; - when : "!A1&A2&B1"; - } - leakage_power () { - value : 0.0024572000; - when : "!A1&A2&!B1"; - } - leakage_power () { - value : 0.0024355000; - when : "A1&!A2&B1"; - } - leakage_power () { - value : 0.0024572000; - when : "A1&!A2&!B1"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__o21a"; - cell_leakage_power : 0.0022086780; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022550000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039908000, 0.0039920000, 0.0039948000, 0.0039926000, 0.0039875000, 0.0039759000, 0.0039490000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003988500, -0.003985800, -0.003979600, -0.003980700, -0.003983100, -0.003988900, -0.004002100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024670000; - } - pin ("A2") { - capacitance : 0.0024150000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022650000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040718000, 0.0040689000, 0.0040621000, 0.0040611000, 0.0040587000, 0.0040533000, 0.0040407000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004064100, -0.004062400, -0.004058300, -0.004056800, -0.004053100, -0.004044800, -0.004025500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025650000; - } - pin ("B1") { - capacitance : 0.0023690000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047437000, 0.0047453000, 0.0047489000, 0.0047507000, 0.0047549000, 0.0047645000, 0.0047867000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002440500, -0.002444500, -0.002453700, -0.002441300, -0.002412700, -0.002346700, -0.002194600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024460000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A2&B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621240600, 0.1629738000"); - values("0.0139344000, 0.0129491000, 0.0103342000, 0.0022350000, -0.020942400, -0.082965900, -0.246215800", \ - "0.0137831000, 0.0128176000, 0.0101662000, 0.0020987000, -0.021072400, -0.083096600, -0.246296200", \ - "0.0136238000, 0.0126549000, 0.0100056000, 0.0019239000, -0.021244400, -0.083255500, -0.246304600", \ - "0.0134472000, 0.0124801000, 0.0098291000, 0.0017328000, -0.021428900, -0.083437600, -0.246646500", \ - "0.0133259000, 0.0123322000, 0.0096730000, 0.0015791000, -0.021592400, -0.083555800, -0.246744000", \ - "0.0144221000, 0.0131403000, 0.0097523000, 0.0010859000, -0.021769600, -0.083661100, -0.246819100", \ - "0.0155806000, 0.0142340000, 0.0108339000, 0.0019295000, -0.021632800, -0.083269800, -0.246305800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621240600, 0.1629738000"); - values("0.0128871000, 0.0143059000, 0.0179755000, 0.0272192000, 0.0509378000, 0.1131951000, 0.2742285000", \ - "0.0127675000, 0.0142162000, 0.0178791000, 0.0270957000, 0.0508335000, 0.1130712000, 0.2755015000", \ - "0.0125982000, 0.0140478000, 0.0177001000, 0.0269274000, 0.0506834000, 0.1129290000, 0.2743215000", \ - "0.0123991000, 0.0138218000, 0.0174617000, 0.0266842000, 0.0504429000, 0.1120429000, 0.2749143000", \ - "0.0123321000, 0.0136533000, 0.0172957000, 0.0264502000, 0.0501743000, 0.1125065000, 0.2749489000", \ - "0.0124727000, 0.0138461000, 0.0173330000, 0.0263621000, 0.0500380000, 0.1115334000, 0.2737477000", \ - "0.0133025000, 0.0145921000, 0.0181620000, 0.0272466000, 0.0509732000, 0.1131902000, 0.2733124000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621240600, 0.1629738000"); - values("0.0116799000, 0.0107196000, 0.0081380000, 1.450000e-05, -0.023160800, -0.085190100, -0.248335200", \ - "0.0114999000, 0.0105617000, 0.0079340000, -0.000184800, -0.023352700, -0.085356800, -0.248519100", \ - "0.0113006000, 0.0103234000, 0.0076777000, -0.000412800, -0.023583100, -0.085588500, -0.248798900", \ - "0.0111311000, 0.0101617000, 0.0075012000, -0.000609600, -0.023767000, -0.085759000, -0.248967100", \ - "0.0112130000, 0.0102193000, 0.0075493000, -0.000602300, -0.023925800, -0.085873800, -0.249058000", \ - "0.0126142000, 0.0113122000, 0.0078913000, -0.000505400, -0.023460100, -0.085332500, -0.248454500", \ - "0.0145993000, 0.0132499000, 0.0097776000, 0.0008179000, -0.022746400, -0.084370500, -0.247417400"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621240600, 0.1629738000"); - values("0.0115154000, 0.0129665000, 0.0166318000, 0.0258335000, 0.0494548000, 0.1117392000, 0.2736718000", \ - "0.0114388000, 0.0128897000, 0.0165506000, 0.0257602000, 0.0493660000, 0.1111292000, 0.2741424000", \ - "0.0112175000, 0.0126621000, 0.0163154000, 0.0255162000, 0.0494018000, 0.1115738000, 0.2710968000", \ - "0.0108783000, 0.0122923000, 0.0158772000, 0.0250794000, 0.0490387000, 0.1106433000, 0.2736389000", \ - "0.0107661000, 0.0121055000, 0.0155492000, 0.0247154000, 0.0483589000, 0.1109109000, 0.2714711000", \ - "0.0107919000, 0.0121378000, 0.0155669000, 0.0247033000, 0.0483796000, 0.1096235000, 0.2720086000", \ - "0.0114200000, 0.0127350000, 0.0162873000, 0.0253967000, 0.0491476000, 0.1111427000, 0.2722825000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621240600, 0.1629738000"); - values("0.0096099000, 0.0087971000, 0.0062130000, -0.002245100, -0.025851600, -0.088108000, -0.251495000", \ - "0.0093394000, 0.0085346000, 0.0059517000, -0.002487600, -0.026090900, -0.088344400, -0.251734400", \ - "0.0090346000, 0.0081906000, 0.0055713000, -0.002797500, -0.026378100, -0.088628600, -0.252017400", \ - "0.0087075000, 0.0078830000, 0.0052442000, -0.003104800, -0.026600100, -0.088809000, -0.252178000", \ - "0.0083438000, 0.0075327000, 0.0051179000, -0.003183100, -0.026622300, -0.088791300, -0.252110300", \ - "0.0102210000, 0.0089741000, 0.0055924000, -0.003285200, -0.026391300, -0.088501400, -0.251808000", \ - "0.0117108000, 0.0103525000, 0.0069255000, -0.001933100, -0.025475600, -0.087307700, -0.250568800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621240600, 0.1629738000"); - values("0.0115158000, 0.0129603000, 0.0166187000, 0.0258721000, 0.0498220000, 0.1113104000, 0.2729463000", \ - "0.0113874000, 0.0128101000, 0.0164780000, 0.0257375000, 0.0494652000, 0.1112373000, 0.2727372000", \ - "0.0111614000, 0.0126159000, 0.0162732000, 0.0255093000, 0.0494607000, 0.1109384000, 0.2726095000", \ - "0.0109037000, 0.0123151000, 0.0159437000, 0.0251424000, 0.0488989000, 0.1110514000, 0.2736060000", \ - "0.0107816000, 0.0120457000, 0.0156115000, 0.0247791000, 0.0484554000, 0.1108567000, 0.2728566000", \ - "0.0112386000, 0.0125824000, 0.0161117000, 0.0250940000, 0.0488053000, 0.1101642000, 0.2721219000", \ - "0.0121279000, 0.0134021000, 0.0168457000, 0.0258820000, 0.0497615000, 0.1116321000, 0.2730166000"); - } - } - max_capacitance : 0.1629740000; - max_transition : 1.5111880000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.1477577000, 0.1544530000, 0.1684099000, 0.1963403000, 0.2543213000, 0.3902269000, 0.7414725000", \ - "0.1530534000, 0.1597328000, 0.1737795000, 0.2014257000, 0.2593218000, 0.3952065000, 0.7458255000", \ - "0.1652123000, 0.1718864000, 0.1859222000, 0.2135744000, 0.2715133000, 0.4074560000, 0.7576965000", \ - "0.1912420000, 0.1979551000, 0.2118466000, 0.2398071000, 0.2977383000, 0.4337569000, 0.7853017000", \ - "0.2483332000, 0.2551250000, 0.2692534000, 0.2969074000, 0.3549867000, 0.4909548000, 0.8426357000", \ - "0.3509823000, 0.3586983000, 0.3746858000, 0.4053957000, 0.4664536000, 0.6041832000, 0.9554283000", \ - "0.5213165000, 0.5309558000, 0.5503206000, 0.5862809000, 0.6529611000, 0.7942232000, 1.1466048000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0832170000, 0.0902228000, 0.1063823000, 0.1443331000, 0.2396075000, 0.4865265000, 1.1331883000", \ - "0.0877685000, 0.0948001000, 0.1109280000, 0.1489006000, 0.2441172000, 0.4909715000, 1.1376146000", \ - "0.0972194000, 0.1042529000, 0.1203575000, 0.1583036000, 0.2535691000, 0.5004603000, 1.1470014000", \ - "0.1171748000, 0.1242094000, 0.1403087000, 0.1781364000, 0.2733395000, 0.5208338000, 1.1685559000", \ - "0.1511535000, 0.1586405000, 0.1754114000, 0.2136692000, 0.3094198000, 0.5574733000, 1.2059714000", \ - "0.1945102000, 0.2033150000, 0.2211998000, 0.2606687000, 0.3563801000, 0.6037292000, 1.2499606000", \ - "0.2281220000, 0.2392491000, 0.2621871000, 0.3054926000, 0.4018018000, 0.6502241000, 1.2958321000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0238366000, 0.0284674000, 0.0391444000, 0.0645764000, 0.1272941000, 0.2993502000, 0.7664654000", \ - "0.0235963000, 0.0282183000, 0.0395658000, 0.0647661000, 0.1273701000, 0.2991852000, 0.7680915000", \ - "0.0236347000, 0.0282318000, 0.0395173000, 0.0647432000, 0.1273366000, 0.2989895000, 0.7627117000", \ - "0.0238497000, 0.0285766000, 0.0392755000, 0.0645037000, 0.1275615000, 0.3002402000, 0.7654695000", \ - "0.0244293000, 0.0294055000, 0.0400604000, 0.0653077000, 0.1275566000, 0.2993930000, 0.7667272000", \ - "0.0294666000, 0.0346387000, 0.0458737000, 0.0716848000, 0.1322331000, 0.3011494000, 0.7672256000", \ - "0.0401671000, 0.0458142000, 0.0577883000, 0.0834809000, 0.1440418000, 0.3064055000, 0.7610916000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0226704000, 0.0297095000, 0.0480072000, 0.0972019000, 0.2306620000, 0.5815826000, 1.5024484000", \ - "0.0226495000, 0.0296810000, 0.0479741000, 0.0972685000, 0.2307699000, 0.5811815000, 1.5035692000", \ - "0.0226900000, 0.0297092000, 0.0478973000, 0.0972792000, 0.2307460000, 0.5816808000, 1.4979921000", \ - "0.0230168000, 0.0299708000, 0.0480864000, 0.0972185000, 0.2297182000, 0.5822016000, 1.5041375000", \ - "0.0256805000, 0.0324973000, 0.0501869000, 0.0980621000, 0.2305648000, 0.5807641000, 1.5026534000", \ - "0.0312738000, 0.0382265000, 0.0551203000, 0.1008654000, 0.2306063000, 0.5786832000, 1.4973237000", \ - "0.0435728000, 0.0508176000, 0.0678410000, 0.1086202000, 0.2331357000, 0.5817464000, 1.4938971000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.1341642000, 0.1408618000, 0.1548972000, 0.1825851000, 0.2405215000, 0.3764684000, 0.7273569000", \ - "0.1378827000, 0.1444076000, 0.1583589000, 0.1865298000, 0.2443405000, 0.3803879000, 0.7306740000", \ - "0.1485233000, 0.1551916000, 0.1692236000, 0.1971931000, 0.2549605000, 0.3909775000, 0.7420266000", \ - "0.1768767000, 0.1835825000, 0.1974741000, 0.2253965000, 0.2833482000, 0.4194047000, 0.7705619000", \ - "0.2447379000, 0.2514314000, 0.2654704000, 0.2934116000, 0.3518552000, 0.4879105000, 0.8393985000", \ - "0.3687335000, 0.3769053000, 0.3929903000, 0.4231245000, 0.4834386000, 0.6215168000, 0.9719415000", \ - "0.5664182000, 0.5770213000, 0.5978437000, 0.6340978000, 0.6986453000, 0.8381847000, 1.1911833000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0700623000, 0.0768511000, 0.0924971000, 0.1298607000, 0.2243573000, 0.4732589000, 1.1208975000", \ - "0.0747302000, 0.0815140000, 0.0971393000, 0.1345383000, 0.2294824000, 0.4763491000, 1.1242384000", \ - "0.0841225000, 0.0908593000, 0.1064764000, 0.1438758000, 0.2386759000, 0.4848892000, 1.1334824000", \ - "0.1027444000, 0.1095430000, 0.1252189000, 0.1625659000, 0.2573335000, 0.5102375000, 1.1506704000", \ - "0.1299730000, 0.1374504000, 0.1539666000, 0.1918307000, 0.2870130000, 0.5341897000, 1.1829193000", \ - "0.1583218000, 0.1674637000, 0.1858941000, 0.2253078000, 0.3205793000, 0.5675438000, 1.2154293000", \ - "0.1619228000, 0.1742749000, 0.1984858000, 0.2431922000, 0.3393073000, 0.5868222000, 1.2333410000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0238469000, 0.0282077000, 0.0395536000, 0.0645990000, 0.1274328000, 0.2982561000, 0.7646014000", \ - "0.0235098000, 0.0286420000, 0.0393584000, 0.0645269000, 0.1276741000, 0.3007122000, 0.7638137000", \ - "0.0236000000, 0.0282163000, 0.0395523000, 0.0647158000, 0.1273749000, 0.3009039000, 0.7639660000", \ - "0.0238437000, 0.0285957000, 0.0392853000, 0.0645569000, 0.1276404000, 0.3009841000, 0.7640494000", \ - "0.0245076000, 0.0289770000, 0.0399879000, 0.0649343000, 0.1273167000, 0.2989547000, 0.7658319000", \ - "0.0330931000, 0.0376084000, 0.0472892000, 0.0709948000, 0.1325314000, 0.3014353000, 0.7625287000", \ - "0.0474967000, 0.0529311000, 0.0635619000, 0.0858167000, 0.1420109000, 0.3054658000, 0.7629151000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0210879000, 0.0280782000, 0.0463601000, 0.0958105000, 0.2303679000, 0.5846222000, 1.5066316000", \ - "0.0210863000, 0.0280816000, 0.0463531000, 0.0958186000, 0.2298840000, 0.5833981000, 1.5111877000", \ - "0.0210775000, 0.0280449000, 0.0464712000, 0.0959660000, 0.2298915000, 0.5827930000, 1.5000987000", \ - "0.0219468000, 0.0288261000, 0.0469197000, 0.0962096000, 0.2304785000, 0.5821956000, 1.5037660000", \ - "0.0255706000, 0.0321610000, 0.0494454000, 0.0973574000, 0.2294254000, 0.5835148000, 1.5009718000", \ - "0.0329276000, 0.0401255000, 0.0560684000, 0.1003351000, 0.2309074000, 0.5789080000, 1.5068465000", \ - "0.0476337000, 0.0551838000, 0.0712219000, 0.1113291000, 0.2332627000, 0.5829616000, 1.4955468000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0685490000, 0.0742137000, 0.0867080000, 0.1125660000, 0.1675788000, 0.3014103000, 0.6508575000", \ - "0.0737954000, 0.0794779000, 0.0919953000, 0.1178934000, 0.1729280000, 0.3067684000, 0.6562381000", \ - "0.0870530000, 0.0927027000, 0.1051879000, 0.1311428000, 0.1862420000, 0.3201652000, 0.6713857000", \ - "0.1190508000, 0.1246718000, 0.1372042000, 0.1633667000, 0.2185506000, 0.3525890000, 0.7038866000", \ - "0.1784492000, 0.1852167000, 0.1995241000, 0.2282076000, 0.2850477000, 0.4194415000, 0.7705360000", \ - "0.2723685000, 0.2811985000, 0.2995326000, 0.3350057000, 0.3975891000, 0.5344014000, 0.8843958000", \ - "0.4248753000, 0.4362689000, 0.4602387000, 0.5069692000, 0.5830837000, 0.7261055000, 1.0766150000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0764844000, 0.0835369000, 0.0996105000, 0.1375692000, 0.2330883000, 0.4799789000, 1.1265125000", \ - "0.0805802000, 0.0875791000, 0.1037439000, 0.1416649000, 0.2368795000, 0.4835669000, 1.1304759000", \ - "0.0905182000, 0.0976492000, 0.1137088000, 0.1516303000, 0.2470780000, 0.4941308000, 1.1408193000", \ - "0.1138152000, 0.1208939000, 0.1368309000, 0.1745818000, 0.2697220000, 0.5180357000, 1.1664490000", \ - "0.1478701000, 0.1550136000, 0.1717535000, 0.2098478000, 0.3056675000, 0.5536525000, 1.2022916000", \ - "0.1864060000, 0.1949792000, 0.2125493000, 0.2513926000, 0.3466307000, 0.5950436000, 1.2424273000", \ - "0.2103868000, 0.2213588000, 0.2428289000, 0.2845867000, 0.3792381000, 0.6281234000, 1.2745989000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0174001000, 0.0222879000, 0.0340359000, 0.0595557000, 0.1221369000, 0.2961008000, 0.7616917000", \ - "0.0174546000, 0.0222887000, 0.0340232000, 0.0595148000, 0.1221462000, 0.2960818000, 0.7614686000", \ - "0.0173877000, 0.0223440000, 0.0339734000, 0.0596557000, 0.1221941000, 0.2962517000, 0.7719224000", \ - "0.0179830000, 0.0226642000, 0.0342935000, 0.0598345000, 0.1223331000, 0.2962401000, 0.7719654000", \ - "0.0236833000, 0.0285296000, 0.0400780000, 0.0644269000, 0.1243932000, 0.2977396000, 0.7593144000", \ - "0.0335225000, 0.0397620000, 0.0532982000, 0.0792417000, 0.1352586000, 0.2984797000, 0.7629099000", \ - "0.0474720000, 0.0551223000, 0.0730523000, 0.1053918000, 0.1590112000, 0.3084304000, 0.7582080000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0226158000, 0.0297196000, 0.0478724000, 0.0971947000, 0.2306479000, 0.5813389000, 1.4988157000", \ - "0.0227040000, 0.0297304000, 0.0478731000, 0.0972007000, 0.2303270000, 0.5813829000, 1.5041218000", \ - "0.0226573000, 0.0297213000, 0.0478518000, 0.0972504000, 0.2302218000, 0.5816878000, 1.5002182000", \ - "0.0231154000, 0.0300786000, 0.0482780000, 0.0970529000, 0.2306415000, 0.5808750000, 1.5023125000", \ - "0.0254544000, 0.0325167000, 0.0501746000, 0.0989318000, 0.2303448000, 0.5824649000, 1.4996584000", \ - "0.0321218000, 0.0382438000, 0.0543654000, 0.1002441000, 0.2318721000, 0.5803988000, 1.5059011000", \ - "0.0444615000, 0.0510866000, 0.0661070000, 0.1071253000, 0.2329321000, 0.5841049000, 1.4955747000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o21a_2") { - leakage_power () { - value : 0.0036491000; - when : "!A1&!A2&B1"; - } - leakage_power () { - value : 0.0031636000; - when : "!A1&!A2&!B1"; - } - leakage_power () { - value : 0.0020413000; - when : "!A1&A2&B1"; - } - leakage_power () { - value : 0.0034189000; - when : "!A1&A2&!B1"; - } - leakage_power () { - value : 0.0025891000; - when : "A1&!A2&B1"; - } - leakage_power () { - value : 0.0034189000; - when : "A1&!A2&!B1"; - } - leakage_power () { - value : 0.0013631000; - when : "A1&A2&B1"; - } - leakage_power () { - value : 0.0034189000; - when : "A1&A2&!B1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__o21a"; - cell_leakage_power : 0.0028828480; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023320000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022260000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040105000, 0.0040083000, 0.0040034000, 0.0040047000, 0.0040078000, 0.0040149000, 0.0040313000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004002500, -0.004000800, -0.003996800, -0.003994600, -0.003989600, -0.003977900, -0.003951100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024380000; - } - pin ("A2") { - capacitance : 0.0024040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022430000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040067000, 0.0040088000, 0.0040138000, 0.0040144000, 0.0040159000, 0.0040192000, 0.0040269000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004023600, -0.004019800, -0.004011100, -0.004011700, -0.004013000, -0.004016200, -0.004023500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025640000; - } - pin ("B1") { - capacitance : 0.0024110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023290000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048738000, 0.0048725000, 0.0048695000, 0.0048676000, 0.0048631000, 0.0048528000, 0.0048291000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002698500, -0.002703800, -0.002716100, -0.002704700, -0.002678400, -0.002617800, -0.002478000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024930000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A2&B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014478780, 0.0041927010, 0.0121410400, 0.0351574900, 0.1018075000, 0.2948097000"); - values("0.0168101000, 0.0153723000, 0.0118168000, 0.0008346000, -0.034776300, -0.142026000, -0.454390400", \ - "0.0167020000, 0.0154138000, 0.0117477000, 0.0007846000, -0.034797000, -0.142108300, -0.454492800", \ - "0.0167058000, 0.0152345000, 0.0115920000, 0.0006900000, -0.034954100, -0.142248900, -0.454660100", \ - "0.0164334000, 0.0149996000, 0.0113694000, 0.0004227000, -0.035177700, -0.142443500, -0.454795000", \ - "0.0163291000, 0.0150417000, 0.0113261000, 0.0003245000, -0.035356400, -0.142563100, -0.454958200", \ - "0.0172207000, 0.0156387000, 0.0112719000, -0.000193600, -0.035681200, -0.142794200, -0.455063000", \ - "0.0203357000, 0.0186685000, 0.0141610000, 0.0013286000, -0.035550100, -0.142367300, -0.454638100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014478780, 0.0041927010, 0.0121410400, 0.0351574900, 0.1018075000, 0.2948097000"); - values("0.0174420000, 0.0191158000, 0.0238296000, 0.0371509000, 0.0745411000, 0.1818402000, 0.4910827000", \ - "0.0173327000, 0.0190350000, 0.0238036000, 0.0370655000, 0.0744608000, 0.1813691000, 0.4903391000", \ - "0.0172021000, 0.0188861000, 0.0235936000, 0.0369054000, 0.0743441000, 0.1815666000, 0.4908356000", \ - "0.0170579000, 0.0187403000, 0.0234863000, 0.0367409000, 0.0741196000, 0.1814118000, 0.4923397000", \ - "0.0168959000, 0.0185409000, 0.0232335000, 0.0363646000, 0.0738074000, 0.1811334000, 0.4899322000", \ - "0.0173771000, 0.0189535000, 0.0234623000, 0.0363902000, 0.0736220000, 0.1804211000, 0.4914381000", \ - "0.0182128000, 0.0197146000, 0.0240915000, 0.0371161000, 0.0743247000, 0.1818735000, 0.4907081000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014478780, 0.0041927010, 0.0121410400, 0.0351574900, 0.1018075000, 0.2948097000"); - values("0.0146963000, 0.0132874000, 0.0096593000, -0.001277400, -0.036846800, -0.144158100, -0.456493700", \ - "0.0147140000, 0.0131319000, 0.0095168000, -0.001339300, -0.036991200, -0.144289600, -0.456703700", \ - "0.0144057000, 0.0129330000, 0.0092800000, -0.001663800, -0.037234200, -0.144527000, -0.456897200", \ - "0.0141928000, 0.0127541000, 0.0091160000, -0.001852000, -0.037449000, -0.144726700, -0.457083500", \ - "0.0140342000, 0.0126089000, 0.0089516000, -0.001880600, -0.037575100, -0.144867900, -0.457208100", \ - "0.0144190000, 0.0128135000, 0.0086907000, -0.002160400, -0.037713300, -0.144841600, -0.457057900", \ - "0.0196588000, 0.0179462000, 0.0133089000, 0.0003196000, -0.036680600, -0.143747400, -0.455845900"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014478780, 0.0041927010, 0.0121410400, 0.0351574900, 0.1018075000, 0.2948097000"); - values("0.0160912000, 0.0177829000, 0.0225792000, 0.0358584000, 0.0732052000, 0.1804141000, 0.4880280000", \ - "0.0160613000, 0.0177528000, 0.0225492000, 0.0358325000, 0.0731771000, 0.1804411000, 0.4878954000", \ - "0.0158813000, 0.0175825000, 0.0223609000, 0.0356889000, 0.0730054000, 0.1800409000, 0.4896942000", \ - "0.0156482000, 0.0172735000, 0.0220420000, 0.0352414000, 0.0726302000, 0.1797300000, 0.4896084000", \ - "0.0154893000, 0.0170289000, 0.0216705000, 0.0348305000, 0.0720562000, 0.1794007000, 0.4894511000", \ - "0.0156562000, 0.0172239000, 0.0217613000, 0.0348119000, 0.0718631000, 0.1782397000, 0.4886908000", \ - "0.0164792000, 0.0179769000, 0.0224231000, 0.0353595000, 0.0726912000, 0.1798291000, 0.4887237000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014478780, 0.0041927010, 0.0121410400, 0.0351574900, 0.1018075000, 0.2948097000"); - values("0.0123664000, 0.0113149000, 0.0081681000, -0.002806600, -0.039222900, -0.147051300, -0.459712700", \ - "0.0122099000, 0.0111213000, 0.0080029000, -0.002981100, -0.039385000, -0.147218900, -0.459864800", \ - "0.0119248000, 0.0108372000, 0.0076339000, -0.003337000, -0.039688000, -0.147500300, -0.460148000", \ - "0.0116171000, 0.0104614000, 0.0072232000, -0.003772400, -0.040056800, -0.147797300, -0.460410500", \ - "0.0117763000, 0.0105674000, 0.0071710000, -0.003881800, -0.040135800, -0.147796100, -0.460365100", \ - "0.0144531000, 0.0129033000, 0.0085075000, -0.004158100, -0.040084000, -0.147596900, -0.460091900", \ - "0.0165779000, 0.0149016000, 0.0103404000, -0.002519800, -0.039532400, -0.146847200, -0.459183400"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014478780, 0.0041927010, 0.0121410400, 0.0351574900, 0.1018075000, 0.2948097000"); - values("0.0163736000, 0.0180666000, 0.0227915000, 0.0361015000, 0.0735261000, 0.1813586000, 0.4919254000", \ - "0.0162420000, 0.0179413000, 0.0226634000, 0.0360018000, 0.0734139000, 0.1807086000, 0.4892909000", \ - "0.0160412000, 0.0177505000, 0.0225286000, 0.0358555000, 0.0732184000, 0.1811144000, 0.4916274000", \ - "0.0158751000, 0.0175303000, 0.0222663000, 0.0355058000, 0.0728385000, 0.1799340000, 0.4889954000", \ - "0.0155878000, 0.0171925000, 0.0218559000, 0.0349229000, 0.0722087000, 0.1794700000, 0.4908967000", \ - "0.0164314000, 0.0179426000, 0.0224556000, 0.0350434000, 0.0720682000, 0.1787225000, 0.4891847000", \ - "0.0173784000, 0.0188666000, 0.0232054000, 0.0360309000, 0.0731894000, 0.1801841000, 0.4882626000"); - } - } - max_capacitance : 0.2948100000; - max_transition : 1.5084580000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.1744457000, 0.1804836000, 0.1937485000, 0.2201087000, 0.2721059000, 0.3906667000, 0.7111945000", \ - "0.1798609000, 0.1858372000, 0.1991136000, 0.2251884000, 0.2774777000, 0.3959953000, 0.7163860000", \ - "0.1922811000, 0.1983463000, 0.2116002000, 0.2377741000, 0.2898908000, 0.4083869000, 0.7284953000", \ - "0.2184337000, 0.2244736000, 0.2377630000, 0.2640818000, 0.3162101000, 0.4346827000, 0.7546875000", \ - "0.2768208000, 0.2828273000, 0.2960206000, 0.3222458000, 0.3746954000, 0.4933767000, 0.8132362000", \ - "0.3898240000, 0.3965508000, 0.4113580000, 0.4400403000, 0.4949236000, 0.6154233000, 0.9360424000", \ - "0.5793228000, 0.5876851000, 0.6056869000, 0.6396975000, 0.7021899000, 0.8274632000, 1.1497053000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0945007000, 0.1004484000, 0.1143493000, 0.1468219000, 0.2301383000, 0.4653071000, 1.1432526000", \ - "0.0989768000, 0.1049839000, 0.1189377000, 0.1514032000, 0.2348749000, 0.4700437000, 1.1468920000", \ - "0.1083891000, 0.1143333000, 0.1282392000, 0.1607260000, 0.2440972000, 0.4795812000, 1.1576168000", \ - "0.1286817000, 0.1346167000, 0.1485147000, 0.1808556000, 0.2641783000, 0.4994049000, 1.1800891000", \ - "0.1662875000, 0.1726127000, 0.1871917000, 0.2202927000, 0.3039480000, 0.5394587000, 1.2203347000", \ - "0.2178417000, 0.2254217000, 0.2420311000, 0.2771118000, 0.3617484000, 0.5969895000, 1.2760591000", \ - "0.2657810000, 0.2757719000, 0.2968217000, 0.3378103000, 0.4249582000, 0.6614773000, 1.3381526000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0263242000, 0.0300036000, 0.0384297000, 0.0575915000, 0.1065028000, 0.2453596000, 0.6729253000", \ - "0.0259674000, 0.0298620000, 0.0385241000, 0.0577972000, 0.1066765000, 0.2457028000, 0.6738875000", \ - "0.0260499000, 0.0296657000, 0.0385883000, 0.0573734000, 0.1067828000, 0.2458674000, 0.6734296000", \ - "0.0261900000, 0.0299245000, 0.0384316000, 0.0573455000, 0.1066366000, 0.2457370000, 0.6709452000", \ - "0.0261709000, 0.0299404000, 0.0382784000, 0.0574185000, 0.1064885000, 0.2454268000, 0.6709232000", \ - "0.0317992000, 0.0354811000, 0.0442737000, 0.0638769000, 0.1111452000, 0.2482144000, 0.6720470000", \ - "0.0434440000, 0.0475667000, 0.0572267000, 0.0778910000, 0.1257717000, 0.2583986000, 0.6725523000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0207358000, 0.0257741000, 0.0392753000, 0.0768973000, 0.1903662000, 0.5274070000, 1.5045422000", \ - "0.0207688000, 0.0259100000, 0.0392184000, 0.0769498000, 0.1903297000, 0.5274435000, 1.5003530000", \ - "0.0208571000, 0.0258460000, 0.0392922000, 0.0770587000, 0.1906008000, 0.5277249000, 1.5044914000", \ - "0.0208051000, 0.0258925000, 0.0392814000, 0.0770890000, 0.1904754000, 0.5276892000, 1.5040263000", \ - "0.0232633000, 0.0283171000, 0.0416314000, 0.0784755000, 0.1910671000, 0.5276801000, 1.5020813000", \ - "0.0292761000, 0.0346116000, 0.0477940000, 0.0829097000, 0.1926710000, 0.5266429000, 1.5003724000", \ - "0.0413324000, 0.0474961000, 0.0614101000, 0.0946424000, 0.1969692000, 0.5279212000, 1.4967686000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.1614281000, 0.1675108000, 0.1807779000, 0.2070580000, 0.2594222000, 0.3776882000, 0.6979448000", \ - "0.1650372000, 0.1714156000, 0.1843260000, 0.2105773000, 0.2626599000, 0.3811482000, 0.7012865000", \ - "0.1760117000, 0.1820570000, 0.1953081000, 0.2214614000, 0.2738265000, 0.3918795000, 0.7121188000", \ - "0.2041621000, 0.2102348000, 0.2235330000, 0.2498258000, 0.3020639000, 0.4205480000, 0.7409629000", \ - "0.2731201000, 0.2791548000, 0.2923296000, 0.3182776000, 0.3705357000, 0.4891601000, 0.8096943000", \ - "0.4109877000, 0.4181272000, 0.4336648000, 0.4624492000, 0.5173306000, 0.6379464000, 0.9581375000", \ - "0.6322566000, 0.6418842000, 0.6621357000, 0.6991219000, 0.7629442000, 0.8881992000, 1.2110026000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0794585000, 0.0850353000, 0.0983340000, 0.1297839000, 0.2124493000, 0.4474866000, 1.1320150000", \ - "0.0842235000, 0.0897862000, 0.1030915000, 0.1345467000, 0.2172421000, 0.4522092000, 1.1351043000", \ - "0.0938019000, 0.0993637000, 0.1126557000, 0.1441207000, 0.2268153000, 0.4624790000, 1.1383877000", \ - "0.1138691000, 0.1193859000, 0.1326796000, 0.1639947000, 0.2466178000, 0.4826196000, 1.1617864000", \ - "0.1466602000, 0.1527038000, 0.1670355000, 0.1995640000, 0.2825382000, 0.5183597000, 1.1975313000", \ - "0.1851487000, 0.1929401000, 0.2095186000, 0.2445784000, 0.3282419000, 0.5632468000, 1.2427289000", \ - "0.2063377000, 0.2167496000, 0.2393835000, 0.2814969000, 0.3687870000, 0.6038652000, 1.2809367000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0259917000, 0.0297038000, 0.0380346000, 0.0576007000, 0.1063049000, 0.2457193000, 0.6705808000", \ - "0.0261276000, 0.0297094000, 0.0380946000, 0.0573520000, 0.1068153000, 0.2459708000, 0.6735105000", \ - "0.0263069000, 0.0296881000, 0.0384919000, 0.0575707000, 0.1062848000, 0.2458000000, 0.6706925000", \ - "0.0262255000, 0.0299541000, 0.0383721000, 0.0575754000, 0.1064390000, 0.2456657000, 0.6686058000", \ - "0.0260631000, 0.0297180000, 0.0382154000, 0.0582902000, 0.1069013000, 0.2454833000, 0.6738573000", \ - "0.0353928000, 0.0391251000, 0.0471996000, 0.0651627000, 0.1114468000, 0.2486373000, 0.6721361000", \ - "0.0524836000, 0.0569009000, 0.0670202000, 0.0863618000, 0.1283700000, 0.2574584000, 0.6739119000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0191061000, 0.0238782000, 0.0370420000, 0.0748446000, 0.1889927000, 0.5275296000, 1.5040951000", \ - "0.0190910000, 0.0238870000, 0.0370238000, 0.0748786000, 0.1891845000, 0.5279069000, 1.5043509000", \ - "0.0190544000, 0.0239577000, 0.0370137000, 0.0749812000, 0.1894830000, 0.5264183000, 1.5046248000", \ - "0.0194592000, 0.0244175000, 0.0375025000, 0.0751159000, 0.1893397000, 0.5273703000, 1.5084585000", \ - "0.0229446000, 0.0279020000, 0.0406156000, 0.0772312000, 0.1898613000, 0.5268199000, 1.5061217000", \ - "0.0305347000, 0.0355664000, 0.0481474000, 0.0826237000, 0.1916246000, 0.5257481000, 1.5053415000", \ - "0.0439978000, 0.0504179000, 0.0647528000, 0.0966815000, 0.1968761000, 0.5281535000, 1.4978270000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0840701000, 0.0887784000, 0.0994740000, 0.1223708000, 0.1702098000, 0.2841776000, 0.6031456000", \ - "0.0893311000, 0.0940393000, 0.1047191000, 0.1276628000, 0.1755217000, 0.2894864000, 0.6085444000", \ - "0.1025574000, 0.1071982000, 0.1178247000, 0.1406748000, 0.1885907000, 0.3024828000, 0.6221291000", \ - "0.1347469000, 0.1394145000, 0.1500172000, 0.1728944000, 0.2209640000, 0.3349252000, 0.6546297000", \ - "0.2030357000, 0.2082327000, 0.2198513000, 0.2440285000, 0.2931413000, 0.4075083000, 0.7264267000", \ - "0.3145453000, 0.3214297000, 0.3365483000, 0.3668389000, 0.4238277000, 0.5421912000, 0.8611492000", \ - "0.4939416000, 0.5029024000, 0.5226354000, 0.5621585000, 0.6354073000, 0.7651917000, 1.0846872000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0888665000, 0.0947658000, 0.1087394000, 0.1412499000, 0.2247041000, 0.4607264000, 1.1381507000", \ - "0.0928799000, 0.0988163000, 0.1127650000, 0.1452501000, 0.2285490000, 0.4637928000, 1.1446238000", \ - "0.1031337000, 0.1088382000, 0.1227987000, 0.1552780000, 0.2389992000, 0.4748270000, 1.1529525000", \ - "0.1273316000, 0.1332449000, 0.1470939000, 0.1793981000, 0.2627664000, 0.4977183000, 1.1760436000", \ - "0.1693400000, 0.1755434000, 0.1901689000, 0.2233677000, 0.3070686000, 0.5432856000, 1.2224711000", \ - "0.2196127000, 0.2271647000, 0.2440318000, 0.2791655000, 0.3633673000, 0.5993903000, 1.2786595000", \ - "0.2608649000, 0.2711688000, 0.2931045000, 0.3340457000, 0.4199974000, 0.6549012000, 1.3331137000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0167413000, 0.0202744000, 0.0285913000, 0.0490153000, 0.0974964000, 0.2384732000, 0.6673015000", \ - "0.0168137000, 0.0202802000, 0.0285541000, 0.0490916000, 0.0976134000, 0.2385064000, 0.6671055000", \ - "0.0167429000, 0.0202814000, 0.0285773000, 0.0490665000, 0.0974609000, 0.2387273000, 0.6653524000", \ - "0.0168060000, 0.0201775000, 0.0286869000, 0.0491727000, 0.0976677000, 0.2386680000, 0.6651415000", \ - "0.0214894000, 0.0246427000, 0.0330224000, 0.0524830000, 0.0994082000, 0.2385851000, 0.6674756000", \ - "0.0314192000, 0.0356810000, 0.0452974000, 0.0669545000, 0.1123012000, 0.2438986000, 0.6713156000", \ - "0.0474547000, 0.0528244000, 0.0646317000, 0.0918418000, 0.1405697000, 0.2596747000, 0.6694597000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0207732000, 0.0258164000, 0.0392707000, 0.0771698000, 0.1906160000, 0.5281555000, 1.5041468000", \ - "0.0207536000, 0.0258289000, 0.0393004000, 0.0771157000, 0.1903608000, 0.5277634000, 1.5027571000", \ - "0.0208029000, 0.0259079000, 0.0392689000, 0.0769020000, 0.1906616000, 0.5282924000, 1.5045573000", \ - "0.0208941000, 0.0258626000, 0.0393047000, 0.0769701000, 0.1901457000, 0.5260588000, 1.5030088000", \ - "0.0241444000, 0.0291418000, 0.0421016000, 0.0792362000, 0.1911485000, 0.5279922000, 1.5056468000", \ - "0.0321192000, 0.0370380000, 0.0489310000, 0.0832506000, 0.1931373000, 0.5275334000, 1.5055777000", \ - "0.0459399000, 0.0518251000, 0.0646975000, 0.0966106000, 0.1969958000, 0.5298628000, 1.4983514000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o21a_4") { - leakage_power () { - value : 0.0051880000; - when : "!A1&!A2&B1"; - } - leakage_power () { - value : 0.0039272000; - when : "!A1&!A2&!B1"; - } - leakage_power () { - value : 0.0037499000; - when : "!A1&A2&B1"; - } - leakage_power () { - value : 0.0046044000; - when : "!A1&A2&!B1"; - } - leakage_power () { - value : 0.0039146000; - when : "A1&!A2&B1"; - } - leakage_power () { - value : 0.0046044000; - when : "A1&!A2&!B1"; - } - leakage_power () { - value : 0.0026220000; - when : "A1&A2&B1"; - } - leakage_power () { - value : 0.0046044000; - when : "A1&A2&!B1"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__o21a"; - cell_leakage_power : 0.0041518770; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0048480000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0046190000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0081779000, 0.0081694000, 0.0081499000, 0.0081509000, 0.0081531000, 0.0081583000, 0.0081702000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008139400, -0.008136500, -0.008129700, -0.008131200, -0.008134600, -0.008142400, -0.008160400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050770000; - } - pin ("A2") { - capacitance : 0.0044330000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041180000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075832000, 0.0075840000, 0.0075857000, 0.0075877000, 0.0075922000, 0.0076026000, 0.0076266000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007574500, -0.007573700, -0.007571700, -0.007573300, -0.007577100, -0.007585900, -0.007606200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047490000; - } - pin ("B1") { - capacitance : 0.0045100000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043320000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0093113000, 0.0093097000, 0.0093062000, 0.0093028000, 0.0092949000, 0.0092768000, 0.0092351000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004740500, -0.004749600, -0.004770600, -0.004747300, -0.004693700, -0.004570200, -0.004285500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046890000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A2&B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000"); - values("0.0349028000, 0.0331402000, 0.0279697000, 0.0133849000, -0.038846400, -0.215411900, -0.780559600", \ - "0.0343731000, 0.0328957000, 0.0278629000, 0.0131828000, -0.039086500, -0.215625100, -0.780835900", \ - "0.0343512000, 0.0325940000, 0.0274996000, 0.0128293000, -0.039413500, -0.215928400, -0.781125400", \ - "0.0338105000, 0.0321305000, 0.0271300000, 0.0122806000, -0.039942800, -0.216308200, -0.781469200", \ - "0.0336993000, 0.0319363000, 0.0268497000, 0.0119352000, -0.040334100, -0.216731800, -0.781724400", \ - "0.0328575000, 0.0310351000, 0.0254072000, 0.0108969000, -0.040757900, -0.216987400, -0.781936400", \ - "0.0405978000, 0.0386626000, 0.0328660000, 0.0151461000, -0.040474700, -0.217009500, -0.781222000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000"); - values("0.0344488000, 0.0363426000, 0.0424278000, 0.0610558000, 0.1178451000, 0.2949718000, 0.8542011000", \ - "0.0341556000, 0.0361253000, 0.0422117000, 0.0608381000, 0.1176249000, 0.2948226000, 0.8540360000", \ - "0.0338866000, 0.0358399000, 0.0419299000, 0.0605367000, 0.1173418000, 0.2944585000, 0.8539235000", \ - "0.0336399000, 0.0355600000, 0.0415777000, 0.0601399000, 0.1168501000, 0.2940188000, 0.8535667000", \ - "0.0332854000, 0.0352010000, 0.0411113000, 0.0595469000, 0.1162195000, 0.2935417000, 0.8529137000", \ - "0.0340778000, 0.0358745000, 0.0415579000, 0.0595313000, 0.1155557000, 0.2921790000, 0.8515688000", \ - "0.0356085000, 0.0373480000, 0.0428863000, 0.0606354000, 0.1171346000, 0.2940427000, 0.8513857000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000"); - values("0.0305294000, 0.0287249000, 0.0236549000, 0.0087595000, -0.043442400, -0.219717000, -0.784948000", \ - "0.0299549000, 0.0283017000, 0.0231859000, 0.0084876000, -0.043691600, -0.220044300, -0.785196600", \ - "0.0297775000, 0.0279660000, 0.0228584000, 0.0081665000, -0.044066300, -0.220469500, -0.785549800", \ - "0.0293841000, 0.0276790000, 0.0225608000, 0.0078522000, -0.044409000, -0.220781500, -0.785836000", \ - "0.0291426000, 0.0273972000, 0.0222902000, 0.0073664000, -0.044507000, -0.221106900, -0.786117800", \ - "0.0292481000, 0.0275666000, 0.0221533000, 0.0071859000, -0.044924500, -0.221091700, -0.785780000", \ - "0.0393491000, 0.0373496000, 0.0311361000, 0.0131061000, -0.042714600, -0.218760900, -0.783506900"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000"); - values("0.0312794000, 0.0331467000, 0.0392206000, 0.0579581000, 0.1148050000, 0.2915096000, 0.8511920000", \ - "0.0311978000, 0.0331274000, 0.0391970000, 0.0579636000, 0.1147774000, 0.2915987000, 0.8478284000", \ - "0.0309205000, 0.0328365000, 0.0389439000, 0.0576620000, 0.1145166000, 0.2918043000, 0.8483428000", \ - "0.0304083000, 0.0323401000, 0.0383697000, 0.0569140000, 0.1137636000, 0.2910374000, 0.8469606000", \ - "0.0300034000, 0.0318251000, 0.0378375000, 0.0562372000, 0.1126580000, 0.2902981000, 0.8516763000", \ - "0.0305780000, 0.0323726000, 0.0380586000, 0.0560480000, 0.1121007000, 0.2887010000, 0.8500587000", \ - "0.0323767000, 0.0341036000, 0.0396439000, 0.0573750000, 0.1134528000, 0.2903706000, 0.8473345000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000"); - values("0.0247369000, 0.0235843000, 0.0195587000, 0.0055707000, -0.047762100, -0.225504900, -0.791192600", \ - "0.0243170000, 0.0231739000, 0.0192000000, 0.0051222000, -0.048084200, -0.225828100, -0.791494100", \ - "0.0238650000, 0.0226560000, 0.0186428000, 0.0045288000, -0.048637200, -0.226316800, -0.792003500", \ - "0.0232073000, 0.0219989000, 0.0179122000, 0.0036897000, -0.049367400, -0.226890300, -0.792496900", \ - "0.0231594000, 0.0217689000, 0.0174918000, 0.0031894000, -0.049670300, -0.226773300, -0.792312900", \ - "0.0284098000, 0.0265827000, 0.0210866000, 0.0036738000, -0.049671400, -0.226627100, -0.791915200", \ - "0.0333419000, 0.0314218000, 0.0255302000, 0.0076895000, -0.048017900, -0.225374300, -0.790040200"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015863440, 0.0050329770, 0.0159680700, 0.0506617200, 0.1607339000, 0.5099586000"); - values("0.0314506000, 0.0333302000, 0.0394235000, 0.0580362000, 0.1149053000, 0.2921032000, 0.8513946000", \ - "0.0312377000, 0.0332098000, 0.0391923000, 0.0578483000, 0.1147157000, 0.2918835000, 0.8519878000", \ - "0.0309177000, 0.0328472000, 0.0389526000, 0.0575845000, 0.1143825000, 0.2916041000, 0.8509636000", \ - "0.0306130000, 0.0324885000, 0.0384521000, 0.0568931000, 0.1136168000, 0.2907188000, 0.8510610000", \ - "0.0303449000, 0.0322732000, 0.0381762000, 0.0560965000, 0.1124882000, 0.2901295000, 0.8503830000", \ - "0.0311608000, 0.0329620000, 0.0386072000, 0.0563998000, 0.1120234000, 0.2888569000, 0.8520636000", \ - "0.0333226000, 0.0350483000, 0.0405597000, 0.0580158000, 0.1141196000, 0.2907186000, 0.8485613000"); - } - } - max_capacitance : 0.5099590000; - max_transition : 1.5044210000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000"); - values("0.1794242000, 0.1833074000, 0.1932474000, 0.2152595000, 0.2612872000, 0.3675630000, 0.6715705000", \ - "0.1845851000, 0.1884811000, 0.1984325000, 0.2203748000, 0.2662723000, 0.3727826000, 0.6763995000", \ - "0.1971462000, 0.2010235000, 0.2110096000, 0.2330001000, 0.2790358000, 0.3853597000, 0.6888844000", \ - "0.2242279000, 0.2281207000, 0.2380838000, 0.2600631000, 0.3061917000, 0.4127133000, 0.7165839000", \ - "0.2855375000, 0.2894339000, 0.2993225000, 0.3212597000, 0.3672074000, 0.4740194000, 0.7775355000", \ - "0.4067305000, 0.4110992000, 0.4221781000, 0.4461673000, 0.4956328000, 0.6044996000, 0.9090384000", \ - "0.6166190000, 0.6219832000, 0.6356252000, 0.6643857000, 0.7202821000, 0.8368277000, 1.1410498000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000"); - values("0.0918284000, 0.0956384000, 0.1060552000, 0.1326806000, 0.2057754000, 0.4299661000, 1.1327927000", \ - "0.0962322000, 0.1000703000, 0.1104946000, 0.1371346000, 0.2102197000, 0.4344053000, 1.1371009000", \ - "0.1055927000, 0.1094960000, 0.1198841000, 0.1465217000, 0.2195638000, 0.4436351000, 1.1467938000", \ - "0.1256645000, 0.1294807000, 0.1398490000, 0.1664581000, 0.2394696000, 0.4634778000, 1.1668773000", \ - "0.1614973000, 0.1656273000, 0.1765301000, 0.2039067000, 0.2773710000, 0.5018066000, 1.2047752000", \ - "0.2073992000, 0.2122812000, 0.2246534000, 0.2539609000, 0.3286876000, 0.5519538000, 1.2585022000", \ - "0.2420341000, 0.2485088000, 0.2644797000, 0.2996433000, 0.3777444000, 0.6013403000, 1.3048087000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000"); - values("0.0270151000, 0.0292594000, 0.0352753000, 0.0502974000, 0.0903679000, 0.2103345000, 0.6138639000", \ - "0.0273606000, 0.0293203000, 0.0358181000, 0.0502917000, 0.0904944000, 0.2104127000, 0.6114365000", \ - "0.0270136000, 0.0292738000, 0.0354196000, 0.0504199000, 0.0903610000, 0.2103981000, 0.6112896000", \ - "0.0272046000, 0.0294401000, 0.0355469000, 0.0504219000, 0.0903436000, 0.2103777000, 0.6118739000", \ - "0.0271586000, 0.0293896000, 0.0353721000, 0.0508849000, 0.0904274000, 0.2104373000, 0.6106762000", \ - "0.0327694000, 0.0352907000, 0.0410132000, 0.0568550000, 0.0956348000, 0.2131264000, 0.6138424000", \ - "0.0453802000, 0.0476535000, 0.0548346000, 0.0708017000, 0.1101975000, 0.2243486000, 0.6139343000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000"); - values("0.0210057000, 0.0244143000, 0.0342651000, 0.0643234000, 0.1626311000, 0.4838848000, 1.5011893000", \ - "0.0210205000, 0.0244197000, 0.0342405000, 0.0642629000, 0.1626285000, 0.4838946000, 1.5010634000", \ - "0.0210512000, 0.0244120000, 0.0342425000, 0.0643404000, 0.1625084000, 0.4838784000, 1.5011247000", \ - "0.0211060000, 0.0244514000, 0.0342654000, 0.0644369000, 0.1625435000, 0.4838359000, 1.5011641000", \ - "0.0236412000, 0.0269859000, 0.0367336000, 0.0662502000, 0.1635368000, 0.4837685000, 1.5011568000", \ - "0.0296821000, 0.0330465000, 0.0432511000, 0.0709208000, 0.1653566000, 0.4819817000, 1.5003772000", \ - "0.0419511000, 0.0458914000, 0.0565707000, 0.0835526000, 0.1702403000, 0.4835568000, 1.4945181000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000"); - values("0.1629001000, 0.1667835000, 0.1767070000, 0.1988171000, 0.2447848000, 0.3509430000, 0.6547117000", \ - "0.1669428000, 0.1708396000, 0.1807852000, 0.2028017000, 0.2488832000, 0.3551968000, 0.6587268000", \ - "0.1777025000, 0.1815534000, 0.1914972000, 0.2135167000, 0.2596185000, 0.3659711000, 0.6697596000", \ - "0.2061578000, 0.2100685000, 0.2200166000, 0.2420078000, 0.2879404000, 0.3945712000, 0.6987067000", \ - "0.2751042000, 0.2790180000, 0.2889020000, 0.3107205000, 0.3564044000, 0.4633104000, 0.7669959000", \ - "0.4145607000, 0.4192351000, 0.4309026000, 0.4552873000, 0.5038420000, 0.6126850000, 0.9161629000", \ - "0.6408246000, 0.6468981000, 0.6622714000, 0.6944760000, 0.7523265000, 0.8662150000, 1.1725843000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000"); - values("0.0856212000, 0.0895050000, 0.1001278000, 0.1272672000, 0.2004477000, 0.4236371000, 1.1304541000", \ - "0.0901740000, 0.0941451000, 0.1047548000, 0.1318821000, 0.2052042000, 0.4282820000, 1.1327043000", \ - "0.0988489000, 0.1027721000, 0.1134161000, 0.1405163000, 0.2137782000, 0.4367532000, 1.1409593000", \ - "0.1165524000, 0.1204852000, 0.1311069000, 0.1581384000, 0.2314838000, 0.4553698000, 1.1569835000", \ - "0.1457895000, 0.1500709000, 0.1613796000, 0.1893901000, 0.2632160000, 0.4868777000, 1.1932122000", \ - "0.1798477000, 0.1849600000, 0.1978663000, 0.2281626000, 0.3035026000, 0.5274052000, 1.2312900000", \ - "0.1928019000, 0.1996217000, 0.2167523000, 0.2536663000, 0.3330297000, 0.5567531000, 1.2600262000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000"); - values("0.0270509000, 0.0292771000, 0.0354355000, 0.0504688000, 0.0904032000, 0.2100605000, 0.6132257000", \ - "0.0272395000, 0.0294727000, 0.0354614000, 0.0503279000, 0.0903970000, 0.2103326000, 0.6132346000", \ - "0.0273040000, 0.0294473000, 0.0354679000, 0.0502804000, 0.0903407000, 0.2104927000, 0.6113732000", \ - "0.0270869000, 0.0293886000, 0.0355313000, 0.0502944000, 0.0904686000, 0.2105812000, 0.6127315000", \ - "0.0270877000, 0.0293902000, 0.0354704000, 0.0502345000, 0.0907141000, 0.2106436000, 0.6118317000", \ - "0.0366343000, 0.0391440000, 0.0455119000, 0.0588236000, 0.0956770000, 0.2133078000, 0.6119781000", \ - "0.0538691000, 0.0569584000, 0.0646356000, 0.0803821000, 0.1146534000, 0.2242430000, 0.6151942000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000"); - values("0.0212409000, 0.0246061000, 0.0346018000, 0.0648250000, 0.1626774000, 0.4837908000, 1.5018164000", \ - "0.0213390000, 0.0247041000, 0.0346230000, 0.0647600000, 0.1629866000, 0.4830731000, 1.4990253000", \ - "0.0213363000, 0.0247184000, 0.0346251000, 0.0647693000, 0.1628629000, 0.4827345000, 1.4998432000", \ - "0.0217105000, 0.0250423000, 0.0350719000, 0.0649471000, 0.1630079000, 0.4837510000, 1.4995671000", \ - "0.0245645000, 0.0279725000, 0.0376876000, 0.0672699000, 0.1636668000, 0.4827794000, 1.5044208000", \ - "0.0313618000, 0.0347590000, 0.0447659000, 0.0728697000, 0.1662895000, 0.4820174000, 1.4990331000", \ - "0.0447700000, 0.0489775000, 0.0598782000, 0.0867364000, 0.1728573000, 0.4842042000, 1.4982131000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000"); - values("0.0855756000, 0.0886027000, 0.0964216000, 0.1149069000, 0.1572844000, 0.2597968000, 0.5614166000", \ - "0.0908521000, 0.0937988000, 0.1016540000, 0.1201948000, 0.1626319000, 0.2651681000, 0.5668795000", \ - "0.1040908000, 0.1069987000, 0.1148100000, 0.1333453000, 0.1758474000, 0.2783760000, 0.5807209000", \ - "0.1363760000, 0.1393249000, 0.1471042000, 0.1655543000, 0.2081372000, 0.3108306000, 0.6130395000", \ - "0.2052914000, 0.2086408000, 0.2172657000, 0.2370345000, 0.2807059000, 0.3829769000, 0.6852152000", \ - "0.3201192000, 0.3244230000, 0.3356884000, 0.3603286000, 0.4115334000, 0.5202398000, 0.8219033000", \ - "0.5077440000, 0.5133734000, 0.5273012000, 0.5596177000, 0.6254853000, 0.7485467000, 1.0535538000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000"); - values("0.0848856000, 0.0886865000, 0.0991085000, 0.1257663000, 0.1989082000, 0.4231330000, 1.1259795000", \ - "0.0889653000, 0.0928212000, 0.1031696000, 0.1299097000, 0.2032000000, 0.4264813000, 1.1300901000", \ - "0.0987954000, 0.1026995000, 0.1131106000, 0.1397295000, 0.2128038000, 0.4370223000, 1.1400469000", \ - "0.1228097000, 0.1265942000, 0.1369288000, 0.1633827000, 0.2365166000, 0.4596065000, 1.1643687000", \ - "0.1609926000, 0.1650354000, 0.1758623000, 0.2030252000, 0.2763443000, 0.4998935000, 1.2046936000", \ - "0.2049994000, 0.2099421000, 0.2224707000, 0.2510384000, 0.3249547000, 0.5493651000, 1.2530784000", \ - "0.2327299000, 0.2393742000, 0.2556191000, 0.2904473000, 0.3664199000, 0.5894520000, 1.2936808000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000"); - values("0.0172841000, 0.0191860000, 0.0251101000, 0.0411605000, 0.0828023000, 0.2033193000, 0.6088952000", \ - "0.0172002000, 0.0193430000, 0.0251820000, 0.0411585000, 0.0827912000, 0.2032008000, 0.6081589000", \ - "0.0171893000, 0.0193170000, 0.0249370000, 0.0411120000, 0.0826956000, 0.2032227000, 0.6080784000", \ - "0.0171680000, 0.0194030000, 0.0250454000, 0.0411802000, 0.0826515000, 0.2029703000, 0.6078531000", \ - "0.0216619000, 0.0237078000, 0.0293331000, 0.0443694000, 0.0844567000, 0.2041599000, 0.6075128000", \ - "0.0323305000, 0.0345872000, 0.0415477000, 0.0577726000, 0.0979837000, 0.2102534000, 0.6082649000", \ - "0.0487041000, 0.0518258000, 0.0605436000, 0.0806460000, 0.1264223000, 0.2298852000, 0.6118550000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015863400, 0.0050329800, 0.0159681000, 0.0506617000, 0.1607340000, 0.5099590000"); - values("0.0210194000, 0.0244297000, 0.0342620000, 0.0643472000, 0.1626461000, 0.4838970000, 1.5011384000", \ - "0.0209664000, 0.0242673000, 0.0342460000, 0.0643709000, 0.1628384000, 0.4833194000, 1.4978221000", \ - "0.0210599000, 0.0243856000, 0.0342183000, 0.0643046000, 0.1625693000, 0.4839160000, 1.5011040000", \ - "0.0212124000, 0.0245385000, 0.0343286000, 0.0644579000, 0.1627965000, 0.4822261000, 1.4999936000", \ - "0.0241496000, 0.0272303000, 0.0369356000, 0.0666993000, 0.1634964000, 0.4828467000, 1.5006046000", \ - "0.0316699000, 0.0349237000, 0.0435945000, 0.0710406000, 0.1655318000, 0.4821830000, 1.4992791000", \ - "0.0449280000, 0.0489921000, 0.0589535000, 0.0842841000, 0.1706999000, 0.4841962000, 1.4951113000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o21ai_0") { - leakage_power () { - value : 0.0006167000; - when : "!A1&!A2&B1"; - } - leakage_power () { - value : 6.4139476e-05; - when : "!A1&!A2&!B1"; - } - leakage_power () { - value : 0.0023595000; - when : "!A1&A2&B1"; - } - leakage_power () { - value : 0.0003568000; - when : "!A1&A2&!B1"; - } - leakage_power () { - value : 0.0025649000; - when : "A1&!A2&B1"; - } - leakage_power () { - value : 0.0003567000; - when : "A1&!A2&!B1"; - } - leakage_power () { - value : 0.0016325000; - when : "A1&A2&B1"; - } - leakage_power () { - value : 0.0003568000; - when : "A1&A2&!B1"; - } - area : 5.0048000000; - cell_footprint : "sky130_fd_sc_hd__o21ai"; - cell_leakage_power : 0.0010385090; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0017470000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016770000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0029027000, 0.0029025000, 0.0029019000, 0.0029015000, 0.0029004000, 0.0028981000, 0.0028927000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002911200, -0.002903600, -0.002886200, -0.002886900, -0.002888600, -0.002892500, -0.002901600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018180000; - } - pin ("A2") { - capacitance : 0.0017060000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016040000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0028299000, 0.0028267000, 0.0028194000, 0.0028194000, 0.0028196000, 0.0028199000, 0.0028207000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002819000, -0.002817400, -0.002814000, -0.002813900, -0.002813800, -0.002813600, -0.002813000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018090000; - } - pin ("B1") { - capacitance : 0.0016580000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016220000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0035057000, 0.0035047000, 0.0035023000, 0.0035017000, 0.0035003000, 0.0034970000, 0.0034894000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001929900, -0.001936400, -0.001951200, -0.001942600, -0.001922600, -0.001876700, -0.001770900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016950000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2) | (!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264120, 0.0050181660, 0.0108243900, 0.0233486500, 0.0503639900"); - values("0.0026146000, 0.0016789000, -0.000330600, -0.004660200, -0.014028800, -0.034312000, -0.078047800", \ - "0.0025198000, 0.0015986000, -0.000398000, -0.004731600, -0.014105200, -0.034358500, -0.078100000", \ - "0.0023930000, 0.0014842000, -0.000499200, -0.004812400, -0.014173900, -0.034425300, -0.078150300", \ - "0.0022777000, 0.0013741000, -0.000605000, -0.004889000, -0.014228400, -0.034448700, -0.078172800", \ - "0.0022947000, 0.0013138000, -0.000681300, -0.004972600, -0.014273800, -0.034487100, -0.078185200", \ - "0.0023559000, 0.0014340000, -0.000575200, -0.004931600, -0.014380200, -0.034567500, -0.078225900", \ - "0.0028140000, 0.0018620000, -0.000226600, -0.004641500, -0.014091000, -0.034477200, -0.078193300"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264120, 0.0050181660, 0.0108243900, 0.0233486500, 0.0503639900"); - values("0.0077185000, 0.0086568000, 0.0106860000, 0.0150267000, 0.0243651000, 0.0444061000, 0.0875928000", \ - "0.0076128000, 0.0085715000, 0.0106072000, 0.0149635000, 0.0243171000, 0.0443591000, 0.0875636000", \ - "0.0075006000, 0.0084525000, 0.0105091000, 0.0148850000, 0.0242394000, 0.0443211000, 0.0875315000", \ - "0.0074061000, 0.0083555000, 0.0104032000, 0.0147995000, 0.0241906000, 0.0442995000, 0.0874675000", \ - "0.0073371000, 0.0083019000, 0.0103457000, 0.0147453000, 0.0240810000, 0.0442047000, 0.0874182000", \ - "0.0073024000, 0.0082441000, 0.0103006000, 0.0146609000, 0.0241117000, 0.0442004000, 0.0873998000", \ - "0.0075752000, 0.0084700000, 0.0104180000, 0.0146652000, 0.0242036000, 0.0442780000, 0.0874934000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264120, 0.0050181660, 0.0108243900, 0.0233486500, 0.0503639900"); - values("0.0018067000, 0.0009012000, -0.001078300, -0.005405400, -0.014792600, -0.035071400, -0.078835200", \ - "0.0017004000, 0.0008338000, -0.001115300, -0.005409300, -0.014772300, -0.035035800, -0.078790700", \ - "0.0015119000, 0.0006700000, -0.001234200, -0.005478900, -0.014794700, -0.035039700, -0.078774600", \ - "0.0013004000, 0.0004463000, -0.001447300, -0.005637200, -0.014896700, -0.035088700, -0.078790100", \ - "0.0012804000, 0.0003747000, -0.001609700, -0.005832200, -0.015051000, -0.035177200, -0.078836400", \ - "0.0012942000, 0.0003884000, -0.001606400, -0.005902800, -0.015258800, -0.035359200, -0.078937100", \ - "0.0018352000, 0.0008582000, -0.001270100, -0.005684100, -0.015124800, -0.035422900, -0.079043000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264120, 0.0050181660, 0.0108243900, 0.0233486500, 0.0503639900"); - values("0.0062043000, 0.0071586000, 0.0091984000, 0.0135690000, 0.0228985000, 0.0429268000, 0.0861631000", \ - "0.0060657000, 0.0070356000, 0.0090938000, 0.0134502000, 0.0228208000, 0.0428952000, 0.0860804000", \ - "0.0058806000, 0.0068580000, 0.0089192000, 0.0133295000, 0.0227732000, 0.0428377000, 0.0860095000", \ - "0.0057585000, 0.0067167000, 0.0087815000, 0.0131890000, 0.0226036000, 0.0427525000, 0.0860264000", \ - "0.0057701000, 0.0066999000, 0.0087415000, 0.0130879000, 0.0224661000, 0.0426260000, 0.0858456000", \ - "0.0060328000, 0.0069463000, 0.0089344000, 0.0132107000, 0.0224968000, 0.0425051000, 0.0857443000", \ - "0.0069006000, 0.0078588000, 0.0096533000, 0.0139472000, 0.0232119000, 0.0432572000, 0.0858050000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264120, 0.0050181660, 0.0108243900, 0.0233486500, 0.0503639900"); - values("0.0016815000, 0.0007904000, -0.001178100, -0.005477300, -0.014837900, -0.035097900, -0.078813900", \ - "0.0015852000, 0.0006811000, -0.001258300, -0.005525000, -0.014857100, -0.035094900, -0.078817600", \ - "0.0014345000, 0.0005579000, -0.001392800, -0.005619600, -0.014917100, -0.035123600, -0.078821500", \ - "0.0012576000, 0.0003767000, -0.001565600, -0.005786100, -0.015068800, -0.035205200, -0.078867400", \ - "0.0012054000, 0.0002893000, -0.001689400, -0.005967400, -0.015215100, -0.035347100, -0.078964300", \ - "0.0015187000, 0.0005534000, -0.001500600, -0.005896800, -0.015314900, -0.035475400, -0.079098400", \ - "0.0024392000, 0.0013871000, -0.000754800, -0.005305800, -0.014764600, -0.035385500, -0.079164000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264120, 0.0050181660, 0.0108243900, 0.0233486500, 0.0503639900"); - values("0.0045366000, 0.0055672000, 0.0076883000, 0.0120792000, 0.0214398000, 0.0414467000, 0.0845862000", \ - "0.0043706000, 0.0054141000, 0.0075763000, 0.0119874000, 0.0213539000, 0.0414703000, 0.0846191000", \ - "0.0042052000, 0.0052224000, 0.0073128000, 0.0118169000, 0.0212644000, 0.0413851000, 0.0846303000", \ - "0.0041052000, 0.0050708000, 0.0071598000, 0.0116003000, 0.0210554000, 0.0412098000, 0.0843918000", \ - "0.0041898000, 0.0051149000, 0.0071863000, 0.0115062000, 0.0208244000, 0.0411288000, 0.0838843000", \ - "0.0044015000, 0.0053859000, 0.0075625000, 0.0118208000, 0.0210233000, 0.0408800000, 0.0842573000", \ - "0.0057047000, 0.0064496000, 0.0082059000, 0.0125009000, 0.0214387000, 0.0414769000, 0.0849115000"); - } - } - max_capacitance : 0.0503640000; - max_transition : 1.4843140000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264100, 0.0050181700, 0.0108244000, 0.0233486000, 0.0503640000"); - values("0.0436490000, 0.0495469000, 0.0616037000, 0.0871112000, 0.1412386000, 0.2569616000, 0.5057608000", \ - "0.0482914000, 0.0540965000, 0.0662570000, 0.0918149000, 0.1458842000, 0.2617472000, 0.5102848000", \ - "0.0580325000, 0.0639239000, 0.0761485000, 0.1017791000, 0.1560266000, 0.2718948000, 0.5212040000", \ - "0.0766947000, 0.0835814000, 0.0967656000, 0.1229501000, 0.1773701000, 0.2933616000, 0.5423204000", \ - "0.1052199000, 0.1145394000, 0.1327040000, 0.1653298000, 0.2254060000, 0.3423264000, 0.5917594000", \ - "0.1377645000, 0.1530298000, 0.1807592000, 0.2299330000, 0.3115596000, 0.4491964000, 0.7056271000", \ - "0.1600048000, 0.1832382000, 0.2279469000, 0.3053224000, 0.4325055000, 0.6297551000, 0.9460914000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264100, 0.0050181700, 0.0108244000, 0.0233486000, 0.0503640000"); - values("0.0901964000, 0.1023199000, 0.1286690000, 0.1842828000, 0.3033011000, 0.5587113000, 1.1080346000", \ - "0.0951948000, 0.1074794000, 0.1340034000, 0.1897490000, 0.3089096000, 0.5645181000, 1.1136404000", \ - "0.1070936000, 0.1193924000, 0.1458601000, 0.2022283000, 0.3213622000, 0.5769431000, 1.1263223000", \ - "0.1327502000, 0.1450721000, 0.1714326000, 0.2275834000, 0.3471835000, 0.6030398000, 1.1534889000", \ - "0.1842171000, 0.1991228000, 0.2283995000, 0.2854895000, 0.4050127000, 0.6609737000, 1.2115867000", \ - "0.2693001000, 0.2907251000, 0.3302361000, 0.4035000000, 0.5382927000, 0.7952084000, 1.3463551000", \ - "0.4056318000, 0.4366866000, 0.4967218000, 0.6031790000, 0.7851442000, 1.0944748000, 1.6543490000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264100, 0.0050181700, 0.0108244000, 0.0233486000, 0.0503640000"); - values("0.0301504000, 0.0374475000, 0.0529754000, 0.0864064000, 0.1585125000, 0.3126197000, 0.6466603000", \ - "0.0300923000, 0.0373355000, 0.0528810000, 0.0863711000, 0.1584118000, 0.3132538000, 0.6468118000", \ - "0.0302498000, 0.0373164000, 0.0528391000, 0.0862933000, 0.1585150000, 0.3131846000, 0.6478661000", \ - "0.0365011000, 0.0428306000, 0.0564693000, 0.0878992000, 0.1581149000, 0.3129277000, 0.6475223000", \ - "0.0539438000, 0.0611936000, 0.0764527000, 0.1055058000, 0.1677334000, 0.3151845000, 0.6483672000", \ - "0.0901895000, 0.0999559000, 0.1192483000, 0.1546331000, 0.2186766000, 0.3481432000, 0.6542841000", \ - "0.1573385000, 0.1727827000, 0.2000500000, 0.2490070000, 0.3300538000, 0.4739658000, 0.7520092000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264100, 0.0050181700, 0.0108244000, 0.0233486000, 0.0503640000"); - values("0.0713990000, 0.0876398000, 0.1227914000, 0.1976577000, 0.3596843000, 0.7090999000, 1.4617978000", \ - "0.0713589000, 0.0876369000, 0.1228039000, 0.1977088000, 0.3597438000, 0.7088605000, 1.4619128000", \ - "0.0713544000, 0.0875971000, 0.1225973000, 0.1983581000, 0.3592818000, 0.7087852000, 1.4604564000", \ - "0.0724413000, 0.0879195000, 0.1225641000, 0.1978812000, 0.3599478000, 0.7091610000, 1.4594815000", \ - "0.0897458000, 0.1043552000, 0.1345012000, 0.2037099000, 0.3603660000, 0.7087931000, 1.4614225000", \ - "0.1314061000, 0.1484393000, 0.1822533000, 0.2481951000, 0.3871730000, 0.7125303000, 1.4613132000", \ - "0.2149693000, 0.2370327000, 0.2801162000, 0.3584362000, 0.5053753000, 0.7951551000, 1.4798190000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264100, 0.0050181700, 0.0108244000, 0.0233486000, 0.0503640000"); - values("0.0343842000, 0.0396189000, 0.0506527000, 0.0737989000, 0.1242194000, 0.2343878000, 0.4636627000", \ - "0.0389537000, 0.0442939000, 0.0554245000, 0.0787548000, 0.1287721000, 0.2371769000, 0.4679994000", \ - "0.0481582000, 0.0536923000, 0.0650562000, 0.0889139000, 0.1397719000, 0.2477161000, 0.4782937000", \ - "0.0631867000, 0.0703485000, 0.0842924000, 0.1096610000, 0.1605467000, 0.2699155000, 0.5005524000", \ - "0.0817333000, 0.0929690000, 0.1138623000, 0.1481063000, 0.2080056000, 0.3181691000, 0.5517035000", \ - "0.0964391000, 0.1144305000, 0.1474654000, 0.2009939000, 0.2872920000, 0.4230604000, 0.6661839000", \ - "0.0834065000, 0.1143334000, 0.1664923000, 0.2538834000, 0.3889402000, 0.5905442000, 0.9013650000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264100, 0.0050181700, 0.0108244000, 0.0233486000, 0.0503640000"); - values("0.0784626000, 0.0909055000, 0.1174909000, 0.1732014000, 0.2922046000, 0.5478493000, 1.0971752000", \ - "0.0819515000, 0.0945067000, 0.1211656000, 0.1772520000, 0.2962913000, 0.5518794000, 1.1016664000", \ - "0.0921697000, 0.1047104000, 0.1311261000, 0.1877541000, 0.3073894000, 0.5632830000, 1.1134342000", \ - "0.1204817000, 0.1328172000, 0.1591975000, 0.2156296000, 0.3357049000, 0.5919783000, 1.1419398000", \ - "0.1813785000, 0.1970938000, 0.2264538000, 0.2822367000, 0.4014453000, 0.6576322000, 1.2084965000", \ - "0.2777073000, 0.3018108000, 0.3470572000, 0.4267618000, 0.5588200000, 0.8119693000, 1.3609422000", \ - "0.4326880000, 0.4697477000, 0.5381379000, 0.6585262000, 0.8611430000, 1.1743526000, 1.7185852000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264100, 0.0050181700, 0.0108244000, 0.0233486000, 0.0503640000"); - values("0.0202737000, 0.0268759000, 0.0413774000, 0.0721997000, 0.1390982000, 0.2854241000, 0.5942348000", \ - "0.0202355000, 0.0270123000, 0.0413673000, 0.0722146000, 0.1391846000, 0.2830597000, 0.5928624000", \ - "0.0212453000, 0.0275243000, 0.0415492000, 0.0724819000, 0.1397417000, 0.2847607000, 0.5978730000", \ - "0.0293519000, 0.0352466000, 0.0478510000, 0.0753855000, 0.1394663000, 0.2838008000, 0.5941679000", \ - "0.0481230000, 0.0554316000, 0.0700430000, 0.0966884000, 0.1524987000, 0.2861138000, 0.6004303000", \ - "0.0843080000, 0.0944089000, 0.1141817000, 0.1480717000, 0.2075343000, 0.3272329000, 0.6083431000", \ - "0.1544036000, 0.1685860000, 0.1959194000, 0.2433064000, 0.3221091000, 0.4565990000, 0.7136159000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264100, 0.0050181700, 0.0108244000, 0.0233486000, 0.0503640000"); - values("0.0712012000, 0.0874553000, 0.1223644000, 0.1979550000, 0.3595213000, 0.7082481000, 1.4606076000", \ - "0.0712047000, 0.0874609000, 0.1224929000, 0.1984626000, 0.3593482000, 0.7084312000, 1.4609612000", \ - "0.0712444000, 0.0875782000, 0.1223909000, 0.1979139000, 0.3598161000, 0.7087659000, 1.4608733000", \ - "0.0741651000, 0.0888802000, 0.1225220000, 0.1977227000, 0.3599120000, 0.7086544000, 1.4616099000", \ - "0.1012434000, 0.1144389000, 0.1414968000, 0.2060893000, 0.3595809000, 0.7090534000, 1.4656372000", \ - "0.1539361000, 0.1737543000, 0.2099259000, 0.2729428000, 0.3978294000, 0.7133099000, 1.4611383000", \ - "0.2392376000, 0.2685213000, 0.3266396000, 0.4179388000, 0.5659103000, 0.8276886000, 1.4843142000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264100, 0.0050181700, 0.0108244000, 0.0233486000, 0.0503640000"); - values("0.0370172000, 0.0429432000, 0.0553835000, 0.0809910000, 0.1352077000, 0.2509869000, 0.4998730000", \ - "0.0409376000, 0.0469908000, 0.0594156000, 0.0851784000, 0.1396266000, 0.2554770000, 0.5042483000", \ - "0.0512235000, 0.0571671000, 0.0693900000, 0.0952874000, 0.1498122000, 0.2659399000, 0.5148138000", \ - "0.0717807000, 0.0793671000, 0.0936955000, 0.1199572000, 0.1739486000, 0.2907130000, 0.5397469000", \ - "0.0984989000, 0.1099933000, 0.1316271000, 0.1696546000, 0.2324511000, 0.3480737000, 0.5972538000", \ - "0.1265547000, 0.1437625000, 0.1767736000, 0.2347326000, 0.3309359000, 0.4796246000, 0.7303014000", \ - "0.1434929000, 0.1697120000, 0.2191828000, 0.3075885000, 0.4525756000, 0.6793692000, 1.0249919000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264100, 0.0050181700, 0.0108244000, 0.0233486000, 0.0503640000"); - values("0.0293638000, 0.0356612000, 0.0485600000, 0.0756354000, 0.1327393000, 0.2553406000, 0.5201094000", \ - "0.0345536000, 0.0408496000, 0.0537833000, 0.0810133000, 0.1395615000, 0.2620857000, 0.5251943000", \ - "0.0478290000, 0.0539083000, 0.0665873000, 0.0938997000, 0.1515278000, 0.2740701000, 0.5384653000", \ - "0.0729704000, 0.0820910000, 0.0979339000, 0.1252241000, 0.1826197000, 0.3052347000, 0.5687221000", \ - "0.1115117000, 0.1263564000, 0.1517048000, 0.1926359000, 0.2551768000, 0.3774305000, 0.6402085000", \ - "0.1712993000, 0.1949414000, 0.2365501000, 0.3035071000, 0.4030221000, 0.5502460000, 0.8097813000", \ - "0.2681894000, 0.3045884000, 0.3675332000, 0.4732022000, 0.6388763000, 0.8751698000, 1.2051345000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264100, 0.0050181700, 0.0108244000, 0.0233486000, 0.0503640000"); - values("0.0301383000, 0.0373865000, 0.0530168000, 0.0863600000, 0.1583972000, 0.3144443000, 0.6466855000", \ - "0.0301128000, 0.0374270000, 0.0529161000, 0.0862745000, 0.1585355000, 0.3127465000, 0.6465596000", \ - "0.0306421000, 0.0375522000, 0.0526194000, 0.0861244000, 0.1584546000, 0.3131684000, 0.6461301000", \ - "0.0422715000, 0.0492361000, 0.0608785000, 0.0900985000, 0.1580298000, 0.3132735000, 0.6472217000", \ - "0.0659815000, 0.0749904000, 0.0913718000, 0.1215078000, 0.1769250000, 0.3163814000, 0.6473820000", \ - "0.1075074000, 0.1210394000, 0.1461672000, 0.1875948000, 0.2558271000, 0.3728904000, 0.6609624000", \ - "0.1787290000, 0.2012159000, 0.2374143000, 0.2999501000, 0.4029098000, 0.5577397000, 0.8143225000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010785200, 0.0023264100, 0.0050181700, 0.0108244000, 0.0233486000, 0.0503640000"); - values("0.0325444000, 0.0416005000, 0.0605810000, 0.0997379000, 0.1811897000, 0.3553317000, 0.7314158000", \ - "0.0325500000, 0.0415310000, 0.0605735000, 0.0997502000, 0.1815036000, 0.3553188000, 0.7301158000", \ - "0.0350243000, 0.0428541000, 0.0605584000, 0.0997559000, 0.1811466000, 0.3552158000, 0.7331827000", \ - "0.0531846000, 0.0574072000, 0.0704203000, 0.1030229000, 0.1811758000, 0.3554500000, 0.7317689000", \ - "0.0908905000, 0.0972897000, 0.1102120000, 0.1342412000, 0.1962020000, 0.3559003000, 0.7317399000", \ - "0.1525316000, 0.1626079000, 0.1820162000, 0.2171189000, 0.2757479000, 0.3989175000, 0.7360952000", \ - "0.2510516000, 0.2681264000, 0.3006811000, 0.3587374000, 0.4482859000, 0.5880856000, 0.8527456000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o21ai_1") { - leakage_power () { - value : 0.0005413000; - when : "!A1&!A2&B1"; - } - leakage_power () { - value : 5.8967899e-05; - when : "!A1&!A2&!B1"; - } - leakage_power () { - value : 0.0028398000; - when : "!A1&A2&B1"; - } - leakage_power () { - value : 0.0003116000; - when : "!A1&A2&!B1"; - } - leakage_power () { - value : 0.0029319000; - when : "A1&!A2&B1"; - } - leakage_power () { - value : 0.0003116000; - when : "A1&!A2&!B1"; - } - leakage_power () { - value : 0.0010667000; - when : "A1&A2&B1"; - } - leakage_power () { - value : 0.0003116000; - when : "A1&A2&!B1"; - } - area : 5.0048000000; - cell_footprint : "sky130_fd_sc_hd__o21ai"; - cell_leakage_power : 0.0010466900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023350000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040070000, 0.0040018000, 0.0039898000, 0.0039883000, 0.0039850000, 0.0039774000, 0.0039599000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003977900, -0.003976300, -0.003972500, -0.003971700, -0.003969800, -0.003965400, -0.003955400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024370000; - } - pin ("A2") { - capacitance : 0.0024590000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023010000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042431000, 0.0042424000, 0.0042410000, 0.0042402000, 0.0042385000, 0.0042344000, 0.0042252000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004245300, -0.004242900, -0.004237600, -0.004237400, -0.004237100, -0.004236300, -0.004234500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026170000; - } - pin ("B1") { - capacitance : 0.0019960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0019750000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038374000, 0.0038377000, 0.0038383000, 0.0038382000, 0.0038380000, 0.0038376000, 0.0038366000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001632200, -0.001642000, -0.001664700, -0.001652400, -0.001624200, -0.001559300, -0.001409500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020180000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2) | (!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011663880, 0.0027209210, 0.0063472980, 0.0148068200, 0.0345409900, 0.0805763800"); - values("0.0041742000, 0.0031045000, 0.0006145000, -0.005235300, -0.018883300, -0.050807800, -0.125329800", \ - "0.0040490000, 0.0029875000, 0.0004995000, -0.005321300, -0.018974100, -0.050884900, -0.125413300", \ - "0.0038734000, 0.0028238000, 0.0003615000, -0.005437200, -0.019058000, -0.050958600, -0.125464500", \ - "0.0036734000, 0.0026251000, 0.0002004000, -0.005575200, -0.019160100, -0.051019400, -0.125517400", \ - "0.0037412000, 0.0026704000, 0.0001093000, -0.005684700, -0.019219300, -0.051048800, -0.125522600", \ - "0.0038101000, 0.0027455000, 0.0002417000, -0.005634300, -0.019379400, -0.051184500, -0.125623600", \ - "0.0047928000, 0.0036442000, 0.0010479000, -0.004986700, -0.018820000, -0.050990500, -0.125549500"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011663880, 0.0027209210, 0.0063472980, 0.0148068200, 0.0345409900, 0.0805763800"); - values("0.0105737000, 0.0116650000, 0.0141870000, 0.0200376000, 0.0336439000, 0.0652198000, 0.1388122000", \ - "0.0104286000, 0.0115306000, 0.0140737000, 0.0199546000, 0.0335682000, 0.0651672000, 0.1388836000", \ - "0.0102732000, 0.0113797000, 0.0139503000, 0.0198681000, 0.0335277000, 0.0651690000, 0.1387822000", \ - "0.0101376000, 0.0112444000, 0.0137869000, 0.0197119000, 0.0333786000, 0.0650459000, 0.1386714000", \ - "0.0100592000, 0.0111596000, 0.0137232000, 0.0196202000, 0.0332661000, 0.0649341000, 0.1386406000", \ - "0.0099730000, 0.0111503000, 0.0136646000, 0.0196127000, 0.0332958000, 0.0650119000, 0.1387668000", \ - "0.0108476000, 0.0118335000, 0.0142051000, 0.0198259000, 0.0335850000, 0.0652605000, 0.1387566000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011663880, 0.0027209210, 0.0063472980, 0.0148068200, 0.0345409900, 0.0805763800"); - values("0.0030592000, 0.0020548000, -0.000383000, -0.006184100, -0.019849700, -0.051798100, -0.126350800", \ - "0.0028585000, 0.0018912000, -0.000474400, -0.006213000, -0.019828400, -0.051750000, -0.126306300", \ - "0.0025445000, 0.0015989000, -0.000706900, -0.006354600, -0.019885900, -0.051756900, -0.126283700", \ - "0.0022458000, 0.0012597000, -0.001053600, -0.006639200, -0.020054300, -0.051842000, -0.126313900", \ - "0.0022665000, 0.0012278000, -0.001164300, -0.006937800, -0.020315900, -0.051985800, -0.126383700", \ - "0.0023920000, 0.0013046000, -0.001192100, -0.006985200, -0.020599200, -0.052277000, -0.126566300", \ - "0.0036038000, 0.0024509000, -0.000279700, -0.006358800, -0.020220900, -0.052174800, -0.126657600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011663880, 0.0027209210, 0.0063472980, 0.0148068200, 0.0345409900, 0.0805763800"); - values("0.0083108000, 0.0094322000, 0.0119889000, 0.0178763000, 0.0314875000, 0.0630843000, 0.1366988000", \ - "0.0080103000, 0.0091897000, 0.0117522000, 0.0176993000, 0.0313793000, 0.0630116000, 0.1366128000", \ - "0.0077679000, 0.0088989000, 0.0114494000, 0.0174678000, 0.0311964000, 0.0629294000, 0.1365791000", \ - "0.0076866000, 0.0087928000, 0.0113640000, 0.0171489000, 0.0309745000, 0.0627595000, 0.1364802000", \ - "0.0076969000, 0.0087702000, 0.0112796000, 0.0172148000, 0.0308329000, 0.0625518000, 0.1364008000", \ - "0.0088200000, 0.0098301000, 0.0123522000, 0.0175346000, 0.0309358000, 0.0624772000, 0.1361942000", \ - "0.0099047000, 0.0110756000, 0.0133506000, 0.0188019000, 0.0323040000, 0.0636314000, 0.1368036000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011663880, 0.0027209210, 0.0063472980, 0.0148068200, 0.0345409900, 0.0805763800"); - values("0.0024482000, 0.0013994000, -0.001062500, -0.006861900, -0.020505900, -0.052428600, -0.126949300", \ - "0.0023489000, 0.0013096000, -0.001126200, -0.006896400, -0.020507500, -0.052428100, -0.126934700", \ - "0.0022355000, 0.0011900000, -0.001214300, -0.006985200, -0.020559600, -0.052410700, -0.126926500", \ - "0.0020394000, 0.0010072000, -0.001382600, -0.007119600, -0.020660800, -0.052510600, -0.126973200", \ - "0.0020371000, 0.0009287000, -0.001551400, -0.007317600, -0.020843200, -0.052620000, -0.127054300", \ - "0.0024562000, 0.0015744000, -0.001222400, -0.007145300, -0.020903600, -0.052750700, -0.127167100", \ - "0.0038565000, 0.0026641000, -5.88000e-05, -0.006240400, -0.020021300, -0.052558300, -0.127169400"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011663880, 0.0027209210, 0.0063472980, 0.0148068200, 0.0345409900, 0.0805763800"); - values("0.0059098000, 0.0071299000, 0.0097941000, 0.0157466000, 0.0293947000, 0.0609754000, 0.1344587000", \ - "0.0056725000, 0.0068901000, 0.0095881000, 0.0155957000, 0.0292874000, 0.0609329000, 0.1343946000", \ - "0.0054665000, 0.0066321000, 0.0093004000, 0.0152260000, 0.0291188000, 0.0608795000, 0.1344460000", \ - "0.0053731000, 0.0064747000, 0.0090565000, 0.0150406000, 0.0288506000, 0.0606266000, 0.1343335000", \ - "0.0056785000, 0.0067462000, 0.0092408000, 0.0150666000, 0.0286842000, 0.0604109000, 0.1340285000", \ - "0.0060268000, 0.0071691000, 0.0096650000, 0.0154879000, 0.0289452000, 0.0604245000, 0.1338848000", \ - "0.0077968000, 0.0087581000, 0.0109548000, 0.0164045000, 0.0296959000, 0.0609048000, 0.1340662000"); - } - } - max_capacitance : 0.0805760000; - max_transition : 1.4900030000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0370636000, 0.0412873000, 0.0506141000, 0.0715936000, 0.1194165000, 0.2297097000, 0.4859544000", \ - "0.0414390000, 0.0457069000, 0.0551016000, 0.0760250000, 0.1238668000, 0.2343411000, 0.4904901000", \ - "0.0507754000, 0.0549840000, 0.0644013000, 0.0855200000, 0.1334047000, 0.2438759000, 0.5003855000", \ - "0.0674541000, 0.0724410000, 0.0834167000, 0.1059427000, 0.1542239000, 0.2647956000, 0.5211881000", \ - "0.0905636000, 0.0979049000, 0.1133094000, 0.1427762000, 0.1995540000, 0.3124499000, 0.5696443000", \ - "0.1122398000, 0.1230168000, 0.1480696000, 0.1935147000, 0.2739245000, 0.4133023000, 0.6808413000", \ - "0.1125790000, 0.1306803000, 0.1696704000, 0.2423054000, 0.3676839000, 0.5735863000, 0.9080673000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0788648000, 0.0881769000, 0.1087636000, 0.1562166000, 0.2651128000, 0.5183348000, 1.1067735000", \ - "0.0839519000, 0.0933296000, 0.1140600000, 0.1616633000, 0.2707473000, 0.5240300000, 1.1127727000", \ - "0.0959788000, 0.1052645000, 0.1261411000, 0.1737407000, 0.2833550000, 0.5367003000, 1.1259559000", \ - "0.1220365000, 0.1310179000, 0.1517663000, 0.1995652000, 0.3093385000, 0.5630207000, 1.1526382000", \ - "0.1711518000, 0.1826015000, 0.2073496000, 0.2578961000, 0.3676099000, 0.6215585000, 1.2112662000", \ - "0.2521902000, 0.2694477000, 0.3028633000, 0.3702550000, 0.4997929000, 0.7569285000, 1.3469030000", \ - "0.3850411000, 0.4096736000, 0.4603835000, 0.5596212000, 0.7373318000, 1.0574543000, 1.6602494000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0246122000, 0.0296045000, 0.0412687000, 0.0687779000, 0.1324641000, 0.2808725000, 0.6262984000", \ - "0.0245325000, 0.0295712000, 0.0414232000, 0.0686443000, 0.1324659000, 0.2812753000, 0.6264031000", \ - "0.0251105000, 0.0299709000, 0.0414220000, 0.0685641000, 0.1324968000, 0.2812956000, 0.6278245000", \ - "0.0320295000, 0.0366870000, 0.0472606000, 0.0718245000, 0.1330188000, 0.2811357000, 0.6266595000", \ - "0.0496786000, 0.0551756000, 0.0671176000, 0.0919608000, 0.1465362000, 0.2837396000, 0.6275712000", \ - "0.0839944000, 0.0926070000, 0.1087342000, 0.1397492000, 0.1991061000, 0.3230347000, 0.6378035000", \ - "0.1482261000, 0.1596394000, 0.1838877000, 0.2292554000, 0.3083795000, 0.4501478000, 0.7378819000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0598001000, 0.0715216000, 0.0990498000, 0.1631785000, 0.3118225000, 0.6586327000, 1.4657388000", \ - "0.0597938000, 0.0715237000, 0.0990491000, 0.1636335000, 0.3121486000, 0.6582490000, 1.4655099000", \ - "0.0596861000, 0.0716221000, 0.0991982000, 0.1630940000, 0.3120387000, 0.6592762000, 1.4689308000", \ - "0.0619422000, 0.0730387000, 0.0993957000, 0.1631379000, 0.3118359000, 0.6587375000, 1.4669014000", \ - "0.0799433000, 0.0908414000, 0.1149651000, 0.1715986000, 0.3128151000, 0.6588478000, 1.4668129000", \ - "0.1210248000, 0.1338833000, 0.1614961000, 0.2195516000, 0.3460722000, 0.6650873000, 1.4661601000", \ - "0.1989316000, 0.2167767000, 0.2528961000, 0.3247837000, 0.4646368000, 0.7559973000, 1.4870509000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0286549000, 0.0322400000, 0.0403679000, 0.0588812000, 0.1012872000, 0.2000522000, 0.4327201000", \ - "0.0329223000, 0.0366450000, 0.0449265000, 0.0635481000, 0.1061724000, 0.2049605000, 0.4357273000", \ - "0.0414456000, 0.0455907000, 0.0541944000, 0.0730924000, 0.1168571000, 0.2149827000, 0.4463117000", \ - "0.0534220000, 0.0592280000, 0.0709167000, 0.0933616000, 0.1372774000, 0.2373989000, 0.4676398000", \ - "0.0654217000, 0.0748413000, 0.0927893000, 0.1253132000, 0.1828203000, 0.2866733000, 0.5189855000", \ - "0.0678293000, 0.0831909000, 0.1116537000, 0.1628992000, 0.2479538000, 0.3853760000, 0.6314070000", \ - "0.0328679000, 0.0570385000, 0.1039620000, 0.1869215000, 0.3213259000, 0.5313464000, 0.8582015000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0687322000, 0.0777870000, 0.0989039000, 0.1463683000, 0.2556576000, 0.5089072000, 1.0974167000", \ - "0.0716533000, 0.0812164000, 0.1021112000, 0.1501785000, 0.2597118000, 0.5131903000, 1.1022622000", \ - "0.0821309000, 0.0911881000, 0.1122888000, 0.1605647000, 0.2709664000, 0.5243596000, 1.1135983000", \ - "0.1105686000, 0.1193925000, 0.1399413000, 0.1867056000, 0.2981018000, 0.5524100000, 1.1421368000", \ - "0.1666512000, 0.1790915000, 0.2047300000, 0.2540213000, 0.3619604000, 0.6153863000, 1.2054513000", \ - "0.2558693000, 0.2742312000, 0.3130368000, 0.3879548000, 0.5187656000, 0.7715645000, 1.3578947000", \ - "0.4038574000, 0.4297838000, 0.4878854000, 0.5990365000, 0.7996798000, 1.1281747000, 1.7086634000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0148218000, 0.0193466000, 0.0298051000, 0.0545611000, 0.1112896000, 0.2444759000, 0.5569009000", \ - "0.0148933000, 0.0193648000, 0.0298271000, 0.0542104000, 0.1111139000, 0.2439348000, 0.5528142000", \ - "0.0169132000, 0.0208149000, 0.0305347000, 0.0545257000, 0.1125193000, 0.2445099000, 0.5538380000", \ - "0.0255213000, 0.0297427000, 0.0390814000, 0.0596823000, 0.1126428000, 0.2472576000, 0.5542133000", \ - "0.0439434000, 0.0493740000, 0.0606591000, 0.0834354000, 0.1321267000, 0.2491407000, 0.5535692000", \ - "0.0782981000, 0.0862490000, 0.1022259000, 0.1331335000, 0.1883392000, 0.2980363000, 0.5713417000", \ - "0.1467505000, 0.1572692000, 0.1800936000, 0.2232653000, 0.2995096000, 0.4329449000, 0.6898222000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0596130000, 0.0714418000, 0.0991686000, 0.1630103000, 0.3120512000, 0.6580704000, 1.4658921000", \ - "0.0596550000, 0.0714956000, 0.0990585000, 0.1630685000, 0.3119548000, 0.6574677000, 1.4649419000", \ - "0.0596726000, 0.0715238000, 0.0990232000, 0.1634614000, 0.3130357000, 0.6591654000, 1.4667603000", \ - "0.0641311000, 0.0747279000, 0.1001188000, 0.1631798000, 0.3118989000, 0.6600511000, 1.4667366000", \ - "0.0917279000, 0.1029792000, 0.1245032000, 0.1771713000, 0.3134391000, 0.6582521000, 1.4680159000", \ - "0.1390708000, 0.1548285000, 0.1872738000, 0.2475334000, 0.3598213000, 0.6665355000, 1.4656457000", \ - "0.2146771000, 0.2386394000, 0.2881752000, 0.3782155000, 0.5265396000, 0.7889506000, 1.4900032000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0295749000, 0.0338112000, 0.0433308000, 0.0642938000, 0.1121818000, 0.2227433000, 0.4788427000", \ - "0.0336923000, 0.0380028000, 0.0475113000, 0.0686081000, 0.1165729000, 0.2271196000, 0.4832746000", \ - "0.0444673000, 0.0484685000, 0.0577671000, 0.0788168000, 0.1268864000, 0.2375032000, 0.4937253000", \ - "0.0620698000, 0.0681340000, 0.0803599000, 0.1035332000, 0.1512720000, 0.2619615000, 0.5191162000", \ - "0.0819859000, 0.0914978000, 0.1103940000, 0.1454322000, 0.2068868000, 0.3184486000, 0.5750939000", \ - "0.0982313000, 0.1119918000, 0.1411843000, 0.1948365000, 0.2899392000, 0.4432621000, 0.7055173000", \ - "0.0883130000, 0.1106387000, 0.1546781000, 0.2376537000, 0.3812269000, 0.6186990000, 0.9899610000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0320036000, 0.0387219000, 0.0535930000, 0.0868658000, 0.1635826000, 0.3396926000, 0.7492292000", \ - "0.0369083000, 0.0435733000, 0.0584978000, 0.0919064000, 0.1687120000, 0.3448666000, 0.7563767000", \ - "0.0500332000, 0.0564618000, 0.0709983000, 0.1042030000, 0.1812813000, 0.3586525000, 0.7687621000", \ - "0.0767142000, 0.0857051000, 0.1026262000, 0.1354676000, 0.2126104000, 0.3887283000, 0.7993047000", \ - "0.1185493000, 0.1330530000, 0.1603457000, 0.2068341000, 0.2852268000, 0.4615718000, 0.8713751000", \ - "0.1854465000, 0.2080547000, 0.2512964000, 0.3270072000, 0.4456487000, 0.6328310000, 1.0419651000", \ - "0.2997657000, 0.3340259000, 0.4000503000, 0.5167499000, 0.7098193000, 0.9988422000, 1.4391660000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0245882000, 0.0296431000, 0.0414710000, 0.0686283000, 0.1325775000, 0.2810359000, 0.6264213000", \ - "0.0243320000, 0.0295117000, 0.0413348000, 0.0686364000, 0.1323962000, 0.2809416000, 0.6263818000", \ - "0.0262753000, 0.0307634000, 0.0416615000, 0.0684523000, 0.1323878000, 0.2809687000, 0.6268323000", \ - "0.0377461000, 0.0428441000, 0.0532950000, 0.0749526000, 0.1331961000, 0.2815666000, 0.6277885000", \ - "0.0596889000, 0.0673082000, 0.0809638000, 0.1083513000, 0.1577707000, 0.2863826000, 0.6268193000", \ - "0.0982237000, 0.1094621000, 0.1305186000, 0.1707493000, 0.2362019000, 0.3521267000, 0.6452133000", \ - "0.1686675000, 0.1870369000, 0.2183621000, 0.2760411000, 0.3782005000, 0.5363878000, 0.8054248000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0425642000, 0.0520069000, 0.0732459000, 0.1208692000, 0.2296398000, 0.4821196000, 1.0717737000", \ - "0.0426050000, 0.0520112000, 0.0732493000, 0.1209157000, 0.2297144000, 0.4829468000, 1.0715261000", \ - "0.0446002000, 0.0528839000, 0.0732716000, 0.1209271000, 0.2296492000, 0.4828703000, 1.0722954000", \ - "0.0610114000, 0.0665050000, 0.0818336000, 0.1226820000, 0.2296050000, 0.4829248000, 1.0727368000", \ - "0.1015437000, 0.1074551000, 0.1209341000, 0.1505043000, 0.2379900000, 0.4826070000, 1.0733872000", \ - "0.1660825000, 0.1758556000, 0.1964754000, 0.2360427000, 0.3085415000, 0.5057243000, 1.0755760000", \ - "0.2680992000, 0.2835028000, 0.3161611000, 0.3830912000, 0.4935821000, 0.6704779000, 1.1307169000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o21ai_2") { - leakage_power () { - value : 0.0014004000; - when : "!A1&!A2&B1"; - } - leakage_power () { - value : 0.0001450000; - when : "!A1&!A2&!B1"; - } - leakage_power () { - value : 0.0045644000; - when : "!A1&A2&B1"; - } - leakage_power () { - value : 0.0008215000; - when : "!A1&A2&!B1"; - } - leakage_power () { - value : 0.0048488000; - when : "A1&!A2&B1"; - } - leakage_power () { - value : 0.0008205000; - when : "A1&!A2&!B1"; - } - leakage_power () { - value : 0.0032314000; - when : "A1&A2&B1"; - } - leakage_power () { - value : 0.0008215000; - when : "A1&A2&!B1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__o21ai"; - cell_leakage_power : 0.0020816910; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0048320000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045940000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0081785000, 0.0081845000, 0.0081982000, 0.0081990000, 0.0082008000, 0.0082049000, 0.0082146000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008210000, -0.008200600, -0.008178700, -0.008174500, -0.008164800, -0.008142500, -0.008091000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050700000; - } - pin ("A2") { - capacitance : 0.0044220000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041240000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075833000, 0.0075822000, 0.0075798000, 0.0075811000, 0.0075840000, 0.0075909000, 0.0076067000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007594700, -0.007588000, -0.007572400, -0.007571800, -0.007570300, -0.007567100, -0.007559500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047200000; - } - pin ("B1") { - capacitance : 0.0043790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042370000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0090705000, 0.0090711000, 0.0090726000, 0.0090718000, 0.0090700000, 0.0090657000, 0.0090558000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004437400, -0.004446800, -0.004468500, -0.004444100, -0.004387900, -0.004258500, -0.003960000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045220000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2) | (!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012776130, 0.0032645900, 0.0083417660, 0.0213151000, 0.0544649000, 0.1391701000"); - values("0.0096090000, 0.0083648000, 0.0051832000, -0.002951800, -0.023860300, -0.077420800, -0.214556200", \ - "0.0093091000, 0.0080735000, 0.0049370000, -0.003190100, -0.024064500, -0.077646600, -0.214734700", \ - "0.0089141000, 0.0076966000, 0.0045718000, -0.003480000, -0.024318100, -0.077829200, -0.214909000", \ - "0.0084508000, 0.0072655000, 0.0041735000, -0.003804200, -0.024547500, -0.078025500, -0.215030400", \ - "0.0085419000, 0.0073141000, 0.0041598000, -0.004113700, -0.024693600, -0.078077200, -0.215024800", \ - "0.0086223000, 0.0073806000, 0.0041518000, -0.004030100, -0.024887700, -0.078439800, -0.215251800", \ - "0.0101452000, 0.0088024000, 0.0054890000, -0.002945000, -0.024142700, -0.077971300, -0.215242000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012776130, 0.0032645900, 0.0083417660, 0.0213151000, 0.0544649000, 0.1391701000"); - values("0.0195203000, 0.0208075000, 0.0240541000, 0.0323440000, 0.0532157000, 0.1063415000, 0.2417976000", \ - "0.0190958000, 0.0203908000, 0.0237020000, 0.0320414000, 0.0530481000, 0.1062313000, 0.2416918000", \ - "0.0186675000, 0.0199882000, 0.0232915000, 0.0316508000, 0.0527239000, 0.1060260000, 0.2415488000", \ - "0.0183765000, 0.0196597000, 0.0229422000, 0.0312839000, 0.0524314000, 0.1057152000, 0.2415459000", \ - "0.0181364000, 0.0194787000, 0.0227603000, 0.0310293000, 0.0520484000, 0.1054043000, 0.2411913000", \ - "0.0181837000, 0.0194027000, 0.0226532000, 0.0310030000, 0.0520588000, 0.1053034000, 0.2409582000", \ - "0.0189004000, 0.0200953000, 0.0231211000, 0.0310860000, 0.0523650000, 0.1053257000, 0.2413104000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012776130, 0.0032645900, 0.0083417660, 0.0213151000, 0.0544649000, 0.1391701000"); - values("0.0059808000, 0.0048014000, 0.0017042000, -0.006405300, -0.027363100, -0.081035600, -0.218242400", \ - "0.0056600000, 0.0045444000, 0.0015517000, -0.006433300, -0.027305900, -0.080928900, -0.218113600", \ - "0.0051706000, 0.0040667000, 0.0011496000, -0.006684000, -0.027380900, -0.080902600, -0.218037100", \ - "0.0046641000, 0.0035461000, 0.0005864000, -0.007160600, -0.027676500, -0.081025500, -0.218059200", \ - "0.0047062000, 0.0035021000, 0.0004213000, -0.007642500, -0.028111600, -0.081254000, -0.218145100", \ - "0.0049194000, 0.0036423000, 0.0004205000, -0.007691400, -0.028407100, -0.081749700, -0.218416000", \ - "0.0068154000, 0.0054435000, 0.0020280000, -0.006609700, -0.027907500, -0.081559200, -0.218613300"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012776130, 0.0032645900, 0.0083417660, 0.0213151000, 0.0544649000, 0.1391701000"); - values("0.0149653000, 0.0162507000, 0.0195640000, 0.0278155000, 0.0487599000, 0.1019036000, 0.2372119000", \ - "0.0145135000, 0.0158352000, 0.0191756000, 0.0275370000, 0.0484802000, 0.1017208000, 0.2371581000", \ - "0.0140383000, 0.0153739000, 0.0186897000, 0.0271038000, 0.0482262000, 0.1015521000, 0.2368660000", \ - "0.0138865000, 0.0151430000, 0.0184557000, 0.0267344000, 0.0478775000, 0.1013181000, 0.2369697000", \ - "0.0142151000, 0.0154731000, 0.0186913000, 0.0264871000, 0.0475530000, 0.1008465000, 0.2367240000", \ - "0.0154824000, 0.0166867000, 0.0198604000, 0.0272537000, 0.0477937000, 0.1007605000, 0.2364134000", \ - "0.0175176000, 0.0187209000, 0.0219506000, 0.0300094000, 0.0504941000, 0.1037169000, 0.2365616000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012776130, 0.0032645900, 0.0083417660, 0.0213151000, 0.0544649000, 0.1391701000"); - values("0.0056042000, 0.0044332000, 0.0013840000, -0.006587900, -0.027376700, -0.080908100, -0.217966300", \ - "0.0053694000, 0.0041768000, 0.0011607000, -0.006802100, -0.027476000, -0.080933100, -0.217986300", \ - "0.0050256000, 0.0038464000, 0.0008262000, -0.007050100, -0.027680800, -0.080991300, -0.217983600", \ - "0.0047008000, 0.0034797000, 0.0004322000, -0.007428400, -0.028036300, -0.081287000, -0.218133900", \ - "0.0047575000, 0.0035166000, 0.0003363000, -0.007736300, -0.028336300, -0.081496700, -0.218294500", \ - "0.0059487000, 0.0046552000, 0.0016062000, -0.006820700, -0.028038400, -0.081768900, -0.218596600", \ - "0.0091023000, 0.0076653000, 0.0040759000, -0.004758400, -0.026506400, -0.080907200, -0.218614800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012776130, 0.0032645900, 0.0083417660, 0.0213151000, 0.0544649000, 0.1391701000"); - values("0.0091897000, 0.0107001000, 0.0142540000, 0.0228288000, 0.0438763000, 0.0971493000, 0.2322570000", \ - "0.0087839000, 0.0101723000, 0.0137366000, 0.0224347000, 0.0437348000, 0.0970745000, 0.2322813000", \ - "0.0084383000, 0.0097894000, 0.0132585000, 0.0219438000, 0.0432783000, 0.0966477000, 0.2323252000", \ - "0.0085402000, 0.0098045000, 0.0131571000, 0.0213818000, 0.0427744000, 0.0961464000, 0.2322939000", \ - "0.0088236000, 0.0100511000, 0.0132142000, 0.0213417000, 0.0421868000, 0.0955670000, 0.2309699000", \ - "0.0099106000, 0.0110367000, 0.0141806000, 0.0223610000, 0.0428594000, 0.0953063000, 0.2308780000", \ - "0.0141507000, 0.0151318000, 0.0179053000, 0.0259604000, 0.0450396000, 0.0984368000, 0.2334779000"); - } - } - max_capacitance : 0.1391700000; - max_transition : 1.4997990000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012776100, 0.0032645900, 0.0083417700, 0.0213151000, 0.0544649000, 0.1391700000"); - values("0.0327163000, 0.0352249000, 0.0411928000, 0.0554968000, 0.0903964000, 0.1772799000, 0.3974127000", \ - "0.0371420000, 0.0396592000, 0.0456505000, 0.0599938000, 0.0948263000, 0.1817927000, 0.4020554000", \ - "0.0465958000, 0.0490918000, 0.0550793000, 0.0694419000, 0.1044217000, 0.1914105000, 0.4117068000", \ - "0.0620934000, 0.0653591000, 0.0726752000, 0.0892139000, 0.1251280000, 0.2125900000, 0.4332479000", \ - "0.0810604000, 0.0860202000, 0.0970044000, 0.1206635000, 0.1671460000, 0.2603823000, 0.4818040000", \ - "0.0946743000, 0.1023623000, 0.1197487000, 0.1561945000, 0.2265839000, 0.3521729000, 0.5933586000", \ - "0.0772965000, 0.0884390000, 0.1158853000, 0.1746933000, 0.2869965000, 0.4803138000, 0.8046043000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012776100, 0.0032645900, 0.0083417700, 0.0213151000, 0.0544649000, 0.1391700000"); - values("0.0872319000, 0.0934144000, 0.1086711000, 0.1477364000, 0.2444592000, 0.4895642000, 1.1134332000", \ - "0.0916253000, 0.0977106000, 0.1136712000, 0.1525719000, 0.2497153000, 0.4948578000, 1.1186622000", \ - "0.1035710000, 0.1101828000, 0.1256522000, 0.1650741000, 0.2626259000, 0.5080882000, 1.1321626000", \ - "0.1310149000, 0.1374957000, 0.1530491000, 0.1918550000, 0.2895239000, 0.5357907000, 1.1601381000", \ - "0.1843666000, 0.1925246000, 0.2105067000, 0.2525167000, 0.3497242000, 0.5959315000, 1.2213049000", \ - "0.2762365000, 0.2863773000, 0.3116626000, 0.3675358000, 0.4858857000, 0.7362709000, 1.3619723000", \ - "0.4271304000, 0.4436669000, 0.4811090000, 0.5650051000, 0.7288347000, 1.0435182000, 1.6862376000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012776100, 0.0032645900, 0.0083417700, 0.0213151000, 0.0544649000, 0.1391700000"); - values("0.0208671000, 0.0235749000, 0.0304603000, 0.0482722000, 0.0938806000, 0.2110170000, 0.5105090000", \ - "0.0207531000, 0.0234798000, 0.0304235000, 0.0482353000, 0.0937778000, 0.2112065000, 0.5093913000", \ - "0.0215086000, 0.0241148000, 0.0307587000, 0.0480843000, 0.0936989000, 0.2106989000, 0.5094976000", \ - "0.0290207000, 0.0315563000, 0.0379849000, 0.0535287000, 0.0955900000, 0.2111432000, 0.5100534000", \ - "0.0460138000, 0.0494502000, 0.0568558000, 0.0745312000, 0.1151385000, 0.2175320000, 0.5098389000", \ - "0.0784240000, 0.0832153000, 0.0943979000, 0.1181598000, 0.1670837000, 0.2684870000, 0.5284798000", \ - "0.1402601000, 0.1473172000, 0.1642593000, 0.1998516000, 0.2682959000, 0.3944998000, 0.6511275000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012776100, 0.0032645900, 0.0083417700, 0.0213151000, 0.0544649000, 0.1391700000"); - values("0.0606703000, 0.0688258000, 0.0892487000, 0.1416761000, 0.2748253000, 0.6132291000, 1.4800445000", \ - "0.0607580000, 0.0688475000, 0.0893118000, 0.1416141000, 0.2745425000, 0.6128029000, 1.4759232000", \ - "0.0607608000, 0.0688148000, 0.0893825000, 0.1415178000, 0.2748196000, 0.6139685000, 1.4768192000", \ - "0.0617463000, 0.0694630000, 0.0895076000, 0.1417475000, 0.2746941000, 0.6138510000, 1.4801511000", \ - "0.0783071000, 0.0862058000, 0.1041884000, 0.1503079000, 0.2765169000, 0.6130666000, 1.4761415000", \ - "0.1164884000, 0.1254839000, 0.1463839000, 0.1959572000, 0.3105751000, 0.6205124000, 1.4773045000", \ - "0.1943285000, 0.2059431000, 0.2335702000, 0.2939060000, 0.4229691000, 0.7093212000, 1.4926287000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012776100, 0.0032645900, 0.0083417700, 0.0213151000, 0.0544649000, 0.1391700000"); - values("0.0265077000, 0.0288213000, 0.0345491000, 0.0486509000, 0.0842160000, 0.1743439000, 0.4047038000", \ - "0.0307364000, 0.0331595000, 0.0390226000, 0.0533644000, 0.0890238000, 0.1800213000, 0.4096588000", \ - "0.0384361000, 0.0412034000, 0.0475876000, 0.0622350000, 0.0981586000, 0.1892589000, 0.4218000000", \ - "0.0486376000, 0.0525004000, 0.0610922000, 0.0793644000, 0.1173792000, 0.2087524000, 0.4390110000", \ - "0.0570955000, 0.0630028000, 0.0764947000, 0.1036315000, 0.1543098000, 0.2532664000, 0.4851687000", \ - "0.0517068000, 0.0615812000, 0.0829417000, 0.1262954000, 0.2038635000, 0.3363467000, 0.5885836000", \ - "-0.003033500, 0.0123871000, 0.0506626000, 0.1206166000, 0.2453454000, 0.4496049000, 0.7857009000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012776100, 0.0032645900, 0.0083417700, 0.0213151000, 0.0544649000, 0.1391700000"); - values("0.0692346000, 0.0752968000, 0.0912167000, 0.1297058000, 0.2265175000, 0.4715007000, 1.0968653000", \ - "0.0727151000, 0.0785957000, 0.0947052000, 0.1336707000, 0.2307643000, 0.4758384000, 1.0996448000", \ - "0.0831033000, 0.0892831000, 0.1050494000, 0.1441881000, 0.2419213000, 0.4872948000, 1.1123237000", \ - "0.1121357000, 0.1180193000, 0.1333876000, 0.1714702000, 0.2687101000, 0.5148293000, 1.1390561000", \ - "0.1721598000, 0.1804395000, 0.1997463000, 0.2410143000, 0.3373440000, 0.5827427000, 1.2075920000", \ - "0.2682456000, 0.2821414000, 0.3112903000, 0.3732678000, 0.4944254000, 0.7409051000, 1.3615593000", \ - "0.4344807000, 0.4524052000, 0.4958020000, 0.5897274000, 0.7745559000, 1.1033349000, 1.7293927000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012776100, 0.0032645900, 0.0083417700, 0.0213151000, 0.0544649000, 0.1391700000"); - values("0.0130757000, 0.0160091000, 0.0234747000, 0.0425208000, 0.0910773000, 0.2145869000, 0.5315825000", \ - "0.0131382000, 0.0160541000, 0.0234687000, 0.0426481000, 0.0910411000, 0.2155576000, 0.5320018000", \ - "0.0154048000, 0.0178594000, 0.0245786000, 0.0428264000, 0.0913626000, 0.2159771000, 0.5367545000", \ - "0.0230887000, 0.0258214000, 0.0326282000, 0.0495278000, 0.0931643000, 0.2152391000, 0.5319621000", \ - "0.0399815000, 0.0436364000, 0.0520606000, 0.0706498000, 0.1131910000, 0.2223924000, 0.5324507000", \ - "0.0725127000, 0.0777890000, 0.0897842000, 0.1149400000, 0.1653381000, 0.2708878000, 0.5486959000", \ - "0.1391735000, 0.1468825000, 0.1624407000, 0.1978910000, 0.2668292000, 0.3951339000, 0.6658937000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012776100, 0.0032645900, 0.0083417700, 0.0213151000, 0.0544649000, 0.1391700000"); - values("0.0605853000, 0.0686980000, 0.0895049000, 0.1416199000, 0.2747341000, 0.6127513000, 1.4814294000", \ - "0.0606206000, 0.0686669000, 0.0892742000, 0.1416240000, 0.2748639000, 0.6139774000, 1.4767131000", \ - "0.0605685000, 0.0687534000, 0.0893630000, 0.1416945000, 0.2746998000, 0.6133496000, 1.4820006000", \ - "0.0641290000, 0.0715406000, 0.0905699000, 0.1415333000, 0.2747482000, 0.6129168000, 1.4774255000", \ - "0.0896062000, 0.0976331000, 0.1151626000, 0.1569066000, 0.2767370000, 0.6150065000, 1.4773029000", \ - "0.1346292000, 0.1464959000, 0.1707918000, 0.2237614000, 0.3278346000, 0.6224066000, 1.4791698000", \ - "0.2083926000, 0.2253310000, 0.2626478000, 0.3429215000, 0.4859333000, 0.7526176000, 1.4997993000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012776100, 0.0032645900, 0.0083417700, 0.0213151000, 0.0544649000, 0.1391700000"); - values("0.0244773000, 0.0270529000, 0.0332348000, 0.0477698000, 0.0828070000, 0.1697937000, 0.3900734000", \ - "0.0285322000, 0.0310706000, 0.0372910000, 0.0518480000, 0.0869593000, 0.1741123000, 0.3944407000", \ - "0.0390837000, 0.0416902000, 0.0476318000, 0.0618960000, 0.0968752000, 0.1841282000, 0.4049558000", \ - "0.0538951000, 0.0576018000, 0.0665358000, 0.0847227000, 0.1202791000, 0.2082802000, 0.4288031000", \ - "0.0694217000, 0.0751858000, 0.0884943000, 0.1160166000, 0.1688633000, 0.2637577000, 0.4837612000", \ - "0.0775868000, 0.0863619000, 0.1061242000, 0.1476442000, 0.2287442000, 0.3710038000, 0.6133274000", \ - "0.0547334000, 0.0680204000, 0.0982061000, 0.1626650000, 0.2861750000, 0.5036284000, 0.8645007000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012776100, 0.0032645900, 0.0083417700, 0.0213151000, 0.0544649000, 0.1391700000"); - values("0.0238300000, 0.0272273000, 0.0354022000, 0.0549364000, 0.1028777000, 0.2249688000, 0.5340851000", \ - "0.0290095000, 0.0321792000, 0.0402854000, 0.0599592000, 0.1084964000, 0.2298994000, 0.5370117000", \ - "0.0420580000, 0.0456295000, 0.0533900000, 0.0728267000, 0.1206247000, 0.2418772000, 0.5515662000", \ - "0.0637527000, 0.0693796000, 0.0815162000, 0.1044131000, 0.1521038000, 0.2733078000, 0.5830287000", \ - "0.0979999000, 0.1071491000, 0.1267294000, 0.1634527000, 0.2262621000, 0.3469603000, 0.6522197000", \ - "0.1555198000, 0.1693893000, 0.1993532000, 0.2575704000, 0.3587102000, 0.5190643000, 0.8256142000", \ - "0.2611981000, 0.2810955000, 0.3244245000, 0.4125381000, 0.5705856000, 0.8282737000, 1.2246161000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012776100, 0.0032645900, 0.0083417700, 0.0213151000, 0.0544649000, 0.1391700000"); - values("0.0207771000, 0.0235018000, 0.0304539000, 0.0482200000, 0.0938198000, 0.2111963000, 0.5102564000", \ - "0.0199761000, 0.0228733000, 0.0301812000, 0.0481778000, 0.0936593000, 0.2108035000, 0.5094186000", \ - "0.0230772000, 0.0253888000, 0.0314231000, 0.0481060000, 0.0936917000, 0.2105350000, 0.5094993000", \ - "0.0333320000, 0.0362733000, 0.0435570000, 0.0589592000, 0.0974000000, 0.2107345000, 0.5094660000", \ - "0.0521542000, 0.0569867000, 0.0673177000, 0.0877188000, 0.1290194000, 0.2237707000, 0.5097616000", \ - "0.0854041000, 0.0923652000, 0.1093612000, 0.1413252000, 0.1974040000, 0.3016530000, 0.5406680000", \ - "0.1455845000, 0.1571590000, 0.1819099000, 0.2308408000, 0.3174581000, 0.4652093000, 0.7213521000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012776100, 0.0032645900, 0.0083417700, 0.0213151000, 0.0544649000, 0.1391700000"); - values("0.0232553000, 0.0279276000, 0.0396594000, 0.0689026000, 0.1403636000, 0.3144672000, 0.7542662000", \ - "0.0233664000, 0.0278877000, 0.0396296000, 0.0689107000, 0.1405241000, 0.3143392000, 0.7532511000", \ - "0.0279990000, 0.0312861000, 0.0411368000, 0.0689755000, 0.1405314000, 0.3140097000, 0.7547921000", \ - "0.0477284000, 0.0502636000, 0.0559660000, 0.0768757000, 0.1411680000, 0.3140709000, 0.7543756000", \ - "0.0833441000, 0.0864345000, 0.0950100000, 0.1149987000, 0.1634455000, 0.3160485000, 0.7542653000", \ - "0.1432471000, 0.1480855000, 0.1605753000, 0.1896079000, 0.2465701000, 0.3661950000, 0.7570390000", \ - "0.2387945000, 0.2457773000, 0.2648549000, 0.3103134000, 0.4010784000, 0.5551312000, 0.8692939000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o21ai_4") { - leakage_power () { - value : 0.0033032000; - when : "!A1&!A2&B1"; - } - leakage_power () { - value : 0.0003379000; - when : "!A1&!A2&!B1"; - } - leakage_power () { - value : 0.0055610000; - when : "!A1&A2&B1"; - } - leakage_power () { - value : 0.0020090000; - when : "!A1&A2&!B1"; - } - leakage_power () { - value : 0.0060341000; - when : "A1&!A2&B1"; - } - leakage_power () { - value : 0.0019958000; - when : "A1&!A2&!B1"; - } - leakage_power () { - value : 0.0039633000; - when : "A1&A2&B1"; - } - leakage_power () { - value : 0.0020126000; - when : "A1&A2&!B1"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__o21ai"; - cell_leakage_power : 0.0031521180; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0091440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0086780000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0159853000, 0.0159759000, 0.0159543000, 0.0159531000, 0.0159504000, 0.0159442000, 0.0159297000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015936800, -0.015925700, -0.015900200, -0.015894200, -0.015880200, -0.015848000, -0.015773900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0096110000; - } - pin ("A2") { - capacitance : 0.0084740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078830000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0151335000, 0.0151232000, 0.0150996000, 0.0150990000, 0.0150977000, 0.0150948000, 0.0150879000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015094100, -0.015094700, -0.015096200, -0.015095400, -0.015093800, -0.015090000, -0.015081200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090640000; - } - pin ("B1") { - capacitance : 0.0086920000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0083910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0183625000, 0.0183591000, 0.0183515000, 0.0183575000, 0.0183713000, 0.0184031000, 0.0184764000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.009988700, -0.010021200, -0.010096200, -0.010048000, -0.009936600, -0.009680100, -0.009088600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0089930000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2) | (!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000"); - values("0.0198567000, 0.0184379000, 0.0145406000, 0.0036896000, -0.026417700, -0.110016700, -0.341819100", \ - "0.0193277000, 0.0179346000, 0.0140290000, 0.0032380000, -0.026839000, -0.110465500, -0.342231200", \ - "0.0186186000, 0.0172210000, 0.0133816000, 0.0026657000, -0.027302200, -0.110840900, -0.342521900", \ - "0.0177382000, 0.0163835000, 0.0126388000, 0.0020367000, -0.027807300, -0.111236500, -0.342747600", \ - "0.0178248000, 0.0164199000, 0.0125143000, 0.0014983000, -0.028204700, -0.111380300, -0.342859300", \ - "0.0180236000, 0.0166111000, 0.0125930000, 0.0017153000, -0.028383300, -0.111994000, -0.343325000", \ - "0.0207343000, 0.0193630000, 0.0152204000, 0.0039568000, -0.026816500, -0.110951600, -0.343149300"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000"); - values("0.0375294000, 0.0389800000, 0.0429471000, 0.0540202000, 0.0842378000, 0.1673311000, 0.3964341000", \ - "0.0368062000, 0.0382830000, 0.0423065000, 0.0534856000, 0.0839196000, 0.1669254000, 0.3962280000", \ - "0.0360370000, 0.0375554000, 0.0416340000, 0.0528213000, 0.0833851000, 0.1666621000, 0.3960475000", \ - "0.0355080000, 0.0369404000, 0.0409951000, 0.0521038000, 0.0827333000, 0.1660710000, 0.3957360000", \ - "0.0350694000, 0.0365372000, 0.0405848000, 0.0516003000, 0.0819388000, 0.1654224000, 0.3952675000", \ - "0.0349743000, 0.0364155000, 0.0403696000, 0.0515763000, 0.0819511000, 0.1652728000, 0.3947931000", \ - "0.0359171000, 0.0373066000, 0.0410647000, 0.0516545000, 0.0825219000, 0.1663649000, 0.3951909000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000"); - values("0.0130528000, 0.0117167000, 0.0079095000, -0.002826200, -0.033009800, -0.116827400, -0.348823200", \ - "0.0124754000, 0.0112210000, 0.0075921000, -0.002924400, -0.032876000, -0.116583800, -0.348546000", \ - "0.0115637000, 0.0103112000, 0.0067716000, -0.003419900, -0.033037100, -0.116493700, -0.348339200", \ - "0.0104974000, 0.0092569000, 0.0056897000, -0.004461700, -0.033686600, -0.116730100, -0.348356200", \ - "0.0105889000, 0.0092430000, 0.0054671000, -0.005108600, -0.034617800, -0.117288100, -0.348512800", \ - "0.0108225000, 0.0093814000, 0.0054624000, -0.005347800, -0.035111700, -0.118232300, -0.349117400", \ - "0.0143662000, 0.0127120000, 0.0084355000, -0.003074600, -0.033952600, -0.117821100, -0.349499500"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000"); - values("0.0285894000, 0.0300678000, 0.0340754000, 0.0451522000, 0.0753900000, 0.1584686000, 0.3874950000", \ - "0.0277337000, 0.0292123000, 0.0333278000, 0.0445479000, 0.0749813000, 0.1581746000, 0.3873588000", \ - "0.0268622000, 0.0283082000, 0.0324520000, 0.0437338000, 0.0743148000, 0.1577178000, 0.3870611000", \ - "0.0262646000, 0.0277460000, 0.0318726000, 0.0429547000, 0.0735726000, 0.1573816000, 0.3868761000", \ - "0.0262932000, 0.0277355000, 0.0316658000, 0.0427235000, 0.0730024000, 0.1564933000, 0.3863418000", \ - "0.0286772000, 0.0300672000, 0.0339801000, 0.0447559000, 0.0746524000, 0.1568866000, 0.3846276000", \ - "0.0334104000, 0.0350448000, 0.0388090000, 0.0488631000, 0.0777342000, 0.1595000000, 0.3864958000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000"); - values("0.0136968000, 0.0123635000, 0.0086711000, -0.001806700, -0.031658500, -0.115108100, -0.346844800", \ - "0.0131097000, 0.0118073000, 0.0081701000, -0.002242800, -0.031864800, -0.115141800, -0.346754100", \ - "0.0124934000, 0.0111805000, 0.0074595000, -0.002876400, -0.032294900, -0.115355000, -0.346929700", \ - "0.0117042000, 0.0103759000, 0.0067285000, -0.003712400, -0.033130000, -0.115908300, -0.347092600", \ - "0.0116757000, 0.0102637000, 0.0063666000, -0.004325800, -0.033881600, -0.116782600, -0.347502500", \ - "0.0139444000, 0.0125770000, 0.0087520000, -0.002509100, -0.033672700, -0.117010300, -0.348111200", \ - "0.0190410000, 0.0174023000, 0.0129922000, 0.0011417000, -0.030255200, -0.115330700, -0.348173900"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013834110, 0.0038276500, 0.0105904200, 0.0293018100, 0.0810728600, 0.2243141000"); - values("0.0181989000, 0.0200062000, 0.0246691000, 0.0365772000, 0.0674511000, 0.1505339000, 0.3795280000", \ - "0.0172663000, 0.0189542000, 0.0234684000, 0.0356349000, 0.0667807000, 0.1504597000, 0.3796086000", \ - "0.0165928000, 0.0181578000, 0.0225441000, 0.0344036000, 0.0655030000, 0.1499659000, 0.3798025000", \ - "0.0168151000, 0.0182569000, 0.0219413000, 0.0334912000, 0.0646330000, 0.1485199000, 0.3783599000", \ - "0.0174958000, 0.0187294000, 0.0225190000, 0.0333222000, 0.0638333000, 0.1477859000, 0.3775810000", \ - "0.0198439000, 0.0211351000, 0.0248243000, 0.0354356000, 0.0658961000, 0.1474220000, 0.3739525000", \ - "0.0264546000, 0.0275616000, 0.0307605000, 0.0428123000, 0.0687302000, 0.1518231000, 0.3774925000"); - } - } - max_capacitance : 0.2243140000; - max_transition : 1.4943390000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000"); - values("0.0341694000, 0.0357726000, 0.0401979000, 0.0514408000, 0.0808595000, 0.1590864000, 0.3728817000", \ - "0.0383389000, 0.0400060000, 0.0443702000, 0.0557198000, 0.0850638000, 0.1633668000, 0.3768873000", \ - "0.0469476000, 0.0486026000, 0.0529413000, 0.0642507000, 0.0936581000, 0.1719120000, 0.3856913000", \ - "0.0608769000, 0.0628951000, 0.0683591000, 0.0814181000, 0.1122911000, 0.1908625000, 0.4048147000", \ - "0.0783892000, 0.0813325000, 0.0891538000, 0.1071802000, 0.1468141000, 0.2333676000, 0.4484930000", \ - "0.0889602000, 0.0934999000, 0.1053196000, 0.1327943000, 0.1929517000, 0.3092364000, 0.5470426000", \ - "0.0619597000, 0.0692746000, 0.0881530000, 0.1328153000, 0.2284248000, 0.4078190000, 0.7277438000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000"); - values("0.1003323000, 0.1045961000, 0.1163993000, 0.1479843000, 0.2335145000, 0.4678911000, 1.1167905000", \ - "0.1045577000, 0.1090350000, 0.1207176000, 0.1527927000, 0.2386732000, 0.4737271000, 1.1194455000", \ - "0.1163351000, 0.1210212000, 0.1327891000, 0.1650161000, 0.2516572000, 0.4868996000, 1.1329389000", \ - "0.1435823000, 0.1477670000, 0.1596073000, 0.1916122000, 0.2779779000, 0.5136339000, 1.1600039000", \ - "0.1974026000, 0.2022735000, 0.2159943000, 0.2502936000, 0.3364303000, 0.5720435000, 1.2193372000", \ - "0.2916447000, 0.2982642000, 0.3156072000, 0.3604048000, 0.4647673000, 0.7066279000, 1.3550692000", \ - "0.4518075000, 0.4620334000, 0.4888832000, 0.5539021000, 0.6975475000, 1.0023979000, 1.6678967000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000"); - values("0.0248376000, 0.0265352000, 0.0312712000, 0.0447122000, 0.0825021000, 0.1881129000, 0.4816426000", \ - "0.0246349000, 0.0263442000, 0.0312032000, 0.0446635000, 0.0824659000, 0.1881151000, 0.4817008000", \ - "0.0254089000, 0.0269940000, 0.0316061000, 0.0447636000, 0.0823877000, 0.1881472000, 0.4811362000", \ - "0.0319613000, 0.0335619000, 0.0381648000, 0.0503605000, 0.0848552000, 0.1882185000, 0.4811554000", \ - "0.0482819000, 0.0501802000, 0.0554483000, 0.0690068000, 0.1037211000, 0.1972017000, 0.4818376000", \ - "0.0809816000, 0.0839376000, 0.0910628000, 0.1091840000, 0.1507977000, 0.2462794000, 0.5021340000", \ - "0.1424125000, 0.1467481000, 0.1578898000, 0.1855008000, 0.2438027000, 0.3603943000, 0.6180320000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000"); - values("0.0712219000, 0.0768922000, 0.0923835000, 0.1357977000, 0.2535419000, 0.5781401000, 1.4785918000", \ - "0.0711968000, 0.0768112000, 0.0925288000, 0.1357988000, 0.2534997000, 0.5794961000, 1.4805310000", \ - "0.0712527000, 0.0769249000, 0.0926642000, 0.1357819000, 0.2549503000, 0.5796232000, 1.4805505000", \ - "0.0715915000, 0.0771026000, 0.0925965000, 0.1355664000, 0.2533746000, 0.5790159000, 1.4769895000", \ - "0.0870297000, 0.0920774000, 0.1056263000, 0.1439173000, 0.2559129000, 0.5784745000, 1.4788840000", \ - "0.1222318000, 0.1286375000, 0.1440239000, 0.1855596000, 0.2898668000, 0.5878960000, 1.4809189000", \ - "0.1994151000, 0.2069881000, 0.2263395000, 0.2748045000, 0.3910973000, 0.6767196000, 1.4932955000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000"); - values("0.0280072000, 0.0295461000, 0.0335594000, 0.0444858000, 0.0739375000, 0.1554548000, 0.3780100000", \ - "0.0320494000, 0.0336314000, 0.0378587000, 0.0488544000, 0.0785241000, 0.1592009000, 0.3821911000", \ - "0.0390645000, 0.0409414000, 0.0455713000, 0.0571004000, 0.0872889000, 0.1683377000, 0.3914664000", \ - "0.0483930000, 0.0508283000, 0.0569545000, 0.0714429000, 0.1044099000, 0.1860021000, 0.4096711000", \ - "0.0550642000, 0.0588121000, 0.0683213000, 0.0901613000, 0.1344108000, 0.2261607000, 0.4518472000", \ - "0.0458952000, 0.0514218000, 0.0666574000, 0.1020145000, 0.1708357000, 0.2961164000, 0.5457395000", \ - "-0.018259800, -0.007830900, 0.0181535000, 0.0757855000, 0.1868152000, 0.3816133000, 0.7152793000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000"); - values("0.0809942000, 0.0851180000, 0.0968628000, 0.1286109000, 0.2144106000, 0.4487218000, 1.0941149000", \ - "0.0839757000, 0.0882662000, 0.1000463000, 0.1322937000, 0.2184165000, 0.4530866000, 1.0988620000", \ - "0.0938002000, 0.0980872000, 0.1102753000, 0.1425253000, 0.2287812000, 0.4641668000, 1.1102106000", \ - "0.1221292000, 0.1264555000, 0.1382112000, 0.1694495000, 0.2559851000, 0.4918345000, 1.1381432000", \ - "0.1857744000, 0.1911097000, 0.2048040000, 0.2390225000, 0.3239434000, 0.5589635000, 1.2087839000", \ - "0.2918167000, 0.2999071000, 0.3209723000, 0.3714707000, 0.4815919000, 0.7172781000, 1.3584690000", \ - "0.4712327000, 0.4837261000, 0.5142463000, 0.5885430000, 0.7546582000, 1.0789938000, 1.7284796000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000"); - values("0.0151399000, 0.0170417000, 0.0222124000, 0.0369683000, 0.0773120000, 0.1894418000, 0.5004201000", \ - "0.0151697000, 0.0170653000, 0.0223144000, 0.0369674000, 0.0774802000, 0.1891781000, 0.4985138000", \ - "0.0172727000, 0.0188491000, 0.0235780000, 0.0373395000, 0.0774886000, 0.1894945000, 0.5003991000", \ - "0.0246024000, 0.0263318000, 0.0310976000, 0.0444883000, 0.0804673000, 0.1891732000, 0.4983581000", \ - "0.0417217000, 0.0439134000, 0.0497962000, 0.0642389000, 0.1002596000, 0.1987887000, 0.4985591000", \ - "0.0749543000, 0.0785231000, 0.0866960000, 0.1058591000, 0.1488864000, 0.2474977000, 0.5176258000", \ - "0.1422240000, 0.1462398000, 0.1574384000, 0.1849700000, 0.2442120000, 0.3641032000, 0.6320210000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000"); - values("0.0710834000, 0.0768147000, 0.0925858000, 0.1354576000, 0.2536767000, 0.5782630000, 1.4763843000", \ - "0.0713316000, 0.0769620000, 0.0924520000, 0.1354318000, 0.2536487000, 0.5782950000, 1.4777517000", \ - "0.0712379000, 0.0767689000, 0.0925094000, 0.1353815000, 0.2535794000, 0.5783640000, 1.4764886000", \ - "0.0730897000, 0.0782811000, 0.0931792000, 0.1351159000, 0.2534334000, 0.5790052000, 1.4758105000", \ - "0.0984298000, 0.1040615000, 0.1162663000, 0.1506047000, 0.2569069000, 0.5784232000, 1.4791952000", \ - "0.1446964000, 0.1521369000, 0.1714505000, 0.2147365000, 0.3102775000, 0.5900521000, 1.4761424000", \ - "0.2196438000, 0.2319243000, 0.2601366000, 0.3241244000, 0.4587456000, 0.7199569000, 1.4943392000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000"); - values("0.0268924000, 0.0285883000, 0.0331602000, 0.0447837000, 0.0744197000, 0.1526743000, 0.3667182000", \ - "0.0307669000, 0.0325205000, 0.0370520000, 0.0487408000, 0.0784958000, 0.1570224000, 0.3708949000", \ - "0.0412525000, 0.0429358000, 0.0471777000, 0.0584628000, 0.0883949000, 0.1670163000, 0.3809805000", \ - "0.0568080000, 0.0591756000, 0.0655417000, 0.0804963000, 0.1117964000, 0.1903845000, 0.4044485000", \ - "0.0727300000, 0.0762373000, 0.0858414000, 0.1080148000, 0.1550945000, 0.2454053000, 0.4595437000", \ - "0.0791271000, 0.0846019000, 0.0988493000, 0.1323774000, 0.2040172000, 0.3415962000, 0.5867895000", \ - "0.0509830000, 0.0591293000, 0.0803043000, 0.1315119000, 0.2410677000, 0.4512840000, 0.8214778000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000"); - values("0.0262463000, 0.0286122000, 0.0347495000, 0.0504813000, 0.0911037000, 0.2008829000, 0.5028712000", \ - "0.0311709000, 0.0334262000, 0.0394469000, 0.0552869000, 0.0963862000, 0.2070279000, 0.5105821000", \ - "0.0446820000, 0.0467849000, 0.0524819000, 0.0678634000, 0.1086067000, 0.2204906000, 0.5246265000", \ - "0.0676343000, 0.0712773000, 0.0800668000, 0.0992125000, 0.1397415000, 0.2513532000, 0.5527575000", \ - "0.1048718000, 0.1107573000, 0.1245562000, 0.1549045000, 0.2128023000, 0.3244017000, 0.6262394000", \ - "0.1676070000, 0.1762069000, 0.1976046000, 0.2456275000, 0.3368510000, 0.4952342000, 0.7930516000", \ - "0.2844502000, 0.2968476000, 0.3278376000, 0.3979799000, 0.5397457000, 0.7907355000, 1.1985344000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000"); - values("0.0248096000, 0.0265626000, 0.0312374000, 0.0446303000, 0.0824516000, 0.1881089000, 0.4816876000", \ - "0.0238526000, 0.0257068000, 0.0309175000, 0.0445300000, 0.0824011000, 0.1878784000, 0.4809093000", \ - "0.0262272000, 0.0276889000, 0.0318985000, 0.0445189000, 0.0822033000, 0.1879746000, 0.4824167000", \ - "0.0361592000, 0.0382037000, 0.0439570000, 0.0562034000, 0.0868976000, 0.1879226000, 0.4819619000", \ - "0.0554302000, 0.0584629000, 0.0659080000, 0.0831305000, 0.1199788000, 0.2032903000, 0.4813021000", \ - "0.0898670000, 0.0944214000, 0.1061864000, 0.1328061000, 0.1839803000, 0.2843639000, 0.5171772000", \ - "0.1511542000, 0.1583000000, 0.1760443000, 0.2154980000, 0.2945386000, 0.4392601000, 0.7041171000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013834100, 0.0038276500, 0.0105904000, 0.0293018000, 0.0810729000, 0.2243140000"); - values("0.0258049000, 0.0287961000, 0.0372633000, 0.0601138000, 0.1212455000, 0.2800027000, 0.7095466000", \ - "0.0257874000, 0.0289506000, 0.0370638000, 0.0600273000, 0.1212707000, 0.2802889000, 0.7105490000", \ - "0.0293723000, 0.0318699000, 0.0385561000, 0.0601383000, 0.1212897000, 0.2801169000, 0.7112838000", \ - "0.0490444000, 0.0506590000, 0.0542409000, 0.0694612000, 0.1227241000, 0.2800868000, 0.7111312000", \ - "0.0844502000, 0.0866605000, 0.0923319000, 0.1085408000, 0.1484248000, 0.2839644000, 0.7109633000", \ - "0.1464882000, 0.1493994000, 0.1578119000, 0.1806522000, 0.2316862000, 0.3408435000, 0.7146481000", \ - "0.2450864000, 0.2492935000, 0.2617000000, 0.2957463000, 0.3747755000, 0.5244851000, 0.8320422000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o21ba_1") { - leakage_power () { - value : 0.0194758000; - when : "!A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0062981000; - when : "!A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0066847000; - when : "!A1&A2&B1_N"; - } - leakage_power () { - value : 0.0044071000; - when : "!A1&A2&!B1_N"; - } - leakage_power () { - value : 0.0066847000; - when : "A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0046948000; - when : "A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0066847000; - when : "A1&A2&B1_N"; - } - leakage_power () { - value : 0.0031934000; - when : "A1&A2&!B1_N"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__o21ba"; - cell_leakage_power : 0.0072654050; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040034000, 0.0040015000, 0.0039972000, 0.0039994000, 0.0040044000, 0.0040161000, 0.0040429000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004003400, -0.004000800, -0.003994700, -0.003995900, -0.003998600, -0.004004700, -0.004018900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025030000; - } - pin ("A2") { - capacitance : 0.0024160000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040083000, 0.0040092000, 0.0040113000, 0.0040124000, 0.0040149000, 0.0040207000, 0.0040339000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004008900, -0.004004600, -0.003994600, -0.003996200, -0.003999700, -0.004007700, -0.004026200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025760000; - } - pin ("B1_N") { - capacitance : 0.0013550000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080696000, 0.0079741000, 0.0077539000, 0.0078117000, 0.0079449000, 0.0082521000, 0.0089602000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0044582000, 0.0043933000, 0.0042436000, 0.0042832000, 0.0043743000, 0.0045843000, 0.0050684000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0013970000; - } - pin ("X") { - direction : "output"; - function : "(A1&!B1_N) | (A2&!B1_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0123744000, 0.0113586000, 0.0086891000, 0.0007367000, -0.021744800, -0.081481400, -0.237630600", \ - "0.0121798000, 0.0111725000, 0.0085277000, 0.0005592000, -0.021882000, -0.081602100, -0.237747900", \ - "0.0120576000, 0.0110325000, 0.0084023000, 0.0004441000, -0.022025100, -0.081758400, -0.237951600", \ - "0.0118568000, 0.0108574000, 0.0081838000, 0.0002393000, -0.022213200, -0.081913700, -0.238062300", \ - "0.0118072000, 0.0107470000, 0.0080546000, 7.570000e-05, -0.022392500, -0.082066100, -0.238138000", \ - "0.0125986000, 0.0113348000, 0.0079579000, -0.000503300, -0.022636600, -0.082197100, -0.238274200", \ - "0.0137278000, 0.0123843000, 0.0089952000, 0.0006076000, -0.022215100, -0.081667100, -0.237683900"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0156352000, 0.0170869000, 0.0207235000, 0.0298096000, 0.0527869000, 0.1122577000, 0.2669766000", \ - "0.0155239000, 0.0169589000, 0.0206111000, 0.0296984000, 0.0526791000, 0.1121490000, 0.2668633000", \ - "0.0153682000, 0.0168065000, 0.0204542000, 0.0295286000, 0.0525101000, 0.1120460000, 0.2667187000", \ - "0.0151858000, 0.0166091000, 0.0202265000, 0.0292833000, 0.0523050000, 0.1118787000, 0.2664144000", \ - "0.0151121000, 0.0165091000, 0.0200938000, 0.0290474000, 0.0521079000, 0.1116255000, 0.2663684000", \ - "0.0154520000, 0.0167942000, 0.0202373000, 0.0290050000, 0.0520629000, 0.1111586000, 0.2661952000", \ - "0.0162845000, 0.0175946000, 0.0211392000, 0.0299069000, 0.0529510000, 0.1125713000, 0.2659060000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0102956000, 0.0092786000, 0.0065955000, -0.001336800, -0.023802600, -0.083544100, -0.239671800", \ - "0.0100561000, 0.0090558000, 0.0063935000, -0.001534300, -0.024005100, -0.083747000, -0.239926500", \ - "0.0098441000, 0.0088337000, 0.0061554000, -0.001786100, -0.024262300, -0.083986100, -0.240127800", \ - "0.0096144000, 0.0086353000, 0.0059370000, -0.002029800, -0.024480900, -0.084175000, -0.240317600", \ - "0.0096564000, 0.0086139000, 0.0058661000, -0.002140000, -0.024627400, -0.084283700, -0.240391600", \ - "0.0107348000, 0.0098831000, 0.0064760000, -0.001853800, -0.024042600, -0.083597700, -0.239629800", \ - "0.0131392000, 0.0118296000, 0.0083877000, -0.000472300, -0.022976000, -0.082348900, -0.238368900"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0143306000, 0.0157794000, 0.0194553000, 0.0285381000, 0.0513829000, 0.1108788000, 0.2656847000", \ - "0.0142584000, 0.0157190000, 0.0193661000, 0.0283878000, 0.0513125000, 0.1108767000, 0.2657083000", \ - "0.0140022000, 0.0154581000, 0.0191092000, 0.0281747000, 0.0510755000, 0.1104880000, 0.2657746000", \ - "0.0136901000, 0.0150889000, 0.0187068000, 0.0277616000, 0.0506827000, 0.1101409000, 0.2639132000", \ - "0.0135673000, 0.0148623000, 0.0184473000, 0.0274216000, 0.0503641000, 0.1099864000, 0.2647310000", \ - "0.0138042000, 0.0151318000, 0.0185923000, 0.0273603000, 0.0503587000, 0.1094866000, 0.2646577000", \ - "0.0146249000, 0.0159197000, 0.0193729000, 0.0283517000, 0.0513243000, 0.1110184000, 0.2640954000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0103355000, 0.0093874000, 0.0068765000, -0.001107600, -0.023800700, -0.083676800, -0.239950100", \ - "0.0102977000, 0.0093682000, 0.0068552000, -0.001126900, -0.023825300, -0.083693600, -0.239952900", \ - "0.0102093000, 0.0092787000, 0.0067554000, -0.001216800, -0.023904700, -0.083770900, -0.240030300", \ - "0.0098180000, 0.0088491000, 0.0063329000, -0.001651900, -0.024328700, -0.084178400, -0.240410900", \ - "0.0096424000, 0.0086003000, 0.0059889000, -0.002022700, -0.024684700, -0.084524800, -0.240718800", \ - "0.0109085000, 0.0096803000, 0.0065232000, -0.002000100, -0.024898100, -0.084744800, -0.240972200", \ - "0.0111128000, 0.0099336000, 0.0066146000, -0.001848400, -0.024657100, -0.084519300, -0.240842300"); - } - related_pin : "B1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0139952000, 0.0154348000, 0.0190219000, 0.0280816000, 0.0511138000, 0.1107101000, 0.2655087000", \ - "0.0139353000, 0.0153806000, 0.0190120000, 0.0280661000, 0.0510766000, 0.1106303000, 0.2654143000", \ - "0.0139859000, 0.0154259000, 0.0190497000, 0.0281202000, 0.0511107000, 0.1106626000, 0.2654533000", \ - "0.0137665000, 0.0152043000, 0.0188038000, 0.0278355000, 0.0508794000, 0.1104608000, 0.2652768000", \ - "0.0134037000, 0.0148381000, 0.0184533000, 0.0275061000, 0.0504881000, 0.1101261000, 0.2646635000", \ - "0.0129548000, 0.0144259000, 0.0180845000, 0.0271466000, 0.0501043000, 0.1096500000, 0.2645582000", \ - "0.0134041000, 0.0147329000, 0.0182070000, 0.0271560000, 0.0500239000, 0.1094605000, 0.2645906000"); - } - } - max_capacitance : 0.1565650000; - max_transition : 1.5055210000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1457191000, 0.1525492000, 0.1666010000, 0.1939910000, 0.2499436000, 0.3792698000, 0.7101252000", \ - "0.1508914000, 0.1577091000, 0.1718566000, 0.1992534000, 0.2552659000, 0.3846051000, 0.7150214000", \ - "0.1629105000, 0.1697358000, 0.1838023000, 0.2112003000, 0.2671734000, 0.3964822000, 0.7270161000", \ - "0.1888591000, 0.1956497000, 0.2097063000, 0.2372285000, 0.2932561000, 0.4226357000, 0.7540888000", \ - "0.2458480000, 0.2527273000, 0.2666848000, 0.2943493000, 0.3504628000, 0.4799388000, 0.8102889000", \ - "0.3479622000, 0.3558926000, 0.3717191000, 0.4022400000, 0.4613897000, 0.5925478000, 0.9229369000", \ - "0.5179330000, 0.5277971000, 0.5470108000, 0.5818933000, 0.6471300000, 0.7819841000, 1.1141885000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0912567000, 0.0985864000, 0.1152688000, 0.1541379000, 0.2501897000, 0.4974626000, 1.1397029000", \ - "0.0956611000, 0.1029938000, 0.1196798000, 0.1585581000, 0.2546288000, 0.5018743000, 1.1441356000", \ - "0.1045698000, 0.1119028000, 0.1286419000, 0.1674789000, 0.2637210000, 0.5105161000, 1.1548096000", \ - "0.1226641000, 0.1300196000, 0.1466648000, 0.1854200000, 0.2818763000, 0.5295767000, 1.1743861000", \ - "0.1553541000, 0.1630650000, 0.1804077000, 0.2194833000, 0.3158794000, 0.5635152000, 1.2048003000", \ - "0.1984078000, 0.2073589000, 0.2260366000, 0.2662958000, 0.3627637000, 0.6103259000, 1.2532680000", \ - "0.2325917000, 0.2441418000, 0.2672309000, 0.3111948000, 0.4086639000, 0.6560188000, 1.2984607000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0243826000, 0.0289235000, 0.0391636000, 0.0636294000, 0.1238244000, 0.2870916000, 0.7272859000", \ - "0.0241071000, 0.0286558000, 0.0391479000, 0.0637858000, 0.1232967000, 0.2869878000, 0.7269031000", \ - "0.0244801000, 0.0290447000, 0.0391907000, 0.0636248000, 0.1237054000, 0.2872549000, 0.7271081000", \ - "0.0241089000, 0.0286266000, 0.0394761000, 0.0637053000, 0.1236850000, 0.2872508000, 0.7271990000", \ - "0.0252655000, 0.0296178000, 0.0400590000, 0.0644262000, 0.1237604000, 0.2876455000, 0.7244230000", \ - "0.0304101000, 0.0352570000, 0.0461388000, 0.0705799000, 0.1291867000, 0.2886723000, 0.7271459000", \ - "0.0409467000, 0.0467856000, 0.0589639000, 0.0841078000, 0.1410763000, 0.2951734000, 0.7241165000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0243041000, 0.0316069000, 0.0502882000, 0.1000027000, 0.2333903000, 0.5824572000, 1.4985787000", \ - "0.0243069000, 0.0316003000, 0.0502820000, 0.0999878000, 0.2333782000, 0.5824378000, 1.4986662000", \ - "0.0242887000, 0.0315752000, 0.0503147000, 0.1002076000, 0.2328177000, 0.5843449000, 1.4999144000", \ - "0.0245548000, 0.0319336000, 0.0504572000, 0.1001923000, 0.2335095000, 0.5848072000, 1.4973859000", \ - "0.0266189000, 0.0339414000, 0.0523140000, 0.1009906000, 0.2337305000, 0.5841716000, 1.4953192000", \ - "0.0321889000, 0.0394512000, 0.0566981000, 0.1035424000, 0.2347163000, 0.5816835000, 1.4940708000", \ - "0.0439355000, 0.0515903000, 0.0692425000, 0.1113947000, 0.2362912000, 0.5836860000, 1.4935624000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1342271000, 0.1409050000, 0.1549761000, 0.1825657000, 0.2385014000, 0.3679025000, 0.6991757000", \ - "0.1376129000, 0.1444579000, 0.1585488000, 0.1861210000, 0.2420604000, 0.3713530000, 0.7017765000", \ - "0.1483545000, 0.1551384000, 0.1692168000, 0.1966727000, 0.2526526000, 0.3819059000, 0.7121443000", \ - "0.1763260000, 0.1831398000, 0.1971838000, 0.2246892000, 0.2807264000, 0.4101283000, 0.7416699000", \ - "0.2434630000, 0.2502651000, 0.2642502000, 0.2918172000, 0.3479684000, 0.4774357000, 0.8089872000", \ - "0.3627020000, 0.3714281000, 0.3877263000, 0.4177465000, 0.4764496000, 0.6081404000, 0.9381074000", \ - "0.5536160000, 0.5643809000, 0.5854554000, 0.6212961000, 0.6836834000, 0.8171646000, 1.1499111000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0784862000, 0.0856246000, 0.1020129000, 0.1404996000, 0.2362289000, 0.4826429000, 1.1248916000", \ - "0.0831675000, 0.0902943000, 0.1066744000, 0.1451426000, 0.2409128000, 0.4873871000, 1.1327906000", \ - "0.0917861000, 0.0989436000, 0.1152507000, 0.1537120000, 0.2496296000, 0.4966240000, 1.1437030000", \ - "0.1086504000, 0.1157565000, 0.1321189000, 0.1704640000, 0.2663242000, 0.5135511000, 1.1544121000", \ - "0.1349004000, 0.1424419000, 0.1595377000, 0.1984850000, 0.2945879000, 0.5417181000, 1.1904985000", \ - "0.1640263000, 0.1732772000, 0.1922698000, 0.2323230000, 0.3287781000, 0.5759593000, 1.2214481000", \ - "0.1702606000, 0.1826587000, 0.2071302000, 0.2523059000, 0.3496221000, 0.5979309000, 1.2399097000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0244118000, 0.0289503000, 0.0396417000, 0.0637242000, 0.1233675000, 0.2871831000, 0.7270799000", \ - "0.0243545000, 0.0289589000, 0.0395014000, 0.0636572000, 0.1235858000, 0.2871511000, 0.7270620000", \ - "0.0243156000, 0.0287637000, 0.0395937000, 0.0635644000, 0.1233739000, 0.2862292000, 0.7327431000", \ - "0.0241566000, 0.0287247000, 0.0392538000, 0.0635793000, 0.1237571000, 0.2872593000, 0.7272600000", \ - "0.0250373000, 0.0299848000, 0.0398586000, 0.0645505000, 0.1236813000, 0.2864141000, 0.7282082000", \ - "0.0340847000, 0.0385751000, 0.0482857000, 0.0709769000, 0.1287131000, 0.2885729000, 0.7335973000", \ - "0.0482943000, 0.0540997000, 0.0646036000, 0.0865733000, 0.1406015000, 0.2937471000, 0.7261397000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0230705000, 0.0303516000, 0.0490817000, 0.0992313000, 0.2324072000, 0.5834756000, 1.5030102000", \ - "0.0230337000, 0.0302731000, 0.0490810000, 0.0993038000, 0.2320858000, 0.5855249000, 1.5050207000", \ - "0.0230570000, 0.0303240000, 0.0490456000, 0.0992365000, 0.2326879000, 0.5837348000, 1.5042099000", \ - "0.0236256000, 0.0308226000, 0.0493787000, 0.0992869000, 0.2321120000, 0.5840728000, 1.4961087000", \ - "0.0264432000, 0.0336340000, 0.0516439000, 0.1004767000, 0.2329572000, 0.5854485000, 1.5055213000", \ - "0.0341805000, 0.0405426000, 0.0574906000, 0.1034378000, 0.2342823000, 0.5815747000, 1.5004714000", \ - "0.0475358000, 0.0555958000, 0.0722196000, 0.1140492000, 0.2369723000, 0.5851354000, 1.4910670000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1066121000, 0.1125583000, 0.1254554000, 0.1514630000, 0.2052875000, 0.3332147000, 0.6646694000", \ - "0.1115238000, 0.1174639000, 0.1303430000, 0.1563798000, 0.2102387000, 0.3380564000, 0.6676942000", \ - "0.1225793000, 0.1284933000, 0.1413946000, 0.1673843000, 0.2212277000, 0.3491734000, 0.6801442000", \ - "0.1443767000, 0.1502896000, 0.1631435000, 0.1891511000, 0.2430277000, 0.3709960000, 0.7020659000", \ - "0.1761225000, 0.1820290000, 0.1948701000, 0.2209689000, 0.2749028000, 0.4028573000, 0.7332067000", \ - "0.2156885000, 0.2216234000, 0.2346316000, 0.2609477000, 0.3151125000, 0.4429739000, 0.7730718000", \ - "0.2503976000, 0.2566364000, 0.2703002000, 0.2975815000, 0.3524971000, 0.4805263000, 0.8119508000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1705885000, 0.1779284000, 0.1945783000, 0.2333895000, 0.3299224000, 0.5777516000, 1.2195529000", \ - "0.1754466000, 0.1828478000, 0.1994885000, 0.2383616000, 0.3346201000, 0.5820825000, 1.2250317000", \ - "0.1880298000, 0.1953698000, 0.2120623000, 0.2509356000, 0.3470392000, 0.5948718000, 1.2360937000", \ - "0.2195842000, 0.2269429000, 0.2436485000, 0.2824132000, 0.3789056000, 0.6266793000, 1.2685965000", \ - "0.2891949000, 0.2965857000, 0.3132936000, 0.3521817000, 0.4485173000, 0.6968743000, 1.3387506000", \ - "0.4087677000, 0.4161817000, 0.4330615000, 0.4721339000, 0.5686749000, 0.8160890000, 1.4590363000", \ - "0.5989687000, 0.6066959000, 0.6238388000, 0.6630680000, 0.7605842000, 1.0078413000, 1.6505961000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0181013000, 0.0230548000, 0.0345153000, 0.0593729000, 0.1193972000, 0.2841939000, 0.7266509000", \ - "0.0181678000, 0.0231125000, 0.0345889000, 0.0594053000, 0.1194135000, 0.2840198000, 0.7289587000", \ - "0.0182029000, 0.0230659000, 0.0345525000, 0.0594662000, 0.1194170000, 0.2841137000, 0.7274083000", \ - "0.0181607000, 0.0231575000, 0.0346572000, 0.0595491000, 0.1194452000, 0.2840023000, 0.7235200000", \ - "0.0183909000, 0.0233941000, 0.0348857000, 0.0592040000, 0.1196278000, 0.2848808000, 0.7308522000", \ - "0.0190976000, 0.0238920000, 0.0353745000, 0.0601950000, 0.1197962000, 0.2824424000, 0.7295040000", \ - "0.0213412000, 0.0263369000, 0.0377989000, 0.0623536000, 0.1209786000, 0.2846874000, 0.7260429000"); - } - related_pin : "B1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0244854000, 0.0318030000, 0.0506296000, 0.1003307000, 0.2334749000, 0.5848299000, 1.4974462000", \ - "0.0246152000, 0.0318644000, 0.0506216000, 0.1004104000, 0.2333612000, 0.5823715000, 1.4994547000", \ - "0.0245661000, 0.0318878000, 0.0505883000, 0.1001452000, 0.2333411000, 0.5839597000, 1.4958945000", \ - "0.0245115000, 0.0318581000, 0.0505997000, 0.1003495000, 0.2334810000, 0.5848755000, 1.4975179000", \ - "0.0246476000, 0.0319841000, 0.0506861000, 0.1004178000, 0.2333428000, 0.5838821000, 1.4991944000", \ - "0.0253696000, 0.0327070000, 0.0513531000, 0.1010670000, 0.2335233000, 0.5837603000, 1.4997427000", \ - "0.0273721000, 0.0343382000, 0.0527390000, 0.1023651000, 0.2346819000, 0.5815240000, 1.4990083000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o21ba_2") { - leakage_power () { - value : 0.0029671000; - when : "!A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0030880000; - when : "!A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0032197000; - when : "!A1&A2&B1_N"; - } - leakage_power () { - value : 0.0025417000; - when : "!A1&A2&!B1_N"; - } - leakage_power () { - value : 0.0032197000; - when : "A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0029198000; - when : "A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0032197000; - when : "A1&A2&B1_N"; - } - leakage_power () { - value : 0.0017887000; - when : "A1&A2&!B1_N"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__o21ba"; - cell_leakage_power : 0.0028705310; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023900000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040632000, 0.0040547000, 0.0040349000, 0.0040336000, 0.0040305000, 0.0040234000, 0.0040069000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004039100, -0.004037100, -0.004032500, -0.004033400, -0.004035500, -0.004040300, -0.004051400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025040000; - } - pin ("A2") { - capacitance : 0.0023780000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022080000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039735000, 0.0039731000, 0.0039722000, 0.0039733000, 0.0039759000, 0.0039818000, 0.0039954000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003977500, -0.003974500, -0.003967600, -0.003968400, -0.003970100, -0.003974100, -0.003983200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025470000; - } - pin ("B1_N") { - capacitance : 0.0013850000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079652000, 0.0078723000, 0.0076584000, 0.0077175000, 0.0078537000, 0.0081678000, 0.0088916000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0051478000, 0.0050950000, 0.0049732000, 0.0050163000, 0.0051156000, 0.0053446000, 0.0058724000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014370000; - } - pin ("X") { - direction : "output"; - function : "(A1&!B1_N) | (A2&!B1_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0151537000, 0.0137350000, 0.0101925000, -0.000176100, -0.032986100, -0.130106700, -0.407797900", \ - "0.0150183000, 0.0136230000, 0.0101053000, -0.000304500, -0.033098600, -0.130221700, -0.407884600", \ - "0.0149420000, 0.0135583000, 0.0099745000, -0.000363200, -0.033221800, -0.130318700, -0.408007200", \ - "0.0147225000, 0.0133313000, 0.0097491000, -0.000600900, -0.033384500, -0.130472600, -0.408171800", \ - "0.0147046000, 0.0132766000, 0.0096482000, -0.000777700, -0.033602600, -0.130666400, -0.408320900", \ - "0.0151151000, 0.0140825000, 0.0096591000, -0.001114600, -0.033758000, -0.130774900, -0.408377800", \ - "0.0181750000, 0.0165689000, 0.0122793000, 0.0007149000, -0.033451800, -0.130512500, -0.407979000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0202025000, 0.0218426000, 0.0264337000, 0.0389401000, 0.0734901000, 0.1703719000, 0.4450428000", \ - "0.0201029000, 0.0217363000, 0.0263466000, 0.0387855000, 0.0733924000, 0.1702192000, 0.4447464000", \ - "0.0199462000, 0.0216001000, 0.0261419000, 0.0387207000, 0.0732014000, 0.1703286000, 0.4450129000", \ - "0.0197968000, 0.0214538000, 0.0260508000, 0.0385294000, 0.0730489000, 0.1700817000, 0.4448349000", \ - "0.0197632000, 0.0213843000, 0.0259549000, 0.0382286000, 0.0727510000, 0.1698157000, 0.4444698000", \ - "0.0202702000, 0.0217916000, 0.0261389000, 0.0381219000, 0.0724908000, 0.1692562000, 0.4441543000", \ - "0.0210758000, 0.0225463000, 0.0268210000, 0.0389787000, 0.0732098000, 0.1700643000, 0.4437447000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0131533000, 0.0117567000, 0.0082029000, -0.002155700, -0.034997200, -0.132056400, -0.409781300", \ - "0.0129371000, 0.0115666000, 0.0079977000, -0.002373200, -0.035168700, -0.132251500, -0.409969400", \ - "0.0127500000, 0.0113561000, 0.0078208000, -0.002564600, -0.035368300, -0.132469700, -0.410147300", \ - "0.0125850000, 0.0111696000, 0.0076325000, -0.002770800, -0.035628900, -0.132677400, -0.410354700", \ - "0.0128279000, 0.0113905000, 0.0077276000, -0.002730800, -0.035618100, -0.132689000, -0.410332400", \ - "0.0126270000, 0.0110506000, 0.0072346000, -0.002775600, -0.035519600, -0.132412200, -0.409945000", \ - "0.0176784000, 0.0160802000, 0.0116892000, -0.000779100, -0.034861400, -0.131624200, -0.409125100"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0188627000, 0.0205286000, 0.0251473000, 0.0377094000, 0.0721908000, 0.1690732000, 0.4440976000", \ - "0.0188359000, 0.0205010000, 0.0250362000, 0.0376595000, 0.0720955000, 0.1689503000, 0.4439225000", \ - "0.0186452000, 0.0203098000, 0.0249314000, 0.0375054000, 0.0719990000, 0.1688115000, 0.4440376000", \ - "0.0183961000, 0.0200347000, 0.0245739000, 0.0370777000, 0.0715984000, 0.1684174000, 0.4421616000", \ - "0.0181292000, 0.0197128000, 0.0242998000, 0.0366819000, 0.0710626000, 0.1681429000, 0.4418819000", \ - "0.0185434000, 0.0200776000, 0.0244548000, 0.0366910000, 0.0708474000, 0.1673331000, 0.4429764000", \ - "0.0193831000, 0.0208564000, 0.0250670000, 0.0372319000, 0.0712115000, 0.1679961000, 0.4419019000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0129103000, 0.0116714000, 0.0084454000, -0.001861600, -0.035215800, -0.132718600, -0.410595700", \ - "0.0129103000, 0.0116834000, 0.0084749000, -0.001891400, -0.035222100, -0.132724300, -0.410592300", \ - "0.0128524000, 0.0115899000, 0.0083871000, -0.001951000, -0.035303900, -0.132796700, -0.410670600", \ - "0.0124009000, 0.0112010000, 0.0079477000, -0.002382400, -0.035725200, -0.133207800, -0.411051300", \ - "0.0120619000, 0.0108672000, 0.0075862000, -0.002741200, -0.036071300, -0.133537700, -0.411388800", \ - "0.0149702000, 0.0135836000, 0.0096118000, -0.002129700, -0.036298200, -0.133844500, -0.411685700", \ - "0.0149724000, 0.0135282000, 0.0095379000, -0.002147000, -0.036212000, -0.133795500, -0.411737100"); - } - related_pin : "B1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014220210, 0.0040442850, 0.0115021200, 0.0327124900, 0.0930356800, 0.2645973000"); - values("0.0186950000, 0.0203368000, 0.0248623000, 0.0373863000, 0.0719120000, 0.1689468000, 0.4438713000", \ - "0.0186423000, 0.0203066000, 0.0248643000, 0.0373518000, 0.0718595000, 0.1688597000, 0.4440897000", \ - "0.0187197000, 0.0203426000, 0.0248675000, 0.0373877000, 0.0719121000, 0.1689119000, 0.4438845000", \ - "0.0185003000, 0.0201371000, 0.0246464000, 0.0371608000, 0.0716831000, 0.1686628000, 0.4431619000", \ - "0.0182078000, 0.0198340000, 0.0244350000, 0.0369208000, 0.0714136000, 0.1685849000, 0.4437331000", \ - "0.0177730000, 0.0194001000, 0.0239249000, 0.0364144000, 0.0708994000, 0.1679079000, 0.4430897000", \ - "0.0184472000, 0.0199809000, 0.0243377000, 0.0364972000, 0.0707338000, 0.1679540000, 0.4424655000"); - } - } - max_capacitance : 0.2645970000; - max_transition : 1.5029580000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.1759621000, 0.1819530000, 0.1950053000, 0.2205354000, 0.2698863000, 0.3799800000, 0.6686636000", \ - "0.1812555000, 0.1872894000, 0.2001190000, 0.2255683000, 0.2753545000, 0.3853120000, 0.6742462000", \ - "0.1935121000, 0.1995294000, 0.2125651000, 0.2380006000, 0.2877379000, 0.3973860000, 0.6862694000", \ - "0.2196711000, 0.2256028000, 0.2386482000, 0.2641980000, 0.3137102000, 0.4234974000, 0.7119756000", \ - "0.2784834000, 0.2844376000, 0.2974056000, 0.3228885000, 0.3726336000, 0.4825631000, 0.7711272000", \ - "0.3920725000, 0.3997397000, 0.4140787000, 0.4417102000, 0.4940552000, 0.6061936000, 0.8951497000", \ - "0.5854540000, 0.5935102000, 0.6113466000, 0.6441981000, 0.7037992000, 0.8223507000, 1.1137606000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.1043124000, 0.1103974000, 0.1245980000, 0.1576354000, 0.2416418000, 0.4734050000, 1.1297459000", \ - "0.1088045000, 0.1148797000, 0.1291293000, 0.1621324000, 0.2461912000, 0.4778448000, 1.1342763000", \ - "0.1176541000, 0.1237357000, 0.1379282000, 0.1710288000, 0.2548500000, 0.4875700000, 1.1428858000", \ - "0.1362481000, 0.1423387000, 0.1565537000, 0.1894958000, 0.2733819000, 0.5051354000, 1.1612343000", \ - "0.1722687000, 0.1786429000, 0.1934582000, 0.2270050000, 0.3108551000, 0.5433246000, 1.2001786000", \ - "0.2239012000, 0.2313806000, 0.2478088000, 0.2832139000, 0.3682354000, 0.6000368000, 1.2566335000", \ - "0.2734165000, 0.2831717000, 0.3041428000, 0.3444768000, 0.4317038000, 0.6640916000, 1.3194432000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0272424000, 0.0308528000, 0.0388783000, 0.0566006000, 0.1024426000, 0.2274208000, 0.6081990000", \ - "0.0273231000, 0.0306939000, 0.0391357000, 0.0569941000, 0.1021114000, 0.2276469000, 0.6080954000", \ - "0.0274218000, 0.0309076000, 0.0390800000, 0.0570117000, 0.1021358000, 0.2278107000, 0.6080654000", \ - "0.0272035000, 0.0309654000, 0.0389652000, 0.0564938000, 0.1022340000, 0.2277471000, 0.6088535000", \ - "0.0272607000, 0.0307558000, 0.0385820000, 0.0568434000, 0.1021642000, 0.2274835000, 0.6055744000", \ - "0.0329661000, 0.0369491000, 0.0452198000, 0.0638340000, 0.1068000000, 0.2301753000, 0.6095633000", \ - "0.0444738000, 0.0487364000, 0.0579045000, 0.0771998000, 0.1218348000, 0.2412149000, 0.6075920000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0226253000, 0.0280069000, 0.0423286000, 0.0819058000, 0.1981990000, 0.5354753000, 1.5009504000", \ - "0.0227160000, 0.0280237000, 0.0422573000, 0.0818708000, 0.1983593000, 0.5359704000, 1.5005845000", \ - "0.0226234000, 0.0280040000, 0.0423229000, 0.0819955000, 0.1978344000, 0.5368693000, 1.4999578000", \ - "0.0226971000, 0.0280822000, 0.0422619000, 0.0817755000, 0.1982579000, 0.5367840000, 1.5006300000", \ - "0.0247574000, 0.0301571000, 0.0441744000, 0.0832461000, 0.1983641000, 0.5359673000, 1.4982038000", \ - "0.0303962000, 0.0355893000, 0.0497229000, 0.0872900000, 0.2006152000, 0.5355940000, 1.4997303000", \ - "0.0423077000, 0.0484335000, 0.0631863000, 0.0979782000, 0.2046938000, 0.5365157000, 1.4951385000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.1637799000, 0.1698251000, 0.1827926000, 0.2084048000, 0.2579712000, 0.3677004000, 0.6566286000", \ - "0.1672194000, 0.1732428000, 0.1862970000, 0.2115529000, 0.2613949000, 0.3711375000, 0.6599356000", \ - "0.1781848000, 0.1841999000, 0.1971448000, 0.2225320000, 0.2721901000, 0.3820366000, 0.6709006000", \ - "0.2063092000, 0.2122783000, 0.2252013000, 0.2506710000, 0.3003665000, 0.4102450000, 0.6987849000", \ - "0.2749678000, 0.2808885000, 0.2937602000, 0.3183283000, 0.3681155000, 0.4780786000, 0.7668882000", \ - "0.4123633000, 0.4197730000, 0.4348601000, 0.4631117000, 0.5153769000, 0.6272724000, 0.9159826000", \ - "0.6334548000, 0.6426388000, 0.6626337000, 0.6985965000, 0.7595296000, 0.8765793000, 1.1676182000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0894020000, 0.0951940000, 0.1089733000, 0.1413521000, 0.2243730000, 0.4558014000, 1.1127167000", \ - "0.0942165000, 0.1000174000, 0.1136694000, 0.1460638000, 0.2292311000, 0.4607626000, 1.1169213000", \ - "0.1031706000, 0.1089763000, 0.1226727000, 0.1550430000, 0.2385198000, 0.4697284000, 1.1273334000", \ - "0.1213193000, 0.1270559000, 0.1406921000, 0.1728786000, 0.2564086000, 0.4877214000, 1.1506336000", \ - "0.1526771000, 0.1589736000, 0.1734417000, 0.2065024000, 0.2901199000, 0.5220455000, 1.1763882000", \ - "0.1920892000, 0.1997172000, 0.2160512000, 0.2513009000, 0.3357616000, 0.5672529000, 1.2252471000", \ - "0.2159098000, 0.2262401000, 0.2479614000, 0.2896931000, 0.3765418000, 0.6083257000, 1.2632841000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0271534000, 0.0307285000, 0.0385760000, 0.0564562000, 0.1022217000, 0.2275336000, 0.6080666000", \ - "0.0273486000, 0.0309116000, 0.0389227000, 0.0569896000, 0.1020268000, 0.2275334000, 0.6082524000", \ - "0.0272250000, 0.0306719000, 0.0388095000, 0.0570892000, 0.1022854000, 0.2278077000, 0.6088688000", \ - "0.0271929000, 0.0306403000, 0.0386919000, 0.0573515000, 0.1020368000, 0.2277111000, 0.6076901000", \ - "0.0272839000, 0.0308829000, 0.0388674000, 0.0571736000, 0.1021375000, 0.2282999000, 0.6090574000", \ - "0.0367120000, 0.0399080000, 0.0477401000, 0.0644879000, 0.1074309000, 0.2303869000, 0.6099425000", \ - "0.0531384000, 0.0578083000, 0.0676882000, 0.0857394000, 0.1250414000, 0.2409333000, 0.6090315000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0213066000, 0.0265213000, 0.0405867000, 0.0803178000, 0.1966712000, 0.5347648000, 1.4997117000", \ - "0.0212751000, 0.0265101000, 0.0405709000, 0.0801547000, 0.1968526000, 0.5355360000, 1.5005059000", \ - "0.0212698000, 0.0264069000, 0.0405439000, 0.0802093000, 0.1968294000, 0.5341472000, 1.5029159000", \ - "0.0214529000, 0.0266079000, 0.0407156000, 0.0801568000, 0.1968073000, 0.5348942000, 1.5029582000", \ - "0.0240613000, 0.0295123000, 0.0433914000, 0.0820957000, 0.1977297000, 0.5347350000, 1.5006067000", \ - "0.0314273000, 0.0370228000, 0.0505087000, 0.0869283000, 0.1996509000, 0.5356950000, 1.5012915000", \ - "0.0446841000, 0.0514087000, 0.0653429000, 0.1001698000, 0.2047224000, 0.5377282000, 1.4956658000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.1229225000, 0.1275115000, 0.1379858000, 0.1601586000, 0.2058941000, 0.3115138000, 0.5993377000", \ - "0.1278053000, 0.1324179000, 0.1428659000, 0.1650590000, 0.2108043000, 0.3164981000, 0.6036622000", \ - "0.1388158000, 0.1434173000, 0.1538569000, 0.1761309000, 0.2218775000, 0.3275507000, 0.6153590000", \ - "0.1612667000, 0.1658373000, 0.1762856000, 0.1985263000, 0.2442953000, 0.3500183000, 0.6370845000", \ - "0.1941651000, 0.1987576000, 0.2091977000, 0.2313794000, 0.2771902000, 0.3828423000, 0.6707632000", \ - "0.2352998000, 0.2399434000, 0.2504250000, 0.2726311000, 0.3186079000, 0.4243647000, 0.7119585000", \ - "0.2728862000, 0.2776787000, 0.2885256000, 0.3113830000, 0.3578457000, 0.4639092000, 0.7516926000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.1918952000, 0.1979881000, 0.2122060000, 0.2452505000, 0.3291760000, 0.5620121000, 1.2175259000", \ - "0.1965844000, 0.2029095000, 0.2169790000, 0.2502666000, 0.3340734000, 0.5664779000, 1.2238661000", \ - "0.2095169000, 0.2156103000, 0.2298177000, 0.2628872000, 0.3468700000, 0.5786880000, 1.2357683000", \ - "0.2412157000, 0.2472700000, 0.2615749000, 0.2946672000, 0.3783785000, 0.6098907000, 1.2655668000", \ - "0.3122251000, 0.3183200000, 0.3326328000, 0.3656970000, 0.4494667000, 0.6821806000, 1.3379028000", \ - "0.4400996000, 0.4462535000, 0.4606145000, 0.4939204000, 0.5777688000, 0.8100460000, 1.4675686000", \ - "0.6450730000, 0.6514434000, 0.6662168000, 0.6998069000, 0.7838711000, 1.0163512000, 1.6719199000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0176349000, 0.0209954000, 0.0290670000, 0.0485268000, 0.0939872000, 0.2209829000, 0.6019817000", \ - "0.0176600000, 0.0208786000, 0.0289641000, 0.0487119000, 0.0939685000, 0.2213801000, 0.6071056000", \ - "0.0176584000, 0.0209288000, 0.0289628000, 0.0485285000, 0.0939878000, 0.2212003000, 0.6030501000", \ - "0.0176466000, 0.0209288000, 0.0290431000, 0.0485300000, 0.0939771000, 0.2211883000, 0.6075398000", \ - "0.0178144000, 0.0211235000, 0.0291874000, 0.0485379000, 0.0940005000, 0.2213417000, 0.6018560000", \ - "0.0183805000, 0.0216647000, 0.0296351000, 0.0489690000, 0.0942810000, 0.2204805000, 0.6064320000", \ - "0.0196774000, 0.0230000000, 0.0310762000, 0.0504234000, 0.0953155000, 0.2217982000, 0.6046568000"); - } - related_pin : "B1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014220200, 0.0040442900, 0.0115021000, 0.0327125000, 0.0930357000, 0.2645970000"); - values("0.0229162000, 0.0282249000, 0.0426194000, 0.0820957000, 0.1979793000, 0.5368267000, 1.4999317000", \ - "0.0229574000, 0.0282453000, 0.0425565000, 0.0821788000, 0.1979004000, 0.5358604000, 1.4994360000", \ - "0.0230115000, 0.0282871000, 0.0426016000, 0.0820840000, 0.1982097000, 0.5363541000, 1.4975713000", \ - "0.0229306000, 0.0283639000, 0.0425399000, 0.0821982000, 0.1978221000, 0.5368794000, 1.5020036000", \ - "0.0231522000, 0.0283993000, 0.0425994000, 0.0822323000, 0.1978342000, 0.5365846000, 1.5026185000", \ - "0.0237544000, 0.0289966000, 0.0433794000, 0.0827934000, 0.1986089000, 0.5359822000, 1.5014309000", \ - "0.0259531000, 0.0309799000, 0.0449073000, 0.0840527000, 0.1994471000, 0.5352709000, 1.5005975000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o21ba_4") { - leakage_power () { - value : 0.0041175000; - when : "!A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0043530000; - when : "!A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0047840000; - when : "!A1&A2&B1_N"; - } - leakage_power () { - value : 0.0087956000; - when : "!A1&A2&!B1_N"; - } - leakage_power () { - value : 0.0047840000; - when : "A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0073377000; - when : "A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0047835000; - when : "A1&A2&B1_N"; - } - leakage_power () { - value : 0.0053552000; - when : "A1&A2&!B1_N"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__o21ba"; - cell_leakage_power : 0.0055388110; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0043960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041750000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079288000, 0.0079283000, 0.0079274000, 0.0079279000, 0.0079292000, 0.0079322000, 0.0079390000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007923000, -0.007919700, -0.007912000, -0.007908600, -0.007900800, -0.007882700, -0.007841200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046170000; - } - pin ("A2") { - capacitance : 0.0043840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040600000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079257000, 0.0079215000, 0.0079119000, 0.0079149000, 0.0079218000, 0.0079376000, 0.0079740000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007909000, -0.007904900, -0.007895300, -0.007896800, -0.007900200, -0.007908100, -0.007926400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047070000; - } - pin ("B1_N") { - capacitance : 0.0023920000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022710000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0147969000, 0.0146597000, 0.0143436000, 0.0144893000, 0.0148252000, 0.0155995000, 0.0173842000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0094873000, 0.0093640000, 0.0090796000, 0.0091595000, 0.0093435000, 0.0097678000, 0.0107457000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025120000; - } - pin ("X") { - direction : "output"; - function : "(A1&!B1_N) | (A2&!B1_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015549260, 0.0048355870, 0.0150379600, 0.0467658100, 0.1454347000, 0.4522804000"); - values("0.0304762000, 0.0288187000, 0.0239638000, 0.0101763000, -0.037483800, -0.195425500, -0.691926100", \ - "0.0301900000, 0.0284700000, 0.0236224000, 0.0097784000, -0.037798900, -0.195629500, -0.692175000", \ - "0.0298331000, 0.0282524000, 0.0234023000, 0.0095797000, -0.038045400, -0.195982200, -0.692484100", \ - "0.0294591000, 0.0279212000, 0.0230334000, 0.0090792000, -0.038482100, -0.196304900, -0.692774400", \ - "0.0292828000, 0.0275721000, 0.0227131000, 0.0086940000, -0.038913400, -0.196779300, -0.693147800", \ - "0.0288276000, 0.0270343000, 0.0216744000, 0.0075652000, -0.039580300, -0.197025600, -0.693328300", \ - "0.0358945000, 0.0340272000, 0.0284409000, 0.0119317000, -0.038803800, -0.197410800, -0.692850100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015549260, 0.0048355870, 0.0150379600, 0.0467658100, 0.1454347000, 0.4522804000"); - values("0.0397647000, 0.0416476000, 0.0473767000, 0.0648559000, 0.1170254000, 0.2762178000, 0.7682191000", \ - "0.0395254000, 0.0414084000, 0.0471584000, 0.0646264000, 0.1168040000, 0.2760240000, 0.7679905000", \ - "0.0392316000, 0.0411166000, 0.0468364000, 0.0642645000, 0.1165063000, 0.2757713000, 0.7670203000", \ - "0.0388468000, 0.0407110000, 0.0465325000, 0.0639421000, 0.1160355000, 0.2752254000, 0.7672805000", \ - "0.0385870000, 0.0404561000, 0.0461100000, 0.0633301000, 0.1153772000, 0.2747940000, 0.7663932000", \ - "0.0395287000, 0.0412950000, 0.0467589000, 0.0634170000, 0.1147725000, 0.2734176000, 0.7657848000", \ - "0.0411861000, 0.0428661000, 0.0481916000, 0.0647268000, 0.1163761000, 0.2748467000, 0.7652079000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015549260, 0.0048355870, 0.0150379600, 0.0467658100, 0.1454347000, 0.4522804000"); - values("0.0259273000, 0.0242716000, 0.0193252000, 0.0055112000, -0.042012100, -0.199926200, -0.696382400", \ - "0.0255366000, 0.0238435000, 0.0191092000, 0.0051723000, -0.042373300, -0.200272600, -0.696806300", \ - "0.0250297000, 0.0234059000, 0.0186670000, 0.0046989000, -0.042808100, -0.200661400, -0.697208700", \ - "0.0247374000, 0.0230638000, 0.0182760000, 0.0043033000, -0.043201200, -0.201093900, -0.697543400", \ - "0.0252223000, 0.0235097000, 0.0185573000, 0.0043747000, -0.043484400, -0.201376300, -0.697727400", \ - "0.0255854000, 0.0237733000, 0.0183043000, 0.0040758000, -0.042687300, -0.200485500, -0.696726500", \ - "0.0348521000, 0.0328497000, 0.0271751000, 0.0105498000, -0.040520500, -0.199012300, -0.694443300"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015549260, 0.0048355870, 0.0150379600, 0.0467658100, 0.1454347000, 0.4522804000"); - values("0.0357777000, 0.0376556000, 0.0434001000, 0.0608219000, 0.1128714000, 0.2714177000, 0.7635393000", \ - "0.0357550000, 0.0375901000, 0.0434019000, 0.0608286000, 0.1128371000, 0.2715402000, 0.7605400000", \ - "0.0354226000, 0.0372926000, 0.0430512000, 0.0605693000, 0.1125127000, 0.2711694000, 0.7603508000", \ - "0.0349296000, 0.0367820000, 0.0425069000, 0.0597851000, 0.1117641000, 0.2705213000, 0.7600991000", \ - "0.0345146000, 0.0363690000, 0.0420517000, 0.0590743000, 0.1108113000, 0.2699351000, 0.7624216000", \ - "0.0352367000, 0.0370292000, 0.0424660000, 0.0593710000, 0.1103848000, 0.2687198000, 0.7619309000", \ - "0.0373751000, 0.0390569000, 0.0443352000, 0.0608970000, 0.1121397000, 0.2706667000, 0.7600698000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015549260, 0.0048355870, 0.0150379600, 0.0467658100, 0.1454347000, 0.4522804000"); - values("0.0240577000, 0.0229105000, 0.0191156000, 0.0060420000, -0.042084100, -0.201075800, -0.698017400", \ - "0.0240215000, 0.0228056000, 0.0190584000, 0.0060449000, -0.042119300, -0.201101000, -0.698064000", \ - "0.0239362000, 0.0227790000, 0.0189999000, 0.0059182000, -0.042201700, -0.201172900, -0.698090200", \ - "0.0230278000, 0.0220057000, 0.0181926000, 0.0051739000, -0.042895800, -0.201834600, -0.698756500", \ - "0.0226789000, 0.0214269000, 0.0176791000, 0.0044624000, -0.043538700, -0.202451500, -0.699344700", \ - "0.0296923000, 0.0281452000, 0.0231790000, 0.0074502000, -0.043307800, -0.202815100, -0.699703900", \ - "0.0302350000, 0.0286244000, 0.0236341000, 0.0079068000, -0.042599400, -0.202065000, -0.699225500"); - } - related_pin : "B1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015549260, 0.0048355870, 0.0150379600, 0.0467658100, 0.1454347000, 0.4522804000"); - values("0.0360603000, 0.0379362000, 0.0437132000, 0.0610846000, 0.1132052000, 0.2726159000, 0.7639562000", \ - "0.0360257000, 0.0379058000, 0.0436823000, 0.0610375000, 0.1131614000, 0.2724718000, 0.7639685000", \ - "0.0360615000, 0.0379087000, 0.0436643000, 0.0610950000, 0.1132027000, 0.2726193000, 0.7639775000", \ - "0.0356604000, 0.0375201000, 0.0431747000, 0.0606445000, 0.1127791000, 0.2720601000, 0.7639805000", \ - "0.0348264000, 0.0366827000, 0.0424942000, 0.0599202000, 0.1119781000, 0.2713675000, 0.7627791000", \ - "0.0340653000, 0.0359264000, 0.0417358000, 0.0592288000, 0.1110503000, 0.2703392000, 0.7623387000", \ - "0.0350176000, 0.0366928000, 0.0422445000, 0.0592842000, 0.1104385000, 0.2707522000, 0.7613585000"); - } - } - max_capacitance : 0.4522800000; - max_transition : 1.5066050000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015549300, 0.0048355900, 0.0150380000, 0.0467658000, 0.1454350000, 0.4522800000"); - values("0.1825060000, 0.1864565000, 0.1964071000, 0.2180379000, 0.2620164000, 0.3606793000, 0.6305391000", \ - "0.1875134000, 0.1914351000, 0.2013848000, 0.2230114000, 0.2670103000, 0.3659366000, 0.6358301000", \ - "0.2000880000, 0.2040187000, 0.2139495000, 0.2355968000, 0.2796120000, 0.3784507000, 0.6481603000", \ - "0.2271705000, 0.2310844000, 0.2409902000, 0.2625797000, 0.3068449000, 0.4054743000, 0.6753914000", \ - "0.2864826000, 0.2904280000, 0.3002966000, 0.3218436000, 0.3660265000, 0.4648585000, 0.7349262000", \ - "0.4029122000, 0.4073356000, 0.4183055000, 0.4418424000, 0.4888408000, 0.5898219000, 0.8602902000", \ - "0.6004276000, 0.6058419000, 0.6193714000, 0.6474844000, 0.7015437000, 0.8106776000, 1.0849569000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015549300, 0.0048355900, 0.0150380000, 0.0467658000, 0.1454350000, 0.4522800000"); - values("0.1078331000, 0.1121078000, 0.1233602000, 0.1517093000, 0.2273670000, 0.4512017000, 1.1431617000", \ - "0.1121398000, 0.1164162000, 0.1276762000, 0.1560204000, 0.2316870000, 0.4555139000, 1.1475372000", \ - "0.1207307000, 0.1249773000, 0.1362374000, 0.1645345000, 0.2402076000, 0.4640344000, 1.1560025000", \ - "0.1386581000, 0.1429196000, 0.1542142000, 0.1825384000, 0.2580884000, 0.4822891000, 1.1721978000", \ - "0.1734917000, 0.1779659000, 0.1897006000, 0.2185681000, 0.2944301000, 0.5194020000, 1.2085636000", \ - "0.2221019000, 0.2272015000, 0.2402189000, 0.2705330000, 0.3472083000, 0.5714442000, 1.2620306000", \ - "0.2638480000, 0.2704300000, 0.2868483000, 0.3220441000, 0.4017832000, 0.6267132000, 1.3163496000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015549300, 0.0048355900, 0.0150380000, 0.0467658000, 0.1454350000, 0.4522800000"); - values("0.0272578000, 0.0295542000, 0.0354835000, 0.0497083000, 0.0867552000, 0.1926783000, 0.5391630000", \ - "0.0275228000, 0.0296746000, 0.0354035000, 0.0498015000, 0.0864542000, 0.1924187000, 0.5416665000", \ - "0.0275724000, 0.0296037000, 0.0355250000, 0.0496982000, 0.0867479000, 0.1927202000, 0.5390483000", \ - "0.0275409000, 0.0298311000, 0.0356679000, 0.0500682000, 0.0863544000, 0.1925348000, 0.5408916000", \ - "0.0276008000, 0.0295333000, 0.0355076000, 0.0496788000, 0.0868112000, 0.1929686000, 0.5416466000", \ - "0.0328120000, 0.0352786000, 0.0410686000, 0.0561598000, 0.0918960000, 0.1959989000, 0.5406230000", \ - "0.0445261000, 0.0473489000, 0.0552099000, 0.0696399000, 0.1063474000, 0.2081530000, 0.5414214000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015549300, 0.0048355900, 0.0150380000, 0.0467658000, 0.1454350000, 0.4522800000"); - values("0.0234974000, 0.0272022000, 0.0380380000, 0.0699846000, 0.1711506000, 0.4938556000, 1.4992514000", \ - "0.0234957000, 0.0271963000, 0.0380310000, 0.0699771000, 0.1711550000, 0.4938921000, 1.4989612000", \ - "0.0234776000, 0.0272046000, 0.0380087000, 0.0698225000, 0.1711542000, 0.4939365000, 1.4987286000", \ - "0.0233884000, 0.0272225000, 0.0379325000, 0.0698877000, 0.1708374000, 0.4928348000, 1.5009446000", \ - "0.0253519000, 0.0290731000, 0.0398377000, 0.0714266000, 0.1717632000, 0.4941891000, 1.5010341000", \ - "0.0310203000, 0.0347322000, 0.0448422000, 0.0755738000, 0.1736162000, 0.4925235000, 1.5006734000", \ - "0.0422890000, 0.0464508000, 0.0580411000, 0.0866777000, 0.1780701000, 0.4954238000, 1.4986223000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015549300, 0.0048355900, 0.0150380000, 0.0467658000, 0.1454350000, 0.4522800000"); - values("0.1633791000, 0.1673263000, 0.1771172000, 0.1987110000, 0.2428963000, 0.3417815000, 0.6116564000", \ - "0.1665429000, 0.1705033000, 0.1804282000, 0.2021755000, 0.2460674000, 0.3450335000, 0.6144917000", \ - "0.1767918000, 0.1807352000, 0.1906046000, 0.2122278000, 0.2562277000, 0.3550240000, 0.6247974000", \ - "0.2036169000, 0.2075450000, 0.2174850000, 0.2389537000, 0.2828835000, 0.3817726000, 0.6516442000", \ - "0.2702172000, 0.2741088000, 0.2839252000, 0.3052699000, 0.3492529000, 0.4482913000, 0.7182350000", \ - "0.4013320000, 0.4060249000, 0.4176126000, 0.4415985000, 0.4879069000, 0.5891884000, 0.8594512000", \ - "0.6083453000, 0.6141379000, 0.6294371000, 0.6606062000, 0.7162250000, 0.8215038000, 1.0951698000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015549300, 0.0048355900, 0.0150380000, 0.0467658000, 0.1454350000, 0.4522800000"); - values("0.0932214000, 0.0973650000, 0.1082749000, 0.1361369000, 0.2109114000, 0.4335770000, 1.1251740000", \ - "0.0978004000, 0.1018617000, 0.1128954000, 0.1407033000, 0.2154480000, 0.4390854000, 1.1275958000", \ - "0.1064613000, 0.1105529000, 0.1215407000, 0.1493385000, 0.2242508000, 0.4473746000, 1.1362646000", \ - "0.1237333000, 0.1278446000, 0.1387814000, 0.1664514000, 0.2413235000, 0.4646793000, 1.1552038000", \ - "0.1534860000, 0.1579167000, 0.1694993000, 0.1980850000, 0.2735249000, 0.4979863000, 1.1881383000", \ - "0.1893180000, 0.1945945000, 0.2078043000, 0.2383711000, 0.3149662000, 0.5387825000, 1.2284183000", \ - "0.2071747000, 0.2141852000, 0.2317298000, 0.2684159000, 0.3483906000, 0.5722176000, 1.2621236000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015549300, 0.0048355900, 0.0150380000, 0.0467658000, 0.1454350000, 0.4522800000"); - values("0.0272532000, 0.0295525000, 0.0355498000, 0.0503093000, 0.0862279000, 0.1927444000, 0.5399846000", \ - "0.0272340000, 0.0294972000, 0.0353707000, 0.0499244000, 0.0867816000, 0.1925460000, 0.5408006000", \ - "0.0272647000, 0.0294890000, 0.0353811000, 0.0499889000, 0.0861994000, 0.1927876000, 0.5404973000", \ - "0.0276196000, 0.0297679000, 0.0356875000, 0.0499472000, 0.0868114000, 0.1927187000, 0.5393251000", \ - "0.0273745000, 0.0297079000, 0.0356848000, 0.0498412000, 0.0870453000, 0.1928632000, 0.5394474000", \ - "0.0369197000, 0.0396248000, 0.0449301000, 0.0582393000, 0.0934131000, 0.1964222000, 0.5400991000", \ - "0.0539571000, 0.0569963000, 0.0652728000, 0.0791567000, 0.1103625000, 0.2085548000, 0.5427903000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015549300, 0.0048355900, 0.0150380000, 0.0467658000, 0.1454350000, 0.4522800000"); - values("0.0222978000, 0.0259182000, 0.0367065000, 0.0685645000, 0.1699973000, 0.4933755000, 1.5018146000", \ - "0.0223745000, 0.0260177000, 0.0366941000, 0.0686010000, 0.1696847000, 0.4932376000, 1.4983428000", \ - "0.0224103000, 0.0260440000, 0.0366885000, 0.0686040000, 0.1699423000, 0.4919569000, 1.4989849000", \ - "0.0225460000, 0.0262020000, 0.0369118000, 0.0687082000, 0.1699225000, 0.4918599000, 1.5011926000", \ - "0.0252597000, 0.0288650000, 0.0395679000, 0.0707435000, 0.1708457000, 0.4932088000, 1.5066048000", \ - "0.0322583000, 0.0362672000, 0.0461494000, 0.0759141000, 0.1729943000, 0.4923271000, 1.4993091000", \ - "0.0454980000, 0.0499646000, 0.0614931000, 0.0894144000, 0.1794405000, 0.4941487000, 1.4976770000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015549300, 0.0048355900, 0.0150380000, 0.0467658000, 0.1454350000, 0.4522800000"); - values("0.1211804000, 0.1241406000, 0.1318119000, 0.1498836000, 0.1908361000, 0.2860273000, 0.5538576000", \ - "0.1258700000, 0.1288022000, 0.1365168000, 0.1545652000, 0.1955345000, 0.2906927000, 0.5582170000", \ - "0.1366268000, 0.1395701000, 0.1472236000, 0.1652932000, 0.2062567000, 0.3014878000, 0.5692276000", \ - "0.1586710000, 0.1616329000, 0.1691699000, 0.1872196000, 0.2282524000, 0.3234535000, 0.5909785000", \ - "0.1899811000, 0.1929275000, 0.2005529000, 0.2186144000, 0.2596745000, 0.3549363000, 0.6224405000", \ - "0.2272564000, 0.2301796000, 0.2380116000, 0.2561291000, 0.2973823000, 0.3927987000, 0.6602793000", \ - "0.2548737000, 0.2579494000, 0.2658951000, 0.2844077000, 0.3264480000, 0.4223402000, 0.6902935000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015549300, 0.0048355900, 0.0150380000, 0.0467658000, 0.1454350000, 0.4522800000"); - values("0.1812617000, 0.1855389000, 0.1968204000, 0.2251625000, 0.3007465000, 0.5245713000, 1.2160148000", \ - "0.1865314000, 0.1908509000, 0.2021473000, 0.2304771000, 0.3060820000, 0.5309963000, 1.2214677000", \ - "0.1992128000, 0.2034816000, 0.2147446000, 0.2430970000, 0.3186948000, 0.5425317000, 1.2338035000", \ - "0.2310428000, 0.2353215000, 0.2465790000, 0.2749305000, 0.3505095000, 0.5748245000, 1.2653706000", \ - "0.3002142000, 0.3044681000, 0.3157774000, 0.3441099000, 0.4196720000, 0.6435498000, 1.3357125000", \ - "0.4189224000, 0.4231424000, 0.4346624000, 0.4631361000, 0.5384745000, 0.7628613000, 1.4531502000", \ - "0.6117125000, 0.6158873000, 0.6274462000, 0.6561861000, 0.7325521000, 0.9559482000, 1.6477811000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015549300, 0.0048355900, 0.0150380000, 0.0467658000, 0.1454350000, 0.4522800000"); - values("0.0169540000, 0.0189804000, 0.0246698000, 0.0404655000, 0.0797621000, 0.1863435000, 0.5371899000", \ - "0.0169532000, 0.0190066000, 0.0245963000, 0.0405032000, 0.0797519000, 0.1862426000, 0.5379889000", \ - "0.0169458000, 0.0190111000, 0.0246881000, 0.0404892000, 0.0797855000, 0.1857882000, 0.5377186000", \ - "0.0170190000, 0.0190861000, 0.0246101000, 0.0405309000, 0.0797755000, 0.1861810000, 0.5379899000", \ - "0.0171276000, 0.0191261000, 0.0248417000, 0.0405256000, 0.0799613000, 0.1861864000, 0.5379849000", \ - "0.0175370000, 0.0195751000, 0.0252189000, 0.0410375000, 0.0802941000, 0.1863184000, 0.5357855000", \ - "0.0191551000, 0.0212277000, 0.0269485000, 0.0423921000, 0.0815068000, 0.1870537000, 0.5369731000"); - } - related_pin : "B1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015549300, 0.0048355900, 0.0150380000, 0.0467658000, 0.1454350000, 0.4522800000"); - values("0.0235974000, 0.0273164000, 0.0380878000, 0.0701265000, 0.1711905000, 0.4940415000, 1.4982608000", \ - "0.0236076000, 0.0273510000, 0.0380935000, 0.0700862000, 0.1711912000, 0.4940024000, 1.4982715000", \ - "0.0235342000, 0.0272351000, 0.0381511000, 0.0701313000, 0.1711920000, 0.4940491000, 1.4989452000", \ - "0.0235604000, 0.0272688000, 0.0381904000, 0.0700979000, 0.1708279000, 0.4929616000, 1.5000431000", \ - "0.0236423000, 0.0274384000, 0.0381884000, 0.0701187000, 0.1712062000, 0.4939859000, 1.4983196000", \ - "0.0241943000, 0.0280159000, 0.0388190000, 0.0706788000, 0.1713403000, 0.4935843000, 1.5014573000", \ - "0.0255456000, 0.0296320000, 0.0401803000, 0.0717787000, 0.1724142000, 0.4931965000, 1.4997841000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o21bai_1") { - leakage_power () { - value : 0.0011052000; - when : "A1&A2&B1_N"; - } - leakage_power () { - value : 0.0019309000; - when : "A1&A2&!B1_N"; - } - leakage_power () { - value : 0.0106602000; - when : "!A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0007643000; - when : "!A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0011065000; - when : "!A1&A2&B1_N"; - } - leakage_power () { - value : 0.0029540000; - when : "!A1&A2&!B1_N"; - } - leakage_power () { - value : 0.0011042000; - when : "A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0033211000; - when : "A1&!A2&!B1_N"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__o21bai"; - cell_leakage_power : 0.0028682940; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022370000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039993000, 0.0040000000, 0.0040016000, 0.0040034000, 0.0040073000, 0.0040165000, 0.0040376000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003998100, -0.003997200, -0.003995300, -0.003994700, -0.003993400, -0.003990400, -0.003983400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024550000; - } - pin ("A2") { - capacitance : 0.0023380000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039948000, 0.0039927000, 0.0039881000, 0.0039865000, 0.0039829000, 0.0039747000, 0.0039556000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003992000, -0.003989100, -0.003982400, -0.003980300, -0.003975600, -0.003964700, -0.003939500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024950000; - } - pin ("B1_N") { - capacitance : 0.0016960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016310000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0088038000, 0.0086857000, 0.0084134000, 0.0084580000, 0.0085609000, 0.0087981000, 0.0093450000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039210000, 0.0038566000, 0.0037080000, 0.0037447000, 0.0038293000, 0.0040242000, 0.0044736000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017610000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2) | (B1_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011596650, 0.0026896470, 0.0062381810, 0.0144684000, 0.0335570100, 0.0778298000"); - values("0.0068158000, 0.0057642000, 0.0033343000, -0.002340000, -0.015596200, -0.046465400, -0.118149500", \ - "0.0066907000, 0.0056477000, 0.0032262000, -0.002444000, -0.015685400, -0.046544500, -0.118228800", \ - "0.0065241000, 0.0054904000, 0.0030967000, -0.002541600, -0.015774200, -0.046606200, -0.118308300", \ - "0.0063252000, 0.0052908000, 0.0029112000, -0.002676200, -0.015861200, -0.046677800, -0.118311600", \ - "0.0064255000, 0.0053443000, 0.0028406000, -0.002789800, -0.015929300, -0.046707500, -0.118330800", \ - "0.0065448000, 0.0054619000, 0.0029994000, -0.002712600, -0.016117200, -0.046853800, -0.118407600", \ - "0.0073650000, 0.0062489000, 0.0036957000, -0.002160900, -0.015586800, -0.046682500, -0.118384900"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011596650, 0.0026896470, 0.0062381810, 0.0144684000, 0.0335570100, 0.0778298000"); - values("0.0084342000, 0.0094995000, 0.0119699000, 0.0176818000, 0.0308885000, 0.0614217000, 0.1322255000", \ - "0.0082690000, 0.0093486000, 0.0118549000, 0.0175846000, 0.0308077000, 0.0613128000, 0.1321469000", \ - "0.0080960000, 0.0091670000, 0.0116736000, 0.0174510000, 0.0307089000, 0.0612994000, 0.1320453000", \ - "0.0079559000, 0.0090341000, 0.0115271000, 0.0172952000, 0.0305971000, 0.0612065000, 0.1321187000", \ - "0.0078507000, 0.0089420000, 0.0114264000, 0.0171980000, 0.0304526000, 0.0610575000, 0.1320107000", \ - "0.0076809000, 0.0088582000, 0.0113342000, 0.0171673000, 0.0304915000, 0.0611653000, 0.1318819000", \ - "0.0083099000, 0.0093036000, 0.0116965000, 0.0172230000, 0.0306963000, 0.0612515000, 0.1320653000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011596650, 0.0026896470, 0.0062381810, 0.0144684000, 0.0335570100, 0.0778298000"); - values("0.0051591000, 0.0042136000, 0.0018958000, -0.003694300, -0.016944200, -0.047838400, -0.119548300", \ - "0.0050013000, 0.0040745000, 0.0018195000, -0.003711200, -0.016918900, -0.047790200, -0.119482900", \ - "0.0047104000, 0.0038229000, 0.0016140000, -0.003841100, -0.016975400, -0.047794200, -0.119463600", \ - "0.0044685000, 0.0035263000, 0.0012918000, -0.004098800, -0.017130900, -0.047870700, -0.119498500", \ - "0.0047137000, 0.0036914000, 0.0012919000, -0.004381200, -0.017382100, -0.048014300, -0.119558100", \ - "0.0047931000, 0.0037231000, 0.0012600000, -0.004415600, -0.017671000, -0.048292600, -0.119734800", \ - "0.0057251000, 0.0045620000, 0.0019487000, -0.003960900, -0.017389900, -0.048274100, -0.119893900"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011596650, 0.0026896470, 0.0062381810, 0.0144684000, 0.0335570100, 0.0778298000"); - values("0.0063254000, 0.0074068000, 0.0099037000, 0.0156386000, 0.0288542000, 0.0593606000, 0.1301262000", \ - "0.0060284000, 0.0071396000, 0.0096751000, 0.0154591000, 0.0287264000, 0.0592971000, 0.1301465000", \ - "0.0058471000, 0.0069322000, 0.0094357000, 0.0152522000, 0.0285844000, 0.0592586000, 0.1300932000", \ - "0.0057357000, 0.0068136000, 0.0093268000, 0.0149721000, 0.0283590000, 0.0590849000, 0.1299530000", \ - "0.0059283000, 0.0069088000, 0.0093310000, 0.0151580000, 0.0282194000, 0.0588433000, 0.1298167000", \ - "0.0067074000, 0.0076970000, 0.0101266000, 0.0156485000, 0.0283218000, 0.0586232000, 0.1296007000", \ - "0.0075533000, 0.0085484000, 0.0109536000, 0.0164621000, 0.0294792000, 0.0597373000, 0.1300604000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011596650, 0.0026896470, 0.0062381810, 0.0144684000, 0.0335570100, 0.0778298000"); - values("0.0047632000, 0.0037555000, 0.0014201000, -0.004141800, -0.017348300, -0.048197400, -0.119857500", \ - "0.0047363000, 0.0037001000, 0.0013501000, -0.004202400, -0.017383600, -0.048225200, -0.119907800", \ - "0.0048015000, 0.0037971000, 0.0014331000, -0.004151300, -0.017313800, -0.048143500, -0.119783600", \ - "0.0045783000, 0.0035702000, 0.0012085000, -0.004353200, -0.017498300, -0.048295700, -0.119942600", \ - "0.0042900000, 0.0032760000, 0.0009158000, -0.004635400, -0.017723000, -0.048511100, -0.120084600", \ - "0.0039812000, 0.0029438000, 0.0004651000, -0.004855700, -0.017950600, -0.048647900, -0.120227200", \ - "0.0043548000, 0.0032985000, 0.0008071000, -0.004875200, -0.017976900, -0.048615700, -0.120164700"); - } - related_pin : "B1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011596650, 0.0026896470, 0.0062381810, 0.0144684000, 0.0335570100, 0.0778298000"); - values("0.0056282000, 0.0068747000, 0.0095490000, 0.0155485000, 0.0288930000, 0.0594728000, 0.1301419000", \ - "0.0056221000, 0.0068432000, 0.0095595000, 0.0155370000, 0.0288757000, 0.0594883000, 0.1303090000", \ - "0.0055978000, 0.0067830000, 0.0094592000, 0.0154334000, 0.0288256000, 0.0594278000, 0.1302588000", \ - "0.0052845000, 0.0064461000, 0.0090416000, 0.0150139000, 0.0284926000, 0.0592170000, 0.1299120000", \ - "0.0050201000, 0.0061446000, 0.0087361000, 0.0146424000, 0.0279899000, 0.0587080000, 0.1296935000", \ - "0.0051204000, 0.0062182000, 0.0087826000, 0.0146571000, 0.0278278000, 0.0586632000, 0.1294875000", \ - "0.0052914000, 0.0063487000, 0.0088302000, 0.0145623000, 0.0279728000, 0.0582303000, 0.1291978000"); - } - } - max_capacitance : 0.0778300000; - max_transition : 1.4969080000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011596700, 0.0026896500, 0.0062381800, 0.0144684000, 0.0335570000, 0.0778298000"); - values("0.0407904000, 0.0451637000, 0.0546892000, 0.0755073000, 0.1214207000, 0.2254883000, 0.4651585000", \ - "0.0451528000, 0.0495572000, 0.0590971000, 0.0799181000, 0.1258515000, 0.2299301000, 0.4694367000", \ - "0.0540490000, 0.0584159000, 0.0680751000, 0.0889543000, 0.1350671000, 0.2391330000, 0.4787400000", \ - "0.0700725000, 0.0749994000, 0.0858359000, 0.1081894000, 0.1547475000, 0.2591836000, 0.4992542000", \ - "0.0930679000, 0.1003288000, 0.1154814000, 0.1445671000, 0.1993608000, 0.3068870000, 0.5473758000", \ - "0.1158119000, 0.1268006000, 0.1502916000, 0.1951840000, 0.2731410000, 0.4075935000, 0.6603546000", \ - "0.1156381000, 0.1336606000, 0.1716436000, 0.2428706000, 0.3657184000, 0.5654717000, 0.8887619000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011596700, 0.0026896500, 0.0062381800, 0.0144684000, 0.0335570000, 0.0778298000"); - values("0.0834352000, 0.0931317000, 0.1144246000, 0.1631072000, 0.2740322000, 0.5295727000, 1.1207761000", \ - "0.0887228000, 0.0979409000, 0.1198235000, 0.1685654000, 0.2796801000, 0.5355342000, 1.1266603000", \ - "0.1007319000, 0.1099932000, 0.1316453000, 0.1807935000, 0.2923297000, 0.5482895000, 1.1398538000", \ - "0.1269771000, 0.1364028000, 0.1577688000, 0.2067211000, 0.3184329000, 0.5748870000, 1.1664504000", \ - "0.1779644000, 0.1900061000, 0.2145663000, 0.2655778000, 0.3771140000, 0.6336804000, 1.2258117000", \ - "0.2633760000, 0.2804655000, 0.3143379000, 0.3813833000, 0.5116859000, 0.7701583000, 1.3630018000", \ - "0.4040743000, 0.4287229000, 0.4799592000, 0.5778182000, 0.7551783000, 1.0735816000, 1.6772468000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011596700, 0.0026896500, 0.0062381800, 0.0144684000, 0.0335570000, 0.0778298000"); - values("0.0264788000, 0.0316238000, 0.0433665000, 0.0699915000, 0.1299318000, 0.2688480000, 0.5916304000", \ - "0.0264187000, 0.0315250000, 0.0433921000, 0.0700085000, 0.1301416000, 0.2688197000, 0.5916272000", \ - "0.0265799000, 0.0317497000, 0.0433693000, 0.0697072000, 0.1301583000, 0.2688670000, 0.5924196000", \ - "0.0320247000, 0.0370510000, 0.0478398000, 0.0723618000, 0.1304151000, 0.2686295000, 0.5908202000", \ - "0.0480550000, 0.0538217000, 0.0666708000, 0.0911342000, 0.1443507000, 0.2722915000, 0.5912989000", \ - "0.0821217000, 0.0898380000, 0.1062736000, 0.1377698000, 0.1965364000, 0.3139516000, 0.6045323000", \ - "0.1455809000, 0.1579125000, 0.1818811000, 0.2261597000, 0.3048155000, 0.4416315000, 0.7118055000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011596700, 0.0026896500, 0.0062381800, 0.0144684000, 0.0335570000, 0.0778298000"); - values("0.0666627000, 0.0784825000, 0.1060906000, 0.1706785000, 0.3201544000, 0.6677597000, 1.4750554000", \ - "0.0666022000, 0.0786059000, 0.1058503000, 0.1701171000, 0.3211423000, 0.6705547000, 1.4745821000", \ - "0.0664915000, 0.0785014000, 0.1061253000, 0.1705240000, 0.3211494000, 0.6690876000, 1.4740158000", \ - "0.0680601000, 0.0792407000, 0.1060764000, 0.1703552000, 0.3204753000, 0.6678650000, 1.4742991000", \ - "0.0854241000, 0.0965739000, 0.1198613000, 0.1776314000, 0.3217065000, 0.6699684000, 1.4754147000", \ - "0.1259157000, 0.1385096000, 0.1657986000, 0.2234124000, 0.3517653000, 0.6733398000, 1.4759737000", \ - "0.2053023000, 0.2223520000, 0.2580629000, 0.3282038000, 0.4679925000, 0.7602667000, 1.4934336000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011596700, 0.0026896500, 0.0062381800, 0.0144684000, 0.0335570000, 0.0778298000"); - values("0.0311564000, 0.0352091000, 0.0440007000, 0.0633288000, 0.1059085000, 0.2025941000, 0.4254845000", \ - "0.0355036000, 0.0396424000, 0.0486730000, 0.0682305000, 0.1107678000, 0.2074380000, 0.4320833000", \ - "0.0438822000, 0.0482547000, 0.0574754000, 0.0770867000, 0.1201902000, 0.2171509000, 0.4413158000", \ - "0.0559253000, 0.0616712000, 0.0733275000, 0.0957965000, 0.1399176000, 0.2374065000, 0.4605494000", \ - "0.0687265000, 0.0778211000, 0.0957411000, 0.1271633000, 0.1831647000, 0.2851382000, 0.5107136000", \ - "0.0719877000, 0.0863277000, 0.1145388000, 0.1653815000, 0.2481166000, 0.3843146000, 0.6233364000", \ - "0.0352610000, 0.0593391000, 0.1056235000, 0.1872144000, 0.3195909000, 0.5254862000, 0.8455984000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011596700, 0.0026896500, 0.0062381800, 0.0144684000, 0.0335570000, 0.0778298000"); - values("0.0714919000, 0.0807611000, 0.1026329000, 0.1513689000, 0.2624476000, 0.5182055000, 1.1097393000", \ - "0.0740869000, 0.0838296000, 0.1059690000, 0.1550736000, 0.2665392000, 0.5225246000, 1.1138416000", \ - "0.0852715000, 0.0947953000, 0.1163915000, 0.1656651000, 0.2776114000, 0.5339084000, 1.1256760000", \ - "0.1141102000, 0.1233873000, 0.1446253000, 0.1925092000, 0.3056264000, 0.5623700000, 1.1549267000", \ - "0.1740120000, 0.1863204000, 0.2114169000, 0.2611620000, 0.3707447000, 0.6267165000, 1.2190771000", \ - "0.2704183000, 0.2891652000, 0.3278923000, 0.4000698000, 0.5305475000, 0.7794164000, 1.3695897000", \ - "0.4291740000, 0.4568909000, 0.5135831000, 0.6255043000, 0.8246293000, 1.1508470000, 1.7388556000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011596700, 0.0026896500, 0.0062381800, 0.0144684000, 0.0335570000, 0.0778298000"); - values("0.0173244000, 0.0223844000, 0.0335605000, 0.0584037000, 0.1148009000, 0.2448760000, 0.5448860000", \ - "0.0175059000, 0.0225554000, 0.0336002000, 0.0591084000, 0.1147009000, 0.2444508000, 0.5436501000", \ - "0.0186528000, 0.0232424000, 0.0339670000, 0.0587735000, 0.1144099000, 0.2432027000, 0.5441639000", \ - "0.0254375000, 0.0302299000, 0.0408471000, 0.0623629000, 0.1152436000, 0.2428598000, 0.5431557000", \ - "0.0423352000, 0.0481427000, 0.0605661000, 0.0839342000, 0.1325691000, 0.2487285000, 0.5435704000", \ - "0.0761935000, 0.0844216000, 0.1016261000, 0.1333186000, 0.1875910000, 0.2981268000, 0.5605271000", \ - "0.1441463000, 0.1558099000, 0.1792270000, 0.2228671000, 0.2983130000, 0.4293438000, 0.6794257000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011596700, 0.0026896500, 0.0062381800, 0.0144684000, 0.0335570000, 0.0778298000"); - values("0.0662955000, 0.0784912000, 0.1058898000, 0.1701029000, 0.3208944000, 0.6704107000, 1.4737083000", \ - "0.0665206000, 0.0784275000, 0.1058204000, 0.1700591000, 0.3207564000, 0.6702555000, 1.4747845000", \ - "0.0662561000, 0.0781939000, 0.1060041000, 0.1706008000, 0.3203798000, 0.6682229000, 1.4753457000", \ - "0.0698110000, 0.0805088000, 0.1064984000, 0.1701628000, 0.3208334000, 0.6676395000, 1.4765976000", \ - "0.0975107000, 0.1083642000, 0.1294298000, 0.1823653000, 0.3213717000, 0.6683901000, 1.4779885000", \ - "0.1469461000, 0.1623152000, 0.1926271000, 0.2516269000, 0.3629775000, 0.6750577000, 1.4759738000", \ - "0.2256110000, 0.2506504000, 0.2986362000, 0.3854088000, 0.5305941000, 0.7893198000, 1.4969080000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011596700, 0.0026896500, 0.0062381800, 0.0144684000, 0.0335570000, 0.0778298000"); - values("0.1163371000, 0.1214248000, 0.1322860000, 0.1543616000, 0.2011417000, 0.3053047000, 0.5449231000", \ - "0.1211567000, 0.1261338000, 0.1368526000, 0.1590944000, 0.2058622000, 0.3100466000, 0.5496684000", \ - "0.1336810000, 0.1387793000, 0.1494567000, 0.1715319000, 0.2182618000, 0.3224634000, 0.5621387000", \ - "0.1655771000, 0.1707290000, 0.1814532000, 0.2036503000, 0.2505218000, 0.3548375000, 0.5944741000", \ - "0.2354236000, 0.2406332000, 0.2515849000, 0.2741031000, 0.3206350000, 0.4256000000, 0.6652821000", \ - "0.3514207000, 0.3571198000, 0.3697025000, 0.3941739000, 0.4430273000, 0.5485083000, 0.7881049000", \ - "0.5319976000, 0.5394777000, 0.5547773000, 0.5836224000, 0.6369685000, 0.7432832000, 0.9829808000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011596700, 0.0026896500, 0.0062381800, 0.0144684000, 0.0335570000, 0.0778298000"); - values("0.0633780000, 0.0690351000, 0.0811013000, 0.1070700000, 0.1653622000, 0.2978276000, 0.6049617000", \ - "0.0682542000, 0.0738664000, 0.0859472000, 0.1120527000, 0.1698261000, 0.3026957000, 0.6095148000", \ - "0.0796257000, 0.0850971000, 0.0973276000, 0.1233089000, 0.1817221000, 0.3147832000, 0.6209078000", \ - "0.1028886000, 0.1084965000, 0.1204073000, 0.1463796000, 0.2048957000, 0.3374304000, 0.6442330000", \ - "0.1370417000, 0.1428030000, 0.1550837000, 0.1812942000, 0.2396897000, 0.3735725000, 0.6803600000", \ - "0.1789139000, 0.1857793000, 0.1993314000, 0.2262353000, 0.2843621000, 0.4172134000, 0.7243887000", \ - "0.2152307000, 0.2244954000, 0.2422381000, 0.2728003000, 0.3317004000, 0.4629472000, 0.7702117000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011596700, 0.0026896500, 0.0062381800, 0.0144684000, 0.0335570000, 0.0778298000"); - values("0.0323816000, 0.0374456000, 0.0483857000, 0.0734778000, 0.1317530000, 0.2694597000, 0.5916805000", \ - "0.0320768000, 0.0373244000, 0.0485357000, 0.0737283000, 0.1316002000, 0.2687926000, 0.5921533000", \ - "0.0319745000, 0.0373091000, 0.0485211000, 0.0737506000, 0.1316898000, 0.2694562000, 0.5909774000", \ - "0.0321597000, 0.0370816000, 0.0485315000, 0.0737427000, 0.1315033000, 0.2691725000, 0.5913052000", \ - "0.0344291000, 0.0395140000, 0.0505596000, 0.0749209000, 0.1324218000, 0.2694834000, 0.5914825000", \ - "0.0437124000, 0.0491693000, 0.0600546000, 0.0832356000, 0.1382151000, 0.2715774000, 0.5914098000", \ - "0.0608938000, 0.0669315000, 0.0786208000, 0.1011412000, 0.1516117000, 0.2784833000, 0.5947425000"); - } - related_pin : "B1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011596700, 0.0026896500, 0.0062381800, 0.0144684000, 0.0335570000, 0.0778298000"); - values("0.0339975000, 0.0406246000, 0.0564080000, 0.0926153000, 0.1740445000, 0.3603135000, 0.7936177000", \ - "0.0340401000, 0.0406432000, 0.0564282000, 0.0926254000, 0.1740247000, 0.3603058000, 0.7933005000", \ - "0.0340090000, 0.0406783000, 0.0564165000, 0.0926522000, 0.1740297000, 0.3606820000, 0.7930660000", \ - "0.0354222000, 0.0418321000, 0.0571391000, 0.0928279000, 0.1740529000, 0.3604691000, 0.7935042000", \ - "0.0401062000, 0.0457063000, 0.0599469000, 0.0946494000, 0.1749199000, 0.3608802000, 0.7929758000", \ - "0.0516501000, 0.0558272000, 0.0675462000, 0.0984045000, 0.1762614000, 0.3621065000, 0.7952639000", \ - "0.0747160000, 0.0778026000, 0.0863160000, 0.1120826000, 0.1813360000, 0.3629797000, 0.7958475000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o21bai_2") { - leakage_power () { - value : 0.0010301000; - when : "!A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0016159000; - when : "!A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0016964000; - when : "!A1&A2&B1_N"; - } - leakage_power () { - value : 0.0099398000; - when : "!A1&A2&!B1_N"; - } - leakage_power () { - value : 0.0016965000; - when : "A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0084818000; - when : "A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0016950000; - when : "A1&A2&B1_N"; - } - leakage_power () { - value : 0.0064994000; - when : "A1&A2&!B1_N"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__o21bai"; - cell_leakage_power : 0.0040818700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0043790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041630000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079290000, 0.0079306000, 0.0079345000, 0.0079364000, 0.0079407000, 0.0079508000, 0.0079741000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007921800, -0.007917100, -0.007906200, -0.007902900, -0.007895500, -0.007878300, -0.007838700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045950000; - } - pin ("A2") { - capacitance : 0.0044110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041070000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079950000, 0.0079944000, 0.0079928000, 0.0079932000, 0.0079943000, 0.0079969000, 0.0080027000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007991700, -0.007987000, -0.007976200, -0.007974700, -0.007971200, -0.007963000, -0.007944300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047150000; - } - pin ("B1_N") { - capacitance : 0.0013440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0012930000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0110784000, 0.0109838000, 0.0107657000, 0.0108374000, 0.0110027000, 0.0113835000, 0.0122614000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0088634000, 0.0088153000, 0.0087044000, 0.0087301000, 0.0087895000, 0.0089263000, 0.0092417000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0013940000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2) | (B1_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717330, 0.0083691590, 0.0214084800, 0.0547633100, 0.1400856000"); - values("0.0150044000, 0.0137433000, 0.0105851000, 0.0024785000, -0.018409800, -0.072263900, -0.210311600", \ - "0.0147256000, 0.0135006000, 0.0103408000, 0.0022540000, -0.018620100, -0.072457100, -0.210487600", \ - "0.0143430000, 0.0131248000, 0.0099845000, 0.0019528000, -0.018840500, -0.072630000, -0.210668900", \ - "0.0138806000, 0.0126958000, 0.0095800000, 0.0016219000, -0.019093200, -0.072808200, -0.210782000", \ - "0.0137898000, 0.0125444000, 0.0093817000, 0.0012973000, -0.019264100, -0.072897300, -0.210831400", \ - "0.0142713000, 0.0130232000, 0.0098190000, 0.0015858000, -0.019599000, -0.073252700, -0.211051200", \ - "0.0158539000, 0.0145520000, 0.0112906000, 0.0028589000, -0.018529800, -0.072782900, -0.211009100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717330, 0.0083691590, 0.0214084800, 0.0547633100, 0.1400856000"); - values("0.0155917000, 0.0168233000, 0.0200102000, 0.0281890000, 0.0490994000, 0.1025124000, 0.2388535000", \ - "0.0151801000, 0.0164489000, 0.0196803000, 0.0279247000, 0.0489532000, 0.1023663000, 0.2384348000", \ - "0.0148100000, 0.0160652000, 0.0193039000, 0.0275967000, 0.0486839000, 0.1022575000, 0.2388631000", \ - "0.0145321000, 0.0157970000, 0.0190047000, 0.0272585000, 0.0483619000, 0.1019966000, 0.2385253000", \ - "0.0142609000, 0.0155224000, 0.0187399000, 0.0269719000, 0.0479828000, 0.1017039000, 0.2381164000", \ - "0.0140872000, 0.0153501000, 0.0185667000, 0.0269034000, 0.0481565000, 0.1017454000, 0.2381371000", \ - "0.0146536000, 0.0158503000, 0.0189384000, 0.0269293000, 0.0482339000, 0.1021319000, 0.2388908000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717330, 0.0083691590, 0.0214084800, 0.0547633100, 0.1400856000"); - values("0.0102257000, 0.0091171000, 0.0061707000, -0.001641400, -0.022433400, -0.076345900, -0.214550000", \ - "0.0098316000, 0.0088024000, 0.0059814000, -0.001682800, -0.022370600, -0.076205100, -0.214374100", \ - "0.0093198000, 0.0083004000, 0.0055506000, -0.001974100, -0.022463600, -0.076176900, -0.214288300", \ - "0.0088829000, 0.0077630000, 0.0049431000, -0.002541000, -0.022794100, -0.076314400, -0.214307000", \ - "0.0095474000, 0.0083253000, 0.0051222000, -0.002968800, -0.023321700, -0.076624000, -0.214400100", \ - "0.0099958000, 0.0087248000, 0.0055014000, -0.002675200, -0.023551500, -0.077142700, -0.214723300", \ - "0.0125345000, 0.0111136000, 0.0075852000, -0.001140000, -0.022684900, -0.076799000, -0.214908000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717330, 0.0083691590, 0.0214084800, 0.0547633100, 0.1400856000"); - values("0.0109234000, 0.0122321000, 0.0154555000, 0.0236906000, 0.0446451000, 0.0980926000, 0.2344112000", \ - "0.0103093000, 0.0116709000, 0.0149356000, 0.0232790000, 0.0443833000, 0.0978660000, 0.2342494000", \ - "0.0098445000, 0.0111568000, 0.0144076000, 0.0228315000, 0.0440789000, 0.0977054000, 0.2342145000", \ - "0.0096775000, 0.0109234000, 0.0141795000, 0.0223548000, 0.0433916000, 0.0973867000, 0.2342088000", \ - "0.0096869000, 0.0110820000, 0.0142726000, 0.0222775000, 0.0432556000, 0.0969756000, 0.2336839000", \ - "0.0112417000, 0.0121661000, 0.0152282000, 0.0237404000, 0.0443795000, 0.0974520000, 0.2329288000", \ - "0.0137748000, 0.0148948000, 0.0177726000, 0.0262897000, 0.0463573000, 0.0992152000, 0.2344184000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717330, 0.0083691590, 0.0214084800, 0.0547633100, 0.1400856000"); - values("0.0099353000, 0.0087505000, 0.0057089000, -0.002278800, -0.022799900, -0.076419500, -0.214393600", \ - "0.0099446000, 0.0087525000, 0.0056721000, -0.002243000, -0.022831900, -0.076440800, -0.214415400", \ - "0.0100645000, 0.0088468000, 0.0057623000, -0.002168400, -0.022759700, -0.076355800, -0.214414100", \ - "0.0097494000, 0.0085403000, 0.0054733000, -0.002430500, -0.023024400, -0.076642400, -0.214582500", \ - "0.0095435000, 0.0083335000, 0.0052262000, -0.002709800, -0.023428300, -0.076932600, -0.214743000", \ - "0.0091303000, 0.0078577000, 0.0047485000, -0.003252300, -0.023875200, -0.077389400, -0.215176600", \ - "0.0101631000, 0.0088338000, 0.0055917000, -0.001900000, -0.023208900, -0.077092100, -0.214975300"); - } - related_pin : "B1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717330, 0.0083691590, 0.0214084800, 0.0547633100, 0.1400856000"); - values("0.0089271000, 0.0103532000, 0.0139058000, 0.0226562000, 0.0441639000, 0.0979198000, 0.2341842000", \ - "0.0089343000, 0.0103468000, 0.0138983000, 0.0226492000, 0.0442577000, 0.0979276000, 0.2344864000", \ - "0.0089220000, 0.0103174000, 0.0138568000, 0.0226197000, 0.0441787000, 0.0978980000, 0.2342433000", \ - "0.0087705000, 0.0101051000, 0.0135461000, 0.0221607000, 0.0436831000, 0.0976142000, 0.2343354000", \ - "0.0086782000, 0.0100032000, 0.0133402000, 0.0216772000, 0.0431246000, 0.0971863000, 0.2339287000", \ - "0.0088905000, 0.0101299000, 0.0133705000, 0.0217333000, 0.0426203000, 0.0965082000, 0.2335775000", \ - "0.0094330000, 0.0109043000, 0.0140650000, 0.0222439000, 0.0433413000, 0.0962759000, 0.2334868000"); - } - } - max_capacitance : 0.1400860000; - max_transition : 1.4989860000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717300, 0.0083691600, 0.0214085000, 0.0547633000, 0.1400860000"); - values("0.0427542000, 0.0457160000, 0.0531164000, 0.0703058000, 0.1102919000, 0.2069311000, 0.4488194000", \ - "0.0469133000, 0.0499616000, 0.0573248000, 0.0745464000, 0.1145533000, 0.2111724000, 0.4531043000", \ - "0.0551544000, 0.0581980000, 0.0655622000, 0.0827545000, 0.1229378000, 0.2196278000, 0.4614056000", \ - "0.0691054000, 0.0726231000, 0.0809708000, 0.0995848000, 0.1403912000, 0.2374188000, 0.4797071000", \ - "0.0895918000, 0.0942524000, 0.1057659000, 0.1297034000, 0.1798004000, 0.2816417000, 0.5251122000", \ - "0.1062803000, 0.1141126000, 0.1317388000, 0.1684324000, 0.2408675000, 0.3727992000, 0.6324947000", \ - "0.0938283000, 0.1064001000, 0.1342604000, 0.1934441000, 0.3076052000, 0.5068038000, 0.8468071000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717300, 0.0083691600, 0.0214085000, 0.0547633000, 0.1400860000"); - values("0.0877682000, 0.0940721000, 0.1097820000, 0.1488479000, 0.2463361000, 0.4926420000, 1.1197266000", \ - "0.0922745000, 0.0986133000, 0.1145170000, 0.1538776000, 0.2517238000, 0.4980044000, 1.1269062000", \ - "0.1046437000, 0.1109922000, 0.1268977000, 0.1664213000, 0.2645812000, 0.5112835000, 1.1388213000", \ - "0.1322963000, 0.1385628000, 0.1542506000, 0.1936249000, 0.2918922000, 0.5391299000, 1.1669591000", \ - "0.1859249000, 0.1934630000, 0.2117668000, 0.2538018000, 0.3517890000, 0.5990204000, 1.2281922000", \ - "0.2763974000, 0.2869755000, 0.3121901000, 0.3683407000, 0.4869481000, 0.7377615000, 1.3670531000", \ - "0.4217268000, 0.4382407000, 0.4763246000, 0.5608180000, 0.7256439000, 1.0437403000, 1.6890913000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717300, 0.0083691600, 0.0214085000, 0.0547633000, 0.1400860000"); - values("0.0271057000, 0.0305236000, 0.0391569000, 0.0601965000, 0.1110693000, 0.2389119000, 0.5667182000", \ - "0.0269210000, 0.0305725000, 0.0391077000, 0.0601781000, 0.1110590000, 0.2389073000, 0.5668931000", \ - "0.0270386000, 0.0305000000, 0.0390025000, 0.0599771000, 0.1108880000, 0.2387342000, 0.5666516000", \ - "0.0316936000, 0.0350555000, 0.0434176000, 0.0626259000, 0.1116324000, 0.2391791000, 0.5667022000", \ - "0.0458661000, 0.0497475000, 0.0591373000, 0.0797857000, 0.1264064000, 0.2441317000, 0.5677115000", \ - "0.0783460000, 0.0838415000, 0.0961581000, 0.1227801000, 0.1763178000, 0.2892712000, 0.5820944000", \ - "0.1404451000, 0.1482554000, 0.1666210000, 0.2049834000, 0.2783630000, 0.4132381000, 0.6937765000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717300, 0.0083691600, 0.0214085000, 0.0547633000, 0.1400860000"); - values("0.0673229000, 0.0751358000, 0.0944318000, 0.1453378000, 0.2773431000, 0.6138902000, 1.4768364000", \ - "0.0670781000, 0.0745803000, 0.0946321000, 0.1454329000, 0.2766218000, 0.6141539000, 1.4816773000", \ - "0.0671264000, 0.0748424000, 0.0946032000, 0.1453247000, 0.2773507000, 0.6136278000, 1.4755807000", \ - "0.0678724000, 0.0751526000, 0.0945624000, 0.1452553000, 0.2771984000, 0.6149301000, 1.4767177000", \ - "0.0842167000, 0.0912487000, 0.1080961000, 0.1534764000, 0.2785462000, 0.6136068000, 1.4819406000", \ - "0.1225360000, 0.1306274000, 0.1504761000, 0.1982641000, 0.3108815000, 0.6199849000, 1.4756683000", \ - "0.2022136000, 0.2133071000, 0.2399069000, 0.2974848000, 0.4253886000, 0.7107367000, 1.4924491000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717300, 0.0083691600, 0.0214085000, 0.0547633000, 0.1400860000"); - values("0.0309811000, 0.0338998000, 0.0409053000, 0.0573788000, 0.0959043000, 0.1881715000, 0.4205632000", \ - "0.0351556000, 0.0381849000, 0.0453720000, 0.0620267000, 0.1006006000, 0.1929744000, 0.4258401000", \ - "0.0429138000, 0.0461208000, 0.0535493000, 0.0704030000, 0.1091862000, 0.2018680000, 0.4344433000", \ - "0.0530024000, 0.0570373000, 0.0664563000, 0.0861488000, 0.1265556000, 0.2198719000, 0.4523294000", \ - "0.0623778000, 0.0684681000, 0.0825278000, 0.1103847000, 0.1633726000, 0.2641551000, 0.4987679000", \ - "0.0581121000, 0.0681959000, 0.0904010000, 0.1346381000, 0.2144612000, 0.3516568000, 0.6061425000", \ - "0.0079024000, 0.0256897000, 0.0615128000, 0.1333240000, 0.2604810000, 0.4714510000, 0.8151968000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717300, 0.0083691600, 0.0214085000, 0.0547633000, 0.1400860000"); - values("0.0682733000, 0.0747087000, 0.0906609000, 0.1299778000, 0.2277155000, 0.4739948000, 1.1011185000", \ - "0.0706212000, 0.0774232000, 0.0933369000, 0.1331327000, 0.2313340000, 0.4782490000, 1.1081628000", \ - "0.0808509000, 0.0872942000, 0.1031207000, 0.1430635000, 0.2418767000, 0.4889802000, 1.1167718000", \ - "0.1091455000, 0.1152596000, 0.1307847000, 0.1700068000, 0.2674840000, 0.5152121000, 1.1435277000", \ - "0.1661144000, 0.1748219000, 0.1944661000, 0.2366258000, 0.3334589000, 0.5804523000, 1.2098605000", \ - "0.2575548000, 0.2694271000, 0.2991232000, 0.3628308000, 0.4863006000, 0.7326268000, 1.3559852000", \ - "0.4102984000, 0.4281259000, 0.4701786000, 0.5644165000, 0.7473206000, 1.0836634000, 1.7119144000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717300, 0.0083691600, 0.0214085000, 0.0547633000, 0.1400860000"); - values("0.0156134000, 0.0191914000, 0.0279295000, 0.0484945000, 0.0984265000, 0.2213188000, 0.5353480000", \ - "0.0156436000, 0.0192121000, 0.0279607000, 0.0485521000, 0.0982527000, 0.2211853000, 0.5344725000", \ - "0.0169047000, 0.0201098000, 0.0282698000, 0.0484282000, 0.0983835000, 0.2211309000, 0.5350961000", \ - "0.0225143000, 0.0261610000, 0.0344076000, 0.0530619000, 0.0992741000, 0.2208096000, 0.5379420000", \ - "0.0374502000, 0.0418869000, 0.0515880000, 0.0723934000, 0.1180361000, 0.2273960000, 0.5353400000", \ - "0.0695017000, 0.0754603000, 0.0888993000, 0.1164835000, 0.1701925000, 0.2784937000, 0.5529737000", \ - "0.1368444000, 0.1440988000, 0.1618251000, 0.2002917000, 0.2723204000, 0.4057055000, 0.6747336000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717300, 0.0083691600, 0.0214085000, 0.0547633000, 0.1400860000"); - values("0.0666899000, 0.0746915000, 0.0943139000, 0.1451454000, 0.2769396000, 0.6137693000, 1.4769995000", \ - "0.0669890000, 0.0745925000, 0.0945459000, 0.1455379000, 0.2772337000, 0.6141432000, 1.4788204000", \ - "0.0662817000, 0.0743983000, 0.0942320000, 0.1454129000, 0.2767008000, 0.6132475000, 1.4767878000", \ - "0.0704593000, 0.0772090000, 0.0955177000, 0.1445818000, 0.2770036000, 0.6130683000, 1.4762406000", \ - "0.0963924000, 0.1038880000, 0.1209309000, 0.1615968000, 0.2788970000, 0.6133554000, 1.4815781000", \ - "0.1409967000, 0.1517794000, 0.1758681000, 0.2285395000, 0.3324279000, 0.6240568000, 1.4756495000", \ - "0.2112713000, 0.2281732000, 0.2658311000, 0.3441726000, 0.4893629000, 0.7540520000, 1.4989855000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717300, 0.0083691600, 0.0214085000, 0.0547633000, 0.1400860000"); - values("0.1524370000, 0.1562156000, 0.1652177000, 0.1847694000, 0.2274293000, 0.3248405000, 0.5668285000", \ - "0.1577551000, 0.1615398000, 0.1704206000, 0.1898380000, 0.2322060000, 0.3298834000, 0.5719084000", \ - "0.1705076000, 0.1742723000, 0.1830548000, 0.2028388000, 0.2454055000, 0.3429561000, 0.5849446000", \ - "0.2018352000, 0.2056278000, 0.2142900000, 0.2341507000, 0.2766742000, 0.3744368000, 0.6163803000", \ - "0.2760139000, 0.2797171000, 0.2885532000, 0.3083006000, 0.3503177000, 0.4480334000, 0.6901245000", \ - "0.4163470000, 0.4206158000, 0.4301804000, 0.4519967000, 0.4965685000, 0.5956702000, 0.8369021000", \ - "0.6354745000, 0.6410795000, 0.6535800000, 0.6799689000, 0.7309184000, 0.8332150000, 1.0757396000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717300, 0.0083691600, 0.0214085000, 0.0547633000, 0.1400860000"); - values("0.0729571000, 0.0767831000, 0.0855610000, 0.1051180000, 0.1501250000, 0.2615640000, 0.5438555000", \ - "0.0780788000, 0.0819293000, 0.0906172000, 0.1101787000, 0.1550982000, 0.2664361000, 0.5483258000", \ - "0.0896735000, 0.0935824000, 0.1022420000, 0.1218199000, 0.1669563000, 0.2777042000, 0.5595591000", \ - "0.1162951000, 0.1201134000, 0.1287706000, 0.1481128000, 0.1929155000, 0.3044612000, 0.5872474000", \ - "0.1603451000, 0.1646941000, 0.1740214000, 0.1939232000, 0.2393116000, 0.3509389000, 0.6329469000", \ - "0.2177236000, 0.2229863000, 0.2344299000, 0.2572473000, 0.3030774000, 0.4141409000, 0.6961565000", \ - "0.2780553000, 0.2855184000, 0.3006747000, 0.3297755000, 0.3812476000, 0.4917982000, 0.7751212000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717300, 0.0083691600, 0.0214085000, 0.0547633000, 0.1400860000"); - values("0.0367955000, 0.0404314000, 0.0489703000, 0.0685715000, 0.1162462000, 0.2407151000, 0.5669723000", \ - "0.0364982000, 0.0401138000, 0.0485532000, 0.0687108000, 0.1165884000, 0.2407120000, 0.5670399000", \ - "0.0370223000, 0.0405269000, 0.0492728000, 0.0686926000, 0.1162616000, 0.2407053000, 0.5677405000", \ - "0.0365469000, 0.0401644000, 0.0490309000, 0.0686147000, 0.1162862000, 0.2406243000, 0.5679020000", \ - "0.0372054000, 0.0406863000, 0.0490649000, 0.0690546000, 0.1169503000, 0.2408384000, 0.5667103000", \ - "0.0466726000, 0.0503808000, 0.0591779000, 0.0781604000, 0.1235224000, 0.2435371000, 0.5666794000", \ - "0.0653196000, 0.0701112000, 0.0798662000, 0.1002845000, 0.1438060000, 0.2557638000, 0.5734314000"); - } - related_pin : "B1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012790100, 0.0032717300, 0.0083691600, 0.0214085000, 0.0547633000, 0.1400860000"); - values("0.0322127000, 0.0357872000, 0.0449343000, 0.0698594000, 0.1339761000, 0.2925500000, 0.6949157000", \ - "0.0323218000, 0.0355858000, 0.0449539000, 0.0698423000, 0.1339840000, 0.2925694000, 0.6950561000", \ - "0.0324859000, 0.0355868000, 0.0449655000, 0.0698628000, 0.1340489000, 0.2923772000, 0.6943917000", \ - "0.0331704000, 0.0365760000, 0.0455534000, 0.0702291000, 0.1340641000, 0.2925700000, 0.6961952000", \ - "0.0403864000, 0.0428107000, 0.0507881000, 0.0737356000, 0.1353691000, 0.2926742000, 0.6959094000", \ - "0.0565215000, 0.0578785000, 0.0636025000, 0.0827068000, 0.1399094000, 0.2941562000, 0.6957064000", \ - "0.0843154000, 0.0853468000, 0.0895920000, 0.1047764000, 0.1534234000, 0.2976010000, 0.6971332000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o21bai_4") { - leakage_power () { - value : 0.0025183000; - when : "!A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0034819000; - when : "!A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0041637000; - when : "!A1&A2&B1_N"; - } - leakage_power () { - value : 0.0094213000; - when : "!A1&A2&!B1_N"; - } - leakage_power () { - value : 0.0041636000; - when : "A1&!A2&B1_N"; - } - leakage_power () { - value : 0.0078186000; - when : "A1&!A2&!B1_N"; - } - leakage_power () { - value : 0.0041625000; - when : "A1&A2&B1_N"; - } - leakage_power () { - value : 0.0055663000; - when : "A1&A2&!B1_N"; - } - area : 18.768000000; - cell_footprint : "sky130_fd_sc_hd__o21bai"; - cell_leakage_power : 0.0051620300; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0088780000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0084370000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0161869000, 0.0161949000, 0.0162132000, 0.0162119000, 0.0162089000, 0.0162018000, 0.0161856000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.016180000, -0.016179400, -0.016178000, -0.016173800, -0.016164200, -0.016142000, -0.016090900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0093190000; - } - pin ("A2") { - capacitance : 0.0085010000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0079040000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0156686000, 0.0156626000, 0.0156486000, 0.0156549000, 0.0156694000, 0.0157029000, 0.0157801000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015678700, -0.015668800, -0.015646000, -0.015641400, -0.015630900, -0.015606700, -0.015550800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090990000; - } - pin ("B1_N") { - capacitance : 0.0023560000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022400000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0202902000, 0.0201568000, 0.0198494000, 0.0200072000, 0.0203709000, 0.0212093000, 0.0231419000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0176135000, 0.0175008000, 0.0172408000, 0.0172952000, 0.0174206000, 0.0177096000, 0.0183757000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024710000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2) | (B1_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014042540, 0.0039438570, 0.0110763500, 0.0311080200, 0.0873671300, 0.2453712000"); - values("0.0301752000, 0.0287382000, 0.0246497000, 0.0132412000, -0.018818900, -0.109720400, -0.365367100", \ - "0.0296519000, 0.0282188000, 0.0241599000, 0.0127893000, -0.019229100, -0.110113300, -0.365676400", \ - "0.0289305000, 0.0275052000, 0.0234936000, 0.0122541000, -0.019659500, -0.110445900, -0.365963000", \ - "0.0280375000, 0.0266536000, 0.0226946000, 0.0115283000, -0.020231100, -0.110765500, -0.366362800", \ - "0.0276614000, 0.0262177000, 0.0222253000, 0.0109562000, -0.020604400, -0.110937900, -0.366227900", \ - "0.0283697000, 0.0269752000, 0.0228207000, 0.0113387000, -0.021018200, -0.111646900, -0.366745300", \ - "0.0311360000, 0.0296140000, 0.0254483000, 0.0136500000, -0.019305100, -0.110733200, -0.366679900"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014042540, 0.0039438570, 0.0110763500, 0.0311080200, 0.0873671300, 0.2453712000"); - values("0.0292583000, 0.0307296000, 0.0348337000, 0.0463490000, 0.0785110000, 0.1686279000, 0.4210518000", \ - "0.0285359000, 0.0300208000, 0.0341783000, 0.0457938000, 0.0780916000, 0.1683143000, 0.4209360000", \ - "0.0278196000, 0.0293099000, 0.0334766000, 0.0451230000, 0.0776324000, 0.1680077000, 0.4206470000", \ - "0.0272725000, 0.0287530000, 0.0328800000, 0.0445013000, 0.0770178000, 0.1675793000, 0.4208907000", \ - "0.0267908000, 0.0282609000, 0.0323890000, 0.0439317000, 0.0763217000, 0.1668630000, 0.4201090000", \ - "0.0265412000, 0.0280111000, 0.0321451000, 0.0439054000, 0.0764291000, 0.1669074000, 0.4197998000", \ - "0.0272327000, 0.0286438000, 0.0325760000, 0.0437671000, 0.0767057000, 0.1678752000, 0.4213354000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014042540, 0.0039438570, 0.0110763500, 0.0311080200, 0.0873671300, 0.2453712000"); - values("0.0219253000, 0.0206526000, 0.0169035000, 0.0060159000, -0.025843400, -0.116740000, -0.372617900", \ - "0.0212168000, 0.0200222000, 0.0164352000, 0.0058425000, -0.025736600, -0.116478200, -0.372290700", \ - "0.0202066000, 0.0189741000, 0.0155375000, 0.0051978000, -0.025985300, -0.116446500, -0.372095700", \ - "0.0191724000, 0.0179487000, 0.0143495000, 0.0040218000, -0.026780300, -0.116748900, -0.372143200", \ - "0.0200462000, 0.0185828000, 0.0144169000, 0.0032621000, -0.027836000, -0.117449700, -0.372471500", \ - "0.0206985000, 0.0191843000, 0.0150771000, 0.0036695000, -0.028278400, -0.118559600, -0.373126000", \ - "0.0249877000, 0.0234631000, 0.0189247000, 0.0066149000, -0.026679100, -0.117962400, -0.373537900"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014042540, 0.0039438570, 0.0110763500, 0.0311080200, 0.0873671300, 0.2453712000"); - values("0.0198451000, 0.0213493000, 0.0255255000, 0.0371264000, 0.0694784000, 0.1595507000, 0.4125053000", \ - "0.0187007000, 0.0202413000, 0.0245153000, 0.0363203000, 0.0688539000, 0.1592135000, 0.4118450000", \ - "0.0176681000, 0.0191952000, 0.0234535000, 0.0351994000, 0.0679583000, 0.1588868000, 0.4116713000", \ - "0.0171518000, 0.0186489000, 0.0228706000, 0.0347021000, 0.0672342000, 0.1581691000, 0.4114634000", \ - "0.0172998000, 0.0187257000, 0.0232682000, 0.0349193000, 0.0666127000, 0.1572167000, 0.4103776000", \ - "0.0205664000, 0.0217265000, 0.0247710000, 0.0361485000, 0.0689615000, 0.1576993000, 0.4099934000", \ - "0.0252527000, 0.0265975000, 0.0303500000, 0.0409647000, 0.0720391000, 0.1607133000, 0.4116145000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014042540, 0.0039438570, 0.0110763500, 0.0311080200, 0.0873671300, 0.2453712000"); - values("0.0210202000, 0.0196206000, 0.0157736000, 0.0047465000, -0.026875900, -0.117127400, -0.372501300", \ - "0.0209795000, 0.0196556000, 0.0157228000, 0.0047044000, -0.026906000, -0.117225200, -0.372725200", \ - "0.0210634000, 0.0197205000, 0.0158094000, 0.0047727000, -0.026783000, -0.117103900, -0.372438900", \ - "0.0205640000, 0.0192031000, 0.0152930000, 0.0042452000, -0.027320800, -0.117512300, -0.372827800", \ - "0.0201991000, 0.0187976000, 0.0148548000, 0.0036752000, -0.028018500, -0.118228000, -0.373481800", \ - "0.0188428000, 0.0174097000, 0.0135211000, 0.0023780000, -0.029121000, -0.119220400, -0.374224600", \ - "0.0205977000, 0.0190895000, 0.0158975000, 0.0040107000, -0.028358000, -0.118902600, -0.374093600"); - } - related_pin : "B1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014042540, 0.0039438570, 0.0110763500, 0.0311080200, 0.0873671300, 0.2453712000"); - values("0.0170437000, 0.0187087000, 0.0233470000, 0.0359496000, 0.0696891000, 0.1605879000, 0.4134346000", \ - "0.0171289000, 0.0187417000, 0.0233532000, 0.0359545000, 0.0696465000, 0.1606886000, 0.4139154000", \ - "0.0171445000, 0.0187410000, 0.0232944000, 0.0358856000, 0.0696471000, 0.1606921000, 0.4135599000", \ - "0.0167737000, 0.0183837000, 0.0228355000, 0.0350378000, 0.0687650000, 0.1600168000, 0.4132261000", \ - "0.0166014000, 0.0181455000, 0.0224190000, 0.0344685000, 0.0673764000, 0.1587805000, 0.4126812000", \ - "0.0169301000, 0.0183592000, 0.0225094000, 0.0342350000, 0.0664206000, 0.1575348000, 0.4117358000", \ - "0.0181014000, 0.0195336000, 0.0235362000, 0.0350380000, 0.0679069000, 0.1571405000, 0.4115566000"); - } - } - max_capacitance : 0.2453710000; - max_transition : 1.4960120000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014042500, 0.0039438600, 0.0110764000, 0.0311080000, 0.0873671000, 0.2453710000"); - values("0.0440453000, 0.0459953000, 0.0513807000, 0.0651988000, 0.1004516000, 0.1938694000, 0.4503322000", \ - "0.0481159000, 0.0500545000, 0.0554030000, 0.0692412000, 0.1045258000, 0.1979164000, 0.4545971000", \ - "0.0555655000, 0.0575843000, 0.0629263000, 0.0767736000, 0.1121917000, 0.2056736000, 0.4623653000", \ - "0.0677128000, 0.0700456000, 0.0762329000, 0.0913887000, 0.1277891000, 0.2218260000, 0.4786559000", \ - "0.0855016000, 0.0885930000, 0.0967364000, 0.1158863000, 0.1608179000, 0.2607937000, 0.5191891000", \ - "0.0978176000, 0.1028365000, 0.1151904000, 0.1447563000, 0.2094737000, 0.3380592000, 0.6141163000", \ - "0.0748403000, 0.0825195000, 0.1022134000, 0.1497154000, 0.2519786000, 0.4464300000, 0.8010482000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014042500, 0.0039438600, 0.0110764000, 0.0311080000, 0.0873671000, 0.2453710000"); - values("0.0931795000, 0.0973606000, 0.1088489000, 0.1403276000, 0.2261182000, 0.4631295000, 1.1278295000", \ - "0.0976295000, 0.1018591000, 0.1135215000, 0.1451895000, 0.2313698000, 0.4684883000, 1.1308050000", \ - "0.1100299000, 0.1142680000, 0.1259065000, 0.1577690000, 0.2443810000, 0.4825165000, 1.1443871000", \ - "0.1378272000, 0.1419587000, 0.1536869000, 0.1854007000, 0.2719947000, 0.5100457000, 1.1729715000", \ - "0.1929523000, 0.1978844000, 0.2111858000, 0.2454740000, 0.3316984000, 0.5698768000, 1.2339464000", \ - "0.2878989000, 0.2947042000, 0.3127029000, 0.3576006000, 0.4643778000, 0.7083590000, 1.3739446000", \ - "0.4451382000, 0.4555652000, 0.4833176000, 0.5509301000, 0.6995142000, 1.0120649000, 1.6931977000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014042500, 0.0039438600, 0.0110764000, 0.0311080000, 0.0873671000, 0.2453710000"); - values("0.0284359000, 0.0307200000, 0.0370139000, 0.0540114000, 0.0997831000, 0.2258530000, 0.5806068000", \ - "0.0283521000, 0.0307179000, 0.0370066000, 0.0539889000, 0.0997660000, 0.2257716000, 0.5802084000", \ - "0.0285447000, 0.0307827000, 0.0369836000, 0.0538145000, 0.0996976000, 0.2258052000, 0.5802224000", \ - "0.0327943000, 0.0350730000, 0.0412540000, 0.0573086000, 0.1008883000, 0.2257620000, 0.5810464000", \ - "0.0461230000, 0.0485830000, 0.0554200000, 0.0724557000, 0.1157175000, 0.2317180000, 0.5806298000", \ - "0.0778833000, 0.0813958000, 0.0900416000, 0.1118160000, 0.1604574000, 0.2744256000, 0.5943423000", \ - "0.1390714000, 0.1441346000, 0.1572336000, 0.1885095000, 0.2538123000, 0.3869940000, 0.6967699000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014042500, 0.0039438600, 0.0110764000, 0.0311080000, 0.0873671000, 0.2453710000"); - values("0.0709392000, 0.0760789000, 0.0905430000, 0.1308214000, 0.2456418000, 0.5697400000, 1.4781273000", \ - "0.0710622000, 0.0761323000, 0.0903528000, 0.1309810000, 0.2457524000, 0.5680319000, 1.4754152000", \ - "0.0711935000, 0.0761628000, 0.0906620000, 0.1309059000, 0.2457557000, 0.5700304000, 1.4762487000", \ - "0.0714898000, 0.0762927000, 0.0903710000, 0.1306990000, 0.2451609000, 0.5688305000, 1.4772471000", \ - "0.0868584000, 0.0914103000, 0.1036546000, 0.1393530000, 0.2478735000, 0.5677602000, 1.4806208000", \ - "0.1228774000, 0.1282001000, 0.1427095000, 0.1814362000, 0.2829039000, 0.5760444000, 1.4754447000", \ - "0.2009880000, 0.2076182000, 0.2270391000, 0.2739862000, 0.3886100000, 0.6684663000, 1.4944899000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014042500, 0.0039438600, 0.0110764000, 0.0311080000, 0.0873671000, 0.2453710000"); - values("0.0314923000, 0.0334288000, 0.0385255000, 0.0515578000, 0.0849887000, 0.1728592000, 0.4164496000", \ - "0.0355146000, 0.0374941000, 0.0427482000, 0.0560524000, 0.0894503000, 0.1772844000, 0.4214329000", \ - "0.0426076000, 0.0448069000, 0.0502582000, 0.0637795000, 0.0976721000, 0.1856538000, 0.4298861000", \ - "0.0516531000, 0.0542920000, 0.0610660000, 0.0772545000, 0.1137243000, 0.2030771000, 0.4466677000", \ - "0.0588969000, 0.0628031000, 0.0732274000, 0.0965072000, 0.1448197000, 0.2436437000, 0.4884269000", \ - "0.0506014000, 0.0570693000, 0.0732008000, 0.1102031000, 0.1839917000, 0.3203172000, 0.5883199000", \ - "-0.009965900, 0.0025010000, 0.0285074000, 0.0889274000, 0.2071110000, 0.4158268000, 0.7774484000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014042500, 0.0039438600, 0.0110764000, 0.0311080000, 0.0873671000, 0.2453710000"); - values("0.0734087000, 0.0777050000, 0.0894738000, 0.1212430000, 0.2072806000, 0.4443719000, 1.1068635000", \ - "0.0756299000, 0.0799493000, 0.0919276000, 0.1241298000, 0.2107843000, 0.4482192000, 1.1105875000", \ - "0.0855062000, 0.0897945000, 0.1016568000, 0.1335181000, 0.2208851000, 0.4591610000, 1.1221144000", \ - "0.1138240000, 0.1179791000, 0.1294159000, 0.1610633000, 0.2472281000, 0.4861670000, 1.1498339000", \ - "0.1740860000, 0.1795008000, 0.1940635000, 0.2287592000, 0.3139382000, 0.5518981000, 1.2183610000", \ - "0.2719662000, 0.2801276000, 0.3014083000, 0.3535429000, 0.4665565000, 0.7039054000, 1.3644218000", \ - "0.4378227000, 0.4494367000, 0.4798419000, 0.5559559000, 0.7252861000, 1.0582485000, 1.7264847000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014042500, 0.0039438600, 0.0110764000, 0.0311080000, 0.0873671000, 0.2453710000"); - values("0.0161717000, 0.0184821000, 0.0247070000, 0.0414767000, 0.0852181000, 0.2043832000, 0.5394111000", \ - "0.0162642000, 0.0185839000, 0.0247047000, 0.0415625000, 0.0850736000, 0.2041400000, 0.5387730000", \ - "0.0176010000, 0.0197012000, 0.0255326000, 0.0415357000, 0.0852846000, 0.2042641000, 0.5387381000", \ - "0.0230699000, 0.0253679000, 0.0314581000, 0.0471475000, 0.0871741000, 0.2040969000, 0.5400020000", \ - "0.0382552000, 0.0409680000, 0.0480846000, 0.0650870000, 0.1062241000, 0.2120201000, 0.5385180000", \ - "0.0706159000, 0.0742921000, 0.0839691000, 0.1066658000, 0.1546736000, 0.2620604000, 0.5559566000", \ - "0.1387436000, 0.1427091000, 0.1554590000, 0.1862267000, 0.2526679000, 0.3845500000, 0.6718377000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014042500, 0.0039438600, 0.0110764000, 0.0311080000, 0.0873671000, 0.2453710000"); - values("0.0712513000, 0.0760694000, 0.0901493000, 0.1305703000, 0.2454267000, 0.5677683000, 1.4792910000", \ - "0.0709466000, 0.0762328000, 0.0901969000, 0.1307384000, 0.2455048000, 0.5679633000, 1.4758351000", \ - "0.0704939000, 0.0758293000, 0.0903119000, 0.1308132000, 0.2455908000, 0.5689680000, 1.4755471000", \ - "0.0736945000, 0.0782914000, 0.0911604000, 0.1302713000, 0.2455421000, 0.5698816000, 1.4791229000", \ - "0.0992799000, 0.1043367000, 0.1163209000, 0.1478590000, 0.2485665000, 0.5695119000, 1.4781257000", \ - "0.1430582000, 0.1506578000, 0.1685237000, 0.2115542000, 0.3069656000, 0.5810749000, 1.4806063000", \ - "0.2159351000, 0.2264851000, 0.2542067000, 0.3194503000, 0.4561690000, 0.7182601000, 1.4960116000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014042500, 0.0039438600, 0.0110764000, 0.0311080000, 0.0873671000, 0.2453710000"); - values("0.1384206000, 0.1407730000, 0.1468124000, 0.1624989000, 0.1997695000, 0.2939443000, 0.5507340000", \ - "0.1435288000, 0.1456268000, 0.1519376000, 0.1677506000, 0.2049535000, 0.2992627000, 0.5559693000", \ - "0.1567238000, 0.1588052000, 0.1651810000, 0.1808874000, 0.2181032000, 0.3123524000, 0.5691086000", \ - "0.1878317000, 0.1899753000, 0.1963749000, 0.2119395000, 0.2494957000, 0.3438890000, 0.6005772000", \ - "0.2601733000, 0.2625975000, 0.2686233000, 0.2843900000, 0.3218285000, 0.4161936000, 0.6728440000", \ - "0.3901959000, 0.3929443000, 0.3996719000, 0.4174784000, 0.4573181000, 0.5531065000, 0.8098127000", \ - "0.5901216000, 0.5937189000, 0.6034919000, 0.6243935000, 0.6712713000, 0.7709208000, 1.0264382000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014042500, 0.0039438600, 0.0110764000, 0.0311080000, 0.0873671000, 0.2453710000"); - values("0.0802303000, 0.0828216000, 0.0897552000, 0.1065305000, 0.1479954000, 0.2580811000, 0.5634354000", \ - "0.0852210000, 0.0877926000, 0.0945456000, 0.1113894000, 0.1525534000, 0.2633655000, 0.5690813000", \ - "0.0965663000, 0.0991403000, 0.1059620000, 0.1227227000, 0.1642057000, 0.2747276000, 0.5793768000", \ - "0.1231249000, 0.1256697000, 0.1323551000, 0.1487037000, 0.1901341000, 0.3007211000, 0.6067685000", \ - "0.1687420000, 0.1716944000, 0.1789117000, 0.1959349000, 0.2373262000, 0.3479532000, 0.6534885000", \ - "0.2284829000, 0.2321100000, 0.2408890000, 0.2607420000, 0.3032444000, 0.4140650000, 0.7189541000", \ - "0.2910076000, 0.2959088000, 0.3075435000, 0.3331161000, 0.3826218000, 0.4924672000, 0.7975318000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014042500, 0.0039438600, 0.0110764000, 0.0311080000, 0.0873671000, 0.2453710000"); - values("0.0355166000, 0.0378925000, 0.0444812000, 0.0605370000, 0.1039755000, 0.2266987000, 0.5803031000", \ - "0.0355077000, 0.0380502000, 0.0441092000, 0.0603271000, 0.1038556000, 0.2270035000, 0.5811510000", \ - "0.0354042000, 0.0380364000, 0.0441734000, 0.0605566000, 0.1039545000, 0.2269260000, 0.5804460000", \ - "0.0353744000, 0.0381193000, 0.0442861000, 0.0604074000, 0.1040227000, 0.2269441000, 0.5808179000", \ - "0.0364226000, 0.0390387000, 0.0452961000, 0.0614819000, 0.1044424000, 0.2271321000, 0.5809930000", \ - "0.0464936000, 0.0489726000, 0.0555687000, 0.0710434000, 0.1122095000, 0.2301228000, 0.5806363000", \ - "0.0656170000, 0.0686592000, 0.0763573000, 0.0929557000, 0.1324033000, 0.2412654000, 0.5857642000"); - } - related_pin : "B1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014042500, 0.0039438600, 0.0110764000, 0.0311080000, 0.0873671000, 0.2453710000"); - values("0.0355269000, 0.0376697000, 0.0443425000, 0.0642107000, 0.1216572000, 0.2777886000, 0.7120294000", \ - "0.0355621000, 0.0377426000, 0.0441097000, 0.0641448000, 0.1216520000, 0.2777457000, 0.7120970000", \ - "0.0354919000, 0.0377202000, 0.0441257000, 0.0642392000, 0.1216620000, 0.2777835000, 0.7108356000", \ - "0.0359094000, 0.0381816000, 0.0446861000, 0.0645941000, 0.1217610000, 0.2777941000, 0.7112239000", \ - "0.0433061000, 0.0450502000, 0.0504815000, 0.0682134000, 0.1232730000, 0.2779852000, 0.7117710000", \ - "0.0598727000, 0.0607690000, 0.0643948000, 0.0788072000, 0.1289105000, 0.2794971000, 0.7112526000", \ - "0.0887909000, 0.0893937000, 0.0917727000, 0.1024066000, 0.1434644000, 0.2837685000, 0.7131604000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o221a_1") { - leakage_power () { - value : 0.0038197000; - when : "!A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0118394000; - when : "!A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0024973000; - when : "!A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0020294000; - when : "!A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0025006000; - when : "!A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0020294000; - when : "!A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0025055000; - when : "!A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0020294000; - when : "!A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0025139000; - when : "!A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0020287000; - when : "!A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0031084000; - when : "!A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0023061000; - when : "!A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0029542000; - when : "!A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0023061000; - when : "!A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0025415000; - when : "!A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0023061000; - when : "!A1&A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0025139000; - when : "A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0020287000; - when : "A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0029637000; - when : "A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0023061000; - when : "A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0028095000; - when : "A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0023061000; - when : "A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0023967000; - when : "A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0023061000; - when : "A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0025139000; - when : "A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0020287000; - when : "A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0025328000; - when : "A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0023061000; - when : "A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0023786000; - when : "A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0023061000; - when : "A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0019659000; - when : "A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0023061000; - when : "A1&A2&B1&B2&!C1"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__o221a"; - cell_leakage_power : 0.0027276230; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022550000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041904000, 0.0041900000, 0.0041890000, 0.0041901000, 0.0041926000, 0.0041984000, 0.0042117000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004185500, -0.004183100, -0.004177700, -0.004178000, -0.004178800, -0.004180600, -0.004184800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025050000; - } - pin ("A2") { - capacitance : 0.0024010000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040361000, 0.0040363000, 0.0040366000, 0.0040370000, 0.0040380000, 0.0040401000, 0.0040452000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004022600, -0.004024400, -0.004028600, -0.004029300, -0.004031000, -0.004034800, -0.004043800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025700000; - } - pin ("B1") { - capacitance : 0.0023410000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022550000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040072000, 0.0040117000, 0.0040221000, 0.0040224000, 0.0040232000, 0.0040251000, 0.0040293000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004009500, -0.004008200, -0.004005200, -0.004004200, -0.004001800, -0.003996400, -0.003983900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024270000; - } - pin ("B2") { - capacitance : 0.0023550000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022260000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040170000, 0.0040170000, 0.0040172000, 0.0040209000, 0.0040295000, 0.0040491000, 0.0040944000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004008800, -0.004008600, -0.004008100, -0.004007200, -0.004005300, -0.004000900, -0.003990800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024840000; - } - pin ("C1") { - capacitance : 0.0023180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022590000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047379000, 0.0047374000, 0.0047364000, 0.0047365000, 0.0047366000, 0.0047371000, 0.0047380000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002526500, -0.002528600, -0.002533300, -0.002522400, -0.002497200, -0.002439200, -0.002305500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023770000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1&C1) | (A2&B1&C1) | (A1&B2&C1) | (A2&B2&C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436550, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0191647000, 0.0182827000, 0.0158224000, 0.0083658000, -0.013545300, -0.071912400, -0.224072600", \ - "0.0189457000, 0.0181624000, 0.0157167000, 0.0082360000, -0.013671000, -0.072033400, -0.224200600", \ - "0.0188645000, 0.0179809000, 0.0155218000, 0.0080298000, -0.013851500, -0.072183500, -0.224306400", \ - "0.0185477000, 0.0177169000, 0.0152598000, 0.0077543000, -0.014015500, -0.072396700, -0.224561800", \ - "0.0183855000, 0.0175095000, 0.0150686000, 0.0075688000, -0.014213000, -0.072543200, -0.224614500", \ - "0.0180015000, 0.0171328000, 0.0148776000, 0.0074457000, -0.014265400, -0.072545100, -0.224620300", \ - "0.0218796000, 0.0205409000, 0.0170748000, 0.0086203000, -0.014030100, -0.072244100, -0.224328900"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436550, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0180753000, 0.0194697000, 0.0229774000, 0.0316952000, 0.0538711000, 0.1115898000, 0.2629202000", \ - "0.0179957000, 0.0193909000, 0.0228714000, 0.0315827000, 0.0537866000, 0.1114510000, 0.2618563000", \ - "0.0178486000, 0.0192442000, 0.0227453000, 0.0314606000, 0.0536423000, 0.1112853000, 0.2616563000", \ - "0.0176681000, 0.0190698000, 0.0225670000, 0.0312848000, 0.0534676000, 0.1112694000, 0.2615619000", \ - "0.0175347000, 0.0188898000, 0.0223636000, 0.0311378000, 0.0534552000, 0.1117805000, 0.2628215000", \ - "0.0177591000, 0.0190535000, 0.0224325000, 0.0309075000, 0.0533392000, 0.1109950000, 0.2616235000", \ - "0.0180933000, 0.0193413000, 0.0226445000, 0.0313640000, 0.0537537000, 0.1119471000, 0.2610294000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436550, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0171141000, 0.0162207000, 0.0137555000, 0.0062897000, -0.015418200, -0.073700500, -0.225715300", \ - "0.0169723000, 0.0160903000, 0.0136236000, 0.0061553000, -0.015579900, -0.073831900, -0.225841500", \ - "0.0167341000, 0.0158252000, 0.0134141000, 0.0059505000, -0.015779200, -0.074042500, -0.226090200", \ - "0.0165192000, 0.0156807000, 0.0132334000, 0.0057390000, -0.015973800, -0.074229800, -0.226251800", \ - "0.0164515000, 0.0155909000, 0.0131600000, 0.0056691000, -0.016012000, -0.074275500, -0.226282900", \ - "0.0166526000, 0.0157322000, 0.0133992000, 0.0059482000, -0.015759400, -0.073999900, -0.226002100", \ - "0.0206805000, 0.0193236000, 0.0158917000, 0.0071218000, -0.015478700, -0.073542900, -0.225540300"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436550, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0166610000, 0.0180812000, 0.0216595000, 0.0305127000, 0.0530691000, 0.1116605000, 0.2615949000", \ - "0.0166665000, 0.0180764000, 0.0216090000, 0.0305167000, 0.0530078000, 0.1111159000, 0.2620326000", \ - "0.0165892000, 0.0179995000, 0.0215430000, 0.0304236000, 0.0529316000, 0.1111650000, 0.2628335000", \ - "0.0163074000, 0.0177297000, 0.0212933000, 0.0301348000, 0.0526626000, 0.1108444000, 0.2614678000", \ - "0.0160475000, 0.0174447000, 0.0209505000, 0.0298273000, 0.0525127000, 0.1105583000, 0.2613778000", \ - "0.0161474000, 0.0174942000, 0.0209026000, 0.0294145000, 0.0520257000, 0.1105655000, 0.2608906000", \ - "0.0163722000, 0.0176248000, 0.0209596000, 0.0297923000, 0.0522357000, 0.1105047000, 0.2600497000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436550, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0148364000, 0.0142513000, 0.0121355000, 0.0053088000, -0.015919300, -0.074141800, -0.226238400", \ - "0.0147750000, 0.0140960000, 0.0121029000, 0.0051431000, -0.016047700, -0.074263700, -0.226364200", \ - "0.0144953000, 0.0138491000, 0.0118301000, 0.0049459000, -0.016295600, -0.074495500, -0.226587300", \ - "0.0142319000, 0.0135783000, 0.0115813000, 0.0046216000, -0.016580400, -0.074772500, -0.226863100", \ - "0.0140620000, 0.0133749000, 0.0112959000, 0.0043893000, -0.016841500, -0.075014600, -0.227078900", \ - "0.0144921000, 0.0132065000, 0.0108315000, 0.0041789000, -0.017010500, -0.075156500, -0.227202100", \ - "0.0186486000, 0.0173130000, 0.0139565000, 0.0053387000, -0.017087900, -0.075070100, -0.227008600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436550, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0179487000, 0.0193224000, 0.0228153000, 0.0315401000, 0.0538329000, 0.1117117000, 0.2622702000", \ - "0.0178296000, 0.0192277000, 0.0227306000, 0.0314509000, 0.0537345000, 0.1117056000, 0.2632156000", \ - "0.0177057000, 0.0191062000, 0.0226124000, 0.0313412000, 0.0536117000, 0.1120714000, 0.2629099000", \ - "0.0175363000, 0.0189423000, 0.0224539000, 0.0311789000, 0.0535195000, 0.1119742000, 0.2618205000", \ - "0.0174372000, 0.0188168000, 0.0223132000, 0.0310693000, 0.0534261000, 0.1114491000, 0.2630553000", \ - "0.0176723000, 0.0189977000, 0.0223488000, 0.0308543000, 0.0532977000, 0.1111257000, 0.2618241000", \ - "0.0182545000, 0.0195056000, 0.0228602000, 0.0314806000, 0.0538102000, 0.1121233000, 0.2627823000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436550, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0126815000, 0.0120351000, 0.0099754000, 0.0030855000, -0.018143900, -0.076345500, -0.228440400", \ - "0.0124908000, 0.0118719000, 0.0098000000, 0.0029575000, -0.018284900, -0.076486700, -0.228582300", \ - "0.0123449000, 0.0116794000, 0.0096705000, 0.0027277000, -0.018489100, -0.076691400, -0.228775900", \ - "0.0120273000, 0.0113613000, 0.0093409000, 0.0024084000, -0.018805600, -0.076991800, -0.229065000", \ - "0.0118630000, 0.0112315000, 0.0091401000, 0.0022388000, -0.019010000, -0.077161600, -0.229208600", \ - "0.0123171000, 0.0110142000, 0.0087893000, 0.0020651000, -0.019067600, -0.077159000, -0.229162800", \ - "0.0173053000, 0.0159624000, 0.0125673000, 0.0038799000, -0.018576300, -0.076530400, -0.228451900"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436550, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0166506000, 0.0180496000, 0.0215556000, 0.0302823000, 0.0525224000, 0.1109484000, 0.2617690000", \ - "0.0166466000, 0.0180434000, 0.0215491000, 0.0302787000, 0.0525232000, 0.1104353000, 0.2618419000", \ - "0.0165142000, 0.0179233000, 0.0214472000, 0.0301638000, 0.0524652000, 0.1108577000, 0.2606306000", \ - "0.0162676000, 0.0176729000, 0.0211877000, 0.0299102000, 0.0522415000, 0.1107003000, 0.2606054000", \ - "0.0160879000, 0.0174700000, 0.0208901000, 0.0296246000, 0.0520045000, 0.1105180000, 0.2604536000", \ - "0.0161801000, 0.0174752000, 0.0208106000, 0.0293205000, 0.0517714000, 0.1095464000, 0.2603041000", \ - "0.0166224000, 0.0178807000, 0.0212721000, 0.0298936000, 0.0522310000, 0.1106537000, 0.2606349000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436550, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0111360000, 0.0107314000, 0.0088018000, 0.0016518000, -0.020305400, -0.078843200, -0.231098400", \ - "0.0109401000, 0.0105962000, 0.0086889000, 0.0015245000, -0.020429500, -0.078979700, -0.231233800", \ - "0.0107020000, 0.0103047000, 0.0083471000, 0.0012094000, -0.020723500, -0.079253800, -0.231527200", \ - "0.0103966000, 0.0099339000, 0.0080477000, 0.0008517000, -0.021015700, -0.079509000, -0.231745700", \ - "0.0098704000, 0.0096110000, 0.0076783000, 0.0006382000, -0.021164700, -0.079600400, -0.231797000", \ - "0.0135093000, 0.0122563000, 0.0090099000, 0.0004505000, -0.020962000, -0.079317700, -0.231461200", \ - "0.0163869000, 0.0150384000, 0.0116154000, 0.0029896000, -0.019410900, -0.077644500, -0.229623800"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436550, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0164135000, 0.0178121000, 0.0213188000, 0.0300640000, 0.0524060000, 0.1110255000, 0.2619911000", \ - "0.0163356000, 0.0177337000, 0.0212194000, 0.0299926000, 0.0523425000, 0.1104552000, 0.2610195000", \ - "0.0161666000, 0.0175695000, 0.0210795000, 0.0298358000, 0.0522036000, 0.1108273000, 0.2617616000", \ - "0.0159314000, 0.0173383000, 0.0208382000, 0.0296348000, 0.0520220000, 0.1101406000, 0.2607556000", \ - "0.0158336000, 0.0172179000, 0.0206808000, 0.0294834000, 0.0518581000, 0.1099557000, 0.2604823000", \ - "0.0163697000, 0.0176524000, 0.0210403000, 0.0295197000, 0.0520167000, 0.1097846000, 0.2605831000", \ - "0.0173967000, 0.0185982000, 0.0219757000, 0.0305733000, 0.0529273000, 0.1112488000, 0.2605307000"); - } - } - max_capacitance : 0.1529030000; - max_transition : 1.5048690000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.2184170000, 0.2260216000, 0.2414480000, 0.2708170000, 0.3279189000, 0.4506244000, 0.7512872000", \ - "0.2236838000, 0.2312191000, 0.2465943000, 0.2760642000, 0.3331782000, 0.4559153000, 0.7565999000", \ - "0.2362266000, 0.2438414000, 0.2592729000, 0.2890192000, 0.3461500000, 0.4683764000, 0.7693897000", \ - "0.2635328000, 0.2712307000, 0.2867009000, 0.3164023000, 0.3730869000, 0.4956414000, 0.7968403000", \ - "0.3256488000, 0.3332537000, 0.3488629000, 0.3784454000, 0.4357193000, 0.5584391000, 0.8592252000", \ - "0.4588712000, 0.4670921000, 0.4836072000, 0.5146630000, 0.5732227000, 0.6960017000, 0.9974314000", \ - "0.6952943000, 0.7049389000, 0.7242946000, 0.7595742000, 0.8242990000, 0.9526547000, 1.2553335000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.1424957000, 0.1509294000, 0.1693793000, 0.2099262000, 0.3060464000, 0.5514496000, 1.1925040000", \ - "0.1469332000, 0.1553354000, 0.1738220000, 0.2143718000, 0.3104678000, 0.5558839000, 1.1938462000", \ - "0.1559047000, 0.1642518000, 0.1827755000, 0.2233930000, 0.3194327000, 0.5667946000, 1.2041256000", \ - "0.1732266000, 0.1815813000, 0.2001148000, 0.2407090000, 0.3368399000, 0.5827930000, 1.2220801000", \ - "0.2070818000, 0.2157243000, 0.2345336000, 0.2755397000, 0.3722416000, 0.6189471000, 1.2576655000", \ - "0.2597334000, 0.2692746000, 0.2895816000, 0.3323771000, 0.4301215000, 0.6769069000, 1.3160686000", \ - "0.3150777000, 0.3268141000, 0.3507547000, 0.3976108000, 0.4976387000, 0.7457038000, 1.3837804000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0329104000, 0.0374810000, 0.0480814000, 0.0719288000, 0.1264784000, 0.2684532000, 0.6576961000", \ - "0.0325522000, 0.0377528000, 0.0483025000, 0.0719334000, 0.1265339000, 0.2684530000, 0.6579680000", \ - "0.0329130000, 0.0374972000, 0.0481025000, 0.0712048000, 0.1261685000, 0.2683187000, 0.6615012000", \ - "0.0326288000, 0.0376876000, 0.0477967000, 0.0714942000, 0.1263259000, 0.2683500000, 0.6641170000", \ - "0.0324698000, 0.0372950000, 0.0482822000, 0.0717137000, 0.1261591000, 0.2684590000, 0.6605635000", \ - "0.0362705000, 0.0410752000, 0.0520125000, 0.0744679000, 0.1283739000, 0.2694509000, 0.6613579000", \ - "0.0462722000, 0.0515268000, 0.0627615000, 0.0878338000, 0.1411680000, 0.2777835000, 0.6603801000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0320047000, 0.0398815000, 0.0587812000, 0.1075806000, 0.2389211000, 0.5907059000, 1.5036415000", \ - "0.0319406000, 0.0398346000, 0.0588216000, 0.1075906000, 0.2390023000, 0.5892050000, 1.5023498000", \ - "0.0321375000, 0.0398504000, 0.0588225000, 0.1076968000, 0.2390561000, 0.5906660000, 1.4977007000", \ - "0.0320341000, 0.0397414000, 0.0588193000, 0.1076651000, 0.2388837000, 0.5907109000, 1.4995379000", \ - "0.0334098000, 0.0412014000, 0.0598904000, 0.1083942000, 0.2392489000, 0.5908891000, 1.5034869000", \ - "0.0377582000, 0.0456132000, 0.0639959000, 0.1114591000, 0.2402322000, 0.5890622000, 1.5006980000", \ - "0.0478635000, 0.0564307000, 0.0748502000, 0.1200123000, 0.2440752000, 0.5912550000, 1.4987975000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.2040022000, 0.2115736000, 0.2271192000, 0.2568587000, 0.3139668000, 0.4368515000, 0.7378321000", \ - "0.2078095000, 0.2153818000, 0.2308791000, 0.2606093000, 0.3177401000, 0.4405656000, 0.7417258000", \ - "0.2181230000, 0.2257463000, 0.2413786000, 0.2709631000, 0.3283203000, 0.4512552000, 0.7521916000", \ - "0.2456453000, 0.2532972000, 0.2687877000, 0.2984532000, 0.3558110000, 0.4787482000, 0.7797284000", \ - "0.3135635000, 0.3212633000, 0.3368509000, 0.3665145000, 0.4239662000, 0.5468720000, 0.8482403000", \ - "0.4649461000, 0.4733829000, 0.4900407000, 0.5210376000, 0.5793174000, 0.7027911000, 1.0043181000", \ - "0.7142635000, 0.7251561000, 0.7468103000, 0.7843304000, 0.8488449000, 0.9764189000, 1.2797875000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.1341236000, 0.1426406000, 0.1615200000, 0.2027406000, 0.3002661000, 0.5481597000, 1.1878423000", \ - "0.1390126000, 0.1475167000, 0.1663653000, 0.2076923000, 0.3049182000, 0.5540788000, 1.1919674000", \ - "0.1485607000, 0.1570428000, 0.1758155000, 0.2171354000, 0.3145379000, 0.5614145000, 1.2031738000", \ - "0.1662963000, 0.1748142000, 0.1936698000, 0.2349152000, 0.3323807000, 0.5796650000, 1.2202851000", \ - "0.2007937000, 0.2096688000, 0.2288546000, 0.2704942000, 0.3679567000, 0.6158123000, 1.2552441000", \ - "0.2534139000, 0.2631223000, 0.2839497000, 0.3274593000, 0.4261266000, 0.6740242000, 1.3151502000", \ - "0.3064640000, 0.3190319000, 0.3442204000, 0.3925213000, 0.4936606000, 0.7420302000, 1.3818979000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0328695000, 0.0374209000, 0.0477912000, 0.0712583000, 0.1263021000, 0.2684560000, 0.6609221000", \ - "0.0327571000, 0.0376090000, 0.0478380000, 0.0714099000, 0.1262034000, 0.2683759000, 0.6610524000", \ - "0.0325358000, 0.0373627000, 0.0483756000, 0.0718845000, 0.1258983000, 0.2684076000, 0.6627116000", \ - "0.0325616000, 0.0372972000, 0.0480691000, 0.0718279000, 0.1258516000, 0.2684153000, 0.6618370000", \ - "0.0324527000, 0.0372621000, 0.0484880000, 0.0717072000, 0.1262553000, 0.2684089000, 0.6604670000", \ - "0.0383165000, 0.0427377000, 0.0526387000, 0.0749433000, 0.1284005000, 0.2694887000, 0.6631515000", \ - "0.0544266000, 0.0598431000, 0.0708293000, 0.0917034000, 0.1414077000, 0.2775340000, 0.6608539000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0318396000, 0.0396609000, 0.0587715000, 0.1073113000, 0.2384767000, 0.5896869000, 1.5031884000", \ - "0.0317904000, 0.0397217000, 0.0587225000, 0.1073406000, 0.2383106000, 0.5901475000, 1.4988419000", \ - "0.0318963000, 0.0396645000, 0.0586555000, 0.1074345000, 0.2386012000, 0.5893417000, 1.5045144000", \ - "0.0319832000, 0.0395851000, 0.0587081000, 0.1074564000, 0.2387662000, 0.5905197000, 1.5002347000", \ - "0.0335273000, 0.0413275000, 0.0599076000, 0.1080340000, 0.2387646000, 0.5901568000, 1.4993865000", \ - "0.0385110000, 0.0467715000, 0.0652710000, 0.1121187000, 0.2404531000, 0.5893315000, 1.5037890000", \ - "0.0511318000, 0.0597126000, 0.0779680000, 0.1225372000, 0.2451956000, 0.5916025000, 1.4944849000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.1833475000, 0.1912697000, 0.2080728000, 0.2412976000, 0.3047914000, 0.4326420000, 0.7343380000", \ - "0.1886668000, 0.1965708000, 0.2133261000, 0.2466847000, 0.3101672000, 0.4381155000, 0.7403245000", \ - "0.2008691000, 0.2088121000, 0.2255527000, 0.2594531000, 0.3221441000, 0.4501722000, 0.7518901000", \ - "0.2275993000, 0.2353682000, 0.2521976000, 0.2857979000, 0.3491751000, 0.4772089000, 0.7793859000", \ - "0.2894628000, 0.2973241000, 0.3139526000, 0.3478053000, 0.4113006000, 0.5392985000, 0.8412550000", \ - "0.4131110000, 0.4216706000, 0.4398305000, 0.4760072000, 0.5425592000, 0.6722608000, 0.9747564000", \ - "0.6262174000, 0.6368546000, 0.6584974000, 0.7007217000, 0.7764164000, 0.9149366000, 1.2210313000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.1345264000, 0.1429021000, 0.1613535000, 0.2019970000, 0.2982612000, 0.5446897000, 1.1833851000", \ - "0.1386580000, 0.1470749000, 0.1655224000, 0.2061468000, 0.3025169000, 0.5484622000, 1.1900438000", \ - "0.1480561000, 0.1564871000, 0.1749395000, 0.2155126000, 0.3120660000, 0.5586011000, 1.2008136000", \ - "0.1688568000, 0.1772694000, 0.1957994000, 0.2364333000, 0.3331482000, 0.5806360000, 1.2197173000", \ - "0.2121278000, 0.2208657000, 0.2396963000, 0.2808107000, 0.3775754000, 0.6245880000, 1.2649801000", \ - "0.2755610000, 0.2852340000, 0.3055047000, 0.3480178000, 0.4458019000, 0.6935630000, 1.3330109000", \ - "0.3384643000, 0.3505148000, 0.3743005000, 0.4205249000, 0.5198518000, 0.7679204000, 1.4075490000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0315911000, 0.0370422000, 0.0503110000, 0.0787721000, 0.1354839000, 0.2738488000, 0.6602491000", \ - "0.0312860000, 0.0369724000, 0.0502116000, 0.0781410000, 0.1356584000, 0.2745144000, 0.6633646000", \ - "0.0315467000, 0.0371983000, 0.0502454000, 0.0779783000, 0.1355338000, 0.2740749000, 0.6602975000", \ - "0.0312302000, 0.0369307000, 0.0502323000, 0.0786418000, 0.1353329000, 0.2746450000, 0.6630428000", \ - "0.0316310000, 0.0370858000, 0.0502112000, 0.0781988000, 0.1349570000, 0.2742048000, 0.6604337000", \ - "0.0366976000, 0.0426291000, 0.0562343000, 0.0847025000, 0.1403156000, 0.2768169000, 0.6626033000", \ - "0.0483017000, 0.0546629000, 0.0698166000, 0.1007400000, 0.1590372000, 0.2907675000, 0.6629635000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0320325000, 0.0399713000, 0.0587622000, 0.1076698000, 0.2391887000, 0.5889061000, 1.5021628000", \ - "0.0319204000, 0.0398965000, 0.0587497000, 0.1076128000, 0.2390865000, 0.5902114000, 1.5046199000", \ - "0.0318801000, 0.0398745000, 0.0587435000, 0.1075422000, 0.2387281000, 0.5910756000, 1.5004215000", \ - "0.0321136000, 0.0397163000, 0.0588624000, 0.1074867000, 0.2390381000, 0.5895878000, 1.5032223000", \ - "0.0336163000, 0.0411291000, 0.0599112000, 0.1085053000, 0.2393772000, 0.5887429000, 1.5041209000", \ - "0.0387643000, 0.0463653000, 0.0643007000, 0.1114361000, 0.2407946000, 0.5887190000, 1.5007389000", \ - "0.0507003000, 0.0585287000, 0.0768648000, 0.1194068000, 0.2436460000, 0.5916775000, 1.4979735000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.1683677000, 0.1761787000, 0.1927804000, 0.2268565000, 0.2899373000, 0.4179098000, 0.7200646000", \ - "0.1722216000, 0.1801281000, 0.1969398000, 0.2304039000, 0.2938367000, 0.4218158000, 0.7240263000", \ - "0.1829955000, 0.1908379000, 0.2076224000, 0.2410293000, 0.3045127000, 0.4324227000, 0.7341565000", \ - "0.2102958000, 0.2181737000, 0.2348875000, 0.2684894000, 0.3318789000, 0.4598963000, 0.7617872000", \ - "0.2780360000, 0.2857954000, 0.3025291000, 0.3360135000, 0.3995289000, 0.5276355000, 0.8297458000", \ - "0.4150367000, 0.4241111000, 0.4430519000, 0.4798039000, 0.5469675000, 0.6768941000, 0.9795172000", \ - "0.6326610000, 0.6444452000, 0.6687225000, 0.7143894000, 0.7937738000, 0.9331973000, 1.2396699000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.1282896000, 0.1368898000, 0.1556394000, 0.1966336000, 0.2933022000, 0.5394579000, 1.1815670000", \ - "0.1328585000, 0.1414519000, 0.1602035000, 0.2012133000, 0.2978972000, 0.5437961000, 1.1857415000", \ - "0.1422392000, 0.1508812000, 0.1696811000, 0.2107078000, 0.3074350000, 0.5546039000, 1.1934866000", \ - "0.1623847000, 0.1709292000, 0.1897856000, 0.2308485000, 0.3277106000, 0.5749813000, 1.2141169000", \ - "0.2030397000, 0.2119862000, 0.2313195000, 0.2729978000, 0.3700875000, 0.6177372000, 1.2568592000", \ - "0.2614813000, 0.2713967000, 0.2920999000, 0.3355667000, 0.4339923000, 0.6816114000, 1.3208042000", \ - "0.3177422000, 0.3303675000, 0.3555253000, 0.4030495000, 0.5033045000, 0.7518137000, 1.3909408000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0312271000, 0.0370777000, 0.0504829000, 0.0779284000, 0.1354417000, 0.2745008000, 0.6640182000", \ - "0.0313225000, 0.0369300000, 0.0503203000, 0.0785350000, 0.1353472000, 0.2744705000, 0.6629157000", \ - "0.0316228000, 0.0369752000, 0.0502600000, 0.0781893000, 0.1354024000, 0.2740804000, 0.6601717000", \ - "0.0311616000, 0.0372585000, 0.0502628000, 0.0782850000, 0.1353053000, 0.2738605000, 0.6604596000", \ - "0.0313158000, 0.0374694000, 0.0504593000, 0.0785291000, 0.1354592000, 0.2743958000, 0.6604055000", \ - "0.0406157000, 0.0459744000, 0.0591189000, 0.0862969000, 0.1415481000, 0.2770325000, 0.6648383000", \ - "0.0569137000, 0.0649118000, 0.0797125000, 0.1111416000, 0.1657567000, 0.2929448000, 0.6656558000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0323414000, 0.0404194000, 0.0594131000, 0.1079217000, 0.2385815000, 0.5908446000, 1.5009675000", \ - "0.0323423000, 0.0404312000, 0.0593451000, 0.1080446000, 0.2388070000, 0.5903845000, 1.5025118000", \ - "0.0324787000, 0.0404210000, 0.0595672000, 0.1081284000, 0.2391448000, 0.5897674000, 1.5031758000", \ - "0.0325516000, 0.0402523000, 0.0595018000, 0.1080947000, 0.2392571000, 0.5894505000, 1.5018193000", \ - "0.0343856000, 0.0423799000, 0.0612182000, 0.1093259000, 0.2394901000, 0.5902315000, 1.5018539000", \ - "0.0402081000, 0.0475238000, 0.0661920000, 0.1128646000, 0.2411590000, 0.5895654000, 1.5041848000", \ - "0.0534923000, 0.0616032000, 0.0803225000, 0.1227066000, 0.2449933000, 0.5921952000, 1.4975711000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0808450000, 0.0868613000, 0.1002365000, 0.1280713000, 0.1826674000, 0.3023801000, 0.6019281000", \ - "0.0861717000, 0.0921955000, 0.1055750000, 0.1334015000, 0.1879946000, 0.3076975000, 0.6068056000", \ - "0.0992612000, 0.1052819000, 0.1186234000, 0.1465040000, 0.2011525000, 0.3208771000, 0.6203900000", \ - "0.1304551000, 0.1364183000, 0.1497733000, 0.1777985000, 0.2325868000, 0.3524085000, 0.6521370000", \ - "0.1925025000, 0.1993347000, 0.2140682000, 0.2441361000, 0.3005396000, 0.4207849000, 0.7205003000", \ - "0.2896456000, 0.2984060000, 0.3171516000, 0.3544861000, 0.4194076000, 0.5448857000, 0.8448128000", \ - "0.4425027000, 0.4537789000, 0.4779105000, 0.5255842000, 0.6092351000, 0.7474067000, 1.0497856000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.1222732000, 0.1307630000, 0.1492248000, 0.1898328000, 0.2867042000, 0.5337688000, 1.1766449000", \ - "0.1261690000, 0.1345077000, 0.1530314000, 0.1937620000, 0.2903377000, 0.5379178000, 1.1772816000", \ - "0.1356143000, 0.1440957000, 0.1625520000, 0.2032333000, 0.3001911000, 0.5472862000, 1.1901761000", \ - "0.1582681000, 0.1666709000, 0.1852254000, 0.2260571000, 0.3227212000, 0.5704187000, 1.2093611000", \ - "0.2039936000, 0.2125239000, 0.2314560000, 0.2726541000, 0.3696141000, 0.6168093000, 1.2568023000", \ - "0.2670677000, 0.2761896000, 0.2959090000, 0.3379629000, 0.4358444000, 0.6841176000, 1.3244461000", \ - "0.3290591000, 0.3402710000, 0.3637687000, 0.4078496000, 0.5065882000, 0.7548343000, 1.3950148000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0205949000, 0.0257864000, 0.0381808000, 0.0638264000, 0.1189226000, 0.2612772000, 0.6579451000", \ - "0.0207003000, 0.0257703000, 0.0381169000, 0.0638557000, 0.1185070000, 0.2618243000, 0.6540283000", \ - "0.0206981000, 0.0258386000, 0.0382489000, 0.0637704000, 0.1188817000, 0.2613930000, 0.6575860000", \ - "0.0209007000, 0.0261508000, 0.0385371000, 0.0641744000, 0.1190351000, 0.2616869000, 0.6581118000", \ - "0.0261949000, 0.0312472000, 0.0433911000, 0.0685132000, 0.1208346000, 0.2627913000, 0.6576962000", \ - "0.0361705000, 0.0427839000, 0.0570347000, 0.0850105000, 0.1369480000, 0.2691424000, 0.6573872000", \ - "0.0512425000, 0.0588868000, 0.0770606000, 0.1139213000, 0.1691397000, 0.2891138000, 0.6553650000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012978100, 0.0033686200, 0.0087436600, 0.0226952000, 0.0589081000, 0.1529030000"); - values("0.0319698000, 0.0398459000, 0.0588559000, 0.1074848000, 0.2386552000, 0.5911447000, 1.5002801000", \ - "0.0321747000, 0.0398800000, 0.0588841000, 0.1075075000, 0.2387812000, 0.5892398000, 1.5027211000", \ - "0.0319908000, 0.0398886000, 0.0587087000, 0.1075113000, 0.2386680000, 0.5911467000, 1.5000419000", \ - "0.0320413000, 0.0396807000, 0.0587710000, 0.1074778000, 0.2391453000, 0.5896060000, 1.5013932000", \ - "0.0330914000, 0.0408500000, 0.0597867000, 0.1083703000, 0.2392318000, 0.5907711000, 1.4974048000", \ - "0.0378876000, 0.0456318000, 0.0632402000, 0.1106569000, 0.2411442000, 0.5893179000, 1.5048692000", \ - "0.0501213000, 0.0574001000, 0.0740849000, 0.1174110000, 0.2427932000, 0.5909403000, 1.5001748000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o221a_2") { - leakage_power () { - value : 0.0050991000; - when : "!A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0160364000; - when : "!A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0034899000; - when : "!A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0029880000; - when : "!A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0034935000; - when : "!A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0029889000; - when : "!A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0034983000; - when : "!A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0029878000; - when : "!A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0034718000; - when : "!A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0029841000; - when : "!A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0035029000; - when : "!A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0032831000; - when : "!A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0033635000; - when : "!A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0032831000; - when : "!A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0029589000; - when : "!A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0032831000; - when : "!A1&A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0034718000; - when : "A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0029841000; - when : "A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0033321000; - when : "A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0032830000; - when : "A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0031928000; - when : "A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0032831000; - when : "A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0027882000; - when : "A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0032831000; - when : "A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0034718000; - when : "A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0029841000; - when : "A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0029904000; - when : "A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0032831000; - when : "A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0028511000; - when : "A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0032831000; - when : "A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0024465000; - when : "A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0032831000; - when : "A1&A2&B1&B2&!C1"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__o221a"; - cell_leakage_power : 0.0036538670; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022460000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041836000, 0.0041855000, 0.0041898000, 0.0041904000, 0.0041917000, 0.0041949000, 0.0042022000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004184900, -0.004184600, -0.004183700, -0.004184700, -0.004186800, -0.004191800, -0.004203200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025020000; - } - pin ("A2") { - capacitance : 0.0023810000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022140000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040164000, 0.0040174000, 0.0040196000, 0.0040199000, 0.0040206000, 0.0040223000, 0.0040261000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004007700, -0.004008000, -0.004008700, -0.004009400, -0.004011200, -0.004015300, -0.004024800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025470000; - } - pin ("B1") { - capacitance : 0.0023330000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040027000, 0.0040079000, 0.0040197000, 0.0040225000, 0.0040289000, 0.0040437000, 0.0040779000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004007500, -0.004006400, -0.004003900, -0.004002900, -0.004000600, -0.003995300, -0.003983200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024180000; - } - pin ("B2") { - capacitance : 0.0023400000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040200000, 0.0040182000, 0.0040141000, 0.0040187000, 0.0040291000, 0.0040532000, 0.0041088000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004013700, -0.004013700, -0.004013800, -0.004014000, -0.004014400, -0.004015400, -0.004017700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024690000; - } - pin ("C1") { - capacitance : 0.0023120000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022530000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047518000, 0.0047531000, 0.0047562000, 0.0047562000, 0.0047563000, 0.0047564000, 0.0047568000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002594000, -0.002598900, -0.002610300, -0.002599300, -0.002574100, -0.002515900, -0.002381900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023710000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1&C1) | (A2&B1&C1) | (A1&B2&C1) | (A2&B2&C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014364120, 0.0041265620, 0.0118548900, 0.0340570200, 0.0978398700, 0.2810768000"); - values("0.0224227000, 0.0208523000, 0.0172393000, 0.0067191000, -0.027205100, -0.129642700, -0.426208500", \ - "0.0221860000, 0.0207078000, 0.0170980000, 0.0065879000, -0.027335100, -0.129749500, -0.426285300", \ - "0.0219638000, 0.0205212000, 0.0169444000, 0.0064101000, -0.027493800, -0.129904700, -0.426435300", \ - "0.0219337000, 0.0205083000, 0.0168470000, 0.0062830000, -0.027671200, -0.130103300, -0.426642500", \ - "0.0216218000, 0.0201362000, 0.0165652000, 0.0059842000, -0.027906700, -0.130297000, -0.426765400", \ - "0.0215730000, 0.0200924000, 0.0163985000, 0.0058225000, -0.027965500, -0.130297600, -0.426781800", \ - "0.0269669000, 0.0252892000, 0.0207354000, 0.0080823000, -0.027533600, -0.130234800, -0.426658200"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014364120, 0.0041265620, 0.0118548900, 0.0340570200, 0.0978398700, 0.2810768000"); - values("0.0223715000, 0.0240051000, 0.0286296000, 0.0414067000, 0.0771814000, 0.1790750000, 0.4721855000", \ - "0.0222477000, 0.0238883000, 0.0284304000, 0.0413110000, 0.0770790000, 0.1791588000, 0.4719920000", \ - "0.0220928000, 0.0237081000, 0.0283465000, 0.0412467000, 0.0770476000, 0.1790035000, 0.4723565000", \ - "0.0219512000, 0.0236107000, 0.0281981000, 0.0410632000, 0.0768410000, 0.1788823000, 0.4742176000", \ - "0.0218468000, 0.0234220000, 0.0280212000, 0.0408893000, 0.0767394000, 0.1788360000, 0.4740708000", \ - "0.0224208000, 0.0239920000, 0.0283857000, 0.0407587000, 0.0765504000, 0.1782532000, 0.4720473000", \ - "0.0229654000, 0.0244463000, 0.0287857000, 0.0412590000, 0.0770319000, 0.1792344000, 0.4709146000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014364120, 0.0041265620, 0.0118548900, 0.0340570200, 0.0978398700, 0.2810768000"); - values("0.0202710000, 0.0188042000, 0.0152321000, 0.0046696000, -0.029198300, -0.131421200, -0.427845900", \ - "0.0201392000, 0.0186807000, 0.0150323000, 0.0045439000, -0.029278200, -0.131490600, -0.428042700", \ - "0.0199465000, 0.0184922000, 0.0149010000, 0.0043854000, -0.029518300, -0.131757500, -0.428193100", \ - "0.0198478000, 0.0183881000, 0.0147405000, 0.0042537000, -0.029658800, -0.131983900, -0.428421800", \ - "0.0195180000, 0.0180441000, 0.0143975000, 0.0040400000, -0.029798900, -0.132087100, -0.428501900", \ - "0.0198248000, 0.0183175000, 0.0145459000, 0.0042558000, -0.029677000, -0.131945000, -0.428295700", \ - "0.0258057000, 0.0240511000, 0.0194197000, 0.0068181000, -0.029314000, -0.131502300, -0.427846700"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014364120, 0.0041265620, 0.0118548900, 0.0340570200, 0.0978398700, 0.2810768000"); - values("0.0209108000, 0.0225477000, 0.0271484000, 0.0401493000, 0.0762100000, 0.1788000000, 0.4721398000", \ - "0.0209291000, 0.0225799000, 0.0272407000, 0.0401381000, 0.0762546000, 0.1788206000, 0.4744314000", \ - "0.0208430000, 0.0224720000, 0.0270884000, 0.0401521000, 0.0761319000, 0.1788286000, 0.4722466000", \ - "0.0205887000, 0.0222281000, 0.0268652000, 0.0398721000, 0.0759636000, 0.1785063000, 0.4725240000", \ - "0.0204060000, 0.0219988000, 0.0265264000, 0.0394608000, 0.0755697000, 0.1781676000, 0.4718781000", \ - "0.0210074000, 0.0225320000, 0.0269691000, 0.0392249000, 0.0753260000, 0.1774253000, 0.4736567000", \ - "0.0212148000, 0.0227029000, 0.0270843000, 0.0397415000, 0.0754420000, 0.1780726000, 0.4705716000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014364120, 0.0041265620, 0.0118548900, 0.0340570200, 0.0978398700, 0.2810768000"); - values("0.0175277000, 0.0163575000, 0.0130928000, 0.0032350000, -0.029788800, -0.131888500, -0.428388700", \ - "0.0174251000, 0.0160948000, 0.0128831000, 0.0030433000, -0.029979900, -0.132000700, -0.428485800", \ - "0.0173776000, 0.0159574000, 0.0127354000, 0.0029328000, -0.030142700, -0.132196800, -0.428675500", \ - "0.0169661000, 0.0156375000, 0.0124757000, 0.0025970000, -0.030459600, -0.132467500, -0.428962100", \ - "0.0166911000, 0.0153517000, 0.0121889000, 0.0022576000, -0.030819900, -0.132777300, -0.429223900", \ - "0.0164551000, 0.0151267000, 0.0118604000, 0.0020051000, -0.031122000, -0.133042200, -0.429414500", \ - "0.0234716000, 0.0217989000, 0.0173183000, 0.0047154000, -0.030521100, -0.133114000, -0.429361700"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014364120, 0.0041265620, 0.0118548900, 0.0340570200, 0.0978398700, 0.2810768000"); - values("0.0221660000, 0.0237878000, 0.0283730000, 0.0413090000, 0.0770670000, 0.1794176000, 0.4727709000", \ - "0.0221476000, 0.0237704000, 0.0283885000, 0.0411586000, 0.0770424000, 0.1791295000, 0.4746517000", \ - "0.0220123000, 0.0236452000, 0.0282758000, 0.0410616000, 0.0768715000, 0.1789833000, 0.4722501000", \ - "0.0218177000, 0.0234402000, 0.0280336000, 0.0409141000, 0.0767728000, 0.1789736000, 0.4725757000", \ - "0.0218119000, 0.0233771000, 0.0279916000, 0.0407876000, 0.0766191000, 0.1788935000, 0.4744921000", \ - "0.0223692000, 0.0238777000, 0.0282058000, 0.0404284000, 0.0763347000, 0.1785320000, 0.4725241000", \ - "0.0231549000, 0.0246517000, 0.0288838000, 0.0413772000, 0.0770788000, 0.1796668000, 0.4730840000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014364120, 0.0041265620, 0.0118548900, 0.0340570200, 0.0978398700, 0.2810768000"); - values("0.0155363000, 0.0140310000, 0.0107960000, 0.0010579000, -0.032089000, -0.134057000, -0.430577900", \ - "0.0152300000, 0.0139032000, 0.0107459000, 0.0008349000, -0.032210000, -0.134219600, -0.430694700", \ - "0.0150671000, 0.0137368000, 0.0105381000, 0.0006680000, -0.032374600, -0.134391500, -0.430876500", \ - "0.0147994000, 0.0135258000, 0.0103239000, 0.0004552000, -0.032653500, -0.134664300, -0.431124400", \ - "0.0147208000, 0.0133824000, 0.0101201000, 0.0001669000, -0.032943300, -0.134921500, -0.431327900", \ - "0.0148555000, 0.0134327000, 0.0100142000, 2.170000e-05, -0.033159800, -0.135086600, -0.431410700", \ - "0.0223444000, 0.0206247000, 0.0159749000, 0.0032733000, -0.032591300, -0.134658200, -0.430859700"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014364120, 0.0041265620, 0.0118548900, 0.0340570200, 0.0978398700, 0.2810768000"); - values("0.0208615000, 0.0224843000, 0.0270507000, 0.0400062000, 0.0757779000, 0.1779532000, 0.4708705000", \ - "0.0208337000, 0.0224600000, 0.0271026000, 0.0399614000, 0.0757455000, 0.1779748000, 0.4716455000", \ - "0.0208012000, 0.0224125000, 0.0270402000, 0.0398630000, 0.0757322000, 0.1779829000, 0.4716622000", \ - "0.0205251000, 0.0221508000, 0.0267849000, 0.0397011000, 0.0754680000, 0.1777866000, 0.4709738000", \ - "0.0203123000, 0.0218810000, 0.0264919000, 0.0393289000, 0.0751787000, 0.1772910000, 0.4731010000", \ - "0.0208344000, 0.0223426000, 0.0267467000, 0.0390488000, 0.0746654000, 0.1768774000, 0.4703000000", \ - "0.0215520000, 0.0229965000, 0.0272524000, 0.0397310000, 0.0755080000, 0.1776880000, 0.4710246000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014364120, 0.0041265620, 0.0118548900, 0.0340570200, 0.0978398700, 0.2810768000"); - values("0.0134561000, 0.0125814000, 0.0101300000, 0.0005059000, -0.033470400, -0.136338700, -0.433097200", \ - "0.0133725000, 0.0124176000, 0.0100231000, 0.0003706000, -0.033540000, -0.136424700, -0.433185500", \ - "0.0131164000, 0.0122159000, 0.0097702000, 0.0001033000, -0.033820200, -0.136690900, -0.433449300", \ - "0.0129175000, 0.0119803000, 0.0094375000, -0.000274600, -0.034196000, -0.136968300, -0.433696100", \ - "0.0127406000, 0.0116536000, 0.0089016000, -0.000728500, -0.034513100, -0.137155700, -0.433790300", \ - "0.0172167000, 0.0157019000, 0.0113972000, -0.000938100, -0.034513200, -0.136982300, -0.433518800", \ - "0.0208266000, 0.0191734000, 0.0146547000, 0.0021396000, -0.033495200, -0.136062400, -0.432393500"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014364120, 0.0041265620, 0.0118548900, 0.0340570200, 0.0978398700, 0.2810768000"); - values("0.0208553000, 0.0224679000, 0.0270715000, 0.0399470000, 0.0757780000, 0.1779977000, 0.4736305000", \ - "0.0207018000, 0.0223024000, 0.0269825000, 0.0398344000, 0.0757183000, 0.1779982000, 0.4715956000", \ - "0.0206048000, 0.0221765000, 0.0268102000, 0.0397456000, 0.0755232000, 0.1777270000, 0.4711962000", \ - "0.0203135000, 0.0219386000, 0.0265658000, 0.0394855000, 0.0753303000, 0.1776725000, 0.4733225000", \ - "0.0202441000, 0.0218035000, 0.0264025000, 0.0391370000, 0.0750101000, 0.1775035000, 0.4708548000", \ - "0.0209495000, 0.0224570000, 0.0268473000, 0.0390970000, 0.0748206000, 0.1771976000, 0.4713600000", \ - "0.0223783000, 0.0238381000, 0.0282807000, 0.0407053000, 0.0763331000, 0.1786383000, 0.4718650000"); - } - } - max_capacitance : 0.2810770000; - max_transition : 1.5027830000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.2479300000, 0.2547791000, 0.2700935000, 0.2994344000, 0.3551064000, 0.4710395000, 0.7671129000", \ - "0.2531462000, 0.2601304000, 0.2752578000, 0.3047227000, 0.3605066000, 0.4763214000, 0.7724739000", \ - "0.2660491000, 0.2729861000, 0.2881859000, 0.3175637000, 0.3733831000, 0.4892325000, 0.7855002000", \ - "0.2934205000, 0.3004826000, 0.3156959000, 0.3451593000, 0.4007047000, 0.5171076000, 0.8133037000", \ - "0.3565371000, 0.3633734000, 0.3785470000, 0.4078742000, 0.4636594000, 0.5806586000, 0.8770653000", \ - "0.4961860000, 0.5034764000, 0.5192573000, 0.5493310000, 0.6054631000, 0.7243282000, 1.0207772000", \ - "0.7516818000, 0.7604062000, 0.7791304000, 0.8140523000, 0.8762931000, 1.0015428000, 1.3004340000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.1529854000, 0.1601745000, 0.1766109000, 0.2131126000, 0.2995836000, 0.5344957000, 1.2069955000", \ - "0.1573230000, 0.1645534000, 0.1809575000, 0.2175139000, 0.3038980000, 0.5392758000, 1.2126999000", \ - "0.1661582000, 0.1732784000, 0.1898437000, 0.2262783000, 0.3129607000, 0.5488228000, 1.2211431000", \ - "0.1833611000, 0.1905189000, 0.2070107000, 0.2435430000, 0.3300815000, 0.5657069000, 1.2403831000", \ - "0.2176821000, 0.2249904000, 0.2417413000, 0.2784378000, 0.3653600000, 0.6015394000, 1.2771910000", \ - "0.2728678000, 0.2808950000, 0.2991431000, 0.3379019000, 0.4265204000, 0.6627884000, 1.3367722000", \ - "0.3345584000, 0.3445688000, 0.3666838000, 0.4101910000, 0.5026343000, 0.7393761000, 1.4130704000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0346998000, 0.0386219000, 0.0481356000, 0.0675337000, 0.1130086000, 0.2378128000, 0.6146246000", \ - "0.0348295000, 0.0389814000, 0.0475188000, 0.0667016000, 0.1127574000, 0.2375280000, 0.6142062000", \ - "0.0346037000, 0.0389152000, 0.0478430000, 0.0666635000, 0.1127601000, 0.2375698000, 0.6150903000", \ - "0.0348815000, 0.0386710000, 0.0474187000, 0.0672031000, 0.1127403000, 0.2376589000, 0.6143948000", \ - "0.0347510000, 0.0385973000, 0.0475592000, 0.0676591000, 0.1129146000, 0.2361213000, 0.6159505000", \ - "0.0379846000, 0.0414585000, 0.0503977000, 0.0690024000, 0.1148025000, 0.2382358000, 0.6177853000", \ - "0.0486465000, 0.0527088000, 0.0623334000, 0.0823118000, 0.1290557000, 0.2477476000, 0.6171309000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0282472000, 0.0342699000, 0.0487658000, 0.0873426000, 0.1981902000, 0.5318311000, 1.4975340000", \ - "0.0284568000, 0.0343521000, 0.0490726000, 0.0873405000, 0.1985641000, 0.5323296000, 1.4980704000", \ - "0.0283532000, 0.0343246000, 0.0488717000, 0.0872703000, 0.1984655000, 0.5323548000, 1.4984054000", \ - "0.0283266000, 0.0341037000, 0.0489251000, 0.0872747000, 0.1985029000, 0.5329818000, 1.5026907000", \ - "0.0292441000, 0.0351557000, 0.0496304000, 0.0878531000, 0.1987544000, 0.5327688000, 1.4996794000", \ - "0.0332646000, 0.0396226000, 0.0543322000, 0.0920302000, 0.2010005000, 0.5321764000, 1.5003037000", \ - "0.0433462000, 0.0502761000, 0.0658057000, 0.1026164000, 0.2071001000, 0.5338516000, 1.4976375000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.2329734000, 0.2399616000, 0.2550402000, 0.2844209000, 0.3402453000, 0.4566373000, 0.7531650000", \ - "0.2367818000, 0.2438109000, 0.2588935000, 0.2882649000, 0.3439599000, 0.4604288000, 0.7568104000", \ - "0.2473594000, 0.2543969000, 0.2694517000, 0.2988400000, 0.3546675000, 0.4713690000, 0.7678160000", \ - "0.2745865000, 0.2815230000, 0.2966628000, 0.3258394000, 0.3816473000, 0.4979509000, 0.7942232000", \ - "0.3432708000, 0.3502191000, 0.3653929000, 0.3939711000, 0.4495736000, 0.5668118000, 0.8633905000", \ - "0.5002107000, 0.5077463000, 0.5236716000, 0.5536444000, 0.6100758000, 0.7270546000, 1.0234771000", \ - "0.7706468000, 0.7798514000, 0.8010003000, 0.8396237000, 0.9049078000, 1.0284948000, 1.3275774000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.1453370000, 0.1525692000, 0.1692858000, 0.2063098000, 0.2936511000, 0.5296992000, 1.2038960000", \ - "0.1503209000, 0.1575734000, 0.1743369000, 0.2112191000, 0.2986814000, 0.5350523000, 1.2103587000", \ - "0.1597705000, 0.1670069000, 0.1837369000, 0.2207631000, 0.3081162000, 0.5444135000, 1.2189132000", \ - "0.1776444000, 0.1848871000, 0.2016149000, 0.2386087000, 0.3260524000, 0.5630434000, 1.2366466000", \ - "0.2133488000, 0.2208462000, 0.2377574000, 0.2750420000, 0.3626295000, 0.5995950000, 1.2727884000", \ - "0.2700010000, 0.2783620000, 0.2970205000, 0.3365140000, 0.4257726000, 0.6626669000, 1.3367847000", \ - "0.3336809000, 0.3441861000, 0.3673116000, 0.4125917000, 0.5059135000, 0.7436846000, 1.4174378000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0348331000, 0.0389293000, 0.0475215000, 0.0665778000, 0.1128259000, 0.2367059000, 0.6155974000", \ - "0.0349836000, 0.0387827000, 0.0474244000, 0.0676007000, 0.1120839000, 0.2372740000, 0.6138935000", \ - "0.0347022000, 0.0389363000, 0.0475398000, 0.0675774000, 0.1129360000, 0.2369185000, 0.6144151000", \ - "0.0346998000, 0.0388976000, 0.0473980000, 0.0671125000, 0.1128957000, 0.2375936000, 0.6143396000", \ - "0.0349729000, 0.0385580000, 0.0473251000, 0.0666805000, 0.1132121000, 0.2366125000, 0.6166733000", \ - "0.0391102000, 0.0428720000, 0.0511433000, 0.0693256000, 0.1142117000, 0.2383129000, 0.6144988000", \ - "0.0569081000, 0.0617365000, 0.0725820000, 0.0909837000, 0.1316618000, 0.2484815000, 0.6188844000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0285754000, 0.0343850000, 0.0493123000, 0.0875351000, 0.1979788000, 0.5318953000, 1.4979925000", \ - "0.0285218000, 0.0343658000, 0.0491336000, 0.0874398000, 0.1984536000, 0.5326199000, 1.5016995000", \ - "0.0286905000, 0.0345247000, 0.0491011000, 0.0874900000, 0.1980897000, 0.5320371000, 1.4989509000", \ - "0.0284766000, 0.0343864000, 0.0490396000, 0.0872908000, 0.1982697000, 0.5310442000, 1.5011419000", \ - "0.0296185000, 0.0354929000, 0.0500765000, 0.0881029000, 0.1983175000, 0.5321759000, 1.4979877000", \ - "0.0341530000, 0.0402563000, 0.0555200000, 0.0931465000, 0.2013996000, 0.5316524000, 1.5000807000", \ - "0.0459148000, 0.0526539000, 0.0688321000, 0.1052995000, 0.2082730000, 0.5329411000, 1.4936531000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.2084661000, 0.2151866000, 0.2304115000, 0.2614403000, 0.3227759000, 0.4459808000, 0.7448824000", \ - "0.2140029000, 0.2207707000, 0.2359423000, 0.2669193000, 0.3286385000, 0.4512719000, 0.7502397000", \ - "0.2264602000, 0.2332580000, 0.2484179000, 0.2794293000, 0.3407978000, 0.4639889000, 0.7628110000", \ - "0.2532672000, 0.2600239000, 0.2752033000, 0.3062227000, 0.3675827000, 0.4911711000, 0.7899406000", \ - "0.3150037000, 0.3217947000, 0.3369895000, 0.3679572000, 0.4296009000, 0.5532269000, 0.8520666000", \ - "0.4465403000, 0.4538866000, 0.4700479000, 0.5028307000, 0.5664362000, 0.6905350000, 0.9895977000", \ - "0.6758758000, 0.6843318000, 0.7039763000, 0.7421321000, 0.8135548000, 0.9493951000, 1.2537459000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.1449314000, 0.1520658000, 0.1686105000, 0.2051211000, 0.2917937000, 0.5275133000, 1.2017958000", \ - "0.1492484000, 0.1563960000, 0.1729445000, 0.2093500000, 0.2960447000, 0.5318285000, 1.2063843000", \ - "0.1586916000, 0.1658584000, 0.1823271000, 0.2188250000, 0.3053971000, 0.5407276000, 1.2138909000", \ - "0.1796305000, 0.1867930000, 0.2032962000, 0.2397707000, 0.3265872000, 0.5629778000, 1.2356119000", \ - "0.2249656000, 0.2322775000, 0.2489927000, 0.2857112000, 0.3725623000, 0.6084858000, 1.2828165000", \ - "0.2953630000, 0.3036199000, 0.3219521000, 0.3607026000, 0.4491455000, 0.6856603000, 1.3598916000", \ - "0.3708114000, 0.3812727000, 0.4040235000, 0.4478941000, 0.5396701000, 0.7763973000, 1.4504028000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0318680000, 0.0365598000, 0.0462985000, 0.0697248000, 0.1210914000, 0.2450541000, 0.6180946000", \ - "0.0319103000, 0.0361069000, 0.0462586000, 0.0700224000, 0.1210356000, 0.2444814000, 0.6182677000", \ - "0.0318892000, 0.0361175000, 0.0463153000, 0.0698320000, 0.1211922000, 0.2442016000, 0.6171220000", \ - "0.0319307000, 0.0361400000, 0.0465159000, 0.0697948000, 0.1211225000, 0.2445893000, 0.6165828000", \ - "0.0320529000, 0.0362246000, 0.0462322000, 0.0696919000, 0.1210183000, 0.2445068000, 0.6165719000", \ - "0.0366115000, 0.0412574000, 0.0514284000, 0.0746597000, 0.1247431000, 0.2461456000, 0.6167068000", \ - "0.0482737000, 0.0535655000, 0.0647274000, 0.0900089000, 0.1444768000, 0.2630052000, 0.6208921000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0283889000, 0.0342986000, 0.0489216000, 0.0871691000, 0.1983775000, 0.5323777000, 1.5006858000", \ - "0.0282951000, 0.0341333000, 0.0489606000, 0.0872432000, 0.1985712000, 0.5317946000, 1.5016236000", \ - "0.0284153000, 0.0342409000, 0.0488275000, 0.0872899000, 0.1981241000, 0.5319537000, 1.4968654000", \ - "0.0285828000, 0.0343699000, 0.0488450000, 0.0872401000, 0.1983808000, 0.5322731000, 1.4992920000", \ - "0.0296711000, 0.0351385000, 0.0497011000, 0.0877233000, 0.1986614000, 0.5322008000, 1.5015522000", \ - "0.0348562000, 0.0408068000, 0.0556897000, 0.0923436000, 0.2011529000, 0.5325336000, 1.5008484000", \ - "0.0471297000, 0.0537712000, 0.0682711000, 0.1042702000, 0.2060813000, 0.5344826000, 1.4978554000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.1932596000, 0.2001035000, 0.2152379000, 0.2462481000, 0.3076160000, 0.4307938000, 0.7295438000", \ - "0.1973551000, 0.2041377000, 0.2193539000, 0.2502999000, 0.3119974000, 0.4351035000, 0.7341055000", \ - "0.2080450000, 0.2148260000, 0.2299705000, 0.2610060000, 0.3227560000, 0.4455126000, 0.7444597000", \ - "0.2355532000, 0.2423343000, 0.2574481000, 0.2886320000, 0.3497844000, 0.4732923000, 0.7722862000", \ - "0.3032053000, 0.3099180000, 0.3250842000, 0.3553899000, 0.4177029000, 0.5411884000, 0.8402122000", \ - "0.4506491000, 0.4582864000, 0.4750558000, 0.5080752000, 0.5722993000, 0.6971903000, 0.9961314000", \ - "0.6896877000, 0.6995016000, 0.7213480000, 0.7635937000, 0.8409413000, 0.9780675000, 1.2824630000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.1396960000, 0.1470146000, 0.1638782000, 0.2011244000, 0.2883219000, 0.5237666000, 1.1971232000", \ - "0.1442710000, 0.1516372000, 0.1686148000, 0.2057218000, 0.2929676000, 0.5291854000, 1.2030447000", \ - "0.1538979000, 0.1611923000, 0.1782069000, 0.2152534000, 0.3026722000, 0.5389443000, 1.2121069000", \ - "0.1741828000, 0.1815443000, 0.1984746000, 0.2356856000, 0.3228891000, 0.5587630000, 1.2326802000", \ - "0.2172632000, 0.2248683000, 0.2421333000, 0.2797464000, 0.3673407000, 0.6031592000, 1.2769794000", \ - "0.2837754000, 0.2923822000, 0.3112433000, 0.3510303000, 0.4405843000, 0.6776347000, 1.3516842000", \ - "0.3542208000, 0.3651147000, 0.3888060000, 0.4343530000, 0.5277439000, 0.7652251000, 1.4387347000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0319943000, 0.0362227000, 0.0462178000, 0.0699130000, 0.1212501000, 0.2445826000, 0.6165717000", \ - "0.0319290000, 0.0362516000, 0.0464466000, 0.0700856000, 0.1208401000, 0.2440183000, 0.6178882000", \ - "0.0319102000, 0.0362066000, 0.0466096000, 0.0699886000, 0.1208672000, 0.2449602000, 0.6156665000", \ - "0.0318126000, 0.0362498000, 0.0462116000, 0.0697163000, 0.1209497000, 0.2441940000, 0.6182883000", \ - "0.0318057000, 0.0360420000, 0.0466082000, 0.0697910000, 0.1208008000, 0.2440917000, 0.6181475000", \ - "0.0394958000, 0.0444827000, 0.0537181000, 0.0764635000, 0.1256045000, 0.2468380000, 0.6163260000", \ - "0.0578782000, 0.0638609000, 0.0766227000, 0.1013070000, 0.1519399000, 0.2664060000, 0.6226696000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0292274000, 0.0351661000, 0.0500501000, 0.0885246000, 0.1989539000, 0.5322746000, 1.4973009000", \ - "0.0292648000, 0.0352171000, 0.0498792000, 0.0883275000, 0.1993770000, 0.5318646000, 1.5012344000", \ - "0.0290454000, 0.0348850000, 0.0499420000, 0.0884405000, 0.1992485000, 0.5319362000, 1.5014732000", \ - "0.0291089000, 0.0350610000, 0.0498336000, 0.0885174000, 0.1992728000, 0.5323618000, 1.4987900000", \ - "0.0306579000, 0.0363538000, 0.0509565000, 0.0892442000, 0.1995625000, 0.5309174000, 1.5011891000", \ - "0.0363713000, 0.0424996000, 0.0574217000, 0.0943039000, 0.2025998000, 0.5327642000, 1.4975380000", \ - "0.0494154000, 0.0562919000, 0.0712256000, 0.1077387000, 0.2090116000, 0.5334813000, 1.4937972000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0905421000, 0.0954068000, 0.1066056000, 0.1309260000, 0.1815962000, 0.2922805000, 0.5848953000", \ - "0.0958608000, 0.1006129000, 0.1117544000, 0.1362057000, 0.1868723000, 0.2975565000, 0.5903256000", \ - "0.1092139000, 0.1140435000, 0.1250772000, 0.1495471000, 0.2002422000, 0.3109571000, 0.6036346000", \ - "0.1405858000, 0.1453828000, 0.1564080000, 0.1808074000, 0.2316098000, 0.3423246000, 0.6349267000", \ - "0.2081652000, 0.2134539000, 0.2254555000, 0.2505631000, 0.3023407000, 0.4135600000, 0.7062984000", \ - "0.3174307000, 0.3242980000, 0.3396160000, 0.3711556000, 0.4321573000, 0.5491660000, 0.8426242000", \ - "0.4885850000, 0.4974665000, 0.5173101000, 0.5573998000, 0.6363111000, 0.7697025000, 1.0687080000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.1333823000, 0.1405056000, 0.1570338000, 0.1934664000, 0.2802688000, 0.5165507000, 1.1920074000", \ - "0.1370471000, 0.1441923000, 0.1607384000, 0.1971740000, 0.2840347000, 0.5206071000, 1.1937654000", \ - "0.1463495000, 0.1534499000, 0.1700090000, 0.2065799000, 0.2932712000, 0.5289504000, 1.2024268000", \ - "0.1688420000, 0.1760198000, 0.1926407000, 0.2291565000, 0.3159989000, 0.5522625000, 1.2278577000", \ - "0.2189263000, 0.2261972000, 0.2428399000, 0.2795916000, 0.3662906000, 0.6025720000, 1.2770975000", \ - "0.2899068000, 0.2980733000, 0.3160158000, 0.3542399000, 0.4421091000, 0.6793208000, 1.3534549000", \ - "0.3633621000, 0.3739352000, 0.3970826000, 0.4395457000, 0.5299582000, 0.7658872000, 1.4408097000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0183951000, 0.0220063000, 0.0309638000, 0.0528601000, 0.1001444000, 0.2250579000, 0.6116308000", \ - "0.0185417000, 0.0220645000, 0.0310230000, 0.0526580000, 0.1004084000, 0.2252904000, 0.6115853000", \ - "0.0185163000, 0.0220652000, 0.0309670000, 0.0528386000, 0.1003763000, 0.2248830000, 0.6115803000", \ - "0.0185223000, 0.0220710000, 0.0310136000, 0.0528065000, 0.1002613000, 0.2251576000, 0.6079141000", \ - "0.0225889000, 0.0261460000, 0.0344991000, 0.0554576000, 0.1021183000, 0.2256365000, 0.6116186000", \ - "0.0330621000, 0.0370436000, 0.0475924000, 0.0708158000, 0.1176479000, 0.2333631000, 0.6117267000", \ - "0.0487079000, 0.0540115000, 0.0666599000, 0.0957571000, 0.1509315000, 0.2590147000, 0.6130775000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014364100, 0.0041265600, 0.0118549000, 0.0340570000, 0.0978399000, 0.2810770000"); - values("0.0286152000, 0.0344308000, 0.0489635000, 0.0872391000, 0.1983688000, 0.5325537000, 1.5024346000", \ - "0.0286164000, 0.0343539000, 0.0488441000, 0.0872470000, 0.1984381000, 0.5322602000, 1.4983041000", \ - "0.0284231000, 0.0344437000, 0.0488975000, 0.0873012000, 0.1981592000, 0.5317305000, 1.4967806000", \ - "0.0283716000, 0.0341168000, 0.0489566000, 0.0871241000, 0.1985119000, 0.5331728000, 1.5027827000", \ - "0.0293429000, 0.0350291000, 0.0495629000, 0.0879991000, 0.1987486000, 0.5323489000, 1.4987528000", \ - "0.0358792000, 0.0412428000, 0.0554589000, 0.0918081000, 0.2012068000, 0.5316839000, 1.5017865000", \ - "0.0487254000, 0.0553252000, 0.0701620000, 0.1035436000, 0.2053860000, 0.5349822000, 1.4954920000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o221a_4") { - leakage_power () { - value : 0.0420802000; - when : "!A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0267047000; - when : "!A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0051139000; - when : "!A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0038426000; - when : "!A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0051092000; - when : "!A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0040363000; - when : "!A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0050467000; - when : "!A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0268305000; - when : "!A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0050716000; - when : "!A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0038347000; - when : "!A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0063420000; - when : "!A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0045016000; - when : "!A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0060504000; - when : "!A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0045017000; - when : "!A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0055027000; - when : "!A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0045016000; - when : "!A1&A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0050716000; - when : "A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0038347000; - when : "A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0060568000; - when : "A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0045015000; - when : "A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0057653000; - when : "A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0045018000; - when : "A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0056302000; - when : "A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0045016000; - when : "A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0050717000; - when : "A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0038148000; - when : "A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0055691000; - when : "A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0044817000; - when : "A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0052766000; - when : "A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0044817000; - when : "A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0047259000; - when : "A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0044697000; - when : "A1&A2&B1&B2&!C1"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__o221a"; - cell_leakage_power : 0.0074007920; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0048640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0084025000, 0.0084029000, 0.0084039000, 0.0084054000, 0.0084087000, 0.0084165000, 0.0084343000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008416600, -0.008406100, -0.008381800, -0.008377200, -0.008366500, -0.008341700, -0.008284600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0051400000; - } - pin ("A2") { - capacitance : 0.0043010000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040010000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075374000, 0.0075337000, 0.0075253000, 0.0075260000, 0.0075276000, 0.0075313000, 0.0075399000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007512300, -0.007513000, -0.007514500, -0.007514300, -0.007513900, -0.007512900, -0.007510400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046000000; - } - pin ("B1") { - capacitance : 0.0047430000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045640000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080839000, 0.0080918000, 0.0081100000, 0.0081117000, 0.0081156000, 0.0081245000, 0.0081451000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008124100, -0.008114500, -0.008092200, -0.008090200, -0.008085500, -0.008074800, -0.008049900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0049220000; - } - pin ("B2") { - capacitance : 0.0042740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040300000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075660000, 0.0075591000, 0.0075431000, 0.0075477000, 0.0075582000, 0.0075825000, 0.0076384000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007567800, -0.007566400, -0.007563200, -0.007560900, -0.007555500, -0.007543400, -0.007515100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045180000; - } - pin ("C1") { - capacitance : 0.0043050000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041890000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0089922000, 0.0089913000, 0.0089892000, 0.0089932000, 0.0090023000, 0.0090234000, 0.0090722000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004444000, -0.004452200, -0.004470900, -0.004446600, -0.004390600, -0.004261400, -0.003963400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044210000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1&C1) | (A2&B1&C1) | (A1&B2&C1) | (A2&B2&C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015747420, 0.0049596280, 0.0156202700, 0.0491958200, 0.1549415000, 0.4879859000"); - values("0.0447872000, 0.0430985000, 0.0379007000, 0.0234003000, -0.026594600, -0.195708000, -0.734429100", \ - "0.0444340000, 0.0427536000, 0.0376217000, 0.0230899000, -0.026888400, -0.195954500, -0.734625000", \ - "0.0441151000, 0.0423394000, 0.0371654000, 0.0227295000, -0.026998300, -0.196163600, -0.735094500", \ - "0.0437424000, 0.0419835000, 0.0367940000, 0.0223150000, -0.027645000, -0.196738100, -0.735449000", \ - "0.0432487000, 0.0414405000, 0.0362765000, 0.0218229000, -0.028147100, -0.197183500, -0.735834800", \ - "0.0432350000, 0.0415391000, 0.0363027000, 0.0216364000, -0.028475100, -0.197260700, -0.735942800", \ - "0.0515092000, 0.0495618000, 0.0437295000, 0.0262359000, -0.028091700, -0.196886100, -0.735504200"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015747420, 0.0049596280, 0.0156202700, 0.0491958200, 0.1549415000, 0.4879859000"); - values("0.0398328000, 0.0416796000, 0.0475192000, 0.0654147000, 0.1197149000, 0.2887943000, 0.8218226000", \ - "0.0396451000, 0.0414810000, 0.0471975000, 0.0651316000, 0.1194779000, 0.2885478000, 0.8223691000", \ - "0.0393601000, 0.0411335000, 0.0469222000, 0.0649407000, 0.1192262000, 0.2883678000, 0.8215471000", \ - "0.0389835000, 0.0408227000, 0.0466340000, 0.0645271000, 0.1188899000, 0.2880265000, 0.8214555000", \ - "0.0387649000, 0.0405388000, 0.0462745000, 0.0640821000, 0.1186477000, 0.2880490000, 0.8203150000", \ - "0.0394812000, 0.0412276000, 0.0468258000, 0.0637683000, 0.1183781000, 0.2871459000, 0.8211964000", \ - "0.0404994000, 0.0421950000, 0.0476513000, 0.0646337000, 0.1192595000, 0.2880250000, 0.8195723000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015747420, 0.0049596280, 0.0156202700, 0.0491958200, 0.1549415000, 0.4879859000"); - values("0.0405791000, 0.0393568000, 0.0336464000, 0.0191958000, -0.030772300, -0.199256000, -0.738038300", \ - "0.0404240000, 0.0387056000, 0.0336595000, 0.0190721000, -0.030822100, -0.199719400, -0.738194600", \ - "0.0399910000, 0.0381885000, 0.0331436000, 0.0185628000, -0.031346400, -0.199839600, -0.738380100", \ - "0.0396607000, 0.0378845000, 0.0327122000, 0.0182379000, -0.031666200, -0.200502600, -0.738979000", \ - "0.0391683000, 0.0373870000, 0.0322830000, 0.0177316000, -0.032133100, -0.200908100, -0.739301200", \ - "0.0409208000, 0.0391835000, 0.0337153000, 0.0188224000, -0.031537600, -0.200448300, -0.738962900", \ - "0.0507383000, 0.0486999000, 0.0426402000, 0.0247080000, -0.029656000, -0.199166900, -0.737704500"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015747420, 0.0049596280, 0.0156202700, 0.0491958200, 0.1549415000, 0.4879859000"); - values("0.0359623000, 0.0377911000, 0.0435521000, 0.0616208000, 0.1163220000, 0.2856546000, 0.8194625000", \ - "0.0360420000, 0.0378830000, 0.0436394000, 0.0616455000, 0.1163825000, 0.2858155000, 0.8193831000", \ - "0.0359217000, 0.0377057000, 0.0434971000, 0.0616214000, 0.1161876000, 0.2856415000, 0.8194190000", \ - "0.0355278000, 0.0373102000, 0.0431669000, 0.0611837000, 0.1158668000, 0.2855275000, 0.8191388000", \ - "0.0351759000, 0.0370649000, 0.0427693000, 0.0606198000, 0.1153701000, 0.2850613000, 0.8187969000", \ - "0.0360174000, 0.0378133000, 0.0433657000, 0.0603531000, 0.1149406000, 0.2837669000, 0.8183669000", \ - "0.0367673000, 0.0384837000, 0.0439279000, 0.0609391000, 0.1156621000, 0.2848676000, 0.8160136000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015747420, 0.0049596280, 0.0156202700, 0.0491958200, 0.1549415000, 0.4879859000"); - values("0.0344520000, 0.0329294000, 0.0282836000, 0.0153997000, -0.032709900, -0.200706500, -0.739435800", \ - "0.0343359000, 0.0326858000, 0.0283007000, 0.0152771000, -0.032880600, -0.200909700, -0.739620100", \ - "0.0339264000, 0.0324843000, 0.0278789000, 0.0149826000, -0.033121100, -0.201317000, -0.740082800", \ - "0.0333957000, 0.0317662000, 0.0270645000, 0.0142179000, -0.033852000, -0.201847700, -0.740572100", \ - "0.0328441000, 0.0312040000, 0.0265666000, 0.0135405000, -0.034619400, -0.202548700, -0.741144500", \ - "0.0326131000, 0.0308244000, 0.0261095000, 0.0131382000, -0.035150900, -0.202955700, -0.741384300", \ - "0.0453405000, 0.0433990000, 0.0375526000, 0.0201277000, -0.034026100, -0.203125000, -0.741304200"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015747420, 0.0049596280, 0.0156202700, 0.0491958200, 0.1549415000, 0.4879859000"); - values("0.0395566000, 0.0413533000, 0.0471093000, 0.0651245000, 0.1194461000, 0.2889725000, 0.8229751000", \ - "0.0394334000, 0.0412068000, 0.0470049000, 0.0649578000, 0.1194195000, 0.2887903000, 0.8218413000", \ - "0.0391534000, 0.0409320000, 0.0467296000, 0.0645704000, 0.1191522000, 0.2884167000, 0.8227426000", \ - "0.0387275000, 0.0405237000, 0.0462909000, 0.0642449000, 0.1186680000, 0.2882394000, 0.8222127000", \ - "0.0385661000, 0.0403680000, 0.0460662000, 0.0639599000, 0.1184425000, 0.2883124000, 0.8209640000", \ - "0.0395095000, 0.0412422000, 0.0465771000, 0.0636280000, 0.1181895000, 0.2874315000, 0.8216298000", \ - "0.0409110000, 0.0425899000, 0.0480386000, 0.0649335000, 0.1193541000, 0.2890004000, 0.8192970000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015747420, 0.0049596280, 0.0156202700, 0.0491958200, 0.1549415000, 0.4879859000"); - values("0.0301549000, 0.0284994000, 0.0240855000, 0.0109294000, -0.037150700, -0.205092300, -0.743738100", \ - "0.0299268000, 0.0282752000, 0.0238344000, 0.0107367000, -0.037309800, -0.205263600, -0.743982600", \ - "0.0296442000, 0.0280238000, 0.0235084000, 0.0104805000, -0.037651300, -0.205609200, -0.744305500", \ - "0.0291812000, 0.0274739000, 0.0229143000, 0.0098734000, -0.038272400, -0.206196300, -0.744848600", \ - "0.0289354000, 0.0272387000, 0.0225811000, 0.0093718000, -0.038891500, -0.206806100, -0.745312200", \ - "0.0291349000, 0.0273461000, 0.0224501000, 0.0093433000, -0.038274500, -0.206302300, -0.744868200", \ - "0.0432485000, 0.0412188000, 0.0351799000, 0.0174721000, -0.036750600, -0.205641600, -0.743734800"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015747420, 0.0049596280, 0.0156202700, 0.0491958200, 0.1549415000, 0.4879859000"); - values("0.0360149000, 0.0378626000, 0.0436547000, 0.0615468000, 0.1161678000, 0.2854119000, 0.8196605000", \ - "0.0360904000, 0.0378812000, 0.0436874000, 0.0615165000, 0.1161010000, 0.2852345000, 0.8184085000", \ - "0.0358862000, 0.0377006000, 0.0434632000, 0.0614650000, 0.1159825000, 0.2854564000, 0.8193988000", \ - "0.0355257000, 0.0373095000, 0.0431461000, 0.0611004000, 0.1156402000, 0.2853729000, 0.8189805000", \ - "0.0354486000, 0.0372710000, 0.0429276000, 0.0605615000, 0.1151632000, 0.2847213000, 0.8178017000", \ - "0.0361588000, 0.0378981000, 0.0434048000, 0.0604716000, 0.1143614000, 0.2840342000, 0.8184888000", \ - "0.0372812000, 0.0389787000, 0.0443365000, 0.0616226000, 0.1159054000, 0.2858666000, 0.8152930000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015747420, 0.0049596280, 0.0156202700, 0.0491958200, 0.1549415000, 0.4879859000"); - values("0.0257862000, 0.0247535000, 0.0218052000, 0.0098406000, -0.039627100, -0.209654000, -0.748976700", \ - "0.0257574000, 0.0245656000, 0.0216653000, 0.0096952000, -0.039739700, -0.209774700, -0.749107700", \ - "0.0254013000, 0.0242666000, 0.0213042000, 0.0093689000, -0.040074300, -0.210185700, -0.749486600", \ - "0.0250901000, 0.0238395000, 0.0206366000, 0.0084446000, -0.040946900, -0.210767600, -0.749963400", \ - "0.0242694000, 0.0228819000, 0.0192861000, 0.0072228000, -0.041958000, -0.211368000, -0.750391500", \ - "0.0325007000, 0.0307211000, 0.0252753000, 0.0085144000, -0.042243400, -0.210980800, -0.749787000", \ - "0.0395491000, 0.0376008000, 0.0318320000, 0.0143619000, -0.039219600, -0.209262100, -0.747299400"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015747420, 0.0049596280, 0.0156202700, 0.0491958200, 0.1549415000, 0.4879859000"); - values("0.0359191000, 0.0376957000, 0.0434756000, 0.0614367000, 0.1160044000, 0.2856048000, 0.8204210000", \ - "0.0356782000, 0.0375408000, 0.0432474000, 0.0611825000, 0.1156848000, 0.2856362000, 0.8202623000", \ - "0.0354093000, 0.0372214000, 0.0430368000, 0.0610340000, 0.1154526000, 0.2855000000, 0.8201566000", \ - "0.0350004000, 0.0368042000, 0.0426100000, 0.0605860000, 0.1152230000, 0.2851892000, 0.8193577000", \ - "0.0354803000, 0.0372514000, 0.0428831000, 0.0602812000, 0.1148058000, 0.2847645000, 0.8191599000", \ - "0.0363362000, 0.0380704000, 0.0435809000, 0.0605344000, 0.1147531000, 0.2842005000, 0.8188460000", \ - "0.0389805000, 0.0406665000, 0.0460636000, 0.0629805000, 0.1171980000, 0.2869237000, 0.8172215000"); - } - } - max_capacitance : 0.4879860000; - max_transition : 1.5047510000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.2454885000, 0.2500413000, 0.2615787000, 0.2862391000, 0.3363101000, 0.4451415000, 0.7402345000", \ - "0.2505230000, 0.2550710000, 0.2666380000, 0.2914001000, 0.3414420000, 0.4504010000, 0.7457940000", \ - "0.2632935000, 0.2678723000, 0.2793419000, 0.3041620000, 0.3537314000, 0.4633775000, 0.7585404000", \ - "0.2914405000, 0.2959464000, 0.3075007000, 0.3321666000, 0.3823195000, 0.4913169000, 0.7865198000", \ - "0.3546869000, 0.3592457000, 0.3707082000, 0.3954617000, 0.4454336000, 0.5550270000, 0.8503577000", \ - "0.4961475000, 0.5007340000, 0.5125118000, 0.5380690000, 0.5887192000, 0.6988066000, 0.9942374000", \ - "0.7540039000, 0.7596765000, 0.7737106000, 0.8036161000, 0.8608683000, 0.9765575000, 1.2748002000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.1539274000, 0.1587919000, 0.1716918000, 0.2030056000, 0.2812817000, 0.5086606000, 1.2174207000", \ - "0.1580735000, 0.1629759000, 0.1759270000, 0.2073261000, 0.2857200000, 0.5124016000, 1.2225563000", \ - "0.1667795000, 0.1717698000, 0.1846924000, 0.2160850000, 0.2944686000, 0.5219820000, 1.2328181000", \ - "0.1837652000, 0.1886652000, 0.2016115000, 0.2329537000, 0.3113498000, 0.5380812000, 1.2488486000", \ - "0.2168782000, 0.2219241000, 0.2351699000, 0.2668195000, 0.3454904000, 0.5730545000, 1.2815087000", \ - "0.2695323000, 0.2749999000, 0.2892594000, 0.3227744000, 0.4032926000, 0.6315077000, 1.3424625000", \ - "0.3265113000, 0.3332080000, 0.3503843000, 0.3881655000, 0.4728767000, 0.7021742000, 1.4126537000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.0343256000, 0.0368792000, 0.0436866000, 0.0589971000, 0.0987178000, 0.2137054000, 0.5922356000", \ - "0.0345293000, 0.0372091000, 0.0436017000, 0.0586842000, 0.0985387000, 0.2135793000, 0.5928059000", \ - "0.0345575000, 0.0371065000, 0.0431976000, 0.0586984000, 0.0993699000, 0.2132755000, 0.5938868000", \ - "0.0343472000, 0.0371072000, 0.0439456000, 0.0587203000, 0.0985949000, 0.2135264000, 0.5924509000", \ - "0.0347779000, 0.0368472000, 0.0433095000, 0.0586852000, 0.0986909000, 0.2132034000, 0.5929134000", \ - "0.0374898000, 0.0402990000, 0.0468820000, 0.0614601000, 0.1001801000, 0.2141134000, 0.5919415000", \ - "0.0485713000, 0.0515885000, 0.0588094000, 0.0743708000, 0.1142617000, 0.2254877000, 0.5957735000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.0291285000, 0.0328364000, 0.0439884000, 0.0753087000, 0.1722307000, 0.4898785000, 1.5018630000", \ - "0.0290038000, 0.0328560000, 0.0443066000, 0.0754550000, 0.1722655000, 0.4896553000, 1.5038920000", \ - "0.0289021000, 0.0330026000, 0.0440780000, 0.0755996000, 0.1722444000, 0.4896689000, 1.5046686000", \ - "0.0290020000, 0.0329223000, 0.0439485000, 0.0755431000, 0.1722464000, 0.4896642000, 1.5026089000", \ - "0.0300053000, 0.0337643000, 0.0449596000, 0.0763416000, 0.1724264000, 0.4899763000, 1.4993479000", \ - "0.0334013000, 0.0376020000, 0.0492057000, 0.0801044000, 0.1750023000, 0.4885318000, 1.5028249000", \ - "0.0432023000, 0.0479210000, 0.0599568000, 0.0908236000, 0.1810203000, 0.4918722000, 1.4977924000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.2255689000, 0.2301443000, 0.2415421000, 0.2663449000, 0.3163912000, 0.4252969000, 0.7204513000", \ - "0.2295001000, 0.2340446000, 0.2455286000, 0.2703379000, 0.3203506000, 0.4299710000, 0.7254491000", \ - "0.2398344000, 0.2443908000, 0.2558778000, 0.2806902000, 0.3307589000, 0.4396454000, 0.7353533000", \ - "0.2676946000, 0.2722464000, 0.2837194000, 0.3084524000, 0.3586355000, 0.4680075000, 0.7637728000", \ - "0.3360694000, 0.3406578000, 0.3520756000, 0.3768311000, 0.4268745000, 0.5366190000, 0.8321784000", \ - "0.4933576000, 0.4981943000, 0.5102799000, 0.5358171000, 0.5861325000, 0.6963229000, 0.9916138000", \ - "0.7646079000, 0.7709082000, 0.7866347000, 0.8195143000, 0.8790981000, 0.9948388000, 1.2924483000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.1447217000, 0.1496852000, 0.1629029000, 0.1946766000, 0.2736666000, 0.5009514000, 1.2113842000", \ - "0.1496510000, 0.1545926000, 0.1677553000, 0.1994978000, 0.2784927000, 0.5058607000, 1.2155202000", \ - "0.1585604000, 0.1635164000, 0.1766873000, 0.2085631000, 0.2875678000, 0.5148569000, 1.2253587000", \ - "0.1752033000, 0.1802464000, 0.1933968000, 0.2251531000, 0.3040695000, 0.5316657000, 1.2407207000", \ - "0.2068785000, 0.2120128000, 0.2254637000, 0.2575816000, 0.3369944000, 0.5637790000, 1.2733437000", \ - "0.2546887000, 0.2602645000, 0.2750608000, 0.3091895000, 0.3906047000, 0.6186033000, 1.3287295000", \ - "0.2978531000, 0.3049316000, 0.3229942000, 0.3623537000, 0.4487606000, 0.6781234000, 1.3865356000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.0343178000, 0.0371993000, 0.0432393000, 0.0587227000, 0.0986686000, 0.2137286000, 0.5925264000", \ - "0.0344030000, 0.0370320000, 0.0433471000, 0.0589066000, 0.0987078000, 0.2131982000, 0.5928434000", \ - "0.0344031000, 0.0368882000, 0.0433812000, 0.0587253000, 0.0986208000, 0.2137698000, 0.5925344000", \ - "0.0342970000, 0.0368270000, 0.0433434000, 0.0587772000, 0.0986523000, 0.2139751000, 0.5924071000", \ - "0.0344894000, 0.0370968000, 0.0435166000, 0.0587569000, 0.0984318000, 0.2130392000, 0.5929249000", \ - "0.0391483000, 0.0412683000, 0.0475776000, 0.0621283000, 0.1002709000, 0.2139852000, 0.5943602000", \ - "0.0571876000, 0.0603280000, 0.0679536000, 0.0831097000, 0.1176201000, 0.2244848000, 0.5954401000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.0291952000, 0.0333663000, 0.0444805000, 0.0759703000, 0.1721865000, 0.4878920000, 1.4977981000", \ - "0.0292114000, 0.0331264000, 0.0445818000, 0.0757979000, 0.1721056000, 0.4879051000, 1.5005694000", \ - "0.0292063000, 0.0332011000, 0.0444923000, 0.0760591000, 0.1722268000, 0.4879222000, 1.4976329000", \ - "0.0291949000, 0.0332446000, 0.0445589000, 0.0758851000, 0.1721294000, 0.4885791000, 1.5010744000", \ - "0.0303168000, 0.0345832000, 0.0454481000, 0.0769367000, 0.1723464000, 0.4881922000, 1.4984435000", \ - "0.0348474000, 0.0389260000, 0.0506183000, 0.0817279000, 0.1756569000, 0.4873053000, 1.4980360000", \ - "0.0462487000, 0.0508981000, 0.0632101000, 0.0944654000, 0.1829076000, 0.4907493000, 1.4931302000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.2029143000, 0.2072385000, 0.2183803000, 0.2436340000, 0.2988021000, 0.4169345000, 0.7160897000", \ - "0.2083491000, 0.2126664000, 0.2236897000, 0.2487985000, 0.3037650000, 0.4223432000, 0.7219009000", \ - "0.2209197000, 0.2252385000, 0.2363610000, 0.2614834000, 0.3167338000, 0.4349568000, 0.7345076000", \ - "0.2483613000, 0.2526625000, 0.2637421000, 0.2890385000, 0.3440739000, 0.4625378000, 0.7618015000", \ - "0.3111168000, 0.3153912000, 0.3264279000, 0.3517208000, 0.4069108000, 0.5255562000, 0.8248958000", \ - "0.4432088000, 0.4477708000, 0.4597366000, 0.4864434000, 0.5439682000, 0.6643265000, 0.9643951000", \ - "0.6753656000, 0.6810397000, 0.6954560000, 0.7267810000, 0.7918362000, 0.9235605000, 1.2297641000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.1450189000, 0.1499144000, 0.1628987000, 0.1942935000, 0.2727309000, 0.5000762000, 1.2102533000", \ - "0.1493230000, 0.1542092000, 0.1671578000, 0.1983714000, 0.2768510000, 0.5044613000, 1.2148466000", \ - "0.1584949000, 0.1633345000, 0.1762337000, 0.2075289000, 0.2860654000, 0.5132701000, 1.2243097000", \ - "0.1789677000, 0.1838409000, 0.1967718000, 0.2281755000, 0.3066205000, 0.5348988000, 1.2474234000", \ - "0.2227101000, 0.2277239000, 0.2409344000, 0.2726213000, 0.3514230000, 0.5791002000, 1.2886118000", \ - "0.2898800000, 0.2954408000, 0.3095819000, 0.3429853000, 0.4234313000, 0.6523184000, 1.3652904000", \ - "0.3591908000, 0.3661544000, 0.3837218000, 0.4217383000, 0.5054782000, 0.7345831000, 1.4457672000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.0308325000, 0.0333660000, 0.0403751000, 0.0592329000, 0.1066164000, 0.2238430000, 0.5953406000", \ - "0.0305501000, 0.0331719000, 0.0403865000, 0.0593565000, 0.1068855000, 0.2238959000, 0.5937193000", \ - "0.0308126000, 0.0332005000, 0.0403354000, 0.0592899000, 0.1065382000, 0.2236233000, 0.5939637000", \ - "0.0306041000, 0.0332931000, 0.0405216000, 0.0591762000, 0.1066944000, 0.2238631000, 0.5952181000", \ - "0.0305771000, 0.0333292000, 0.0403339000, 0.0592305000, 0.1064339000, 0.2237093000, 0.5951209000", \ - "0.0353538000, 0.0383730000, 0.0454844000, 0.0638702000, 0.1109035000, 0.2257476000, 0.5945862000", \ - "0.0478350000, 0.0511388000, 0.0587180000, 0.0781838000, 0.1278238000, 0.2415614000, 0.5995084000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.0288515000, 0.0328157000, 0.0440793000, 0.0756024000, 0.1722346000, 0.4895419000, 1.5034217000", \ - "0.0288401000, 0.0327930000, 0.0440116000, 0.0755058000, 0.1722174000, 0.4897427000, 1.4996133000", \ - "0.0289416000, 0.0328181000, 0.0441411000, 0.0755271000, 0.1720196000, 0.4893951000, 1.5036704000", \ - "0.0288014000, 0.0327959000, 0.0439918000, 0.0753755000, 0.1719808000, 0.4892443000, 1.5010337000", \ - "0.0299730000, 0.0338912000, 0.0450106000, 0.0762602000, 0.1725113000, 0.4897333000, 1.4988820000", \ - "0.0347255000, 0.0386981000, 0.0498338000, 0.0804427000, 0.1751167000, 0.4903007000, 1.5032018000", \ - "0.0461119000, 0.0506706000, 0.0624536000, 0.0920624000, 0.1806987000, 0.4920830000, 1.5011773000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.1835976000, 0.1879538000, 0.1989936000, 0.2242808000, 0.2795479000, 0.3977290000, 0.6967616000", \ - "0.1876519000, 0.1919755000, 0.2030518000, 0.2283419000, 0.2836119000, 0.4017758000, 0.7010041000", \ - "0.1983067000, 0.2026016000, 0.2136938000, 0.2389989000, 0.2942795000, 0.4125040000, 0.7117980000", \ - "0.2261089000, 0.2304223000, 0.2415129000, 0.2667466000, 0.3217913000, 0.4404551000, 0.7400353000", \ - "0.2941030000, 0.2983982000, 0.3094607000, 0.3345581000, 0.3897686000, 0.5084128000, 0.8080466000", \ - "0.4397446000, 0.4446658000, 0.4571538000, 0.4845068000, 0.5412467000, 0.6617992000, 0.9618302000", \ - "0.6781318000, 0.6845293000, 0.7005099000, 0.7354296000, 0.8041195000, 0.9373681000, 1.2438823000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.1336015000, 0.1385286000, 0.1515982000, 0.1832342000, 0.2621109000, 0.4890939000, 1.1996483000", \ - "0.1381712000, 0.1431011000, 0.1561732000, 0.1876648000, 0.2665412000, 0.4942276000, 1.2037779000", \ - "0.1472160000, 0.1521493000, 0.1652847000, 0.1968829000, 0.2757056000, 0.5037168000, 1.2155569000", \ - "0.1663141000, 0.1712741000, 0.1843359000, 0.2159162000, 0.2947511000, 0.5228662000, 1.2333383000", \ - "0.2052881000, 0.2103848000, 0.2237399000, 0.2558194000, 0.3350611000, 0.5630418000, 1.2721719000", \ - "0.2606889000, 0.2663528000, 0.2809520000, 0.3148584000, 0.3959954000, 0.6249447000, 1.3359843000", \ - "0.3086142000, 0.3158003000, 0.3341208000, 0.3735954000, 0.4587622000, 0.6883344000, 1.3988928000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.0304851000, 0.0331619000, 0.0403572000, 0.0592563000, 0.1065580000, 0.2237415000, 0.5952439000", \ - "0.0305772000, 0.0332003000, 0.0406686000, 0.0590177000, 0.1064980000, 0.2238879000, 0.5942839000", \ - "0.0308609000, 0.0332583000, 0.0406558000, 0.0591510000, 0.1064728000, 0.2238219000, 0.5939110000", \ - "0.0308197000, 0.0331257000, 0.0403664000, 0.0590632000, 0.1064798000, 0.2236463000, 0.5943341000", \ - "0.0307488000, 0.0332577000, 0.0403778000, 0.0592014000, 0.1065387000, 0.2236054000, 0.5947455000", \ - "0.0391297000, 0.0419877000, 0.0484613000, 0.0660322000, 0.1126329000, 0.2264481000, 0.5959259000", \ - "0.0571181000, 0.0604251000, 0.0692425000, 0.0892249000, 0.1363124000, 0.2456756000, 0.6022438000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.0291398000, 0.0331266000, 0.0442237000, 0.0758132000, 0.1722789000, 0.4892622000, 1.5035541000", \ - "0.0290186000, 0.0330227000, 0.0443729000, 0.0758111000, 0.1725763000, 0.4886652000, 1.4983684000", \ - "0.0290340000, 0.0330666000, 0.0443283000, 0.0758009000, 0.1723298000, 0.4889815000, 1.5028641000", \ - "0.0290309000, 0.0330394000, 0.0443648000, 0.0757908000, 0.1725470000, 0.4899541000, 1.5040326000", \ - "0.0305720000, 0.0345319000, 0.0456991000, 0.0771044000, 0.1730085000, 0.4892535000, 1.4991912000", \ - "0.0359541000, 0.0398474000, 0.0513287000, 0.0816573000, 0.1761581000, 0.4891423000, 1.5047511000", \ - "0.0486958000, 0.0534783000, 0.0650885000, 0.0938517000, 0.1820665000, 0.4918636000, 1.4970872000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.0835541000, 0.0865858000, 0.0946342000, 0.1140758000, 0.1594433000, 0.2635524000, 0.5545846000", \ - "0.0892002000, 0.0922404000, 0.1002715000, 0.1197111000, 0.1650991000, 0.2692093000, 0.5602443000", \ - "0.1023868000, 0.1054515000, 0.1134063000, 0.1327919000, 0.1781550000, 0.2825850000, 0.5735843000", \ - "0.1341725000, 0.1371663000, 0.1450757000, 0.1644058000, 0.2099454000, 0.3143112000, 0.6051773000", \ - "0.1995413000, 0.2029337000, 0.2117501000, 0.2324028000, 0.2792605000, 0.3844283000, 0.6755405000", \ - "0.3043178000, 0.3087054000, 0.3199886000, 0.3457563000, 0.4013516000, 0.5143803000, 0.8064692000", \ - "0.4713528000, 0.4769839000, 0.4915839000, 0.5241648000, 0.5947881000, 0.7276302000, 1.0269134000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.1308782000, 0.1357516000, 0.1486901000, 0.1799690000, 0.2585621000, 0.4863172000, 1.1973625000", \ - "0.1345452000, 0.1394458000, 0.1523298000, 0.1837163000, 0.2623251000, 0.4900447000, 1.2016713000", \ - "0.1438407000, 0.1487404000, 0.1616798000, 0.1930798000, 0.2716897000, 0.4996140000, 1.2108428000", \ - "0.1660305000, 0.1709908000, 0.1840092000, 0.2153709000, 0.2939624000, 0.5227322000, 1.2351656000", \ - "0.2140879000, 0.2189873000, 0.2318594000, 0.2635362000, 0.3423468000, 0.5707800000, 1.2806641000", \ - "0.2805107000, 0.2858865000, 0.2997161000, 0.3321252000, 0.4119402000, 0.6410595000, 1.3557174000", \ - "0.3464188000, 0.3531092000, 0.3702149000, 0.4068650000, 0.4885510000, 0.7171103000, 1.4286461000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.0172214000, 0.0193524000, 0.0254565000, 0.0430756000, 0.0870141000, 0.2025316000, 0.5855721000", \ - "0.0170614000, 0.0193582000, 0.0254738000, 0.0430817000, 0.0869968000, 0.2025371000, 0.5856657000", \ - "0.0171330000, 0.0193948000, 0.0254171000, 0.0431453000, 0.0868959000, 0.2023141000, 0.5857256000", \ - "0.0173909000, 0.0195115000, 0.0256662000, 0.0432257000, 0.0871502000, 0.2022144000, 0.5837621000", \ - "0.0217551000, 0.0239335000, 0.0299312000, 0.0467716000, 0.0894084000, 0.2032463000, 0.5845342000", \ - "0.0323156000, 0.0348729000, 0.0422160000, 0.0606089000, 0.1051976000, 0.2123499000, 0.5863879000", \ - "0.0478253000, 0.0513285000, 0.0602196000, 0.0829074000, 0.1361120000, 0.2409024000, 0.5919961000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015747400, 0.0049596300, 0.0156203000, 0.0491958000, 0.1549410000, 0.4879860000"); - values("0.0288656000, 0.0328417000, 0.0441308000, 0.0754196000, 0.1719783000, 0.4892879000, 1.5043295000", \ - "0.0290336000, 0.0330129000, 0.0442995000, 0.0754425000, 0.1722158000, 0.4896711000, 1.5034649000", \ - "0.0289100000, 0.0328882000, 0.0439349000, 0.0755515000, 0.1722354000, 0.4896616000, 1.5041263000", \ - "0.0288756000, 0.0327937000, 0.0440775000, 0.0752782000, 0.1720883000, 0.4893751000, 1.5040326000", \ - "0.0297438000, 0.0336227000, 0.0448853000, 0.0763385000, 0.1724737000, 0.4896430000, 1.5030168000", \ - "0.0348216000, 0.0385187000, 0.0490515000, 0.0794739000, 0.1753103000, 0.4904464000, 1.5025943000", \ - "0.0473687000, 0.0517361000, 0.0619595000, 0.0898482000, 0.1790137000, 0.4920150000, 1.5010747000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o221ai_1") { - leakage_power () { - value : 7.9602313e-05; - when : "!A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 3.6069692e-05; - when : "!A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0005749000; - when : "!A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 5.7669933e-05; - when : "!A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0005743000; - when : "!A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 5.7756026e-05; - when : "!A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0005783000; - when : "!A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 5.7873167e-05; - when : "!A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0005686000; - when : "!A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 5.5935877e-05; - when : "!A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0036178000; - when : "!A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0001943000; - when : "!A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0033839000; - when : "!A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0001943000; - when : "!A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0030007000; - when : "!A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0001938000; - when : "!A1&A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0005686000; - when : "A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 5.5935877e-05; - when : "A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0041112000; - when : "A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0001946000; - when : "A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0038773000; - when : "A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0001945000; - when : "A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0034940000; - when : "A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0001938000; - when : "A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0005686000; - when : "A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 5.5935877e-05; - when : "A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0028494000; - when : "A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0001943000; - when : "A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0026155000; - when : "A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0001938000; - when : "A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0022322000; - when : "A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0001938000; - when : "A1&A2&B1&B2&!C1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__o221ai"; - cell_leakage_power : 0.0010880900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023270000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022210000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039643000, 0.0039640000, 0.0039631000, 0.0039630000, 0.0039629000, 0.0039627000, 0.0039620000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003960200, -0.003958400, -0.003954200, -0.003953700, -0.003952600, -0.003949900, -0.003943600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024330000; - } - pin ("A2") { - capacitance : 0.0023230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039594000, 0.0039562000, 0.0039489000, 0.0039491000, 0.0039494000, 0.0039502000, 0.0039521000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003936800, -0.003936200, -0.003934800, -0.003935100, -0.003935900, -0.003937700, -0.003941900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024740000; - } - pin ("B1") { - capacitance : 0.0023190000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041081000, 0.0041047000, 0.0040970000, 0.0040982000, 0.0041008000, 0.0041069000, 0.0041208000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004098400, -0.004096100, -0.004090800, -0.004089800, -0.004087600, -0.004082400, -0.004070300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023910000; - } - pin ("B2") { - capacitance : 0.0023020000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021870000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039938000, 0.0039929000, 0.0039910000, 0.0039918000, 0.0039937000, 0.0039981000, 0.0040083000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003999800, -0.003994400, -0.003982100, -0.003981600, -0.003980500, -0.003978000, -0.003972200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024180000; - } - pin ("C1") { - capacitance : 0.0022480000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048446000, 0.0048429000, 0.0048389000, 0.0048402000, 0.0048432000, 0.0048501000, 0.0048660000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002720000, -0.002720600, -0.002721900, -0.002710600, -0.002684700, -0.002624800, -0.002486900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0022820000; - } - pin ("Y") { - direction : "output"; - function : "(!B1&!B2) | (!A1&!A2) | (!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024820, 0.0059374060, 0.0135458300, 0.0309039900, 0.0705055800"); - values("0.0085850000, 0.0075661000, 0.0052261000, -0.000134300, -0.012416700, -0.040493500, -0.104440200", \ - "0.0084802000, 0.0074552000, 0.0051222000, -0.000222400, -0.012490600, -0.040524200, -0.104583500", \ - "0.0083466000, 0.0073296000, 0.0050139000, -0.000325100, -0.012581600, -0.040645900, -0.104684400", \ - "0.0081929000, 0.0071793000, 0.0048594000, -0.000449300, -0.012694200, -0.040653200, -0.104658300", \ - "0.0080597000, 0.0070498000, 0.0047662000, -0.000522300, -0.012717200, -0.040678200, -0.104685200", \ - "0.0080799000, 0.0070347000, 0.0046725000, -0.000751900, -0.012936700, -0.040865000, -0.104787500", \ - "0.0084482000, 0.0074229000, 0.0050337000, -0.000426300, -0.012749300, -0.040891500, -0.104846800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024820, 0.0059374060, 0.0135458300, 0.0309039900, 0.0705055800"); - values("0.0135007000, 0.0145477000, 0.0169114000, 0.0223009000, 0.0344898000, 0.0622964000, 0.1255689000", \ - "0.0133660000, 0.0144258000, 0.0168233000, 0.0222319000, 0.0344576000, 0.0622645000, 0.1254775000", \ - "0.0131403000, 0.0142128000, 0.0166355000, 0.0220762000, 0.0343849000, 0.0622428000, 0.1255338000", \ - "0.0128906000, 0.0139573000, 0.0163791000, 0.0218472000, 0.0341994000, 0.0621393000, 0.1255811000", \ - "0.0127422000, 0.0137939000, 0.0161985000, 0.0216260000, 0.0339558000, 0.0619304000, 0.1254617000", \ - "0.0126209000, 0.0136699000, 0.0160612000, 0.0214792000, 0.0338870000, 0.0618020000, 0.1251590000", \ - "0.0126292000, 0.0136401000, 0.0159204000, 0.0215101000, 0.0338049000, 0.0618792000, 0.1253766000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024820, 0.0059374060, 0.0135458300, 0.0309039900, 0.0705055800"); - values("0.0071816000, 0.0061699000, 0.0038338000, -0.001525000, -0.013801500, -0.041830600, -0.105918800", \ - "0.0071380000, 0.0061334000, 0.0038216000, -0.001517400, -0.013771200, -0.041810500, -0.105928400", \ - "0.0069992000, 0.0059979000, 0.0037074000, -0.001585000, -0.013801000, -0.041788300, -0.105875800", \ - "0.0067375000, 0.0057429000, 0.0034740000, -0.001768900, -0.013921100, -0.041888700, -0.105894100", \ - "0.0064597000, 0.0054697000, 0.0032198000, -0.002021800, -0.014113200, -0.042015800, -0.105915700", \ - "0.0064594000, 0.0054403000, 0.0031090000, -0.002327300, -0.014452900, -0.042283400, -0.106124600", \ - "0.0069293000, 0.0059045000, 0.0034986000, -0.001979400, -0.014288900, -0.042407600, -0.106287900"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024820, 0.0059374060, 0.0135458300, 0.0309039900, 0.0705055800"); - values("0.0113319000, 0.0123801000, 0.0147607000, 0.0201408000, 0.0323567000, 0.0601502000, 0.1234050000", \ - "0.0111355000, 0.0122000000, 0.0146024000, 0.0200516000, 0.0323023000, 0.0600580000, 0.1234096000", \ - "0.0109066000, 0.0119582000, 0.0143935000, 0.0198639000, 0.0321779000, 0.0600485000, 0.1233754000", \ - "0.0106553000, 0.0117250000, 0.0141664000, 0.0195288000, 0.0319795000, 0.0599529000, 0.1232763000", \ - "0.0106337000, 0.0117381000, 0.0141311000, 0.0194516000, 0.0317201000, 0.0596047000, 0.1232150000", \ - "0.0110019000, 0.0120290000, 0.0143160000, 0.0196288000, 0.0319056000, 0.0597455000, 0.1225870000", \ - "0.0117101000, 0.0127026000, 0.0151671000, 0.0203641000, 0.0324303000, 0.0599574000, 0.1229413000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024820, 0.0059374060, 0.0135458300, 0.0309039900, 0.0705055800"); - values("0.0071864000, 0.0061764000, 0.0038758000, -0.001432600, -0.013680000, -0.041759600, -0.105751200", \ - "0.0070747000, 0.0060731000, 0.0037812000, -0.001508800, -0.013702800, -0.041768500, -0.105731200", \ - "0.0069245000, 0.0059179000, 0.0036337000, -0.001631900, -0.013788100, -0.041838200, -0.105731400", \ - "0.0066842000, 0.0056427000, 0.0033854000, -0.001903100, -0.014039600, -0.041904500, -0.105914600", \ - "0.0064821000, 0.0054420000, 0.0031477000, -0.002125100, -0.014271500, -0.042147800, -0.106023700", \ - "0.0068137000, 0.0055626000, 0.0031765000, -0.002208500, -0.014439100, -0.042273700, -0.106096500", \ - "0.0076828000, 0.0066115000, 0.0041763000, -0.001302200, -0.013815300, -0.041880300, -0.105632100"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024820, 0.0059374060, 0.0135458300, 0.0309039900, 0.0705055800"); - values("0.0089157000, 0.0100441000, 0.0125202000, 0.0179761000, 0.0302099000, 0.0580069000, 0.1213387000", \ - "0.0087060000, 0.0098394000, 0.0123877000, 0.0178864000, 0.0301640000, 0.0580668000, 0.1213269000", \ - "0.0084240000, 0.0095538000, 0.0121098000, 0.0176828000, 0.0300763000, 0.0579386000, 0.1214401000", \ - "0.0082335000, 0.0093122000, 0.0117769000, 0.0173136000, 0.0297497000, 0.0574964000, 0.1210732000", \ - "0.0083943000, 0.0094538000, 0.0117419000, 0.0171228000, 0.0294543000, 0.0569787000, 0.1205325000", \ - "0.0088231000, 0.0098376000, 0.0121355000, 0.0174668000, 0.0296253000, 0.0572496000, 0.1204850000", \ - "0.0099529000, 0.0108807000, 0.0130613000, 0.0181159000, 0.0300405000, 0.0579146000, 0.1214750000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024820, 0.0059374060, 0.0135458300, 0.0309039900, 0.0705055800"); - values("0.0083195000, 0.0073003000, 0.0049630000, -0.000415600, -0.012680500, -0.040751500, -0.104727900", \ - "0.0082213000, 0.0071991000, 0.0048619000, -0.000489900, -0.012766900, -0.040814300, -0.104879700", \ - "0.0080919000, 0.0070734000, 0.0047494000, -0.000589900, -0.012843200, -0.040858100, -0.104937000", \ - "0.0079306000, 0.0069214000, 0.0046087000, -0.000705200, -0.012954900, -0.040934000, -0.104955600", \ - "0.0078353000, 0.0068212000, 0.0045131000, -0.000797000, -0.013007500, -0.040983100, -0.105026700", \ - "0.0078412000, 0.0068747000, 0.0045076000, -0.001017600, -0.013137000, -0.041112000, -0.105093000", \ - "0.0082431000, 0.0072203000, 0.0048398000, -0.000544900, -0.012937500, -0.041134600, -0.105089700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024820, 0.0059374060, 0.0135458300, 0.0309039900, 0.0705055800"); - values("0.0172718000, 0.0183504000, 0.0207621000, 0.0261558000, 0.0384888000, 0.0663942000, 0.1297659000", \ - "0.0171875000, 0.0182204000, 0.0206069000, 0.0260415000, 0.0383955000, 0.0663021000, 0.1296400000", \ - "0.0169854000, 0.0180809000, 0.0204755000, 0.0259040000, 0.0382612000, 0.0661955000, 0.1296333000", \ - "0.0168306000, 0.0178867000, 0.0203040000, 0.0257935000, 0.0381651000, 0.0661215000, 0.1297523000", \ - "0.0167471000, 0.0177904000, 0.0202070000, 0.0256565000, 0.0380619000, 0.0660221000, 0.1295200000", \ - "0.0166613000, 0.0177158000, 0.0201677000, 0.0255884000, 0.0380758000, 0.0660905000, 0.1294658000", \ - "0.0170568000, 0.0180474000, 0.0203269000, 0.0258494000, 0.0382093000, 0.0662413000, 0.1296895000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024820, 0.0059374060, 0.0135458300, 0.0309039900, 0.0705055800"); - values("0.0070171000, 0.0060021000, 0.0036723000, -0.001687700, -0.013970100, -0.042011400, -0.106125200", \ - "0.0069910000, 0.0059761000, 0.0036628000, -0.001673900, -0.013929200, -0.041946900, -0.106058700", \ - "0.0068301000, 0.0058347000, 0.0035488000, -0.001758900, -0.013954400, -0.041970100, -0.106043800", \ - "0.0065625000, 0.0055719000, 0.0033054000, -0.001931700, -0.014083400, -0.041996700, -0.106062400", \ - "0.0063295000, 0.0053283000, 0.0030600000, -0.002197700, -0.014294800, -0.042158600, -0.106133100", \ - "0.0062892000, 0.0052588000, 0.0029356000, -0.002425500, -0.014586600, -0.042418200, -0.106308200", \ - "0.0066417000, 0.0055856000, 0.0032111000, -0.002222500, -0.014520100, -0.042628200, -0.106475200"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024820, 0.0059374060, 0.0135458300, 0.0309039900, 0.0705055800"); - values("0.0150713000, 0.0161234000, 0.0185226000, 0.0239801000, 0.0362799000, 0.0641914000, 0.1276359000", \ - "0.0149068000, 0.0159555000, 0.0183685000, 0.0238309000, 0.0362232000, 0.0640958000, 0.1276080000", \ - "0.0146370000, 0.0157030000, 0.0181504000, 0.0236242000, 0.0360376000, 0.0640494000, 0.1274507000", \ - "0.0144624000, 0.0155355000, 0.0179460000, 0.0234625000, 0.0358366000, 0.0638930000, 0.1273617000", \ - "0.0145559000, 0.0155894000, 0.0179677000, 0.0234209000, 0.0355855000, 0.0635241000, 0.1273005000", \ - "0.0151230000, 0.0161448000, 0.0185522000, 0.0237395000, 0.0359840000, 0.0638331000, 0.1268131000", \ - "0.0161464000, 0.0171703000, 0.0194361000, 0.0245337000, 0.0368795000, 0.0646908000, 0.1280044000"); - } - } - max_capacitance : 0.0705060000; - max_transition : 1.5275130000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.0844206000, 0.0903811000, 0.1029107000, 0.1313181000, 0.1938771000, 0.3354426000, 0.6563632000", \ - "0.0890843000, 0.0949299000, 0.1073502000, 0.1357052000, 0.1983748000, 0.3400257000, 0.6609251000", \ - "0.0986245000, 0.1043700000, 0.1170425000, 0.1451471000, 0.2080889000, 0.3497173000, 0.6707850000", \ - "0.1162045000, 0.1220909000, 0.1349859000, 0.1632536000, 0.2261744000, 0.3678993000, 0.6893808000", \ - "0.1461045000, 0.1530377000, 0.1672971000, 0.1978834000, 0.2622842000, 0.4042943000, 0.7256103000", \ - "0.1889399000, 0.1980624000, 0.2168414000, 0.2544422000, 0.3310906000, 0.4840220000, 0.8079612000", \ - "0.2242211000, 0.2385554000, 0.2667217000, 0.3236872000, 0.4310453000, 0.6229166000, 0.9876835000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.1314851000, 0.1404840000, 0.1629570000, 0.2103698000, 0.3177177000, 0.5567623000, 1.0990615000", \ - "0.1368254000, 0.1464406000, 0.1677303000, 0.2161246000, 0.3230470000, 0.5622665000, 1.1047520000", \ - "0.1485807000, 0.1585453000, 0.1804183000, 0.2286115000, 0.3352927000, 0.5752719000, 1.1170397000", \ - "0.1744892000, 0.1846149000, 0.2063731000, 0.2547422000, 0.3618072000, 0.6013909000, 1.1436230000", \ - "0.2334238000, 0.2435863000, 0.2654048000, 0.3133864000, 0.4202817000, 0.6606716000, 1.2032035000", \ - "0.3420019000, 0.3551056000, 0.3833623000, 0.4404662000, 0.5567973000, 0.7971044000, 1.3400135000", \ - "0.5260506000, 0.5453304000, 0.5849641000, 0.6641545000, 0.8164286000, 1.1033372000, 1.6548943000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.0577204000, 0.0649159000, 0.0813302000, 0.1183380000, 0.2020748000, 0.3935615000, 0.8276592000", \ - "0.0577290000, 0.0648780000, 0.0813096000, 0.1182884000, 0.2022933000, 0.3934847000, 0.8278517000", \ - "0.0577048000, 0.0650045000, 0.0812025000, 0.1183034000, 0.2021487000, 0.3933152000, 0.8273150000", \ - "0.0587208000, 0.0656979000, 0.0816862000, 0.1183108000, 0.2021723000, 0.3934059000, 0.8311964000", \ - "0.0691896000, 0.0761837000, 0.0918420000, 0.1263160000, 0.2060113000, 0.3935951000, 0.8295318000", \ - "0.0991632000, 0.1070494000, 0.1234109000, 0.1589449000, 0.2375712000, 0.4111491000, 0.8335799000", \ - "0.1679663000, 0.1776948000, 0.1992433000, 0.2403067000, 0.3246300000, 0.4981866000, 0.8880862000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.1124814000, 0.1255013000, 0.1527538000, 0.2147606000, 0.3567575000, 0.6811829000, 1.4172135000", \ - "0.1127643000, 0.1250460000, 0.1526978000, 0.2158108000, 0.3579530000, 0.6799769000, 1.4187150000", \ - "0.1123811000, 0.1248762000, 0.1528530000, 0.2150460000, 0.3563714000, 0.6800430000, 1.4150103000", \ - "0.1122502000, 0.1244084000, 0.1525339000, 0.2153035000, 0.3576023000, 0.6801523000, 1.4171628000", \ - "0.1215258000, 0.1324023000, 0.1582173000, 0.2174517000, 0.3570392000, 0.6801785000, 1.4171216000", \ - "0.1626116000, 0.1741818000, 0.2002960000, 0.2545679000, 0.3789477000, 0.6834717000, 1.4208461000", \ - "0.2474654000, 0.2618290000, 0.2942756000, 0.3595555000, 0.4902751000, 0.7636144000, 1.4352037000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.0716462000, 0.0770822000, 0.0895673000, 0.1173339000, 0.1794686000, 0.3196975000, 0.6389201000", \ - "0.0761591000, 0.0818876000, 0.0942858000, 0.1222206000, 0.1844895000, 0.3249022000, 0.6438693000", \ - "0.0851638000, 0.0908187000, 0.1034464000, 0.1314500000, 0.1938873000, 0.3346347000, 0.6538731000", \ - "0.1011490000, 0.1069872000, 0.1197755000, 0.1480120000, 0.2106991000, 0.3516244000, 0.6712399000", \ - "0.1256829000, 0.1323736000, 0.1472294000, 0.1785064000, 0.2439210000, 0.3854351000, 0.7055206000", \ - "0.1551205000, 0.1642246000, 0.1842551000, 0.2250417000, 0.3035875000, 0.4588139000, 0.7814168000", \ - "0.1615117000, 0.1771145000, 0.2078221000, 0.2703168000, 0.3835017000, 0.5789909000, 0.9455569000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.1188196000, 0.1284959000, 0.1500044000, 0.1985693000, 0.3050721000, 0.5450501000, 1.0868373000", \ - "0.1227605000, 0.1320780000, 0.1539476000, 0.2026324000, 0.3090989000, 0.5486044000, 1.0903846000", \ - "0.1330573000, 0.1426139000, 0.1650871000, 0.2130740000, 0.3204899000, 0.5602503000, 1.1024591000", \ - "0.1616547000, 0.1711999000, 0.1929677000, 0.2417845000, 0.3487644000, 0.5893722000, 1.1315955000", \ - "0.2292804000, 0.2402588000, 0.2617445000, 0.3096064000, 0.4141517000, 0.6539475000, 1.1964388000", \ - "0.3626882000, 0.3766480000, 0.4058650000, 0.4625147000, 0.5755684000, 0.8114489000, 1.3495152000", \ - "0.5750850000, 0.5958102000, 0.6408932000, 0.7295103000, 0.8964255000, 1.1836275000, 1.7228713000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.0476546000, 0.0547606000, 0.0708694000, 0.1075582000, 0.1910767000, 0.3809500000, 0.8139976000", \ - "0.0476405000, 0.0546615000, 0.0707578000, 0.1075744000, 0.1911317000, 0.3806321000, 0.8131287000", \ - "0.0476609000, 0.0546611000, 0.0708261000, 0.1074965000, 0.1909460000, 0.3810608000, 0.8136463000", \ - "0.0495326000, 0.0562775000, 0.0717704000, 0.1077831000, 0.1909337000, 0.3808235000, 0.8141127000", \ - "0.0607578000, 0.0674721000, 0.0828823000, 0.1172343000, 0.1953178000, 0.3819020000, 0.8156141000", \ - "0.0919962000, 0.0995737000, 0.1158173000, 0.1510119000, 0.2284756000, 0.4010641000, 0.8179715000", \ - "0.1613523000, 0.1710244000, 0.1921241000, 0.2343504000, 0.3166095000, 0.4888802000, 0.8784194000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.1123904000, 0.1246412000, 0.1522903000, 0.2155994000, 0.3563536000, 0.6815563000, 1.4168240000", \ - "0.1125986000, 0.1249609000, 0.1522748000, 0.2151753000, 0.3572101000, 0.6810669000, 1.4178018000", \ - "0.1124277000, 0.1246937000, 0.1525495000, 0.2145471000, 0.3565037000, 0.6804525000, 1.4170748000", \ - "0.1119143000, 0.1243654000, 0.1521926000, 0.2153812000, 0.3578533000, 0.6808122000, 1.4174190000", \ - "0.1262013000, 0.1362424000, 0.1604316000, 0.2184789000, 0.3570522000, 0.6810495000, 1.4166828000", \ - "0.1849981000, 0.1976195000, 0.2238943000, 0.2733188000, 0.3915812000, 0.6839511000, 1.4160974000", \ - "0.2880632000, 0.3064023000, 0.3448919000, 0.4178708000, 0.5463461000, 0.7920433000, 1.4412505000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.0764847000, 0.0823115000, 0.0946685000, 0.1230478000, 0.1857078000, 0.3273247000, 0.6483299000", \ - "0.0804372000, 0.0859915000, 0.0991154000, 0.1270950000, 0.1898667000, 0.3315926000, 0.6536350000", \ - "0.0899106000, 0.0953478000, 0.1083104000, 0.1365964000, 0.1993097000, 0.3409701000, 0.6618935000", \ - "0.1095896000, 0.1152772000, 0.1281770000, 0.1565267000, 0.2196493000, 0.3614453000, 0.6825630000", \ - "0.1452152000, 0.1524241000, 0.1685031000, 0.2003943000, 0.2661024000, 0.4083059000, 0.7302572000", \ - "0.1932003000, 0.2036644000, 0.2258159000, 0.2705342000, 0.3548804000, 0.5132197000, 0.8398098000", \ - "0.2285331000, 0.2452559000, 0.2811092000, 0.3499770000, 0.4784360000, 0.6971717000, 1.0803793000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.1079666000, 0.1176887000, 0.1394951000, 0.1896339000, 0.3008420000, 0.5552270000, 1.1322001000", \ - "0.1132302000, 0.1230151000, 0.1451160000, 0.1954719000, 0.3069822000, 0.5617208000, 1.1388093000", \ - "0.1254163000, 0.1353647000, 0.1576229000, 0.2079708000, 0.3203475000, 0.5750193000, 1.1525335000", \ - "0.1524216000, 0.1622931000, 0.1846895000, 0.2349182000, 0.3479043000, 0.6030857000, 1.1824841000", \ - "0.2128227000, 0.2236600000, 0.2472503000, 0.2973195000, 0.4104267000, 0.6658327000, 1.2448844000", \ - "0.3200400000, 0.3349339000, 0.3666699000, 0.4314247000, 0.5558921000, 0.8116432000, 1.3916390000", \ - "0.5029857000, 0.5260671000, 0.5733718000, 0.6672548000, 0.8357421000, 1.1425577000, 1.7287753000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.0577326000, 0.0648946000, 0.0813284000, 0.1182624000, 0.2022204000, 0.3940751000, 0.8276668000", \ - "0.0576449000, 0.0649349000, 0.0812278000, 0.1183226000, 0.2022749000, 0.3933852000, 0.8288314000", \ - "0.0577990000, 0.0649056000, 0.0811727000, 0.1182259000, 0.2021520000, 0.3939247000, 0.8282542000", \ - "0.0600748000, 0.0670545000, 0.0828528000, 0.1184763000, 0.2021828000, 0.3933349000, 0.8272605000", \ - "0.0772090000, 0.0838725000, 0.0996038000, 0.1325687000, 0.2085600000, 0.3936453000, 0.8284297000", \ - "0.1183537000, 0.1268948000, 0.1445780000, 0.1811935000, 0.2556664000, 0.4195629000, 0.8317860000", \ - "0.1959220000, 0.2078078000, 0.2334380000, 0.2823526000, 0.3749021000, 0.5459431000, 0.9135276000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.1161392000, 0.1289631000, 0.1581507000, 0.2245766000, 0.3752622000, 0.7183044000, 1.5060783000", \ - "0.1161295000, 0.1289455000, 0.1580863000, 0.2245348000, 0.3752676000, 0.7192689000, 1.5093556000", \ - "0.1161317000, 0.1289579000, 0.1581012000, 0.2243927000, 0.3750307000, 0.7188034000, 1.5064517000", \ - "0.1162478000, 0.1289868000, 0.1581129000, 0.2243937000, 0.3750447000, 0.7184586000, 1.5038245000", \ - "0.1302205000, 0.1412665000, 0.1673165000, 0.2285737000, 0.3751505000, 0.7192655000, 1.5039930000", \ - "0.1803961000, 0.1913540000, 0.2160268000, 0.2706990000, 0.3996413000, 0.7231764000, 1.5088926000", \ - "0.2838973000, 0.2970471000, 0.3253156000, 0.3870099000, 0.5147053000, 0.7961093000, 1.5275131000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.0621622000, 0.0675189000, 0.0797662000, 0.1070684000, 0.1681078000, 0.3059175000, 0.6191447000", \ - "0.0664983000, 0.0719324000, 0.0843136000, 0.1116128000, 0.1727753000, 0.3105691000, 0.6239044000", \ - "0.0751770000, 0.0806327000, 0.0930974000, 0.1206331000, 0.1819846000, 0.3200094000, 0.6344162000", \ - "0.0928741000, 0.0987268000, 0.1116693000, 0.1395239000, 0.2011652000, 0.3397179000, 0.6534140000", \ - "0.1209494000, 0.1288721000, 0.1454390000, 0.1786320000, 0.2452554000, 0.3847683000, 0.6991932000", \ - "0.1503118000, 0.1623431000, 0.1874384000, 0.2357832000, 0.3233865000, 0.4829147000, 0.8037500000", \ - "0.1550158000, 0.1745152000, 0.2134775000, 0.2894880000, 0.4242846000, 0.6477134000, 1.0301037000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.0929605000, 0.1027208000, 0.1246112000, 0.1742288000, 0.2859965000, 0.5406877000, 1.1183943000", \ - "0.0963655000, 0.1062597000, 0.1286207000, 0.1789945000, 0.2906921000, 0.5450251000, 1.1224356000", \ - "0.1067392000, 0.1165853000, 0.1391418000, 0.1894735000, 0.3024330000, 0.5563975000, 1.1344669000", \ - "0.1345773000, 0.1444339000, 0.1665650000, 0.2160114000, 0.3293659000, 0.5840173000, 1.1627579000", \ - "0.2003487000, 0.2115993000, 0.2349842000, 0.2836014000, 0.3946279000, 0.6496318000, 1.2293123000", \ - "0.3123437000, 0.3295953000, 0.3650113000, 0.4334934000, 0.5552946000, 0.8063772000, 1.3813867000", \ - "0.4951755000, 0.5208712000, 0.5751626000, 0.6795499000, 0.8686295000, 1.1790644000, 1.7539352000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.0468701000, 0.0538577000, 0.0696746000, 0.1056524000, 0.1874701000, 0.3739140000, 0.7990238000", \ - "0.0468502000, 0.0538855000, 0.0695981000, 0.1056681000, 0.1874535000, 0.3741194000, 0.8000829000", \ - "0.0469053000, 0.0537857000, 0.0696517000, 0.1056390000, 0.1878200000, 0.3738533000, 0.7998680000", \ - "0.0513373000, 0.0575972000, 0.0722947000, 0.1067781000, 0.1876319000, 0.3755017000, 0.7993780000", \ - "0.0698364000, 0.0765626000, 0.0913077000, 0.1235516000, 0.1960137000, 0.3754485000, 0.7989312000", \ - "0.1104173000, 0.1190063000, 0.1372618000, 0.1736667000, 0.2456492000, 0.4038698000, 0.8030944000", \ - "0.1868898000, 0.1995514000, 0.2243087000, 0.2744268000, 0.3637785000, 0.5327985000, 0.8914235000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.1161305000, 0.1289766000, 0.1581113000, 0.2244730000, 0.3750968000, 0.7186500000, 1.5060920000", \ - "0.1161277000, 0.1289531000, 0.1581551000, 0.2245678000, 0.3752700000, 0.7198850000, 1.5060803000", \ - "0.1161138000, 0.1289572000, 0.1581388000, 0.2244800000, 0.3751192000, 0.7199472000, 1.5082866000", \ - "0.1172490000, 0.1296093000, 0.1579892000, 0.2244437000, 0.3753868000, 0.7199308000, 1.5082833000", \ - "0.1427646000, 0.1524085000, 0.1756724000, 0.2329331000, 0.3754120000, 0.7203387000, 1.5019425000", \ - "0.2125526000, 0.2242389000, 0.2482795000, 0.2965331000, 0.4126795000, 0.7247009000, 1.5093738000", \ - "0.3303628000, 0.3472702000, 0.3830884000, 0.4534644000, 0.5815616000, 0.8343206000, 1.5267744000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.0644692000, 0.0700470000, 0.0832091000, 0.1112297000, 0.1742626000, 0.3159913000, 0.6371448000", \ - "0.0684202000, 0.0741653000, 0.0870971000, 0.1154762000, 0.1785806000, 0.3203138000, 0.6414222000", \ - "0.0781775000, 0.0838552000, 0.0968037000, 0.1251050000, 0.1885397000, 0.3304437000, 0.6517054000", \ - "0.1017696000, 0.1071692000, 0.1197751000, 0.1478721000, 0.2113053000, 0.3534834000, 0.6746779000", \ - "0.1439311000, 0.1519430000, 0.1689021000, 0.2026047000, 0.2668758000, 0.4088579000, 0.7303770000", \ - "0.1945234000, 0.2077974000, 0.2334200000, 0.2834372000, 0.3765048000, 0.5347467000, 0.8551481000", \ - "0.2434219000, 0.2615880000, 0.2998207000, 0.3763728000, 0.5179955000, 0.7591011000, 1.1482050000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.0333173000, 0.0378498000, 0.0479018000, 0.0698620000, 0.1192600000, 0.2312954000, 0.4890811000", \ - "0.0385818000, 0.0431603000, 0.0531709000, 0.0752140000, 0.1253033000, 0.2375787000, 0.4927220000", \ - "0.0517733000, 0.0563731000, 0.0662799000, 0.0886819000, 0.1386863000, 0.2503220000, 0.5075841000", \ - "0.0793064000, 0.0855018000, 0.0976195000, 0.1200746000, 0.1701417000, 0.2819616000, 0.5378591000", \ - "0.1223157000, 0.1322947000, 0.1513138000, 0.1859820000, 0.2439254000, 0.3533064000, 0.6086670000", \ - "0.1882270000, 0.2049961000, 0.2359918000, 0.2931398000, 0.3846130000, 0.5281713000, 0.7822023000", \ - "0.2939471000, 0.3184087000, 0.3680104000, 0.4588296000, 0.6096092000, 0.8407134000, 1.1759790000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.0577309000, 0.0649000000, 0.0811968000, 0.1183283000, 0.2021750000, 0.3937339000, 0.8286325000", \ - "0.0576965000, 0.0649508000, 0.0811932000, 0.1182772000, 0.2018199000, 0.3936246000, 0.8272873000", \ - "0.0572657000, 0.0647228000, 0.0810599000, 0.1182610000, 0.2018102000, 0.3939508000, 0.8273843000", \ - "0.0615308000, 0.0679598000, 0.0827804000, 0.1179795000, 0.2023269000, 0.3939096000, 0.8291650000", \ - "0.0858604000, 0.0928515000, 0.1089965000, 0.1402150000, 0.2113782000, 0.3939461000, 0.8294236000", \ - "0.1344825000, 0.1436479000, 0.1654669000, 0.2068546000, 0.2816006000, 0.4356884000, 0.8318468000", \ - "0.2137215000, 0.2294274000, 0.2620517000, 0.3239200000, 0.4313074000, 0.6122711000, 0.9514598000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011407200, 0.0026024800, 0.0059374100, 0.0135458000, 0.0309040000, 0.0705056000"); - values("0.0521796000, 0.0585447000, 0.0728733000, 0.1048245000, 0.1756586000, 0.3347334000, 0.6976728000", \ - "0.0522254000, 0.0585653000, 0.0728836000, 0.1048260000, 0.1756917000, 0.3349314000, 0.6976842000", \ - "0.0538443000, 0.0594196000, 0.0729797000, 0.1048586000, 0.1756552000, 0.3348229000, 0.6984604000", \ - "0.0691865000, 0.0729841000, 0.0828535000, 0.1089189000, 0.1756759000, 0.3349810000, 0.6983393000", \ - "0.1144457000, 0.1175949000, 0.1249836000, 0.1425635000, 0.1927886000, 0.3361569000, 0.6974314000", \ - "0.1908599000, 0.1957235000, 0.2064836000, 0.2299615000, 0.2792245000, 0.3858037000, 0.7028442000", \ - "0.3182681000, 0.3261790000, 0.3438780000, 0.3825328000, 0.4550061000, 0.5784191000, 0.8284378000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o221ai_2") { - leakage_power () { - value : 0.0001839000; - when : "!A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 8.3183521e-05; - when : "!A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0014228000; - when : "!A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0001406000; - when : "!A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0014197000; - when : "!A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0001405000; - when : "!A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0013980000; - when : "!A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 6.9222622e-05; - when : "!A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0014311000; - when : "!A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0001373000; - when : "!A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0049871000; - when : "!A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0005536000; - when : "!A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0046773000; - when : "!A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0005538000; - when : "!A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0041281000; - when : "!A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0005390000; - when : "!A1&A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0014311000; - when : "A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0001373000; - when : "A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0051478000; - when : "A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0005542000; - when : "A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0048381000; - when : "A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0005560000; - when : "A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0042888000; - when : "A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0005376000; - when : "A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0014311000; - when : "A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0001373000; - when : "A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0038573000; - when : "A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0005574000; - when : "A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0035475000; - when : "A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0005545000; - when : "A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0030005000; - when : "A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0005277000; - when : "A1&A2&B1&B2&!C1"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__o221ai"; - cell_leakage_power : 0.0016552910; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0047770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045410000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079786000, 0.0079834000, 0.0079943000, 0.0079974000, 0.0080044000, 0.0080205000, 0.0080577000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008020100, -0.008010100, -0.007987100, -0.007988300, -0.007991100, -0.007997400, -0.008012100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050120000; - } - pin ("A2") { - capacitance : 0.0043180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040190000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075196000, 0.0075268000, 0.0075433000, 0.0075448000, 0.0075483000, 0.0075563000, 0.0075747000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007562800, -0.007556800, -0.007543000, -0.007544100, -0.007546700, -0.007552600, -0.007566400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046180000; - } - pin ("B1") { - capacitance : 0.0048790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0046830000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082793000, 0.0082741000, 0.0082621000, 0.0082655000, 0.0082733000, 0.0082913000, 0.0083329000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008306800, -0.008291100, -0.008254800, -0.008250800, -0.008241800, -0.008220800, -0.008172500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050740000; - } - pin ("B2") { - capacitance : 0.0042780000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075602000, 0.0075593000, 0.0075571000, 0.0075615000, 0.0075717000, 0.0075953000, 0.0076495000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007557200, -0.007553300, -0.007544300, -0.007540000, -0.007530100, -0.007507400, -0.007455000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045070000; - } - pin ("C1") { - capacitance : 0.0042400000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0090214000, 0.0090259000, 0.0090360000, 0.0090364000, 0.0090372000, 0.0090392000, 0.0090436000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004477200, -0.004484500, -0.004501300, -0.004477500, -0.004422500, -0.004295900, -0.004004000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0043320000; - } - pin ("Y") { - direction : "output"; - function : "(!B1&!B2) | (!A1&!A2) | (!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0149990000, 0.0138182000, 0.0107486000, 0.0030207000, -0.016576700, -0.066104800, -0.191085800", \ - "0.0147666000, 0.0135777000, 0.0105244000, 0.0028038000, -0.016788200, -0.066286900, -0.191316700", \ - "0.0144545000, 0.0132516000, 0.0102374000, 0.0025469000, -0.016988700, -0.066475000, -0.191477500", \ - "0.0141063000, 0.0129207000, 0.0098875000, 0.0022565000, -0.017209800, -0.066635100, -0.191608700", \ - "0.0138821000, 0.0126840000, 0.0097065000, 0.0020875000, -0.017396700, -0.066767200, -0.191609500", \ - "0.0139920000, 0.0127734000, 0.0096811000, 0.0019931000, -0.017795600, -0.066974600, -0.191836700", \ - "0.0147296000, 0.0135270000, 0.0103805000, 0.0025214000, -0.017204200, -0.067087900, -0.191829200"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0323784000, 0.0335997000, 0.0366395000, 0.0446514000, 0.0643399000, 0.1138474000, 0.2376373000", \ - "0.0319702000, 0.0332116000, 0.0364578000, 0.0442716000, 0.0640706000, 0.1134906000, 0.2374833000", \ - "0.0316659000, 0.0329030000, 0.0359939000, 0.0440322000, 0.0638398000, 0.1132884000, 0.2372591000", \ - "0.0312145000, 0.0324774000, 0.0356641000, 0.0436046000, 0.0635198000, 0.1130546000, 0.2372112000", \ - "0.0309353000, 0.0321684000, 0.0353041000, 0.0432402000, 0.0631557000, 0.1126858000, 0.2369109000", \ - "0.0308229000, 0.0319777000, 0.0352289000, 0.0431239000, 0.0631359000, 0.1126638000, 0.2369071000", \ - "0.0311128000, 0.0322866000, 0.0352986000, 0.0434166000, 0.0633261000, 0.1131159000, 0.2370193000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0115908000, 0.0104176000, 0.0073751000, -0.000347600, -0.019939500, -0.069506900, -0.194639100", \ - "0.0115304000, 0.0103545000, 0.0073401000, -0.000325700, -0.019885500, -0.069412100, -0.194565000", \ - "0.0112941000, 0.0101147000, 0.0071443000, -0.000463400, -0.019910700, -0.069410200, -0.194468300", \ - "0.0108531000, 0.0096776000, 0.0067124000, -0.000819900, -0.020149400, -0.069466100, -0.194434800", \ - "0.0104513000, 0.0092786000, 0.0063115000, -0.001271500, -0.020521200, -0.069703900, -0.194609800", \ - "0.0103993000, 0.0092417000, 0.0062028000, -0.001493300, -0.021114800, -0.070150100, -0.194876900", \ - "0.0112264000, 0.0099623000, 0.0068412000, -0.000997200, -0.020787400, -0.070474000, -0.195120400"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0278152000, 0.0289856000, 0.0320725000, 0.0399638000, 0.0597880000, 0.1092770000, 0.2329941000", \ - "0.0274384000, 0.0286697000, 0.0318086000, 0.0397234000, 0.0596490000, 0.1090634000, 0.2327880000", \ - "0.0269537000, 0.0282042000, 0.0314419000, 0.0393412000, 0.0592399000, 0.1088629000, 0.2327638000", \ - "0.0265635000, 0.0279100000, 0.0311160000, 0.0390076000, 0.0589774000, 0.1085717000, 0.2325744000", \ - "0.0262813000, 0.0274921000, 0.0306018000, 0.0385048000, 0.0583819000, 0.1082351000, 0.2322447000", \ - "0.0270768000, 0.0282963000, 0.0315557000, 0.0390837000, 0.0589454000, 0.1079601000, 0.2321563000", \ - "0.0296209000, 0.0307691000, 0.0336735000, 0.0431149000, 0.0624295000, 0.1089347000, 0.2321108000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0154509000, 0.0142153000, 0.0111861000, 0.0034572000, -0.016104700, -0.065605600, -0.190720800", \ - "0.0151879000, 0.0140069000, 0.0109250000, 0.0032438000, -0.016347700, -0.065889500, -0.190863900", \ - "0.0148672000, 0.0136854000, 0.0106649000, 0.0029912000, -0.016547500, -0.066069800, -0.191217600", \ - "0.0145022000, 0.0133329000, 0.0103163000, 0.0026425000, -0.016830600, -0.066255500, -0.191186200", \ - "0.0142495000, 0.0130446000, 0.0100141000, 0.0023914000, -0.016898700, -0.066336700, -0.191248500", \ - "0.0143020000, 0.0130680000, 0.0099875000, 0.0021879000, -0.017436100, -0.066689300, -0.191431800", \ - "0.0151140000, 0.0138419000, 0.0107165000, 0.0028773000, -0.016864400, -0.066731000, -0.191589800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0250201000, 0.0262604000, 0.0293991000, 0.0372588000, 0.0568660000, 0.1060014000, 0.2295898000", \ - "0.0246550000, 0.0259253000, 0.0291049000, 0.0370390000, 0.0567203000, 0.1059764000, 0.2295317000", \ - "0.0241101000, 0.0253926000, 0.0286182000, 0.0366330000, 0.0564542000, 0.1058372000, 0.2294249000", \ - "0.0236009000, 0.0248848000, 0.0280834000, 0.0360799000, 0.0559888000, 0.1055016000, 0.2293381000", \ - "0.0232542000, 0.0245224000, 0.0276667000, 0.0355909000, 0.0554383000, 0.1049431000, 0.2289686000", \ - "0.0231209000, 0.0243524000, 0.0274998000, 0.0353070000, 0.0552762000, 0.1048475000, 0.2288174000", \ - "0.0230335000, 0.0242308000, 0.0272030000, 0.0354849000, 0.0553261000, 0.1044411000, 0.2287359000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0117246000, 0.0105450000, 0.0075020000, -0.000204500, -0.019798000, -0.069403900, -0.194469800", \ - "0.0116557000, 0.0104795000, 0.0074785000, -0.000180000, -0.019713100, -0.069278600, -0.194376100", \ - "0.0114583000, 0.0102790000, 0.0073050000, -0.000295600, -0.019760800, -0.069229200, -0.194367600", \ - "0.0110397000, 0.0098805000, 0.0069204000, -0.000643700, -0.019973800, -0.069344400, -0.194306900", \ - "0.0105866000, 0.0094432000, 0.0064783000, -0.001056500, -0.020317800, -0.069510300, -0.194471800", \ - "0.0106348000, 0.0094033000, 0.0063478000, -0.001321900, -0.020928400, -0.070030300, -0.194757100", \ - "0.0116244000, 0.0103409000, 0.0071226000, -0.000753400, -0.020545000, -0.070283700, -0.195047100"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0207514000, 0.0220150000, 0.0251317000, 0.0329797000, 0.0525614000, 0.1017068000, 0.2253362000", \ - "0.0203381000, 0.0216117000, 0.0248133000, 0.0327441000, 0.0524298000, 0.1016309000, 0.2252670000", \ - "0.0198008000, 0.0210289000, 0.0242711000, 0.0322933000, 0.0521084000, 0.1014939000, 0.2251524000", \ - "0.0192216000, 0.0204823000, 0.0237148000, 0.0316453000, 0.0516930000, 0.1012882000, 0.2250516000", \ - "0.0190265000, 0.0202578000, 0.0234192000, 0.0313519000, 0.0511301000, 0.1006054000, 0.2245595000", \ - "0.0198093000, 0.0210298000, 0.0241214000, 0.0317812000, 0.0511323000, 0.1004499000, 0.2242483000", \ - "0.0228059000, 0.0241607000, 0.0262828000, 0.0335234000, 0.0528093000, 0.1019522000, 0.2247203000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0113533000, 0.0101964000, 0.0072022000, -0.000407900, -0.019876000, -0.069401200, -0.194406100", \ - "0.0111617000, 0.0099878000, 0.0070041000, -0.000590600, -0.019978300, -0.069406800, -0.194535600", \ - "0.0109342000, 0.0097558000, 0.0067688000, -0.000843500, -0.020127200, -0.069569600, -0.194534700", \ - "0.0105567000, 0.0093610000, 0.0064025000, -0.001207000, -0.020462800, -0.069670900, -0.194659000", \ - "0.0103427000, 0.0091342000, 0.0060969000, -0.001458400, -0.020811300, -0.070099700, -0.194728600", \ - "0.0109710000, 0.0097170000, 0.0064924000, -0.001427600, -0.020829700, -0.070305900, -0.195056200", \ - "0.0130599000, 0.0117891000, 0.0084939000, 0.0003302000, -0.019730900, -0.069995500, -0.195161100"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012602220, 0.0031763210, 0.0080057410, 0.0201780300, 0.0508576100, 0.1281838000"); - values("0.0148303000, 0.0162088000, 0.0195937000, 0.0276614000, 0.0474384000, 0.0965669000, 0.2199467000", \ - "0.0144258000, 0.0158054000, 0.0191747000, 0.0273942000, 0.0472900000, 0.0966360000, 0.2201954000", \ - "0.0140304000, 0.0153941000, 0.0187733000, 0.0268038000, 0.0468748000, 0.0964049000, 0.2203269000", \ - "0.0139241000, 0.0151759000, 0.0182833000, 0.0264606000, 0.0465668000, 0.0958737000, 0.2198503000", \ - "0.0139729000, 0.0151981000, 0.0182765000, 0.0261276000, 0.0457360000, 0.0953882000, 0.2191584000", \ - "0.0151196000, 0.0163520000, 0.0192663000, 0.0268765000, 0.0463172000, 0.0951289000, 0.2190364000", \ - "0.0183671000, 0.0194998000, 0.0225681000, 0.0290819000, 0.0477423000, 0.0973847000, 0.2191902000"); - } - } - max_capacitance : 0.1281840000; - max_transition : 1.5429350000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0721908000, 0.0756196000, 0.0839850000, 0.1039333000, 0.1516038000, 0.2698899000, 0.5654340000", \ - "0.0765108000, 0.0799455000, 0.0883476000, 0.1082872000, 0.1560052000, 0.2742842000, 0.5699921000", \ - "0.0855900000, 0.0889107000, 0.0975145000, 0.1175194000, 0.1653531000, 0.2836511000, 0.5793676000", \ - "0.1030012000, 0.1065131000, 0.1148212000, 0.1348836000, 0.1829766000, 0.3014812000, 0.5966331000", \ - "0.1304678000, 0.1343933000, 0.1442727000, 0.1668754000, 0.2182810000, 0.3376238000, 0.6329128000", \ - "0.1652837000, 0.1711619000, 0.1841208000, 0.2145165000, 0.2779708000, 0.4137266000, 0.7143691000", \ - "0.1804494000, 0.1902117000, 0.2109374000, 0.2567796000, 0.3534155000, 0.5339406000, 0.8867623000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.1324391000, 0.1390614000, 0.1546737000, 0.1942027000, 0.2888937000, 0.5232902000, 1.1068512000", \ - "0.1370925000, 0.1434860000, 0.1602874000, 0.1990466000, 0.2945184000, 0.5289078000, 1.1124250000", \ - "0.1498362000, 0.1564588000, 0.1722611000, 0.2119086000, 0.3070933000, 0.5414961000, 1.1260050000", \ - "0.1766460000, 0.1831688000, 0.1994509000, 0.2392076000, 0.3347308000, 0.5701830000, 1.1535495000", \ - "0.2383380000, 0.2450296000, 0.2609650000, 0.2998846000, 0.3954171000, 0.6311185000, 1.2153821000", \ - "0.3539462000, 0.3609861000, 0.3838713000, 0.4300334000, 0.5365510000, 0.7721798000, 1.3575302000", \ - "0.5534511000, 0.5653765000, 0.5950985000, 0.6612368000, 0.8020571000, 1.0908424000, 1.6850581000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0470174000, 0.0510719000, 0.0611819000, 0.0861912000, 0.1494806000, 0.3097756000, 0.7133576000", \ - "0.0469976000, 0.0510640000, 0.0611847000, 0.0861855000, 0.1495298000, 0.3097263000, 0.7133276000", \ - "0.0470185000, 0.0510206000, 0.0611201000, 0.0861543000, 0.1495976000, 0.3096011000, 0.7133028000", \ - "0.0486905000, 0.0524809000, 0.0620789000, 0.0867000000, 0.1493751000, 0.3092191000, 0.7131788000", \ - "0.0593505000, 0.0633381000, 0.0735071000, 0.0973861000, 0.1562967000, 0.3112099000, 0.7131633000", \ - "0.0898720000, 0.0943893000, 0.1049244000, 0.1311577000, 0.1906295000, 0.3367998000, 0.7198924000", \ - "0.1556345000, 0.1618767000, 0.1761958000, 0.2095156000, 0.2792954000, 0.4303090000, 0.7897955000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.1071949000, 0.1157104000, 0.1358400000, 0.1872526000, 0.3146478000, 0.6364855000, 1.4455835000", \ - "0.1068515000, 0.1150301000, 0.1359953000, 0.1864559000, 0.3144192000, 0.6367514000, 1.4442344000", \ - "0.1071575000, 0.1152217000, 0.1357811000, 0.1872217000, 0.3147080000, 0.6361126000, 1.4465422000", \ - "0.1067469000, 0.1148381000, 0.1355634000, 0.1871434000, 0.3154493000, 0.6382413000, 1.4450026000", \ - "0.1147630000, 0.1219875000, 0.1414709000, 0.1898938000, 0.3148057000, 0.6366063000, 1.4449870000", \ - "0.1540352000, 0.1610506000, 0.1812103000, 0.2285538000, 0.3396711000, 0.6407406000, 1.4462944000", \ - "0.2351961000, 0.2458658000, 0.2703250000, 0.3237021000, 0.4457379000, 0.7210613000, 1.4617676000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0610343000, 0.0646133000, 0.0729637000, 0.0933506000, 0.1428669000, 0.2651557000, 0.5720946000", \ - "0.0658017000, 0.0693033000, 0.0776974000, 0.0981232000, 0.1478435000, 0.2702703000, 0.5765181000", \ - "0.0744948000, 0.0779235000, 0.0866114000, 0.1070864000, 0.1568811000, 0.2795554000, 0.5869539000", \ - "0.0899101000, 0.0936434000, 0.1024661000, 0.1233076000, 0.1733842000, 0.2962776000, 0.6030574000", \ - "0.1118720000, 0.1163684000, 0.1270706000, 0.1509125000, 0.2052002000, 0.3295393000, 0.6368955000", \ - "0.1333371000, 0.1403222000, 0.1558535000, 0.1883573000, 0.2567532000, 0.3991766000, 0.7124423000", \ - "0.1260610000, 0.1365855000, 0.1605041000, 0.2129894000, 0.3154988000, 0.5048898000, 0.8691879000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.1153291000, 0.1220119000, 0.1379745000, 0.1766323000, 0.2724076000, 0.5061131000, 1.0895288000", \ - "0.1191946000, 0.1254778000, 0.1415601000, 0.1806710000, 0.2767311000, 0.5105834000, 1.0943952000", \ - "0.1292220000, 0.1354896000, 0.1523211000, 0.1912764000, 0.2873510000, 0.5215741000, 1.1054393000", \ - "0.1574575000, 0.1641159000, 0.1798684000, 0.2196255000, 0.3152814000, 0.5491018000, 1.1332273000", \ - "0.2259886000, 0.2328958000, 0.2487306000, 0.2873121000, 0.3819471000, 0.6168377000, 1.2012951000", \ - "0.3568711000, 0.3664412000, 0.3887936000, 0.4390504000, 0.5435167000, 0.7745711000, 1.3577010000", \ - "0.5707951000, 0.5848470000, 0.6183741000, 0.6955346000, 0.8506351000, 1.1417566000, 1.7243870000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0390603000, 0.0430002000, 0.0533706000, 0.0794577000, 0.1455497000, 0.3125171000, 0.7345767000", \ - "0.0389875000, 0.0430344000, 0.0533838000, 0.0794331000, 0.1455433000, 0.3121903000, 0.7327869000", \ - "0.0389285000, 0.0429758000, 0.0532620000, 0.0794349000, 0.1455070000, 0.3127762000, 0.7335180000", \ - "0.0416356000, 0.0453038000, 0.0550278000, 0.0802666000, 0.1454162000, 0.3123265000, 0.7328463000", \ - "0.0527383000, 0.0568270000, 0.0666426000, 0.0916849000, 0.1529240000, 0.3142362000, 0.7341019000", \ - "0.0838246000, 0.0881805000, 0.0994430000, 0.1256558000, 0.1873018000, 0.3399243000, 0.7394234000", \ - "0.1495099000, 0.1559000000, 0.1710548000, 0.2049676000, 0.2758238000, 0.4313732000, 0.8098154000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.1075446000, 0.1151649000, 0.1356026000, 0.1865601000, 0.3147199000, 0.6363002000, 1.4458932000", \ - "0.1068474000, 0.1149259000, 0.1353505000, 0.1865640000, 0.3147334000, 0.6362105000, 1.4472102000", \ - "0.1068019000, 0.1150218000, 0.1359223000, 0.1865292000, 0.3141988000, 0.6364554000, 1.4447274000", \ - "0.1061842000, 0.1144965000, 0.1354664000, 0.1864387000, 0.3154695000, 0.6363388000, 1.4450218000", \ - "0.1207075000, 0.1276148000, 0.1451718000, 0.1916791000, 0.3151339000, 0.6365770000, 1.4460513000", \ - "0.1734981000, 0.1824869000, 0.2034196000, 0.2520767000, 0.3516108000, 0.6417208000, 1.4467697000", \ - "0.2681624000, 0.2811711000, 0.3116539000, 0.3760552000, 0.5073996000, 0.7542900000, 1.4647712000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0646643000, 0.0677975000, 0.0761605000, 0.0959634000, 0.1441526000, 0.2623688000, 0.5570366000", \ - "0.0685452000, 0.0720418000, 0.0800227000, 0.1001041000, 0.1480913000, 0.2664177000, 0.5611592000", \ - "0.0773253000, 0.0807699000, 0.0891528000, 0.1091980000, 0.1572811000, 0.2755823000, 0.5703466000", \ - "0.0963308000, 0.0999240000, 0.1086720000, 0.1286119000, 0.1770861000, 0.2955988000, 0.5903774000", \ - "0.1277634000, 0.1324310000, 0.1430221000, 0.1670875000, 0.2214701000, 0.3411871000, 0.6366112000", \ - "0.1636897000, 0.1700933000, 0.1862739000, 0.2217987000, 0.2950464000, 0.4384920000, 0.7429783000", \ - "0.1776596000, 0.1878957000, 0.2129965000, 0.2699759000, 0.3830884000, 0.5921243000, 0.9645907000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.1049989000, 0.1116489000, 0.1279318000, 0.1674290000, 0.2658086000, 0.5109873000, 1.1272200000", \ - "0.1096317000, 0.1163769000, 0.1329358000, 0.1727966000, 0.2716664000, 0.5170457000, 1.1334442000", \ - "0.1214957000, 0.1283328000, 0.1448602000, 0.1855320000, 0.2847920000, 0.5307174000, 1.1474172000", \ - "0.1491587000, 0.1558712000, 0.1720878000, 0.2127114000, 0.3122042000, 0.5592292000, 1.1774661000", \ - "0.2092100000, 0.2166932000, 0.2348235000, 0.2753693000, 0.3751074000, 0.6232034000, 1.2412802000", \ - "0.3162134000, 0.3261899000, 0.3496919000, 0.4039042000, 0.5192591000, 0.7692916000, 1.3889560000", \ - "0.4981680000, 0.5135341000, 0.5501110000, 0.6308983000, 0.7921860000, 1.0963213000, 1.7283872000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0471359000, 0.0510181000, 0.0610772000, 0.0861858000, 0.1492698000, 0.3096837000, 0.7134683000", \ - "0.0470277000, 0.0510656000, 0.0610161000, 0.0862453000, 0.1494413000, 0.3099518000, 0.7114277000", \ - "0.0469444000, 0.0509268000, 0.0609015000, 0.0862966000, 0.1493842000, 0.3096874000, 0.7138319000", \ - "0.0504060000, 0.0541206000, 0.0634174000, 0.0875235000, 0.1496131000, 0.3095758000, 0.7131891000", \ - "0.0668150000, 0.0707987000, 0.0805088000, 0.1041765000, 0.1602249000, 0.3120609000, 0.7136090000", \ - "0.1042473000, 0.1097614000, 0.1220461000, 0.1498755000, 0.2104884000, 0.3476658000, 0.7208970000", \ - "0.1742685000, 0.1824803000, 0.2003583000, 0.2406122000, 0.3196225000, 0.4750876000, 0.8147328000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.1096392000, 0.1182001000, 0.1397958000, 0.1937068000, 0.3280664000, 0.6652328000, 1.5220012000", \ - "0.1096467000, 0.1182000000, 0.1398044000, 0.1937140000, 0.3280913000, 0.6647211000, 1.5216712000", \ - "0.1096383000, 0.1181950000, 0.1397874000, 0.1937349000, 0.3281053000, 0.6649546000, 1.5221046000", \ - "0.1097719000, 0.1182673000, 0.1397827000, 0.1937490000, 0.3281942000, 0.6646534000, 1.5237674000", \ - "0.1241765000, 0.1315867000, 0.1506342000, 0.1997556000, 0.3285489000, 0.6652719000, 1.5217253000", \ - "0.1731517000, 0.1808127000, 0.1991533000, 0.2443702000, 0.3580832000, 0.6691859000, 1.5156786000", \ - "0.2733289000, 0.2821397000, 0.3035937000, 0.3554883000, 0.4751086000, 0.7481627000, 1.5358457000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0536280000, 0.0572201000, 0.0656987000, 0.0863463000, 0.1365783000, 0.2608460000, 0.5713151000", \ - "0.0579811000, 0.0615866000, 0.0702744000, 0.0910428000, 0.1411865000, 0.2653703000, 0.5770158000", \ - "0.0669804000, 0.0704555000, 0.0792002000, 0.1001727000, 0.1506965000, 0.2752317000, 0.5857340000", \ - "0.0842933000, 0.0883910000, 0.0976255000, 0.1192825000, 0.1700982000, 0.2949591000, 0.6070047000", \ - "0.1094103000, 0.1150444000, 0.1276754000, 0.1550064000, 0.2130256000, 0.3400896000, 0.6521739000", \ - "0.1316180000, 0.1398386000, 0.1591235000, 0.2008178000, 0.2818210000, 0.4358951000, 0.7568753000", \ - "0.1220890000, 0.1344413000, 0.1643603000, 0.2291640000, 0.3564969000, 0.5823933000, 0.9762267000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0858707000, 0.0923052000, 0.1083638000, 0.1480625000, 0.2463924000, 0.4915511000, 1.1095510000", \ - "0.0888743000, 0.0957433000, 0.1120254000, 0.1520515000, 0.2509164000, 0.4962994000, 1.1127962000", \ - "0.0989553000, 0.1052258000, 0.1218172000, 0.1624136000, 0.2618052000, 0.5078641000, 1.1245020000", \ - "0.1263564000, 0.1327710000, 0.1496567000, 0.1891108000, 0.2882169000, 0.5354617000, 1.1534668000", \ - "0.1910864000, 0.1989782000, 0.2170568000, 0.2580350000, 0.3567036000, 0.6023652000, 1.2219272000", \ - "0.2993213000, 0.3113697000, 0.3391819000, 0.3987999000, 0.5159230000, 0.7612314000, 1.3769844000", \ - "0.4816387000, 0.4987964000, 0.5405662000, 0.6301369000, 0.8086280000, 1.1286142000, 1.7426216000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0402420000, 0.0442851000, 0.0547313000, 0.0811660000, 0.1481401000, 0.3175678000, 0.7438990000", \ - "0.0402720000, 0.0443516000, 0.0546648000, 0.0810245000, 0.1481187000, 0.3177103000, 0.7441051000", \ - "0.0400820000, 0.0442430000, 0.0546571000, 0.0810806000, 0.1480891000, 0.3175603000, 0.7438936000", \ - "0.0456685000, 0.0492543000, 0.0584482000, 0.0829868000, 0.1480272000, 0.3173809000, 0.7468085000", \ - "0.0630195000, 0.0671311000, 0.0774860000, 0.1020719000, 0.1602837000, 0.3195296000, 0.7439639000", \ - "0.1015869000, 0.1070042000, 0.1203482000, 0.1499506000, 0.2132164000, 0.3573092000, 0.7510818000", \ - "0.1731651000, 0.1810545000, 0.2006849000, 0.2430201000, 0.3265065000, 0.4900000000, 0.8461753000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.1095790000, 0.1181324000, 0.1397331000, 0.1936712000, 0.3278562000, 0.6651278000, 1.5149423000", \ - "0.1095874000, 0.1181420000, 0.1397075000, 0.1937640000, 0.3280751000, 0.6644712000, 1.5211654000", \ - "0.1095402000, 0.1181271000, 0.1397427000, 0.1937147000, 0.3281296000, 0.6647133000, 1.5222739000", \ - "0.1109875000, 0.1190886000, 0.1398999000, 0.1936532000, 0.3281812000, 0.6654798000, 1.5156250000", \ - "0.1369896000, 0.1435182000, 0.1602540000, 0.2051338000, 0.3289771000, 0.6652666000, 1.5203869000", \ - "0.2022155000, 0.2103445000, 0.2295276000, 0.2738971000, 0.3746996000, 0.6716165000, 1.5157797000", \ - "0.3120472000, 0.3235695000, 0.3525626000, 0.4136373000, 0.5379552000, 0.7922008000, 1.5429349000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0501570000, 0.0538015000, 0.0620742000, 0.0823850000, 0.1304828000, 0.2487767000, 0.5435534000", \ - "0.0540888000, 0.0576194000, 0.0658751000, 0.0861379000, 0.1345266000, 0.2529408000, 0.5478785000", \ - "0.0638298000, 0.0673098000, 0.0757092000, 0.0956390000, 0.1441190000, 0.2627181000, 0.5578013000", \ - "0.0873051000, 0.0908411000, 0.0987707000, 0.1182990000, 0.1665769000, 0.2852335000, 0.5804943000", \ - "0.1221422000, 0.1273517000, 0.1396209000, 0.1665763000, 0.2213759000, 0.3398960000, 0.6350895000", \ - "0.1603613000, 0.1681491000, 0.1862547000, 0.2271140000, 0.3090602000, 0.4620823000, 0.7607605000", \ - "0.1844776000, 0.1963264000, 0.2243324000, 0.2858285000, 0.4109559000, 0.6412097000, 1.0425150000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0272881000, 0.0304023000, 0.0377858000, 0.0553727000, 0.0984782000, 0.2060048000, 0.4766860000", \ - "0.0325482000, 0.0355655000, 0.0430467000, 0.0609261000, 0.1043046000, 0.2120370000, 0.4839544000", \ - "0.0461381000, 0.0490649000, 0.0563026000, 0.0737157000, 0.1177508000, 0.2250310000, 0.4957567000", \ - "0.0706167000, 0.0754523000, 0.0858691000, 0.1059988000, 0.1491788000, 0.2572738000, 0.5266053000", \ - "0.1093182000, 0.1170430000, 0.1337536000, 0.1664875000, 0.2236346000, 0.3317384000, 0.6024971000", \ - "0.1713287000, 0.1834276000, 0.2101296000, 0.2626173000, 0.3549838000, 0.5031080000, 0.7743297000", \ - "0.2791124000, 0.2961793000, 0.3357150000, 0.4173196000, 0.5639280000, 0.8042659000, 1.1720489000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0472908000, 0.0511739000, 0.0611898000, 0.0861964000, 0.1496181000, 0.3099153000, 0.7125556000", \ - "0.0472696000, 0.0511346000, 0.0612157000, 0.0861401000, 0.1492992000, 0.3094051000, 0.7140653000", \ - "0.0457943000, 0.0498739000, 0.0601214000, 0.0859903000, 0.1493622000, 0.3097054000, 0.7139646000", \ - "0.0527262000, 0.0561762000, 0.0650439000, 0.0877635000, 0.1488845000, 0.3095504000, 0.7133009000", \ - "0.0735237000, 0.0782385000, 0.0893011000, 0.1145508000, 0.1672677000, 0.3122874000, 0.7124070000", \ - "0.1141972000, 0.1213919000, 0.1373656000, 0.1711898000, 0.2369686000, 0.3687664000, 0.7236775000", \ - "0.1861953000, 0.1969622000, 0.2213967000, 0.2724679000, 0.3675011000, 0.5394201000, 0.8657746000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012602200, 0.0031763200, 0.0080057400, 0.0201780000, 0.0508576000, 0.1281840000"); - values("0.0416539000, 0.0460935000, 0.0572441000, 0.0844696000, 0.1486227000, 0.3030262000, 0.6869553000", \ - "0.0416636000, 0.0461417000, 0.0572570000, 0.0845045000, 0.1486471000, 0.3030743000, 0.6881272000", \ - "0.0447538000, 0.0484637000, 0.0582027000, 0.0845070000, 0.1486453000, 0.3030142000, 0.6880168000", \ - "0.0633606000, 0.0655141000, 0.0716344000, 0.0919015000, 0.1495324000, 0.3030536000, 0.6868561000", \ - "0.1110711000, 0.1127344000, 0.1174610000, 0.1303720000, 0.1718044000, 0.3055714000, 0.6877580000", \ - "0.1863639000, 0.1888592000, 0.1965227000, 0.2156142000, 0.2592841000, 0.3613847000, 0.6931638000", \ - "0.3099758000, 0.3144003000, 0.3258889000, 0.3567989000, 0.4262065000, 0.5548829000, 0.8176908000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o221ai_4") { - leakage_power () { - value : 0.0004130000; - when : "!A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0168845000; - when : "!A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0032993000; - when : "!A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0003314000; - when : "!A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0032880000; - when : "!A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0004925000; - when : "!A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0033356000; - when : "!A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0002716000; - when : "!A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0033389000; - when : "!A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0003256000; - when : "!A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0064977000; - when : "!A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0015247000; - when : "!A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0060087000; - when : "!A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0014954000; - when : "!A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0051254000; - when : "!A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0014736000; - when : "!A1&A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0033389000; - when : "A1&!A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0003256000; - when : "A1&!A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0068375000; - when : "A1&!A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0014995000; - when : "A1&!A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0063485000; - when : "A1&!A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0015336000; - when : "A1&!A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0054658000; - when : "A1&!A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0014761000; - when : "A1&!A2&B1&B2&!C1"; - } - leakage_power () { - value : 0.0080091000; - when : "A1&A2&!B1&!B2&C1"; - } - leakage_power () { - value : 0.0020177000; - when : "A1&A2&!B1&!B2&!C1"; - } - leakage_power () { - value : 0.0050589000; - when : "A1&A2&!B1&B2&C1"; - } - leakage_power () { - value : 0.0015334000; - when : "A1&A2&!B1&B2&!C1"; - } - leakage_power () { - value : 0.0045699000; - when : "A1&A2&B1&!B2&C1"; - } - leakage_power () { - value : 0.0015334000; - when : "A1&A2&B1&!B2&!C1"; - } - leakage_power () { - value : 0.0036882000; - when : "A1&A2&B1&B2&C1"; - } - leakage_power () { - value : 0.0015334000; - when : "A1&A2&B1&B2&!C1"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__o221ai"; - cell_leakage_power : 0.0034023540; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0091070000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0086310000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0158788000, 0.0158727000, 0.0158587000, 0.0158644000, 0.0158775000, 0.0159078000, 0.0159777000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015892600, -0.015872700, -0.015826700, -0.015822500, -0.015812800, -0.015790500, -0.015739200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0095840000; - } - pin ("A2") { - capacitance : 0.0084800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0150382000, 0.0150310000, 0.0150144000, 0.0150191000, 0.0150298000, 0.0150545000, 0.0151114000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015022500, -0.015024000, -0.015027400, -0.015032200, -0.015043000, -0.015068100, -0.015126000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090930000; - } - pin ("B1") { - capacitance : 0.0089150000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0085920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0161553000, 0.0161425000, 0.0161129000, 0.0161273000, 0.0161605000, 0.0162370000, 0.0164133000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.016130100, -0.016123300, -0.016107600, -0.016100400, -0.016083900, -0.016045700, -0.015957800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092390000; - } - pin ("B2") { - capacitance : 0.0081250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0076720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0151883000, 0.0151853000, 0.0151783000, 0.0151909000, 0.0152200000, 0.0152871000, 0.0154416000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015183700, -0.015175400, -0.015156300, -0.015153100, -0.015145900, -0.015129100, -0.015090400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0085780000; - } - pin ("C1") { - capacitance : 0.0084170000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082590000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0181816000, 0.0181792000, 0.0181735000, 0.0181731000, 0.0181724000, 0.0181706000, 0.0181665000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.009690000, -0.009698600, -0.009718500, -0.009673700, -0.009570300, -0.009332100, -0.008782900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0085760000; - } - pin ("Y") { - direction : "output"; - function : "(!B1&!B2) | (!A1&!A2) | (!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013748080, 0.0037801970, 0.0103940900, 0.0285797800, 0.0785834500, 0.2160744000"); - values("0.0308118000, 0.0293641000, 0.0255065000, 0.0150083000, -0.014161700, -0.094760700, -0.316913800", \ - "0.0303307000, 0.0288903000, 0.0250401000, 0.0145576000, -0.014579000, -0.095141000, -0.317442700", \ - "0.0297215000, 0.0283284000, 0.0244647000, 0.0140698000, -0.015045600, -0.095618400, -0.317790800", \ - "0.0290045000, 0.0276169000, 0.0238104000, 0.0133784000, -0.015561700, -0.095917100, -0.318020600", \ - "0.0284385000, 0.0270939000, 0.0233000000, 0.0130023000, -0.015966500, -0.096202000, -0.318116000", \ - "0.0286542000, 0.0272093000, 0.0233272000, 0.0126416000, -0.016885700, -0.096820500, -0.318661200", \ - "0.0297381000, 0.0282444000, 0.0243470000, 0.0136902000, -0.015866900, -0.097106500, -0.318739600"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013748080, 0.0037801970, 0.0103940900, 0.0285797800, 0.0785834500, 0.2160744000"); - values("0.0627689000, 0.0641523000, 0.0680326000, 0.0789087000, 0.1084904000, 0.1892970000, 0.4099191000", \ - "0.0622598000, 0.0636943000, 0.0675495000, 0.0784410000, 0.1080415000, 0.1887738000, 0.4092136000", \ - "0.0614429000, 0.0628737000, 0.0670408000, 0.0777190000, 0.1075130000, 0.1884710000, 0.4090131000", \ - "0.0607837000, 0.0622474000, 0.0662259000, 0.0771667000, 0.1069296000, 0.1879895000, 0.4085586000", \ - "0.0600738000, 0.0615455000, 0.0654486000, 0.0762874000, 0.1061567000, 0.1871572000, 0.4083585000", \ - "0.0599445000, 0.0613652000, 0.0652318000, 0.0763228000, 0.1059201000, 0.1870730000, 0.4074641000", \ - "0.0601139000, 0.0616327000, 0.0655916000, 0.0768236000, 0.1064749000, 0.1874652000, 0.4086071000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013748080, 0.0037801970, 0.0103940900, 0.0285797800, 0.0785834500, 0.2160744000"); - values("0.0238279000, 0.0224647000, 0.0186882000, 0.0082241000, -0.020981800, -0.101671900, -0.324060600", \ - "0.0237587000, 0.0224107000, 0.0186454000, 0.0082458000, -0.020827800, -0.101405400, -0.323787000", \ - "0.0233170000, 0.0220187000, 0.0182918000, 0.0079759000, -0.020874600, -0.101309300, -0.323575500", \ - "0.0225195000, 0.0211563000, 0.0174688000, 0.0072427000, -0.021410500, -0.101537200, -0.323633000", \ - "0.0216188000, 0.0202168000, 0.0165523000, 0.0063325000, -0.022294800, -0.102114500, -0.323814100", \ - "0.0216861000, 0.0203087000, 0.0164849000, 0.0059877000, -0.023248100, -0.103114500, -0.324492500", \ - "0.0227216000, 0.0214093000, 0.0173173000, 0.0064952000, -0.022772900, -0.103774700, -0.325076900"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013748080, 0.0037801970, 0.0103940900, 0.0285797800, 0.0785834500, 0.2160744000"); - values("0.0540372000, 0.0554860000, 0.0594094000, 0.0702050000, 0.0998178000, 0.1806332000, 0.4014361000", \ - "0.0535928000, 0.0550302000, 0.0588140000, 0.0696418000, 0.0993093000, 0.1800338000, 0.4006631000", \ - "0.0525308000, 0.0539575000, 0.0581289000, 0.0688806000, 0.0987104000, 0.1796031000, 0.4003307000", \ - "0.0518367000, 0.0531843000, 0.0573228000, 0.0679701000, 0.0979019000, 0.1791955000, 0.3998281000", \ - "0.0510101000, 0.0524345000, 0.0563825000, 0.0671785000, 0.0969777000, 0.1782785000, 0.3991643000", \ - "0.0519920000, 0.0533720000, 0.0572757000, 0.0679171000, 0.0973193000, 0.1781470000, 0.3987486000", \ - "0.0565482000, 0.0578122000, 0.0635848000, 0.0728890000, 0.1019912000, 0.1796064000, 0.3993596000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013748080, 0.0037801970, 0.0103940900, 0.0285797800, 0.0785834500, 0.2160744000"); - values("0.0313086000, 0.0298946000, 0.0261305000, 0.0155485000, -0.013636400, -0.094268600, -0.316513400", \ - "0.0308642000, 0.0294721000, 0.0256912000, 0.0151481000, -0.013980700, -0.094628200, -0.316791200", \ - "0.0303100000, 0.0289515000, 0.0251125000, 0.0146332000, -0.014431700, -0.094953300, -0.317132100", \ - "0.0296366000, 0.0282501000, 0.0244545000, 0.0140025000, -0.014979300, -0.095342400, -0.317408400", \ - "0.0289949000, 0.0276438000, 0.0238669000, 0.0134617000, -0.015242100, -0.095518100, -0.317472300", \ - "0.0288090000, 0.0274106000, 0.0235775000, 0.0127569000, -0.016187700, -0.096330200, -0.317963900", \ - "0.0304893000, 0.0290305000, 0.0251224000, 0.0144284000, -0.015107100, -0.096361500, -0.318160200"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013748080, 0.0037801970, 0.0103940900, 0.0285797800, 0.0785834500, 0.2160744000"); - values("0.0486270000, 0.0500547000, 0.0540033000, 0.0647110000, 0.0939362000, 0.1741229000, 0.3939558000", \ - "0.0480106000, 0.0494718000, 0.0534686000, 0.0642648000, 0.0936654000, 0.1738842000, 0.3939337000", \ - "0.0469696000, 0.0484853000, 0.0525564000, 0.0634964000, 0.0931548000, 0.1736043000, 0.3935924000", \ - "0.0459301000, 0.0473975000, 0.0514317000, 0.0623844000, 0.0923325000, 0.1730633000, 0.3932871000", \ - "0.0451664000, 0.0466059000, 0.0505833000, 0.0614150000, 0.0910906000, 0.1720349000, 0.3927751000", \ - "0.0448341000, 0.0462647000, 0.0502533000, 0.0609396000, 0.0907444000, 0.1714481000, 0.3920045000", \ - "0.0444193000, 0.0458018000, 0.0500757000, 0.0612816000, 0.0907012000, 0.1715764000, 0.3924932000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013748080, 0.0037801970, 0.0103940900, 0.0285797800, 0.0785834500, 0.2160744000"); - values("0.0242969000, 0.0229570000, 0.0191458000, 0.0086125000, -0.020529900, -0.101303700, -0.323704100", \ - "0.0242232000, 0.0228718000, 0.0190868000, 0.0086677000, -0.020390800, -0.101033100, -0.323418600", \ - "0.0237880000, 0.0224582000, 0.0187818000, 0.0083959000, -0.020499600, -0.100964000, -0.323309800", \ - "0.0229835000, 0.0216960000, 0.0179919000, 0.0076855000, -0.020991000, -0.101144900, -0.323293000", \ - "0.0220258000, 0.0207369000, 0.0171296000, 0.0068694000, -0.021713700, -0.101612300, -0.323384900", \ - "0.0222155000, 0.0208172000, 0.0170138000, 0.0063001000, -0.022906300, -0.102672800, -0.323993600", \ - "0.0239851000, 0.0224975000, 0.0185280000, 0.0077144000, -0.021937600, -0.103023800, -0.324548100"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013748080, 0.0037801970, 0.0103940900, 0.0285797800, 0.0785834500, 0.2160744000"); - values("0.0401043000, 0.0415468000, 0.0454609000, 0.0561854000, 0.0855142000, 0.1655927000, 0.3854266000", \ - "0.0393880000, 0.0408516000, 0.0448385000, 0.0557062000, 0.0851374000, 0.1653539000, 0.3851068000", \ - "0.0382197000, 0.0397512000, 0.0438061000, 0.0547993000, 0.0845798000, 0.1650219000, 0.3851052000", \ - "0.0372198000, 0.0386871000, 0.0428326000, 0.0537023000, 0.0835002000, 0.1644386000, 0.3846954000", \ - "0.0370726000, 0.0385254000, 0.0423927000, 0.0532029000, 0.0826237000, 0.1633901000, 0.3841423000", \ - "0.0379346000, 0.0393368000, 0.0431674000, 0.0536682000, 0.0827443000, 0.1630157000, 0.3834245000", \ - "0.0426782000, 0.0439892000, 0.0476075000, 0.0576846000, 0.0860270000, 0.1650252000, 0.3818799000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013748080, 0.0037801970, 0.0103940900, 0.0285797800, 0.0785834500, 0.2160744000"); - values("0.0243095000, 0.0229616000, 0.0191876000, 0.0087637000, -0.020360000, -0.100843100, -0.323109000", \ - "0.0238471000, 0.0225129000, 0.0188160000, 0.0083832000, -0.020528000, -0.100986300, -0.323178600", \ - "0.0233858000, 0.0220334000, 0.0182911000, 0.0079485000, -0.020863500, -0.101238700, -0.323234200", \ - "0.0227484000, 0.0213385000, 0.0176059000, 0.0072625000, -0.021465400, -0.101536900, -0.323430700", \ - "0.0225031000, 0.0211044000, 0.0172982000, 0.0071480000, -0.021904500, -0.102006200, -0.323616300", \ - "0.0234028000, 0.0220419000, 0.0179435000, 0.0073046000, -0.022004600, -0.102252600, -0.324053500", \ - "0.0273393000, 0.0258246000, 0.0217339000, 0.0107500000, -0.018742000, -0.101759400, -0.324190200"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013748080, 0.0037801970, 0.0103940900, 0.0285797800, 0.0785834500, 0.2160744000"); - values("0.0290511000, 0.0306559000, 0.0349800000, 0.0461552000, 0.0757117000, 0.1558071000, 0.3757882000", \ - "0.0283173000, 0.0299266000, 0.0342656000, 0.0456135000, 0.0755649000, 0.1558722000, 0.3758302000", \ - "0.0275635000, 0.0291731000, 0.0335264000, 0.0449278000, 0.0749936000, 0.1558126000, 0.3760113000", \ - "0.0270137000, 0.0285399000, 0.0327518000, 0.0440560000, 0.0742610000, 0.1552079000, 0.3759987000", \ - "0.0272966000, 0.0287280000, 0.0324972000, 0.0433707000, 0.0733065000, 0.1537109000, 0.3746453000", \ - "0.0297139000, 0.0310789000, 0.0346701000, 0.0448797000, 0.0740734000, 0.1552954000, 0.3746415000", \ - "0.0354622000, 0.0366547000, 0.0392046000, 0.0487917000, 0.0771750000, 0.1578802000, 0.3778743000"); - } - } - max_capacitance : 0.2160740000; - max_transition : 1.5474020000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.0832690000, 0.0853258000, 0.0916175000, 0.1087885000, 0.1524408000, 0.2691491000, 0.5848709000", \ - "0.0873068000, 0.0894162000, 0.0957651000, 0.1127866000, 0.1565514000, 0.2734311000, 0.5889364000", \ - "0.0956254000, 0.0978497000, 0.1040684000, 0.1213357000, 0.1652208000, 0.2816811000, 0.5976138000", \ - "0.1107007000, 0.1131252000, 0.1195346000, 0.1365670000, 0.1806070000, 0.2974472000, 0.6133099000", \ - "0.1348088000, 0.1375560000, 0.1443268000, 0.1634761000, 0.2101088000, 0.3282054000, 0.6448438000", \ - "0.1654506000, 0.1687263000, 0.1779723000, 0.2017026000, 0.2580334000, 0.3904305000, 0.7130845000", \ - "0.1747399000, 0.1801882000, 0.1947007000, 0.2306105000, 0.3131361000, 0.4855285000, 0.8561848000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.1471695000, 0.1517173000, 0.1634059000, 0.1956383000, 0.2803825000, 0.5053360000, 1.1155112000", \ - "0.1521087000, 0.1565081000, 0.1683937000, 0.2005709000, 0.2853491000, 0.5103248000, 1.1209426000", \ - "0.1643060000, 0.1684594000, 0.1806325000, 0.2128775000, 0.2979965000, 0.5233735000, 1.1335863000", \ - "0.1902641000, 0.1947747000, 0.2076491000, 0.2393322000, 0.3243720000, 0.5509178000, 1.1615926000", \ - "0.2511128000, 0.2554515000, 0.2674380000, 0.2995367000, 0.3848112000, 0.6114443000, 1.2218810000", \ - "0.3686884000, 0.3744312000, 0.3891022000, 0.4273849000, 0.5208980000, 0.7492800000, 1.3612320000", \ - "0.5750557000, 0.5830916000, 0.6037563000, 0.6553511000, 0.7819060000, 1.0572242000, 1.6819786000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.0554388000, 0.0582792000, 0.0663357000, 0.0886435000, 0.1490756000, 0.3154045000, 0.7743525000", \ - "0.0554466000, 0.0582568000, 0.0663198000, 0.0885970000, 0.1489056000, 0.3154219000, 0.7741903000", \ - "0.0553951000, 0.0582921000, 0.0662809000, 0.0884875000, 0.1490552000, 0.3155903000, 0.7742371000", \ - "0.0566025000, 0.0594071000, 0.0673204000, 0.0889767000, 0.1487144000, 0.3152996000, 0.7744313000", \ - "0.0658418000, 0.0687715000, 0.0765356000, 0.0982097000, 0.1557610000, 0.3172009000, 0.7737491000", \ - "0.0930282000, 0.0963267000, 0.1045988000, 0.1265704000, 0.1848850000, 0.3405776000, 0.7807912000", \ - "0.1577103000, 0.1621063000, 0.1730763000, 0.1999762000, 0.2643182000, 0.4210685000, 0.8400808000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.1278002000, 0.1328323000, 0.1472755000, 0.1879330000, 0.2975186000, 0.6010338000, 1.4396353000", \ - "0.1276530000, 0.1329790000, 0.1472826000, 0.1879254000, 0.2976213000, 0.6003110000, 1.4379073000", \ - "0.1274308000, 0.1327083000, 0.1478557000, 0.1871813000, 0.2975157000, 0.6006386000, 1.4388577000", \ - "0.1272916000, 0.1326343000, 0.1473913000, 0.1873659000, 0.2976666000, 0.6008936000, 1.4371553000", \ - "0.1334240000, 0.1385545000, 0.1521461000, 0.1897301000, 0.2971168000, 0.6003167000, 1.4369806000", \ - "0.1688967000, 0.1743691000, 0.1885228000, 0.2259923000, 0.3214196000, 0.6066929000, 1.4379876000", \ - "0.2483223000, 0.2551591000, 0.2726109000, 0.3146851000, 0.4218978000, 0.6855170000, 1.4527483000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.0689043000, 0.0713327000, 0.0777867000, 0.0949854000, 0.1396836000, 0.2589557000, 0.5837311000", \ - "0.0734634000, 0.0759167000, 0.0823791000, 0.0996944000, 0.1445650000, 0.2640527000, 0.5888466000", \ - "0.0816740000, 0.0842950000, 0.0907473000, 0.1080734000, 0.1533024000, 0.2731366000, 0.5982882000", \ - "0.0959800000, 0.0984513000, 0.1051553000, 0.1227636000, 0.1680704000, 0.2883979000, 0.6142907000", \ - "0.1160241000, 0.1185336000, 0.1267135000, 0.1465396000, 0.1954090000, 0.3172988000, 0.6436439000", \ - "0.1348433000, 0.1390640000, 0.1500705000, 0.1769363000, 0.2375566000, 0.3759764000, 0.7084545000", \ - "0.1190347000, 0.1275689000, 0.1429270000, 0.1849309000, 0.2769415000, 0.4610386000, 0.8442589000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.1286565000, 0.1331320000, 0.1452431000, 0.1771480000, 0.2617661000, 0.4868697000, 1.0967923000", \ - "0.1313918000, 0.1358121000, 0.1479255000, 0.1802002000, 0.2652644000, 0.4906899000, 1.1004260000", \ - "0.1420470000, 0.1462511000, 0.1581851000, 0.1912579000, 0.2756345000, 0.5017268000, 1.1118750000", \ - "0.1691990000, 0.1733496000, 0.1851757000, 0.2179900000, 0.3029129000, 0.5290148000, 1.1397415000", \ - "0.2382456000, 0.2424909000, 0.2543681000, 0.2860411000, 0.3702158000, 0.5969756000, 1.2083198000", \ - "0.3771906000, 0.3832945000, 0.3994876000, 0.4389273000, 0.5318018000, 0.7563932000, 1.3663568000", \ - "0.6066940000, 0.6155257000, 0.6412609000, 0.7007968000, 0.8397441000, 1.1223157000, 1.7336961000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.0458635000, 0.0487878000, 0.0568674000, 0.0792501000, 0.1412590000, 0.3126132000, 0.7851891000", \ - "0.0458348000, 0.0487933000, 0.0568441000, 0.0792840000, 0.1412377000, 0.3129715000, 0.7851592000", \ - "0.0457826000, 0.0487364000, 0.0568680000, 0.0792647000, 0.1412598000, 0.3126157000, 0.7855266000", \ - "0.0479867000, 0.0507186000, 0.0584443000, 0.0801972000, 0.1412038000, 0.3127569000, 0.7861995000", \ - "0.0581464000, 0.0609773000, 0.0687208000, 0.0903692000, 0.1495841000, 0.3147664000, 0.7852580000", \ - "0.0884183000, 0.0914729000, 0.0997908000, 0.1222463000, 0.1807401000, 0.3391998000, 0.7907270000", \ - "0.1548088000, 0.1592341000, 0.1699918000, 0.1980671000, 0.2638514000, 0.4220906000, 0.8512057000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.1275445000, 0.1328892000, 0.1474167000, 0.1874869000, 0.2969010000, 0.6001846000, 1.4365076000", \ - "0.1283195000, 0.1337356000, 0.1473004000, 0.1871948000, 0.2969190000, 0.6001185000, 1.4358014000", \ - "0.1276968000, 0.1328439000, 0.1480045000, 0.1875904000, 0.2971038000, 0.6001705000, 1.4393466000", \ - "0.1264948000, 0.1316032000, 0.1471388000, 0.1871338000, 0.2969444000, 0.6009177000, 1.4408330000", \ - "0.1370604000, 0.1416493000, 0.1546120000, 0.1904426000, 0.2972444000, 0.6005227000, 1.4440467000", \ - "0.1910950000, 0.1966640000, 0.2109725000, 0.2470813000, 0.3348315000, 0.6063773000, 1.4414151000", \ - "0.2878849000, 0.2971680000, 0.3188103000, 0.3704790000, 0.4851681000, 0.7245459000, 1.4549272000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.0747985000, 0.0771504000, 0.0837868000, 0.1003722000, 0.1444022000, 0.2610900000, 0.5767118000", \ - "0.0787306000, 0.0810691000, 0.0875418000, 0.1043709000, 0.1482616000, 0.2650872000, 0.5805690000", \ - "0.0872731000, 0.0898176000, 0.0960415000, 0.1130735000, 0.1570128000, 0.2737130000, 0.5895455000", \ - "0.1057178000, 0.1081550000, 0.1145927000, 0.1315358000, 0.1756626000, 0.2923329000, 0.6084648000", \ - "0.1372174000, 0.1402211000, 0.1481570000, 0.1678651000, 0.2173066000, 0.3356684000, 0.6528310000", \ - "0.1741508000, 0.1787834000, 0.1904656000, 0.2194682000, 0.2861947000, 0.4277862000, 0.7546750000", \ - "0.1887658000, 0.1950047000, 0.2140401000, 0.2586835000, 0.3607744000, 0.5674272000, 0.9660323000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.1168802000, 0.1212523000, 0.1333214000, 0.1660720000, 0.2527863000, 0.4892650000, 1.1369914000", \ - "0.1211985000, 0.1257057000, 0.1380794000, 0.1708880000, 0.2583141000, 0.4948540000, 1.1412036000", \ - "0.1327742000, 0.1373093000, 0.1498329000, 0.1830785000, 0.2711774000, 0.5083061000, 1.1549502000", \ - "0.1597166000, 0.1643541000, 0.1765604000, 0.2097881000, 0.2984453000, 0.5363860000, 1.1836933000", \ - "0.2191447000, 0.2242148000, 0.2376191000, 0.2708736000, 0.3592666000, 0.5979983000, 1.2463061000", \ - "0.3265268000, 0.3330921000, 0.3504787000, 0.3941009000, 0.4972941000, 0.7396556000, 1.3893174000", \ - "0.5135932000, 0.5238058000, 0.5494574000, 0.6137485000, 0.7547756000, 1.0577462000, 1.7210414000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.0553161000, 0.0582909000, 0.0663505000, 0.0885082000, 0.1490539000, 0.3156028000, 0.7738136000", \ - "0.0553143000, 0.0582489000, 0.0664660000, 0.0885687000, 0.1489456000, 0.3156248000, 0.7741381000", \ - "0.0552992000, 0.0582683000, 0.0664112000, 0.0885391000, 0.1490155000, 0.3154204000, 0.7743421000", \ - "0.0578958000, 0.0607161000, 0.0684714000, 0.0898559000, 0.1488886000, 0.3153497000, 0.7743352000", \ - "0.0732798000, 0.0762908000, 0.0843142000, 0.1055918000, 0.1604772000, 0.3176047000, 0.7745833000", \ - "0.1118823000, 0.1155161000, 0.1248907000, 0.1487755000, 0.2072215000, 0.3547926000, 0.7808543000", \ - "0.1852810000, 0.1904397000, 0.2038762000, 0.2372228000, 0.3119332000, 0.4756354000, 0.8721512000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.1286397000, 0.1342879000, 0.1496658000, 0.1925580000, 0.3101339000, 0.6333475000, 1.5249567000", \ - "0.1286365000, 0.1342361000, 0.1497063000, 0.1924542000, 0.3102189000, 0.6336669000, 1.5213266000", \ - "0.1286462000, 0.1342208000, 0.1497031000, 0.1924490000, 0.3101990000, 0.6336919000, 1.5280168000", \ - "0.1286626000, 0.1342364000, 0.1497335000, 0.1924918000, 0.3103076000, 0.6334767000, 1.5273122000", \ - "0.1408765000, 0.1458647000, 0.1597188000, 0.1988563000, 0.3111781000, 0.6331305000, 1.5220640000", \ - "0.1874338000, 0.1923226000, 0.2058655000, 0.2420733000, 0.3412411000, 0.6402515000, 1.5281604000", \ - "0.2854148000, 0.2924208000, 0.3074430000, 0.3483293000, 0.4518626000, 0.7216961000, 1.5425601000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.0609584000, 0.0635144000, 0.0698261000, 0.0868871000, 0.1317483000, 0.2515591000, 0.5771367000", \ - "0.0653449000, 0.0677839000, 0.0741621000, 0.0912926000, 0.1363886000, 0.2562127000, 0.5816439000", \ - "0.0736368000, 0.0760733000, 0.0828381000, 0.1000671000, 0.1451073000, 0.2647242000, 0.5902829000", \ - "0.0898258000, 0.0926760000, 0.0996523000, 0.1174356000, 0.1628283000, 0.2834575000, 0.6088660000", \ - "0.1140838000, 0.1175930000, 0.1268131000, 0.1490224000, 0.2012344000, 0.3245931000, 0.6520333000", \ - "0.1349681000, 0.1399780000, 0.1538905000, 0.1869780000, 0.2601397000, 0.4097407000, 0.7487167000", \ - "0.1201316000, 0.1282574000, 0.1494340000, 0.2015756000, 0.3143304000, 0.5351371000, 0.9484937000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.0953339000, 0.0998545000, 0.1120816000, 0.1447843000, 0.2315983000, 0.4678248000, 1.1141555000", \ - "0.0984351000, 0.1029853000, 0.1154120000, 0.1483145000, 0.2355668000, 0.4722304000, 1.1201859000", \ - "0.1077357000, 0.1123397000, 0.1250141000, 0.1581202000, 0.2461939000, 0.4831820000, 1.1298706000", \ - "0.1348607000, 0.1392802000, 0.1515292000, 0.1842093000, 0.2726735000, 0.5109013000, 1.1583648000", \ - "0.2010180000, 0.2060473000, 0.2189949000, 0.2523438000, 0.3389031000, 0.5767258000, 1.2247361000", \ - "0.3147120000, 0.3223765000, 0.3424253000, 0.3912934000, 0.4980723000, 0.7360847000, 1.3848376000", \ - "0.5068777000, 0.5180605000, 0.5473405000, 0.6199216000, 0.7802311000, 1.0983798000, 1.7455328000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.0463820000, 0.0493298000, 0.0575720000, 0.0802083000, 0.1426539000, 0.3150736000, 0.7906703000", \ - "0.0463723000, 0.0493603000, 0.0575436000, 0.0801710000, 0.1425358000, 0.3152284000, 0.7903548000", \ - "0.0462495000, 0.0492507000, 0.0574842000, 0.0801648000, 0.1425484000, 0.3151328000, 0.7898991000", \ - "0.0509823000, 0.0536858000, 0.0608376000, 0.0823482000, 0.1428431000, 0.3151398000, 0.7902103000", \ - "0.0668940000, 0.0700226000, 0.0783233000, 0.0999272000, 0.1558835000, 0.3182138000, 0.7903249000", \ - "0.1056522000, 0.1093897000, 0.1191302000, 0.1446264000, 0.2042316000, 0.3554294000, 0.7977949000", \ - "0.1776386000, 0.1833576000, 0.1978747000, 0.2319366000, 0.3088796000, 0.4765774000, 0.8873045000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.1285714000, 0.1341706000, 0.1496562000, 0.1925406000, 0.3102086000, 0.6335152000, 1.5273858000", \ - "0.1285594000, 0.1341655000, 0.1497070000, 0.1925324000, 0.3101247000, 0.6332704000, 1.5241439000", \ - "0.1285551000, 0.1341457000, 0.1496474000, 0.1924010000, 0.3102731000, 0.6333294000, 1.5274435000", \ - "0.1290104000, 0.1343118000, 0.1493705000, 0.1923300000, 0.3101591000, 0.6335005000, 1.5227676000", \ - "0.1532106000, 0.1574912000, 0.1695382000, 0.2041651000, 0.3123366000, 0.6338894000, 1.5234940000", \ - "0.2191355000, 0.2243402000, 0.2381891000, 0.2731789000, 0.3615453000, 0.6427548000, 1.5276557000", \ - "0.3317630000, 0.3392126000, 0.3589724000, 0.4083670000, 0.5204511000, 0.7684595000, 1.5474020000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.0592321000, 0.0617435000, 0.0682600000, 0.0849221000, 0.1289229000, 0.2456763000, 0.5615964000", \ - "0.0628792000, 0.0653765000, 0.0719681000, 0.0887791000, 0.1329808000, 0.2497059000, 0.5656816000", \ - "0.0720809000, 0.0745609000, 0.0809460000, 0.0979635000, 0.1426047000, 0.2596312000, 0.5753969000", \ - "0.0956173000, 0.0976405000, 0.1039641000, 0.1205158000, 0.1647030000, 0.2822353000, 0.5983925000", \ - "0.1312353000, 0.1346920000, 0.1438418000, 0.1666531000, 0.2169830000, 0.3339629000, 0.6504808000", \ - "0.1701829000, 0.1753275000, 0.1882554000, 0.2215008000, 0.2969300000, 0.4500986000, 0.7716112000", \ - "0.1909435000, 0.1985666000, 0.2185577000, 0.2688584000, 0.3818728000, 0.6143710000, 1.0459906000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.0295199000, 0.0315622000, 0.0370919000, 0.0512972000, 0.0882906000, 0.1889636000, 0.4640737000", \ - "0.0347738000, 0.0368416000, 0.0424075000, 0.0568460000, 0.0943505000, 0.1953017000, 0.4707119000", \ - "0.0483651000, 0.0503397000, 0.0557494000, 0.0700419000, 0.1078918000, 0.2092645000, 0.4848009000", \ - "0.0739755000, 0.0772045000, 0.0849276000, 0.1018170000, 0.1394268000, 0.2409986000, 0.5164905000", \ - "0.1150804000, 0.1200461000, 0.1322129000, 0.1595504000, 0.2121096000, 0.3153625000, 0.5925148000", \ - "0.1824137000, 0.1901590000, 0.2090194000, 0.2516945000, 0.3374893000, 0.4837119000, 0.7627322000", \ - "0.3015405000, 0.3125661000, 0.3398593000, 0.4050982000, 0.5390782000, 0.7761845000, 1.1646754000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.0554404000, 0.0583677000, 0.0664903000, 0.0885160000, 0.1489584000, 0.3151775000, 0.7741017000", \ - "0.0554208000, 0.0583080000, 0.0664284000, 0.0885653000, 0.1490907000, 0.3154339000, 0.7741853000", \ - "0.0542125000, 0.0573573000, 0.0657906000, 0.0883167000, 0.1490558000, 0.3155952000, 0.7740035000", \ - "0.0589887000, 0.0614692000, 0.0689188000, 0.0896326000, 0.1484068000, 0.3154076000, 0.7744305000", \ - "0.0792098000, 0.0826836000, 0.0918542000, 0.1157761000, 0.1672067000, 0.3181168000, 0.7741024000", \ - "0.1208004000, 0.1258883000, 0.1382667000, 0.1687514000, 0.2343645000, 0.3790979000, 0.7843114000", \ - "0.1930578000, 0.2001639000, 0.2186176000, 0.2615827000, 0.3548604000, 0.5361518000, 0.9223379000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013748100, 0.0037802000, 0.0103941000, 0.0285798000, 0.0785834000, 0.2160740000"); - values("0.0552115000, 0.0578877000, 0.0654830000, 0.0862616000, 0.1424013000, 0.2914965000, 0.6975610000", \ - "0.0552125000, 0.0578628000, 0.0654412000, 0.0863602000, 0.1424554000, 0.2915988000, 0.6983953000", \ - "0.0576114000, 0.0598614000, 0.0664028000, 0.0863513000, 0.1424379000, 0.2916117000, 0.6982183000", \ - "0.0739956000, 0.0753704000, 0.0800713000, 0.0950172000, 0.1440060000, 0.2915920000, 0.6972652000", \ - "0.1186654000, 0.1197701000, 0.1233232000, 0.1335117000, 0.1690259000, 0.2957422000, 0.6972992000", \ - "0.1940449000, 0.1955961000, 0.2004544000, 0.2153526000, 0.2544318000, 0.3539451000, 0.7049775000", \ - "0.3199856000, 0.3223097000, 0.3293271000, 0.3522491000, 0.4103477000, 0.5406531000, 0.8285743000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o22a_1") { - leakage_power () { - value : 0.0026328000; - when : "!A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0152674000; - when : "!A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0026430000; - when : "!A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0026276000; - when : "!A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0017675000; - when : "!A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0028082000; - when : "!A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0011522000; - when : "!A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0015364000; - when : "!A1&A2&B1&!B2"; - } - leakage_power () { - value : 0.0022609000; - when : "A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0028082000; - when : "A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0016456000; - when : "A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0020298000; - when : "A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0009991000; - when : "A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0028082000; - when : "A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0003838000; - when : "A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0007680000; - when : "A1&A2&B1&!B2"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__o22a"; - cell_leakage_power : 0.0027586670; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023540000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022540000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040057000, 0.0040013000, 0.0039913000, 0.0039899000, 0.0039865000, 0.0039788000, 0.0039611000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003985100, -0.003983800, -0.003980700, -0.003981700, -0.003984000, -0.003989200, -0.004001200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024540000; - } - pin ("A2") { - capacitance : 0.0024230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022680000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041013000, 0.0040977000, 0.0040891000, 0.0040893000, 0.0040897000, 0.0040906000, 0.0040928000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004076400, -0.004077100, -0.004078600, -0.004077600, -0.004075100, -0.004069400, -0.004056300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025770000; - } - pin ("B1") { - capacitance : 0.0024050000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023200000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048797000, 0.0048715000, 0.0048528000, 0.0048539000, 0.0048566000, 0.0048628000, 0.0048771000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001463400, -0.001469300, -0.001482800, -0.001465500, -0.001425700, -0.001333900, -0.001122500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024900000; - } - pin ("B2") { - capacitance : 0.0023640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022370000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045773000, 0.0045760000, 0.0045730000, 0.0045725000, 0.0045714000, 0.0045688000, 0.0045628000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001177300, -0.001183500, -0.001197800, -0.001180100, -0.001139400, -0.001045500, -0.000829100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024920000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A2&B1) | (A1&B2) | (A2&B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0171775000, 0.0162554000, 0.0137001000, 0.0057646000, -0.017223700, -0.078812700, -0.240967800", \ - "0.0171198000, 0.0162135000, 0.0136395000, 0.0056829000, -0.017304100, -0.078925500, -0.241176600", \ - "0.0168937000, 0.0159428000, 0.0133951000, 0.0054584000, -0.017513200, -0.079116300, -0.241233600", \ - "0.0167249000, 0.0157705000, 0.0132207000, 0.0052730000, -0.017669500, -0.079282700, -0.241462800", \ - "0.0166378000, 0.0156786000, 0.0131014000, 0.0051167000, -0.017875700, -0.079423900, -0.241574800", \ - "0.0175441000, 0.0162429000, 0.0128300000, 0.0048876000, -0.018016400, -0.079541400, -0.241643200", \ - "0.0193086000, 0.0179841000, 0.0145470000, 0.0056312000, -0.017821100, -0.079091300, -0.241178700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0145140000, 0.0159635000, 0.0196146000, 0.0288552000, 0.0525441000, 0.1144809000, 0.2760794000", \ - "0.0144202000, 0.0158690000, 0.0195356000, 0.0287550000, 0.0524464000, 0.1144155000, 0.2759690000", \ - "0.0142959000, 0.0157373000, 0.0194159000, 0.0286290000, 0.0523318000, 0.1142880000, 0.2758531000", \ - "0.0141725000, 0.0156074000, 0.0192396000, 0.0284455000, 0.0520961000, 0.1137627000, 0.2744654000", \ - "0.0140031000, 0.0154509000, 0.0190813000, 0.0282559000, 0.0520835000, 0.1140320000, 0.2755426000", \ - "0.0142622000, 0.0156353000, 0.0191520000, 0.0280803000, 0.0518317000, 0.1136155000, 0.2743036000", \ - "0.0149611000, 0.0162643000, 0.0197338000, 0.0288352000, 0.0524246000, 0.1141369000, 0.2751724000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0149741000, 0.0140445000, 0.0115118000, 0.0035781000, -0.019413800, -0.080995800, -0.243156400", \ - "0.0147937000, 0.0139787000, 0.0113877000, 0.0033984000, -0.019558500, -0.081173400, -0.243335100", \ - "0.0145590000, 0.0136188000, 0.0110655000, 0.0031261000, -0.019846200, -0.081453800, -0.243631400", \ - "0.0143820000, 0.0134560000, 0.0108949000, 0.0029149000, -0.020059100, -0.081658000, -0.243775000", \ - "0.0143815000, 0.0134431000, 0.0108831000, 0.0029126000, -0.020084400, -0.081647100, -0.243757300", \ - "0.0152484000, 0.0139257000, 0.0107929000, 0.0030495000, -0.019850400, -0.081370600, -0.243467300", \ - "0.0180882000, 0.0167237000, 0.0132960000, 0.0043020000, -0.019184400, -0.080461100, -0.242473800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0134520000, 0.0148961000, 0.0185371000, 0.0277545000, 0.0513639000, 0.1128266000, 0.2734096000", \ - "0.0134230000, 0.0148601000, 0.0185178000, 0.0277299000, 0.0513497000, 0.1125776000, 0.2743998000", \ - "0.0132737000, 0.0147136000, 0.0183730000, 0.0275805000, 0.0512038000, 0.1124727000, 0.2742680000", \ - "0.0129919000, 0.0144191000, 0.0180407000, 0.0272401000, 0.0508883000, 0.1123745000, 0.2727686000", \ - "0.0128210000, 0.0141411000, 0.0177411000, 0.0268272000, 0.0504802000, 0.1125677000, 0.2729131000", \ - "0.0128234000, 0.0141653000, 0.0176630000, 0.0266789000, 0.0502988000, 0.1112837000, 0.2727867000", \ - "0.0133898000, 0.0146800000, 0.0181672000, 0.0272177000, 0.0509015000, 0.1125361000, 0.2718012000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0105681000, 0.0100198000, 0.0078320000, 0.0003214000, -0.022596500, -0.084473700, -0.246863700", \ - "0.0103773000, 0.0098296000, 0.0076132000, 0.0001356000, -0.022759400, -0.084652000, -0.247032700", \ - "0.0101679000, 0.0095819000, 0.0073506000, -0.000142100, -0.023034500, -0.084906000, -0.247260500", \ - "0.0098601000, 0.0092877000, 0.0071006000, -0.000422700, -0.023293700, -0.085143800, -0.247527400", \ - "0.0096222000, 0.0090252000, 0.0068346000, -0.000661100, -0.023474600, -0.085286800, -0.247611400", \ - "0.0114223000, 0.0101634000, 0.0067757000, -0.001127800, -0.023646500, -0.085368900, -0.247680800", \ - "0.0134038000, 0.0121103000, 0.0086773000, -0.000176800, -0.023634400, -0.085117700, -0.247350000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0121344000, 0.0135663000, 0.0172108000, 0.0264764000, 0.0500794000, 0.1116405000, 0.2726663000", \ - "0.0120058000, 0.0134468000, 0.0171203000, 0.0263390000, 0.0500363000, 0.1120149000, 0.2735806000", \ - "0.0118700000, 0.0133124000, 0.0169836000, 0.0262116000, 0.0498893000, 0.1113262000, 0.2731783000", \ - "0.0116407000, 0.0130890000, 0.0167203000, 0.0259231000, 0.0496021000, 0.1110953000, 0.2718506000", \ - "0.0113712000, 0.0128062000, 0.0164294000, 0.0255792000, 0.0492574000, 0.1113315000, 0.2727982000", \ - "0.0117241000, 0.0130834000, 0.0165286000, 0.0254160000, 0.0491884000, 0.1102968000, 0.2711776000", \ - "0.0124391000, 0.0137305000, 0.0171936000, 0.0262134000, 0.0498780000, 0.1116210000, 0.2709195000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0085134000, 0.0078540000, 0.0057119000, -0.001781400, -0.024649200, -0.086444500, -0.248811500", \ - "0.0082399000, 0.0076524000, 0.0055284000, -0.002006400, -0.024853100, -0.086645600, -0.248989600", \ - "0.0079708000, 0.0073599000, 0.0051804000, -0.002307000, -0.025128900, -0.086935900, -0.249262300", \ - "0.0076730000, 0.0070402000, 0.0048800000, -0.002598900, -0.025424100, -0.087192900, -0.249521000", \ - "0.0076720000, 0.0070834000, 0.0048815000, -0.002628800, -0.025389100, -0.087124300, -0.249412000", \ - "0.0098961000, 0.0085776000, 0.0052170000, -0.002764300, -0.025189300, -0.086877000, -0.249141100", \ - "0.0129270000, 0.0115070000, 0.0081262000, -0.000748200, -0.024267200, -0.085703300, -0.247955200"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013104490, 0.0034345540, 0.0090016190, 0.0235923300, 0.0618331000, 0.1620583000"); - values("0.0106310000, 0.0120577000, 0.0157270000, 0.0249372000, 0.0485318000, 0.1104517000, 0.2715896000", \ - "0.0106117000, 0.0120577000, 0.0156985000, 0.0249111000, 0.0487468000, 0.1099628000, 0.2707903000", \ - "0.0104617000, 0.0119033000, 0.0155467000, 0.0247525000, 0.0483377000, 0.1099042000, 0.2705747000", \ - "0.0102507000, 0.0116141000, 0.0152027000, 0.0244067000, 0.0482754000, 0.1095495000, 0.2704013000", \ - "0.0100265000, 0.0113256000, 0.0148833000, 0.0238844000, 0.0475229000, 0.1092128000, 0.2709924000", \ - "0.0101656000, 0.0114937000, 0.0149206000, 0.0239425000, 0.0476369000, 0.1086159000, 0.2709355000", \ - "0.0111334000, 0.0125177000, 0.0159269000, 0.0249850000, 0.0485121000, 0.1103816000, 0.2694081000"); - } - } - max_capacitance : 0.1620580000; - max_transition : 1.5016480000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.1718140000, 0.1789377000, 0.1936602000, 0.2227273000, 0.2816895000, 0.4183289000, 0.7677886000", \ - "0.1768923000, 0.1840757000, 0.1988471000, 0.2275215000, 0.2867001000, 0.4231153000, 0.7727605000", \ - "0.1889993000, 0.1961480000, 0.2109409000, 0.2399924000, 0.2990103000, 0.4353675000, 0.7856555000", \ - "0.2148247000, 0.2219684000, 0.2367410000, 0.2657633000, 0.3244612000, 0.4609246000, 0.8106030000", \ - "0.2731313000, 0.2802643000, 0.2949938000, 0.3239385000, 0.3830771000, 0.5196453000, 0.8706387000", \ - "0.3849189000, 0.3930515000, 0.4093134000, 0.4405834000, 0.5021409000, 0.6397746000, 0.9903228000", \ - "0.5726606000, 0.5827104000, 0.6023953000, 0.6385639000, 0.7056892000, 0.8470346000, 1.1978248000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0995235000, 0.1069236000, 0.1235772000, 0.1620172000, 0.2577060000, 0.5054884000, 1.1492134000", \ - "0.1041456000, 0.1115258000, 0.1282212000, 0.1667016000, 0.2621742000, 0.5099891000, 1.1533968000", \ - "0.1141708000, 0.1215571000, 0.1382771000, 0.1767525000, 0.2721914000, 0.5199688000, 1.1633057000", \ - "0.1348628000, 0.1422157000, 0.1587984000, 0.1971680000, 0.2929360000, 0.5396311000, 1.1831341000", \ - "0.1736404000, 0.1814297000, 0.1984970000, 0.2372254000, 0.3330924000, 0.5799238000, 1.2249729000", \ - "0.2274730000, 0.2363962000, 0.2550781000, 0.2950640000, 0.3909230000, 0.6380074000, 1.2856033000", \ - "0.2785359000, 0.2901495000, 0.3128726000, 0.3566321000, 0.4536248000, 0.7011422000, 1.3452124000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0256753000, 0.0303023000, 0.0411878000, 0.0667880000, 0.1293884000, 0.3014093000, 0.7705498000", \ - "0.0256604000, 0.0308337000, 0.0413195000, 0.0669227000, 0.1291729000, 0.3006569000, 0.7667471000", \ - "0.0255665000, 0.0302422000, 0.0415618000, 0.0669457000, 0.1293135000, 0.3009464000, 0.7707946000", \ - "0.0255760000, 0.0302541000, 0.0415812000, 0.0669678000, 0.1296859000, 0.3009726000, 0.7729363000", \ - "0.0258036000, 0.0304062000, 0.0412898000, 0.0668038000, 0.1293323000, 0.3004062000, 0.7677203000", \ - "0.0308400000, 0.0357280000, 0.0468619000, 0.0724012000, 0.1330750000, 0.3028173000, 0.7674719000", \ - "0.0411291000, 0.0468584000, 0.0583895000, 0.0847935000, 0.1445464000, 0.3072545000, 0.7655186000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0239694000, 0.0311656000, 0.0493158000, 0.0981488000, 0.2306137000, 0.5812311000, 1.4992400000", \ - "0.0239273000, 0.0311131000, 0.0494008000, 0.0981855000, 0.2303505000, 0.5800977000, 1.4988714000", \ - "0.0239186000, 0.0310667000, 0.0493963000, 0.0981665000, 0.2301888000, 0.5798197000, 1.4983046000", \ - "0.0239808000, 0.0310685000, 0.0493371000, 0.0981774000, 0.2307214000, 0.5794112000, 1.4979733000", \ - "0.0259032000, 0.0331057000, 0.0509471000, 0.0988119000, 0.2300965000, 0.5811928000, 1.4991633000", \ - "0.0311168000, 0.0383226000, 0.0553340000, 0.1013100000, 0.2311916000, 0.5792422000, 1.4969022000", \ - "0.0426254000, 0.0501396000, 0.0665084000, 0.1087328000, 0.2332184000, 0.5828216000, 1.4945937000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.1604344000, 0.1675651000, 0.1824409000, 0.2114893000, 0.2704898000, 0.4068369000, 0.7568462000", \ - "0.1641298000, 0.1711819000, 0.1859721000, 0.2151609000, 0.2740265000, 0.4105571000, 0.7610387000", \ - "0.1742827000, 0.1814658000, 0.1961931000, 0.2252489000, 0.2840794000, 0.4205631000, 0.7699216000", \ - "0.2025886000, 0.2097734000, 0.2244494000, 0.2534312000, 0.3125530000, 0.4489807000, 0.7989266000", \ - "0.2710948000, 0.2782024000, 0.2929040000, 0.3216408000, 0.3805188000, 0.5170376000, 0.8677816000", \ - "0.4075067000, 0.4159531000, 0.4323265000, 0.4632042000, 0.5243696000, 0.6622333000, 1.0119720000", \ - "0.6250778000, 0.6363115000, 0.6577132000, 0.6945941000, 0.7600059000, 0.9004509000, 1.2521839000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0886362000, 0.0958673000, 0.1122253000, 0.1503009000, 0.2453709000, 0.4915079000, 1.1358200000", \ - "0.0934502000, 0.1006802000, 0.1170533000, 0.1551724000, 0.2501093000, 0.4968229000, 1.1424502000", \ - "0.1030359000, 0.1102622000, 0.1266796000, 0.1647816000, 0.2597493000, 0.5064894000, 1.1521367000", \ - "0.1224164000, 0.1296465000, 0.1459287000, 0.1839408000, 0.2791381000, 0.5251957000, 1.1702860000", \ - "0.1555221000, 0.1632406000, 0.1802698000, 0.2187995000, 0.3143003000, 0.5607051000, 1.2052614000", \ - "0.1960649000, 0.2050480000, 0.2237839000, 0.2637649000, 0.3596148000, 0.6063030000, 1.2523310000", \ - "0.2201034000, 0.2320660000, 0.2558089000, 0.3002475000, 0.3972252000, 0.6440017000, 1.2885013000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0254146000, 0.0302117000, 0.0412820000, 0.0668838000, 0.1293778000, 0.3007281000, 0.7697986000", \ - "0.0254168000, 0.0308394000, 0.0413363000, 0.0668501000, 0.1297023000, 0.3016725000, 0.7684737000", \ - "0.0255149000, 0.0304028000, 0.0411496000, 0.0669205000, 0.1296535000, 0.3012237000, 0.7737997000", \ - "0.0255642000, 0.0307451000, 0.0411322000, 0.0669285000, 0.1293994000, 0.3005018000, 0.7701291000", \ - "0.0255231000, 0.0303381000, 0.0418559000, 0.0672765000, 0.1295320000, 0.3011332000, 0.7663304000", \ - "0.0334408000, 0.0380860000, 0.0480728000, 0.0725193000, 0.1329123000, 0.3022577000, 0.7733205000", \ - "0.0488362000, 0.0538044000, 0.0649061000, 0.0865823000, 0.1438738000, 0.3076970000, 0.7664999000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0230633000, 0.0300624000, 0.0483160000, 0.0972986000, 0.2300379000, 0.5797890000, 1.4988466000", \ - "0.0231119000, 0.0301041000, 0.0483186000, 0.0970672000, 0.2292704000, 0.5810806000, 1.4995235000", \ - "0.0231187000, 0.0301228000, 0.0483442000, 0.0970957000, 0.2295243000, 0.5810374000, 1.4993677000", \ - "0.0233930000, 0.0303092000, 0.0484454000, 0.0973062000, 0.2300309000, 0.5802951000, 1.4999670000", \ - "0.0257780000, 0.0328833000, 0.0506689000, 0.0982624000, 0.2299444000, 0.5808382000, 1.4935406000", \ - "0.0322279000, 0.0388542000, 0.0558411000, 0.1013023000, 0.2311997000, 0.5785009000, 1.4940924000", \ - "0.0447347000, 0.0521139000, 0.0695665000, 0.1103786000, 0.2329383000, 0.5824543000, 1.4939198000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.1368976000, 0.1447872000, 0.1619892000, 0.1961067000, 0.2615390000, 0.4019207000, 0.7516804000", \ - "0.1416826000, 0.1495785000, 0.1665696000, 0.2009314000, 0.2663249000, 0.4068388000, 0.7564141000", \ - "0.1534789000, 0.1614425000, 0.1784556000, 0.2127927000, 0.2781792000, 0.4186926000, 0.7685562000", \ - "0.1806773000, 0.1885420000, 0.2056517000, 0.2400047000, 0.3054015000, 0.4459326000, 0.7958454000", \ - "0.2409064000, 0.2487877000, 0.2662548000, 0.3010086000, 0.3668827000, 0.5075521000, 0.8573714000", \ - "0.3482013000, 0.3575691000, 0.3772791000, 0.4163999000, 0.4871710000, 0.6310063000, 0.9811201000", \ - "0.5291556000, 0.5407925000, 0.5651282000, 0.6125410000, 0.6946996000, 0.8469612000, 1.2001068000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0906589000, 0.0980567000, 0.1147310000, 0.1532040000, 0.2488121000, 0.4958039000, 1.1399942000", \ - "0.0947488000, 0.1021294000, 0.1188268000, 0.1573081000, 0.2528345000, 0.5006563000, 1.1441431000", \ - "0.1048394000, 0.1122362000, 0.1289218000, 0.1673915000, 0.2627604000, 0.5097290000, 1.1557212000", \ - "0.1291475000, 0.1365805000, 0.1531626000, 0.1914956000, 0.2871857000, 0.5340725000, 1.1790974000", \ - "0.1706274000, 0.1782235000, 0.1952945000, 0.2340210000, 0.3299410000, 0.5781357000, 1.2247289000", \ - "0.2210381000, 0.2295733000, 0.2473837000, 0.2866412000, 0.3827051000, 0.6306089000, 1.2768655000", \ - "0.2608735000, 0.2720324000, 0.2939721000, 0.3356912000, 0.4316913000, 0.6794629000, 1.3246005000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0264796000, 0.0327386000, 0.0472797000, 0.0771010000, 0.1403614000, 0.3053427000, 0.7664736000", \ - "0.0264268000, 0.0327444000, 0.0476217000, 0.0771392000, 0.1405728000, 0.3057271000, 0.7717936000", \ - "0.0263447000, 0.0327775000, 0.0475188000, 0.0771323000, 0.1406460000, 0.3063559000, 0.7673046000", \ - "0.0265506000, 0.0328033000, 0.0473756000, 0.0770723000, 0.1407070000, 0.3062993000, 0.7670434000", \ - "0.0278663000, 0.0345478000, 0.0488665000, 0.0780109000, 0.1409945000, 0.3063746000, 0.7685998000", \ - "0.0340995000, 0.0407882000, 0.0566558000, 0.0870528000, 0.1484362000, 0.3100125000, 0.7688637000", \ - "0.0464182000, 0.0547275000, 0.0728177000, 0.1070727000, 0.1697539000, 0.3232024000, 0.7676352000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0239133000, 0.0311130000, 0.0492938000, 0.0980996000, 0.2305981000, 0.5801178000, 1.4943445000", \ - "0.0239251000, 0.0311056000, 0.0493820000, 0.0982009000, 0.2303435000, 0.5803308000, 1.4990545000", \ - "0.0239996000, 0.0310736000, 0.0492987000, 0.0979338000, 0.2302448000, 0.5815340000, 1.5003833000", \ - "0.0241942000, 0.0311309000, 0.0492700000, 0.0982091000, 0.2307546000, 0.5812825000, 1.4964163000", \ - "0.0259853000, 0.0329500000, 0.0510496000, 0.0993559000, 0.2306866000, 0.5799672000, 1.4988629000", \ - "0.0312671000, 0.0379816000, 0.0546171000, 0.1008663000, 0.2320296000, 0.5787651000, 1.4995508000", \ - "0.0434541000, 0.0498529000, 0.0651469000, 0.1068941000, 0.2325151000, 0.5842344000, 1.4945104000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.1207362000, 0.1285985000, 0.1458758000, 0.1800065000, 0.2456139000, 0.3861313000, 0.7361118000", \ - "0.1241903000, 0.1320334000, 0.1491676000, 0.1835653000, 0.2491371000, 0.3896602000, 0.7397014000", \ - "0.1343681000, 0.1421092000, 0.1592561000, 0.1937036000, 0.2592268000, 0.3998638000, 0.7498249000", \ - "0.1623484000, 0.1701932000, 0.1872407000, 0.2216276000, 0.2872820000, 0.4278478000, 0.7779885000", \ - "0.2285608000, 0.2367833000, 0.2541388000, 0.2891051000, 0.3547952000, 0.4957881000, 0.8456691000", \ - "0.3412524000, 0.3514025000, 0.3724128000, 0.4128277000, 0.4840249000, 0.6286960000, 0.9787100000", \ - "0.5244412000, 0.5371912000, 0.5640067000, 0.6144696000, 0.6999037000, 0.8525550000, 1.2061656000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0777093000, 0.0849066000, 0.1013570000, 0.1394671000, 0.2343219000, 0.4811798000, 1.1270054000", \ - "0.0820331000, 0.0892573000, 0.1056008000, 0.1436427000, 0.2390935000, 0.4853659000, 1.1291956000", \ - "0.0921246000, 0.0993663000, 0.1157175000, 0.1537571000, 0.2491780000, 0.4953429000, 1.1386035000", \ - "0.1147011000, 0.1218575000, 0.1381254000, 0.1760477000, 0.2715050000, 0.5180638000, 1.1621927000", \ - "0.1475363000, 0.1550230000, 0.1718099000, 0.2101417000, 0.3058057000, 0.5526233000, 1.1979987000", \ - "0.1826777000, 0.1915185000, 0.2092910000, 0.2481736000, 0.3439055000, 0.5916046000, 1.2372019000", \ - "0.1958259000, 0.2078163000, 0.2299908000, 0.2724063000, 0.3676793000, 0.6155852000, 1.2601317000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0263479000, 0.0329504000, 0.0473048000, 0.0771975000, 0.1405204000, 0.3055646000, 0.7691074000", \ - "0.0262795000, 0.0327848000, 0.0473531000, 0.0771386000, 0.1404068000, 0.3062783000, 0.7679268000", \ - "0.0262892000, 0.0328482000, 0.0473530000, 0.0770574000, 0.1404366000, 0.3062993000, 0.7674631000", \ - "0.0263110000, 0.0328350000, 0.0474450000, 0.0771629000, 0.1404653000, 0.3059742000, 0.7690769000", \ - "0.0288673000, 0.0351147000, 0.0492394000, 0.0784847000, 0.1413471000, 0.3060142000, 0.7688340000", \ - "0.0388961000, 0.0463936000, 0.0614757000, 0.0914092000, 0.1509420000, 0.3110363000, 0.7690502000", \ - "0.0538849000, 0.0624567000, 0.0817140000, 0.1185749000, 0.1760376000, 0.3233616000, 0.7691777000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013104500, 0.0034345500, 0.0090016200, 0.0235923000, 0.0618331000, 0.1620580000"); - values("0.0230064000, 0.0301373000, 0.0483699000, 0.0970087000, 0.2295580000, 0.5810287000, 1.4988657000", \ - "0.0230013000, 0.0300766000, 0.0482506000, 0.0971238000, 0.2301964000, 0.5787086000, 1.4941378000", \ - "0.0229959000, 0.0300946000, 0.0483202000, 0.0972707000, 0.2300631000, 0.5790415000, 1.4974006000", \ - "0.0233787000, 0.0305750000, 0.0487037000, 0.0972778000, 0.2300819000, 0.5792868000, 1.4934639000", \ - "0.0258968000, 0.0327068000, 0.0504922000, 0.0987791000, 0.2301225000, 0.5801460000, 1.5001216000", \ - "0.0322807000, 0.0386180000, 0.0550979000, 0.1006904000, 0.2313966000, 0.5783547000, 1.5016483000", \ - "0.0452979000, 0.0522869000, 0.0674757000, 0.1080127000, 0.2321012000, 0.5829503000, 1.4939118000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o22a_2") { - leakage_power () { - value : 0.0033643000; - when : "!A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0027789000; - when : "!A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0033722000; - when : "!A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0033587000; - when : "!A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0020102000; - when : "!A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0035378000; - when : "!A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0014442000; - when : "!A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0017816000; - when : "!A1&A2&B1&!B2"; - } - leakage_power () { - value : 0.0023893000; - when : "A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0035374000; - when : "A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0018233000; - when : "A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0021608000; - when : "A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0012855000; - when : "A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0035379000; - when : "A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0007196000; - when : "A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0010570000; - when : "A1&A2&B1&!B2"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__o22a"; - cell_leakage_power : 0.0023849210; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023490000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022420000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040175000, 0.0040093000, 0.0039905000, 0.0039917000, 0.0039945000, 0.0040009000, 0.0040156000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003976700, -0.003976000, -0.003974500, -0.003973200, -0.003970100, -0.003963000, -0.003946700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024570000; - } - pin ("A2") { - capacitance : 0.0023550000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021970000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039455000, 0.0039481000, 0.0039539000, 0.0039547000, 0.0039564000, 0.0039603000, 0.0039692000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003943800, -0.003942400, -0.003939200, -0.003940000, -0.003941800, -0.003945900, -0.003955400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025130000; - } - pin ("B1") { - capacitance : 0.0023890000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023020000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048515000, 0.0048468000, 0.0048359000, 0.0048375000, 0.0048410000, 0.0048491000, 0.0048678000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001438300, -0.001444600, -0.001459100, -0.001440800, -0.001398700, -0.001301600, -0.001077900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024770000; - } - pin ("B2") { - capacitance : 0.0023440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045679000, 0.0045668000, 0.0045644000, 0.0045637000, 0.0045624000, 0.0045592000, 0.0045518000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001150300, -0.001157600, -0.001174500, -0.001157000, -0.001116600, -0.001023600, -0.000809000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024760000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A2&B1) | (A1&B2) | (A2&B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014560060, 0.0042399090, 0.0123466700, 0.0359536600, 0.1046975000, 0.3048805000"); - values("0.0200288000, 0.0184603000, 0.0147810000, 0.0037515000, -0.032650000, -0.143180700, -0.467193000", \ - "0.0197434000, 0.0184104000, 0.0147171000, 0.0036797000, -0.032725000, -0.143333600, -0.467269200", \ - "0.0198227000, 0.0182390000, 0.0145771000, 0.0035034000, -0.032914400, -0.143499800, -0.467395700", \ - "0.0193773000, 0.0179479000, 0.0143325000, 0.0032725000, -0.033156100, -0.143719000, -0.467566300", \ - "0.0192715000, 0.0178194000, 0.0141544000, 0.0030125000, -0.033404800, -0.143874300, -0.467754400", \ - "0.0188868000, 0.0173104000, 0.0136703000, 0.0028360000, -0.033612200, -0.144085900, -0.467912100", \ - "0.0238218000, 0.0221526000, 0.0175237000, 0.0044622000, -0.033490100, -0.143945500, -0.467633900"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014560060, 0.0042399090, 0.0123466700, 0.0359536600, 0.1046975000, 0.3048805000"); - values("0.0191170000, 0.0207949000, 0.0256576000, 0.0392422000, 0.0775154000, 0.1880488000, 0.5089308000", \ - "0.0190246000, 0.0207190000, 0.0254922000, 0.0390780000, 0.0774836000, 0.1880238000, 0.5089103000", \ - "0.0188862000, 0.0205862000, 0.0253820000, 0.0389705000, 0.0773209000, 0.1880409000, 0.5085032000", \ - "0.0186961000, 0.0203896000, 0.0252522000, 0.0388363000, 0.0771311000, 0.1877791000, 0.5082823000", \ - "0.0187022000, 0.0203852000, 0.0251993000, 0.0386254000, 0.0769017000, 0.1882135000, 0.5105046000", \ - "0.0191676000, 0.0207187000, 0.0253227000, 0.0384649000, 0.0767620000, 0.1866616000, 0.5078253000", \ - "0.0199361000, 0.0214186000, 0.0258547000, 0.0390314000, 0.0773859000, 0.1882271000, 0.5071810000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014560060, 0.0042399090, 0.0123466700, 0.0359536600, 0.1046975000, 0.3048805000"); - values("0.0179569000, 0.0164002000, 0.0126610000, 0.0016569000, -0.034728300, -0.145262400, -0.469239500", \ - "0.0175440000, 0.0161290000, 0.0124204000, 0.0013705000, -0.035040100, -0.145582300, -0.469495800", \ - "0.0173621000, 0.0158727000, 0.0122393000, 0.0011714000, -0.035254700, -0.145799900, -0.469686300", \ - "0.0171047000, 0.0156656000, 0.0120274000, 0.0010075000, -0.035447900, -0.146037400, -0.469875900", \ - "0.0170605000, 0.0156149000, 0.0119300000, 0.0007587000, -0.035576800, -0.146089200, -0.469998400", \ - "0.0171969000, 0.0156552000, 0.0118707000, 0.0007795000, -0.035389600, -0.145848600, -0.469606200", \ - "0.0230264000, 0.0213038000, 0.0165668000, 0.0033450000, -0.034832500, -0.145258700, -0.468919800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014560060, 0.0042399090, 0.0123466700, 0.0359536600, 0.1046975000, 0.3048805000"); - values("0.0178672000, 0.0195357000, 0.0244221000, 0.0379883000, 0.0763277000, 0.1866829000, 0.5093413000", \ - "0.0178684000, 0.0195296000, 0.0243771000, 0.0379738000, 0.0763046000, 0.1868050000, 0.5097234000", \ - "0.0177755000, 0.0194331000, 0.0242771000, 0.0378749000, 0.0761955000, 0.1864805000, 0.5093272000", \ - "0.0175829000, 0.0192954000, 0.0241242000, 0.0376357000, 0.0759312000, 0.1864693000, 0.5093169000", \ - "0.0173195000, 0.0190011000, 0.0237464000, 0.0371988000, 0.0754867000, 0.1860959000, 0.5091057000", \ - "0.0177379000, 0.0193080000, 0.0239666000, 0.0370950000, 0.0750752000, 0.1849424000, 0.5064698000", \ - "0.0183883000, 0.0198656000, 0.0243293000, 0.0375659000, 0.0758631000, 0.1864435000, 0.5047391000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014560060, 0.0042399090, 0.0123466700, 0.0359536600, 0.1046975000, 0.3048805000"); - values("0.0127020000, 0.0115348000, 0.0084228000, -0.002075000, -0.038030600, -0.148822500, -0.473059700", \ - "0.0125630000, 0.0113945000, 0.0082491000, -0.002194400, -0.038179900, -0.148948400, -0.473182900", \ - "0.0123817000, 0.0110673000, 0.0079961000, -0.002405700, -0.038415500, -0.149184200, -0.473427800", \ - "0.0120253000, 0.0107624000, 0.0076848000, -0.002831100, -0.038758400, -0.149490100, -0.473698600", \ - "0.0118891000, 0.0106382000, 0.0074756000, -0.003114800, -0.039047200, -0.149720400, -0.473889600", \ - "0.0130484000, 0.0114722000, 0.0069223000, -0.003593600, -0.039350000, -0.149874300, -0.473983200", \ - "0.0180006000, 0.0163224000, 0.0116891000, -0.001296600, -0.039120300, -0.149737100, -0.473789700"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014560060, 0.0042399090, 0.0123466700, 0.0359536600, 0.1046975000, 0.3048805000"); - values("0.0166545000, 0.0183726000, 0.0232280000, 0.0368112000, 0.0750784000, 0.1856646000, 0.5065500000", \ - "0.0165834000, 0.0182730000, 0.0230665000, 0.0366919000, 0.0750114000, 0.1855803000, 0.5062372000", \ - "0.0164160000, 0.0180970000, 0.0228988000, 0.0365196000, 0.0748762000, 0.1863397000, 0.5071308000", \ - "0.0162266000, 0.0179161000, 0.0227775000, 0.0363332000, 0.0746661000, 0.1851311000, 0.5061692000", \ - "0.0160934000, 0.0177113000, 0.0224457000, 0.0358872000, 0.0741471000, 0.1848690000, 0.5075618000", \ - "0.0165732000, 0.0181486000, 0.0227394000, 0.0358001000, 0.0738087000, 0.1840656000, 0.5073415000", \ - "0.0175001000, 0.0190025000, 0.0233988000, 0.0365494000, 0.0746103000, 0.1855175000, 0.5043241000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014560060, 0.0042399090, 0.0123466700, 0.0359536600, 0.1046975000, 0.3048805000"); - values("0.0105941000, 0.0093122000, 0.0062373000, -0.004119600, -0.040091300, -0.150822400, -0.474996400", \ - "0.0103909000, 0.0091076000, 0.0060325000, -0.004450800, -0.040297900, -0.150994300, -0.475154700", \ - "0.0101865000, 0.0088732000, 0.0057717000, -0.004698300, -0.040580700, -0.151262700, -0.475421800", \ - "0.0098562000, 0.0085671000, 0.0054718000, -0.005020900, -0.040915000, -0.151542800, -0.475693200", \ - "0.0098495000, 0.0085480000, 0.0054174000, -0.005202000, -0.041073100, -0.151675300, -0.475733400", \ - "0.0114121000, 0.0097845000, 0.0052226000, -0.005268000, -0.040915900, -0.151474000, -0.475391300", \ - "0.0175547000, 0.0157799000, 0.0110931000, -0.002178400, -0.039931600, -0.149906400, -0.474591300"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014560060, 0.0042399090, 0.0123466700, 0.0359536600, 0.1046975000, 0.3048805000"); - values("0.0152199000, 0.0169128000, 0.0217041000, 0.0352921000, 0.0736295000, 0.1840712000, 0.5045661000", \ - "0.0151969000, 0.0168892000, 0.0216822000, 0.0352846000, 0.0735955000, 0.1841000000, 0.5072317000", \ - "0.0150638000, 0.0167783000, 0.0216319000, 0.0352179000, 0.0735494000, 0.1838131000, 0.5070412000", \ - "0.0149254000, 0.0166113000, 0.0214544000, 0.0349578000, 0.0732303000, 0.1835678000, 0.5045366000", \ - "0.0145505000, 0.0162265000, 0.0210149000, 0.0341921000, 0.0724384000, 0.1830591000, 0.5064254000", \ - "0.0152963000, 0.0168373000, 0.0214450000, 0.0344452000, 0.0722493000, 0.1821870000, 0.5048944000", \ - "0.0163227000, 0.0178023000, 0.0222356000, 0.0355661000, 0.0734834000, 0.1845028000, 0.5052935000"); - } - } - max_capacitance : 0.3048800000; - max_transition : 1.5045490000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.1996883000, 0.2063336000, 0.2206666000, 0.2484706000, 0.3031800000, 0.4268831000, 0.7601779000", \ - "0.2050613000, 0.2116743000, 0.2259822000, 0.2538019000, 0.3085003000, 0.4320299000, 0.7659121000", \ - "0.2172341000, 0.2238681000, 0.2381493000, 0.2660353000, 0.3210693000, 0.4445129000, 0.7778425000", \ - "0.2432133000, 0.2498070000, 0.2640843000, 0.2920412000, 0.3470806000, 0.4702965000, 0.8035047000", \ - "0.3022087000, 0.3088559000, 0.3230894000, 0.3510318000, 0.4058454000, 0.5295862000, 0.8631013000", \ - "0.4225656000, 0.4302016000, 0.4456758000, 0.4758164000, 0.5329281000, 0.6576573000, 0.9916609000", \ - "0.6291411000, 0.6380700000, 0.6570481000, 0.6921587000, 0.7560935000, 0.8874526000, 1.2231257000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.1095076000, 0.1156404000, 0.1300228000, 0.1630385000, 0.2467351000, 0.4830677000, 1.1661460000", \ - "0.1141897000, 0.1203685000, 0.1346596000, 0.1676828000, 0.2514735000, 0.4873445000, 1.1706590000", \ - "0.1242462000, 0.1303532000, 0.1447677000, 0.1778086000, 0.2616519000, 0.4977486000, 1.1819838000", \ - "0.1450289000, 0.1512054000, 0.1655728000, 0.1985738000, 0.2824091000, 0.5181576000, 1.2023095000", \ - "0.1864814000, 0.1928827000, 0.2078264000, 0.2411755000, 0.3250007000, 0.5627886000, 1.2476165000", \ - "0.2470417000, 0.2544897000, 0.2712367000, 0.3069611000, 0.3916893000, 0.6282132000, 1.3131116000", \ - "0.3103280000, 0.3202459000, 0.3413419000, 0.3825597000, 0.4706519000, 0.7077885000, 1.3911465000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0282382000, 0.0320730000, 0.0404723000, 0.0604390000, 0.1105898000, 0.2542398000, 0.6968090000", \ - "0.0281852000, 0.0320517000, 0.0403376000, 0.0603490000, 0.1106151000, 0.2541556000, 0.6991746000", \ - "0.0279632000, 0.0320436000, 0.0403376000, 0.0603965000, 0.1107581000, 0.2542633000, 0.6971932000", \ - "0.0279827000, 0.0317262000, 0.0403571000, 0.0602078000, 0.1104229000, 0.2537645000, 0.6962894000", \ - "0.0278825000, 0.0320364000, 0.0403092000, 0.0603819000, 0.1104476000, 0.2541704000, 0.6956192000", \ - "0.0326381000, 0.0364986000, 0.0454006000, 0.0650538000, 0.1134192000, 0.2550272000, 0.6993934000", \ - "0.0434947000, 0.0482614000, 0.0579350000, 0.0783350000, 0.1282780000, 0.2650914000, 0.6977166000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0211590000, 0.0262854000, 0.0396279000, 0.0767312000, 0.1884413000, 0.5245263000, 1.5005032000", \ - "0.0212436000, 0.0263131000, 0.0397423000, 0.0767465000, 0.1886286000, 0.5243414000, 1.5005128000", \ - "0.0212009000, 0.0262811000, 0.0397608000, 0.0767410000, 0.1886876000, 0.5231888000, 1.4984854000", \ - "0.0212300000, 0.0262896000, 0.0396426000, 0.0767038000, 0.1886621000, 0.5242487000, 1.4975516000", \ - "0.0230903000, 0.0281586000, 0.0414661000, 0.0776748000, 0.1888897000, 0.5237174000, 1.5045492000", \ - "0.0282948000, 0.0339601000, 0.0471205000, 0.0821123000, 0.1905381000, 0.5232182000, 1.5025010000", \ - "0.0392658000, 0.0454730000, 0.0600601000, 0.0931155000, 0.1953819000, 0.5254290000, 1.4984598000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.1870406000, 0.1936342000, 0.2079671000, 0.2357595000, 0.2903012000, 0.4138106000, 0.7474529000", \ - "0.1907960000, 0.1974033000, 0.2116283000, 0.2396052000, 0.2946474000, 0.4178780000, 0.7517345000", \ - "0.2011957000, 0.2077838000, 0.2221123000, 0.2499745000, 0.3050167000, 0.4284184000, 0.7616129000", \ - "0.2292359000, 0.2358722000, 0.2502176000, 0.2777898000, 0.3325128000, 0.4561731000, 0.7899392000", \ - "0.2980042000, 0.3045825000, 0.3187891000, 0.3466966000, 0.4013693000, 0.5250378000, 0.8590907000", \ - "0.4441046000, 0.4517665000, 0.4679694000, 0.4977678000, 0.5548361000, 0.6791908000, 1.0133280000", \ - "0.6826510000, 0.6928119000, 0.7141664000, 0.7525206000, 0.8176144000, 0.9474458000, 1.2830966000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0977887000, 0.1037519000, 0.1178214000, 0.1503391000, 0.2334513000, 0.4692091000, 1.1557099000", \ - "0.1027061000, 0.1086514000, 0.1227184000, 0.1552484000, 0.2384279000, 0.4744448000, 1.1578374000", \ - "0.1124744000, 0.1184321000, 0.1325055000, 0.1650397000, 0.2482574000, 0.4855510000, 1.1708788000", \ - "0.1323537000, 0.1383810000, 0.1523537000, 0.1847301000, 0.2678604000, 0.5039407000, 1.1905181000", \ - "0.1688118000, 0.1752365000, 0.1899069000, 0.2231266000, 0.3067077000, 0.5428589000, 1.2266576000", \ - "0.2171911000, 0.2249367000, 0.2417930000, 0.2773200000, 0.3619444000, 0.5979944000, 1.2831216000", \ - "0.2549129000, 0.2652119000, 0.2872040000, 0.3292493000, 0.4175282000, 0.6538697000, 1.3372782000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0282929000, 0.0320989000, 0.0403627000, 0.0601636000, 0.1109341000, 0.2542628000, 0.6987671000", \ - "0.0280177000, 0.0319075000, 0.0402427000, 0.0605929000, 0.1104260000, 0.2539433000, 0.6964552000", \ - "0.0278930000, 0.0320011000, 0.0404796000, 0.0601607000, 0.1103636000, 0.2542175000, 0.6965225000", \ - "0.0280618000, 0.0316161000, 0.0402746000, 0.0607604000, 0.1107310000, 0.2541996000, 0.6987892000", \ - "0.0279218000, 0.0317432000, 0.0403592000, 0.0601689000, 0.1103686000, 0.2534939000, 0.7002059000", \ - "0.0352491000, 0.0389617000, 0.0473707000, 0.0657926000, 0.1136394000, 0.2551290000, 0.6991589000", \ - "0.0519142000, 0.0571734000, 0.0673853000, 0.0866849000, 0.1309880000, 0.2646948000, 0.6996584000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0205117000, 0.0254001000, 0.0386165000, 0.0756239000, 0.1878778000, 0.5240791000, 1.5021518000", \ - "0.0203758000, 0.0254034000, 0.0385889000, 0.0756288000, 0.1878710000, 0.5241000000, 1.5014611000", \ - "0.0203300000, 0.0253943000, 0.0386236000, 0.0756589000, 0.1877146000, 0.5240112000, 1.5027173000", \ - "0.0203771000, 0.0253878000, 0.0385039000, 0.0756577000, 0.1878804000, 0.5242365000, 1.5001973000", \ - "0.0228472000, 0.0278801000, 0.0409999000, 0.0769997000, 0.1881604000, 0.5241043000, 1.5030381000", \ - "0.0290822000, 0.0344343000, 0.0473819000, 0.0820115000, 0.1896983000, 0.5222778000, 1.4990273000", \ - "0.0410017000, 0.0474814000, 0.0620481000, 0.0947524000, 0.1951667000, 0.5248036000, 1.4979382000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.1635411000, 0.1701347000, 0.1851158000, 0.2162322000, 0.2784285000, 0.4089931000, 0.7442415000", \ - "0.1687751000, 0.1754150000, 0.1903740000, 0.2216705000, 0.2837592000, 0.4142777000, 0.7494628000", \ - "0.1808859000, 0.1875062000, 0.2024421000, 0.2337220000, 0.2956998000, 0.4262397000, 0.7614309000", \ - "0.2077759000, 0.2143833000, 0.2293595000, 0.2604308000, 0.3227768000, 0.4533047000, 0.7885663000", \ - "0.2703136000, 0.2769081000, 0.2917256000, 0.3229796000, 0.3852729000, 0.5158846000, 0.8510567000", \ - "0.3909557000, 0.3984316000, 0.4150644000, 0.4493448000, 0.5151736000, 0.6484337000, 0.9840941000", \ - "0.5984643000, 0.6076258000, 0.6278629000, 0.6685372000, 0.7457356000, 0.8904551000, 1.2309532000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.1003850000, 0.1065322000, 0.1208868000, 0.1538856000, 0.2376379000, 0.4739089000, 1.1571512000", \ - "0.1045483000, 0.1106898000, 0.1250556000, 0.1581013000, 0.2419523000, 0.4782860000, 1.1626470000", \ - "0.1145866000, 0.1207452000, 0.1351244000, 0.1681510000, 0.2518582000, 0.4894173000, 1.1748834000", \ - "0.1392044000, 0.1453422000, 0.1597325000, 0.1926682000, 0.2764414000, 0.5127140000, 1.1960296000", \ - "0.1865470000, 0.1929183000, 0.2076641000, 0.2412293000, 0.3250313000, 0.5614811000, 1.2485379000", \ - "0.2467628000, 0.2546560000, 0.2710511000, 0.3061312000, 0.3909992000, 0.6276242000, 1.3148227000", \ - "0.3009281000, 0.3111872000, 0.3327929000, 0.3733724000, 0.4598475000, 0.6962314000, 1.3805245000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0265964000, 0.0309537000, 0.0421864000, 0.0674398000, 0.1218920000, 0.2620430000, 0.6991659000", \ - "0.0265934000, 0.0311656000, 0.0420618000, 0.0673250000, 0.1216738000, 0.2626568000, 0.6994143000", \ - "0.0266072000, 0.0308816000, 0.0420321000, 0.0671962000, 0.1219442000, 0.2625990000, 0.6983302000", \ - "0.0264889000, 0.0309944000, 0.0417704000, 0.0673866000, 0.1214831000, 0.2618920000, 0.6987631000", \ - "0.0269554000, 0.0311658000, 0.0422163000, 0.0677006000, 0.1216904000, 0.2625030000, 0.6981762000", \ - "0.0326962000, 0.0375466000, 0.0489870000, 0.0749781000, 0.1280396000, 0.2662426000, 0.6992555000", \ - "0.0452696000, 0.0509971000, 0.0637369000, 0.0922433000, 0.1494798000, 0.2831531000, 0.7014486000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0212484000, 0.0262269000, 0.0396283000, 0.0767249000, 0.1884801000, 0.5239060000, 1.5002797000", \ - "0.0212201000, 0.0263428000, 0.0397527000, 0.0767279000, 0.1885239000, 0.5225710000, 1.5003480000", \ - "0.0211563000, 0.0262351000, 0.0397531000, 0.0767656000, 0.1885621000, 0.5243698000, 1.5031354000", \ - "0.0211768000, 0.0262974000, 0.0395783000, 0.0767462000, 0.1887454000, 0.5250024000, 1.5003327000", \ - "0.0234237000, 0.0284557000, 0.0416633000, 0.0781633000, 0.1889825000, 0.5249114000, 1.5002523000", \ - "0.0305625000, 0.0354083000, 0.0478159000, 0.0820811000, 0.1911801000, 0.5239867000, 1.5026591000", \ - "0.0429971000, 0.0490138000, 0.0618543000, 0.0940663000, 0.1942730000, 0.5264650000, 1.5006523000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.1475146000, 0.1541499000, 0.1691740000, 0.2004139000, 0.2624148000, 0.3929934000, 0.7281287000", \ - "0.1509952000, 0.1576179000, 0.1725680000, 0.2038637000, 0.2659440000, 0.3965239000, 0.7317672000", \ - "0.1614210000, 0.1679807000, 0.1829865000, 0.2139347000, 0.2764111000, 0.4069787000, 0.7422178000", \ - "0.1891051000, 0.1957195000, 0.2106053000, 0.2418829000, 0.3041641000, 0.4347787000, 0.7700288000", \ - "0.2575924000, 0.2641039000, 0.2789183000, 0.3101369000, 0.3723993000, 0.5033392000, 0.8383217000", \ - "0.3883080000, 0.3963471000, 0.4141220000, 0.4495146000, 0.5172103000, 0.6503730000, 0.9851874000", \ - "0.5981825000, 0.6081010000, 0.6308676000, 0.6756400000, 0.7577852000, 0.9040101000, 1.2445522000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0869378000, 0.0928657000, 0.1068979000, 0.1394506000, 0.2227389000, 0.4586637000, 1.1427841000", \ - "0.0912340000, 0.0972192000, 0.1112217000, 0.1437646000, 0.2269902000, 0.4628208000, 1.1461592000", \ - "0.1014445000, 0.1073719000, 0.1214849000, 0.1539926000, 0.2372767000, 0.4736073000, 1.1574904000", \ - "0.1249571000, 0.1308968000, 0.1448785000, 0.1772245000, 0.2604610000, 0.4964744000, 1.1792674000", \ - "0.1650685000, 0.1714314000, 0.1860428000, 0.2191657000, 0.3026324000, 0.5402435000, 1.2221261000", \ - "0.2106493000, 0.2185121000, 0.2354321000, 0.2702479000, 0.3544103000, 0.5909971000, 1.2782692000", \ - "0.2392803000, 0.2498893000, 0.2721927000, 0.3132610000, 0.3997435000, 0.6355496000, 1.3189642000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0265824000, 0.0309716000, 0.0418517000, 0.0673451000, 0.1219057000, 0.2625516000, 0.6967231000", \ - "0.0266022000, 0.0307947000, 0.0421778000, 0.0672020000, 0.1213341000, 0.2625879000, 0.6981558000", \ - "0.0266144000, 0.0309137000, 0.0416577000, 0.0674026000, 0.1216033000, 0.2625417000, 0.6983729000", \ - "0.0266396000, 0.0310488000, 0.0421812000, 0.0672933000, 0.1214487000, 0.2619968000, 0.6983529000", \ - "0.0268248000, 0.0315210000, 0.0424042000, 0.0673527000, 0.1218854000, 0.2625894000, 0.6985280000", \ - "0.0371691000, 0.0424385000, 0.0534451000, 0.0786834000, 0.1302174000, 0.2670980000, 0.6996993000", \ - "0.0545037000, 0.0602808000, 0.0737901000, 0.1042949000, 0.1597237000, 0.2866515000, 0.7041209000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014560100, 0.0042399100, 0.0123467000, 0.0359537000, 0.1046980000, 0.3048800000"); - values("0.0203860000, 0.0253005000, 0.0385585000, 0.0755532000, 0.1872131000, 0.5226188000, 1.5014001000", \ - "0.0202561000, 0.0252209000, 0.0386158000, 0.0756452000, 0.1877461000, 0.5241385000, 1.4999850000", \ - "0.0203300000, 0.0253124000, 0.0384920000, 0.0755914000, 0.1878049000, 0.5243698000, 1.5037346000", \ - "0.0204401000, 0.0254706000, 0.0386433000, 0.0755838000, 0.1878800000, 0.5242920000, 1.5003070000", \ - "0.0235449000, 0.0281356000, 0.0410664000, 0.0777060000, 0.1883682000, 0.5244688000, 1.5004912000", \ - "0.0314048000, 0.0361780000, 0.0482532000, 0.0824038000, 0.1904286000, 0.5230474000, 1.5038520000", \ - "0.0446654000, 0.0505627000, 0.0641562000, 0.0960625000, 0.1945040000, 0.5259630000, 1.4989517000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o22a_4") { - leakage_power () { - value : 0.0050025000; - when : "!A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0037075000; - when : "!A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0050073000; - when : "!A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0049961000; - when : "!A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0036430000; - when : "!A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0054476000; - when : "!A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0028449000; - when : "!A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0033494000; - when : "!A1&A2&B1&!B2"; - } - leakage_power () { - value : 0.0038034000; - when : "A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0054365000; - when : "A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0030054000; - when : "A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0035098000; - when : "A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0025429000; - when : "A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0054417000; - when : "A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0017447000; - when : "A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0022492000; - when : "A1&A2&B1&!B2"; - } - area : 17.516800000; - cell_footprint : "sky130_fd_sc_hd__o22a"; - cell_leakage_power : 0.0038582540; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0047950000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045550000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080550000, 0.0080421000, 0.0080123000, 0.0080096000, 0.0080033000, 0.0079887000, 0.0079552000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007985000, -0.007981300, -0.007972600, -0.007974500, -0.007978800, -0.007988700, -0.008011600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050350000; - } - pin ("A2") { - capacitance : 0.0043350000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040270000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0075522000, 0.0075517000, 0.0075505000, 0.0075518000, 0.0075549000, 0.0075621000, 0.0075785000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007529000, -0.007528000, -0.007525800, -0.007527400, -0.007531100, -0.007539600, -0.007559100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046440000; - } - pin ("B1") { - capacitance : 0.0048190000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0046150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0095412000, 0.0095350000, 0.0095207000, 0.0095234000, 0.0095297000, 0.0095442000, 0.0095776000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003298400, -0.003309200, -0.003334100, -0.003301000, -0.003224800, -0.003049100, -0.002644100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050240000; - } - pin ("B2") { - capacitance : 0.0043130000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0088068000, 0.0088065000, 0.0088061000, 0.0088034000, 0.0087973000, 0.0087833000, 0.0087508000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002505000, -0.002516800, -0.002544000, -0.002511900, -0.002437900, -0.002267300, -0.001874100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045610000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A2&B1) | (A1&B2) | (A2&B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000"); - values("0.0369371000, 0.0351447000, 0.0299084000, 0.0148925000, -0.038303800, -0.220741100, -0.809786600", \ - "0.0364942000, 0.0348167000, 0.0295989000, 0.0147418000, -0.038739800, -0.220973600, -0.810070400", \ - "0.0364389000, 0.0346691000, 0.0293792000, 0.0143038000, -0.038985700, -0.221474400, -0.810414000", \ - "0.0358994000, 0.0341665000, 0.0289320000, 0.0137488000, -0.039530300, -0.221791000, -0.810942800", \ - "0.0355372000, 0.0337958000, 0.0285325000, 0.0134469000, -0.040053000, -0.222311400, -0.811188000", \ - "0.0362431000, 0.0344504000, 0.0291009000, 0.0141126000, -0.039630500, -0.221976900, -0.810745600", \ - "0.0462291000, 0.0441772000, 0.0380405000, 0.0196019000, -0.037941500, -0.220771900, -0.809163200"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000"); - values("0.0344612000, 0.0363977000, 0.0425981000, 0.0615304000, 0.1201462000, 0.3050391000, 0.8864905000", \ - "0.0344759000, 0.0364213000, 0.0425108000, 0.0615671000, 0.1201862000, 0.3036672000, 0.8872135000", \ - "0.0343372000, 0.0362882000, 0.0423867000, 0.0614484000, 0.1200136000, 0.3034343000, 0.8874666000", \ - "0.0340779000, 0.0359885000, 0.0421467000, 0.0611299000, 0.1195629000, 0.3034907000, 0.8903197000", \ - "0.0337238000, 0.0356455000, 0.0416627000, 0.0602423000, 0.1186496000, 0.3027571000, 0.8859493000", \ - "0.0343746000, 0.0362035000, 0.0420911000, 0.0604944000, 0.1179095000, 0.3006603000, 0.8899084000", \ - "0.0356145000, 0.0373557000, 0.0430783000, 0.0609732000, 0.1192055000, 0.3027149000, 0.8841320000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000"); - values("0.0268516000, 0.0252333000, 0.0207557000, 0.0073273000, -0.044481700, -0.226819300, -0.816395200", \ - "0.0265584000, 0.0250744000, 0.0205046000, 0.0071573000, -0.044878900, -0.227113900, -0.816670700", \ - "0.0262112000, 0.0246107000, 0.0200107000, 0.0066869000, -0.045388300, -0.227596500, -0.817148800", \ - "0.0255209000, 0.0239339000, 0.0193788000, 0.0058746000, -0.046017300, -0.228202400, -0.817725100", \ - "0.0251633000, 0.0235286000, 0.0188956000, 0.0052476000, -0.046626500, -0.228707800, -0.818118600", \ - "0.0252668000, 0.0234020000, 0.0176291000, 0.0042334000, -0.047305900, -0.228955100, -0.818184700", \ - "0.0371943000, 0.0352302000, 0.0294160000, 0.0111422000, -0.045392400, -0.228486900, -0.817691000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000"); - values("0.0331761000, 0.0351847000, 0.0413112000, 0.0603631000, 0.1189868000, 0.3030172000, 0.8902141000", \ - "0.0330383000, 0.0349983000, 0.0411504000, 0.0600540000, 0.1187426000, 0.3024646000, 0.8865269000", \ - "0.0326672000, 0.0346442000, 0.0408159000, 0.0598503000, 0.1184439000, 0.3036287000, 0.8858063000", \ - "0.0324777000, 0.0344720000, 0.0405761000, 0.0595369000, 0.1179869000, 0.3021044000, 0.8894655000", \ - "0.0322807000, 0.0341673000, 0.0401477000, 0.0583862000, 0.1167183000, 0.3009164000, 0.8852024000", \ - "0.0329937000, 0.0347904000, 0.0405669000, 0.0589475000, 0.1163289000, 0.2992103000, 0.8877744000", \ - "0.0346544000, 0.0363816000, 0.0420467000, 0.0599841000, 0.1177207000, 0.3014606000, 0.8829927000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000"); - values("0.0227629000, 0.0211309000, 0.0166697000, 0.0032615000, -0.048596100, -0.230635200, -0.820039500", \ - "0.0224612000, 0.0208848000, 0.0164432000, 0.0029942000, -0.048818900, -0.230917000, -0.820336400", \ - "0.0219371000, 0.0202954000, 0.0157876000, 0.0023537000, -0.049436800, -0.231461400, -0.820854600", \ - "0.0213963000, 0.0197801000, 0.0152460000, 0.0017206000, -0.050105700, -0.232093700, -0.821446800", \ - "0.0210137000, 0.0194040000, 0.0148088000, 0.0009965000, -0.050760200, -0.232588000, -0.821807700", \ - "0.0234534000, 0.0214261000, 0.0154423000, 0.0012311000, -0.050188700, -0.231995000, -0.821201000", \ - "0.0367487000, 0.0346790000, 0.0287815000, 0.0099758000, -0.047678300, -0.230188200, -0.819266200"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000"); - values("0.0294303000, 0.0313940000, 0.0375623000, 0.0566547000, 0.1152695000, 0.2991847000, 0.8862554000", \ - "0.0294985000, 0.0314658000, 0.0375546000, 0.0566752000, 0.1151963000, 0.2991228000, 0.8859734000", \ - "0.0293261000, 0.0313245000, 0.0374796000, 0.0564969000, 0.1151583000, 0.2988207000, 0.8817462000", \ - "0.0292224000, 0.0311598000, 0.0372277000, 0.0561619000, 0.1144919000, 0.2984184000, 0.8851732000", \ - "0.0286709000, 0.0305388000, 0.0365649000, 0.0550475000, 0.1133536000, 0.2975362000, 0.8813778000", \ - "0.0300040000, 0.0317721000, 0.0374964000, 0.0558447000, 0.1128650000, 0.2961650000, 0.8814172000", \ - "0.0311869000, 0.0328782000, 0.0384781000, 0.0566277000, 0.1144346000, 0.2975070000, 0.8780664000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000"); - values("0.0414133000, 0.0396274000, 0.0344584000, 0.0193498000, -0.033874900, -0.216335800, -0.805344800", \ - "0.0413175000, 0.0396719000, 0.0342825000, 0.0192780000, -0.033881500, -0.216545000, -0.805569100", \ - "0.0407871000, 0.0389720000, 0.0338822000, 0.0187423000, -0.034439000, -0.216887000, -0.805924700", \ - "0.0403729000, 0.0386166000, 0.0334200000, 0.0183330000, -0.034973800, -0.217268800, -0.806203300", \ - "0.0400114000, 0.0382009000, 0.0330704000, 0.0178637000, -0.035428400, -0.217802500, -0.806707400", \ - "0.0395713000, 0.0377461000, 0.0324779000, 0.0174932000, -0.035670900, -0.218170000, -0.806932000", \ - "0.0480374000, 0.0460874000, 0.0401276000, 0.0219641000, -0.035301700, -0.218103000, -0.806351100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015966190, 0.0050983850, 0.0162803600, 0.0519870600, 0.1660071000, 0.5301002000"); - values("0.0377647000, 0.0396964000, 0.0457728000, 0.0648574000, 0.1233898000, 0.3071191000, 0.8906275000", \ - "0.0375306000, 0.0394895000, 0.0455554000, 0.0646409000, 0.1231736000, 0.3070670000, 0.8939375000", \ - "0.0372526000, 0.0392364000, 0.0453518000, 0.0643709000, 0.1230020000, 0.3069444000, 0.8941316000", \ - "0.0369299000, 0.0389032000, 0.0450205000, 0.0640090000, 0.1225929000, 0.3065167000, 0.8896844000", \ - "0.0370424000, 0.0389597000, 0.0450337000, 0.0634639000, 0.1220068000, 0.3058141000, 0.8898175000", \ - "0.0376638000, 0.0394281000, 0.0452774000, 0.0636670000, 0.1214548000, 0.3046629000, 0.8888077000", \ - "0.0391950000, 0.0409377000, 0.0466037000, 0.0645557000, 0.1228226000, 0.3064150000, 0.8870449000"); - } - } - max_capacitance : 0.5301000000; - max_transition : 1.5014620000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.2093929000, 0.2137404000, 0.2248173000, 0.2488763000, 0.2988721000, 0.4125689000, 0.7338822000", \ - "0.2145612000, 0.2189154000, 0.2299540000, 0.2541224000, 0.3034984000, 0.4176702000, 0.7397274000", \ - "0.2270176000, 0.2313635000, 0.2423806000, 0.2665031000, 0.3162714000, 0.4301897000, 0.7523147000", \ - "0.2540733000, 0.2584028000, 0.2694237000, 0.2935680000, 0.3433605000, 0.4569344000, 0.7784421000", \ - "0.3151698000, 0.3194976000, 0.3304731000, 0.3545826000, 0.4043248000, 0.5184190000, 0.8405291000", \ - "0.4431795000, 0.4478469000, 0.4596463000, 0.4848917000, 0.5365600000, 0.6524004000, 0.9743016000", \ - "0.6661068000, 0.6719179000, 0.6864280000, 0.7170421000, 0.7757245000, 0.8985466000, 1.2195877000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.1057784000, 0.1096749000, 0.1202656000, 0.1472490000, 0.2209285000, 0.4461737000, 1.1589165000", \ - "0.1103004000, 0.1142133000, 0.1247655000, 0.1517727000, 0.2254658000, 0.4507684000, 1.1665658000", \ - "0.1201044000, 0.1240316000, 0.1345962000, 0.1615717000, 0.2352146000, 0.4601628000, 1.1738093000", \ - "0.1408617000, 0.1447802000, 0.1553082000, 0.1822469000, 0.2558065000, 0.4809775000, 1.1937081000", \ - "0.1810772000, 0.1852018000, 0.1961945000, 0.2236995000, 0.2973241000, 0.5225455000, 1.2365038000", \ - "0.2369407000, 0.2416374000, 0.2541434000, 0.2835536000, 0.3584901000, 0.5836948000, 1.2974231000", \ - "0.2882988000, 0.2947109000, 0.3105690000, 0.3453560000, 0.4234553000, 0.6489100000, 1.3620668000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0304603000, 0.0330848000, 0.0392633000, 0.0548422000, 0.0965496000, 0.2212327000, 0.6427952000", \ - "0.0304523000, 0.0330339000, 0.0391815000, 0.0551707000, 0.0968004000, 0.2213730000, 0.6421518000", \ - "0.0305505000, 0.0330640000, 0.0395025000, 0.0553314000, 0.0969240000, 0.2211878000, 0.6426983000", \ - "0.0308235000, 0.0328867000, 0.0398184000, 0.0552330000, 0.0966467000, 0.2211493000, 0.6428325000", \ - "0.0304570000, 0.0330232000, 0.0392699000, 0.0551496000, 0.0967673000, 0.2211932000, 0.6426020000", \ - "0.0350499000, 0.0377338000, 0.0441451000, 0.0597365000, 0.1002876000, 0.2225213000, 0.6404342000", \ - "0.0466463000, 0.0496797000, 0.0570942000, 0.0734654000, 0.1140620000, 0.2336643000, 0.6436526000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0216665000, 0.0248922000, 0.0347423000, 0.0642662000, 0.1612612000, 0.4794264000, 1.4986217000", \ - "0.0216087000, 0.0248938000, 0.0346784000, 0.0643370000, 0.1611478000, 0.4798824000, 1.4987231000", \ - "0.0217111000, 0.0249680000, 0.0346050000, 0.0642603000, 0.1611394000, 0.4804044000, 1.4993213000", \ - "0.0216623000, 0.0249517000, 0.0345761000, 0.0642485000, 0.1611636000, 0.4800366000, 1.4970289000", \ - "0.0236069000, 0.0269232000, 0.0366083000, 0.0656069000, 0.1615305000, 0.4802459000, 1.4996491000", \ - "0.0290692000, 0.0327338000, 0.0423330000, 0.0700568000, 0.1633452000, 0.4788258000, 1.4984815000", \ - "0.0405737000, 0.0446660000, 0.0548550000, 0.0820366000, 0.1683581000, 0.4811870000, 1.4966253000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.1920340000, 0.1963445000, 0.2073777000, 0.2314677000, 0.2811950000, 0.3951749000, 0.7172739000", \ - "0.1960273000, 0.2003567000, 0.2114364000, 0.2354174000, 0.2853798000, 0.3987851000, 0.7208638000", \ - "0.2065200000, 0.2108674000, 0.2218423000, 0.2460093000, 0.2959055000, 0.4097450000, 0.7318102000", \ - "0.2345372000, 0.2388783000, 0.2499185000, 0.2739944000, 0.3239596000, 0.4376099000, 0.7592690000", \ - "0.3032123000, 0.3075610000, 0.3185786000, 0.3425789000, 0.3924510000, 0.5064739000, 0.8285181000", \ - "0.4511455000, 0.4560653000, 0.4687186000, 0.4946010000, 0.5459791000, 0.6613515000, 0.9836868000", \ - "0.6943732000, 0.7008210000, 0.7170893000, 0.7509479000, 0.8114657000, 0.9326636000, 1.2576459000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0987718000, 0.1027221000, 0.1135002000, 0.1407258000, 0.2144802000, 0.4397588000, 1.1519286000", \ - "0.1036435000, 0.1075690000, 0.1182925000, 0.1455481000, 0.2192468000, 0.4439252000, 1.1575240000", \ - "0.1130605000, 0.1169900000, 0.1277169000, 0.1549940000, 0.2287682000, 0.4535868000, 1.1668752000", \ - "0.1323001000, 0.1362406000, 0.1469292000, 0.1740325000, 0.2478021000, 0.4729083000, 1.1877636000", \ - "0.1673128000, 0.1715369000, 0.1827120000, 0.2106112000, 0.2847466000, 0.5102124000, 1.2225285000", \ - "0.2127535000, 0.2177177000, 0.2305582000, 0.2605774000, 0.3359287000, 0.5611321000, 1.2786635000", \ - "0.2444585000, 0.2510607000, 0.2679000000, 0.3038701000, 0.3833627000, 0.6087065000, 1.3215057000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0304273000, 0.0331289000, 0.0394669000, 0.0548376000, 0.0968177000, 0.2212661000, 0.6424578000", \ - "0.0306237000, 0.0331720000, 0.0396732000, 0.0551831000, 0.0966320000, 0.2212540000, 0.6415518000", \ - "0.0305183000, 0.0329273000, 0.0392362000, 0.0550738000, 0.0966455000, 0.2211534000, 0.6423163000", \ - "0.0304094000, 0.0328812000, 0.0399351000, 0.0548268000, 0.0966354000, 0.2212367000, 0.6409628000", \ - "0.0304886000, 0.0329688000, 0.0395922000, 0.0549244000, 0.0966529000, 0.2212447000, 0.6419448000", \ - "0.0378499000, 0.0403181000, 0.0465204000, 0.0612851000, 0.1001859000, 0.2227501000, 0.6429771000", \ - "0.0558580000, 0.0591843000, 0.0670548000, 0.0827920000, 0.1184294000, 0.2335157000, 0.6438121000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0218397000, 0.0251885000, 0.0349429000, 0.0645445000, 0.1611789000, 0.4806799000, 1.4970548000", \ - "0.0218400000, 0.0250943000, 0.0349466000, 0.0644674000, 0.1610907000, 0.4802534000, 1.4994435000", \ - "0.0218082000, 0.0251317000, 0.0349387000, 0.0644587000, 0.1611418000, 0.4788276000, 1.5009325000", \ - "0.0218698000, 0.0251759000, 0.0349365000, 0.0644277000, 0.1609022000, 0.4801960000, 1.5013237000", \ - "0.0241776000, 0.0275240000, 0.0372358000, 0.0664038000, 0.1618110000, 0.4799612000, 1.4983770000", \ - "0.0302489000, 0.0335865000, 0.0435846000, 0.0712545000, 0.1640588000, 0.4777278000, 1.5007726000", \ - "0.0426502000, 0.0466582000, 0.0574680000, 0.0840786000, 0.1697513000, 0.4813022000, 1.4933533000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.1721674000, 0.1763763000, 0.1874817000, 0.2131912000, 0.2701460000, 0.3946634000, 0.7209769000", \ - "0.1771224000, 0.1813217000, 0.1923661000, 0.2180662000, 0.2751591000, 0.3995614000, 0.7258814000", \ - "0.1891849000, 0.1933683000, 0.2043779000, 0.2300166000, 0.2871371000, 0.4115162000, 0.7378695000", \ - "0.2166495000, 0.2208183000, 0.2318684000, 0.2573614000, 0.3146513000, 0.4390650000, 0.7654534000", \ - "0.2797769000, 0.2839498000, 0.2949061000, 0.3205141000, 0.3778396000, 0.5024074000, 0.8287303000", \ - "0.4032484000, 0.4079363000, 0.4201576000, 0.4482276000, 0.5092115000, 0.6368326000, 0.9639790000", \ - "0.6192064000, 0.6248779000, 0.6396504000, 0.6726917000, 0.7420063000, 0.8822598000, 1.2163761000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0968901000, 0.1007574000, 0.1113371000, 0.1383468000, 0.2120576000, 0.4373285000, 1.1518552000", \ - "0.1009144000, 0.1048873000, 0.1154281000, 0.1423643000, 0.2159582000, 0.4410584000, 1.1551805000", \ - "0.1107984000, 0.1146963000, 0.1253053000, 0.1522544000, 0.2258521000, 0.4516548000, 1.1646903000", \ - "0.1349186000, 0.1388134000, 0.1493084000, 0.1761977000, 0.2496927000, 0.4748290000, 1.1887450000", \ - "0.1790161000, 0.1830691000, 0.1938798000, 0.2209087000, 0.2947473000, 0.5198604000, 1.2342107000", \ - "0.2326831000, 0.2375195000, 0.2498145000, 0.2785462000, 0.3527470000, 0.5787452000, 1.2955661000", \ - "0.2739361000, 0.2804067000, 0.2962604000, 0.3304713000, 0.4069727000, 0.6312123000, 1.3455812000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0283245000, 0.0310572000, 0.0389354000, 0.0586921000, 0.1090360000, 0.2342711000, 0.6449170000", \ - "0.0283408000, 0.0312781000, 0.0388299000, 0.0588600000, 0.1089282000, 0.2344302000, 0.6449146000", \ - "0.0284120000, 0.0312907000, 0.0388301000, 0.0588941000, 0.1089564000, 0.2343145000, 0.6449520000", \ - "0.0283103000, 0.0313018000, 0.0389763000, 0.0588358000, 0.1087803000, 0.2343064000, 0.6439930000", \ - "0.0286930000, 0.0313516000, 0.0391572000, 0.0587688000, 0.1089459000, 0.2340393000, 0.6448737000", \ - "0.0348110000, 0.0377092000, 0.0456124000, 0.0661651000, 0.1155296000, 0.2381973000, 0.6449993000", \ - "0.0483418000, 0.0516172000, 0.0599450000, 0.0820045000, 0.1356217000, 0.2572834000, 0.6507659000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0216270000, 0.0250628000, 0.0346872000, 0.0642370000, 0.1609093000, 0.4803836000, 1.5014622000", \ - "0.0216693000, 0.0250440000, 0.0346347000, 0.0642085000, 0.1611289000, 0.4802177000, 1.5003234000", \ - "0.0216793000, 0.0250076000, 0.0347330000, 0.0641239000, 0.1611187000, 0.4808680000, 1.4984654000", \ - "0.0215205000, 0.0248071000, 0.0346679000, 0.0641520000, 0.1610653000, 0.4805456000, 1.5002151000", \ - "0.0238705000, 0.0270769000, 0.0366262000, 0.0660914000, 0.1617612000, 0.4803832000, 1.5000311000", \ - "0.0305929000, 0.0335590000, 0.0425731000, 0.0698504000, 0.1634324000, 0.4784561000, 1.4996046000", \ - "0.0433540000, 0.0470412000, 0.0568450000, 0.0815963000, 0.1679801000, 0.4809712000, 1.4937941000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.1532577000, 0.1574764000, 0.1685609000, 0.1943561000, 0.2512436000, 0.3757085000, 0.7020176000", \ - "0.1568785000, 0.1610586000, 0.1720526000, 0.1976962000, 0.2548786000, 0.3794879000, 0.7057009000", \ - "0.1669148000, 0.1710972000, 0.1821429000, 0.2078019000, 0.2648960000, 0.3894965000, 0.7158347000", \ - "0.1941771000, 0.1983961000, 0.2092782000, 0.2346667000, 0.2918680000, 0.4163039000, 0.7427592000", \ - "0.2630154000, 0.2671723000, 0.2780700000, 0.3035941000, 0.3607014000, 0.4852557000, 0.8112860000", \ - "0.3970722000, 0.4020726000, 0.4149619000, 0.4438053000, 0.5052286000, 0.6334053000, 0.9605577000", \ - "0.6151275000, 0.6214599000, 0.6380013000, 0.6743121000, 0.7490785000, 0.8909181000, 1.2251584000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0910817000, 0.0950513000, 0.1058560000, 0.1332759000, 0.2072243000, 0.4318584000, 1.1449078000", \ - "0.0954199000, 0.0993799000, 0.1101716000, 0.1375806000, 0.2115239000, 0.4365628000, 1.1512413000", \ - "0.1056978000, 0.1096739000, 0.1204742000, 0.1478398000, 0.2217548000, 0.4477658000, 1.1588041000", \ - "0.1294809000, 0.1334236000, 0.1441143000, 0.1713270000, 0.2450009000, 0.4701868000, 1.1853889000", \ - "0.1696524000, 0.1738074000, 0.1849478000, 0.2128330000, 0.2868427000, 0.5123804000, 1.2247777000", \ - "0.2149076000, 0.2199523000, 0.2329249000, 0.2622985000, 0.3366593000, 0.5621806000, 1.2766408000", \ - "0.2416499000, 0.2484086000, 0.2651533000, 0.3009606000, 0.3787040000, 0.6028382000, 1.3155081000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0285543000, 0.0309944000, 0.0389186000, 0.0587149000, 0.1088505000, 0.2342501000, 0.6449822000", \ - "0.0284312000, 0.0312480000, 0.0386513000, 0.0588452000, 0.1091932000, 0.2344262000, 0.6449801000", \ - "0.0283151000, 0.0310223000, 0.0389199000, 0.0587852000, 0.1089013000, 0.2343694000, 0.6450179000", \ - "0.0285593000, 0.0313199000, 0.0389545000, 0.0589204000, 0.1085704000, 0.2343549000, 0.6449605000", \ - "0.0287921000, 0.0312924000, 0.0392255000, 0.0590531000, 0.1091777000, 0.2344197000, 0.6450845000", \ - "0.0394570000, 0.0423168000, 0.0500475000, 0.0697746000, 0.1175543000, 0.2394475000, 0.6453221000", \ - "0.0578941000, 0.0612897000, 0.0704420000, 0.0941046000, 0.1457911000, 0.2614662000, 0.6521572000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015966200, 0.0050983900, 0.0162804000, 0.0519871000, 0.1660070000, 0.5301000000"); - values("0.0220681000, 0.0253973000, 0.0352041000, 0.0647436000, 0.1609829000, 0.4801778000, 1.4990500000", \ - "0.0219959000, 0.0253597000, 0.0351672000, 0.0648012000, 0.1613494000, 0.4796840000, 1.4994103000", \ - "0.0221123000, 0.0254060000, 0.0352232000, 0.0647895000, 0.1612474000, 0.4798414000, 1.4971623000", \ - "0.0219887000, 0.0253646000, 0.0352338000, 0.0648978000, 0.1613825000, 0.4795478000, 1.4962090000", \ - "0.0249097000, 0.0281120000, 0.0376916000, 0.0669411000, 0.1621684000, 0.4796576000, 1.4990738000", \ - "0.0332104000, 0.0361791000, 0.0453592000, 0.0716375000, 0.1644540000, 0.4795321000, 1.5003191000", \ - "0.0471276000, 0.0507739000, 0.0608882000, 0.0872101000, 0.1703108000, 0.4817594000, 1.4948887000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o22ai_1") { - leakage_power () { - value : 0.0005941000; - when : "!A1&!A2&!B1&B2"; - } - leakage_power () { - value : 1.0595048e-05; - when : "!A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0006024000; - when : "!A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0005872000; - when : "!A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0021734000; - when : "!A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0007704000; - when : "!A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0012515000; - when : "!A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0026854000; - when : "!A1&A2&B1&!B2"; - } - leakage_power () { - value : 0.0026055000; - when : "A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0007704000; - when : "A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0016836000; - when : "A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0031176000; - when : "A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0012400000; - when : "A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0007704000; - when : "A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0003181000; - when : "A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0017520000; - when : "A1&A2&B1&!B2"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__o22ai"; - cell_leakage_power : 0.0013082870; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023300000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022280000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039520000, 0.0039595000, 0.0039767000, 0.0039762000, 0.0039751000, 0.0039726000, 0.0039668000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003962700, -0.003961400, -0.003958500, -0.003959300, -0.003961000, -0.003965000, -0.003974200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024310000; - } - pin ("A2") { - capacitance : 0.0023450000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021980000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038999000, 0.0039019000, 0.0039063000, 0.0039070000, 0.0039085000, 0.0039122000, 0.0039205000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003903200, -0.003901400, -0.003897100, -0.003897000, -0.003896800, -0.003896400, -0.003895400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024910000; - } - pin ("B1") { - capacitance : 0.0024310000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023580000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0050106000, 0.0050062000, 0.0049962000, 0.0049956000, 0.0049942000, 0.0049909000, 0.0049833000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001658200, -0.001668200, -0.001691200, -0.001673300, -0.001631800, -0.001536400, -0.001316300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025050000; - } - pin ("B2") { - capacitance : 0.0023630000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022470000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046824000, 0.0046865000, 0.0046962000, 0.0046962000, 0.0046962000, 0.0046962000, 0.0046962000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001272800, -0.001283700, -0.001308900, -0.001290600, -0.001248500, -0.001151300, -0.000927300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024800000; - } - pin ("Y") { - direction : "output"; - function : "(!B1&!B2) | (!A1&!A2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011480080, 0.0026358470, 0.0060519480, 0.0138953800, 0.0319040200, 0.0732521600"); - values("0.0062078000, 0.0051733000, 0.0028155000, -0.002678700, -0.015326100, -0.044422000, -0.111285700", \ - "0.0061054000, 0.0050787000, 0.0026991000, -0.002773900, -0.015431700, -0.044499500, -0.111389400", \ - "0.0059785000, 0.0049492000, 0.0025848000, -0.002866500, -0.015495500, -0.044539100, -0.111441900", \ - "0.0058261000, 0.0048158000, 0.0024556000, -0.003001000, -0.015588300, -0.044628200, -0.111486200", \ - "0.0057382000, 0.0047007000, 0.0023267000, -0.003051300, -0.015594700, -0.044641100, -0.111489800", \ - "0.0058957000, 0.0048427000, 0.0024370000, -0.003066000, -0.015795900, -0.044784800, -0.111583200", \ - "0.0065938000, 0.0055111000, 0.0030643000, -0.002549600, -0.015277500, -0.044618400, -0.111530800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011480080, 0.0026358470, 0.0060519480, 0.0138953800, 0.0319040200, 0.0732521600"); - values("0.0144491000, 0.0155193000, 0.0178887000, 0.0234259000, 0.0360580000, 0.0649416000, 0.1310680000", \ - "0.0142451000, 0.0153144000, 0.0177882000, 0.0233304000, 0.0359771000, 0.0648485000, 0.1309510000", \ - "0.0140368000, 0.0151264000, 0.0175715000, 0.0231470000, 0.0358490000, 0.0648155000, 0.1309089000", \ - "0.0138994000, 0.0149662000, 0.0173865000, 0.0229944000, 0.0357260000, 0.0646662000, 0.1308943000", \ - "0.0138063000, 0.0148814000, 0.0173046000, 0.0228858000, 0.0355184000, 0.0645156000, 0.1307758000", \ - "0.0137697000, 0.0147962000, 0.0172243000, 0.0228060000, 0.0356239000, 0.0644854000, 0.1307558000", \ - "0.0142712000, 0.0152589000, 0.0175809000, 0.0228975000, 0.0358921000, 0.0648010000, 0.1309855000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011480080, 0.0026358470, 0.0060519480, 0.0138953800, 0.0319040200, 0.0732521600"); - values("0.0048754000, 0.0038576000, 0.0014896000, -0.003978600, -0.016618200, -0.045743600, -0.112672400", \ - "0.0048260000, 0.0038189000, 0.0014714000, -0.003971000, -0.016585300, -0.045691900, -0.112605100", \ - "0.0046762000, 0.0036786000, 0.0013616000, -0.004045300, -0.016626900, -0.045685600, -0.112585000", \ - "0.0044019000, 0.0034237000, 0.0011234000, -0.004243400, -0.016754600, -0.045747800, -0.112607800", \ - "0.0043223000, 0.0033291000, 0.0008859000, -0.004501400, -0.016940400, -0.045869600, -0.112672100", \ - "0.0042600000, 0.0032562000, 0.0008550000, -0.004597200, -0.017227800, -0.046126400, -0.112826600", \ - "0.0049394000, 0.0038660000, 0.0013909000, -0.004203800, -0.016974200, -0.046098400, -0.112948000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011480080, 0.0026358470, 0.0060519480, 0.0138953800, 0.0319040200, 0.0732521600"); - values("0.0122465000, 0.0132695000, 0.0157020000, 0.0212692000, 0.0339226000, 0.0627575000, 0.1289092000", \ - "0.0119736000, 0.0131018000, 0.0155196000, 0.0210965000, 0.0338031000, 0.0626869000, 0.1288436000", \ - "0.0116582000, 0.0127374000, 0.0152188000, 0.0208842000, 0.0336164000, 0.0626158000, 0.1287870000", \ - "0.0115761000, 0.0125643000, 0.0149914000, 0.0206506000, 0.0333722000, 0.0624002000, 0.1286994000", \ - "0.0117055000, 0.0127416000, 0.0151784000, 0.0207004000, 0.0333407000, 0.0619998000, 0.1286488000", \ - "0.0124792000, 0.0134977000, 0.0158574000, 0.0213224000, 0.0338281000, 0.0624271000, 0.1278942000", \ - "0.0134426000, 0.0144148000, 0.0168155000, 0.0221554000, 0.0345894000, 0.0630497000, 0.1291431000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011480080, 0.0026358470, 0.0060519480, 0.0138953800, 0.0319040200, 0.0732521600"); - values("0.0038672000, 0.0028258000, 0.0004733000, -0.005019700, -0.017656000, -0.046744900, -0.113632800", \ - "0.0037310000, 0.0026989000, 0.0003393000, -0.005104700, -0.017753900, -0.046822500, -0.113693000", \ - "0.0035668000, 0.0025410000, 0.0001877000, -0.005238400, -0.017819100, -0.046883900, -0.113739000", \ - "0.0033526000, 0.0023587000, 1.590000e-05, -0.005403100, -0.017959800, -0.047018300, -0.113818600", \ - "0.0032249000, 0.0021890000, -0.000180100, -0.005588100, -0.018124200, -0.047100300, -0.113928700", \ - "0.0037673000, 0.0024914000, 5.460000e-05, -0.005516500, -0.018106200, -0.047167500, -0.113978300", \ - "0.0047467000, 0.0035812000, 0.0010554000, -0.004587700, -0.017403300, -0.047067300, -0.114068000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011480080, 0.0026358470, 0.0060519480, 0.0138953800, 0.0319040200, 0.0732521600"); - values("0.0091303000, 0.0102608000, 0.0127575000, 0.0183432000, 0.0309748000, 0.0597620000, 0.1257538000", \ - "0.0089010000, 0.0100285000, 0.0125681000, 0.0182149000, 0.0309124000, 0.0597762000, 0.1258397000", \ - "0.0086464000, 0.0097656000, 0.0122965000, 0.0179742000, 0.0307566000, 0.0597040000, 0.1258086000", \ - "0.0084791000, 0.0095584000, 0.0120307000, 0.0176578000, 0.0304707000, 0.0595061000, 0.1257288000", \ - "0.0083521000, 0.0094311000, 0.0118831000, 0.0174631000, 0.0301557000, 0.0591964000, 0.1255315000", \ - "0.0083747000, 0.0094247000, 0.0118504000, 0.0173906000, 0.0301385000, 0.0589933000, 0.1251121000", \ - "0.0090501000, 0.0100023000, 0.0122563000, 0.0176744000, 0.0302875000, 0.0592552000, 0.1255173000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011480080, 0.0026358470, 0.0060519480, 0.0138953800, 0.0319040200, 0.0732521600"); - values("0.0022699000, 0.0012578000, -0.001081500, -0.006533600, -0.019170900, -0.048276900, -0.115195000", \ - "0.0022245000, 0.0012227000, -0.001109900, -0.006521900, -0.019131000, -0.048197700, -0.115111000", \ - "0.0020819000, 0.0010929000, -0.001206200, -0.006589200, -0.019144200, -0.048171400, -0.115090100", \ - "0.0018249000, 0.0007956000, -0.001454400, -0.006795400, -0.019310000, -0.048275400, -0.115092300", \ - "0.0015970000, 0.0005890000, -0.001738700, -0.007106500, -0.019560000, -0.048465900, -0.115210200", \ - "0.0018986000, 0.0009505000, -0.001484700, -0.007134100, -0.019833500, -0.048609900, -0.115348200", \ - "0.0030144000, 0.0018703000, -0.000694600, -0.006445700, -0.019327000, -0.048394600, -0.115595600"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011480080, 0.0026358470, 0.0060519480, 0.0138953800, 0.0319040200, 0.0732521600"); - values("0.0068577000, 0.0080095000, 0.0105473000, 0.0161548000, 0.0287981000, 0.0575979000, 0.1237274000", \ - "0.0065349000, 0.0077280000, 0.0103143000, 0.0159634000, 0.0287171000, 0.0575974000, 0.1235650000", \ - "0.0063172000, 0.0074457000, 0.0099394000, 0.0156696000, 0.0284969000, 0.0574902000, 0.1237053000", \ - "0.0061868000, 0.0072696000, 0.0097615000, 0.0153478000, 0.0281537000, 0.0572568000, 0.1235217000", \ - "0.0064220000, 0.0074714000, 0.0098791000, 0.0153738000, 0.0280434000, 0.0568317000, 0.1232843000", \ - "0.0068910000, 0.0079127000, 0.0102801000, 0.0157949000, 0.0283192000, 0.0570973000, 0.1228562000", \ - "0.0082064000, 0.0091052000, 0.0112384000, 0.0166933000, 0.0290010000, 0.0573392000, 0.1234268000"); - } - } - max_capacitance : 0.0732520000; - max_transition : 1.5223100000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0504874000, 0.0546806000, 0.0635824000, 0.0834937000, 0.1276147000, 0.2274340000, 0.4554528000", \ - "0.0551878000, 0.0593250000, 0.0682912000, 0.0881779000, 0.1323831000, 0.2321403000, 0.4595588000", \ - "0.0652200000, 0.0693135000, 0.0783714000, 0.0983662000, 0.1424686000, 0.2423834000, 0.4697928000", \ - "0.0849406000, 0.0894311000, 0.0989477000, 0.1192399000, 0.1636160000, 0.2635881000, 0.4912595000", \ - "0.1161761000, 0.1220205000, 0.1343960000, 0.1598684000, 0.2102895000, 0.3118894000, 0.5398532000", \ - "0.1523620000, 0.1611069000, 0.1800693000, 0.2187063000, 0.2886436000, 0.4127756000, 0.6506407000", \ - "0.1743823000, 0.1889626000, 0.2184217000, 0.2794359000, 0.3880102000, 0.5709986000, 0.8734407000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.1085020000, 0.1176562000, 0.1382813000, 0.1854169000, 0.2916364000, 0.5346210000, 1.0913020000", \ - "0.1137627000, 0.1223866000, 0.1436100000, 0.1906783000, 0.2976837000, 0.5403609000, 1.0962834000", \ - "0.1254909000, 0.1342543000, 0.1554710000, 0.2031846000, 0.3096771000, 0.5531074000, 1.1097683000", \ - "0.1510612000, 0.1606036000, 0.1809653000, 0.2285021000, 0.3357115000, 0.5792649000, 1.1356988000", \ - "0.2075099000, 0.2168561000, 0.2401595000, 0.2873166000, 0.3942449000, 0.6379405000, 1.1948109000", \ - "0.3047568000, 0.3185679000, 0.3474099000, 0.4083233000, 0.5296008000, 0.7741506000, 1.3315666000", \ - "0.4666862000, 0.4873128000, 0.5306370000, 0.6174505000, 0.7815454000, 1.0787824000, 1.6457853000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0342735000, 0.0391540000, 0.0503381000, 0.0757651000, 0.1340532000, 0.2675446000, 0.5745172000", \ - "0.0342136000, 0.0392397000, 0.0502542000, 0.0757170000, 0.1342069000, 0.2674048000, 0.5742474000", \ - "0.0341492000, 0.0390169000, 0.0501682000, 0.0756288000, 0.1338747000, 0.2675613000, 0.5728134000", \ - "0.0388862000, 0.0434876000, 0.0533709000, 0.0773465000, 0.1341572000, 0.2677425000, 0.5729931000", \ - "0.0561947000, 0.0610991000, 0.0718443000, 0.0956540000, 0.1457438000, 0.2707112000, 0.5741028000", \ - "0.0925312000, 0.0991580000, 0.1133755000, 0.1416646000, 0.1963848000, 0.3102928000, 0.5858794000", \ - "0.1598895000, 0.1709012000, 0.1912243000, 0.2308828000, 0.3042703000, 0.4365920000, 0.6913909000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0849875000, 0.0967776000, 0.1240854000, 0.1883862000, 0.3321987000, 0.6660677000, 1.4263073000", \ - "0.0841369000, 0.0963680000, 0.1243606000, 0.1875287000, 0.3330591000, 0.6637304000, 1.4267981000", \ - "0.0841078000, 0.0963115000, 0.1240364000, 0.1874860000, 0.3331095000, 0.6640369000, 1.4281767000", \ - "0.0844794000, 0.0965728000, 0.1240715000, 0.1875457000, 0.3324160000, 0.6641748000, 1.4242838000", \ - "0.0991348000, 0.1095982000, 0.1343505000, 0.1927692000, 0.3332554000, 0.6653475000, 1.4246713000", \ - "0.1411592000, 0.1532958000, 0.1791790000, 0.2364090000, 0.3602517000, 0.6692092000, 1.4266589000", \ - "0.2236765000, 0.2391608000, 0.2723010000, 0.3411121000, 0.4772238000, 0.7568519000, 1.4449023000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0414086000, 0.0453323000, 0.0538818000, 0.0728545000, 0.1153104000, 0.2116689000, 0.4317820000", \ - "0.0461635000, 0.0501331000, 0.0587367000, 0.0778183000, 0.1202550000, 0.2166604000, 0.4367789000", \ - "0.0557886000, 0.0596938000, 0.0684503000, 0.0876242000, 0.1303652000, 0.2269121000, 0.4469262000", \ - "0.0729999000, 0.0776134000, 0.0874520000, 0.1076935000, 0.1506849000, 0.2474995000, 0.4679084000", \ - "0.0956967000, 0.1027233000, 0.1165284000, 0.1431701000, 0.1944328000, 0.2939657000, 0.5150635000", \ - "0.1160231000, 0.1268541000, 0.1477749000, 0.1895421000, 0.2624779000, 0.3882425000, 0.6231656000", \ - "0.1063479000, 0.1237054000, 0.1586184000, 0.2247896000, 0.3401152000, 0.5293073000, 0.8316265000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0960422000, 0.1053869000, 0.1255798000, 0.1726883000, 0.2793731000, 0.5222287000, 1.0783604000", \ - "0.0993670000, 0.1087203000, 0.1298964000, 0.1765112000, 0.2838006000, 0.5266546000, 1.0826496000", \ - "0.1096916000, 0.1190103000, 0.1395840000, 0.1871013000, 0.2947491000, 0.5381439000, 1.0945317000", \ - "0.1375107000, 0.1465545000, 0.1669264000, 0.2155226000, 0.3229968000, 0.5668040000, 1.1237792000", \ - "0.2050471000, 0.2148518000, 0.2370290000, 0.2834359000, 0.3891077000, 0.6314418000, 1.1879391000", \ - "0.3185063000, 0.3338881000, 0.3661990000, 0.4307174000, 0.5492871000, 0.7880518000, 1.3419585000", \ - "0.5025568000, 0.5261661000, 0.5753597000, 0.6740586000, 0.8540796000, 1.1565613000, 1.7079794000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0263983000, 0.0311369000, 0.0418611000, 0.0663088000, 0.1227285000, 0.2517348000, 0.5495512000", \ - "0.0263686000, 0.0311228000, 0.0418575000, 0.0662391000, 0.1227791000, 0.2516826000, 0.5475693000", \ - "0.0266315000, 0.0310945000, 0.0417211000, 0.0662874000, 0.1228646000, 0.2518886000, 0.5483098000", \ - "0.0330699000, 0.0372035000, 0.0467199000, 0.0688906000, 0.1231095000, 0.2514808000, 0.5480184000", \ - "0.0509684000, 0.0558862000, 0.0664675000, 0.0886121000, 0.1375274000, 0.2558307000, 0.5485253000", \ - "0.0866786000, 0.0937071000, 0.1081278000, 0.1364090000, 0.1897701000, 0.2989174000, 0.5637008000", \ - "0.1544748000, 0.1646899000, 0.1864872000, 0.2264365000, 0.2984458000, 0.4252563000, 0.6734229000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0850871000, 0.0963154000, 0.1241752000, 0.1877903000, 0.3324603000, 0.6637887000, 1.4257888000", \ - "0.0840884000, 0.0966761000, 0.1242086000, 0.1873850000, 0.3324040000, 0.6642640000, 1.4228627000", \ - "0.0842115000, 0.0963304000, 0.1239988000, 0.1876305000, 0.3322907000, 0.6661277000, 1.4250834000", \ - "0.0849321000, 0.0968404000, 0.1242445000, 0.1874155000, 0.3326983000, 0.6642071000, 1.4289518000", \ - "0.1085701000, 0.1179589000, 0.1403594000, 0.1950998000, 0.3328065000, 0.6667745000, 1.4283497000", \ - "0.1632788000, 0.1770117000, 0.2046907000, 0.2598736000, 0.3756478000, 0.6707424000, 1.4305411000", \ - "0.2545388000, 0.2754730000, 0.3174977000, 0.3993749000, 0.5360090000, 0.7840624000, 1.4485394000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0416595000, 0.0455702000, 0.0547663000, 0.0747142000, 0.1186864000, 0.2185322000, 0.4459585000", \ - "0.0456349000, 0.0497819000, 0.0588251000, 0.0787176000, 0.1230150000, 0.2227882000, 0.4501982000", \ - "0.0557127000, 0.0597886000, 0.0688640000, 0.0889364000, 0.1331725000, 0.2329222000, 0.4604535000", \ - "0.0783084000, 0.0832210000, 0.0932869000, 0.1133300000, 0.1575293000, 0.2567982000, 0.4850627000", \ - "0.1077934000, 0.1150452000, 0.1304611000, 0.1599217000, 0.2133451000, 0.3126331000, 0.5411687000", \ - "0.1378586000, 0.1497360000, 0.1725241000, 0.2180317000, 0.2977733000, 0.4373923000, 0.6718213000", \ - "0.1528155000, 0.1686926000, 0.2044946000, 0.2735581000, 0.3986489000, 0.6109434000, 0.9478900000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0710261000, 0.0809884000, 0.1033492000, 0.1529859000, 0.2656499000, 0.5203478000, 1.1069112000", \ - "0.0751966000, 0.0852733000, 0.1077985000, 0.1578118000, 0.2703076000, 0.5268387000, 1.1121960000", \ - "0.0863801000, 0.0963353000, 0.1187873000, 0.1690584000, 0.2822984000, 0.5395664000, 1.1254355000", \ - "0.1118073000, 0.1215714000, 0.1438432000, 0.1935558000, 0.3069124000, 0.5656481000, 1.1514947000", \ - "0.1562175000, 0.1693107000, 0.1969828000, 0.2508061000, 0.3639481000, 0.6214989000, 1.2092795000", \ - "0.2260914000, 0.2463726000, 0.2854068000, 0.3602694000, 0.4959275000, 0.7546946000, 1.3437288000", \ - "0.3434772000, 0.3731046000, 0.4326861000, 0.5423036000, 0.7290357000, 1.0549743000, 1.6555750000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0343610000, 0.0391966000, 0.0505006000, 0.0759999000, 0.1338891000, 0.2680377000, 0.5733876000", \ - "0.0343049000, 0.0391730000, 0.0502830000, 0.0758629000, 0.1341507000, 0.2674000000, 0.5730839000", \ - "0.0341549000, 0.0389274000, 0.0498751000, 0.0757484000, 0.1336959000, 0.2681502000, 0.5729657000", \ - "0.0443577000, 0.0489100000, 0.0577272000, 0.0796296000, 0.1341239000, 0.2682060000, 0.5744836000", \ - "0.0668976000, 0.0736314000, 0.0859492000, 0.1104443000, 0.1562110000, 0.2733749000, 0.5737986000", \ - "0.1093422000, 0.1180475000, 0.1369255000, 0.1718405000, 0.2356359000, 0.3404101000, 0.5961170000", \ - "0.1802149000, 0.1952693000, 0.2245058000, 0.2782417000, 0.3689258000, 0.5152979000, 0.7641132000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0807676000, 0.0936212000, 0.1228860000, 0.1893998000, 0.3410481000, 0.6893825000, 1.4954543000", \ - "0.0807836000, 0.0935972000, 0.1228216000, 0.1892257000, 0.3407777000, 0.6888414000, 1.4882962000", \ - "0.0808495000, 0.0936326000, 0.1228350000, 0.1892662000, 0.3408581000, 0.6881014000, 1.4895750000", \ - "0.0849170000, 0.0965836000, 0.1237300000, 0.1893339000, 0.3407279000, 0.6893879000, 1.4890844000", \ - "0.1093527000, 0.1189879000, 0.1417630000, 0.1993812000, 0.3426465000, 0.6894739000, 1.4888221000", \ - "0.1622484000, 0.1730308000, 0.1974330000, 0.2534079000, 0.3774375000, 0.6952573000, 1.4980402000", \ - "0.2614433000, 0.2740700000, 0.3036816000, 0.3678873000, 0.4982679000, 0.7864900000, 1.5080668000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0312177000, 0.0351164000, 0.0435839000, 0.0623265000, 0.1040264000, 0.1986940000, 0.4146170000", \ - "0.0353827000, 0.0392752000, 0.0478235000, 0.0666498000, 0.1085761000, 0.2032794000, 0.4198874000", \ - "0.0457906000, 0.0495017000, 0.0579890000, 0.0766588000, 0.1186768000, 0.2134653000, 0.4296840000", \ - "0.0630566000, 0.0686891000, 0.0798051000, 0.1007159000, 0.1423329000, 0.2371744000, 0.4537497000", \ - "0.0823160000, 0.0904088000, 0.1070370000, 0.1393417000, 0.1951874000, 0.2924964000, 0.5087632000", \ - "0.0938060000, 0.1068926000, 0.1319352000, 0.1815136000, 0.2674176000, 0.4062521000, 0.6361838000", \ - "0.0742885000, 0.0937894000, 0.1335257000, 0.2092889000, 0.3411699000, 0.5545013000, 0.8934316000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0552210000, 0.0654594000, 0.0881193000, 0.1380456000, 0.2497194000, 0.5063146000, 1.0915400000", \ - "0.0577979000, 0.0682899000, 0.0912789000, 0.1417153000, 0.2540803000, 0.5112891000, 1.0967872000", \ - "0.0685517000, 0.0783762000, 0.1006129000, 0.1513798000, 0.2656420000, 0.5233348000, 1.1088458000", \ - "0.0972517000, 0.1069591000, 0.1284178000, 0.1778403000, 0.2908402000, 0.5485253000, 1.1360006000", \ - "0.1467391000, 0.1620336000, 0.1915842000, 0.2454015000, 0.3567498000, 0.6122278000, 1.1998765000", \ - "0.2268163000, 0.2493090000, 0.2947486000, 0.3769693000, 0.5155089000, 0.7662800000, 1.3502353000", \ - "0.3647594000, 0.3981339000, 0.4648981000, 0.5884675000, 0.7962984000, 1.1303823000, 1.7133610000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0262529000, 0.0308176000, 0.0413912000, 0.0654060000, 0.1206692000, 0.2480920000, 0.5375836000", \ - "0.0260683000, 0.0307110000, 0.0412892000, 0.0653608000, 0.1208258000, 0.2473953000, 0.5385840000", \ - "0.0273120000, 0.0314248000, 0.0412674000, 0.0650553000, 0.1206324000, 0.2470934000, 0.5391862000", \ - "0.0385342000, 0.0430393000, 0.0523217000, 0.0720055000, 0.1218603000, 0.2480853000, 0.5383157000", \ - "0.0607627000, 0.0672996000, 0.0798954000, 0.1045212000, 0.1481712000, 0.2556879000, 0.5380550000", \ - "0.1006487000, 0.1113268000, 0.1310374000, 0.1647787000, 0.2245703000, 0.3320959000, 0.5650223000", \ - "0.1726141000, 0.1871575000, 0.2171818000, 0.2703434000, 0.3584569000, 0.5046996000, 0.7472122000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011480100, 0.0026358500, 0.0060519500, 0.0138954000, 0.0319040000, 0.0732522000"); - values("0.0807326000, 0.0936058000, 0.1228588000, 0.1892997000, 0.3408478000, 0.6895272000, 1.4868280000", \ - "0.0807046000, 0.0935790000, 0.1228505000, 0.1893796000, 0.3407873000, 0.6896623000, 1.4972223000", \ - "0.0803253000, 0.0933860000, 0.1227762000, 0.1893709000, 0.3407301000, 0.6896249000, 1.4869929000", \ - "0.0896397000, 0.0998879000, 0.1250764000, 0.1891886000, 0.3407108000, 0.6887694000, 1.4882823000", \ - "0.1268256000, 0.1353491000, 0.1545262000, 0.2052031000, 0.3428413000, 0.6885540000, 1.4921540000", \ - "0.1904067000, 0.2023916000, 0.2291154000, 0.2819089000, 0.3931260000, 0.6979422000, 1.4865202000", \ - "0.2945616000, 0.3112636000, 0.3501533000, 0.4279863000, 0.5647673000, 0.8203886000, 1.5223104000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o22ai_2") { - leakage_power () { - value : 0.0014957000; - when : "!A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0168186000; - when : "!A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0015170000; - when : "!A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0014851000; - when : "!A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0068183000; - when : "!A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0019613000; - when : "!A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0036488000; - when : "!A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0056139000; - when : "!A1&A2&B1&!B2"; - } - leakage_power () { - value : 0.0056139000; - when : "A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0019624000; - when : "A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0024445000; - when : "A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0044096000; - when : "A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0036488000; - when : "A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0019618000; - when : "A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0004794000; - when : "A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0024445000; - when : "A1&A2&B1&!B2"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__o22ai"; - cell_leakage_power : 0.0038952240; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0043670000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041610000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079599000, 0.0079413000, 0.0078983000, 0.0078953000, 0.0078883000, 0.0078723000, 0.0078353000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007882500, -0.007879800, -0.007873300, -0.007874600, -0.007877500, -0.007884400, -0.007900100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045740000; - } - pin ("A2") { - capacitance : 0.0043120000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040110000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079434000, 0.0079352000, 0.0079163000, 0.0079176000, 0.0079206000, 0.0079274000, 0.0079431000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007897200, -0.007892300, -0.007880900, -0.007882600, -0.007886300, -0.007894900, -0.007914700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046130000; - } - pin ("B1") { - capacitance : 0.0042990000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091324000, 0.0091294000, 0.0091224000, 0.0091179000, 0.0091074000, 0.0090832000, 0.0090275000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002170800, -0.002189000, -0.002230900, -0.002193900, -0.002108400, -0.001911500, -0.001457700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044230000; - } - pin ("B2") { - capacitance : 0.0043140000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092880000, 0.0092841000, 0.0092750000, 0.0092734000, 0.0092696000, 0.0092609000, 0.0092408000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002221200, -0.002241300, -0.002287800, -0.002250200, -0.002163700, -0.001964100, -0.001504100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045470000; - } - pin ("Y") { - direction : "output"; - function : "(!B1&!B2) | (!A1&!A2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012747960, 0.0032502100, 0.0082867080, 0.0211277200, 0.0538670700, 0.1373391000"); - values("0.0144671000, 0.0132493000, 0.0100846000, 0.0020715000, -0.018580200, -0.071422000, -0.206395100", \ - "0.0142464000, 0.0130173000, 0.0098492000, 0.0018213000, -0.018802000, -0.071581700, -0.206477300", \ - "0.0139324000, 0.0127019000, 0.0095638000, 0.0015589000, -0.019007500, -0.071755500, -0.206657200", \ - "0.0136308000, 0.0124118000, 0.0092477000, 0.0012619000, -0.019252600, -0.071898600, -0.206753800", \ - "0.0133475000, 0.0121015000, 0.0089940000, 0.0011287000, -0.019282900, -0.072003000, -0.206726300", \ - "0.0136583000, 0.0124174000, 0.0092060000, 0.0012727000, -0.019746700, -0.072263600, -0.206970600", \ - "0.0150544000, 0.0137415000, 0.0105350000, 0.0022501000, -0.018656000, -0.071931100, -0.206880600"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012747960, 0.0032502100, 0.0082867080, 0.0211277200, 0.0538670700, 0.1373391000"); - values("0.0279220000, 0.0291982000, 0.0323532000, 0.0405631000, 0.0613157000, 0.1138895000, 0.2473461000", \ - "0.0275470000, 0.0288152000, 0.0321680000, 0.0403276000, 0.0611280000, 0.1135717000, 0.2472960000", \ - "0.0271597000, 0.0284533000, 0.0317365000, 0.0400333000, 0.0609228000, 0.1135815000, 0.2472292000", \ - "0.0268140000, 0.0281328000, 0.0313763000, 0.0396624000, 0.0605758000, 0.1133729000, 0.2470990000", \ - "0.0266083000, 0.0278621000, 0.0310901000, 0.0393410000, 0.0601492000, 0.1129685000, 0.2466990000", \ - "0.0265208000, 0.0277733000, 0.0310254000, 0.0393791000, 0.0603096000, 0.1129629000, 0.2465729000", \ - "0.0271024000, 0.0283338000, 0.0313989000, 0.0392869000, 0.0604584000, 0.1134222000, 0.2472043000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012747960, 0.0032502100, 0.0082867080, 0.0211277200, 0.0538670700, 0.1373391000"); - values("0.0105748000, 0.0093695000, 0.0062650000, -0.001706500, -0.022359200, -0.075176000, -0.210232300", \ - "0.0105097000, 0.0093086000, 0.0062464000, -0.001692900, -0.022260200, -0.075036800, -0.210057500", \ - "0.0102364000, 0.0090699000, 0.0060153000, -0.001857100, -0.022294800, -0.074999000, -0.209959600", \ - "0.0097652000, 0.0086086000, 0.0055755000, -0.002221800, -0.022561900, -0.075115900, -0.209988900", \ - "0.0094265000, 0.0082316000, 0.0051768000, -0.002739100, -0.022984700, -0.075351200, -0.210087600", \ - "0.0096954000, 0.0083789000, 0.0053018000, -0.002784000, -0.023506200, -0.075855000, -0.210430600", \ - "0.0115889000, 0.0101753000, 0.0068243000, -0.001563700, -0.022519400, -0.075604400, -0.210551600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012747960, 0.0032502100, 0.0082867080, 0.0211277200, 0.0538670700, 0.1373391000"); - values("0.0232133000, 0.0245807000, 0.0277450000, 0.0359888000, 0.0567525000, 0.1092711000, 0.2428556000", \ - "0.0227382000, 0.0240580000, 0.0273499000, 0.0356140000, 0.0565301000, 0.1091140000, 0.2427158000", \ - "0.0221345000, 0.0234191000, 0.0267959000, 0.0351242000, 0.0561281000, 0.1089104000, 0.2426930000", \ - "0.0218207000, 0.0231315000, 0.0264383000, 0.0346461000, 0.0556365000, 0.1085481000, 0.2426206000", \ - "0.0217864000, 0.0231373000, 0.0263320000, 0.0346014000, 0.0553997000, 0.1076760000, 0.2418298000", \ - "0.0227579000, 0.0239710000, 0.0270952000, 0.0351806000, 0.0555659000, 0.1079652000, 0.2415908000", \ - "0.0271408000, 0.0288268000, 0.0300825000, 0.0379260000, 0.0606472000, 0.1094525000, 0.2422388000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012747960, 0.0032502100, 0.0082867080, 0.0211277200, 0.0538670700, 0.1373391000"); - values("0.0087497000, 0.0075187000, 0.0043472000, -0.003714100, -0.024327300, -0.077106800, -0.211987200", \ - "0.0084632000, 0.0072396000, 0.0040887000, -0.003920900, -0.024562300, -0.077273200, -0.212315800", \ - "0.0081708000, 0.0069456000, 0.0038159000, -0.004195000, -0.024694400, -0.077409700, -0.212315800", \ - "0.0077453000, 0.0065430000, 0.0034855000, -0.004497600, -0.024959800, -0.077683900, -0.212435000", \ - "0.0075824000, 0.0063396000, 0.0031689000, -0.004790000, -0.025289500, -0.077861500, -0.212643700", \ - "0.0081401000, 0.0068702000, 0.0036498000, -0.004494100, -0.025335800, -0.077931200, -0.212833900", \ - "0.0104028000, 0.0090983000, 0.0057306000, -0.002694400, -0.023950600, -0.077615600, -0.212888400"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012747960, 0.0032502100, 0.0082867080, 0.0211277200, 0.0538670700, 0.1373391000"); - values("0.0178449000, 0.0191904000, 0.0225240000, 0.0308049000, 0.0515201000, 0.1038945000, 0.2371332000", \ - "0.0174470000, 0.0187982000, 0.0221925000, 0.0305963000, 0.0514243000, 0.1039067000, 0.2370742000", \ - "0.0170336000, 0.0183413000, 0.0217311000, 0.0301571000, 0.0511469000, 0.1038408000, 0.2372651000", \ - "0.0167481000, 0.0180444000, 0.0213089000, 0.0296421000, 0.0506467000, 0.1034806000, 0.2371510000", \ - "0.0165108000, 0.0177990000, 0.0210446000, 0.0292565000, 0.0500730000, 0.1028915000, 0.2366898000", \ - "0.0165545000, 0.0177998000, 0.0210309000, 0.0292071000, 0.0500770000, 0.1027397000, 0.2361497000", \ - "0.0174957000, 0.0186489000, 0.0216497000, 0.0295281000, 0.0503458000, 0.1025959000, 0.2368412000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012747960, 0.0032502100, 0.0082867080, 0.0211277200, 0.0538670700, 0.1373391000"); - values("0.0046984000, 0.0035155000, 0.0004624000, -0.007488800, -0.028080500, -0.080909300, -0.215975300", \ - "0.0046563000, 0.0034803000, 0.0004427000, -0.007463400, -0.028005500, -0.080762700, -0.215811200", \ - "0.0044325000, 0.0032800000, 0.0002609000, -0.007621500, -0.027993800, -0.080663300, -0.215595900", \ - "0.0040813000, 0.0028520000, -0.000155800, -0.008008900, -0.028284500, -0.080866400, -0.215670300", \ - "0.0037269000, 0.0025405000, -0.000534800, -0.008454900, -0.028760200, -0.081163100, -0.215892600", \ - "0.0045284000, 0.0034170000, 0.0002397000, -0.007926400, -0.029105000, -0.081378900, -0.216135800", \ - "0.0084331000, 0.0058879000, 0.0023767000, -0.006291500, -0.027644000, -0.081015100, -0.216463900"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012747960, 0.0032502100, 0.0082867080, 0.0211277200, 0.0538670700, 0.1373391000"); - values("0.0126997000, 0.0141183000, 0.0175922000, 0.0259886000, 0.0467852000, 0.0991631000, 0.2325661000", \ - "0.0121592000, 0.0135493000, 0.0170879000, 0.0256489000, 0.0466633000, 0.0991662000, 0.2326058000", \ - "0.0118000000, 0.0131979000, 0.0165870000, 0.0250011000, 0.0462445000, 0.0990112000, 0.2325982000", \ - "0.0116271000, 0.0128881000, 0.0162044000, 0.0246591000, 0.0456360000, 0.0986005000, 0.2323859000", \ - "0.0118861000, 0.0131211000, 0.0162694000, 0.0244617000, 0.0453646000, 0.0977959000, 0.2319430000", \ - "0.0134933000, 0.0146729000, 0.0179159000, 0.0257472000, 0.0459814000, 0.0987272000, 0.2312458000", \ - "0.0166315000, 0.0177435000, 0.0210392000, 0.0298266000, 0.0483066000, 0.1007114000, 0.2317134000"); - } - } - max_capacitance : 0.1373390000; - max_transition : 1.5034440000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0532076000, 0.0561019000, 0.0627821000, 0.0792617000, 0.1189167000, 0.2172614000, 0.4645483000", \ - "0.0576778000, 0.0605185000, 0.0673715000, 0.0838148000, 0.1232455000, 0.2216499000, 0.4691563000", \ - "0.0673197000, 0.0701721000, 0.0768783000, 0.0933896000, 0.1330819000, 0.2315147000, 0.4792935000", \ - "0.0864545000, 0.0894942000, 0.0966158000, 0.1133299000, 0.1532805000, 0.2517955000, 0.4996565000", \ - "0.1164629000, 0.1199806000, 0.1293059000, 0.1505666000, 0.1966978000, 0.2974955000, 0.5454363000", \ - "0.1497575000, 0.1559388000, 0.1695391000, 0.2014124000, 0.2653629000, 0.3909948000, 0.6513446000", \ - "0.1646495000, 0.1736219000, 0.1963300000, 0.2444421000, 0.3459598000, 0.5323844000, 0.8626216000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.1167801000, 0.1228610000, 0.1381414000, 0.1765499000, 0.2725181000, 0.5157135000, 1.1332220000", \ - "0.1218514000, 0.1275895000, 0.1432048000, 0.1812805000, 0.2781925000, 0.5215444000, 1.1393521000", \ - "0.1339393000, 0.1400197000, 0.1547943000, 0.1942712000, 0.2911194000, 0.5345328000, 1.1554138000", \ - "0.1611168000, 0.1664862000, 0.1822538000, 0.2211358000, 0.3181563000, 0.5620940000, 1.1802296000", \ - "0.2192868000, 0.2257358000, 0.2424177000, 0.2807790000, 0.3776179000, 0.6218218000, 1.2417003000", \ - "0.3214783000, 0.3299915000, 0.3516726000, 0.4034343000, 0.5139957000, 0.7600140000, 1.3790341000", \ - "0.4919781000, 0.5057506000, 0.5381460000, 0.6106189000, 0.7624097000, 1.0685742000, 1.7013744000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0367591000, 0.0399710000, 0.0482926000, 0.0690441000, 0.1213556000, 0.2551308000, 0.5963162000", \ - "0.0367189000, 0.0399894000, 0.0483131000, 0.0688923000, 0.1215845000, 0.2552410000, 0.5957176000", \ - "0.0365313000, 0.0397894000, 0.0480907000, 0.0688386000, 0.1215334000, 0.2553304000, 0.5962414000", \ - "0.0409789000, 0.0439485000, 0.0512174000, 0.0708849000, 0.1219379000, 0.2549853000, 0.5956821000", \ - "0.0571512000, 0.0604947000, 0.0685447000, 0.0885867000, 0.1352279000, 0.2592358000, 0.5953496000", \ - "0.0931687000, 0.0973542000, 0.1081546000, 0.1338970000, 0.1835373000, 0.2996131000, 0.6078809000", \ - "0.1600860000, 0.1673484000, 0.1832472000, 0.2169797000, 0.2860826000, 0.4195293000, 0.7138494000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0858961000, 0.0935890000, 0.1137423000, 0.1662721000, 0.2972962000, 0.6318627000, 1.4830165000", \ - "0.0850551000, 0.0932261000, 0.1142048000, 0.1656184000, 0.2969820000, 0.6316903000, 1.4849055000", \ - "0.0852574000, 0.0935013000, 0.1137908000, 0.1658018000, 0.2976045000, 0.6317247000, 1.4840627000", \ - "0.0853277000, 0.0934585000, 0.1140711000, 0.1660105000, 0.2972899000, 0.6325600000, 1.4794859000", \ - "0.0974840000, 0.1044104000, 0.1227751000, 0.1711861000, 0.2978069000, 0.6308660000, 1.4829267000", \ - "0.1370483000, 0.1453439000, 0.1654569000, 0.2135859000, 0.3269163000, 0.6377500000, 1.4819408000", \ - "0.2219508000, 0.2320136000, 0.2559401000, 0.3138363000, 0.4387238000, 0.7229954000, 1.4977044000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0418794000, 0.0445315000, 0.0510550000, 0.0667333000, 0.1050950000, 0.2002801000, 0.4414003000", \ - "0.0466158000, 0.0492624000, 0.0558737000, 0.0716673000, 0.1100365000, 0.2052955000, 0.4467826000", \ - "0.0560878000, 0.0588145000, 0.0653510000, 0.0813114000, 0.1199146000, 0.2153272000, 0.4562092000", \ - "0.0728472000, 0.0757279000, 0.0834754000, 0.1005977000, 0.1395495000, 0.2354238000, 0.4768046000", \ - "0.0946789000, 0.0992322000, 0.1097435000, 0.1326614000, 0.1798864000, 0.2801589000, 0.5220697000", \ - "0.1117773000, 0.1179735000, 0.1341072000, 0.1696056000, 0.2394434000, 0.3682019000, 0.6254009000", \ - "0.0966234000, 0.1074446000, 0.1333539000, 0.1889427000, 0.2987281000, 0.4928919000, 0.8271998000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0977618000, 0.1040414000, 0.1193745000, 0.1575780000, 0.2540131000, 0.4972395000, 1.1146952000", \ - "0.1010885000, 0.1071844000, 0.1224824000, 0.1613626000, 0.2576744000, 0.5013118000, 1.1204936000", \ - "0.1098720000, 0.1162403000, 0.1318848000, 0.1707600000, 0.2680892000, 0.5122303000, 1.1311510000", \ - "0.1372426000, 0.1433622000, 0.1586635000, 0.1969635000, 0.2938850000, 0.5383857000, 1.1570386000", \ - "0.2022181000, 0.2091323000, 0.2258213000, 0.2638965000, 0.3591014000, 0.6016875000, 1.2230483000", \ - "0.3112046000, 0.3214411000, 0.3460849000, 0.4011108000, 0.5139486000, 0.7523275000, 1.3679408000", \ - "0.4904098000, 0.5054784000, 0.5405493000, 0.6229209000, 0.7934720000, 1.1087851000, 1.7296347000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0276438000, 0.0306518000, 0.0385290000, 0.0585354000, 0.1094182000, 0.2393678000, 0.5708137000", \ - "0.0276046000, 0.0307266000, 0.0385808000, 0.0584812000, 0.1094446000, 0.2393218000, 0.5713389000", \ - "0.0277222000, 0.0306153000, 0.0384214000, 0.0584867000, 0.1094547000, 0.2394288000, 0.5709098000", \ - "0.0339689000, 0.0368720000, 0.0438307000, 0.0615670000, 0.1101554000, 0.2395857000, 0.5709656000", \ - "0.0514936000, 0.0547523000, 0.0625691000, 0.0815239000, 0.1263711000, 0.2447884000, 0.5708631000", \ - "0.0870910000, 0.0917156000, 0.1021694000, 0.1265771000, 0.1774004000, 0.2899022000, 0.5890764000", \ - "0.1535960000, 0.1603345000, 0.1759571000, 0.2113301000, 0.2794993000, 0.4141807000, 0.6941864000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0850680000, 0.0935484000, 0.1137756000, 0.1656850000, 0.2972342000, 0.6318879000, 1.4813023000", \ - "0.0849861000, 0.0934696000, 0.1137290000, 0.1659174000, 0.2974595000, 0.6317220000, 1.4828576000", \ - "0.0853335000, 0.0933569000, 0.1138238000, 0.1656668000, 0.2972776000, 0.6310977000, 1.4814947000", \ - "0.0857306000, 0.0936194000, 0.1138299000, 0.1658803000, 0.2972017000, 0.6308327000, 1.4822114000", \ - "0.1096273000, 0.1162434000, 0.1319528000, 0.1760263000, 0.2983071000, 0.6323738000, 1.4870358000", \ - "0.1597930000, 0.1695480000, 0.1923150000, 0.2414096000, 0.3439974000, 0.6407199000, 1.4854242000", \ - "0.2417272000, 0.2566416000, 0.2917119000, 0.3639692000, 0.4994660000, 0.7631890000, 1.5034444000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0423323000, 0.0451194000, 0.0519398000, 0.0684366000, 0.1078768000, 0.2063047000, 0.4537750000", \ - "0.0462999000, 0.0491322000, 0.0558248000, 0.0721982000, 0.1121010000, 0.2102724000, 0.4578433000", \ - "0.0561362000, 0.0588910000, 0.0656785000, 0.0821877000, 0.1218505000, 0.2203732000, 0.4682888000", \ - "0.0789304000, 0.0821285000, 0.0896250000, 0.1062657000, 0.1457845000, 0.2442906000, 0.4922707000", \ - "0.1085364000, 0.1132035000, 0.1242997000, 0.1492821000, 0.1992849000, 0.2995237000, 0.5468834000", \ - "0.1377346000, 0.1448293000, 0.1619279000, 0.1992407000, 0.2754699000, 0.4160555000, 0.6735180000", \ - "0.1456469000, 0.1566683000, 0.1822983000, 0.2393088000, 0.3556758000, 0.5726015000, 0.9441872000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0681784000, 0.0745459000, 0.0901469000, 0.1286504000, 0.2251210000, 0.4676381000, 1.0817185000", \ - "0.0727151000, 0.0790093000, 0.0949354000, 0.1339438000, 0.2303644000, 0.4729464000, 1.0892307000", \ - "0.0848749000, 0.0911018000, 0.1067716000, 0.1458453000, 0.2431245000, 0.4875619000, 1.1020459000", \ - "0.1119393000, 0.1181495000, 0.1337933000, 0.1720305000, 0.2697267000, 0.5131144000, 1.1302119000", \ - "0.1586210000, 0.1671064000, 0.1864993000, 0.2308820000, 0.3283203000, 0.5723206000, 1.1899904000", \ - "0.2327678000, 0.2454711000, 0.2745960000, 0.3359965000, 0.4590253000, 0.7090467000, 1.3273735000", \ - "0.3500069000, 0.3716251000, 0.4169038000, 0.5112143000, 0.6877828000, 1.0075620000, 1.6478570000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0368656000, 0.0401200000, 0.0483841000, 0.0689385000, 0.1215569000, 0.2553356000, 0.5962503000", \ - "0.0368335000, 0.0400723000, 0.0482724000, 0.0690578000, 0.1214846000, 0.2551394000, 0.5964706000", \ - "0.0362955000, 0.0394691000, 0.0477752000, 0.0686494000, 0.1214615000, 0.2553225000, 0.5962287000", \ - "0.0453617000, 0.0485879000, 0.0557651000, 0.0732937000, 0.1221928000, 0.2550662000, 0.5958677000", \ - "0.0670406000, 0.0712857000, 0.0815886000, 0.1026975000, 0.1469345000, 0.2619700000, 0.5958171000", \ - "0.1075578000, 0.1139247000, 0.1284947000, 0.1590843000, 0.2201693000, 0.3324169000, 0.6160975000", \ - "0.1790071000, 0.1890013000, 0.2114760000, 0.2574739000, 0.3435192000, 0.5014394000, 0.7861389000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0836583000, 0.0917231000, 0.1123796000, 0.1644187000, 0.2953456000, 0.6266850000, 1.4789543000", \ - "0.0837067000, 0.0918146000, 0.1124013000, 0.1644267000, 0.2950581000, 0.6275470000, 1.4807576000", \ - "0.0837886000, 0.0918436000, 0.1124083000, 0.1644209000, 0.2951714000, 0.6265163000, 1.4728291000", \ - "0.0870941000, 0.0945519000, 0.1136859000, 0.1645475000, 0.2951564000, 0.6265958000, 1.4708509000", \ - "0.1101286000, 0.1157799000, 0.1317763000, 0.1763507000, 0.2979437000, 0.6264139000, 1.4762234000", \ - "0.1629065000, 0.1695431000, 0.1866744000, 0.2291452000, 0.3348623000, 0.6347035000, 1.4799536000", \ - "0.2682751000, 0.2757422000, 0.2946916000, 0.3433290000, 0.4602274000, 0.7295257000, 1.4907136000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0298312000, 0.0324734000, 0.0389643000, 0.0543954000, 0.0917756000, 0.1846538000, 0.4194537000", \ - "0.0340807000, 0.0367337000, 0.0432389000, 0.0586563000, 0.0963089000, 0.1892371000, 0.4241008000", \ - "0.0446210000, 0.0470681000, 0.0532878000, 0.0685775000, 0.1062160000, 0.1995184000, 0.4349516000", \ - "0.0617199000, 0.0655444000, 0.0740333000, 0.0920034000, 0.1298155000, 0.2230665000, 0.4583600000", \ - "0.0803023000, 0.0856961000, 0.0984075000, 0.1256518000, 0.1789086000, 0.2772087000, 0.5122404000", \ - "0.0905170000, 0.0981844000, 0.1174117000, 0.1583032000, 0.2400238000, 0.3837742000, 0.6363461000", \ - "0.0680946000, 0.0796849000, 0.1088004000, 0.1717348000, 0.2961881000, 0.5161016000, 0.8908725000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0477768000, 0.0544350000, 0.0705874000, 0.1095790000, 0.2063848000, 0.4476512000, 1.0635083000", \ - "0.0506811000, 0.0570094000, 0.0735197000, 0.1131079000, 0.2104088000, 0.4523771000, 1.0686813000", \ - "0.0613044000, 0.0675587000, 0.0833012000, 0.1223388000, 0.2204598000, 0.4634690000, 1.0815470000", \ - "0.0886411000, 0.0957921000, 0.1108560000, 0.1491255000, 0.2450782000, 0.4913373000, 1.1072983000", \ - "0.1332828000, 0.1437510000, 0.1669034000, 0.2148129000, 0.3106773000, 0.5532836000, 1.1701932000", \ - "0.2072458000, 0.2226483000, 0.2562154000, 0.3278694000, 0.4596326000, 0.7056556000, 1.3181231000", \ - "0.3375012000, 0.3588344000, 0.4072769000, 0.5112847000, 0.7083292000, 1.0521213000, 1.6778390000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0271961000, 0.0301883000, 0.0376714000, 0.0570567000, 0.1063262000, 0.2325542000, 0.5534984000", \ - "0.0267733000, 0.0298254000, 0.0376173000, 0.0571229000, 0.1065265000, 0.2329114000, 0.5547001000", \ - "0.0277964000, 0.0304713000, 0.0376609000, 0.0567115000, 0.1062812000, 0.2326848000, 0.5541645000", \ - "0.0386607000, 0.0416014000, 0.0490583000, 0.0647315000, 0.1083803000, 0.2325962000, 0.5542974000", \ - "0.0591097000, 0.0636336000, 0.0737398000, 0.0946460000, 0.1377379000, 0.2421145000, 0.5545334000", \ - "0.0966063000, 0.1038384000, 0.1190324000, 0.1513952000, 0.2081550000, 0.3209626000, 0.5812468000", \ - "0.1646749000, 0.1762446000, 0.1990529000, 0.2464069000, 0.3338073000, 0.4861404000, 0.7563120000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012748000, 0.0032502100, 0.0082867100, 0.0211277000, 0.0538671000, 0.1373390000"); - values("0.0839845000, 0.0921439000, 0.1128737000, 0.1648974000, 0.2957192000, 0.6268925000, 1.4742474000", \ - "0.0837352000, 0.0919909000, 0.1128029000, 0.1649432000, 0.2957328000, 0.6266409000, 1.4795465000", \ - "0.0832450000, 0.0913429000, 0.1125182000, 0.1648715000, 0.2956942000, 0.6270001000, 1.4744633000", \ - "0.0945410000, 0.1007871000, 0.1177350000, 0.1653593000, 0.2956344000, 0.6275994000, 1.4758345000", \ - "0.1326073000, 0.1385830000, 0.1506723000, 0.1884439000, 0.3007981000, 0.6272625000, 1.4800310000", \ - "0.1943993000, 0.2021172000, 0.2208844000, 0.2658140000, 0.3596685000, 0.6410318000, 1.4746450000", \ - "0.2980908000, 0.3085416000, 0.3346187000, 0.3967794000, 0.5269726000, 0.7828534000, 1.5030591000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o22ai_4") { - leakage_power () { - value : 0.0034044000; - when : "!A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0004006000; - when : "!A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0105000000; - when : "!A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0033926000; - when : "!A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0038679000; - when : "!A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0044512000; - when : "!A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0019405000; - when : "!A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0037464000; - when : "!A1&A2&B1&!B2"; - } - leakage_power () { - value : 0.0042216000; - when : "A1&!A2&!B1&B2"; - } - leakage_power () { - value : 0.0044513000; - when : "A1&!A2&!B1&!B2"; - } - leakage_power () { - value : 0.0022942000; - when : "A1&!A2&B1&B2"; - } - leakage_power () { - value : 0.0041001000; - when : "A1&!A2&B1&!B2"; - } - leakage_power () { - value : 0.0023660000; - when : "A1&A2&!B1&B2"; - } - leakage_power () { - value : 0.0045049000; - when : "A1&A2&!B1&!B2"; - } - leakage_power () { - value : 0.0004384000; - when : "A1&A2&B1&B2"; - } - leakage_power () { - value : 0.0022443000; - when : "A1&A2&B1&!B2"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__o22ai"; - cell_leakage_power : 0.0035202790; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0091080000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0086350000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0160382000, 0.0160209000, 0.0159810000, 0.0159813000, 0.0159820000, 0.0159838000, 0.0159877000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015967400, -0.015957500, -0.015934500, -0.015927200, -0.015910400, -0.015871500, -0.015781900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0095820000; - } - pin ("A2") { - capacitance : 0.0084970000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078980000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0150935000, 0.0150894000, 0.0150801000, 0.0150814000, 0.0150845000, 0.0150917000, 0.0151081000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015048500, -0.015039700, -0.015019500, -0.015020400, -0.015022500, -0.015027400, -0.015038800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090970000; - } - pin ("B1") { - capacitance : 0.0089300000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0086070000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0186292000, 0.0186390000, 0.0186614000, 0.0186543000, 0.0186379000, 0.0186002000, 0.0185133000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006937800, -0.006936900, -0.006934900, -0.006873700, -0.006732600, -0.006407300, -0.005657600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092520000; - } - pin ("B2") { - capacitance : 0.0083220000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078870000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0175385000, 0.0175378000, 0.0175363000, 0.0175324000, 0.0175232000, 0.0175022000, 0.0174538000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.005686500, -0.005725800, -0.005816100, -0.005756400, -0.005618700, -0.005301400, -0.004570000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087580000; - } - pin ("Y") { - direction : "output"; - function : "(!B1&!B2) | (!A1&!A2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013757780, 0.0037855280, 0.0104160900, 0.0286604500, 0.0788608200, 0.2169899000"); - values("0.0100875000, 0.0087272000, 0.0049463000, -0.005569600, -0.034839400, -0.115907700, -0.339403900", \ - "0.0099265000, 0.0085788000, 0.0048609000, -0.005575300, -0.034705500, -0.115668300, -0.339146300", \ - "0.0096699000, 0.0083246000, 0.0046076000, -0.005731300, -0.034718000, -0.115437900, -0.338797200", \ - "0.0089562000, 0.0076035000, 0.0039307000, -0.006316600, -0.035124800, -0.115648900, -0.338831600", \ - "0.0084651000, 0.0070974000, 0.0033441000, -0.007048300, -0.035726800, -0.116111700, -0.339058400", \ - "0.0094739000, 0.0080607000, 0.0041370000, -0.006458600, -0.036002600, -0.116441200, -0.339365600", \ - "0.0130302000, 0.0114834000, 0.0072615000, -0.004086200, -0.034339100, -0.116139600, -0.339851700"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013757780, 0.0037855280, 0.0104160900, 0.0286604500, 0.0788608200, 0.2169899000"); - values("0.0246389000, 0.0262205000, 0.0304756000, 0.0416263000, 0.0712184000, 0.1515190000, 0.3724207000", \ - "0.0235256000, 0.0251421000, 0.0294514000, 0.0408340000, 0.0708781000, 0.1515236000, 0.3723282000", \ - "0.0225498000, 0.0240730000, 0.0283785000, 0.0398165000, 0.0701120000, 0.1511684000, 0.3722022000", \ - "0.0220725000, 0.0235510000, 0.0276202000, 0.0387479000, 0.0688339000, 0.1506036000, 0.3720512000", \ - "0.0223633000, 0.0237436000, 0.0275827000, 0.0383089000, 0.0677035000, 0.1491846000, 0.3711654000", \ - "0.0243279000, 0.0257194000, 0.0295304000, 0.0399729000, 0.0690115000, 0.1496766000, 0.3701263000", \ - "0.0300372000, 0.0312538000, 0.0349460000, 0.0455533000, 0.0725867000, 0.1521483000, 0.3710191000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013757780, 0.0037855280, 0.0104160900, 0.0286604500, 0.0788608200, 0.2169899000"); - values("0.0266230000, 0.0252195000, 0.0213519000, 0.0107692000, -0.018454400, -0.099349800, -0.322567400", \ - "0.0261256000, 0.0247368000, 0.0209041000, 0.0103345000, -0.018911000, -0.099790400, -0.322924400", \ - "0.0255135000, 0.0241243000, 0.0203067000, 0.0097926000, -0.019335300, -0.100172100, -0.323324000", \ - "0.0249222000, 0.0233978000, 0.0197086000, 0.0092253000, -0.019831200, -0.100445600, -0.323543300", \ - "0.0243859000, 0.0229951000, 0.0191798000, 0.0087268000, -0.020210300, -0.100641600, -0.323586400", \ - "0.0249190000, 0.0235295000, 0.0196236000, 0.0089153000, -0.020471500, -0.101404500, -0.324079900", \ - "0.0271177000, 0.0256847000, 0.0217079000, 0.0107764000, -0.018940300, -0.100451800, -0.324122200"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013757780, 0.0037855280, 0.0104160900, 0.0286604500, 0.0788608200, 0.2169899000"); - values("0.0521503000, 0.0535943000, 0.0575441000, 0.0683142000, 0.0978840000, 0.1784445000, 0.3995588000", \ - "0.0515122000, 0.0529604000, 0.0569420000, 0.0678970000, 0.0974600000, 0.1782823000, 0.3992289000", \ - "0.0507351000, 0.0521961000, 0.0563644000, 0.0671719000, 0.0968996000, 0.1777826000, 0.3990699000", \ - "0.0501063000, 0.0514755000, 0.0554495000, 0.0664985000, 0.0963059000, 0.1773979000, 0.3987707000", \ - "0.0495246000, 0.0509679000, 0.0549471000, 0.0657528000, 0.0954250000, 0.1765282000, 0.3979055000", \ - "0.0492369000, 0.0506872000, 0.0547001000, 0.0657344000, 0.0954234000, 0.1762739000, 0.3972508000", \ - "0.0499283000, 0.0512694000, 0.0550466000, 0.0654258000, 0.0958083000, 0.1768732000, 0.3976791000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013757780, 0.0037855280, 0.0104160900, 0.0286604500, 0.0788608200, 0.2169899000"); - values("0.0196061000, 0.0182720000, 0.0145484000, 0.0041150000, -0.025087100, -0.106069200, -0.329549400", \ - "0.0194538000, 0.0181189000, 0.0144349000, 0.0040993000, -0.024962400, -0.105858800, -0.329306000", \ - "0.0190397000, 0.0177227000, 0.0140421000, 0.0037921000, -0.025082400, -0.105778600, -0.329148800", \ - "0.0182895000, 0.0169708000, 0.0133166000, 0.0031221000, -0.025607900, -0.106008800, -0.329104700", \ - "0.0178205000, 0.0164019000, 0.0126321000, 0.0023043000, -0.026336800, -0.106499100, -0.329336500", \ - "0.0179475000, 0.0165494000, 0.0126462000, 0.0021834000, -0.026974000, -0.107528200, -0.329983800", \ - "0.0202477000, 0.0187935000, 0.0147752000, 0.0036854000, -0.025980600, -0.107191700, -0.330440600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013757780, 0.0037855280, 0.0104160900, 0.0286604500, 0.0788608200, 0.2169899000"); - values("0.0434254000, 0.0448727000, 0.0488364000, 0.0596880000, 0.0892304000, 0.1698238000, 0.3907752000", \ - "0.0427651000, 0.0442274000, 0.0480813000, 0.0590211000, 0.0887057000, 0.1694644000, 0.3907544000", \ - "0.0415444000, 0.0430229000, 0.0470870000, 0.0580957000, 0.0879027000, 0.1690650000, 0.3906101000", \ - "0.0410070000, 0.0423950000, 0.0464962000, 0.0573526000, 0.0871383000, 0.1684878000, 0.3903079000", \ - "0.0408270000, 0.0422740000, 0.0461618000, 0.0570238000, 0.0866015000, 0.1675798000, 0.3893993000", \ - "0.0432532000, 0.0446789000, 0.0485994000, 0.0594804000, 0.0869145000, 0.1678891000, 0.3894737000", \ - "0.0491645000, 0.0513170000, 0.0517674000, 0.0618124000, 0.0929699000, 0.1735091000, 0.3892308000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013757780, 0.0037855280, 0.0104160900, 0.0286604500, 0.0788608200, 0.2169899000"); - values("0.0176703000, 0.0162736000, 0.0124411000, 0.0018689000, -0.027420400, -0.108222200, -0.331528000", \ - "0.0171300000, 0.0157281000, 0.0118869000, 0.0013324000, -0.027863900, -0.108654700, -0.332070200", \ - "0.0164373000, 0.0150538000, 0.0112909000, 0.0006949000, -0.028338700, -0.109193300, -0.332406100", \ - "0.0155574000, 0.0141769000, 0.0103821000, -6.79000e-05, -0.029037500, -0.109591000, -0.332827300", \ - "0.0151585000, 0.0137636000, 0.0099250000, -0.000582100, -0.029597000, -0.110099900, -0.333081100", \ - "0.0165275000, 0.0151168000, 0.0111858000, 0.0003304000, -0.029516200, -0.110392600, -0.333359700", \ - "0.0196231000, 0.0181443000, 0.0140689000, 0.0030747000, -0.027127800, -0.109283900, -0.333403900"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013757780, 0.0037855280, 0.0104160900, 0.0286604500, 0.0788608200, 0.2169899000"); - values("0.0334409000, 0.0350243000, 0.0391920000, 0.0503580000, 0.0799615000, 0.1603831000, 0.3815305000", \ - "0.0324682000, 0.0340827000, 0.0382982000, 0.0496660000, 0.0796563000, 0.1602644000, 0.3811303000", \ - "0.0315366000, 0.0330942000, 0.0372335000, 0.0485545000, 0.0787665000, 0.1600927000, 0.3810581000", \ - "0.0309642000, 0.0324580000, 0.0364912000, 0.0475448000, 0.0776151000, 0.1590518000, 0.3804301000", \ - "0.0304677000, 0.0319452000, 0.0359721000, 0.0467902000, 0.0764241000, 0.1577767000, 0.3799333000", \ - "0.0305916000, 0.0319700000, 0.0359097000, 0.0466831000, 0.0763872000, 0.1572929000, 0.3785698000", \ - "0.0318392000, 0.0331531000, 0.0367904000, 0.0479508000, 0.0771749000, 0.1579102000, 0.3780772000"); - } - } - max_capacitance : 0.2169900000; - max_transition : 1.5396350000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.0485013000, 0.0501742000, 0.0545300000, 0.0660051000, 0.0952530000, 0.1717184000, 0.3783210000", \ - "0.0528312000, 0.0544410000, 0.0588026000, 0.0702176000, 0.0995125000, 0.1761127000, 0.3823207000", \ - "0.0618247000, 0.0634827000, 0.0679024000, 0.0793483000, 0.1087149000, 0.1853006000, 0.3916577000", \ - "0.0793208000, 0.0809622000, 0.0859789000, 0.0980621000, 0.1276588000, 0.2044667000, 0.4109454000", \ - "0.1054218000, 0.1078424000, 0.1141698000, 0.1296499000, 0.1654839000, 0.2475766000, 0.4550508000", \ - "0.1317240000, 0.1355071000, 0.1446561000, 0.1675943000, 0.2196609000, 0.3271367000, 0.5546015000", \ - "0.1305469000, 0.1362179000, 0.1509412000, 0.1878175000, 0.2700035000, 0.4335654000, 0.7372302000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.1261880000, 0.1302933000, 0.1408564000, 0.1716706000, 0.2531024000, 0.4740195000, 1.0793927000", \ - "0.1306823000, 0.1348668000, 0.1455861000, 0.1763357000, 0.2580560000, 0.4794674000, 1.0882089000", \ - "0.1427862000, 0.1469234000, 0.1580129000, 0.1884422000, 0.2706521000, 0.4927064000, 1.0984651000", \ - "0.1694450000, 0.1736582000, 0.1851241000, 0.2155238000, 0.2973988000, 0.5201994000, 1.1262952000", \ - "0.2285244000, 0.2330549000, 0.2448677000, 0.2750967000, 0.3570720000, 0.5794186000, 1.1872117000", \ - "0.3345427000, 0.3401603000, 0.3565683000, 0.3960440000, 0.4919682000, 0.7172677000, 1.3252321000", \ - "0.5186022000, 0.5287465000, 0.5511970000, 0.6076069000, 0.7365052000, 1.0208033000, 1.6429701000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.0345486000, 0.0363035000, 0.0412915000, 0.0546892000, 0.0920651000, 0.1962318000, 0.4846868000", \ - "0.0345391000, 0.0363540000, 0.0412603000, 0.0548444000, 0.0921829000, 0.1963403000, 0.4842859000", \ - "0.0342919000, 0.0360987000, 0.0409593000, 0.0546771000, 0.0920396000, 0.1963382000, 0.4843656000", \ - "0.0393776000, 0.0410542000, 0.0457129000, 0.0577987000, 0.0933186000, 0.1962047000, 0.4843544000", \ - "0.0551688000, 0.0570094000, 0.0621613000, 0.0755085000, 0.1096954000, 0.2032971000, 0.4847591000", \ - "0.0898628000, 0.0924627000, 0.0989364000, 0.1157502000, 0.1554939000, 0.2501950000, 0.5042290000", \ - "0.1544203000, 0.1582673000, 0.1683227000, 0.1936034000, 0.2490527000, 0.3637562000, 0.6177371000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.0961312000, 0.1012799000, 0.1159504000, 0.1563564000, 0.2672020000, 0.5707088000, 1.4025706000", \ - "0.0960387000, 0.1015008000, 0.1159310000, 0.1569642000, 0.2667082000, 0.5717046000, 1.4074247000", \ - "0.0960840000, 0.1012883000, 0.1164660000, 0.1561383000, 0.2665326000, 0.5705539000, 1.4063856000", \ - "0.0961454000, 0.1014092000, 0.1158937000, 0.1564285000, 0.2669314000, 0.5703781000, 1.4046553000", \ - "0.1066835000, 0.1116326000, 0.1249551000, 0.1622266000, 0.2682096000, 0.5703018000, 1.4043333000", \ - "0.1441656000, 0.1498465000, 0.1643772000, 0.2028328000, 0.2979853000, 0.5777930000, 1.4080288000", \ - "0.2262661000, 0.2331511000, 0.2489098000, 0.2947703000, 0.4015316000, 0.6655588000, 1.4236894000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.0391832000, 0.0409279000, 0.0456688000, 0.0575702000, 0.0875608000, 0.1664188000, 0.3800359000", \ - "0.0437852000, 0.0455618000, 0.0502799000, 0.0622671000, 0.0923158000, 0.1713511000, 0.3848771000", \ - "0.0528232000, 0.0546258000, 0.0592629000, 0.0712682000, 0.1015672000, 0.1805095000, 0.3943782000", \ - "0.0682185000, 0.0701805000, 0.0754628000, 0.0887437000, 0.1199209000, 0.1994180000, 0.4132700000", \ - "0.0872784000, 0.0901232000, 0.0979370000, 0.1152738000, 0.1547203000, 0.2409672000, 0.4561981000", \ - "0.0999715000, 0.1042499000, 0.1150112000, 0.1425805000, 0.2009149000, 0.3154949000, 0.5514246000", \ - "0.0724608000, 0.0795745000, 0.0973866000, 0.1401984000, 0.2333732000, 0.4101514000, 0.7271729000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.1071267000, 0.1114091000, 0.1223955000, 0.1524645000, 0.2343576000, 0.4558539000, 1.0611110000", \ - "0.1095757000, 0.1144011000, 0.1258132000, 0.1557656000, 0.2377540000, 0.4594921000, 1.0648562000", \ - "0.1199639000, 0.1241869000, 0.1356513000, 0.1661148000, 0.2486541000, 0.4705739000, 1.0765467000", \ - "0.1476451000, 0.1520779000, 0.1631869000, 0.1931548000, 0.2755405000, 0.4980272000, 1.1043891000", \ - "0.2166730000, 0.2210184000, 0.2330464000, 0.2627160000, 0.3433029000, 0.5650977000, 1.1721324000", \ - "0.3397712000, 0.3463517000, 0.3638511000, 0.4060310000, 0.5030003000, 0.7240130000, 1.3284684000", \ - "0.5449953000, 0.5549683000, 0.5791780000, 0.6439435000, 0.7920601000, 1.0866053000, 1.6994459000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.0292410000, 0.0309878000, 0.0358783000, 0.0494852000, 0.0879394000, 0.1960885000, 0.4959209000", \ - "0.0291633000, 0.0309097000, 0.0357701000, 0.0494897000, 0.0879454000, 0.1963263000, 0.4962624000", \ - "0.0293771000, 0.0310600000, 0.0358001000, 0.0493008000, 0.0878175000, 0.1962867000, 0.4969845000", \ - "0.0355938000, 0.0373422000, 0.0417383000, 0.0539602000, 0.0896825000, 0.1962289000, 0.4959838000", \ - "0.0526937000, 0.0544238000, 0.0594480000, 0.0726244000, 0.1073618000, 0.2041649000, 0.4967392000", \ - "0.0874154000, 0.0900674000, 0.0970346000, 0.1142732000, 0.1545066000, 0.2515743000, 0.5168727000", \ - "0.1534328000, 0.1572889000, 0.1680879000, 0.1934309000, 0.2496443000, 0.3672237000, 0.6294102000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.0963771000, 0.1013064000, 0.1160641000, 0.1561379000, 0.2668226000, 0.5712378000, 1.4080066000", \ - "0.0971420000, 0.1020641000, 0.1160129000, 0.1561157000, 0.2667218000, 0.5717397000, 1.4048775000", \ - "0.0961683000, 0.1013810000, 0.1161863000, 0.1561760000, 0.2666788000, 0.5699755000, 1.4059568000", \ - "0.0958883000, 0.1013156000, 0.1157112000, 0.1565684000, 0.2666205000, 0.5718252000, 1.4059638000", \ - "0.1156387000, 0.1197343000, 0.1317543000, 0.1658687000, 0.2680988000, 0.5703581000, 1.4045153000", \ - "0.1696734000, 0.1758152000, 0.1917235000, 0.2300956000, 0.3156837000, 0.5813123000, 1.4072168000", \ - "0.2564548000, 0.2692546000, 0.2905229000, 0.3464971000, 0.4671968000, 0.7095207000, 1.4270255000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.0396090000, 0.0413209000, 0.0456965000, 0.0571372000, 0.0862843000, 0.1627523000, 0.3692700000", \ - "0.0434096000, 0.0451159000, 0.0495821000, 0.0609912000, 0.0901714000, 0.1667030000, 0.3733856000", \ - "0.0532201000, 0.0549196000, 0.0593582000, 0.0706029000, 0.0998078000, 0.1764344000, 0.3827757000", \ - "0.0742121000, 0.0762075000, 0.0814116000, 0.0940956000, 0.1230306000, 0.1995448000, 0.4062139000", \ - "0.1005945000, 0.1036192000, 0.1111780000, 0.1296011000, 0.1710909000, 0.2545968000, 0.4608569000", \ - "0.1214941000, 0.1258769000, 0.1375082000, 0.1657876000, 0.2284744000, 0.3547627000, 0.5875394000", \ - "0.1116713000, 0.1182393000, 0.1355384000, 0.1785874000, 0.2751047000, 0.4694298000, 0.8205344000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.0786467000, 0.0832562000, 0.0956826000, 0.1281229000, 0.2146081000, 0.4466339000, 1.0837365000", \ - "0.0823914000, 0.0870580000, 0.0993849000, 0.1324050000, 0.2187401000, 0.4509866000, 1.0859382000", \ - "0.0941256000, 0.0986696000, 0.1107322000, 0.1435373000, 0.2313966000, 0.4670658000, 1.1006039000", \ - "0.1212817000, 0.1257038000, 0.1375040000, 0.1696158000, 0.2570479000, 0.4903280000, 1.1272629000", \ - "0.1701315000, 0.1758812000, 0.1907045000, 0.2274615000, 0.3149367000, 0.5488637000, 1.1866874000", \ - "0.2521159000, 0.2603956000, 0.2813967000, 0.3318887000, 0.4418861000, 0.6846299000, 1.3232223000", \ - "0.3891185000, 0.4032383000, 0.4361927000, 0.5127390000, 0.6695913000, 0.9819622000, 1.6403541000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.0351327000, 0.0368989000, 0.0417111000, 0.0550449000, 0.0922930000, 0.1962628000, 0.4844313000", \ - "0.0349334000, 0.0367160000, 0.0416245000, 0.0549917000, 0.0923219000, 0.1962278000, 0.4841764000", \ - "0.0343753000, 0.0361823000, 0.0408952000, 0.0543211000, 0.0921483000, 0.1961523000, 0.4843552000", \ - "0.0440492000, 0.0458678000, 0.0506754000, 0.0619763000, 0.0948269000, 0.1961089000, 0.4843664000", \ - "0.0650752000, 0.0674349000, 0.0736111000, 0.0891545000, 0.1239494000, 0.2101368000, 0.4845412000", \ - "0.1038853000, 0.1076709000, 0.1174115000, 0.1404789000, 0.1884909000, 0.2866386000, 0.5188855000", \ - "0.1740770000, 0.1799095000, 0.1947331000, 0.2294604000, 0.3024973000, 0.4417359000, 0.7018847000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.0977843000, 0.1035852000, 0.1195878000, 0.1635274000, 0.2835567000, 0.6103484000, 1.5140681000", \ - "0.0978230000, 0.1036284000, 0.1195905000, 0.1635349000, 0.2832461000, 0.6104105000, 1.5161865000", \ - "0.0978715000, 0.1036647000, 0.1196007000, 0.1635269000, 0.2833304000, 0.6114276000, 1.5119211000", \ - "0.1004510000, 0.1056958000, 0.1206951000, 0.1636578000, 0.2831086000, 0.6102011000, 1.5142640000", \ - "0.1209431000, 0.1253573000, 0.1382777000, 0.1761113000, 0.2871403000, 0.6113393000, 1.5117622000", \ - "0.1712804000, 0.1760531000, 0.1896052000, 0.2263589000, 0.3248835000, 0.6214785000, 1.5156729000", \ - "0.2716644000, 0.2767942000, 0.2913086000, 0.3317797000, 0.4378240000, 0.7116299000, 1.5377620000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.0320097000, 0.0337914000, 0.0383387000, 0.0502473000, 0.0809857000, 0.1629608000, 0.3849698000", \ - "0.0361669000, 0.0379243000, 0.0425719000, 0.0545810000, 0.0854117000, 0.1673413000, 0.3896143000", \ - "0.0465287000, 0.0481582000, 0.0526103000, 0.0645855000, 0.0956121000, 0.1776457000, 0.4005289000", \ - "0.0645507000, 0.0669710000, 0.0724371000, 0.0869764000, 0.1188860000, 0.2010261000, 0.4240470000", \ - "0.0828937000, 0.0864887000, 0.0957360000, 0.1174964000, 0.1646516000, 0.2560152000, 0.4782154000", \ - "0.0911485000, 0.0966087000, 0.1106827000, 0.1440864000, 0.2153637000, 0.3543030000, 0.6050397000", \ - "0.0595058000, 0.0677334000, 0.0891014000, 0.1396373000, 0.2512110000, 0.4659564000, 0.8432305000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.0572737000, 0.0618345000, 0.0744891000, 0.1070442000, 0.1919388000, 0.4242584000, 1.0588176000", \ - "0.0593129000, 0.0640508000, 0.0766516000, 0.1099280000, 0.1962227000, 0.4290147000, 1.0636703000", \ - "0.0691602000, 0.0736934000, 0.0861584000, 0.1190736000, 0.2068708000, 0.4410577000, 1.0779015000", \ - "0.0980545000, 0.1025940000, 0.1139059000, 0.1459290000, 0.2316849000, 0.4676892000, 1.1034360000", \ - "0.1486422000, 0.1552090000, 0.1727130000, 0.2121963000, 0.2980063000, 0.5312255000, 1.1702352000", \ - "0.2314629000, 0.2418138000, 0.2673428000, 0.3277213000, 0.4495577000, 0.6873617000, 1.3195592000", \ - "0.3809931000, 0.3952734000, 0.4330501000, 0.5234964000, 0.6999332000, 1.0386417000, 1.6873537000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.0290024000, 0.0309856000, 0.0364984000, 0.0514875000, 0.0931238000, 0.2086543000, 0.5267784000", \ - "0.0287050000, 0.0307349000, 0.0362645000, 0.0515165000, 0.0930512000, 0.2084686000, 0.5268773000", \ - "0.0295623000, 0.0314208000, 0.0365434000, 0.0510389000, 0.0929296000, 0.2084748000, 0.5272387000", \ - "0.0397826000, 0.0419424000, 0.0479344000, 0.0611182000, 0.0965026000, 0.2084848000, 0.5272864000", \ - "0.0606056000, 0.0635027000, 0.0708340000, 0.0880658000, 0.1274326000, 0.2225307000, 0.5267379000", \ - "0.0987939000, 0.1032127000, 0.1143755000, 0.1399084000, 0.1924440000, 0.2997649000, 0.5580006000", \ - "0.1681321000, 0.1747267000, 0.1912515000, 0.2295074000, 0.3092683000, 0.4578769000, 0.7370197000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013757800, 0.0037855300, 0.0104161000, 0.0286605000, 0.0788608000, 0.2169900000"); - values("0.0977246000, 0.1035869000, 0.1196392000, 0.1636482000, 0.2832556000, 0.6110699000, 1.5128699000", \ - "0.0976466000, 0.1035053000, 0.1195796000, 0.1635614000, 0.2830833000, 0.6114327000, 1.5172938000", \ - "0.0969893000, 0.1029724000, 0.1193147000, 0.1635135000, 0.2832414000, 0.6106659000, 1.5181525000", \ - "0.1056574000, 0.1102348000, 0.1235511000, 0.1638322000, 0.2832816000, 0.6112895000, 1.5116975000", \ - "0.1416384000, 0.1449202000, 0.1552983000, 0.1874898000, 0.2894290000, 0.6104517000, 1.5189612000", \ - "0.2018482000, 0.2073203000, 0.2220324000, 0.2603753000, 0.3512148000, 0.6251949000, 1.5188468000", \ - "0.3061494000, 0.3134524000, 0.3333440000, 0.3858588000, 0.5057956000, 0.7639395000, 1.5396355000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o2bb2a_1") { - leakage_power () { - value : 0.0009469000; - when : "!A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0061247000; - when : "!A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0006840000; - when : "!A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0011182000; - when : "!A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0012680000; - when : "!A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0064458000; - when : "!A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0010052000; - when : "!A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0014394000; - when : "!A1_N&A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0012611000; - when : "A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0064388000; - when : "A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0009982000; - when : "A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0014324000; - when : "A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0064277000; - when : "A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0061389000; - when : "A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0064277000; - when : "A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0064277000; - when : "A1_N&A2_N&B1&!B2"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__o2bb2a"; - cell_leakage_power : 0.0034115550; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1_N") { - capacitance : 0.0013960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013580000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0021713000, 0.0021716000, 0.0021724000, 0.0021729000, 0.0021742000, 0.0021771000, 0.0021839000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002173300, -0.002171400, -0.002167100, -0.002167200, -0.002167300, -0.002167600, -0.002168300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014330000; - } - pin ("A2_N") { - capacitance : 0.0014870000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0024762000, 0.0024777000, 0.0024812000, 0.0024814000, 0.0024819000, 0.0024831000, 0.0024859000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001848700, -0.001849900, -0.001852700, -0.001848300, -0.001838300, -0.001815100, -0.001761600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015250000; - } - pin ("B1") { - capacitance : 0.0014810000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014320000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0019613000, 0.0019577000, 0.0019495000, 0.0019504000, 0.0019525000, 0.0019573000, 0.0019685000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001821000, -0.001843600, -0.001895600, -0.001896600, -0.001898800, -0.001903800, -0.001915600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015290000; - } - pin ("B2") { - capacitance : 0.0016130000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015200000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0015759000, 0.0015725000, 0.0015645000, 0.0015674000, 0.0015742000, 0.0015898000, 0.0016258000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001199200, -0.001204100, -0.001215600, -0.001214800, -0.001212800, -0.001208100, -0.001197500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017040000; - } - pin ("X") { - direction : "output"; - function : "(!A1_N&B1) | (!A2_N&B1) | (!A1_N&B2) | (!A2_N&B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0132979000, 0.0122889000, 0.0096751000, 0.0018116000, -0.020589700, -0.080360400, -0.236592700", \ - "0.0132631000, 0.0122492000, 0.0096409000, 0.0017872000, -0.020631700, -0.080395100, -0.236625100", \ - "0.0131271000, 0.0121037000, 0.0094653000, 0.0016684000, -0.020743100, -0.080515900, -0.236735800", \ - "0.0128810000, 0.0118683000, 0.0092517000, 0.0014164000, -0.020994500, -0.080753800, -0.236970600", \ - "0.0126249000, 0.0116086000, 0.0089894000, 0.0011171000, -0.021277900, -0.081036300, -0.237235000", \ - "0.0142742000, 0.0130540000, 0.0098473000, 0.0012673000, -0.021480700, -0.081238100, -0.237419700", \ - "0.0146559000, 0.0134600000, 0.0102200000, 0.0016439000, -0.021093200, -0.080919500, -0.237217200"); - } - related_pin : "A1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0182309000, 0.0196103000, 0.0232110000, 0.0322545000, 0.0552978000, 0.1149186000, 0.2692981000", \ - "0.0181444000, 0.0195222000, 0.0231243000, 0.0321863000, 0.0552018000, 0.1148387000, 0.2691862000", \ - "0.0180265000, 0.0194373000, 0.0230076000, 0.0320565000, 0.0551502000, 0.1146865000, 0.2690846000", \ - "0.0179001000, 0.0192834000, 0.0228779000, 0.0319248000, 0.0549696000, 0.1145761000, 0.2690827000", \ - "0.0177410000, 0.0191249000, 0.0227164000, 0.0317596000, 0.0548163000, 0.1144019000, 0.2688229000", \ - "0.0177055000, 0.0191106000, 0.0227146000, 0.0316638000, 0.0547575000, 0.1144054000, 0.2690377000", \ - "0.0185263000, 0.0198592000, 0.0232877000, 0.0322326000, 0.0551955000, 0.1144428000, 0.2689608000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0129988000, 0.0119675000, 0.0093307000, 0.0015261000, -0.020900900, -0.080704100, -0.236951300", \ - "0.0129222000, 0.0119035000, 0.0092381000, 0.0014234000, -0.020989600, -0.080795900, -0.237039000", \ - "0.0127316000, 0.0117108000, 0.0090700000, 0.0012675000, -0.021158400, -0.080961200, -0.237209400", \ - "0.0124816000, 0.0114747000, 0.0088454000, 0.0010026000, -0.021418300, -0.081214700, -0.237466000", \ - "0.0121957000, 0.0111810000, 0.0085544000, 0.0006968000, -0.021710300, -0.081501400, -0.237734100", \ - "0.0139634000, 0.0127071000, 0.0095579000, 0.0009737000, -0.021753800, -0.081522000, -0.237765700", \ - "0.0144765000, 0.0131930000, 0.0098888000, 0.0013617000, -0.021364500, -0.081183600, -0.237467600"); - } - related_pin : "A2_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0161525000, 0.0175689000, 0.0211830000, 0.0301666000, 0.0532197000, 0.1127047000, 0.2685952000", \ - "0.0160213000, 0.0174147000, 0.0210124000, 0.0300268000, 0.0530146000, 0.1131132000, 0.2671805000", \ - "0.0157752000, 0.0172070000, 0.0207752000, 0.0297868000, 0.0527857000, 0.1122284000, 0.2669700000", \ - "0.0156004000, 0.0170166000, 0.0206305000, 0.0296181000, 0.0526765000, 0.1121727000, 0.2668351000", \ - "0.0154503000, 0.0168669000, 0.0204807000, 0.0294615000, 0.0525133000, 0.1120095000, 0.2665717000", \ - "0.0153957000, 0.0168238000, 0.0204845000, 0.0294850000, 0.0524706000, 0.1125376000, 0.2666304000", \ - "0.0161748000, 0.0174755000, 0.0209497000, 0.0298755000, 0.0527565000, 0.1122533000, 0.2682711000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0091362000, 0.0079835000, 0.0051329000, -0.002810800, -0.025039300, -0.084629400, -0.240742700", \ - "0.0090902000, 0.0079445000, 0.0050686000, -0.002866500, -0.025137200, -0.084688200, -0.240810900", \ - "0.0090059000, 0.0078522000, 0.0049801000, -0.002952100, -0.025189300, -0.084783300, -0.240898500", \ - "0.0088847000, 0.0077222000, 0.0048684000, -0.003080300, -0.025297400, -0.084898700, -0.241008400", \ - "0.0087414000, 0.0075837000, 0.0047402000, -0.003207900, -0.025453700, -0.085005900, -0.241095300", \ - "0.0086927000, 0.0075303000, 0.0046648000, -0.003354900, -0.025573400, -0.085117900, -0.241177600", \ - "0.0108324000, 0.0094506000, 0.0059998000, -0.002451700, -0.025397800, -0.084974600, -0.241042100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0120583000, 0.0134499000, 0.0170484000, 0.0260952000, 0.0491132000, 0.1086223000, 0.2629464000", \ - "0.0120395000, 0.0134315000, 0.0170262000, 0.0260738000, 0.0491251000, 0.1086314000, 0.2630842000", \ - "0.0120547000, 0.0134405000, 0.0170397000, 0.0260772000, 0.0490964000, 0.1086264000, 0.2630045000", \ - "0.0119926000, 0.0134049000, 0.0169916000, 0.0260020000, 0.0490445000, 0.1085428000, 0.2631586000", \ - "0.0119404000, 0.0133483000, 0.0169173000, 0.0258307000, 0.0488877000, 0.1084169000, 0.2631138000", \ - "0.0122653000, 0.0135492000, 0.0170003000, 0.0257080000, 0.0487265000, 0.1080324000, 0.2628993000", \ - "0.0126659000, 0.0139865000, 0.0173220000, 0.0260913000, 0.0491267000, 0.1086554000, 0.2622193000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0090508000, 0.0079057000, 0.0050216000, -0.002897900, -0.025159000, -0.084734800, -0.240855600", \ - "0.0089342000, 0.0077724000, 0.0048893000, -0.003024500, -0.025289800, -0.084861600, -0.240993100", \ - "0.0087704000, 0.0076074000, 0.0047549000, -0.003206600, -0.025437500, -0.084987300, -0.241093800", \ - "0.0086518000, 0.0075015000, 0.0046328000, -0.003325900, -0.025566700, -0.085159400, -0.241250500", \ - "0.0084766000, 0.0073473000, 0.0044728000, -0.003495300, -0.025718500, -0.085304500, -0.241405300", \ - "0.0086382000, 0.0074472000, 0.0045104000, -0.003539100, -0.025817000, -0.085355700, -0.241391000", \ - "0.0111800000, 0.0097104000, 0.0061832000, -0.002736000, -0.025612600, -0.085005900, -0.240983400"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013029390, 0.0033953010, 0.0088477440, 0.0230561400, 0.0600815100, 0.1565651000"); - values("0.0107440000, 0.0121711000, 0.0157286000, 0.0247626000, 0.0478216000, 0.1072496000, 0.2619823000", \ - "0.0107388000, 0.0121677000, 0.0157319000, 0.0247750000, 0.0478149000, 0.1073010000, 0.2621113000", \ - "0.0106702000, 0.0120895000, 0.0156861000, 0.0247472000, 0.0477635000, 0.1072657000, 0.2617708000", \ - "0.0105193000, 0.0119308000, 0.0155309000, 0.0245752000, 0.0475645000, 0.1070476000, 0.2609182000", \ - "0.0104379000, 0.0118329000, 0.0153949000, 0.0242603000, 0.0473057000, 0.1069211000, 0.2607610000", \ - "0.0107129000, 0.0120706000, 0.0154875000, 0.0240703000, 0.0471220000, 0.1062434000, 0.2613876000", \ - "0.0108840000, 0.0121709000, 0.0155460000, 0.0244839000, 0.0474873000, 0.1070670000, 0.2605014000"); - } - } - max_capacitance : 0.1565650000; - max_transition : 1.5068560000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1747831000, 0.1822042000, 0.1976759000, 0.2281529000, 0.2872887000, 0.4170549000, 0.7433424000", \ - "0.1793046000, 0.1866450000, 0.2021666000, 0.2327316000, 0.2918354000, 0.4215826000, 0.7477512000", \ - "0.1881258000, 0.1954387000, 0.2109647000, 0.2415486000, 0.3006643000, 0.4304822000, 0.7562287000", \ - "0.2062392000, 0.2135540000, 0.2290667000, 0.2596215000, 0.3187653000, 0.4485328000, 0.7748918000", \ - "0.2365108000, 0.2437681000, 0.2593066000, 0.2898997000, 0.3490209000, 0.4787660000, 0.8043369000", \ - "0.2752109000, 0.2825912000, 0.2980507000, 0.3286287000, 0.3877365000, 0.5172437000, 0.8429198000", \ - "0.3060983000, 0.3135393000, 0.3290733000, 0.3597831000, 0.4191321000, 0.5490128000, 0.8745828000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1954100000, 0.2033054000, 0.2210151000, 0.2607194000, 0.3571787000, 0.6042001000, 1.2444783000", \ - "0.2004130000, 0.2083178000, 0.2260153000, 0.2657234000, 0.3621298000, 0.6092134000, 1.2493935000", \ - "0.2137760000, 0.2217851000, 0.2394886000, 0.2792140000, 0.3754442000, 0.6227099000, 1.2618707000", \ - "0.2461945000, 0.2541252000, 0.2718642000, 0.3114905000, 0.4080343000, 0.6548198000, 1.2957941000", \ - "0.3204366000, 0.3283715000, 0.3461184000, 0.3857320000, 0.4822401000, 0.7291921000, 1.3692176000", \ - "0.4565633000, 0.4646252000, 0.4824460000, 0.5222222000, 0.6187115000, 0.8659051000, 1.5064160000", \ - "0.6812990000, 0.6896325000, 0.7077652000, 0.7478507000, 0.8444265000, 1.0913519000, 1.7317399000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0266981000, 0.0319759000, 0.0443314000, 0.0700378000, 0.1290022000, 0.2863073000, 0.7174399000", \ - "0.0265188000, 0.0321056000, 0.0443339000, 0.0702939000, 0.1286604000, 0.2861806000, 0.7193169000", \ - "0.0266750000, 0.0320422000, 0.0442721000, 0.0703610000, 0.1293198000, 0.2856748000, 0.7212435000", \ - "0.0265438000, 0.0321160000, 0.0443228000, 0.0701704000, 0.1290687000, 0.2862816000, 0.7178351000", \ - "0.0264720000, 0.0320510000, 0.0443475000, 0.0703046000, 0.1290758000, 0.2856283000, 0.7159274000", \ - "0.0271037000, 0.0322427000, 0.0444733000, 0.0704118000, 0.1289423000, 0.2843390000, 0.7144650000", \ - "0.0275144000, 0.0331981000, 0.0454245000, 0.0713258000, 0.1296922000, 0.2856671000, 0.7112647000"); - } - related_pin : "A1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0280574000, 0.0354989000, 0.0540217000, 0.1029975000, 0.2348206000, 0.5865520000, 1.4990541000", \ - "0.0280463000, 0.0354931000, 0.0540410000, 0.1029738000, 0.2348634000, 0.5865787000, 1.4986635000", \ - "0.0279578000, 0.0355426000, 0.0540028000, 0.1029103000, 0.2352110000, 0.5861635000, 1.4959423000", \ - "0.0280224000, 0.0355339000, 0.0540507000, 0.1029903000, 0.2352697000, 0.5866022000, 1.5003567000", \ - "0.0280527000, 0.0355791000, 0.0541021000, 0.1030117000, 0.2348308000, 0.5864753000, 1.4975823000", \ - "0.0286433000, 0.0360805000, 0.0546526000, 0.1030767000, 0.2353927000, 0.5871966000, 1.5014983000", \ - "0.0306765000, 0.0377719000, 0.0560988000, 0.1044244000, 0.2360944000, 0.5832305000, 1.4972356000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1718149000, 0.1791239000, 0.1946648000, 0.2252377000, 0.2843424000, 0.4140978000, 0.7397795000", \ - "0.1760200000, 0.1833469000, 0.1988478000, 0.2294494000, 0.2885383000, 0.4182934000, 0.7441568000", \ - "0.1857252000, 0.1933078000, 0.2088255000, 0.2393837000, 0.2984892000, 0.4282556000, 0.7539487000", \ - "0.2068073000, 0.2141793000, 0.2296303000, 0.2601697000, 0.3192824000, 0.4489373000, 0.7751674000", \ - "0.2382228000, 0.2455886000, 0.2612188000, 0.2917665000, 0.3508404000, 0.4806560000, 0.8064917000", \ - "0.2753466000, 0.2826991000, 0.2981407000, 0.3287678000, 0.3880169000, 0.5178768000, 0.8439305000", \ - "0.3050815000, 0.3125858000, 0.3281260000, 0.3588537000, 0.4182983000, 0.5483015000, 0.8738453000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1823399000, 0.1903193000, 0.2080309000, 0.2476420000, 0.3438561000, 0.5908672000, 1.2316983000", \ - "0.1871670000, 0.1950688000, 0.2127449000, 0.2524628000, 0.3486765000, 0.5966623000, 1.2367670000", \ - "0.1994035000, 0.2073928000, 0.2250182000, 0.2646956000, 0.3610250000, 0.6089813000, 1.2490313000", \ - "0.2315293000, 0.2395067000, 0.2572215000, 0.2968399000, 0.3930667000, 0.6401175000, 1.2795891000", \ - "0.3034008000, 0.3113514000, 0.3290689000, 0.3687430000, 0.4649729000, 0.7121254000, 1.3520109000", \ - "0.4287100000, 0.4366483000, 0.4545025000, 0.4940766000, 0.5903755000, 0.8376503000, 1.4784302000", \ - "0.6345541000, 0.6428478000, 0.6609933000, 0.7010966000, 0.7977978000, 1.0450530000, 1.6851659000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0267060000, 0.0320211000, 0.0442900000, 0.0701760000, 0.1292702000, 0.2857304000, 0.7214898000", \ - "0.0265656000, 0.0322422000, 0.0443073000, 0.0702769000, 0.1292036000, 0.2860728000, 0.7166823000", \ - "0.0267002000, 0.0320536000, 0.0442503000, 0.0701670000, 0.1292701000, 0.2857144000, 0.7213596000", \ - "0.0266073000, 0.0319854000, 0.0443221000, 0.0702762000, 0.1290083000, 0.2859004000, 0.7173418000", \ - "0.0268174000, 0.0324350000, 0.0446286000, 0.0705201000, 0.1294622000, 0.2849828000, 0.7179719000", \ - "0.0269733000, 0.0326381000, 0.0447369000, 0.0707516000, 0.1290818000, 0.2847169000, 0.7205607000", \ - "0.0277978000, 0.0332250000, 0.0454953000, 0.0714532000, 0.1298793000, 0.2860526000, 0.7121300000"); - } - related_pin : "A2_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0280044000, 0.0352228000, 0.0539162000, 0.1027914000, 0.2348414000, 0.5848544000, 1.5003457000", \ - "0.0278425000, 0.0353335000, 0.0537770000, 0.1024682000, 0.2345411000, 0.5858312000, 1.5014783000", \ - "0.0278181000, 0.0352757000, 0.0538098000, 0.1026501000, 0.2345710000, 0.5858788000, 1.5014826000", \ - "0.0280097000, 0.0352331000, 0.0539197000, 0.1027845000, 0.2349023000, 0.5857267000, 1.4963015000", \ - "0.0279582000, 0.0354495000, 0.0538251000, 0.1028084000, 0.2349235000, 0.5854844000, 1.4983420000", \ - "0.0287766000, 0.0362607000, 0.0545436000, 0.1031911000, 0.2346143000, 0.5861999000, 1.5018486000", \ - "0.0311017000, 0.0382011000, 0.0561222000, 0.1043576000, 0.2355106000, 0.5843179000, 1.4996204000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.2469520000, 0.2562652000, 0.2751664000, 0.3103695000, 0.3761889000, 0.5121898000, 0.8396872000", \ - "0.2516666000, 0.2609424000, 0.2797729000, 0.3151898000, 0.3807041000, 0.5166041000, 0.8447678000", \ - "0.2632605000, 0.2725966000, 0.2913931000, 0.3267544000, 0.3921929000, 0.5284463000, 0.8564276000", \ - "0.2884979000, 0.2978128000, 0.3167064000, 0.3518948000, 0.4178003000, 0.5537301000, 0.8812272000", \ - "0.3459383000, 0.3552574000, 0.3741865000, 0.4093498000, 0.4751767000, 0.6110867000, 0.9392049000", \ - "0.4706694000, 0.4805629000, 0.5000905000, 0.5365949000, 0.6030476000, 0.7400314000, 1.0679205000", \ - "0.6889367000, 0.7007448000, 0.7233037000, 0.7648226000, 0.8381817000, 0.9805624000, 1.3102491000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.1034677000, 0.1113654000, 0.1290944000, 0.1687223000, 0.2651357000, 0.5118769000, 1.1517377000", \ - "0.1082623000, 0.1161671000, 0.1339011000, 0.1735276000, 0.2699362000, 0.5164348000, 1.1573849000", \ - "0.1179533000, 0.1258503000, 0.1435679000, 0.1832031000, 0.2796636000, 0.5263702000, 1.1667548000", \ - "0.1375101000, 0.1454655000, 0.1630420000, 0.2026616000, 0.2987771000, 0.5453704000, 1.1866361000", \ - "0.1744831000, 0.1828666000, 0.2010855000, 0.2411911000, 0.3376393000, 0.5844222000, 1.2262152000", \ - "0.2287561000, 0.2381340000, 0.2580323000, 0.2997027000, 0.3966743000, 0.6434917000, 1.2848429000", \ - "0.2835341000, 0.2959726000, 0.3205094000, 0.3661919000, 0.4646923000, 0.7121100000, 1.3515394000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0396482000, 0.0453757000, 0.0576905000, 0.0831779000, 0.1434142000, 0.2963431000, 0.7214771000", \ - "0.0398636000, 0.0456800000, 0.0577463000, 0.0837104000, 0.1433870000, 0.2973128000, 0.7246211000", \ - "0.0398470000, 0.0455164000, 0.0575903000, 0.0836310000, 0.1433736000, 0.2961562000, 0.7207623000", \ - "0.0402482000, 0.0453798000, 0.0576838000, 0.0831880000, 0.1433573000, 0.2963509000, 0.7214362000", \ - "0.0397036000, 0.0453580000, 0.0585506000, 0.0845723000, 0.1430768000, 0.2966659000, 0.7218802000", \ - "0.0442515000, 0.0504317000, 0.0617267000, 0.0869812000, 0.1460841000, 0.2967996000, 0.7212907000", \ - "0.0554267000, 0.0623083000, 0.0752871000, 0.1021434000, 0.1604110000, 0.3072513000, 0.7237181000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0276809000, 0.0351451000, 0.0538298000, 0.1027803000, 0.2348849000, 0.5863917000, 1.4981898000", \ - "0.0276756000, 0.0351461000, 0.0538536000, 0.1028332000, 0.2354431000, 0.5867093000, 1.5009703000", \ - "0.0277175000, 0.0351476000, 0.0538025000, 0.1026464000, 0.2351918000, 0.5865755000, 1.5000717000", \ - "0.0276749000, 0.0351200000, 0.0537907000, 0.1027881000, 0.2352239000, 0.5847653000, 1.5014634000", \ - "0.0300046000, 0.0373537000, 0.0556492000, 0.1039133000, 0.2353286000, 0.5860576000, 1.5023897000", \ - "0.0361669000, 0.0436034000, 0.0612922000, 0.1073136000, 0.2365359000, 0.5840796000, 1.4985082000", \ - "0.0493618000, 0.0574102000, 0.0749436000, 0.1185063000, 0.2400540000, 0.5871469000, 1.4963999000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.2393855000, 0.2486258000, 0.2674478000, 0.3028360000, 0.3683360000, 0.5042560000, 0.8323212000", \ - "0.2418705000, 0.2512169000, 0.2700729000, 0.3054426000, 0.3709569000, 0.5068981000, 0.8349448000", \ - "0.2515813000, 0.2609240000, 0.2799271000, 0.3151299000, 0.3806510000, 0.5166705000, 0.8446570000", \ - "0.2791056000, 0.2884449000, 0.3073452000, 0.3425137000, 0.4081665000, 0.5441930000, 0.8718795000", \ - "0.3468811000, 0.3562576000, 0.3751049000, 0.4102952000, 0.4761337000, 0.6120935000, 0.9399915000", \ - "0.5027678000, 0.5124607000, 0.5321569000, 0.5680553000, 0.6343622000, 0.7709657000, 1.0992821000", \ - "0.7689061000, 0.7814785000, 0.8059790000, 0.8492445000, 0.9227006000, 1.0643003000, 1.3948794000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0933748000, 0.1010790000, 0.1182161000, 0.1573754000, 0.2533926000, 0.5007736000, 1.1406337000", \ - "0.0984477000, 0.1061547000, 0.1233036000, 0.1624755000, 0.2585459000, 0.5044825000, 1.1439807000", \ - "0.1081406000, 0.1158545000, 0.1331028000, 0.1722575000, 0.2681634000, 0.5148293000, 1.1567739000", \ - "0.1271941000, 0.1348381000, 0.1520202000, 0.1911113000, 0.2872388000, 0.5335183000, 1.1725980000", \ - "0.1606489000, 0.1688700000, 0.1867776000, 0.2265502000, 0.3225857000, 0.5697707000, 1.2090565000", \ - "0.2050553000, 0.2146565000, 0.2345513000, 0.2757956000, 0.3724934000, 0.6189491000, 1.2601588000", \ - "0.2392342000, 0.2520955000, 0.2773332000, 0.3237824000, 0.4218497000, 0.6682926000, 1.3087291000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0397213000, 0.0456557000, 0.0576840000, 0.0836476000, 0.1433893000, 0.2968059000, 0.7211844000", \ - "0.0399015000, 0.0456460000, 0.0575396000, 0.0835869000, 0.1434120000, 0.2967865000, 0.7259152000", \ - "0.0402423000, 0.0453919000, 0.0583514000, 0.0834510000, 0.1436296000, 0.2963730000, 0.7213016000", \ - "0.0401335000, 0.0461595000, 0.0577126000, 0.0832233000, 0.1435141000, 0.2956551000, 0.7236760000", \ - "0.0398077000, 0.0456912000, 0.0577769000, 0.0834982000, 0.1434464000, 0.2957518000, 0.7211122000", \ - "0.0443177000, 0.0510683000, 0.0618058000, 0.0873154000, 0.1455839000, 0.2972673000, 0.7215502000", \ - "0.0631224000, 0.0696097000, 0.0828170000, 0.1070287000, 0.1617173000, 0.3073542000, 0.7241814000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013029400, 0.0033953000, 0.0088477400, 0.0230561000, 0.0600815000, 0.1565650000"); - values("0.0263727000, 0.0336775000, 0.0523497000, 0.1013363000, 0.2345106000, 0.5859489000, 1.5040359000", \ - "0.0263817000, 0.0336862000, 0.0523422000, 0.1012727000, 0.2345654000, 0.5859087000, 1.5068560000", \ - "0.0264219000, 0.0337348000, 0.0523188000, 0.1015225000, 0.2338467000, 0.5867575000, 1.4994473000", \ - "0.0266510000, 0.0338887000, 0.0523753000, 0.1013893000, 0.2346306000, 0.5861374000, 1.5046449000", \ - "0.0294698000, 0.0366875000, 0.0548925000, 0.1028895000, 0.2345702000, 0.5849903000, 1.5036343000", \ - "0.0365511000, 0.0442597000, 0.0612943000, 0.1069703000, 0.2359311000, 0.5829909000, 1.5035132000", \ - "0.0512754000, 0.0596937000, 0.0768349000, 0.1192409000, 0.2400896000, 0.5857256000, 1.4978003000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o2bb2a_2") { - leakage_power () { - value : 0.0016547000; - when : "!A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0043873000; - when : "!A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0012479000; - when : "!A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0019508000; - when : "!A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0020059000; - when : "!A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0047385000; - when : "!A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0015991000; - when : "!A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0023020000; - when : "!A1_N&A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0019812000; - when : "A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0047135000; - when : "A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0015741000; - when : "A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0022770000; - when : "A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0048489000; - when : "A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0045602000; - when : "A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0048489000; - when : "A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0048489000; - when : "A1_N&A2_N&B1&!B2"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__o2bb2a"; - cell_leakage_power : 0.0030961860; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1_N") { - capacitance : 0.0016650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015990000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030256000, 0.0030245000, 0.0030219000, 0.0030227000, 0.0030245000, 0.0030287000, 0.0030384000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003024600, -0.003023900, -0.003022200, -0.003022200, -0.003022300, -0.003022300, -0.003022500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017300000; - } - pin ("A2_N") { - capacitance : 0.0017540000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016960000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0033227000, 0.0033220000, 0.0033203000, 0.0033205000, 0.0033208000, 0.0033217000, 0.0033237000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002691200, -0.002692800, -0.002696600, -0.002692200, -0.002682200, -0.002659000, -0.002605700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018110000; - } - pin ("B1") { - capacitance : 0.0017220000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0028086000, 0.0028065000, 0.0028018000, 0.0028032000, 0.0028065000, 0.0028142000, 0.0028319000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002589100, -0.002627800, -0.002717100, -0.002718400, -0.002721400, -0.002728300, -0.002744300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017970000; - } - pin ("B2") { - capacitance : 0.0018810000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017580000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022241000, 0.0022166000, 0.0021992000, 0.0022041000, 0.0022155000, 0.0022416000, 0.0023020000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001647600, -0.001652300, -0.001663200, -0.001662800, -0.001661700, -0.001659300, -0.001653700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020050000; - } - pin ("X") { - direction : "output"; - function : "(!A1_N&B1) | (!A2_N&B1) | (!A1_N&B2) | (!A2_N&B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014478780, 0.0041927010, 0.0121410400, 0.0351574900, 0.1018075000, 0.2948097000"); - values("0.0183625000, 0.0171574000, 0.0137313000, 0.0028769000, -0.032722500, -0.140147000, -0.452640900", \ - "0.0183067000, 0.0170055000, 0.0136278000, 0.0027821000, -0.032804600, -0.140224500, -0.452707300", \ - "0.0181233000, 0.0168539000, 0.0134574000, 0.0026401000, -0.032958500, -0.140368200, -0.452850300", \ - "0.0178549000, 0.0166251000, 0.0131416000, 0.0023928000, -0.033234900, -0.140644300, -0.453124300", \ - "0.0175702000, 0.0163133000, 0.0128517000, 0.0020391000, -0.033547400, -0.140969400, -0.453428600", \ - "0.0209832000, 0.0195286000, 0.0153338000, 0.0029361000, -0.033762600, -0.141164400, -0.453621100", \ - "0.0215397000, 0.0200943000, 0.0159874000, 0.0034525000, -0.033269400, -0.140839600, -0.453442800"); - } - related_pin : "A1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014478780, 0.0041927010, 0.0121410400, 0.0351574900, 0.1018075000, 0.2948097000"); - values("0.0242507000, 0.0259105000, 0.0306188000, 0.0439133000, 0.0814043000, 0.1887455000, 0.4983208000", \ - "0.0241713000, 0.0258340000, 0.0304787000, 0.0438218000, 0.0813480000, 0.1885729000, 0.4982400000", \ - "0.0240211000, 0.0256137000, 0.0303231000, 0.0436949000, 0.0811025000, 0.1884908000, 0.4979099000", \ - "0.0238916000, 0.0255307000, 0.0302285000, 0.0435357000, 0.0810256000, 0.1883051000, 0.4978451000", \ - "0.0237270000, 0.0253589000, 0.0300690000, 0.0433631000, 0.0808006000, 0.1881389000, 0.4977007000", \ - "0.0237353000, 0.0253571000, 0.0300734000, 0.0434013000, 0.0808246000, 0.1880735000, 0.4969452000", \ - "0.0250240000, 0.0266280000, 0.0311777000, 0.0441344000, 0.0813155000, 0.1886959000, 0.4970882000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014478780, 0.0041927010, 0.0121410400, 0.0351574900, 0.1018075000, 0.2948097000"); - values("0.0180586000, 0.0168167000, 0.0134294000, 0.0025693000, -0.033030500, -0.140497200, -0.453043900", \ - "0.0179523000, 0.0167194000, 0.0132587000, 0.0025022000, -0.033138600, -0.140615500, -0.453149300", \ - "0.0177230000, 0.0164575000, 0.0130521000, 0.0022546000, -0.033364800, -0.140833100, -0.453362000", \ - "0.0174448000, 0.0161671000, 0.0127207000, 0.0019520000, -0.033694100, -0.141151900, -0.453672500", \ - "0.0171513000, 0.0158949000, 0.0123767000, 0.0015555000, -0.034021800, -0.141471800, -0.453989900", \ - "0.0206912000, 0.0192637000, 0.0150109000, 0.0025799000, -0.034038500, -0.141447100, -0.453969000", \ - "0.0213875000, 0.0198720000, 0.0156955000, 0.0032664000, -0.033427300, -0.141011100, -0.453602000"); - } - related_pin : "A2_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014478780, 0.0041927010, 0.0121410400, 0.0351574900, 0.1018075000, 0.2948097000"); - values("0.0220417000, 0.0236998000, 0.0283853000, 0.0417317000, 0.0791153000, 0.1864904000, 0.4979394000", \ - "0.0218792000, 0.0235455000, 0.0282890000, 0.0415568000, 0.0789924000, 0.1862130000, 0.4977007000", \ - "0.0216883000, 0.0233330000, 0.0280214000, 0.0414108000, 0.0787622000, 0.1859670000, 0.4946817000", \ - "0.0214956000, 0.0231585000, 0.0278349000, 0.0411859000, 0.0785591000, 0.1859449000, 0.4973912000", \ - "0.0213895000, 0.0230226000, 0.0277402000, 0.0410626000, 0.0784666000, 0.1856072000, 0.4972811000", \ - "0.0213155000, 0.0230011000, 0.0277774000, 0.0411981000, 0.0784454000, 0.1857947000, 0.4972033000", \ - "0.0226580000, 0.0242289000, 0.0288046000, 0.0417177000, 0.0788780000, 0.1862773000, 0.4950888000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014478780, 0.0041927010, 0.0121410400, 0.0351574900, 0.1018075000, 0.2948097000"); - values("0.0130980000, 0.0115828000, 0.0076397000, -0.003602000, -0.039171000, -0.146224800, -0.458559200", \ - "0.0129117000, 0.0113893000, 0.0074553000, -0.003737800, -0.039240800, -0.146295500, -0.458653000", \ - "0.0128561000, 0.0113182000, 0.0074886000, -0.003829300, -0.039322900, -0.146369800, -0.458716300", \ - "0.0128674000, 0.0113312000, 0.0073978000, -0.003903500, -0.039365100, -0.146474700, -0.458807200", \ - "0.0126460000, 0.0111132000, 0.0071619000, -0.004100900, -0.039577400, -0.146576200, -0.458947600", \ - "0.0125978000, 0.0110243000, 0.0070848000, -0.004300600, -0.039737200, -0.146773800, -0.459051300", \ - "0.0166726000, 0.0149261000, 0.0102533000, -0.002732300, -0.039244200, -0.146604200, -0.458893800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014478780, 0.0041927010, 0.0121410400, 0.0351574900, 0.1018075000, 0.2948097000"); - values("0.0178477000, 0.0194800000, 0.0241178000, 0.0374786000, 0.0749016000, 0.1822468000, 0.4913567000", \ - "0.0177732000, 0.0194126000, 0.0240924000, 0.0374817000, 0.0748369000, 0.1820538000, 0.4911447000", \ - "0.0178008000, 0.0194194000, 0.0242091000, 0.0374592000, 0.0749082000, 0.1822840000, 0.4917903000", \ - "0.0177010000, 0.0193326000, 0.0240469000, 0.0373912000, 0.0748087000, 0.1821043000, 0.4912349000", \ - "0.0177021000, 0.0193169000, 0.0239462000, 0.0372236000, 0.0745204000, 0.1818987000, 0.4911859000", \ - "0.0183396000, 0.0199097000, 0.0243820000, 0.0371003000, 0.0744221000, 0.1814752000, 0.4907994000", \ - "0.0191323000, 0.0206157000, 0.0249398000, 0.0378048000, 0.0749647000, 0.1820160000, 0.4905522000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014478780, 0.0041927010, 0.0121410400, 0.0351574900, 0.1018075000, 0.2948097000"); - values("0.0127682000, 0.0112646000, 0.0073524000, -0.003942400, -0.039412600, -0.146530800, -0.458799900", \ - "0.0126676000, 0.0111497000, 0.0072281000, -0.004076300, -0.039520300, -0.146601900, -0.458933900", \ - "0.0125650000, 0.0110440000, 0.0071164000, -0.004182000, -0.039629400, -0.146744300, -0.459073000", \ - "0.0123962000, 0.0108792000, 0.0069412000, -0.004396100, -0.039858700, -0.146904400, -0.459251400", \ - "0.0122129000, 0.0106638000, 0.0067118000, -0.004644300, -0.040140400, -0.147137400, -0.459432400", \ - "0.0124961000, 0.0109200000, 0.0068444000, -0.004667900, -0.040279300, -0.147253900, -0.459483500", \ - "0.0170778000, 0.0152897000, 0.0103987000, -0.002099900, -0.039732900, -0.146825400, -0.459015900"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014478780, 0.0041927010, 0.0121410400, 0.0351574900, 0.1018075000, 0.2948097000"); - values("0.0158132000, 0.0174619000, 0.0221170000, 0.0354733000, 0.0728493000, 0.1801299000, 0.4899672000", \ - "0.0157917000, 0.0174308000, 0.0221648000, 0.0355317000, 0.0727794000, 0.1801473000, 0.4898824000", \ - "0.0157023000, 0.0173036000, 0.0220787000, 0.0354241000, 0.0728896000, 0.1800872000, 0.4901093000", \ - "0.0155175000, 0.0171479000, 0.0218928000, 0.0351881000, 0.0726642000, 0.1798335000, 0.4898772000", \ - "0.0154306000, 0.0170873000, 0.0217689000, 0.0348594000, 0.0722285000, 0.1793629000, 0.4873709000", \ - "0.0159089000, 0.0174889000, 0.0221362000, 0.0348725000, 0.0719431000, 0.1789233000, 0.4891479000", \ - "0.0164315000, 0.0179178000, 0.0222789000, 0.0353049000, 0.0725714000, 0.1796358000, 0.4873407000"); - } - } - max_capacitance : 0.2948100000; - max_transition : 1.5046330000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.1776424000, 0.1831631000, 0.1957142000, 0.2218509000, 0.2744086000, 0.3907286000, 0.7026206000", \ - "0.1819492000, 0.1874467000, 0.1999821000, 0.2261091000, 0.2787053000, 0.3950168000, 0.7069398000", \ - "0.1906723000, 0.1961994000, 0.2087083000, 0.2348702000, 0.2874403000, 0.4037399000, 0.7155166000", \ - "0.2093415000, 0.2148656000, 0.2273615000, 0.2535127000, 0.3061220000, 0.4223409000, 0.7336758000", \ - "0.2416656000, 0.2471439000, 0.2596723000, 0.2858435000, 0.3385395000, 0.4548402000, 0.7664458000", \ - "0.2838541000, 0.2893859000, 0.3019125000, 0.3280817000, 0.3807379000, 0.4969698000, 0.8090016000", \ - "0.3219487000, 0.3275163000, 0.3401681000, 0.3664392000, 0.4194067000, 0.5359126000, 0.8475138000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.2054943000, 0.2126665000, 0.2288023000, 0.2644344000, 0.3500621000, 0.5851203000, 1.2611668000", \ - "0.2110705000, 0.2180980000, 0.2342371000, 0.2699084000, 0.3556624000, 0.5914653000, 1.2655607000", \ - "0.2243867000, 0.2313782000, 0.2475811000, 0.2833097000, 0.3688661000, 0.6039007000, 1.2800628000", \ - "0.2569989000, 0.2640387000, 0.2801822000, 0.3158200000, 0.4015767000, 0.6373529000, 1.3113569000", \ - "0.3273067000, 0.3343624000, 0.3504589000, 0.3861186000, 0.4718408000, 0.7076667000, 1.3817210000", \ - "0.4496219000, 0.4566840000, 0.4730262000, 0.5088254000, 0.5944770000, 0.8291325000, 1.5044216000", \ - "0.6520542000, 0.6592484000, 0.6757115000, 0.7115720000, 0.7972606000, 1.0322652000, 1.7084630000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0230581000, 0.0269982000, 0.0359603000, 0.0569252000, 0.1052272000, 0.2380673000, 0.6495873000", \ - "0.0232604000, 0.0270314000, 0.0360647000, 0.0567616000, 0.1051715000, 0.2383601000, 0.6514193000", \ - "0.0230818000, 0.0267703000, 0.0361299000, 0.0569637000, 0.1051712000, 0.2377863000, 0.6510983000", \ - "0.0230956000, 0.0271337000, 0.0361193000, 0.0569458000, 0.1051690000, 0.2382437000, 0.6512146000", \ - "0.0232536000, 0.0269451000, 0.0362134000, 0.0570613000, 0.1052061000, 0.2384473000, 0.6474702000", \ - "0.0234223000, 0.0271275000, 0.0364291000, 0.0571792000, 0.1052689000, 0.2369201000, 0.6494382000", \ - "0.0240502000, 0.0280693000, 0.0370227000, 0.0580026000, 0.1059306000, 0.2384952000, 0.6466745000"); - } - related_pin : "A1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0276113000, 0.0331873000, 0.0471718000, 0.0844264000, 0.1946680000, 0.5279293000, 1.5003881000", \ - "0.0275770000, 0.0330951000, 0.0473344000, 0.0844741000, 0.1945625000, 0.5269872000, 1.4980938000", \ - "0.0276440000, 0.0333273000, 0.0471992000, 0.0844218000, 0.1948090000, 0.5278751000, 1.4999103000", \ - "0.0276148000, 0.0331728000, 0.0472568000, 0.0844310000, 0.1947279000, 0.5270134000, 1.4987659000", \ - "0.0276946000, 0.0332151000, 0.0471873000, 0.0844356000, 0.1947902000, 0.5271056000, 1.4992863000", \ - "0.0282273000, 0.0337643000, 0.0475367000, 0.0848329000, 0.1948976000, 0.5276566000, 1.4974140000", \ - "0.0290273000, 0.0347408000, 0.0485331000, 0.0855672000, 0.1951991000, 0.5261643000, 1.4935081000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.1747517000, 0.1802567000, 0.1928186000, 0.2189141000, 0.2715226000, 0.3877764000, 0.6993863000", \ - "0.1787135000, 0.1842261000, 0.1967315000, 0.2229052000, 0.2754683000, 0.3917687000, 0.7034346000", \ - "0.1886893000, 0.1942179000, 0.2067183000, 0.2328621000, 0.2854387000, 0.4016887000, 0.7133933000", \ - "0.2107338000, 0.2162552000, 0.2287567000, 0.2549235000, 0.3074791000, 0.4237493000, 0.7354667000", \ - "0.2460835000, 0.2516131000, 0.2641580000, 0.2904148000, 0.3430575000, 0.4594060000, 0.7711927000", \ - "0.2890069000, 0.2945766000, 0.3071302000, 0.3333469000, 0.3861157000, 0.5024955000, 0.8146679000", \ - "0.3297805000, 0.3353780000, 0.3479694000, 0.3742969000, 0.4273650000, 0.5439210000, 0.8554237000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.1953842000, 0.2023337000, 0.2184077000, 0.2541527000, 0.3396590000, 0.5746805000, 1.2504253000", \ - "0.2002793000, 0.2073093000, 0.2233928000, 0.2590496000, 0.3446456000, 0.5800994000, 1.2568443000", \ - "0.2135027000, 0.2205166000, 0.2366092000, 0.2723377000, 0.3577906000, 0.5922340000, 1.2674292000", \ - "0.2455148000, 0.2524736000, 0.2685185000, 0.3042791000, 0.3897782000, 0.6247659000, 1.3003685000", \ - "0.3129622000, 0.3198849000, 0.3359786000, 0.3717089000, 0.4572619000, 0.6919471000, 1.3681996000", \ - "0.4257625000, 0.4328115000, 0.4491470000, 0.4849787000, 0.5703948000, 0.8057566000, 1.4827208000", \ - "0.6104224000, 0.6176424000, 0.6340953000, 0.6700621000, 0.7557396000, 0.9908320000, 1.6644143000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0231096000, 0.0268346000, 0.0358283000, 0.0568505000, 0.1050694000, 0.2380285000, 0.6518684000", \ - "0.0230694000, 0.0268464000, 0.0361161000, 0.0569384000, 0.1052611000, 0.2378223000, 0.6470400000", \ - "0.0230437000, 0.0267757000, 0.0361283000, 0.0569561000, 0.1052292000, 0.2376579000, 0.6513702000", \ - "0.0233067000, 0.0270092000, 0.0361249000, 0.0568471000, 0.1051566000, 0.2379601000, 0.6511904000", \ - "0.0235598000, 0.0272309000, 0.0364731000, 0.0569352000, 0.1053712000, 0.2380519000, 0.6463532000", \ - "0.0235423000, 0.0274211000, 0.0363738000, 0.0574089000, 0.1053588000, 0.2370978000, 0.6500274000", \ - "0.0241541000, 0.0278984000, 0.0371773000, 0.0581180000, 0.1058979000, 0.2387495000, 0.6491538000"); - } - related_pin : "A2_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0276478000, 0.0331760000, 0.0470904000, 0.0844346000, 0.1941991000, 0.5283982000, 1.5004143000", \ - "0.0275726000, 0.0330797000, 0.0469745000, 0.0843783000, 0.1945652000, 0.5282400000, 1.5016745000", \ - "0.0277114000, 0.0332012000, 0.0470931000, 0.0843762000, 0.1945131000, 0.5267236000, 1.4961866000", \ - "0.0276165000, 0.0331236000, 0.0470608000, 0.0844387000, 0.1942514000, 0.5282527000, 1.4995060000", \ - "0.0276522000, 0.0332362000, 0.0470674000, 0.0844525000, 0.1944081000, 0.5264577000, 1.5010680000", \ - "0.0281987000, 0.0337075000, 0.0475885000, 0.0848326000, 0.1946462000, 0.5286042000, 1.5018727000", \ - "0.0292371000, 0.0347840000, 0.0486845000, 0.0856733000, 0.1947927000, 0.5259565000, 1.4982743000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.2217109000, 0.2287768000, 0.2443183000, 0.2749310000, 0.3329346000, 0.4555214000, 0.7699170000", \ - "0.2271297000, 0.2342055000, 0.2498050000, 0.2799429000, 0.3382409000, 0.4610039000, 0.7751373000", \ - "0.2396381000, 0.2466932000, 0.2621591000, 0.2927261000, 0.3508905000, 0.4734514000, 0.7877883000", \ - "0.2655754000, 0.2726410000, 0.2882830000, 0.3189200000, 0.3770681000, 0.4994763000, 0.8138001000", \ - "0.3234928000, 0.3305471000, 0.3461055000, 0.3767892000, 0.4349865000, 0.5578426000, 0.8719508000", \ - "0.4457336000, 0.4529252000, 0.4691388000, 0.5017698000, 0.5607645000, 0.6845243000, 0.9990465000", \ - "0.6539018000, 0.6626450000, 0.6824218000, 0.7196750000, 0.7865020000, 0.9182545000, 1.2356689000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.1318017000, 0.1387662000, 0.1548081000, 0.1906048000, 0.2761617000, 0.5112028000, 1.1880111000", \ - "0.1363770000, 0.1433513000, 0.1595991000, 0.1953282000, 0.2808557000, 0.5155728000, 1.1913971000", \ - "0.1460520000, 0.1530964000, 0.1692876000, 0.2049338000, 0.2906289000, 0.5252948000, 1.2009426000", \ - "0.1662027000, 0.1732372000, 0.1893467000, 0.2250675000, 0.3106553000, 0.5455676000, 1.2208748000", \ - "0.2090501000, 0.2162870000, 0.2327877000, 0.2687827000, 0.3543398000, 0.5895213000, 1.2653212000", \ - "0.2790791000, 0.2873030000, 0.3056532000, 0.3440100000, 0.4312161000, 0.6664941000, 1.3420433000", \ - "0.3665964000, 0.3770868000, 0.3997928000, 0.4437769000, 0.5350540000, 0.7708681000, 1.4450253000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0352993000, 0.0395076000, 0.0494317000, 0.0694060000, 0.1174701000, 0.2485815000, 0.6542604000", \ - "0.0353402000, 0.0395574000, 0.0486072000, 0.0694551000, 0.1179231000, 0.2490465000, 0.6545414000", \ - "0.0352342000, 0.0394644000, 0.0489317000, 0.0696462000, 0.1177755000, 0.2489462000, 0.6532752000", \ - "0.0352441000, 0.0394107000, 0.0490259000, 0.0696585000, 0.1176177000, 0.2492966000, 0.6546769000", \ - "0.0351995000, 0.0394407000, 0.0487382000, 0.0695044000, 0.1174167000, 0.2492069000, 0.6548241000", \ - "0.0397525000, 0.0442459000, 0.0536794000, 0.0740728000, 0.1211262000, 0.2502771000, 0.6551219000", \ - "0.0524324000, 0.0571049000, 0.0679758000, 0.0895008000, 0.1376097000, 0.2641447000, 0.6561621000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0276699000, 0.0331886000, 0.0472174000, 0.0845515000, 0.1942668000, 0.5289035000, 1.5018450000", \ - "0.0277241000, 0.0332037000, 0.0471236000, 0.0843704000, 0.1944656000, 0.5277093000, 1.4984501000", \ - "0.0276699000, 0.0332919000, 0.0470202000, 0.0843885000, 0.1947515000, 0.5274424000, 1.5006498000", \ - "0.0276460000, 0.0331501000, 0.0470777000, 0.0843199000, 0.1946634000, 0.5277783000, 1.4991021000", \ - "0.0290346000, 0.0347419000, 0.0481786000, 0.0854739000, 0.1950809000, 0.5279897000, 1.4996392000", \ - "0.0347492000, 0.0407567000, 0.0544088000, 0.0904384000, 0.1970923000, 0.5280276000, 1.4968489000", \ - "0.0469644000, 0.0536421000, 0.0685092000, 0.1037285000, 0.2043703000, 0.5285214000, 1.4983911000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.2126977000, 0.2197344000, 0.2353928000, 0.2660594000, 0.3242248000, 0.4466447000, 0.7612236000", \ - "0.2162502000, 0.2233216000, 0.2390022000, 0.2694911000, 0.3278869000, 0.4502146000, 0.7645855000", \ - "0.2270241000, 0.2340363000, 0.2496983000, 0.2802154000, 0.3385687000, 0.4608969000, 0.7751952000", \ - "0.2549458000, 0.2620049000, 0.2775736000, 0.3081059000, 0.3660456000, 0.4888340000, 0.8029862000", \ - "0.3228645000, 0.3299136000, 0.3454714000, 0.3759829000, 0.4341055000, 0.5570048000, 0.8715532000", \ - "0.4735897000, 0.4815303000, 0.4981753000, 0.5302557000, 0.5895460000, 0.7130332000, 1.0274472000", \ - "0.7223679000, 0.7321797000, 0.7536614000, 0.7947121000, 0.8644344000, 0.9951366000, 1.3127704000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.1163369000, 0.1230248000, 0.1383897000, 0.1730615000, 0.2578080000, 0.4923222000, 1.1684481000", \ - "0.1212673000, 0.1279599000, 0.1434581000, 0.1781330000, 0.2627132000, 0.4982735000, 1.1738648000", \ - "0.1310259000, 0.1376983000, 0.1531991000, 0.1878377000, 0.2725549000, 0.5070713000, 1.1842495000", \ - "0.1509400000, 0.1575781000, 0.1730667000, 0.2076687000, 0.2922123000, 0.5274367000, 1.2035608000", \ - "0.1907941000, 0.1978278000, 0.2139558000, 0.2491501000, 0.3337088000, 0.5682826000, 1.2419908000", \ - "0.2503733000, 0.2586742000, 0.2767486000, 0.3144079000, 0.4011645000, 0.6355982000, 1.3117714000", \ - "0.3150427000, 0.3258111000, 0.3492496000, 0.3941748000, 0.4844528000, 0.7192500000, 1.3934018000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0351946000, 0.0393077000, 0.0489937000, 0.0694683000, 0.1175742000, 0.2483686000, 0.6557797000", \ - "0.0354860000, 0.0393164000, 0.0493470000, 0.0690286000, 0.1173936000, 0.2490223000, 0.6542983000", \ - "0.0352666000, 0.0393104000, 0.0493704000, 0.0691146000, 0.1174430000, 0.2491853000, 0.6550414000", \ - "0.0353351000, 0.0394612000, 0.0487253000, 0.0696932000, 0.1178333000, 0.2491862000, 0.6545890000", \ - "0.0351570000, 0.0395770000, 0.0487538000, 0.0697132000, 0.1173558000, 0.2485218000, 0.6545322000", \ - "0.0419740000, 0.0463269000, 0.0553679000, 0.0743003000, 0.1208189000, 0.2505385000, 0.6549553000", \ - "0.0617486000, 0.0674527000, 0.0776800000, 0.0991885000, 0.1423061000, 0.2641195000, 0.6574230000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014478800, 0.0041927000, 0.0121410000, 0.0351575000, 0.1018080000, 0.2948100000"); - values("0.0259672000, 0.0312306000, 0.0449756000, 0.0819853000, 0.1924777000, 0.5270185000, 1.5020846000", \ - "0.0259975000, 0.0313797000, 0.0449664000, 0.0821632000, 0.1928690000, 0.5265953000, 1.5020283000", \ - "0.0258983000, 0.0314120000, 0.0450829000, 0.0820035000, 0.1925785000, 0.5271280000, 1.5034717000", \ - "0.0259700000, 0.0313668000, 0.0448416000, 0.0820632000, 0.1929775000, 0.5264360000, 1.5046334000", \ - "0.0283724000, 0.0338055000, 0.0471161000, 0.0836189000, 0.1934166000, 0.5258290000, 1.5017336000", \ - "0.0351438000, 0.0410365000, 0.0551187000, 0.0896312000, 0.1967911000, 0.5264396000, 1.5017342000", \ - "0.0493843000, 0.0559766000, 0.0707995000, 0.1044123000, 0.2036444000, 0.5288940000, 1.4949004000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o2bb2a_4") { - leakage_power () { - value : 0.0041073000; - when : "!A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0051534000; - when : "!A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0030143000; - when : "!A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0043869000; - when : "!A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0047227000; - when : "!A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0057684000; - when : "!A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0036298000; - when : "!A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0050021000; - when : "!A1_N&A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0050435000; - when : "A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0060896000; - when : "A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0039508000; - when : "A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0053233000; - when : "A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0061870000; - when : "A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0055201000; - when : "A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0061808000; - when : "A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0061870000; - when : "A1_N&A2_N&B1&!B2"; - } - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__o2bb2a"; - cell_leakage_power : 0.0050166770; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1_N") { - capacitance : 0.0048940000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0046780000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0089309000, 0.0089176000, 0.0088868000, 0.0088844000, 0.0088788000, 0.0088659000, 0.0088362000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008836600, -0.008844100, -0.008861400, -0.008862400, -0.008864800, -0.008870100, -0.008882500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0051100000; - } - pin ("A2_N") { - capacitance : 0.0044270000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042290000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087526000, 0.0087465000, 0.0087325000, 0.0087348000, 0.0087401000, 0.0087523000, 0.0087805000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006645000, -0.006648800, -0.006657500, -0.006645600, -0.006618100, -0.006554900, -0.006409100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046250000; - } - pin ("B1") { - capacitance : 0.0048120000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045600000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0085233000, 0.0085169000, 0.0085023000, 0.0084971000, 0.0084850000, 0.0084571000, 0.0083928000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007351000, -0.007472100, -0.007751300, -0.007763000, -0.007790100, -0.007852500, -0.007996400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050640000; - } - pin ("B2") { - capacitance : 0.0043550000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040360000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0035340000, 0.0035300000, 0.0035206000, 0.0035293000, 0.0035494000, 0.0035956000, 0.0037022000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003330800, -0.003344800, -0.003377300, -0.003376100, -0.003373400, -0.003367200, -0.003352800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046730000; - } - pin ("X") { - direction : "output"; - function : "(!A1_N&B1) | (!A2_N&B1) | (!A1_N&B2) | (!A2_N&B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015796280, 0.0049904520, 0.0157661200, 0.0498092300, 0.1573602000, 0.4971412000"); - values("0.0418910000, 0.0406269000, 0.0365982000, 0.0230492000, -0.028492600, -0.201682500, -0.751902100", \ - "0.0415488000, 0.0404233000, 0.0362421000, 0.0226950000, -0.028773500, -0.201932200, -0.752100400", \ - "0.0410429000, 0.0396918000, 0.0357533000, 0.0221638000, -0.029367600, -0.202499700, -0.752644900", \ - "0.0401403000, 0.0388708000, 0.0349364000, 0.0213176000, -0.030239100, -0.203355700, -0.753467600", \ - "0.0396764000, 0.0383569000, 0.0343562000, 0.0205694000, -0.030919600, -0.203995000, -0.754088800", \ - "0.0462136000, 0.0446641000, 0.0396545000, 0.0232487000, -0.030722000, -0.204413900, -0.754505200", \ - "0.0473177000, 0.0457266000, 0.0405498000, 0.0239684000, -0.029703600, -0.203159900, -0.753533600"); - } - related_pin : "A1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015796280, 0.0049904520, 0.0157661200, 0.0498092300, 0.1573602000, 0.4971412000"); - values("0.0533234000, 0.0552145000, 0.0613001000, 0.0797641000, 0.1356722000, 0.3095931000, 0.8541200000", \ - "0.0530390000, 0.0549498000, 0.0609481000, 0.0793935000, 0.1353912000, 0.3091106000, 0.8540911000", \ - "0.0525846000, 0.0544430000, 0.0604612000, 0.0789276000, 0.1350056000, 0.3087139000, 0.8536911000", \ - "0.0521857000, 0.0540987000, 0.0600643000, 0.0785126000, 0.1345283000, 0.3081720000, 0.8531809000", \ - "0.0519114000, 0.0538413000, 0.0598593000, 0.0783179000, 0.1343248000, 0.3080087000, 0.8530249000", \ - "0.0521817000, 0.0539643000, 0.0599873000, 0.0787402000, 0.1344492000, 0.3083186000, 0.8534089000", \ - "0.0555007000, 0.0572706000, 0.0629325000, 0.0808831000, 0.1364420000, 0.3113916000, 0.8541391000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015796280, 0.0049904520, 0.0157661200, 0.0498092300, 0.1573602000, 0.4971412000"); - values("0.0400373000, 0.0387733000, 0.0348531000, 0.0212743000, -0.030320500, -0.203480800, -0.753711500", \ - "0.0397521000, 0.0385442000, 0.0344864000, 0.0209420000, -0.030619200, -0.203811600, -0.754037600", \ - "0.0390546000, 0.0379668000, 0.0338520000, 0.0202050000, -0.031287600, -0.204452000, -0.754671900", \ - "0.0381856000, 0.0370860000, 0.0330171000, 0.0192933000, -0.032182600, -0.205304900, -0.755495800", \ - "0.0380369000, 0.0369160000, 0.0326575000, 0.0188659000, -0.032592100, -0.205733000, -0.755916700", \ - "0.0450206000, 0.0434610000, 0.0384730000, 0.0220637000, -0.031916300, -0.205632700, -0.755751200", \ - "0.0478843000, 0.0463543000, 0.0408351000, 0.0248639000, -0.029347500, -0.202777400, -0.753174900"); - } - related_pin : "A2_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015796280, 0.0049904520, 0.0157661200, 0.0498092300, 0.1573602000, 0.4971412000"); - values("0.0474498000, 0.0493172000, 0.0553123000, 0.0737304000, 0.1297095000, 0.3033177000, 0.8480327000", \ - "0.0469234000, 0.0488671000, 0.0549048000, 0.0732886000, 0.1291840000, 0.3027934000, 0.8473575000", \ - "0.0464858000, 0.0483940000, 0.0544582000, 0.0727339000, 0.1287110000, 0.3021953000, 0.8466416000", \ - "0.0459157000, 0.0478499000, 0.0538260000, 0.0722090000, 0.1281052000, 0.3016747000, 0.8461321000", \ - "0.0457023000, 0.0476454000, 0.0536349000, 0.0719824000, 0.1279189000, 0.3015612000, 0.8463913000", \ - "0.0460657000, 0.0478853000, 0.0534757000, 0.0723239000, 0.1280242000, 0.3014353000, 0.8469151000", \ - "0.0492852000, 0.0510430000, 0.0568782000, 0.0746170000, 0.1302315000, 0.3046087000, 0.8481188000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015796280, 0.0049904520, 0.0157661200, 0.0498092300, 0.1573602000, 0.4971412000"); - values("0.0309473000, 0.0292841000, 0.0241305000, 0.0093959000, -0.041717000, -0.213883300, -0.763918100", \ - "0.0308717000, 0.0291479000, 0.0240088000, 0.0092858000, -0.041897600, -0.214254700, -0.764049400", \ - "0.0303616000, 0.0287456000, 0.0236328000, 0.0087687000, -0.042277000, -0.214471100, -0.764489500", \ - "0.0300387000, 0.0284203000, 0.0232911000, 0.0083980000, -0.042639300, -0.214849900, -0.764856500", \ - "0.0296978000, 0.0281423000, 0.0228361000, 0.0080049000, -0.043203400, -0.215448400, -0.765089100", \ - "0.0288967000, 0.0270909000, 0.0218189000, 0.0071972000, -0.043821600, -0.215710400, -0.765227200", \ - "0.0367638000, 0.0348044000, 0.0290162000, 0.0114623000, -0.043132500, -0.215680800, -0.764736600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015796280, 0.0049904520, 0.0157661200, 0.0498092300, 0.1573602000, 0.4971412000"); - values("0.0382817000, 0.0401374000, 0.0461658000, 0.0646252000, 0.1205146000, 0.2939536000, 0.8376030000", \ - "0.0381327000, 0.0400279000, 0.0461155000, 0.0645465000, 0.1204362000, 0.2938527000, 0.8375863000", \ - "0.0381933000, 0.0401166000, 0.0460623000, 0.0645464000, 0.1204972000, 0.2939987000, 0.8385025000", \ - "0.0379011000, 0.0398025000, 0.0458213000, 0.0641296000, 0.1200200000, 0.2935894000, 0.8382595000", \ - "0.0376021000, 0.0395020000, 0.0453743000, 0.0635603000, 0.1192636000, 0.2928472000, 0.8379050000", \ - "0.0385336000, 0.0403512000, 0.0460733000, 0.0636228000, 0.1186800000, 0.2915486000, 0.8371778000", \ - "0.0402658000, 0.0419690000, 0.0475307000, 0.0648490000, 0.1203700000, 0.2935258000, 0.8361585000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015796280, 0.0049904520, 0.0157661200, 0.0498092300, 0.1573602000, 0.4971412000"); - values("0.0306268000, 0.0288623000, 0.0237295000, 0.0089983000, -0.042146400, -0.214190500, -0.764286700", \ - "0.0303183000, 0.0286032000, 0.0235572000, 0.0088238000, -0.042326300, -0.214552100, -0.764382500", \ - "0.0299708000, 0.0282544000, 0.0231548000, 0.0085537000, -0.042630100, -0.214924700, -0.764696800", \ - "0.0295991000, 0.0278683000, 0.0228795000, 0.0079879000, -0.043109100, -0.215354600, -0.765141700", \ - "0.0297334000, 0.0279685000, 0.0227812000, 0.0078142000, -0.043530300, -0.215711300, -0.765402800", \ - "0.0298575000, 0.0279942000, 0.0227339000, 0.0085401000, -0.042873100, -0.215262200, -0.764804200", \ - "0.0403717000, 0.0383662000, 0.0336326000, 0.0156426000, -0.039340800, -0.212116500, -0.761453800"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015796280, 0.0049904520, 0.0157661200, 0.0498092300, 0.1573602000, 0.4971412000"); - values("0.0316933000, 0.0335781000, 0.0396653000, 0.0581461000, 0.1140256000, 0.2871950000, 0.8287935000", \ - "0.0316766000, 0.0335944000, 0.0396845000, 0.0580174000, 0.1141033000, 0.2870393000, 0.8328274000", \ - "0.0314598000, 0.0333336000, 0.0393917000, 0.0579082000, 0.1138752000, 0.2871919000, 0.8281645000", \ - "0.0309464000, 0.0328206000, 0.0388184000, 0.0572045000, 0.1131390000, 0.2867463000, 0.8284399000", \ - "0.0305436000, 0.0323924000, 0.0384351000, 0.0565391000, 0.1121083000, 0.2856790000, 0.8283666000", \ - "0.0312387000, 0.0330199000, 0.0387977000, 0.0566052000, 0.1113992000, 0.2841276000, 0.8306576000", \ - "0.0331040000, 0.0347917000, 0.0403211000, 0.0577122000, 0.1127234000, 0.2857433000, 0.8285218000"); - } - } - max_capacitance : 0.4971410000; - max_transition : 1.5041270000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.1330829000, 0.1360788000, 0.1439328000, 0.1624910000, 0.2050744000, 0.3070335000, 0.6029062000", \ - "0.1372400000, 0.1402636000, 0.1481313000, 0.1667224000, 0.2092863000, 0.3111781000, 0.6069900000", \ - "0.1459630000, 0.1489702000, 0.1568072000, 0.1753846000, 0.2179737000, 0.3199690000, 0.6159154000", \ - "0.1636159000, 0.1666343000, 0.1744887000, 0.1930194000, 0.2356001000, 0.3376286000, 0.6336115000", \ - "0.1894233000, 0.1923856000, 0.2002661000, 0.2188021000, 0.2614239000, 0.3634655000, 0.6593935000", \ - "0.2164919000, 0.2195358000, 0.2273691000, 0.2459388000, 0.2885198000, 0.3905957000, 0.6858519000", \ - "0.2230262000, 0.2260854000, 0.2341624000, 0.2529383000, 0.2959994000, 0.3984152000, 0.6942757000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.1564660000, 0.1603755000, 0.1710045000, 0.1981750000, 0.2728274000, 0.5005629000, 1.2124486000", \ - "0.1618137000, 0.1657183000, 0.1763144000, 0.2035414000, 0.2782302000, 0.5053962000, 1.2183916000", \ - "0.1752598000, 0.1791738000, 0.1897839000, 0.2170142000, 0.2917445000, 0.5188205000, 1.2317853000", \ - "0.2079401000, 0.2119241000, 0.2224819000, 0.2497215000, 0.3244983000, 0.5518813000, 1.2644710000", \ - "0.2773775000, 0.2813265000, 0.2919320000, 0.3191918000, 0.3939730000, 0.6213340000, 1.3357879000", \ - "0.3960182000, 0.3999767000, 0.4106257000, 0.4378457000, 0.5124904000, 0.7396376000, 1.4537448000", \ - "0.5944810000, 0.5984751000, 0.6090689000, 0.6364330000, 0.7112547000, 0.9392157000, 1.6514348000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.0176720000, 0.0196466000, 0.0252291000, 0.0411289000, 0.0826074000, 0.2003011000, 0.5946583000", \ - "0.0176039000, 0.0196647000, 0.0252992000, 0.0410031000, 0.0826230000, 0.2004031000, 0.5911780000", \ - "0.0176328000, 0.0197627000, 0.0252003000, 0.0411486000, 0.0826280000, 0.2001665000, 0.5949218000", \ - "0.0177128000, 0.0194763000, 0.0252292000, 0.0411332000, 0.0825593000, 0.2003028000, 0.5928698000", \ - "0.0177591000, 0.0196999000, 0.0255498000, 0.0409994000, 0.0827280000, 0.2004418000, 0.5946589000", \ - "0.0180430000, 0.0200995000, 0.0256590000, 0.0414229000, 0.0828710000, 0.2000703000, 0.5908075000", \ - "0.0189429000, 0.0209451000, 0.0267823000, 0.0423303000, 0.0836974000, 0.2008791000, 0.5942581000"); - } - related_pin : "A1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.0218271000, 0.0252320000, 0.0352562000, 0.0655655000, 0.1645570000, 0.4862576000, 1.4975730000", \ - "0.0217936000, 0.0252311000, 0.0352574000, 0.0656862000, 0.1644838000, 0.4858892000, 1.4995173000", \ - "0.0217367000, 0.0252364000, 0.0352214000, 0.0657251000, 0.1646137000, 0.4857093000, 1.5004609000", \ - "0.0218354000, 0.0252372000, 0.0352612000, 0.0656890000, 0.1645012000, 0.4860812000, 1.4995770000", \ - "0.0219388000, 0.0252435000, 0.0352709000, 0.0656815000, 0.1642454000, 0.4854819000, 1.5006874000", \ - "0.0221781000, 0.0255800000, 0.0355548000, 0.0659597000, 0.1648988000, 0.4849784000, 1.5020702000", \ - "0.0231128000, 0.0263557000, 0.0366113000, 0.0666972000, 0.1649586000, 0.4853650000, 1.4958532000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.1281520000, 0.1311241000, 0.1390269000, 0.1576022000, 0.2001593000, 0.3020254000, 0.5979555000", \ - "0.1319691000, 0.1349929000, 0.1428347000, 0.1613869000, 0.2039770000, 0.3058994000, 0.6018480000", \ - "0.1413615000, 0.1443817000, 0.1522226000, 0.1707878000, 0.2133500000, 0.3152762000, 0.6110406000", \ - "0.1588859000, 0.1619028000, 0.1697357000, 0.1882564000, 0.2308488000, 0.3328298000, 0.6280921000", \ - "0.1803164000, 0.1833483000, 0.1912184000, 0.2098324000, 0.2526269000, 0.3546713000, 0.6503745000", \ - "0.1987024000, 0.2017336000, 0.2095769000, 0.2281514000, 0.2709538000, 0.3730414000, 0.6685754000", \ - "0.1922946000, 0.1953773000, 0.2033645000, 0.2219997000, 0.2649209000, 0.3672568000, 0.6632871000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.1568007000, 0.1607264000, 0.1713418000, 0.1985901000, 0.2734230000, 0.5018118000, 1.2135802000", \ - "0.1612221000, 0.1651920000, 0.1757735000, 0.2029957000, 0.2777504000, 0.5055352000, 1.2185881000", \ - "0.1742442000, 0.1781889000, 0.1888213000, 0.2160151000, 0.2908081000, 0.5187386000, 1.2315047000", \ - "0.2059037000, 0.2098600000, 0.2204495000, 0.2476560000, 0.3224069000, 0.5504212000, 1.2630619000", \ - "0.2717595000, 0.2757051000, 0.2863054000, 0.3135667000, 0.3883809000, 0.6156388000, 1.3296064000", \ - "0.3812083000, 0.3851346000, 0.3958037000, 0.4232187000, 0.4980801000, 0.7251977000, 1.4392677000", \ - "0.5678191000, 0.5718439000, 0.5826312000, 0.6100370000, 0.6850536000, 0.9121198000, 1.6253371000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.0174512000, 0.0195022000, 0.0253781000, 0.0410975000, 0.0826105000, 0.2004224000, 0.5946053000", \ - "0.0175544000, 0.0194401000, 0.0252461000, 0.0411285000, 0.0826202000, 0.2003466000, 0.5944437000", \ - "0.0174574000, 0.0196690000, 0.0253449000, 0.0410034000, 0.0826066000, 0.2002426000, 0.5944227000", \ - "0.0174634000, 0.0196727000, 0.0254048000, 0.0410229000, 0.0826142000, 0.2003494000, 0.5927418000", \ - "0.0178530000, 0.0199183000, 0.0255930000, 0.0413026000, 0.0828430000, 0.2004631000, 0.5943485000", \ - "0.0178645000, 0.0199347000, 0.0257648000, 0.0414333000, 0.0828748000, 0.2006098000, 0.5930829000", \ - "0.0188963000, 0.0208575000, 0.0267332000, 0.0422708000, 0.0835213000, 0.2008577000, 0.5900257000"); - } - related_pin : "A2_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.0218466000, 0.0253076000, 0.0352778000, 0.0658125000, 0.1647512000, 0.4855094000, 1.4997192000", \ - "0.0219234000, 0.0251900000, 0.0353123000, 0.0656774000, 0.1646946000, 0.4865113000, 1.4996384000", \ - "0.0217996000, 0.0252749000, 0.0351974000, 0.0657055000, 0.1646340000, 0.4865656000, 1.4993109000", \ - "0.0218491000, 0.0251900000, 0.0353298000, 0.0656522000, 0.1647078000, 0.4865592000, 1.4990786000", \ - "0.0219546000, 0.0253289000, 0.0353608000, 0.0658623000, 0.1647257000, 0.4862781000, 1.5025883000", \ - "0.0223696000, 0.0257607000, 0.0358059000, 0.0661969000, 0.1651172000, 0.4850237000, 1.5023053000", \ - "0.0233985000, 0.0268137000, 0.0367402000, 0.0670633000, 0.1653750000, 0.4847703000, 1.4978785000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.1838074000, 0.1878017000, 0.1979804000, 0.2204451000, 0.2667142000, 0.3730767000, 0.6710282000", \ - "0.1888323000, 0.1928297000, 0.2030405000, 0.2255365000, 0.2720310000, 0.3782836000, 0.6766515000", \ - "0.2013516000, 0.2053420000, 0.2155147000, 0.2379550000, 0.2844434000, 0.3906272000, 0.6886115000", \ - "0.2284516000, 0.2324362000, 0.2426043000, 0.2650273000, 0.3114552000, 0.4176592000, 0.7156556000", \ - "0.2893792000, 0.2933485000, 0.3035573000, 0.3260104000, 0.3723208000, 0.4788357000, 0.7773084000", \ - "0.4106145000, 0.4150485000, 0.4263883000, 0.4506455000, 0.5000704000, 0.6084381000, 0.9068138000", \ - "0.6195032000, 0.6249188000, 0.6385723000, 0.6677533000, 0.7239927000, 0.8400683000, 1.1421541000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.0962196000, 0.1001466000, 0.1107513000, 0.1380056000, 0.2126750000, 0.4406822000, 1.1509542000", \ - "0.1006193000, 0.1045248000, 0.1151384000, 0.1423727000, 0.2170281000, 0.4449481000, 1.1550850000", \ - "0.1095958000, 0.1135285000, 0.1240956000, 0.1513664000, 0.2261352000, 0.4532124000, 1.1666771000", \ - "0.1288881000, 0.1327669000, 0.1433400000, 0.1704875000, 0.2451229000, 0.4721536000, 1.1851542000", \ - "0.1642353000, 0.1684092000, 0.1795078000, 0.2073773000, 0.2822559000, 0.5096281000, 1.2225191000", \ - "0.2107978000, 0.2157885000, 0.2283364000, 0.2579174000, 0.3338682000, 0.5611923000, 1.2760477000", \ - "0.2468843000, 0.2533941000, 0.2697801000, 0.3046623000, 0.3838350000, 0.6115894000, 1.3230426000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.0280681000, 0.0304312000, 0.0366316000, 0.0515050000, 0.0911364000, 0.2080811000, 0.5976137000", \ - "0.0278424000, 0.0301848000, 0.0368565000, 0.0513965000, 0.0910853000, 0.2078947000, 0.5991032000", \ - "0.0279326000, 0.0302608000, 0.0362269000, 0.0516518000, 0.0907755000, 0.2080687000, 0.5974246000", \ - "0.0279275000, 0.0302499000, 0.0362290000, 0.0517278000, 0.0909914000, 0.2081258000, 0.5974797000", \ - "0.0280451000, 0.0304802000, 0.0364320000, 0.0516345000, 0.0910138000, 0.2080365000, 0.5988147000", \ - "0.0333946000, 0.0360945000, 0.0426844000, 0.0572070000, 0.0954982000, 0.2109263000, 0.5968788000", \ - "0.0458329000, 0.0482483000, 0.0555332000, 0.0714200000, 0.1104856000, 0.2221938000, 0.5997740000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.0217826000, 0.0250793000, 0.0351748000, 0.0656641000, 0.1645757000, 0.4847902000, 1.4966254000", \ - "0.0217192000, 0.0251657000, 0.0351709000, 0.0655520000, 0.1645461000, 0.4856233000, 1.4954016000", \ - "0.0216438000, 0.0249921000, 0.0351689000, 0.0655882000, 0.1644174000, 0.4857930000, 1.5020180000", \ - "0.0217707000, 0.0251459000, 0.0351911000, 0.0656662000, 0.1645659000, 0.4859767000, 1.5014339000", \ - "0.0241946000, 0.0275911000, 0.0374944000, 0.0672968000, 0.1651024000, 0.4853690000, 1.5002748000", \ - "0.0300189000, 0.0334956000, 0.0434068000, 0.0717597000, 0.1672402000, 0.4846292000, 1.5009577000", \ - "0.0419524000, 0.0460396000, 0.0568670000, 0.0842984000, 0.1717422000, 0.4867660000, 1.4943005000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.1659593000, 0.1699580000, 0.1801087000, 0.2025717000, 0.2488245000, 0.3550502000, 0.6531742000", \ - "0.1697471000, 0.1737589000, 0.1839673000, 0.2062994000, 0.2527352000, 0.3590615000, 0.6575951000", \ - "0.1806133000, 0.1846076000, 0.1947719000, 0.2172186000, 0.2637283000, 0.3698745000, 0.6684038000", \ - "0.2089576000, 0.2129474000, 0.2232156000, 0.2456628000, 0.2918670000, 0.3982139000, 0.6961389000", \ - "0.2778588000, 0.2818144000, 0.2919300000, 0.3141714000, 0.3606794000, 0.4670780000, 0.7653905000", \ - "0.4178961000, 0.4225921000, 0.4344198000, 0.4592391000, 0.5078183000, 0.6162700000, 0.9144167000", \ - "0.6450177000, 0.6510916000, 0.6668961000, 0.6992435000, 0.7571170000, 0.8714034000, 1.1730685000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.0889071000, 0.0928482000, 0.1035918000, 0.1311070000, 0.2059296000, 0.4326687000, 1.1431170000", \ - "0.0934831000, 0.0974630000, 0.1081929000, 0.1356506000, 0.2103252000, 0.4370899000, 1.1489993000", \ - "0.1018474000, 0.1058310000, 0.1165278000, 0.1440424000, 0.2189357000, 0.4458589000, 1.1562686000", \ - "0.1190214000, 0.1229690000, 0.1336979000, 0.1611006000, 0.2359574000, 0.4635208000, 1.1732735000", \ - "0.1482972000, 0.1526232000, 0.1639605000, 0.1923174000, 0.2674435000, 0.4949934000, 1.2056275000", \ - "0.1829577000, 0.1880628000, 0.2011152000, 0.2315486000, 0.3079807000, 0.5352908000, 1.2468302000", \ - "0.1971986000, 0.2040263000, 0.2211204000, 0.2580851000, 0.3382580000, 0.5657033000, 1.2767882000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.0279075000, 0.0303049000, 0.0367028000, 0.0514452000, 0.0912200000, 0.2080728000, 0.5978668000", \ - "0.0278123000, 0.0301716000, 0.0364073000, 0.0513425000, 0.0911325000, 0.2080020000, 0.5990250000", \ - "0.0279543000, 0.0303580000, 0.0366102000, 0.0514723000, 0.0910987000, 0.2080174000, 0.5991243000", \ - "0.0279229000, 0.0302788000, 0.0365821000, 0.0516627000, 0.0911794000, 0.2079790000, 0.5966967000", \ - "0.0280296000, 0.0303921000, 0.0364812000, 0.0513703000, 0.0911654000, 0.2081098000, 0.5991347000", \ - "0.0374374000, 0.0394381000, 0.0459791000, 0.0597929000, 0.0965985000, 0.2109099000, 0.5971756000", \ - "0.0550295000, 0.0583218000, 0.0655288000, 0.0812743000, 0.1154600000, 0.2221475000, 0.6002011000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015796300, 0.0049904500, 0.0157661000, 0.0498092000, 0.1573600000, 0.4971410000"); - values("0.0217698000, 0.0252174000, 0.0352109000, 0.0656008000, 0.1644934000, 0.4849320000, 1.5000345000", \ - "0.0217357000, 0.0251009000, 0.0351796000, 0.0657151000, 0.1644027000, 0.4855874000, 1.5032762000", \ - "0.0218107000, 0.0252288000, 0.0352618000, 0.0657574000, 0.1640145000, 0.4854719000, 1.4939184000", \ - "0.0221317000, 0.0255778000, 0.0356904000, 0.0658903000, 0.1642635000, 0.4858366000, 1.4990499000", \ - "0.0248205000, 0.0284022000, 0.0380915000, 0.0680693000, 0.1652635000, 0.4845991000, 1.5041272000", \ - "0.0317110000, 0.0353218000, 0.0449028000, 0.0735641000, 0.1676112000, 0.4843718000, 1.5032625000", \ - "0.0448086000, 0.0490774000, 0.0601870000, 0.0870298000, 0.1740576000, 0.4863152000, 1.4958714000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o2bb2ai_1") { - leakage_power () { - value : 0.0015506000; - when : "!A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0005608000; - when : "!A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0008393000; - when : "!A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0020079000; - when : "!A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0017496000; - when : "!A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0007606000; - when : "!A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0010383000; - when : "!A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0022068000; - when : "!A1_N&A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0018404000; - when : "A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0008514000; - when : "A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0011291000; - when : "A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0022976000; - when : "A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0032398000; - when : "A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0029891000; - when : "A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0032397000; - when : "A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0032408000; - when : "A1_N&A2_N&B1&!B2"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__o2bb2ai"; - cell_leakage_power : 0.0018463660; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1_N") { - capacitance : 0.0023580000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039617000, 0.0039622000, 0.0039634000, 0.0039655000, 0.0039703000, 0.0039814000, 0.0040070000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003960900, -0.003959400, -0.003956000, -0.003956400, -0.003957300, -0.003959300, -0.003963900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024610000; - } - pin ("A2_N") { - capacitance : 0.0025040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0024140000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048431000, 0.0048449000, 0.0048490000, 0.0048503000, 0.0048535000, 0.0048607000, 0.0048773000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004020400, -0.004022300, -0.004026600, -0.004020800, -0.004007400, -0.003976600, -0.003905400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025930000; - } - pin ("B1") { - capacitance : 0.0023240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022180000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039670000, 0.0039673000, 0.0039681000, 0.0039700000, 0.0039744000, 0.0039845000, 0.0040079000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003650000, -0.003705800, -0.003834300, -0.003837900, -0.003846200, -0.003865200, -0.003909000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024290000; - } - pin ("B2") { - capacitance : 0.0023790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022220000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0028120000, 0.0028085000, 0.0028006000, 0.0028083000, 0.0028262000, 0.0028673000, 0.0029621000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002020200, -0.002011100, -0.001990300, -0.001991600, -0.001994500, -0.002001300, -0.002017000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025360000; - } - pin ("Y") { - direction : "output"; - function : "(!B1&!B2) | (A1_N&A2_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0149196000, 0.0139432000, 0.0116370000, 0.0061802000, -0.006720300, -0.036781500, -0.106485400", \ - "0.0147644000, 0.0137811000, 0.0115007000, 0.0060285000, -0.006867600, -0.036933600, -0.106651900", \ - "0.0145315000, 0.0135459000, 0.0112457000, 0.0057805000, -0.007097100, -0.037132800, -0.106878300", \ - "0.0143525000, 0.0133817000, 0.0110659000, 0.0056201000, -0.007230300, -0.037249200, -0.106919000", \ - "0.0141670000, 0.0131794000, 0.0108663000, 0.0054317000, -0.007374300, -0.037339700, -0.106999300", \ - "0.0143865000, 0.0133677000, 0.0109792000, 0.0054027000, -0.007024100, -0.036970300, -0.106548600", \ - "0.0161793000, 0.0150719000, 0.0127924000, 0.0072526000, -0.005595600, -0.035425400, -0.104684500"); - } - related_pin : "A1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0136865000, 0.0148979000, 0.0175987000, 0.0235058000, 0.0366043000, 0.0665354000, 0.1353599000", \ - "0.0135244000, 0.0147509000, 0.0174427000, 0.0233440000, 0.0364629000, 0.0663137000, 0.1352676000", \ - "0.0132733000, 0.0144798000, 0.0171626000, 0.0230733000, 0.0362146000, 0.0660650000, 0.1349248000", \ - "0.0129696000, 0.0141403000, 0.0167929000, 0.0226938000, 0.0358760000, 0.0658313000, 0.1347795000", \ - "0.0128073000, 0.0139308000, 0.0165064000, 0.0223840000, 0.0355738000, 0.0656526000, 0.1346289000", \ - "0.0130675000, 0.0142009000, 0.0166943000, 0.0225016000, 0.0355506000, 0.0656356000, 0.1346802000", \ - "0.0141797000, 0.0152618000, 0.0177696000, 0.0236084000, 0.0368610000, 0.0666005000, 0.1358723000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0117936000, 0.0108182000, 0.0084667000, 0.0028909000, -0.010101400, -0.040269100, -0.110045400", \ - "0.0114654000, 0.0104877000, 0.0081280000, 0.0025950000, -0.010402100, -0.040558600, -0.110369300", \ - "0.0111501000, 0.0101546000, 0.0078195000, 0.0022820000, -0.010689000, -0.040846200, -0.110612600", \ - "0.0108156000, 0.0098176000, 0.0074693000, 0.0019501000, -0.010951700, -0.041056800, -0.110776100", \ - "0.0107252000, 0.0097226000, 0.0073837000, 0.0018836000, -0.011021100, -0.041071700, -0.110790200", \ - "0.0108300000, 0.0098121000, 0.0074773000, 0.0018564000, -0.010682900, -0.040708500, -0.110368600", \ - "0.0122704000, 0.0113514000, 0.0088335000, 0.0033821000, -0.009578900, -0.039416100, -0.109014500"); - } - related_pin : "A2_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0138106000, 0.0150259000, 0.0176880000, 0.0236180000, 0.0367296000, 0.0666275000, 0.1355024000", \ - "0.0136084000, 0.0148254000, 0.0175236000, 0.0233916000, 0.0365265000, 0.0663705000, 0.1351704000", \ - "0.0132937000, 0.0144877000, 0.0171584000, 0.0230677000, 0.0362235000, 0.0661266000, 0.1349376000", \ - "0.0129658000, 0.0141080000, 0.0167334000, 0.0226231000, 0.0358168000, 0.0658464000, 0.1346410000", \ - "0.0127454000, 0.0138353000, 0.0164345000, 0.0222745000, 0.0355026000, 0.0656017000, 0.1344964000", \ - "0.0132857000, 0.0143869000, 0.0169281000, 0.0226065000, 0.0355537000, 0.0657292000, 0.1347539000", \ - "0.0145251000, 0.0156487000, 0.0181430000, 0.0238754000, 0.0369302000, 0.0665874000, 0.1360664000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0063486000, 0.0053151000, 0.0028913000, -0.002716700, -0.015746600, -0.045919100, -0.115678700", \ - "0.0062780000, 0.0052533000, 0.0028409000, -0.002758000, -0.015779100, -0.045952700, -0.115720300", \ - "0.0062540000, 0.0052196000, 0.0028376000, -0.002748000, -0.015736900, -0.045879900, -0.115680900", \ - "0.0060519000, 0.0050410000, 0.0026588000, -0.002867900, -0.015829200, -0.045938900, -0.115683300", \ - "0.0061282000, 0.0051020000, 0.0025873000, -0.002963500, -0.015899700, -0.045957900, -0.115684400", \ - "0.0062889000, 0.0052315000, 0.0027764000, -0.002903100, -0.016037700, -0.046101800, -0.115756700", \ - "0.0070895000, 0.0059794000, 0.0034900000, -0.002301900, -0.015473100, -0.045877300, -0.115668100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0082153000, 0.0093007000, 0.0117467000, 0.0173944000, 0.0303274000, 0.0601918000, 0.1291677000", \ - "0.0080576000, 0.0091597000, 0.0116181000, 0.0172969000, 0.0302764000, 0.0601317000, 0.1291376000", \ - "0.0078891000, 0.0089742000, 0.0114563000, 0.0171576000, 0.0301799000, 0.0600722000, 0.1290244000", \ - "0.0077609000, 0.0088417000, 0.0113092000, 0.0169983000, 0.0300515000, 0.0600557000, 0.1289762000", \ - "0.0076663000, 0.0087297000, 0.0112140000, 0.0169095000, 0.0299236000, 0.0598423000, 0.1288299000", \ - "0.0076314000, 0.0086765000, 0.0111284000, 0.0168494000, 0.0299292000, 0.0598961000, 0.1288040000", \ - "0.0080297000, 0.0090433000, 0.0114160000, 0.0168482000, 0.0300964000, 0.0600037000, 0.1289575000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0029843000, 0.0020234000, -0.000289400, -0.005855000, -0.018883400, -0.049077400, -0.118909100", \ - "0.0028095000, 0.0018875000, -0.000373500, -0.005873700, -0.018865700, -0.049047800, -0.118847900", \ - "0.0025199000, 0.0016238000, -0.000593800, -0.006011300, -0.018926300, -0.049057600, -0.118835100", \ - "0.0022864000, 0.0013325000, -0.000894500, -0.006255800, -0.019080800, -0.049131400, -0.118857300", \ - "0.0024180000, 0.0013981000, -0.000963100, -0.006536900, -0.019317600, -0.049255700, -0.118914700", \ - "0.0025143000, 0.0014465000, -0.000956400, -0.006547200, -0.019549800, -0.049507000, -0.119086800", \ - "0.0033756000, 0.0022076000, -0.000362300, -0.006169200, -0.019325300, -0.049451900, -0.119203900"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011550730, 0.0026683870, 0.0061643630, 0.0142405800, 0.0328978100, 0.0759987400"); - values("0.0074806000, 0.0085495000, 0.0110398000, 0.0166951000, 0.0296809000, 0.0595667000, 0.1284435000", \ - "0.0071883000, 0.0082875000, 0.0108106000, 0.0165365000, 0.0295699000, 0.0594164000, 0.1284054000", \ - "0.0069853000, 0.0080978000, 0.0105805000, 0.0163443000, 0.0294560000, 0.0593718000, 0.1283638000", \ - "0.0068735000, 0.0079510000, 0.0104608000, 0.0161051000, 0.0291782000, 0.0592358000, 0.1282671000", \ - "0.0068371000, 0.0078909000, 0.0103304000, 0.0160060000, 0.0289882000, 0.0590200000, 0.1280383000", \ - "0.0072042000, 0.0082278000, 0.0106159000, 0.0161807000, 0.0290049000, 0.0588669000, 0.1278501000", \ - "0.0083280000, 0.0093709000, 0.0118400000, 0.0174994000, 0.0302362000, 0.0598894000, 0.1278997000"); - } - } - max_capacitance : 0.0759990000; - max_transition : 1.5018510000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0886377000, 0.0933117000, 0.1031988000, 0.1241191000, 0.1697734000, 0.2727433000, 0.5092450000", \ - "0.0941267000, 0.0987639000, 0.1086780000, 0.1296730000, 0.1752997000, 0.2783226000, 0.5147238000", \ - "0.1073727000, 0.1120874000, 0.1219865000, 0.1429619000, 0.1883052000, 0.2915999000, 0.5281482000", \ - "0.1385968000, 0.1431652000, 0.1531020000, 0.1740892000, 0.2198588000, 0.3229085000, 0.5595056000", \ - "0.2003243000, 0.2050491000, 0.2154580000, 0.2368050000, 0.2826997000, 0.3859233000, 0.6223663000", \ - "0.2976533000, 0.3031597000, 0.3144441000, 0.3369260000, 0.3835216000, 0.4872773000, 0.7240551000", \ - "0.4512422000, 0.4579811000, 0.4708792000, 0.4966719000, 0.5469427000, 0.6510041000, 0.8880748000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0721761000, 0.0781991000, 0.0909083000, 0.1178117000, 0.1772353000, 0.3115050000, 0.6213721000", \ - "0.0763561000, 0.0824163000, 0.0952146000, 0.1220125000, 0.1815006000, 0.3157688000, 0.6268236000", \ - "0.0854875000, 0.0915160000, 0.1040888000, 0.1311216000, 0.1902489000, 0.3249548000, 0.6349392000", \ - "0.1043419000, 0.1103439000, 0.1230787000, 0.1499969000, 0.2092602000, 0.3442051000, 0.6545536000", \ - "0.1329283000, 0.1390778000, 0.1522884000, 0.1795188000, 0.2392671000, 0.3744320000, 0.6861522000", \ - "0.1658794000, 0.1731938000, 0.1870998000, 0.2154271000, 0.2749639000, 0.4106339000, 0.7213219000", \ - "0.1861348000, 0.1955657000, 0.2130560000, 0.2450688000, 0.3063320000, 0.4417150000, 0.7527874000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0271250000, 0.0319601000, 0.0431390000, 0.0683184000, 0.1273394000, 0.2647069000, 0.5833755000", \ - "0.0271370000, 0.0319672000, 0.0431527000, 0.0683967000, 0.1275779000, 0.2650245000, 0.5828832000", \ - "0.0270906000, 0.0319555000, 0.0430731000, 0.0684128000, 0.1275125000, 0.2646083000, 0.5833948000", \ - "0.0272095000, 0.0322424000, 0.0432510000, 0.0683927000, 0.1275700000, 0.2646595000, 0.5833742000", \ - "0.0293832000, 0.0345835000, 0.0453426000, 0.0699184000, 0.1280560000, 0.2643940000, 0.5839992000", \ - "0.0357643000, 0.0405459000, 0.0512016000, 0.0748086000, 0.1309811000, 0.2660151000, 0.5834171000", \ - "0.0482573000, 0.0535216000, 0.0648653000, 0.0872236000, 0.1385464000, 0.2693355000, 0.5846822000"); - } - related_pin : "A1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0346426000, 0.0413370000, 0.0573307000, 0.0940954000, 0.1769889000, 0.3659794000, 0.8036689000", \ - "0.0345476000, 0.0413866000, 0.0573022000, 0.0941306000, 0.1769525000, 0.3662299000, 0.8034823000", \ - "0.0346535000, 0.0413294000, 0.0573915000, 0.0941371000, 0.1769732000, 0.3661522000, 0.8035627000", \ - "0.0356489000, 0.0422296000, 0.0578485000, 0.0943438000, 0.1769884000, 0.3662411000, 0.8035693000", \ - "0.0394525000, 0.0453806000, 0.0603883000, 0.0958573000, 0.1776444000, 0.3662816000, 0.8031206000", \ - "0.0481013000, 0.0533346000, 0.0665408000, 0.0992322000, 0.1789034000, 0.3672607000, 0.8026633000", \ - "0.0672409000, 0.0710275000, 0.0812713000, 0.1096514000, 0.1832692000, 0.3679640000, 0.8052328000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0846219000, 0.0891427000, 0.0989050000, 0.1196661000, 0.1650367000, 0.2677447000, 0.5037122000", \ - "0.0894113000, 0.0939786000, 0.1038560000, 0.1246459000, 0.1700196000, 0.2726782000, 0.5087535000", \ - "0.1027365000, 0.1074249000, 0.1172413000, 0.1381067000, 0.1835679000, 0.2863491000, 0.5223422000", \ - "0.1346078000, 0.1391965000, 0.1490711000, 0.1697251000, 0.2153677000, 0.3183274000, 0.5545878000", \ - "0.1948234000, 0.1997237000, 0.2101911000, 0.2318411000, 0.2776653000, 0.3806084000, 0.6167410000", \ - "0.2924149000, 0.2976970000, 0.3096233000, 0.3327327000, 0.3795375000, 0.4833169000, 0.7199387000", \ - "0.4499826000, 0.4570657000, 0.4715544000, 0.4990856000, 0.5489311000, 0.6520604000, 0.8876393000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0690644000, 0.0751180000, 0.0878608000, 0.1146378000, 0.1740391000, 0.3084328000, 0.6185518000", \ - "0.0729557000, 0.0790004000, 0.0916780000, 0.1185270000, 0.1780553000, 0.3126248000, 0.6223632000", \ - "0.0828222000, 0.0887986000, 0.1013629000, 0.1283893000, 0.1874779000, 0.3222998000, 0.6322357000", \ - "0.1026078000, 0.1086986000, 0.1213245000, 0.1482920000, 0.2079906000, 0.3431864000, 0.6532918000", \ - "0.1288853000, 0.1348200000, 0.1477525000, 0.1751616000, 0.2351691000, 0.3705477000, 0.6812840000", \ - "0.1559234000, 0.1629201000, 0.1768224000, 0.2044105000, 0.2641583000, 0.4005785000, 0.7111511000", \ - "0.1620403000, 0.1711494000, 0.1885031000, 0.2197667000, 0.2789094000, 0.4145193000, 0.7253356000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0269479000, 0.0321067000, 0.0431296000, 0.0683784000, 0.1272141000, 0.2643361000, 0.5829527000", \ - "0.0269813000, 0.0321117000, 0.0431129000, 0.0683390000, 0.1273718000, 0.2645070000, 0.5837351000", \ - "0.0271104000, 0.0319560000, 0.0431409000, 0.0683038000, 0.1271693000, 0.2643495000, 0.5829741000", \ - "0.0272180000, 0.0324021000, 0.0432898000, 0.0684381000, 0.1272367000, 0.2648231000, 0.5834444000", \ - "0.0302740000, 0.0353538000, 0.0463393000, 0.0706393000, 0.1283174000, 0.2642935000, 0.5832189000", \ - "0.0377403000, 0.0428080000, 0.0534222000, 0.0764364000, 0.1320303000, 0.2663483000, 0.5844675000", \ - "0.0513884000, 0.0571842000, 0.0681470000, 0.0908760000, 0.1420048000, 0.2705590000, 0.5848022000"); - } - related_pin : "A2_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0345347000, 0.0412884000, 0.0573131000, 0.0941494000, 0.1769841000, 0.3662256000, 0.8036233000", \ - "0.0345523000, 0.0412989000, 0.0573125000, 0.0941480000, 0.1768837000, 0.3662012000, 0.8044987000", \ - "0.0346688000, 0.0413469000, 0.0573661000, 0.0941459000, 0.1769718000, 0.3662465000, 0.8036306000", \ - "0.0362455000, 0.0427581000, 0.0583788000, 0.0944786000, 0.1769660000, 0.3662163000, 0.8051769000", \ - "0.0397069000, 0.0459472000, 0.0608396000, 0.0966502000, 0.1784425000, 0.3665047000, 0.8038836000", \ - "0.0493006000, 0.0538702000, 0.0663979000, 0.0994287000, 0.1794016000, 0.3685211000, 0.8049735000", \ - "0.0697581000, 0.0729953000, 0.0826110000, 0.1096954000, 0.1831709000, 0.3685983000, 0.8061428000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0384419000, 0.0426381000, 0.0519095000, 0.0720787000, 0.1172783000, 0.2199361000, 0.4564029000", \ - "0.0428841000, 0.0470935000, 0.0563648000, 0.0765903000, 0.1217774000, 0.2244348000, 0.4608595000", \ - "0.0517650000, 0.0560058000, 0.0653078000, 0.0857261000, 0.1310429000, 0.2338691000, 0.4698215000", \ - "0.0681277000, 0.0733754000, 0.0837568000, 0.1057522000, 0.1512933000, 0.2545578000, 0.4910932000", \ - "0.0907771000, 0.0982683000, 0.1136143000, 0.1422195000, 0.1962659000, 0.3025720000, 0.5396767000", \ - "0.1121301000, 0.1236085000, 0.1474928000, 0.1921054000, 0.2702696000, 0.4028408000, 0.6516715000", \ - "0.1104710000, 0.1291257000, 0.1674697000, 0.2387501000, 0.3615495000, 0.5588040000, 0.8779302000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0847105000, 0.0944867000, 0.1161902000, 0.1653364000, 0.2773384000, 0.5344660000, 1.1270707000", \ - "0.0897641000, 0.0996770000, 0.1213285000, 0.1708112000, 0.2829300000, 0.5402795000, 1.1330169000", \ - "0.1021076000, 0.1115544000, 0.1337999000, 0.1833443000, 0.2957743000, 0.5533957000, 1.1462920000", \ - "0.1285344000, 0.1381413000, 0.1597398000, 0.2093051000, 0.3219985000, 0.5799470000, 1.1731862000", \ - "0.1800580000, 0.1917432000, 0.2168905000, 0.2683902000, 0.3810008000, 0.6393165000, 1.2328448000", \ - "0.2672747000, 0.2834744000, 0.3171921000, 0.3850432000, 0.5156783000, 0.7758550000, 1.3696124000", \ - "0.4090142000, 0.4339665000, 0.4860771000, 0.5846693000, 0.7642056000, 1.0807066000, 1.6855077000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0246027000, 0.0297556000, 0.0412209000, 0.0671398000, 0.1268103000, 0.2642782000, 0.5824464000", \ - "0.0245793000, 0.0296757000, 0.0411067000, 0.0671921000, 0.1267360000, 0.2644141000, 0.5827423000", \ - "0.0249978000, 0.0299014000, 0.0411346000, 0.0672129000, 0.1269850000, 0.2647722000, 0.5837136000", \ - "0.0311939000, 0.0359609000, 0.0464305000, 0.0701612000, 0.1276537000, 0.2645712000, 0.5832653000", \ - "0.0480102000, 0.0537454000, 0.0658329000, 0.0899537000, 0.1412737000, 0.2685033000, 0.5828045000", \ - "0.0821596000, 0.0905524000, 0.1058377000, 0.1366416000, 0.1938095000, 0.3107651000, 0.5962096000", \ - "0.1462043000, 0.1581116000, 0.1814113000, 0.2260376000, 0.3017208000, 0.4378966000, 0.7051804000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0640169000, 0.0764214000, 0.1046478000, 0.1703648000, 0.3223755000, 0.6712829000, 1.4790740000", \ - "0.0640085000, 0.0763922000, 0.1046259000, 0.1703728000, 0.3221236000, 0.6713642000, 1.4793797000", \ - "0.0640540000, 0.0763930000, 0.1045742000, 0.1703895000, 0.3225026000, 0.6723253000, 1.4778657000", \ - "0.0653856000, 0.0771220000, 0.1048102000, 0.1703996000, 0.3221197000, 0.6718485000, 1.4785475000", \ - "0.0822346000, 0.0937006000, 0.1182398000, 0.1775317000, 0.3229506000, 0.6721465000, 1.4809400000", \ - "0.1226894000, 0.1352352000, 0.1632266000, 0.2230545000, 0.3523702000, 0.6777190000, 1.4804733000", \ - "0.2008486000, 0.2184785000, 0.2557179000, 0.3260119000, 0.4694377000, 0.7610427000, 1.4969086000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0295420000, 0.0333741000, 0.0416465000, 0.0600494000, 0.1010984000, 0.1953335000, 0.4129031000", \ - "0.0339155000, 0.0378330000, 0.0462782000, 0.0647155000, 0.1058483000, 0.2003377000, 0.4172748000", \ - "0.0422930000, 0.0465321000, 0.0552178000, 0.0740122000, 0.1155906000, 0.2098911000, 0.4269616000", \ - "0.0542903000, 0.0600636000, 0.0716149000, 0.0935408000, 0.1361369000, 0.2313905000, 0.4490744000", \ - "0.0666194000, 0.0756390000, 0.0931355000, 0.1250085000, 0.1805755000, 0.2800140000, 0.4986791000", \ - "0.0686040000, 0.0830906000, 0.1116104000, 0.1617684000, 0.2450024000, 0.3800341000, 0.6118460000", \ - "0.0288541000, 0.0532522000, 0.1007116000, 0.1828636000, 0.3151668000, 0.5183333000, 0.8349410000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0728056000, 0.0825677000, 0.1043992000, 0.1536968000, 0.2658787000, 0.5231505000, 1.1170800000", \ - "0.0753964000, 0.0856101000, 0.1074989000, 0.1573155000, 0.2699438000, 0.5276617000, 1.1216366000", \ - "0.0867471000, 0.0963050000, 0.1178285000, 0.1679936000, 0.2810450000, 0.5390977000, 1.1330729000", \ - "0.1155822000, 0.1250246000, 0.1467211000, 0.1954324000, 0.3086132000, 0.5669313000, 1.1605947000", \ - "0.1765048000, 0.1891403000, 0.2151104000, 0.2653257000, 0.3766986000, 0.6348362000, 1.2288405000", \ - "0.2752061000, 0.2943358000, 0.3336195000, 0.4080483000, 0.5373891000, 0.7936778000, 1.3839930000", \ - "0.4395635000, 0.4675950000, 0.5253882000, 0.6386565000, 0.8385737000, 1.1626594000, 1.7488976000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0156466000, 0.0203732000, 0.0309809000, 0.0549654000, 0.1101928000, 0.2381700000, 0.5275662000", \ - "0.0157000000, 0.0203846000, 0.0310522000, 0.0548614000, 0.1100945000, 0.2377401000, 0.5278678000", \ - "0.0172648000, 0.0214779000, 0.0315423000, 0.0548964000, 0.1096344000, 0.2356447000, 0.5267606000", \ - "0.0249598000, 0.0294181000, 0.0392352000, 0.0598457000, 0.1112195000, 0.2360817000, 0.5273847000", \ - "0.0425666000, 0.0482650000, 0.0600081000, 0.0825715000, 0.1298360000, 0.2420542000, 0.5308812000", \ - "0.0769711000, 0.0851474000, 0.1014417000, 0.1316535000, 0.1855766000, 0.2954378000, 0.5456898000", \ - "0.1450805000, 0.1567443000, 0.1793221000, 0.2228774000, 0.2966207000, 0.4264221000, 0.6697894000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011550700, 0.0026683900, 0.0061643600, 0.0142406000, 0.0328978000, 0.0759987000"); - values("0.0646504000, 0.0768055000, 0.1053515000, 0.1710884000, 0.3222218000, 0.6724806000, 1.4816433000", \ - "0.0647561000, 0.0768130000, 0.1054073000, 0.1711357000, 0.3223569000, 0.6746001000, 1.4819025000", \ - "0.0644487000, 0.0768058000, 0.1052112000, 0.1707169000, 0.3226443000, 0.6714982000, 1.4812548000", \ - "0.0677837000, 0.0788956000, 0.1057489000, 0.1708639000, 0.3221219000, 0.6723755000, 1.4794264000", \ - "0.0945958000, 0.1057825000, 0.1269448000, 0.1818070000, 0.3228569000, 0.6722947000, 1.4789983000", \ - "0.1441728000, 0.1600325000, 0.1917605000, 0.2501022000, 0.3652807000, 0.6776079000, 1.4814793000", \ - "0.2245456000, 0.2489061000, 0.2972317000, 0.3847518000, 0.5351324000, 0.7939341000, 1.5018514000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o2bb2ai_2") { - leakage_power () { - value : 0.0044389000; - when : "A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0037635000; - when : "A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0044452000; - when : "A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0044382000; - when : "A1_N&A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0045657000; - when : "!A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0046256000; - when : "!A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0034679000; - when : "!A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0057336000; - when : "!A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0027306000; - when : "!A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0019005000; - when : "!A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0015994000; - when : "!A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0028908000; - when : "!A1_N&A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0030930000; - when : "A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0022633000; - when : "A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0019625000; - when : "A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0032537000; - when : "A1_N&!A2_N&B1&!B2"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__o2bb2ai"; - cell_leakage_power : 0.0034482750; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1_N") { - capacitance : 0.0049000000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0046740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0085035000, 0.0084950000, 0.0084754000, 0.0084786000, 0.0084860000, 0.0085032000, 0.0085427000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008453100, -0.008454300, -0.008457200, -0.008458700, -0.008462100, -0.008470100, -0.008488500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0051250000; - } - pin ("A2_N") { - capacitance : 0.0044510000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087885000, 0.0087798000, 0.0087599000, 0.0087564000, 0.0087483000, 0.0087297000, 0.0086867000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006684200, -0.006681900, -0.006676800, -0.006665300, -0.006638800, -0.006577700, -0.006437000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046450000; - } - pin ("B1") { - capacitance : 0.0048090000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0045670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0084928000, 0.0084986000, 0.0085120000, 0.0085134000, 0.0085166000, 0.0085239000, 0.0085409000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007407200, -0.007515800, -0.007766300, -0.007778500, -0.007806800, -0.007871900, -0.008022100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0050500000; - } - pin ("B2") { - capacitance : 0.0043440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0035472000, 0.0035387000, 0.0035193000, 0.0035309000, 0.0035577000, 0.0036193000, 0.0037615000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003400900, -0.003396700, -0.003387200, -0.003386200, -0.003383800, -0.003378300, -0.003365700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046410000; - } - pin ("Y") { - direction : "output"; - function : "(!B1&!B2) | (A1_N&A2_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012705110, 0.0032283970, 0.0082034290, 0.0208450900, 0.0529678500, 0.1345925000"); - values("0.0280865000, 0.0269116000, 0.0239232000, 0.0161958000, -0.003880100, -0.055627200, -0.187611400", \ - "0.0276907000, 0.0265252000, 0.0235420000, 0.0158180000, -0.004260800, -0.056022800, -0.187971000", \ - "0.0272289000, 0.0260495000, 0.0230659000, 0.0153526000, -0.004699400, -0.056399000, -0.188402500", \ - "0.0267807000, 0.0256140000, 0.0226207000, 0.0149480000, -0.005103800, -0.056725800, -0.188630100", \ - "0.0264435000, 0.0252870000, 0.0222926000, 0.0146062000, -0.005164300, -0.056704100, -0.188545600", \ - "0.0272355000, 0.0260335000, 0.0229276000, 0.0150159000, -0.004532800, -0.055962400, -0.187772400", \ - "0.0303780000, 0.0291740000, 0.0260181000, 0.0180436000, -0.002415500, -0.054183500, -0.185175100"); - } - related_pin : "A1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012705110, 0.0032283970, 0.0082034290, 0.0208450900, 0.0529678500, 0.1345925000"); - values("0.0252773000, 0.0267124000, 0.0303050000, 0.0388763000, 0.0596090000, 0.1111388000, 0.2414576000", \ - "0.0249305000, 0.0263719000, 0.0299490000, 0.0385423000, 0.0593280000, 0.1109019000, 0.2410973000", \ - "0.0244000000, 0.0258280000, 0.0293803000, 0.0379554000, 0.0587678000, 0.1103181000, 0.2408033000", \ - "0.0237674000, 0.0251574000, 0.0286420000, 0.0371669000, 0.0580258000, 0.1097393000, 0.2403956000", \ - "0.0234805000, 0.0248467000, 0.0282062000, 0.0366576000, 0.0574701000, 0.1093429000, 0.2399541000", \ - "0.0238030000, 0.0250983000, 0.0284397000, 0.0367768000, 0.0571265000, 0.1091474000, 0.2399667000", \ - "0.0257377000, 0.0269948000, 0.0302210000, 0.0385039000, 0.0593139000, 0.1107256000, 0.2413817000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012705110, 0.0032283970, 0.0082034290, 0.0208450900, 0.0529678500, 0.1345925000"); - values("0.0218522000, 0.0207098000, 0.0177446000, 0.0100662000, -0.010039100, -0.061837300, -0.193788600", \ - "0.0213698000, 0.0202116000, 0.0173095000, 0.0096236000, -0.010481100, -0.062233300, -0.194346200", \ - "0.0208399000, 0.0196797000, 0.0167120000, 0.0090492000, -0.011011800, -0.062761900, -0.194784800", \ - "0.0202646000, 0.0191150000, 0.0161386000, 0.0084429000, -0.011530200, -0.063188300, -0.195175700", \ - "0.0201087000, 0.0189409000, 0.0159650000, 0.0082714000, -0.011697300, -0.063284300, -0.195131100", \ - "0.0206306000, 0.0194056000, 0.0163917000, 0.0084720000, -0.011021900, -0.062492400, -0.194284300", \ - "0.0237689000, 0.0225870000, 0.0193868000, 0.0114814000, -0.008559000, -0.060285200, -0.191442700"); - } - related_pin : "A2_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012705110, 0.0032283970, 0.0082034290, 0.0208450900, 0.0529678500, 0.1345925000"); - values("0.0239572000, 0.0254064000, 0.0289898000, 0.0375969000, 0.0583343000, 0.1097960000, 0.2403094000", \ - "0.0236347000, 0.0250677000, 0.0286432000, 0.0372137000, 0.0580144000, 0.1095485000, 0.2398456000", \ - "0.0230533000, 0.0244666000, 0.0279863000, 0.0365583000, 0.0573529000, 0.1089912000, 0.2395037000", \ - "0.0224410000, 0.0238369000, 0.0272669000, 0.0357493000, 0.0565351000, 0.1083405000, 0.2389124000", \ - "0.0222700000, 0.0235824000, 0.0269555000, 0.0353211000, 0.0560608000, 0.1079925000, 0.2388342000", \ - "0.0231508000, 0.0244483000, 0.0277444000, 0.0358532000, 0.0561546000, 0.1081043000, 0.2391096000", \ - "0.0263528000, 0.0276091000, 0.0309179000, 0.0384606000, 0.0592090000, 0.1102299000, 0.2410461000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012705110, 0.0032283970, 0.0082034290, 0.0208450900, 0.0529678500, 0.1345925000"); - values("0.0131584000, 0.0119279000, 0.0088100000, 0.0008804000, -0.019459900, -0.071347800, -0.203466900", \ - "0.0130105000, 0.0117884000, 0.0086851000, 0.0007778000, -0.019551300, -0.071432800, -0.203538600", \ - "0.0128617000, 0.0116667000, 0.0086091000, 0.0007621000, -0.019513600, -0.071351000, -0.203464500", \ - "0.0124299000, 0.0112368000, 0.0082414000, 0.0004611000, -0.019709800, -0.071530700, -0.203586500", \ - "0.0126743000, 0.0114484000, 0.0082923000, 0.0001917000, -0.019900800, -0.071531100, -0.203525100", \ - "0.0128207000, 0.0115814000, 0.0084330000, 0.0004047000, -0.019942800, -0.071832600, -0.203649500", \ - "0.0143496000, 0.0130733000, 0.0098432000, 0.0016104000, -0.019070400, -0.071212500, -0.203460100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012705110, 0.0032283970, 0.0082034290, 0.0208450900, 0.0529678500, 0.1345925000"); - values("0.0149129000, 0.0161791000, 0.0194103000, 0.0274822000, 0.0478823000, 0.0993131000, 0.2298639000", \ - "0.0144745000, 0.0157732000, 0.0190308000, 0.0272117000, 0.0476144000, 0.0991366000, 0.2295823000", \ - "0.0140887000, 0.0153800000, 0.0186258000, 0.0268258000, 0.0473604000, 0.0989516000, 0.2296525000", \ - "0.0137949000, 0.0150674000, 0.0182893000, 0.0264462000, 0.0470331000, 0.0986511000, 0.2292829000", \ - "0.0135707000, 0.0148350000, 0.0180363000, 0.0261802000, 0.0466107000, 0.0983702000, 0.2291457000", \ - "0.0135237000, 0.0147689000, 0.0179532000, 0.0260940000, 0.0465192000, 0.0984225000, 0.2289262000", \ - "0.0140526000, 0.0152365000, 0.0183078000, 0.0260753000, 0.0468835000, 0.0983351000, 0.2296518000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012705110, 0.0032283970, 0.0082034290, 0.0208450900, 0.0529678500, 0.1345925000"); - values("0.0061910000, 0.0050875000, 0.0021495000, -0.005672700, -0.026015400, -0.078012600, -0.210218300", \ - "0.0059020000, 0.0048430000, 0.0020021000, -0.005697100, -0.025957500, -0.077905100, -0.210096300", \ - "0.0054267000, 0.0043831000, 0.0016270000, -0.005918800, -0.026023700, -0.077877100, -0.210013700", \ - "0.0050516000, 0.0039379000, 0.0011022000, -0.006407600, -0.026291600, -0.077985500, -0.210027600", \ - "0.0054099000, 0.0042296000, 0.0011559000, -0.006844600, -0.026726300, -0.078219700, -0.210109400", \ - "0.0055321000, 0.0042526000, 0.0011266000, -0.006822200, -0.027018300, -0.078678900, -0.210402500", \ - "0.0073722000, 0.0059600000, 0.0025841000, -0.005848800, -0.026524200, -0.078530900, -0.210527800"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012705110, 0.0032283970, 0.0082034290, 0.0208450900, 0.0529678500, 0.1345925000"); - values("0.0144607000, 0.0157390000, 0.0189695000, 0.0270747000, 0.0473653000, 0.0988986000, 0.2293106000", \ - "0.0140597000, 0.0153597000, 0.0186369000, 0.0267788000, 0.0471968000, 0.0986833000, 0.2292999000", \ - "0.0136490000, 0.0149489000, 0.0182349000, 0.0263933000, 0.0469891000, 0.0985409000, 0.2292959000", \ - "0.0133304000, 0.0146033000, 0.0178414000, 0.0260610000, 0.0465023000, 0.0982473000, 0.2289951000", \ - "0.0134293000, 0.0146835000, 0.0178310000, 0.0258702000, 0.0460079000, 0.0978494000, 0.2285008000", \ - "0.0145787000, 0.0158336000, 0.0186404000, 0.0265554000, 0.0465843000, 0.0978789000, 0.2281512000", \ - "0.0168195000, 0.0182300000, 0.0216236000, 0.0281389000, 0.0481808000, 0.0986729000, 0.2285031000"); - } - } - max_capacitance : 0.1345920000; - max_transition : 1.4911730000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0865868000, 0.0894919000, 0.0961374000, 0.1112314000, 0.1463111000, 0.2313916000, 0.4452218000", \ - "0.0919131000, 0.0947541000, 0.1013303000, 0.1165770000, 0.1515984000, 0.2367936000, 0.4506375000", \ - "0.1050692000, 0.1079926000, 0.1146878000, 0.1299083000, 0.1649718000, 0.2501855000, 0.4641792000", \ - "0.1369265000, 0.1397116000, 0.1464990000, 0.1616350000, 0.1970710000, 0.2823917000, 0.4961661000", \ - "0.2010460000, 0.2041213000, 0.2111330000, 0.2269278000, 0.2625304000, 0.3480060000, 0.5622092000", \ - "0.3048113000, 0.3081475000, 0.3159582000, 0.3329123000, 0.3693744000, 0.4555522000, 0.6700310000", \ - "0.4715441000, 0.4758761000, 0.4855961000, 0.5055956000, 0.5457938000, 0.6329866000, 0.8475504000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0687866000, 0.0730653000, 0.0829573000, 0.1054368000, 0.1586286000, 0.2902072000, 0.6240842000", \ - "0.0730133000, 0.0772624000, 0.0871570000, 0.1096509000, 0.1629159000, 0.2946556000, 0.6283687000", \ - "0.0821031000, 0.0863680000, 0.0960731000, 0.1185790000, 0.1719042000, 0.3036850000, 0.6364498000", \ - "0.1003242000, 0.1045761000, 0.1144801000, 0.1369361000, 0.1901876000, 0.3229530000, 0.6566913000", \ - "0.1265796000, 0.1310356000, 0.1411983000, 0.1641660000, 0.2181178000, 0.3506449000, 0.6841725000", \ - "0.1544812000, 0.1597729000, 0.1711602000, 0.1951021000, 0.2492138000, 0.3820741000, 0.7168436000", \ - "0.1626287000, 0.1696524000, 0.1841463000, 0.2123654000, 0.2683652000, 0.4009517000, 0.7366973000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0245313000, 0.0273432000, 0.0343043000, 0.0514478000, 0.0949813000, 0.2079858000, 0.4966860000", \ - "0.0245301000, 0.0273285000, 0.0343781000, 0.0514311000, 0.0949691000, 0.2082645000, 0.4971916000", \ - "0.0245461000, 0.0273873000, 0.0343161000, 0.0514243000, 0.0948419000, 0.2079184000, 0.4975670000", \ - "0.0248158000, 0.0275990000, 0.0344006000, 0.0514940000, 0.0949502000, 0.2080452000, 0.4973323000", \ - "0.0267270000, 0.0295868000, 0.0364957000, 0.0533459000, 0.0961175000, 0.2081793000, 0.4977140000", \ - "0.0327180000, 0.0358011000, 0.0423521000, 0.0585918000, 0.0998450000, 0.2103320000, 0.4985155000", \ - "0.0449858000, 0.0481035000, 0.0555428000, 0.0715040000, 0.1092359000, 0.2138442000, 0.4996297000"); - } - related_pin : "A1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0313251000, 0.0356027000, 0.0474884000, 0.0777853000, 0.1540273000, 0.3401504000, 0.8100584000", \ - "0.0311318000, 0.0354233000, 0.0473572000, 0.0778398000, 0.1540200000, 0.3400664000, 0.8101180000", \ - "0.0312597000, 0.0355670000, 0.0474582000, 0.0778836000, 0.1540238000, 0.3401745000, 0.8097035000", \ - "0.0324601000, 0.0369332000, 0.0481253000, 0.0782540000, 0.1540474000, 0.3401245000, 0.8101548000", \ - "0.0360500000, 0.0402548000, 0.0509086000, 0.0800848000, 0.1551109000, 0.3404127000, 0.8106223000", \ - "0.0445640000, 0.0477555000, 0.0574481000, 0.0840063000, 0.1564076000, 0.3413878000, 0.8106180000", \ - "0.0640450000, 0.0664070000, 0.0738291000, 0.0963074000, 0.1617718000, 0.3421956000, 0.8120943000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0884103000, 0.0913835000, 0.0983467000, 0.1139411000, 0.1494132000, 0.2347142000, 0.4485831000", \ - "0.0928719000, 0.0959515000, 0.1031575000, 0.1188186000, 0.1542921000, 0.2395918000, 0.4534776000", \ - "0.1058212000, 0.1087914000, 0.1157126000, 0.1314692000, 0.1670381000, 0.2522901000, 0.4661753000", \ - "0.1370359000, 0.1400293000, 0.1470022000, 0.1626990000, 0.1982512000, 0.2836892000, 0.4976960000", \ - "0.1984922000, 0.2015034000, 0.2089419000, 0.2253669000, 0.2617319000, 0.3473307000, 0.5613575000", \ - "0.2986647000, 0.3022898000, 0.3103124000, 0.3285166000, 0.3663029000, 0.4517036000, 0.6661576000", \ - "0.4634497000, 0.4680973000, 0.4785943000, 0.5003770000, 0.5416974000, 0.6298841000, 0.8445236000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0636859000, 0.0678641000, 0.0777747000, 0.1001612000, 0.1531370000, 0.2854167000, 0.6177801000", \ - "0.0674845000, 0.0717419000, 0.0816205000, 0.1040993000, 0.1573556000, 0.2890636000, 0.6229501000", \ - "0.0771864000, 0.0813545000, 0.0911015000, 0.1133762000, 0.1666174000, 0.2989655000, 0.6349122000", \ - "0.0944812000, 0.0987150000, 0.1085493000, 0.1309956000, 0.1845586000, 0.3166785000, 0.6500558000", \ - "0.1161810000, 0.1205919000, 0.1305620000, 0.1533407000, 0.2076595000, 0.3400849000, 0.6750200000", \ - "0.1347934000, 0.1398396000, 0.1507287000, 0.1738649000, 0.2273226000, 0.3615797000, 0.6949831000", \ - "0.1245493000, 0.1312321000, 0.1452360000, 0.1720922000, 0.2266599000, 0.3582202000, 0.6923421000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0255460000, 0.0284040000, 0.0354128000, 0.0526490000, 0.0957331000, 0.2084537000, 0.4971433000", \ - "0.0255215000, 0.0284353000, 0.0354226000, 0.0527131000, 0.0958436000, 0.2084681000, 0.4979598000", \ - "0.0255607000, 0.0284472000, 0.0355496000, 0.0526202000, 0.0958123000, 0.2082512000, 0.4974037000", \ - "0.0256643000, 0.0286975000, 0.0355449000, 0.0527421000, 0.0959011000, 0.2080909000, 0.4972975000", \ - "0.0284345000, 0.0315136000, 0.0385638000, 0.0553538000, 0.0977623000, 0.2087785000, 0.4972449000", \ - "0.0356359000, 0.0385696000, 0.0456916000, 0.0618422000, 0.1024968000, 0.2119601000, 0.4985970000", \ - "0.0481995000, 0.0518542000, 0.0598461000, 0.0766672000, 0.1147963000, 0.2169108000, 0.5009422000"); - } - related_pin : "A2_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0310225000, 0.0353677000, 0.0473722000, 0.0779606000, 0.1539959000, 0.3401751000, 0.8086676000", \ - "0.0311606000, 0.0354526000, 0.0474875000, 0.0779628000, 0.1540252000, 0.3401870000, 0.8101003000", \ - "0.0311732000, 0.0357254000, 0.0474322000, 0.0780298000, 0.1540602000, 0.3401143000, 0.8109051000", \ - "0.0331647000, 0.0373368000, 0.0487030000, 0.0786304000, 0.1541471000, 0.3400272000, 0.8093429000", \ - "0.0361680000, 0.0399368000, 0.0509049000, 0.0804343000, 0.1557249000, 0.3407981000, 0.8103835000", \ - "0.0447131000, 0.0478234000, 0.0569182000, 0.0838442000, 0.1566188000, 0.3420707000, 0.8103078000", \ - "0.0641174000, 0.0662539000, 0.0730238000, 0.0950934000, 0.1608248000, 0.3425593000, 0.8113956000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0349202000, 0.0374584000, 0.0436529000, 0.0580933000, 0.0927199000, 0.1776511000, 0.3914001000", \ - "0.0391849000, 0.0417987000, 0.0479814000, 0.0624481000, 0.0971139000, 0.1820912000, 0.3957663000", \ - "0.0481652000, 0.0507384000, 0.0569088000, 0.0715256000, 0.1062266000, 0.1911314000, 0.4049366000", \ - "0.0629435000, 0.0661443000, 0.0738562000, 0.0904853000, 0.1261904000, 0.2116327000, 0.4257880000", \ - "0.0822745000, 0.0869589000, 0.0978832000, 0.1208368000, 0.1668347000, 0.2589813000, 0.4739590000", \ - "0.0955835000, 0.1029775000, 0.1207880000, 0.1562901000, 0.2257197000, 0.3496214000, 0.5853005000", \ - "0.0764286000, 0.0883885000, 0.1160179000, 0.1745493000, 0.2846888000, 0.4755829000, 0.7940600000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0892662000, 0.0956564000, 0.1109107000, 0.1501952000, 0.2471028000, 0.4909304000, 1.1086484000", \ - "0.0937441000, 0.1001276000, 0.1161358000, 0.1553198000, 0.2526572000, 0.4966445000, 1.1140378000", \ - "0.1056349000, 0.1123771000, 0.1281616000, 0.1676057000, 0.2653164000, 0.5099512000, 1.1276625000", \ - "0.1332702000, 0.1396256000, 0.1554054000, 0.1946564000, 0.2925447000, 0.5377859000, 1.1558349000", \ - "0.1885778000, 0.1960330000, 0.2141019000, 0.2559641000, 0.3534671000, 0.5986547000, 1.2179610000", \ - "0.2823414000, 0.2932463000, 0.3177009000, 0.3734206000, 0.4913537000, 0.7410086000, 1.3604186000", \ - "0.4391509000, 0.4557195000, 0.4939673000, 0.5773433000, 0.7403382000, 1.0525523000, 1.6890397000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0222622000, 0.0251178000, 0.0321473000, 0.0498432000, 0.0944079000, 0.2080959000, 0.4969177000", \ - "0.0221385000, 0.0249970000, 0.0320709000, 0.0498821000, 0.0944984000, 0.2079874000, 0.4972087000", \ - "0.0226480000, 0.0253977000, 0.0322862000, 0.0497304000, 0.0943847000, 0.2081134000, 0.4969399000", \ - "0.0291693000, 0.0318521000, 0.0385398000, 0.0545846000, 0.0961074000, 0.2085873000, 0.4978100000", \ - "0.0453473000, 0.0487077000, 0.0567039000, 0.0746232000, 0.1147409000, 0.2154772000, 0.4980102000", \ - "0.0777405000, 0.0828126000, 0.0939608000, 0.1179646000, 0.1662381000, 0.2663735000, 0.5170996000", \ - "0.1391197000, 0.1468773000, 0.1634104000, 0.1994904000, 0.2678341000, 0.3925016000, 0.6386733000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0634482000, 0.0715749000, 0.0923288000, 0.1445890000, 0.2777512000, 0.6150015000, 1.4743513000", \ - "0.0634408000, 0.0714456000, 0.0922737000, 0.1449531000, 0.2787975000, 0.6142778000, 1.4703482000", \ - "0.0634972000, 0.0714475000, 0.0921300000, 0.1445984000, 0.2774466000, 0.6142972000, 1.4700983000", \ - "0.0641754000, 0.0719430000, 0.0923229000, 0.1447764000, 0.2777560000, 0.6153582000, 1.4715050000", \ - "0.0808882000, 0.0885028000, 0.1061239000, 0.1529569000, 0.2787733000, 0.6148309000, 1.4697991000", \ - "0.1189133000, 0.1273980000, 0.1482866000, 0.1974421000, 0.3139143000, 0.6206926000, 1.4722396000", \ - "0.1973821000, 0.2091121000, 0.2362817000, 0.2969863000, 0.4238720000, 0.7096121000, 1.4877619000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0282814000, 0.0307798000, 0.0368624000, 0.0515150000, 0.0869126000, 0.1750911000, 0.3981785000", \ - "0.0324471000, 0.0350843000, 0.0412992000, 0.0560431000, 0.0916475000, 0.1800361000, 0.4028709000", \ - "0.0398598000, 0.0427618000, 0.0494235000, 0.0644873000, 0.1003487000, 0.1888592000, 0.4118063000", \ - "0.0499309000, 0.0536933000, 0.0623605000, 0.0805775000, 0.1186635000, 0.2076476000, 0.4312369000", \ - "0.0586080000, 0.0644671000, 0.0779890000, 0.1045859000, 0.1550285000, 0.2515159000, 0.4773700000", \ - "0.0524866000, 0.0626084000, 0.0842601000, 0.1271853000, 0.2036499000, 0.3348703000, 0.5800744000", \ - "-0.002320800, 0.0139874000, 0.0508419000, 0.1209288000, 0.2441576000, 0.4457916000, 0.7796754000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0711312000, 0.0775278000, 0.0932596000, 0.1321634000, 0.2292779000, 0.4729513000, 1.0922837000", \ - "0.0743973000, 0.0809877000, 0.0969285000, 0.1359944000, 0.2333587000, 0.4776812000, 1.0953186000", \ - "0.0851240000, 0.0913411000, 0.1075321000, 0.1467222000, 0.2443860000, 0.4888018000, 1.1066440000", \ - "0.1138766000, 0.1201089000, 0.1358817000, 0.1748643000, 0.2722167000, 0.5161415000, 1.1347271000", \ - "0.1746225000, 0.1831082000, 0.2025694000, 0.2441152000, 0.3395513000, 0.5841296000, 1.2046864000", \ - "0.2746160000, 0.2871065000, 0.3159187000, 0.3781867000, 0.4986598000, 0.7433267000, 1.3585719000", \ - "0.4426597000, 0.4594307000, 0.5023585000, 0.5966322000, 0.7799801000, 1.1055635000, 1.7253099000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0141836000, 0.0173022000, 0.0250914000, 0.0442079000, 0.0921777000, 0.2130510000, 0.5174328000", \ - "0.0142044000, 0.0173248000, 0.0250442000, 0.0441920000, 0.0921895000, 0.2115233000, 0.5166185000", \ - "0.0159565000, 0.0186996000, 0.0259099000, 0.0444099000, 0.0922529000, 0.2115354000, 0.5166444000", \ - "0.0226311000, 0.0256433000, 0.0330451000, 0.0503745000, 0.0939153000, 0.2118423000, 0.5168581000", \ - "0.0390409000, 0.0428402000, 0.0515429000, 0.0705459000, 0.1135934000, 0.2193494000, 0.5189427000", \ - "0.0716192000, 0.0768905000, 0.0891200000, 0.1144262000, 0.1644549000, 0.2699536000, 0.5352017000", \ - "0.1383118000, 0.1458616000, 0.1618736000, 0.1972450000, 0.2643932000, 0.3913041000, 0.6574840000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012705100, 0.0032284000, 0.0082034300, 0.0208451000, 0.0529678000, 0.1345920000"); - values("0.0640060000, 0.0721305000, 0.0927377000, 0.1451984000, 0.2784372000, 0.6149657000, 1.4748512000", \ - "0.0640687000, 0.0720851000, 0.0925642000, 0.1452620000, 0.2777979000, 0.6172649000, 1.4740587000", \ - "0.0637545000, 0.0721574000, 0.0926296000, 0.1449995000, 0.2778069000, 0.6151858000, 1.4698982000", \ - "0.0670894000, 0.0743791000, 0.0934397000, 0.1446038000, 0.2784513000, 0.6146140000, 1.4708699000", \ - "0.0933850000, 0.1003327000, 0.1174450000, 0.1589314000, 0.2797785000, 0.6148888000, 1.4730555000", \ - "0.1386929000, 0.1498634000, 0.1732829000, 0.2250478000, 0.3290154000, 0.6246636000, 1.4756598000", \ - "0.2126287000, 0.2288108000, 0.2667750000, 0.3449304000, 0.4876246000, 0.7480769000, 1.4911731000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o2bb2ai_4") { - leakage_power () { - value : 0.0080652000; - when : "!A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0034842000; - when : "!A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0042097000; - when : "!A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0064620000; - when : "!A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0093820000; - when : "!A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0048002000; - when : "!A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0055262000; - when : "!A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0077785000; - when : "!A1_N&A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0098197000; - when : "A1_N&!A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0052387000; - when : "A1_N&!A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0059647000; - when : "A1_N&!A2_N&B1&B2"; - } - leakage_power () { - value : 0.0082170000; - when : "A1_N&!A2_N&B1&!B2"; - } - leakage_power () { - value : 0.0072939000; - when : "A1_N&A2_N&!B1&B2"; - } - leakage_power () { - value : 0.0056414000; - when : "A1_N&A2_N&!B1&!B2"; - } - leakage_power () { - value : 0.0072965000; - when : "A1_N&A2_N&B1&B2"; - } - leakage_power () { - value : 0.0072939000; - when : "A1_N&A2_N&B1&!B2"; - } - area : 27.526400000; - cell_footprint : "sky130_fd_sc_hd__o2bb2ai"; - cell_leakage_power : 0.0066546030; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1_N") { - capacitance : 0.0087480000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0083040000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0156546000, 0.0156322000, 0.0155805000, 0.0155744000, 0.0155604000, 0.0155282000, 0.0154537000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015564200, -0.015556400, -0.015538500, -0.015536300, -0.015531200, -0.015519600, -0.015492700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091920000; - } - pin ("A2_N") { - capacitance : 0.0088120000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0085190000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0182696000, 0.0182705000, 0.0182726000, 0.0182723000, 0.0182718000, 0.0182704000, 0.0182674000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013044900, -0.013048200, -0.013055600, -0.013026400, -0.012959200, -0.012804100, -0.012446800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091050000; - } - pin ("B1") { - capacitance : 0.0086730000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082280000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0166930000, 0.0166750000, 0.0166337000, 0.0166359000, 0.0166409000, 0.0166524000, 0.0166789000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.014220300, -0.014459400, -0.015010700, -0.015031500, -0.015079300, -0.015189600, -0.015443700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091180000; - } - pin ("B2") { - capacitance : 0.0084840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078890000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078329000, 0.0078247000, 0.0078059000, 0.0078386000, 0.0079140000, 0.0080878000, 0.0084885000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006813900, -0.006812000, -0.006807800, -0.006808500, -0.006810100, -0.006813700, -0.006822200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090790000; - } - pin ("Y") { - direction : "output"; - function : "(!B1&!B2) | (A1_N&A2_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014051260, 0.0039487570, 0.0110970000, 0.0311853600, 0.0876387000, 0.2462868000"); - values("0.0589504000, 0.0575695000, 0.0536743000, 0.0425133000, 0.0107369000, -0.080138800, -0.336562300", \ - "0.0581908000, 0.0568019000, 0.0529004000, 0.0417678000, 0.0099968000, -0.080854900, -0.337310300", \ - "0.0573026000, 0.0559310000, 0.0520060000, 0.0409335000, 0.0091514000, -0.081554200, -0.337897800", \ - "0.0563944000, 0.0550135000, 0.0511303000, 0.0399861000, 0.0082566000, -0.082395500, -0.338646800", \ - "0.0558562000, 0.0544611000, 0.0504999000, 0.0393919000, 0.0076466000, -0.082658000, -0.338884300", \ - "0.0567193000, 0.0552582000, 0.0512147000, 0.0402419000, 0.0095059000, -0.080926300, -0.337018100", \ - "0.0617152000, 0.0602899000, 0.0561350000, 0.0448070000, 0.0145380000, -0.077366600, -0.333032200"); - } - related_pin : "A1_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014051260, 0.0039487570, 0.0110970000, 0.0311853600, 0.0876387000, 0.2462868000"); - values("0.0499080000, 0.0516123000, 0.0563518000, 0.0690523000, 0.1023754000, 0.1930448000, 0.4465540000", \ - "0.0492876000, 0.0509975000, 0.0557271000, 0.0684197000, 0.1018394000, 0.1924787000, 0.4460108000", \ - "0.0483101000, 0.0499939000, 0.0547047000, 0.0673913000, 0.1007410000, 0.1915881000, 0.4453795000", \ - "0.0472066000, 0.0488262000, 0.0533985000, 0.0659414000, 0.0994880000, 0.1904542000, 0.4441750000", \ - "0.0465767000, 0.0481526000, 0.0525871000, 0.0649261000, 0.0984485000, 0.1896597000, 0.4435560000", \ - "0.0472592000, 0.0487785000, 0.0530638000, 0.0651426000, 0.0977636000, 0.1894278000, 0.4436436000", \ - "0.0497946000, 0.0513233000, 0.0555253000, 0.0673704000, 0.1007077000, 0.1915231000, 0.4462125000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014051260, 0.0039487570, 0.0110970000, 0.0311853600, 0.0876387000, 0.2462868000"); - values("0.0455444000, 0.0441641000, 0.0402686000, 0.0291489000, -0.002761900, -0.093792900, -0.350324700", \ - "0.0447309000, 0.0433706000, 0.0395456000, 0.0284343000, -0.003466800, -0.094560000, -0.351206900", \ - "0.0436152000, 0.0422469000, 0.0383982000, 0.0272968000, -0.004523400, -0.095394600, -0.352192300", \ - "0.0425573000, 0.0410425000, 0.0371763000, 0.0261170000, -0.005536500, -0.096440900, -0.352835400", \ - "0.0427959000, 0.0413852000, 0.0374465000, 0.0262817000, -0.006206900, -0.096049300, -0.352487100", \ - "0.0426322000, 0.0412777000, 0.0378058000, 0.0264333000, -0.004250700, -0.094685000, -0.350847700", \ - "0.0489916000, 0.0476728000, 0.0435249000, 0.0324776000, 0.0009242000, -0.089872800, -0.345074200"); - } - related_pin : "A2_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014051260, 0.0039487570, 0.0110970000, 0.0311853600, 0.0876387000, 0.2462868000"); - values("0.0468429000, 0.0485520000, 0.0532983000, 0.0659474000, 0.0994058000, 0.1900617000, 0.4437297000", \ - "0.0461583000, 0.0478730000, 0.0526049000, 0.0653163000, 0.0986999000, 0.1894565000, 0.4429589000", \ - "0.0451046000, 0.0467834000, 0.0514825000, 0.0641420000, 0.0975538000, 0.1884213000, 0.4421137000", \ - "0.0441347000, 0.0457413000, 0.0502747000, 0.0627577000, 0.0963043000, 0.1873384000, 0.4413320000", \ - "0.0440077000, 0.0456018000, 0.0500215000, 0.0622129000, 0.0954130000, 0.1867875000, 0.4410911000", \ - "0.0457703000, 0.0472972000, 0.0515980000, 0.0634347000, 0.0960744000, 0.1874342000, 0.4419009000", \ - "0.0525055000, 0.0539866000, 0.0581428000, 0.0704578000, 0.1033568000, 0.1924712000, 0.4470382000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014051260, 0.0039487570, 0.0110970000, 0.0311853600, 0.0876387000, 0.2462868000"); - values("0.0288414000, 0.0273970000, 0.0233319000, 0.0118975000, -0.020405600, -0.111563300, -0.368286800", \ - "0.0285616000, 0.0271476000, 0.0230968000, 0.0116882000, -0.020546500, -0.111654200, -0.368434700", \ - "0.0284140000, 0.0270189000, 0.0230207000, 0.0116930000, -0.020452100, -0.111444900, -0.368194500", \ - "0.0275928000, 0.0261694000, 0.0222363000, 0.0110486000, -0.020926800, -0.111772500, -0.368383000", \ - "0.0271852000, 0.0257825000, 0.0217665000, 0.0104583000, -0.021192000, -0.111947800, -0.368379900", \ - "0.0281637000, 0.0267370000, 0.0226191000, 0.0110985000, -0.021601500, -0.112357900, -0.368572600", \ - "0.0309624000, 0.0296472000, 0.0252347000, 0.0133827000, -0.019530000, -0.111344100, -0.368178400"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014051260, 0.0039487570, 0.0110970000, 0.0311853600, 0.0876387000, 0.2462868000"); - values("0.0287817000, 0.0302726000, 0.0344072000, 0.0459619000, 0.0783284000, 0.1688462000, 0.4224280000", \ - "0.0280949000, 0.0296160000, 0.0338092000, 0.0455259000, 0.0780416000, 0.1685463000, 0.4223398000", \ - "0.0274864000, 0.0289652000, 0.0331779000, 0.0449534000, 0.0775563000, 0.1682982000, 0.4220652000", \ - "0.0268604000, 0.0283795000, 0.0325619000, 0.0443034000, 0.0770871000, 0.1678658000, 0.4217725000", \ - "0.0264093000, 0.0278835000, 0.0320622000, 0.0437036000, 0.0762737000, 0.1671581000, 0.4213654000", \ - "0.0262324000, 0.0276762000, 0.0317808000, 0.0435008000, 0.0760942000, 0.1669070000, 0.4209789000", \ - "0.0270493000, 0.0284741000, 0.0324158000, 0.0436109000, 0.0765931000, 0.1669870000, 0.4223974000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014051260, 0.0039487570, 0.0110970000, 0.0311853600, 0.0876387000, 0.2462868000"); - values("0.0137695000, 0.0125026000, 0.0087806000, -0.002290900, -0.034511200, -0.125849400, -0.382793100", \ - "0.0130871000, 0.0118623000, 0.0083105000, -0.002468400, -0.034416700, -0.125565000, -0.382422400", \ - "0.0120575000, 0.0108661000, 0.0073982000, -0.003112800, -0.034645500, -0.125515500, -0.382304000", \ - "0.0111285000, 0.0098424000, 0.0062146000, -0.004297700, -0.035451900, -0.125867600, -0.382295700", \ - "0.0114767000, 0.0100897000, 0.0061283000, -0.005262000, -0.036516900, -0.126502800, -0.382472200", \ - "0.0122242000, 0.0106430000, 0.0065921000, -0.004842800, -0.037040300, -0.127555600, -0.383202000", \ - "0.0162883000, 0.0147471000, 0.0101887000, -0.002076800, -0.035415900, -0.127013200, -0.383538700"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014051260, 0.0039487570, 0.0110970000, 0.0311853600, 0.0876387000, 0.2462868000"); - values("0.0285473000, 0.0300707000, 0.0342677000, 0.0459685000, 0.0784371000, 0.1688187000, 0.4224739000", \ - "0.0274751000, 0.0290013000, 0.0333269000, 0.0451646000, 0.0778145000, 0.1685746000, 0.4223577000", \ - "0.0262895000, 0.0278311000, 0.0321551000, 0.0439863000, 0.0770823000, 0.1679575000, 0.4219751000", \ - "0.0256125000, 0.0271106000, 0.0314661000, 0.0434033000, 0.0761681000, 0.1675652000, 0.4215603000", \ - "0.0257166000, 0.0271707000, 0.0312592000, 0.0429394000, 0.0755870000, 0.1663306000, 0.4207025000", \ - "0.0289214000, 0.0301326000, 0.0341073000, 0.0459620000, 0.0770850000, 0.1660850000, 0.4201670000", \ - "0.0340251000, 0.0354058000, 0.0393830000, 0.0503774000, 0.0820838000, 0.1728246000, 0.4205182000"); - } - } - max_capacitance : 0.2462870000; - max_transition : 1.4976470000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.1035425000, 0.1057014000, 0.1114482000, 0.1254291000, 0.1610821000, 0.2558854000, 0.5181809000", \ - "0.1087730000, 0.1108377000, 0.1163203000, 0.1305358000, 0.1662159000, 0.2610287000, 0.5233294000", \ - "0.1219517000, 0.1240989000, 0.1296382000, 0.1438975000, 0.1797019000, 0.2744906000, 0.5363601000", \ - "0.1540183000, 0.1561807000, 0.1619429000, 0.1760860000, 0.2120331000, 0.3070121000, 0.5693769000", \ - "0.2229587000, 0.2251803000, 0.2308342000, 0.2455654000, 0.2819242000, 0.3769346000, 0.6390071000", \ - "0.3390347000, 0.3414432000, 0.3476301000, 0.3630897000, 0.4006265000, 0.4966366000, 0.7591037000", \ - "0.5313049000, 0.5343014000, 0.5420510000, 0.5600125000, 0.6014004000, 0.6975872000, 0.9605940000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0816007000, 0.0845987000, 0.0923503000, 0.1109525000, 0.1564826000, 0.2775428000, 0.6166185000", \ - "0.0856757000, 0.0887009000, 0.0963690000, 0.1151907000, 0.1608281000, 0.2822113000, 0.6197386000", \ - "0.0938238000, 0.0968234000, 0.1045097000, 0.1231182000, 0.1687978000, 0.2899696000, 0.6291900000", \ - "0.1105811000, 0.1135172000, 0.1211838000, 0.1400862000, 0.1859541000, 0.3072992000, 0.6455313000", \ - "0.1349035000, 0.1379571000, 0.1459413000, 0.1651199000, 0.2120673000, 0.3343805000, 0.6729745000", \ - "0.1586951000, 0.1621510000, 0.1710355000, 0.1917078000, 0.2391597000, 0.3626432000, 0.7016234000", \ - "0.1543999000, 0.1589671000, 0.1705059000, 0.1956146000, 0.2468056000, 0.3702265000, 0.7097800000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0296605000, 0.0318793000, 0.0380326000, 0.0547347000, 0.1007519000, 0.2321176000, 0.6048143000", \ - "0.0296492000, 0.0318680000, 0.0381414000, 0.0547564000, 0.1007520000, 0.2321801000, 0.6045058000", \ - "0.0296314000, 0.0319171000, 0.0381068000, 0.0548463000, 0.1007123000, 0.2320046000, 0.6042229000", \ - "0.0296970000, 0.0318869000, 0.0381007000, 0.0547690000, 0.1006269000, 0.2322557000, 0.6048368000", \ - "0.0311411000, 0.0335506000, 0.0397177000, 0.0561550000, 0.1015494000, 0.2321220000, 0.6044063000", \ - "0.0365973000, 0.0388718000, 0.0452761000, 0.0613670000, 0.1054302000, 0.2342450000, 0.6055072000", \ - "0.0484547000, 0.0509239000, 0.0572430000, 0.0739916000, 0.1146038000, 0.2378592000, 0.6067541000"); - } - related_pin : "A1_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0407764000, 0.0433149000, 0.0509416000, 0.0737049000, 0.1369787000, 0.3101594000, 0.7966623000", \ - "0.0409196000, 0.0434943000, 0.0509207000, 0.0735616000, 0.1369856000, 0.3100478000, 0.7961333000", \ - "0.0408516000, 0.0433577000, 0.0509791000, 0.0737352000, 0.1369502000, 0.3101673000, 0.7965614000", \ - "0.0420116000, 0.0444748000, 0.0518909000, 0.0741013000, 0.1371490000, 0.3100554000, 0.7960659000", \ - "0.0457439000, 0.0480222000, 0.0550624000, 0.0767634000, 0.1388777000, 0.3106367000, 0.7961343000", \ - "0.0548402000, 0.0565277000, 0.0628137000, 0.0819345000, 0.1410953000, 0.3119521000, 0.7973156000", \ - "0.0758077000, 0.0768513000, 0.0811537000, 0.0970306000, 0.1489269000, 0.3135128000, 0.7975875000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0865732000, 0.0887329000, 0.0942693000, 0.1082456000, 0.1438315000, 0.2384201000, 0.5004502000", \ - "0.0918176000, 0.0939403000, 0.0994471000, 0.1134892000, 0.1490721000, 0.2437560000, 0.5055781000", \ - "0.1048301000, 0.1069444000, 0.1126017000, 0.1266035000, 0.1622214000, 0.2568371000, 0.5185838000", \ - "0.1358929000, 0.1379171000, 0.1435243000, 0.1575276000, 0.1931961000, 0.2882896000, 0.5503143000", \ - "0.1943747000, 0.1963818000, 0.2023482000, 0.2170337000, 0.2539198000, 0.3482040000, 0.6106504000", \ - "0.2900274000, 0.2925153000, 0.2988123000, 0.3147616000, 0.3520200000, 0.4481772000, 0.7110884000", \ - "0.4481519000, 0.4513087000, 0.4592246000, 0.4779937000, 0.5196525000, 0.6167121000, 0.8797156000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0749157000, 0.0779012000, 0.0856347000, 0.1042957000, 0.1498558000, 0.2717100000, 0.6090498000", \ - "0.0785597000, 0.0815721000, 0.0893265000, 0.1079232000, 0.1535477000, 0.2746979000, 0.6140100000", \ - "0.0878975000, 0.0908285000, 0.0985276000, 0.1171021000, 0.1628689000, 0.2840795000, 0.6220154000", \ - "0.1063765000, 0.1093717000, 0.1170419000, 0.1357728000, 0.1816915000, 0.3041812000, 0.6420606000", \ - "0.1297404000, 0.1327737000, 0.1404430000, 0.1595344000, 0.2067872000, 0.3297764000, 0.6682140000", \ - "0.1512249000, 0.1545686000, 0.1631127000, 0.1829908000, 0.2301059000, 0.3527775000, 0.6936882000", \ - "0.1469970000, 0.1514292000, 0.1621024000, 0.1857103000, 0.2348530000, 0.3580778000, 0.6968552000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0286617000, 0.0309184000, 0.0369789000, 0.0537739000, 0.0998783000, 0.2319004000, 0.6046492000", \ - "0.0286673000, 0.0309101000, 0.0370887000, 0.0537470000, 0.1000253000, 0.2318472000, 0.6044696000", \ - "0.0287946000, 0.0309349000, 0.0370920000, 0.0537558000, 0.0998618000, 0.2316707000, 0.6041984000", \ - "0.0288904000, 0.0311718000, 0.0372862000, 0.0540561000, 0.1000309000, 0.2318792000, 0.6046417000", \ - "0.0312326000, 0.0337263000, 0.0398019000, 0.0565451000, 0.1016219000, 0.2320627000, 0.6044221000", \ - "0.0368500000, 0.0395889000, 0.0457829000, 0.0616434000, 0.1058161000, 0.2346834000, 0.6053794000", \ - "0.0489643000, 0.0517673000, 0.0585343000, 0.0748010000, 0.1160722000, 0.2380930000, 0.6070737000"); - } - related_pin : "A2_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0407857000, 0.0433679000, 0.0509531000, 0.0735073000, 0.1369863000, 0.3100327000, 0.7961473000", \ - "0.0408073000, 0.0433046000, 0.0509215000, 0.0737009000, 0.1369607000, 0.3101537000, 0.7964708000", \ - "0.0408624000, 0.0433662000, 0.0509920000, 0.0736985000, 0.1370075000, 0.3100553000, 0.7965206000", \ - "0.0425751000, 0.0450053000, 0.0524249000, 0.0745912000, 0.1372950000, 0.3100727000, 0.7964272000", \ - "0.0456010000, 0.0479720000, 0.0551332000, 0.0771327000, 0.1397697000, 0.3113409000, 0.7965927000", \ - "0.0548037000, 0.0564317000, 0.0621710000, 0.0816160000, 0.1411673000, 0.3128779000, 0.7979462000", \ - "0.0758090000, 0.0767865000, 0.0803344000, 0.0947104000, 0.1475902000, 0.3136436000, 0.7998107000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0418340000, 0.0437820000, 0.0488309000, 0.0621726000, 0.0971860000, 0.1914170000, 0.4534076000", \ - "0.0460308000, 0.0478813000, 0.0530631000, 0.0664027000, 0.1012689000, 0.1956917000, 0.4575130000", \ - "0.0538168000, 0.0557593000, 0.0608877000, 0.0743026000, 0.1094620000, 0.2037608000, 0.4656625000", \ - "0.0674307000, 0.0695186000, 0.0754466000, 0.0902955000, 0.1263168000, 0.2210897000, 0.4831427000", \ - "0.0858081000, 0.0889251000, 0.0968566000, 0.1162088000, 0.1607267000, 0.2612165000, 0.5244166000", \ - "0.0981283000, 0.1028242000, 0.1152013000, 0.1451570000, 0.2100818000, 0.3394718000, 0.6186845000", \ - "0.0760301000, 0.0833044000, 0.1029868000, 0.1508268000, 0.2526148000, 0.4473633000, 0.8042423000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0954038000, 0.0998102000, 0.1114390000, 0.1426794000, 0.2281813000, 0.4653282000, 1.1285338000", \ - "0.1004263000, 0.1041528000, 0.1159554000, 0.1474441000, 0.2332776000, 0.4705755000, 1.1339186000", \ - "0.1123822000, 0.1165319000, 0.1284926000, 0.1601767000, 0.2463497000, 0.4840477000, 1.1480829000", \ - "0.1400730000, 0.1443912000, 0.1558650000, 0.1871100000, 0.2736909000, 0.5120399000, 1.1758390000", \ - "0.1955853000, 0.2003245000, 0.2133603000, 0.2476274000, 0.3337300000, 0.5721653000, 1.2363559000", \ - "0.2914100000, 0.2980343000, 0.3153480000, 0.3597551000, 0.4657178000, 0.7105843000, 1.3760855000", \ - "0.4505435000, 0.4610645000, 0.4882826000, 0.5544674000, 0.7026534000, 1.0110823000, 1.6963317000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0268701000, 0.0290765000, 0.0352380000, 0.0522070000, 0.0992964000, 0.2317063000, 0.6046657000", \ - "0.0267649000, 0.0290469000, 0.0352048000, 0.0522450000, 0.0993733000, 0.2317536000, 0.6047081000", \ - "0.0271307000, 0.0293210000, 0.0353495000, 0.0521338000, 0.0993105000, 0.2314846000, 0.6041647000", \ - "0.0321943000, 0.0343223000, 0.0403195000, 0.0562272000, 0.1008828000, 0.2316339000, 0.6044500000", \ - "0.0472562000, 0.0496729000, 0.0558932000, 0.0728898000, 0.1167409000, 0.2380499000, 0.6039778000", \ - "0.0796895000, 0.0830352000, 0.0915881000, 0.1131830000, 0.1617485000, 0.2799837000, 0.6170073000", \ - "0.1416841000, 0.1463503000, 0.1590888000, 0.1899025000, 0.2560934000, 0.3937055000, 0.7151181000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0694483000, 0.0744725000, 0.0887411000, 0.1296663000, 0.2444576000, 0.5683002000, 1.4763658000", \ - "0.0690684000, 0.0746034000, 0.0888317000, 0.1295155000, 0.2445742000, 0.5680293000, 1.4764426000", \ - "0.0694234000, 0.0745116000, 0.0889495000, 0.1298308000, 0.2446020000, 0.5690210000, 1.4811312000", \ - "0.0695144000, 0.0745829000, 0.0888898000, 0.1296393000, 0.2446739000, 0.5679864000, 1.4766404000", \ - "0.0847546000, 0.0893966000, 0.1018017000, 0.1381207000, 0.2466857000, 0.5693205000, 1.4762146000", \ - "0.1208637000, 0.1259165000, 0.1409522000, 0.1800627000, 0.2803681000, 0.5758780000, 1.4765110000", \ - "0.1987110000, 0.2062941000, 0.2256862000, 0.2734605000, 0.3876303000, 0.6639322000, 1.4932584000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0314864000, 0.0332801000, 0.0380847000, 0.0506118000, 0.0835803000, 0.1738860000, 0.4260002000", \ - "0.0355151000, 0.0373640000, 0.0423474000, 0.0548915000, 0.0882288000, 0.1788002000, 0.4335120000", \ - "0.0428965000, 0.0449494000, 0.0501630000, 0.0632579000, 0.0967022000, 0.1872124000, 0.4396440000", \ - "0.0525893000, 0.0552279000, 0.0619148000, 0.0780244000, 0.1142790000, 0.2057568000, 0.4584198000", \ - "0.0603846000, 0.0643547000, 0.0747415000, 0.0985084000, 0.1465570000, 0.2478958000, 0.5032688000", \ - "0.0530049000, 0.0591993000, 0.0755505000, 0.1132954000, 0.1876981000, 0.3250719000, 0.6007730000", \ - "-0.007212400, 0.0044066000, 0.0319101000, 0.0934002000, 0.2120465000, 0.4241283000, 0.7935125000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0762067000, 0.0804618000, 0.0923888000, 0.1238936000, 0.2095998000, 0.4470071000, 1.1113056000", \ - "0.0787543000, 0.0832567000, 0.0951600000, 0.1271415000, 0.2133692000, 0.4509899000, 1.1142842000", \ - "0.0880737000, 0.0927048000, 0.1045509000, 0.1364191000, 0.2232891000, 0.4617200000, 1.1259725000", \ - "0.1160428000, 0.1201554000, 0.1318605000, 0.1635326000, 0.2496490000, 0.4886258000, 1.1530356000", \ - "0.1770545000, 0.1824745000, 0.1966513000, 0.2313225000, 0.3165226000, 0.5541918000, 1.2199391000", \ - "0.2762799000, 0.2842807000, 0.3054157000, 0.3568534000, 0.4696151000, 0.7065389000, 1.3683716000", \ - "0.4443951000, 0.4553642000, 0.4859542000, 0.5613393000, 0.7309706000, 1.0613942000, 1.7337400000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0157060000, 0.0179044000, 0.0240275000, 0.0407686000, 0.0865506000, 0.2145343000, 0.5734797000", \ - "0.0157525000, 0.0179234000, 0.0240132000, 0.0407100000, 0.0865615000, 0.2145738000, 0.5754678000", \ - "0.0172652000, 0.0192238000, 0.0248831000, 0.0409399000, 0.0863485000, 0.2139689000, 0.5729066000", \ - "0.0240760000, 0.0261930000, 0.0318928000, 0.0474555000, 0.0888438000, 0.2147503000, 0.5727649000", \ - "0.0407659000, 0.0435051000, 0.0503794000, 0.0673020000, 0.1085902000, 0.2220793000, 0.5736686000", \ - "0.0743449000, 0.0779870000, 0.0874863000, 0.1102222000, 0.1586221000, 0.2717696000, 0.5898927000", \ - "0.1435612000, 0.1473932000, 0.1595765000, 0.1907152000, 0.2572209000, 0.3928072000, 0.7052717000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014051300, 0.0039487600, 0.0110970000, 0.0311854000, 0.0876387000, 0.2462870000"); - values("0.0703410000, 0.0752966000, 0.0894896000, 0.1299972000, 0.2449275000, 0.5680906000, 1.4792038000", \ - "0.0701911000, 0.0751392000, 0.0893792000, 0.1301414000, 0.2448019000, 0.5693997000, 1.4765222000", \ - "0.0699545000, 0.0749748000, 0.0894026000, 0.1298345000, 0.2448054000, 0.5683369000, 1.4798613000", \ - "0.0723609000, 0.0770328000, 0.0901374000, 0.1296235000, 0.2448622000, 0.5695763000, 1.4770085000", \ - "0.0975726000, 0.1026335000, 0.1151342000, 0.1467603000, 0.2486331000, 0.5686228000, 1.4821075000", \ - "0.1423159000, 0.1492630000, 0.1682669000, 0.2113231000, 0.3073917000, 0.5821174000, 1.4822439000", \ - "0.2158428000, 0.2265408000, 0.2543672000, 0.3194137000, 0.4568700000, 0.7216925000, 1.4976469000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o311a_1") { - leakage_power () { - value : 0.0171749000; - when : "!A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0120266000; - when : "!A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0033318000; - when : "!A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0121072000; - when : "!A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0025488000; - when : "!A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0022253000; - when : "!A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0039434000; - when : "!A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0025262000; - when : "!A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0025488000; - when : "!A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0022253000; - when : "!A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0036972000; - when : "!A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0025262000; - when : "!A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0025488000; - when : "!A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0022253000; - when : "!A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0033588000; - when : "!A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0025262000; - when : "!A1&A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0025488000; - when : "A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0022253000; - when : "A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0037234000; - when : "A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0025262000; - when : "A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0025488000; - when : "A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0022253000; - when : "A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0033632000; - when : "A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0025262000; - when : "A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0025488000; - when : "A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0022253000; - when : "A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0033668000; - when : "A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0025262000; - when : "A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0025488000; - when : "A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0022253000; - when : "A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0033409000; - when : "A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0025262000; - when : "A1&A2&A3&B1&!C1"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__o311a"; - cell_leakage_power : 0.0037667360; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023510000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022200000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041950000, 0.0041928000, 0.0041878000, 0.0041879000, 0.0041881000, 0.0041886000, 0.0041897000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004187700, -0.004186900, -0.004184800, -0.004184800, -0.004184800, -0.004184900, -0.004185000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024810000; - } - pin ("A2") { - capacitance : 0.0023620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038752000, 0.0038748000, 0.0038738000, 0.0038745000, 0.0038761000, 0.0038797000, 0.0038881000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003867100, -0.003868100, -0.003870600, -0.003870700, -0.003871000, -0.003871700, -0.003873300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025200000; - } - pin ("A3") { - capacitance : 0.0023490000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039477000, 0.0039476000, 0.0039474000, 0.0039478000, 0.0039488000, 0.0039511000, 0.0039562000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003957600, -0.003954800, -0.003948400, -0.003948700, -0.003949500, -0.003951400, -0.003955800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025290000; - } - pin ("B1") { - capacitance : 0.0023720000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022870000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042007000, 0.0041861000, 0.0041523000, 0.0041568000, 0.0041672000, 0.0041911000, 0.0042461000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004088700, -0.004084200, -0.004073700, -0.004072400, -0.004069600, -0.004062900, -0.004047700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024570000; - } - pin ("C1") { - capacitance : 0.0023650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023080000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047708000, 0.0047768000, 0.0047905000, 0.0047907000, 0.0047912000, 0.0047925000, 0.0047952000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003948100, -0.003949600, -0.003953000, -0.003947500, -0.003934900, -0.003905800, -0.003838800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024230000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1&C1) | (A2&B1&C1) | (A3&B1&C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0174410000, 0.0165317000, 0.0142267000, 0.0069369000, -0.014786700, -0.074104200, -0.229389400", \ - "0.0173511000, 0.0164636000, 0.0140217000, 0.0067910000, -0.014903800, -0.074179600, -0.229445800", \ - "0.0172566000, 0.0163506000, 0.0139000000, 0.0065444000, -0.015125600, -0.074427100, -0.229670200", \ - "0.0169884000, 0.0161023000, 0.0136819000, 0.0063912000, -0.015317000, -0.074546000, -0.229836600", \ - "0.0168476000, 0.0159722000, 0.0135612000, 0.0062119000, -0.015442800, -0.074686000, -0.229902500", \ - "0.0168591000, 0.0159526000, 0.0134481000, 0.0061816000, -0.015480000, -0.074719800, -0.229940200", \ - "0.0209842000, 0.0196170000, 0.0161703000, 0.0072798000, -0.015681500, -0.074600200, -0.229785700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0166427000, 0.0180814000, 0.0216971000, 0.0306518000, 0.0534316000, 0.1125106000, 0.2659567000", \ - "0.0164948000, 0.0179466000, 0.0215874000, 0.0305533000, 0.0533528000, 0.1127797000, 0.2669766000", \ - "0.0163923000, 0.0178428000, 0.0214193000, 0.0304344000, 0.0531814000, 0.1127328000, 0.2669011000", \ - "0.0162057000, 0.0176533000, 0.0212581000, 0.0302471000, 0.0532146000, 0.1125582000, 0.2668144000", \ - "0.0161530000, 0.0175721000, 0.0211625000, 0.0301277000, 0.0529495000, 0.1124845000, 0.2666939000", \ - "0.0164333000, 0.0177716000, 0.0211993000, 0.0298846000, 0.0527805000, 0.1122358000, 0.2653416000", \ - "0.0168808000, 0.0181728000, 0.0215503000, 0.0303424000, 0.0532151000, 0.1124829000, 0.2662807000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0156406000, 0.0147114000, 0.0122911000, 0.0049450000, -0.016694500, -0.075909700, -0.231039000", \ - "0.0153929000, 0.0145252000, 0.0120648000, 0.0047696000, -0.016793800, -0.075992800, -0.231163900", \ - "0.0152280000, 0.0143699000, 0.0119502000, 0.0046285000, -0.016971800, -0.076136900, -0.231291600", \ - "0.0150671000, 0.0142079000, 0.0117758000, 0.0045198000, -0.017100100, -0.076256100, -0.231441700", \ - "0.0149386000, 0.0140696000, 0.0116528000, 0.0043176000, -0.017286800, -0.076398600, -0.231567700", \ - "0.0148595000, 0.0139225000, 0.0114968000, 0.0042342000, -0.017296300, -0.076440500, -0.231566000", \ - "0.0191529000, 0.0177890000, 0.0142570000, 0.0054501000, -0.017564100, -0.076257400, -0.231361000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0151894000, 0.0166063000, 0.0201738000, 0.0291714000, 0.0519866000, 0.1112467000, 0.2649902000", \ - "0.0151325000, 0.0165515000, 0.0201567000, 0.0291137000, 0.0519937000, 0.1116311000, 0.2660009000", \ - "0.0150762000, 0.0164750000, 0.0200522000, 0.0290285000, 0.0519500000, 0.1116396000, 0.2660429000", \ - "0.0148667000, 0.0162788000, 0.0198643000, 0.0288355000, 0.0516960000, 0.1110294000, 0.2656728000", \ - "0.0146220000, 0.0160590000, 0.0196242000, 0.0285633000, 0.0515837000, 0.1107131000, 0.2645907000", \ - "0.0147902000, 0.0161306000, 0.0195418000, 0.0282549000, 0.0511688000, 0.1100362000, 0.2642384000", \ - "0.0150653000, 0.0164178000, 0.0198038000, 0.0285612000, 0.0514437000, 0.1107640000, 0.2632408000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0133459000, 0.0124871000, 0.0100720000, 0.0027491000, -0.018721800, -0.077737300, -0.232807400", \ - "0.0132252000, 0.0123719000, 0.0099321000, 0.0026018000, -0.018938400, -0.077981300, -0.233001300", \ - "0.0130863000, 0.0121761000, 0.0097498000, 0.0024140000, -0.019112900, -0.078160500, -0.233200300", \ - "0.0128307000, 0.0119413000, 0.0094872000, 0.0022040000, -0.019324800, -0.078360300, -0.233392100", \ - "0.0127934000, 0.0119088000, 0.0095235000, 0.0021994000, -0.019308700, -0.078358500, -0.233392000", \ - "0.0133092000, 0.0123935000, 0.0098805000, 0.0025695000, -0.018964200, -0.078008400, -0.233037800", \ - "0.0180006000, 0.0166152000, 0.0131307000, 0.0042562000, -0.018722800, -0.077317400, -0.232390300"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0135631000, 0.0149616000, 0.0185135000, 0.0274954000, 0.0504939000, 0.1094735000, 0.2618689000", \ - "0.0135506000, 0.0149539000, 0.0185312000, 0.0274859000, 0.0505069000, 0.1095348000, 0.2637750000", \ - "0.0134352000, 0.0148288000, 0.0183882000, 0.0273683000, 0.0501315000, 0.1094155000, 0.2633369000", \ - "0.0131732000, 0.0145801000, 0.0181461000, 0.0270732000, 0.0499459000, 0.1093394000, 0.2643447000", \ - "0.0128809000, 0.0142741000, 0.0178343000, 0.0266960000, 0.0495214000, 0.1089745000, 0.2625084000", \ - "0.0129115000, 0.0142454000, 0.0176843000, 0.0264645000, 0.0493143000, 0.1080574000, 0.2622355000", \ - "0.0134230000, 0.0147633000, 0.0180401000, 0.0269202000, 0.0497715000, 0.1090249000, 0.2625772000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0128422000, 0.0122637000, 0.0102128000, 0.0035635000, -0.018112300, -0.077542600, -0.232755800", \ - "0.0127027000, 0.0121177000, 0.0100583000, 0.0033981000, -0.018277700, -0.077694200, -0.232910000", \ - "0.0125210000, 0.0118780000, 0.0098241000, 0.0031822000, -0.018486300, -0.077895000, -0.233111600", \ - "0.0122140000, 0.0115396000, 0.0094498000, 0.0028243000, -0.018825100, -0.078198800, -0.233387900", \ - "0.0118248000, 0.0112341000, 0.0090785000, 0.0024700000, -0.019069800, -0.078385300, -0.233536100", \ - "0.0156785000, 0.0144030000, 0.0110867000, 0.0024537000, -0.018559400, -0.077763300, -0.232864900", \ - "0.0182078000, 0.0168809000, 0.0134900000, 0.0047729000, -0.017938200, -0.077080500, -0.232038100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0166347000, 0.0180911000, 0.0216658000, 0.0306991000, 0.0535199000, 0.1130325000, 0.2672650000", \ - "0.0165664000, 0.0180014000, 0.0216413000, 0.0306144000, 0.0534969000, 0.1125296000, 0.2663484000", \ - "0.0164138000, 0.0178630000, 0.0214425000, 0.0304661000, 0.0532589000, 0.1129356000, 0.2672213000", \ - "0.0162014000, 0.0176391000, 0.0212600000, 0.0302507000, 0.0531000000, 0.1123357000, 0.2660962000", \ - "0.0160897000, 0.0175426000, 0.0211001000, 0.0300969000, 0.0529147000, 0.1121968000, 0.2659724000", \ - "0.0165483000, 0.0178506000, 0.0212433000, 0.0298706000, 0.0528817000, 0.1122716000, 0.2669984000", \ - "0.0173747000, 0.0187114000, 0.0220419000, 0.0307319000, 0.0535391000, 0.1127738000, 0.2652338000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0101340000, 0.0095648000, 0.0074697000, 0.0006849000, -0.021113200, -0.080610300, -0.235882600", \ - "0.0099944000, 0.0093930000, 0.0072882000, 0.0005271000, -0.021280200, -0.080780700, -0.236052700", \ - "0.0096317000, 0.0090656000, 0.0069250000, 0.0001604000, -0.021621800, -0.081121800, -0.236391800", \ - "0.0092881000, 0.0086453000, 0.0064945000, -0.000248500, -0.021935800, -0.081382700, -0.236638000", \ - "0.0085387000, 0.0081070000, 0.0062922000, -0.000331100, -0.021966800, -0.081355700, -0.236575900", \ - "0.0132196000, 0.0119604000, 0.0086895000, 6.720000e-05, -0.021656100, -0.080903300, -0.236071600", \ - "0.0156999000, 0.0143727000, 0.0110326000, 0.0023086000, -0.020371800, -0.079671000, -0.234583100"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013016660, 0.0033886710, 0.0088218360, 0.0229661800, 0.0597885900, 0.1556496000"); - values("0.0163824000, 0.0178202000, 0.0214398000, 0.0304023000, 0.0533079000, 0.1125050000, 0.2661485000", \ - "0.0162524000, 0.0176853000, 0.0213065000, 0.0303227000, 0.0532441000, 0.1128618000, 0.2672062000", \ - "0.0160923000, 0.0175435000, 0.0211211000, 0.0301487000, 0.0532095000, 0.1127031000, 0.2669868000", \ - "0.0159213000, 0.0173692000, 0.0209820000, 0.0299521000, 0.0528583000, 0.1124611000, 0.2667998000", \ - "0.0158049000, 0.0172399000, 0.0207755000, 0.0296842000, 0.0525800000, 0.1118507000, 0.2654433000", \ - "0.0167260000, 0.0180029000, 0.0214096000, 0.0300099000, 0.0528446000, 0.1118594000, 0.2659329000", \ - "0.0180157000, 0.0193100000, 0.0226598000, 0.0313442000, 0.0540220000, 0.1135555000, 0.2657846000"); - } - } - max_capacitance : 0.1556500000; - max_transition : 1.5115730000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.3033668000, 0.3126665000, 0.3310535000, 0.3656666000, 0.4297587000, 0.5586359000, 0.8581811000", \ - "0.3080605000, 0.3172799000, 0.3358851000, 0.3698862000, 0.4341433000, 0.5635460000, 0.8632332000", \ - "0.3200409000, 0.3293228000, 0.3478788000, 0.3825171000, 0.4468048000, 0.5760556000, 0.8757533000", \ - "0.3464831000, 0.3558512000, 0.3744629000, 0.4090631000, 0.4731930000, 0.6016491000, 0.9013736000", \ - "0.4035725000, 0.4127948000, 0.4315295000, 0.4660203000, 0.5299749000, 0.6591722000, 0.9589477000", \ - "0.5237309000, 0.5333345000, 0.5521618000, 0.5871476000, 0.6522016000, 0.7809519000, 1.0804863000", \ - "0.7418197000, 0.7526328000, 0.7742203000, 0.8130432000, 0.8833815000, 1.0192228000, 1.3218171000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1248290000, 0.1333937000, 0.1520701000, 0.1930714000, 0.2905228000, 0.5384908000, 1.1819393000", \ - "0.1290144000, 0.1376329000, 0.1564206000, 0.1974049000, 0.2948542000, 0.5438190000, 1.1886713000", \ - "0.1376253000, 0.1461955000, 0.1648853000, 0.2059534000, 0.3031673000, 0.5516201000, 1.1979705000", \ - "0.1540801000, 0.1626027000, 0.1812993000, 0.2223086000, 0.3196982000, 0.5690312000, 1.2145434000", \ - "0.1850378000, 0.1938441000, 0.2129393000, 0.2542002000, 0.3518581000, 0.6010998000, 1.2461771000", \ - "0.2302090000, 0.2397625000, 0.2601306000, 0.3028888000, 0.4011943000, 0.6499326000, 1.2952556000", \ - "0.2688115000, 0.2809211000, 0.3045523000, 0.3511408000, 0.4510803000, 0.7010687000, 1.3440418000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0384895000, 0.0441822000, 0.0565671000, 0.0826804000, 0.1388119000, 0.2761447000, 0.6509936000", \ - "0.0389933000, 0.0443527000, 0.0560793000, 0.0830628000, 0.1385787000, 0.2772553000, 0.6520279000", \ - "0.0385406000, 0.0441881000, 0.0560088000, 0.0815569000, 0.1388524000, 0.2763496000, 0.6533811000", \ - "0.0384930000, 0.0441256000, 0.0563276000, 0.0825552000, 0.1391298000, 0.2771871000, 0.6533357000", \ - "0.0383627000, 0.0441043000, 0.0566626000, 0.0813658000, 0.1392221000, 0.2766287000, 0.6500150000", \ - "0.0407873000, 0.0462402000, 0.0579911000, 0.0835285000, 0.1398973000, 0.2765249000, 0.6521010000", \ - "0.0480897000, 0.0541332000, 0.0666196000, 0.0932020000, 0.1518174000, 0.2869070000, 0.6564320000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0295483000, 0.0373351000, 0.0561140000, 0.1050040000, 0.2361855000, 0.5864564000, 1.4975642000", \ - "0.0297567000, 0.0373206000, 0.0561875000, 0.1052030000, 0.2369023000, 0.5875531000, 1.5035752000", \ - "0.0296214000, 0.0372107000, 0.0561790000, 0.1050905000, 0.2368659000, 0.5873904000, 1.5026866000", \ - "0.0294116000, 0.0371236000, 0.0561203000, 0.1048572000, 0.2365628000, 0.5873658000, 1.5006760000", \ - "0.0310454000, 0.0385495000, 0.0573748000, 0.1055584000, 0.2368851000, 0.5877605000, 1.5035693000", \ - "0.0352189000, 0.0432391000, 0.0614312000, 0.1084861000, 0.2376347000, 0.5863942000, 1.5029764000", \ - "0.0455336000, 0.0538048000, 0.0725256000, 0.1164496000, 0.2407737000, 0.5882985000, 1.4988876000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.2860614000, 0.2952884000, 0.3137965000, 0.3485406000, 0.4126991000, 0.5416028000, 0.8413690000", \ - "0.2897128000, 0.2991150000, 0.3176211000, 0.3522696000, 0.4156434000, 0.5455634000, 0.8455628000", \ - "0.3005086000, 0.3099055000, 0.3284747000, 0.3631853000, 0.4275952000, 0.5560480000, 0.8559428000", \ - "0.3270768000, 0.3364674000, 0.3550932000, 0.3893789000, 0.4540039000, 0.5830503000, 0.8830062000", \ - "0.3878888000, 0.3971186000, 0.4158117000, 0.4503821000, 0.5145944000, 0.6440301000, 0.9440061000", \ - "0.5238441000, 0.5335001000, 0.5525431000, 0.5876893000, 0.6521992000, 0.7821167000, 1.0821005000", \ - "0.7743877000, 0.7857422000, 0.8081824000, 0.8480571000, 0.9190991000, 1.0548350000, 1.3580735000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1199171000, 0.1282736000, 0.1466046000, 0.1872323000, 0.2842167000, 0.5328156000, 1.1761783000", \ - "0.1245095000, 0.1329182000, 0.1513571000, 0.1918342000, 0.2890911000, 0.5382097000, 1.1844735000", \ - "0.1333797000, 0.1417257000, 0.1601569000, 0.2007173000, 0.2979945000, 0.5474813000, 1.1909009000", \ - "0.1496442000, 0.1580100000, 0.1764125000, 0.2169746000, 0.3140176000, 0.5622716000, 1.2082619000", \ - "0.1790399000, 0.1878199000, 0.2067364000, 0.2476907000, 0.3449978000, 0.5939033000, 1.2376168000", \ - "0.2199352000, 0.2297584000, 0.2500732000, 0.2926935000, 0.3908540000, 0.6395116000, 1.2836493000", \ - "0.2473127000, 0.2599244000, 0.2847760000, 0.3315264000, 0.4315328000, 0.6803865000, 1.3243109000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0389040000, 0.0445141000, 0.0563192000, 0.0816872000, 0.1387004000, 0.2765994000, 0.6509225000", \ - "0.0385062000, 0.0441134000, 0.0560640000, 0.0824942000, 0.1394451000, 0.2762712000, 0.6554808000", \ - "0.0385507000, 0.0440524000, 0.0561790000, 0.0812356000, 0.1387730000, 0.2766408000, 0.6548040000", \ - "0.0385437000, 0.0443246000, 0.0560471000, 0.0828368000, 0.1387585000, 0.2761642000, 0.6520389000", \ - "0.0383671000, 0.0441031000, 0.0565098000, 0.0813470000, 0.1390491000, 0.2763166000, 0.6512978000", \ - "0.0412831000, 0.0467163000, 0.0586608000, 0.0839467000, 0.1399729000, 0.2768038000, 0.6546373000", \ - "0.0520589000, 0.0575257000, 0.0700922000, 0.0960917000, 0.1505401000, 0.2860622000, 0.6552399000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0283792000, 0.0360365000, 0.0547816000, 0.1031916000, 0.2351978000, 0.5850859000, 1.5028422000", \ - "0.0285338000, 0.0360066000, 0.0548258000, 0.1032955000, 0.2346258000, 0.5871074000, 1.5026209000", \ - "0.0284201000, 0.0360711000, 0.0547646000, 0.1034855000, 0.2352455000, 0.5867694000, 1.5024673000", \ - "0.0282767000, 0.0360596000, 0.0547070000, 0.1033982000, 0.2351966000, 0.5867418000, 1.5042152000", \ - "0.0301852000, 0.0378016000, 0.0563645000, 0.1041123000, 0.2351334000, 0.5862426000, 1.4987639000", \ - "0.0349562000, 0.0427936000, 0.0611636000, 0.1076555000, 0.2367299000, 0.5854266000, 1.4980627000", \ - "0.0465551000, 0.0551923000, 0.0735927000, 0.1176051000, 0.2396746000, 0.5872722000, 1.4973665000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.2492122000, 0.2585054000, 0.2771511000, 0.3118603000, 0.3757068000, 0.5056902000, 0.8057820000", \ - "0.2516818000, 0.2610108000, 0.2796005000, 0.3142289000, 0.3789001000, 0.5086666000, 0.8087863000", \ - "0.2604466000, 0.2697802000, 0.2882884000, 0.3230538000, 0.3875241000, 0.5172520000, 0.8173793000", \ - "0.2849156000, 0.2941665000, 0.3126994000, 0.3470302000, 0.4118101000, 0.5416520000, 0.8415007000", \ - "0.3480846000, 0.3574050000, 0.3760502000, 0.4107114000, 0.4753314000, 0.6050681000, 0.9049692000", \ - "0.4979355000, 0.5075252000, 0.5266734000, 0.5614986000, 0.6260640000, 0.7561109000, 1.0562253000", \ - "0.7579953000, 0.7703355000, 0.7940965000, 0.8351793000, 0.9043210000, 1.0350585000, 1.3381669000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1019757000, 0.1098905000, 0.1276287000, 0.1674505000, 0.2639227000, 0.5112427000, 1.1583345000", \ - "0.1067858000, 0.1147407000, 0.1325050000, 0.1722211000, 0.2687658000, 0.5160737000, 1.1646683000", \ - "0.1157893000, 0.1237018000, 0.1414453000, 0.1812425000, 0.2777762000, 0.5258621000, 1.1705287000", \ - "0.1326364000, 0.1406306000, 0.1583972000, 0.1979854000, 0.2946036000, 0.5437187000, 1.1930774000", \ - "0.1608033000, 0.1692965000, 0.1878330000, 0.2282578000, 0.3251505000, 0.5743349000, 1.2169978000", \ - "0.1948492000, 0.2047983000, 0.2252117000, 0.2677494000, 0.3653176000, 0.6134031000, 1.2592162000", \ - "0.2073821000, 0.2207384000, 0.2466191000, 0.2948736000, 0.3948997000, 0.6442091000, 1.2871022000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0384465000, 0.0441434000, 0.0560462000, 0.0815453000, 0.1385329000, 0.2771599000, 0.6508450000", \ - "0.0383865000, 0.0441626000, 0.0559873000, 0.0814381000, 0.1386251000, 0.2761675000, 0.6525369000", \ - "0.0387236000, 0.0442235000, 0.0561075000, 0.0816088000, 0.1385561000, 0.2758727000, 0.6528377000", \ - "0.0392536000, 0.0445518000, 0.0561540000, 0.0828028000, 0.1388920000, 0.2757902000, 0.6524294000", \ - "0.0384509000, 0.0440249000, 0.0569287000, 0.0813895000, 0.1380825000, 0.2762930000, 0.6520466000", \ - "0.0417703000, 0.0468875000, 0.0584248000, 0.0826482000, 0.1395330000, 0.2766055000, 0.6540292000", \ - "0.0580108000, 0.0643547000, 0.0765471000, 0.0986658000, 0.1503563000, 0.2865885000, 0.6569015000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0266341000, 0.0341392000, 0.0526994000, 0.1013543000, 0.2337325000, 0.5862436000, 1.5008638000", \ - "0.0266328000, 0.0339603000, 0.0525823000, 0.1015277000, 0.2341251000, 0.5854398000, 1.5055076000", \ - "0.0266265000, 0.0341038000, 0.0527105000, 0.1013235000, 0.2338866000, 0.5863699000, 1.5008460000", \ - "0.0267592000, 0.0342706000, 0.0528350000, 0.1016053000, 0.2340505000, 0.5867588000, 1.5115731000", \ - "0.0294684000, 0.0367828000, 0.0551059000, 0.1028150000, 0.2344808000, 0.5865284000, 1.4974607000", \ - "0.0356902000, 0.0432262000, 0.0615427000, 0.1071639000, 0.2357279000, 0.5841623000, 1.5002386000", \ - "0.0495838000, 0.0586792000, 0.0764588000, 0.1191820000, 0.2397693000, 0.5883110000, 1.4967758000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0875346000, 0.0932319000, 0.1053274000, 0.1308936000, 0.1860170000, 0.3069027000, 0.6021049000", \ - "0.0928611000, 0.0986061000, 0.1106657000, 0.1363284000, 0.1914601000, 0.3123508000, 0.6074959000", \ - "0.1061081000, 0.1117382000, 0.1238879000, 0.1495814000, 0.2047224000, 0.3256163000, 0.6207854000", \ - "0.1381929000, 0.1438004000, 0.1559786000, 0.1816360000, 0.2368867000, 0.3578087000, 0.6528081000", \ - "0.2064908000, 0.2127813000, 0.2258491000, 0.2525562000, 0.3085238000, 0.4300146000, 0.7252509000", \ - "0.3186920000, 0.3268471000, 0.3434330000, 0.3756095000, 0.4381508000, 0.5643984000, 0.8598197000", \ - "0.4993533000, 0.5100207000, 0.5317488000, 0.5728224000, 0.6497667000, 0.7899607000, 1.0897735000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1170088000, 0.1256133000, 0.1443092000, 0.1854260000, 0.2829341000, 0.5326698000, 1.1781484000", \ - "0.1210720000, 0.1296837000, 0.1483706000, 0.1893710000, 0.2871199000, 0.5359830000, 1.1798945000", \ - "0.1298359000, 0.1383936000, 0.1570820000, 0.1981800000, 0.2956342000, 0.5444848000, 1.1912533000", \ - "0.1502896000, 0.1588089000, 0.1774710000, 0.2183602000, 0.3161326000, 0.5646789000, 1.2082588000", \ - "0.1904325000, 0.1992560000, 0.2183469000, 0.2596786000, 0.3573478000, 0.6057668000, 1.2496089000", \ - "0.2465017000, 0.2561578000, 0.2763307000, 0.3187370000, 0.4170966000, 0.6661425000, 1.3114441000", \ - "0.2972891000, 0.3094338000, 0.3335260000, 0.3782265000, 0.4775279000, 0.7267733000, 1.3717024000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0182503000, 0.0228491000, 0.0336349000, 0.0591221000, 0.1184874000, 0.2595863000, 0.6443912000", \ - "0.0183447000, 0.0228810000, 0.0337148000, 0.0590899000, 0.1184220000, 0.2596417000, 0.6442802000", \ - "0.0184065000, 0.0228291000, 0.0336341000, 0.0590757000, 0.1184383000, 0.2596345000, 0.6444170000", \ - "0.0184607000, 0.0229082000, 0.0337374000, 0.0592137000, 0.1183787000, 0.2592998000, 0.6425085000", \ - "0.0222568000, 0.0265662000, 0.0369146000, 0.0614551000, 0.1195957000, 0.2599958000, 0.6444305000", \ - "0.0314206000, 0.0365088000, 0.0483397000, 0.0741565000, 0.1316208000, 0.2659258000, 0.6444110000", \ - "0.0456223000, 0.0530035000, 0.0665638000, 0.0974059000, 0.1590297000, 0.2867378000, 0.6451873000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0296398000, 0.0372066000, 0.0562845000, 0.1049847000, 0.2363656000, 0.5862829000, 1.5012155000", \ - "0.0295191000, 0.0373300000, 0.0562642000, 0.1048914000, 0.2366189000, 0.5875849000, 1.5011365000", \ - "0.0295642000, 0.0371592000, 0.0561627000, 0.1050317000, 0.2367303000, 0.5871133000, 1.5017229000", \ - "0.0292391000, 0.0369738000, 0.0559725000, 0.1047627000, 0.2360063000, 0.5866638000, 1.4989772000", \ - "0.0311679000, 0.0386421000, 0.0573632000, 0.1054284000, 0.2363801000, 0.5866345000, 1.4997430000", \ - "0.0361084000, 0.0432175000, 0.0612433000, 0.1079644000, 0.2377432000, 0.5870247000, 1.5039979000", \ - "0.0470471000, 0.0547387000, 0.0718209000, 0.1158944000, 0.2401022000, 0.5901120000, 1.4971403000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0730925000, 0.0784688000, 0.0902475000, 0.1151463000, 0.1695220000, 0.2900577000, 0.5851339000", \ - "0.0783463000, 0.0837343000, 0.0954866000, 0.1203541000, 0.1747382000, 0.2952447000, 0.5903529000", \ - "0.0910073000, 0.0963828000, 0.1080731000, 0.1330033000, 0.1876016000, 0.3081408000, 0.6032586000", \ - "0.1220841000, 0.1274156000, 0.1391447000, 0.1641340000, 0.2184328000, 0.3391930000, 0.6343354000", \ - "0.1799570000, 0.1863467000, 0.1991933000, 0.2262611000, 0.2824457000, 0.4039757000, 0.6991041000", \ - "0.2695306000, 0.2778430000, 0.2948581000, 0.3275818000, 0.3908783000, 0.5181036000, 0.8136585000", \ - "0.4103265000, 0.4211408000, 0.4429127000, 0.4848775000, 0.5631188000, 0.7040146000, 1.0043273000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.1098970000, 0.1184532000, 0.1371473000, 0.1782432000, 0.2760287000, 0.5244052000, 1.1691147000", \ - "0.1135001000, 0.1220788000, 0.1408675000, 0.1819738000, 0.2797615000, 0.5293979000, 1.1747305000", \ - "0.1229329000, 0.1315166000, 0.1502108000, 0.1913651000, 0.2890330000, 0.5391007000, 1.1849542000", \ - "0.1463734000, 0.1548712000, 0.1735020000, 0.2144002000, 0.3121959000, 0.5618342000, 1.2072162000", \ - "0.1915912000, 0.2002430000, 0.2191368000, 0.2602665000, 0.3578312000, 0.6069177000, 1.2516586000", \ - "0.2501844000, 0.2592205000, 0.2790824000, 0.3211118000, 0.4191947000, 0.6688921000, 1.3134865000", \ - "0.3075609000, 0.3197078000, 0.3428018000, 0.3877597000, 0.4857558000, 0.7358587000, 1.3809728000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0168342000, 0.0214210000, 0.0320399000, 0.0576326000, 0.1173624000, 0.2590226000, 0.6440675000", \ - "0.0169631000, 0.0213573000, 0.0320886000, 0.0576807000, 0.1173512000, 0.2591961000, 0.6440753000", \ - "0.0169240000, 0.0214007000, 0.0320302000, 0.0576692000, 0.1173340000, 0.2589390000, 0.6440664000", \ - "0.0172043000, 0.0217152000, 0.0323670000, 0.0578088000, 0.1175245000, 0.2590547000, 0.6440006000", \ - "0.0225718000, 0.0270803000, 0.0378776000, 0.0624290000, 0.1202028000, 0.2600977000, 0.6471083000", \ - "0.0317432000, 0.0371920000, 0.0494955000, 0.0756071000, 0.1338423000, 0.2676109000, 0.6443787000", \ - "0.0456161000, 0.0525012000, 0.0678612000, 0.0989769000, 0.1621339000, 0.2904285000, 0.6458698000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013016700, 0.0033886700, 0.0088218400, 0.0229662000, 0.0597886000, 0.1556500000"); - values("0.0295130000, 0.0372842000, 0.0561299000, 0.1050550000, 0.2364847000, 0.5856056000, 1.4982576000", \ - "0.0294264000, 0.0373335000, 0.0561926000, 0.1048303000, 0.2368231000, 0.5878590000, 1.5035992000", \ - "0.0296124000, 0.0371765000, 0.0561954000, 0.1049796000, 0.2365487000, 0.5868158000, 1.5005591000", \ - "0.0292653000, 0.0369158000, 0.0558943000, 0.1049501000, 0.2368543000, 0.5875471000, 1.5036123000", \ - "0.0306010000, 0.0382733000, 0.0571426000, 0.1055913000, 0.2367201000, 0.5869419000, 1.5016048000", \ - "0.0362398000, 0.0435824000, 0.0608586000, 0.1076722000, 0.2380949000, 0.5870097000, 1.5038280000", \ - "0.0482646000, 0.0554498000, 0.0731865000, 0.1143766000, 0.2396604000, 0.5895425000, 1.5003566000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o311a_2") { - leakage_power () { - value : 0.0023719000; - when : "!A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0154414000; - when : "!A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0035489000; - when : "!A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0155231000; - when : "!A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0027303000; - when : "!A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0024030000; - when : "!A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0043656000; - when : "!A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0027066000; - when : "!A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0027303000; - when : "!A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0024030000; - when : "!A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0041188000; - when : "!A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0027066000; - when : "!A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0027303000; - when : "!A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0024030000; - when : "!A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0038079000; - when : "!A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0027066000; - when : "!A1&A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0027303000; - when : "A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0024030000; - when : "A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0041156000; - when : "A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0027066000; - when : "A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0027303000; - when : "A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0024030000; - when : "A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0038091000; - when : "A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0027066000; - when : "A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0027303000; - when : "A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0024030000; - when : "A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0038130000; - when : "A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0027066000; - when : "A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0027303000; - when : "A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0024030000; - when : "A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0037908000; - when : "A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0027066000; - when : "A1&A2&A3&B1&!C1"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__o311a"; - cell_leakage_power : 0.0037370320; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022140000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041943000, 0.0041903000, 0.0041813000, 0.0041780000, 0.0041703000, 0.0041525000, 0.0041116000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004182800, -0.004182700, -0.004182500, -0.004181500, -0.004179400, -0.004174300, -0.004162700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024780000; - } - pin ("A2") { - capacitance : 0.0023580000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038651000, 0.0038664000, 0.0038693000, 0.0038698000, 0.0038710000, 0.0038737000, 0.0038800000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003865400, -0.003866300, -0.003868200, -0.003868700, -0.003870000, -0.003872900, -0.003879500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025160000; - } - pin ("A3") { - capacitance : 0.0023420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021610000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039508000, 0.0039496000, 0.0039469000, 0.0039451000, 0.0039410000, 0.0039316000, 0.0039099000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003941200, -0.003942200, -0.003944200, -0.003942800, -0.003939300, -0.003931500, -0.003913300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025230000; - } - pin ("B1") { - capacitance : 0.0023600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022700000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042021000, 0.0041869000, 0.0041518000, 0.0041573000, 0.0041699000, 0.0041989000, 0.0042660000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004082900, -0.004079100, -0.004070200, -0.004069800, -0.004068900, -0.004066700, -0.004061600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024510000; - } - pin ("C1") { - capacitance : 0.0023440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022860000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047923000, 0.0047891000, 0.0047820000, 0.0047825000, 0.0047839000, 0.0047869000, 0.0047938000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003944500, -0.003946600, -0.003951600, -0.003946200, -0.003933600, -0.003904600, -0.003837800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024020000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1&C1) | (A2&B1&C1) | (A3&B1&C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0211705000, 0.0196300000, 0.0158763000, 0.0048644000, -0.029798900, -0.136149100, -0.447524300", \ - "0.0209992000, 0.0194864000, 0.0157424000, 0.0047214000, -0.029917200, -0.136273600, -0.447640300", \ - "0.0207465000, 0.0191835000, 0.0154778000, 0.0045284000, -0.030121800, -0.136339600, -0.447765200", \ - "0.0205637000, 0.0190375000, 0.0153179000, 0.0044225000, -0.030228200, -0.136554000, -0.447931400", \ - "0.0204662000, 0.0189294000, 0.0151382000, 0.0042483000, -0.030437000, -0.136736800, -0.448030900", \ - "0.0204947000, 0.0189472000, 0.0151620000, 0.0042481000, -0.030470200, -0.136775900, -0.448080500", \ - "0.0255196000, 0.0238013000, 0.0190599000, 0.0063508000, -0.030858200, -0.136714400, -0.447934000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0215378000, 0.0231684000, 0.0279373000, 0.0412126000, 0.0784585000, 0.1857299000, 0.4953611000", \ - "0.0214693000, 0.0230863000, 0.0278716000, 0.0411025000, 0.0782985000, 0.1848480000, 0.4950789000", \ - "0.0213462000, 0.0229735000, 0.0277399000, 0.0409669000, 0.0781454000, 0.1849208000, 0.4927831000", \ - "0.0211214000, 0.0227757000, 0.0275352000, 0.0408485000, 0.0780025000, 0.1848299000, 0.4926942000", \ - "0.0210639000, 0.0227039000, 0.0273514000, 0.0406515000, 0.0778546000, 0.1852924000, 0.4949798000", \ - "0.0219366000, 0.0234796000, 0.0279812000, 0.0405233000, 0.0777574000, 0.1848872000, 0.4924999000", \ - "0.0222760000, 0.0237516000, 0.0281873000, 0.0410165000, 0.0781353000, 0.1852134000, 0.4914944000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0190359000, 0.0175020000, 0.0138078000, 0.0028490000, -0.031609300, -0.137908400, -0.449120900", \ - "0.0189436000, 0.0174178000, 0.0137021000, 0.0029454000, -0.031738700, -0.138071400, -0.449252300", \ - "0.0188465000, 0.0173391000, 0.0135856000, 0.0025888000, -0.031889800, -0.138158300, -0.449374100", \ - "0.0186734000, 0.0171607000, 0.0134062000, 0.0024199000, -0.032180400, -0.138328300, -0.449537600", \ - "0.0185698000, 0.0170463000, 0.0133008000, 0.0023656000, -0.032295300, -0.138510700, -0.449708900", \ - "0.0189157000, 0.0173746000, 0.0132051000, 0.0025436000, -0.032269500, -0.138509300, -0.449732700", \ - "0.0235693000, 0.0218178000, 0.0178097000, 0.0039983000, -0.032670600, -0.138528700, -0.449621900"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0200343000, 0.0216644000, 0.0263917000, 0.0397176000, 0.0769791000, 0.1836231000, 0.4944480000", \ - "0.0200521000, 0.0216902000, 0.0264404000, 0.0396804000, 0.0769704000, 0.1839908000, 0.4922547000", \ - "0.0199844000, 0.0216236000, 0.0263717000, 0.0396128000, 0.0768969000, 0.1839114000, 0.4918204000", \ - "0.0197626000, 0.0213918000, 0.0261626000, 0.0394871000, 0.0767605000, 0.1836138000, 0.4918074000", \ - "0.0196431000, 0.0212278000, 0.0259056000, 0.0392384000, 0.0764307000, 0.1843244000, 0.4938256000", \ - "0.0201922000, 0.0217328000, 0.0263357000, 0.0389733000, 0.0761375000, 0.1826317000, 0.4935458000", \ - "0.0205972000, 0.0220367000, 0.0265026000, 0.0393160000, 0.0765602000, 0.1833085000, 0.4893304000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0169589000, 0.0154399000, 0.0116864000, 0.0007559000, -0.033866300, -0.139966800, -0.451073400", \ - "0.0167836000, 0.0152510000, 0.0115033000, 0.0005546000, -0.034046000, -0.140115500, -0.451219000", \ - "0.0166319000, 0.0150885000, 0.0113306000, 0.0003672000, -0.034206700, -0.140274000, -0.451375200", \ - "0.0164631000, 0.0149372000, 0.0112150000, 0.0001968000, -0.034377000, -0.140441100, -0.451506300", \ - "0.0162522000, 0.0147602000, 0.0110110000, 0.0001810000, -0.034427900, -0.140481700, -0.451591800", \ - "0.0165454000, 0.0149393000, 0.0111576000, 0.0005708000, -0.034154600, -0.140223600, -0.451288100", \ - "0.0226988000, 0.0209458000, 0.0161264000, 0.0029314000, -0.033909400, -0.139719000, -0.450803700"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0184025000, 0.0200262000, 0.0247664000, 0.0381055000, 0.0753638000, 0.1820083000, 0.4904333000", \ - "0.0184355000, 0.0201083000, 0.0248735000, 0.0381756000, 0.0752230000, 0.1822884000, 0.4906395000", \ - "0.0183789000, 0.0200545000, 0.0248130000, 0.0380182000, 0.0752820000, 0.1822180000, 0.4910718000", \ - "0.0181686000, 0.0198068000, 0.0245603000, 0.0378818000, 0.0751210000, 0.1820971000, 0.4903638000", \ - "0.0179560000, 0.0195706000, 0.0242485000, 0.0373874000, 0.0746399000, 0.1815038000, 0.4905074000", \ - "0.0183828000, 0.0199291000, 0.0244579000, 0.0372505000, 0.0742853000, 0.1805388000, 0.4902193000", \ - "0.0189017000, 0.0203919000, 0.0248031000, 0.0378095000, 0.0744904000, 0.1815961000, 0.4904712000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0160191000, 0.0149862000, 0.0120856000, 0.0019903000, -0.032360300, -0.139356300, -0.450789900", \ - "0.0159007000, 0.0148253000, 0.0119315000, 0.0018695000, -0.032492700, -0.139469000, -0.450898100", \ - "0.0158207000, 0.0147070000, 0.0117515000, 0.0017419000, -0.032658500, -0.139617600, -0.451053200", \ - "0.0155049000, 0.0144074000, 0.0114153000, 0.0013616000, -0.033027400, -0.139931000, -0.451332600", \ - "0.0153871000, 0.0142035000, 0.0110670000, 0.0011067000, -0.033296500, -0.140103400, -0.451438700", \ - "0.0188770000, 0.0173311000, 0.0129223000, 1.780000e-05, -0.033364500, -0.140067000, -0.451317800", \ - "0.0235658000, 0.0218939000, 0.0172588000, 0.0043946000, -0.032497000, -0.139424300, -0.450417000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0215908000, 0.0232154000, 0.0279808000, 0.0412017000, 0.0784300000, 0.1853142000, 0.4932561000", \ - "0.0214786000, 0.0230919000, 0.0278450000, 0.0411773000, 0.0784301000, 0.1859512000, 0.4955326000", \ - "0.0213498000, 0.0229682000, 0.0277178000, 0.0410492000, 0.0783067000, 0.1858493000, 0.4954390000", \ - "0.0211414000, 0.0227621000, 0.0275450000, 0.0408440000, 0.0780498000, 0.1848383000, 0.4950113000", \ - "0.0212517000, 0.0228536000, 0.0275568000, 0.0405849000, 0.0776709000, 0.1846298000, 0.4946923000", \ - "0.0218960000, 0.0234252000, 0.0279210000, 0.0404162000, 0.0775288000, 0.1841136000, 0.4926867000", \ - "0.0228288000, 0.0243392000, 0.0286468000, 0.0415940000, 0.0786206000, 0.1854663000, 0.4919028000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0133993000, 0.0123301000, 0.0094661000, -0.000651200, -0.035262400, -0.142353100, -0.453870300", \ - "0.0132470000, 0.0122496000, 0.0093417000, -0.000806500, -0.035348400, -0.142467800, -0.453982100", \ - "0.0130113000, 0.0119323000, 0.0090149000, -0.001087100, -0.035667900, -0.142749000, -0.454265000", \ - "0.0127369000, 0.0116754000, 0.0086295000, -0.001468500, -0.036025600, -0.143037700, -0.454516100", \ - "0.0125629000, 0.0113619000, 0.0082460000, -0.001867300, -0.036336300, -0.143222000, -0.454625300", \ - "0.0171179000, 0.0156059000, 0.0112481000, -0.001504400, -0.036524400, -0.143129700, -0.454415500", \ - "0.0208955000, 0.0192446000, 0.0146583000, 0.0017830000, -0.035037600, -0.142051000, -0.453032900"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014471280, 0.0041883570, 0.0121221700, 0.0350846600, 0.1015440000, 0.2938942000"); - values("0.0212832000, 0.0229225000, 0.0276808000, 0.0409732000, 0.0782818000, 0.1857798000, 0.4955888000", \ - "0.0212472000, 0.0228628000, 0.0276365000, 0.0408628000, 0.0781003000, 0.1849131000, 0.4929739000", \ - "0.0210542000, 0.0226686000, 0.0274080000, 0.0407499000, 0.0780627000, 0.1855882000, 0.4953749000", \ - "0.0208314000, 0.0224642000, 0.0272299000, 0.0405589000, 0.0778151000, 0.1853675000, 0.4951552000", \ - "0.0208555000, 0.0224246000, 0.0271296000, 0.0401974000, 0.0774934000, 0.1841952000, 0.4946183000", \ - "0.0217088000, 0.0232306000, 0.0279325000, 0.0404396000, 0.0773671000, 0.1839062000, 0.4925746000", \ - "0.0235386000, 0.0250152000, 0.0293663000, 0.0422574000, 0.0788524000, 0.1856892000, 0.4921615000"); - } - } - max_capacitance : 0.2938940000; - max_transition : 1.5041320000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.3507666000, 0.3589440000, 0.3768486000, 0.4117410000, 0.4765811000, 0.6059159000, 0.9107580000", \ - "0.3554188000, 0.3635658000, 0.3816082000, 0.4164840000, 0.4813213000, 0.6106422000, 0.9154947000", \ - "0.3676614000, 0.3756109000, 0.3938945000, 0.4286889000, 0.4937628000, 0.6222899000, 0.9280636000", \ - "0.3944277000, 0.4026194000, 0.4206377000, 0.4556742000, 0.5205230000, 0.6489773000, 0.9548191000", \ - "0.4519926000, 0.4602171000, 0.4781811000, 0.5129258000, 0.5777578000, 0.7068484000, 1.0125850000", \ - "0.5761066000, 0.5842863000, 0.6024589000, 0.6369459000, 0.7019469000, 0.8316788000, 1.1374113000", \ - "0.8100519000, 0.8192295000, 0.8394460000, 0.8791374000, 0.9494490000, 1.0850059000, 1.3941583000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.1402646000, 0.1472110000, 0.1633762000, 0.1995038000, 0.2861142000, 0.5237932000, 1.2048706000", \ - "0.1446660000, 0.1515999000, 0.1677481000, 0.2038443000, 0.2903530000, 0.5270414000, 1.2085027000", \ - "0.1530979000, 0.1600586000, 0.1762103000, 0.2122660000, 0.2988621000, 0.5358257000, 1.2168713000", \ - "0.1691518000, 0.1762055000, 0.1923292000, 0.2284619000, 0.3149387000, 0.5518537000, 1.2331154000", \ - "0.2014710000, 0.2085768000, 0.2251102000, 0.2613764000, 0.3482139000, 0.5861472000, 1.2674288000", \ - "0.2508861000, 0.2588127000, 0.2765219000, 0.3146995000, 0.4026809000, 0.6401491000, 1.3218474000", \ - "0.2995136000, 0.3092342000, 0.3307636000, 0.3727172000, 0.4646483000, 0.7031212000, 1.3832995000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0455245000, 0.0496282000, 0.0600786000, 0.0814309000, 0.1307443000, 0.2570120000, 0.6245868000", \ - "0.0452889000, 0.0498061000, 0.0599393000, 0.0814308000, 0.1317276000, 0.2570298000, 0.6248311000", \ - "0.0454646000, 0.0499848000, 0.0603585000, 0.0815198000, 0.1302432000, 0.2559762000, 0.6257099000", \ - "0.0454102000, 0.0503531000, 0.0602474000, 0.0814586000, 0.1317137000, 0.2573212000, 0.6250461000", \ - "0.0450930000, 0.0497917000, 0.0599995000, 0.0820937000, 0.1317238000, 0.2566534000, 0.6253721000", \ - "0.0460603000, 0.0506387000, 0.0603727000, 0.0820669000, 0.1310583000, 0.2565449000, 0.6250014000", \ - "0.0549296000, 0.0600386000, 0.0706582000, 0.0932512000, 0.1423786000, 0.2661542000, 0.6297833000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0285207000, 0.0340011000, 0.0479892000, 0.0854756000, 0.1955616000, 0.5283837000, 1.5002766000", \ - "0.0282884000, 0.0338830000, 0.0478471000, 0.0856291000, 0.1955709000, 0.5282503000, 1.5000687000", \ - "0.0282748000, 0.0338168000, 0.0479550000, 0.0855392000, 0.1959270000, 0.5280928000, 1.4987922000", \ - "0.0281283000, 0.0337827000, 0.0478497000, 0.0854722000, 0.1956854000, 0.5282437000, 1.4992988000", \ - "0.0294647000, 0.0350182000, 0.0488381000, 0.0861930000, 0.1957046000, 0.5284665000, 1.5004266000", \ - "0.0330400000, 0.0388320000, 0.0534681000, 0.0902826000, 0.1980864000, 0.5279034000, 1.4991457000", \ - "0.0432654000, 0.0500631000, 0.0643906000, 0.1004850000, 0.2029875000, 0.5302795000, 1.4965857000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.3327988000, 0.3408821000, 0.3591296000, 0.3939956000, 0.4584882000, 0.5876932000, 0.8934485000", \ - "0.3366531000, 0.3448455000, 0.3628652000, 0.3974252000, 0.4623442000, 0.5914310000, 0.8972484000", \ - "0.3478826000, 0.3560653000, 0.3740591000, 0.4088324000, 0.4733696000, 0.6025764000, 0.9083510000", \ - "0.3744450000, 0.3826008000, 0.4007262000, 0.4356330000, 0.5005412000, 0.6293904000, 0.9353455000", \ - "0.4354209000, 0.4434481000, 0.4615530000, 0.4965865000, 0.5613889000, 0.6907569000, 0.9962187000", \ - "0.5759657000, 0.5841694000, 0.6029997000, 0.6377270000, 0.7027093000, 0.8325967000, 1.1384628000", \ - "0.8443365000, 0.8539551000, 0.8755719000, 0.9148783000, 0.9858723000, 1.1219621000, 1.4316918000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.1351151000, 0.1419392000, 0.1579461000, 0.1937365000, 0.2800199000, 0.5173179000, 1.1974364000", \ - "0.1399444000, 0.1467685000, 0.1627259000, 0.1984828000, 0.2846085000, 0.5210049000, 1.2012725000", \ - "0.1487841000, 0.1556098000, 0.1715791000, 0.2073260000, 0.2935031000, 0.5301657000, 1.2112638000", \ - "0.1650814000, 0.1719518000, 0.1878724000, 0.2236961000, 0.3098250000, 0.5466426000, 1.2273548000", \ - "0.1963137000, 0.2032874000, 0.2197557000, 0.2558849000, 0.3423931000, 0.5798192000, 1.2616027000", \ - "0.2426827000, 0.2505689000, 0.2683366000, 0.3066112000, 0.3944688000, 0.6314593000, 1.3140141000", \ - "0.2829091000, 0.2927781000, 0.3150328000, 0.3584409000, 0.4503092000, 0.6882347000, 1.3685444000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0453277000, 0.0497890000, 0.0601006000, 0.0814435000, 0.1316260000, 0.2569451000, 0.6260745000", \ - "0.0454156000, 0.0503501000, 0.0602590000, 0.0821913000, 0.1313038000, 0.2566664000, 0.6263977000", \ - "0.0453211000, 0.0502332000, 0.0598108000, 0.0814446000, 0.1315785000, 0.2569879000, 0.6261172000", \ - "0.0451535000, 0.0501545000, 0.0600809000, 0.0819925000, 0.1316118000, 0.2572582000, 0.6252978000", \ - "0.0453621000, 0.0496389000, 0.0598039000, 0.0824419000, 0.1315275000, 0.2565459000, 0.6251449000", \ - "0.0475209000, 0.0517579000, 0.0609944000, 0.0826628000, 0.1311840000, 0.2567206000, 0.6252492000", \ - "0.0580630000, 0.0627119000, 0.0746008000, 0.0952934000, 0.1461001000, 0.2658936000, 0.6280166000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0275358000, 0.0328364000, 0.0470741000, 0.0843416000, 0.1946201000, 0.5268591000, 1.5012618000", \ - "0.0274840000, 0.0329673000, 0.0470255000, 0.0845202000, 0.1943194000, 0.5273426000, 1.4985578000", \ - "0.0274823000, 0.0329653000, 0.0470658000, 0.0844993000, 0.1945771000, 0.5286850000, 1.5005963000", \ - "0.0274199000, 0.0330182000, 0.0469559000, 0.0845955000, 0.1947749000, 0.5266345000, 1.4959300000", \ - "0.0289639000, 0.0346001000, 0.0483916000, 0.0854656000, 0.1947908000, 0.5283380000, 1.5017858000", \ - "0.0333026000, 0.0388730000, 0.0536822000, 0.0900879000, 0.1974226000, 0.5277222000, 1.5007152000", \ - "0.0444907000, 0.0509918000, 0.0661755000, 0.1015301000, 0.2030608000, 0.5299358000, 1.4934823000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.2957902000, 0.3039596000, 0.3219280000, 0.3570003000, 0.4217986000, 0.5513401000, 0.8572160000", \ - "0.2984132000, 0.3066237000, 0.3245494000, 0.3594915000, 0.4244410000, 0.5538741000, 0.8598077000", \ - "0.3075083000, 0.3157390000, 0.3336500000, 0.3686033000, 0.4336083000, 0.5630630000, 0.8689724000", \ - "0.3318900000, 0.3400836000, 0.3580667000, 0.3927115000, 0.4572878000, 0.5870290000, 0.8930781000", \ - "0.3952510000, 0.4034585000, 0.4215523000, 0.4551458000, 0.5202156000, 0.6499647000, 0.9560392000", \ - "0.5463437000, 0.5544456000, 0.5723642000, 0.6073461000, 0.6722793000, 0.8020099000, 1.1080388000", \ - "0.8296088000, 0.8401736000, 0.8627771000, 0.9045152000, 0.9760285000, 1.1104689000, 1.4195685000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.1161592000, 0.1226139000, 0.1379643000, 0.1726525000, 0.2578524000, 0.4943679000, 1.1751540000", \ - "0.1210801000, 0.1276242000, 0.1429171000, 0.1776466000, 0.2627416000, 0.4985481000, 1.1787880000", \ - "0.1303476000, 0.1368561000, 0.1521480000, 0.1868311000, 0.2719122000, 0.5078471000, 1.1872946000", \ - "0.1474212000, 0.1539497000, 0.1692714000, 0.2039377000, 0.2889960000, 0.5252086000, 1.2050972000", \ - "0.1784858000, 0.1853707000, 0.2012127000, 0.2366314000, 0.3221858000, 0.5583914000, 1.2417538000", \ - "0.2204266000, 0.2283714000, 0.2463675000, 0.2840477000, 0.3711470000, 0.6077049000, 1.2909418000", \ - "0.2485229000, 0.2589158000, 0.2817825000, 0.3264363000, 0.4178546000, 0.6544957000, 1.3343970000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0451791000, 0.0502311000, 0.0598659000, 0.0824151000, 0.1318181000, 0.2569043000, 0.6257183000", \ - "0.0450839000, 0.0497155000, 0.0600339000, 0.0820450000, 0.1319485000, 0.2568884000, 0.6256961000", \ - "0.0450536000, 0.0497648000, 0.0600610000, 0.0819177000, 0.1319076000, 0.2568842000, 0.6256758000", \ - "0.0451386000, 0.0498602000, 0.0610259000, 0.0814320000, 0.1315790000, 0.2562130000, 0.6263906000", \ - "0.0454245000, 0.0502749000, 0.0606093000, 0.0817427000, 0.1304039000, 0.2562710000, 0.6251096000", \ - "0.0457937000, 0.0505333000, 0.0605173000, 0.0823534000, 0.1303090000, 0.2562628000, 0.6250635000", \ - "0.0651051000, 0.0711206000, 0.0818451000, 0.1017932000, 0.1459599000, 0.2655672000, 0.6299683000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0257582000, 0.0310399000, 0.0449595000, 0.0820200000, 0.1926751000, 0.5267259000, 1.4998783000", \ - "0.0257772000, 0.0311481000, 0.0448165000, 0.0821648000, 0.1929571000, 0.5277054000, 1.4941198000", \ - "0.0258058000, 0.0311710000, 0.0448045000, 0.0822177000, 0.1928573000, 0.5285961000, 1.5030061000", \ - "0.0257030000, 0.0310596000, 0.0449039000, 0.0821498000, 0.1929962000, 0.5261840000, 1.4943150000", \ - "0.0276962000, 0.0333220000, 0.0472525000, 0.0836084000, 0.1928985000, 0.5276389000, 1.5041323000", \ - "0.0335979000, 0.0392978000, 0.0533580000, 0.0892816000, 0.1963920000, 0.5262802000, 1.4985905000", \ - "0.0471236000, 0.0538217000, 0.0684541000, 0.1034414000, 0.2029458000, 0.5275689000, 1.4908785000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.1010767000, 0.1056326000, 0.1161592000, 0.1384995000, 0.1879238000, 0.3021733000, 0.5973197000", \ - "0.1063916000, 0.1109962000, 0.1215203000, 0.1438353000, 0.1932838000, 0.3075169000, 0.6027248000", \ - "0.1197886000, 0.1243180000, 0.1349181000, 0.1572957000, 0.2067164000, 0.3209729000, 0.6159914000", \ - "0.1519515000, 0.1565153000, 0.1670165000, 0.1894292000, 0.2388602000, 0.3531467000, 0.6481861000", \ - "0.2248133000, 0.2296468000, 0.2405289000, 0.2631801000, 0.3129964000, 0.4276361000, 0.7228457000", \ - "0.3492100000, 0.3555914000, 0.3697157000, 0.3981582000, 0.4540976000, 0.5739605000, 0.8697942000", \ - "0.5492904000, 0.5580001000, 0.5767311000, 0.6138059000, 0.6843182000, 0.8205480000, 1.1226441000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.1326958000, 0.1396559000, 0.1558214000, 0.1918548000, 0.2785679000, 0.5159650000, 1.1963748000", \ - "0.1366765000, 0.1436168000, 0.1598116000, 0.1959380000, 0.2826401000, 0.5206132000, 1.2023513000", \ - "0.1454804000, 0.1524215000, 0.1686044000, 0.2047306000, 0.2914337000, 0.5294258000, 1.2110624000", \ - "0.1660563000, 0.1729686000, 0.1891230000, 0.2251794000, 0.3118469000, 0.5491724000, 1.2324477000", \ - "0.2092886000, 0.2163540000, 0.2328228000, 0.2691020000, 0.3556669000, 0.5929982000, 1.2765998000", \ - "0.2735715000, 0.2813610000, 0.2992964000, 0.3360880000, 0.4247023000, 0.6624830000, 1.3436711000", \ - "0.3389567000, 0.3490639000, 0.3710283000, 0.4138380000, 0.5039084000, 0.7422550000, 1.4232922000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0187194000, 0.0219561000, 0.0298988000, 0.0494516000, 0.0998738000, 0.2280583000, 0.6115193000", \ - "0.0187055000, 0.0218421000, 0.0298316000, 0.0494362000, 0.0999192000, 0.2282736000, 0.6095639000", \ - "0.0189004000, 0.0219615000, 0.0298671000, 0.0495431000, 0.0998559000, 0.2281580000, 0.6110275000", \ - "0.0189145000, 0.0220381000, 0.0299322000, 0.0495339000, 0.0998232000, 0.2281521000, 0.6108076000", \ - "0.0214648000, 0.0244403000, 0.0320160000, 0.0509700000, 0.1005187000, 0.2285275000, 0.6115303000", \ - "0.0318337000, 0.0355937000, 0.0437884000, 0.0639998000, 0.1122920000, 0.2347543000, 0.6092798000", \ - "0.0480634000, 0.0523356000, 0.0632315000, 0.0869278000, 0.1398782000, 0.2591009000, 0.6135323000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0282708000, 0.0338153000, 0.0479666000, 0.0855754000, 0.1959753000, 0.5291132000, 1.4968987000", \ - "0.0283256000, 0.0339994000, 0.0479580000, 0.0854215000, 0.1957742000, 0.5288888000, 1.5009248000", \ - "0.0282662000, 0.0340150000, 0.0479544000, 0.0854203000, 0.1957316000, 0.5287794000, 1.5008256000", \ - "0.0281999000, 0.0337922000, 0.0477864000, 0.0854096000, 0.1959227000, 0.5292503000, 1.5006005000", \ - "0.0296707000, 0.0351060000, 0.0487337000, 0.0864299000, 0.1960999000, 0.5291276000, 1.4991299000", \ - "0.0347530000, 0.0402146000, 0.0539951000, 0.0907274000, 0.1981825000, 0.5271940000, 1.4954736000", \ - "0.0462411000, 0.0530679000, 0.0665446000, 0.1004322000, 0.2025291000, 0.5312192000, 1.4972212000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0854720000, 0.0898553000, 0.0999396000, 0.1215277000, 0.1698766000, 0.2834691000, 0.5782345000", \ - "0.0907192000, 0.0950645000, 0.1051425000, 0.1267251000, 0.1751191000, 0.2887054000, 0.5835658000", \ - "0.1038084000, 0.1081113000, 0.1181060000, 0.1398555000, 0.1881624000, 0.3017776000, 0.5968042000", \ - "0.1349851000, 0.1393233000, 0.1492464000, 0.1709155000, 0.2193323000, 0.3330177000, 0.6278077000", \ - "0.1998292000, 0.2047122000, 0.2157493000, 0.2389656000, 0.2883630000, 0.4027285000, 0.6978835000", \ - "0.3033304000, 0.3097718000, 0.3240012000, 0.3524811000, 0.4092911000, 0.5299402000, 0.8253649000", \ - "0.4638125000, 0.4722566000, 0.4904585000, 0.5275222000, 0.5986904000, 0.7351545000, 1.0380738000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.1254863000, 0.1324402000, 0.1487097000, 0.1847219000, 0.2714807000, 0.5095543000, 1.1909488000", \ - "0.1294383000, 0.1364138000, 0.1525244000, 0.1886256000, 0.2753035000, 0.5124410000, 1.1942830000", \ - "0.1385587000, 0.1455018000, 0.1617828000, 0.1977968000, 0.2845801000, 0.5226593000, 1.2040744000", \ - "0.1617425000, 0.1687014000, 0.1848932000, 0.2209149000, 0.3076914000, 0.5457349000, 1.2273994000", \ - "0.2117061000, 0.2187646000, 0.2350518000, 0.2711880000, 0.3576534000, 0.5958689000, 1.2784306000", \ - "0.2811529000, 0.2890646000, 0.3063615000, 0.3438108000, 0.4320356000, 0.6698245000, 1.3517543000", \ - "0.3537411000, 0.3641379000, 0.3861935000, 0.4289624000, 0.5175439000, 0.7550482000, 1.4372548000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0170494000, 0.0202164000, 0.0278969000, 0.0475584000, 0.0981472000, 0.2272118000, 0.6084199000", \ - "0.0171609000, 0.0200656000, 0.0278478000, 0.0474315000, 0.0981886000, 0.2272994000, 0.6112476000", \ - "0.0171398000, 0.0200987000, 0.0278889000, 0.0474461000, 0.0981745000, 0.2272746000, 0.6111937000", \ - "0.0170492000, 0.0201259000, 0.0279884000, 0.0476374000, 0.0981885000, 0.2273146000, 0.6086747000", \ - "0.0217201000, 0.0249194000, 0.0324947000, 0.0508796000, 0.0998098000, 0.2278679000, 0.6113150000", \ - "0.0316041000, 0.0355326000, 0.0441147000, 0.0642342000, 0.1130716000, 0.2360468000, 0.6111286000", \ - "0.0471177000, 0.0519751000, 0.0638423000, 0.0877560000, 0.1414430000, 0.2622373000, 0.6144709000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014471300, 0.0041883600, 0.0121222000, 0.0350847000, 0.1015440000, 0.2938940000"); - values("0.0285196000, 0.0340067000, 0.0479892000, 0.0854679000, 0.1955242000, 0.5282364000, 1.5001750000", \ - "0.0282655000, 0.0338009000, 0.0479084000, 0.0855053000, 0.1957601000, 0.5272124000, 1.5003414000", \ - "0.0284901000, 0.0340238000, 0.0480239000, 0.0855167000, 0.1954606000, 0.5280330000, 1.5001472000", \ - "0.0281677000, 0.0336147000, 0.0478630000, 0.0854098000, 0.1955731000, 0.5281568000, 1.5005871000", \ - "0.0293570000, 0.0347051000, 0.0486466000, 0.0861914000, 0.1957010000, 0.5293593000, 1.5007701000", \ - "0.0361163000, 0.0412806000, 0.0554428000, 0.0903434000, 0.1981805000, 0.5288883000, 1.5013575000", \ - "0.0489379000, 0.0550817000, 0.0688859000, 0.1017178000, 0.2025965000, 0.5309536000, 1.4945905000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o311a_4") { - leakage_power () { - value : 0.0042305000; - when : "!A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0063875000; - when : "!A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0060049000; - when : "!A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0042296000; - when : "!A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0046335000; - when : "!A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0063961000; - when : "!A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0134942000; - when : "!A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0047987000; - when : "!A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0046336000; - when : "!A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0063961000; - when : "!A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0080309000; - when : "!A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0047987000; - when : "!A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0046335000; - when : "!A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0269774000; - when : "!A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0058288000; - when : "!A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0047985000; - when : "!A1&A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0046336000; - when : "A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0063961000; - when : "A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0078960000; - when : "A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0047974000; - when : "A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0046335000; - when : "A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0063961000; - when : "A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0058324000; - when : "A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0047982000; - when : "A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0046336000; - when : "A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0063961000; - when : "A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0058204000; - when : "A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0047974000; - when : "A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0046335000; - when : "A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0269848000; - when : "A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0056983000; - when : "A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0047982000; - when : "A1&A2&A3&B1&!C1"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__o311a"; - cell_leakage_power : 0.0070443310; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0044250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042080000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079954000, 0.0079915000, 0.0079826000, 0.0079860000, 0.0079937000, 0.0080114000, 0.0080521000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007975700, -0.007974500, -0.007971800, -0.007971200, -0.007969600, -0.007965900, -0.007957700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046420000; - } - pin ("A2") { - capacitance : 0.0043240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040280000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079370000, 0.0079372000, 0.0079376000, 0.0079381000, 0.0079391000, 0.0079414000, 0.0079469000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007944900, -0.007942900, -0.007938200, -0.007933200, -0.007921700, -0.007895100, -0.007833800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046190000; - } - pin ("A3") { - capacitance : 0.0044440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040630000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080856000, 0.0080840000, 0.0080804000, 0.0080816000, 0.0080845000, 0.0080911000, 0.0081066000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008078900, -0.008079100, -0.008079600, -0.008080700, -0.008083100, -0.008088700, -0.008101700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0048250000; - } - pin ("B1") { - capacitance : 0.0044550000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043010000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082214000, 0.0081960000, 0.0081375000, 0.0081501000, 0.0081793000, 0.0082465000, 0.0084014000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008086400, -0.008083300, -0.008076000, -0.008078300, -0.008083700, -0.008095900, -0.008124000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046090000; - } - pin ("C1") { - capacitance : 0.0044320000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043160000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0093921000, 0.0093928000, 0.0093945000, 0.0093958000, 0.0093987000, 0.0094053000, 0.0094205000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006480800, -0.006489300, -0.006509000, -0.006490400, -0.006447500, -0.006348400, -0.006119900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045480000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1&C1) | (A2&B1&C1) | (A3&B1&C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0431901000, 0.0413906000, 0.0361618000, 0.0211278000, -0.031797700, -0.215102700, -0.814753100", \ - "0.0430990000, 0.0412901000, 0.0360665000, 0.0210422000, -0.031912900, -0.215556600, -0.815016800", \ - "0.0426335000, 0.0408190000, 0.0355825000, 0.0209339000, -0.032148700, -0.215727100, -0.815282100", \ - "0.0424093000, 0.0406067000, 0.0354197000, 0.0206426000, -0.032470000, -0.216058500, -0.815521700", \ - "0.0420836000, 0.0402837000, 0.0350582000, 0.0200431000, -0.032920000, -0.216391100, -0.815932300", \ - "0.0423443000, 0.0405231000, 0.0351784000, 0.0198660000, -0.033045700, -0.216744000, -0.816029200", \ - "0.0522606000, 0.0502256000, 0.0440763000, 0.0254492000, -0.033021200, -0.217155900, -0.816225000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0397439000, 0.0415889000, 0.0477405000, 0.0670243000, 0.1266607000, 0.3136850000, 0.9069807000", \ - "0.0395018000, 0.0413453000, 0.0474969000, 0.0668760000, 0.1265242000, 0.3134248000, 0.9063530000", \ - "0.0390661000, 0.0410094000, 0.0470492000, 0.0666657000, 0.1262020000, 0.3128788000, 0.9083615000", \ - "0.0388560000, 0.0407023000, 0.0467805000, 0.0662078000, 0.1259892000, 0.3127130000, 0.9098810000", \ - "0.0387578000, 0.0406172000, 0.0466936000, 0.0658440000, 0.1254386000, 0.3122724000, 0.9076989000", \ - "0.0400072000, 0.0418216000, 0.0476321000, 0.0655606000, 0.1249187000, 0.3116148000, 0.9070425000", \ - "0.0418477000, 0.0435878000, 0.0492851000, 0.0675022000, 0.1258189000, 0.3126928000, 0.9054875000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0384254000, 0.0365900000, 0.0313704000, 0.0164319000, -0.036158500, -0.219876900, -0.819493600", \ - "0.0381788000, 0.0363205000, 0.0311055000, 0.0161819000, -0.036526600, -0.220105800, -0.819749500", \ - "0.0380223000, 0.0362161000, 0.0310026000, 0.0160190000, -0.036961900, -0.220613900, -0.819988800", \ - "0.0376206000, 0.0357900000, 0.0305686000, 0.0155068000, -0.037299400, -0.220791000, -0.820319400", \ - "0.0373018000, 0.0354334000, 0.0302977000, 0.0153034000, -0.037692100, -0.221243100, -0.820695500", \ - "0.0372698000, 0.0354788000, 0.0301877000, 0.0150620000, -0.037579000, -0.221437300, -0.820767100", \ - "0.0474909000, 0.0453993000, 0.0392201000, 0.0205199000, -0.036652300, -0.220726800, -0.820271700"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0366026000, 0.0384487000, 0.0445853000, 0.0636903000, 0.1231114000, 0.3095986000, 0.9064174000", \ - "0.0364555000, 0.0383540000, 0.0444877000, 0.0636532000, 0.1229543000, 0.3093045000, 0.9049127000", \ - "0.0363171000, 0.0382188000, 0.0443393000, 0.0635161000, 0.1228165000, 0.3091892000, 0.9048300000", \ - "0.0359493000, 0.0378219000, 0.0439178000, 0.0632283000, 0.1225422000, 0.3091364000, 0.9071912000", \ - "0.0355786000, 0.0375215000, 0.0435166000, 0.0626770000, 0.1217983000, 0.3085517000, 0.9067309000", \ - "0.0365096000, 0.0385275000, 0.0443165000, 0.0626977000, 0.1214650000, 0.3073151000, 0.9021891000", \ - "0.0382344000, 0.0399647000, 0.0456678000, 0.0639031000, 0.1223553000, 0.3082018000, 0.9025229000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0337390000, 0.0319330000, 0.0267816000, 0.0118229000, -0.041135400, -0.224772900, -0.824160400", \ - "0.0334217000, 0.0315951000, 0.0264774000, 0.0114132000, -0.041505900, -0.224829400, -0.824427400", \ - "0.0330969000, 0.0313307000, 0.0260901000, 0.0111401000, -0.041798900, -0.225166200, -0.824730800", \ - "0.0327924000, 0.0309631000, 0.0256911000, 0.0106746000, -0.042241100, -0.225815400, -0.825187500", \ - "0.0325155000, 0.0307175000, 0.0255083000, 0.0104642000, -0.042568500, -0.226068900, -0.825446500", \ - "0.0333810000, 0.0321833000, 0.0269146000, 0.0116346000, -0.040724300, -0.224856600, -0.824265900", \ - "0.0467215000, 0.0445311000, 0.0381337000, 0.0192495000, -0.039508900, -0.223182100, -0.822394800"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0323477000, 0.0342710000, 0.0404289000, 0.0595681000, 0.1187930000, 0.3047761000, 0.9003512000", \ - "0.0323464000, 0.0342588000, 0.0404500000, 0.0597123000, 0.1189121000, 0.3049205000, 0.9000887000", \ - "0.0322363000, 0.0341395000, 0.0403334000, 0.0595932000, 0.1187307000, 0.3049559000, 0.8994342000", \ - "0.0317677000, 0.0337135000, 0.0398622000, 0.0591096000, 0.1183013000, 0.3043680000, 0.9002960000", \ - "0.0312824000, 0.0331896000, 0.0392857000, 0.0583829000, 0.1175154000, 0.3038415000, 0.8990285000", \ - "0.0323280000, 0.0341350000, 0.0399417000, 0.0583721000, 0.1169081000, 0.3024096000, 0.8982570000", \ - "0.0337080000, 0.0354154000, 0.0413449000, 0.0595961000, 0.1183100000, 0.3039846000, 0.8981092000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0315436000, 0.0303977000, 0.0265665000, 0.0130426000, -0.038707100, -0.224158500, -0.824560000", \ - "0.0313355000, 0.0301912000, 0.0263164000, 0.0127853000, -0.038832800, -0.224359400, -0.824762500", \ - "0.0311587000, 0.0298259000, 0.0259951000, 0.0123966000, -0.039269000, -0.224686500, -0.825084500", \ - "0.0305846000, 0.0292544000, 0.0253162000, 0.0117032000, -0.039950200, -0.225245400, -0.825573100", \ - "0.0299398000, 0.0285012000, 0.0243549000, 0.0105230000, -0.040986900, -0.225989100, -0.826170200", \ - "0.0367379000, 0.0349175000, 0.0292391000, 0.0113855000, -0.040600200, -0.225331800, -0.825458100", \ - "0.0465622000, 0.0445699000, 0.0385770000, 0.0201677000, -0.037906200, -0.224127100, -0.823630600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0399189000, 0.0418082000, 0.0478870000, 0.0673608000, 0.1270599000, 0.3139298000, 0.9088461000", \ - "0.0398719000, 0.0417198000, 0.0478731000, 0.0672607000, 0.1268882000, 0.3138765000, 0.9070005000", \ - "0.0396120000, 0.0414170000, 0.0476343000, 0.0669254000, 0.1265887000, 0.3136146000, 0.9083555000", \ - "0.0392106000, 0.0409962000, 0.0471083000, 0.0667150000, 0.1262870000, 0.3127011000, 0.9085472000", \ - "0.0393586000, 0.0412245000, 0.0473002000, 0.0666082000, 0.1255457000, 0.3125659000, 0.9068798000", \ - "0.0406511000, 0.0424213000, 0.0480911000, 0.0660330000, 0.1253670000, 0.3117098000, 0.9060354000", \ - "0.0428278000, 0.0445952000, 0.0504813000, 0.0689476000, 0.1269924000, 0.3134595000, 0.9062884000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0247030000, 0.0233506000, 0.0196143000, 0.0058955000, -0.046270400, -0.232093500, -0.832768200", \ - "0.0244646000, 0.0231124000, 0.0193465000, 0.0056599000, -0.046455300, -0.232295600, -0.832956800", \ - "0.0240205000, 0.0227546000, 0.0189862000, 0.0052291000, -0.046857800, -0.232669200, -0.833321100", \ - "0.0234988000, 0.0221702000, 0.0182104000, 0.0043545000, -0.047640200, -0.233257000, -0.833859000", \ - "0.0227492000, 0.0212422000, 0.0170779000, 0.0030831000, -0.048682500, -0.233992100, -0.834428400", \ - "0.0294656000, 0.0276285000, 0.0220045000, 0.0040910000, -0.048957400, -0.233778100, -0.834016500", \ - "0.0383808000, 0.0363799000, 0.0303893000, 0.0119117000, -0.046045800, -0.232344200, -0.832095900"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0382196000, 0.0401009000, 0.0461746000, 0.0657839000, 0.1253642000, 0.3121871000, 0.9074256000", \ - "0.0380358000, 0.0399793000, 0.0460134000, 0.0656410000, 0.1251517000, 0.3116585000, 0.9075179000", \ - "0.0377844000, 0.0396795000, 0.0458456000, 0.0652341000, 0.1249423000, 0.3117742000, 0.9068942000", \ - "0.0373379000, 0.0392747000, 0.0453934000, 0.0648506000, 0.1245291000, 0.3112424000, 0.9067197000", \ - "0.0374835000, 0.0393212000, 0.0453228000, 0.0643596000, 0.1238665000, 0.3106239000, 0.9090547000", \ - "0.0389567000, 0.0407480000, 0.0464468000, 0.0644504000, 0.1235683000, 0.3098368000, 0.9090590000", \ - "0.0415138000, 0.0432223000, 0.0487070000, 0.0671150000, 0.1251937000, 0.3116418000, 0.9062106000"); - } - } - max_capacitance : 0.5392550000; - max_transition : 1.5056430000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.3333404000, 0.3388826000, 0.3529105000, 0.3836678000, 0.4456875000, 0.5763929000, 0.9109404000", \ - "0.3378158000, 0.3433509000, 0.3574535000, 0.3882563000, 0.4502037000, 0.5811913000, 0.9149993000", \ - "0.3499312000, 0.3554509000, 0.3695599000, 0.4001579000, 0.4621879000, 0.5933273000, 0.9272613000", \ - "0.3761318000, 0.3816767000, 0.3957416000, 0.4264668000, 0.4884742000, 0.6195282000, 0.9534556000", \ - "0.4296857000, 0.4352471000, 0.4492659000, 0.4800145000, 0.5417405000, 0.6730602000, 1.0073272000", \ - "0.5410503000, 0.5466760000, 0.5609787000, 0.5919724000, 0.6535457000, 0.7852876000, 1.1197604000", \ - "0.7419269000, 0.7481887000, 0.7641472000, 0.7985300000, 0.8660611000, 1.0043858000, 1.3429639000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.1579149000, 0.1631929000, 0.1770062000, 0.2097093000, 0.2895874000, 0.5163012000, 1.2262622000", \ - "0.1621002000, 0.1673727000, 0.1812023000, 0.2138896000, 0.2937740000, 0.5204489000, 1.2306943000", \ - "0.1706391000, 0.1759037000, 0.1897435000, 0.2225573000, 0.3023506000, 0.5294076000, 1.2401404000", \ - "0.1872673000, 0.1925400000, 0.2063318000, 0.2390271000, 0.3188884000, 0.5453162000, 1.2568381000", \ - "0.2200451000, 0.2253907000, 0.2392461000, 0.2720441000, 0.3518438000, 0.5788683000, 1.2897348000", \ - "0.2736991000, 0.2794470000, 0.2943323000, 0.3288148000, 0.4100741000, 0.6369589000, 1.3485112000", \ - "0.3370099000, 0.3438914000, 0.3615669000, 0.4004571000, 0.4851742000, 0.7134177000, 1.4239296000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0411982000, 0.0443738000, 0.0523379000, 0.0714538000, 0.1187059000, 0.2476355000, 0.6584212000", \ - "0.0410248000, 0.0442026000, 0.0527158000, 0.0727733000, 0.1184760000, 0.2474324000, 0.6597869000", \ - "0.0410563000, 0.0442978000, 0.0521332000, 0.0727907000, 0.1184566000, 0.2468071000, 0.6598790000", \ - "0.0409434000, 0.0441169000, 0.0530755000, 0.0725112000, 0.1183052000, 0.2471634000, 0.6597243000", \ - "0.0412148000, 0.0444018000, 0.0523400000, 0.0715332000, 0.1190002000, 0.2472751000, 0.6594748000", \ - "0.0424165000, 0.0457823000, 0.0535195000, 0.0735441000, 0.1200213000, 0.2477698000, 0.6584706000", \ - "0.0504089000, 0.0533985000, 0.0621527000, 0.0822013000, 0.1304867000, 0.2577800000, 0.6640047000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0295548000, 0.0335350000, 0.0446239000, 0.0753412000, 0.1693133000, 0.4817174000, 1.5011071000", \ - "0.0295428000, 0.0335286000, 0.0446091000, 0.0753428000, 0.1692829000, 0.4817835000, 1.5005336000", \ - "0.0297039000, 0.0335386000, 0.0448245000, 0.0752877000, 0.1689967000, 0.4823765000, 1.5032781000", \ - "0.0294326000, 0.0334359000, 0.0446671000, 0.0752607000, 0.1691428000, 0.4820661000, 1.5005102000", \ - "0.0300214000, 0.0338522000, 0.0448196000, 0.0755702000, 0.1692233000, 0.4825915000, 1.5033844000", \ - "0.0332390000, 0.0374263000, 0.0489462000, 0.0793897000, 0.1717860000, 0.4826708000, 1.5033336000", \ - "0.0423702000, 0.0470146000, 0.0585380000, 0.0890413000, 0.1776412000, 0.4832996000, 1.4976328000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.3154652000, 0.3209791000, 0.3350739000, 0.3659220000, 0.4274757000, 0.5585294000, 0.8928815000", \ - "0.3188599000, 0.3243822000, 0.3384918000, 0.3692761000, 0.4308960000, 0.5619930000, 0.8962909000", \ - "0.3296821000, 0.3352057000, 0.3493008000, 0.3802172000, 0.4420791000, 0.5729892000, 0.9068158000", \ - "0.3549392000, 0.3604005000, 0.3747364000, 0.4052202000, 0.4673751000, 0.5982693000, 0.9326647000", \ - "0.4114183000, 0.4166941000, 0.4309545000, 0.4614935000, 0.5235001000, 0.6548623000, 0.9890735000", \ - "0.5400040000, 0.5456824000, 0.5600918000, 0.5911893000, 0.6526971000, 0.7846506000, 1.1191211000", \ - "0.7759816000, 0.7822963000, 0.7991668000, 0.8343622000, 0.9025913000, 1.0411748000, 1.3802589000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.1450375000, 0.1499725000, 0.1630233000, 0.1942539000, 0.2718601000, 0.4968403000, 1.2052011000", \ - "0.1496321000, 0.1545699000, 0.1676348000, 0.1989590000, 0.2766329000, 0.5008903000, 1.2101369000", \ - "0.1584763000, 0.1634146000, 0.1764755000, 0.2077945000, 0.2854625000, 0.5098802000, 1.2195833000", \ - "0.1743338000, 0.1792648000, 0.1924019000, 0.2236710000, 0.3012876000, 0.5263207000, 1.2361803000", \ - "0.2040388000, 0.2091248000, 0.2224978000, 0.2541497000, 0.3319807000, 0.5571247000, 1.2684015000", \ - "0.2494058000, 0.2550432000, 0.2695510000, 0.3031385000, 0.3828534000, 0.6080625000, 1.3182279000", \ - "0.2925586000, 0.2994811000, 0.3171817000, 0.3560985000, 0.4402023000, 0.6666004000, 1.3758856000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0411840000, 0.0444376000, 0.0522725000, 0.0724634000, 0.1199904000, 0.2476456000, 0.6598929000", \ - "0.0412603000, 0.0444896000, 0.0522544000, 0.0715372000, 0.1192962000, 0.2476588000, 0.6598726000", \ - "0.0409909000, 0.0441656000, 0.0527874000, 0.0722666000, 0.1185614000, 0.2472863000, 0.6595652000", \ - "0.0410238000, 0.0444510000, 0.0525209000, 0.0715690000, 0.1187890000, 0.2473269000, 0.6586803000", \ - "0.0412249000, 0.0442267000, 0.0523233000, 0.0718687000, 0.1192561000, 0.2472083000, 0.6595018000", \ - "0.0433812000, 0.0465185000, 0.0543365000, 0.0730366000, 0.1207699000, 0.2478676000, 0.6587230000", \ - "0.0541549000, 0.0577437000, 0.0671508000, 0.0865782000, 0.1336298000, 0.2588151000, 0.6650255000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0272555000, 0.0310827000, 0.0419120000, 0.0721233000, 0.1657896000, 0.4806568000, 1.4987419000", \ - "0.0273990000, 0.0312410000, 0.0417426000, 0.0722030000, 0.1660239000, 0.4800551000, 1.5011847000", \ - "0.0273776000, 0.0312321000, 0.0418728000, 0.0722002000, 0.1660578000, 0.4799635000, 1.5023075000", \ - "0.0271799000, 0.0310274000, 0.0418851000, 0.0720804000, 0.1659130000, 0.4796665000, 1.5030103000", \ - "0.0282783000, 0.0323150000, 0.0429495000, 0.0729497000, 0.1662582000, 0.4796023000, 1.5043985000", \ - "0.0321226000, 0.0363802000, 0.0476106000, 0.0775339000, 0.1694003000, 0.4803748000, 1.5021141000", \ - "0.0428647000, 0.0472674000, 0.0589366000, 0.0890572000, 0.1761234000, 0.4823074000, 1.4981564000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.2728193000, 0.2783402000, 0.2924083000, 0.3233285000, 0.3852243000, 0.5164946000, 0.8511265000", \ - "0.2753438000, 0.2808699000, 0.2950201000, 0.3258136000, 0.3879140000, 0.5185177000, 0.8530975000", \ - "0.2838928000, 0.2894583000, 0.3035404000, 0.3342547000, 0.3962802000, 0.5271358000, 0.8616793000", \ - "0.3065303000, 0.3125820000, 0.3266687000, 0.3572970000, 0.4195124000, 0.5504991000, 0.8848665000", \ - "0.3654976000, 0.3710670000, 0.3850488000, 0.4157602000, 0.4777798000, 0.6091491000, 0.9438049000", \ - "0.5054536000, 0.5111586000, 0.5256842000, 0.5563454000, 0.6172983000, 0.7490535000, 1.0832544000", \ - "0.7472610000, 0.7538200000, 0.7714475000, 0.8091406000, 0.8766688000, 1.0099548000, 1.3487956000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.1222132000, 0.1269422000, 0.1394962000, 0.1698257000, 0.2460432000, 0.4697426000, 1.1796436000", \ - "0.1272204000, 0.1319271000, 0.1445096000, 0.1748815000, 0.2512000000, 0.4747170000, 1.1854180000", \ - "0.1364029000, 0.1411075000, 0.1536790000, 0.1840542000, 0.2604013000, 0.4834329000, 1.1956698000", \ - "0.1527765000, 0.1574904000, 0.1700029000, 0.2003994000, 0.2766503000, 0.5001714000, 1.2119521000", \ - "0.1818716000, 0.1868083000, 0.1998507000, 0.2309405000, 0.3077675000, 0.5318641000, 1.2391095000", \ - "0.2234866000, 0.2291073000, 0.2436886000, 0.2769609000, 0.3562399000, 0.5804595000, 1.2931215000", \ - "0.2573907000, 0.2646049000, 0.2830207000, 0.3230801000, 0.4081520000, 0.6340679000, 1.3424366000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0410057000, 0.0441219000, 0.0530943000, 0.0721121000, 0.1185300000, 0.2468052000, 0.6582221000", \ - "0.0411559000, 0.0444200000, 0.0522990000, 0.0714216000, 0.1183796000, 0.2477092000, 0.6582966000", \ - "0.0410703000, 0.0445244000, 0.0526430000, 0.0715700000, 0.1186109000, 0.2476118000, 0.6588252000", \ - "0.0409765000, 0.0441867000, 0.0527315000, 0.0714978000, 0.1186852000, 0.2474055000, 0.6585272000", \ - "0.0413313000, 0.0442433000, 0.0531839000, 0.0715990000, 0.1183408000, 0.2470847000, 0.6575848000", \ - "0.0440510000, 0.0469117000, 0.0545498000, 0.0728639000, 0.1208191000, 0.2482498000, 0.6597914000", \ - "0.0614671000, 0.0654917000, 0.0741635000, 0.0918134000, 0.1327805000, 0.2576520000, 0.6640770000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0255436000, 0.0292523000, 0.0397282000, 0.0699105000, 0.1638183000, 0.4793191000, 1.5048988000", \ - "0.0256596000, 0.0291894000, 0.0399474000, 0.0696683000, 0.1638586000, 0.4783065000, 1.5037145000", \ - "0.0256219000, 0.0292106000, 0.0399383000, 0.0696682000, 0.1638638000, 0.4784794000, 1.5015296000", \ - "0.0256603000, 0.0293520000, 0.0397655000, 0.0696744000, 0.1639100000, 0.4788814000, 1.5056434000", \ - "0.0273373000, 0.0312847000, 0.0417115000, 0.0712956000, 0.1645143000, 0.4779686000, 1.5047322000", \ - "0.0322735000, 0.0362427000, 0.0468838000, 0.0769743000, 0.1680491000, 0.4787871000, 1.5002762000", \ - "0.0447644000, 0.0497041000, 0.0619562000, 0.0910095000, 0.1769083000, 0.4819436000, 1.4958321000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0981407000, 0.1012999000, 0.1096916000, 0.1295366000, 0.1762534000, 0.2942829000, 0.6181171000", \ - "0.1034805000, 0.1066439000, 0.1150334000, 0.1349059000, 0.1816780000, 0.2997280000, 0.6237986000", \ - "0.1161663000, 0.1193114000, 0.1276970000, 0.1474600000, 0.1943514000, 0.3124178000, 0.6361089000", \ - "0.1474628000, 0.1506083000, 0.1589561000, 0.1787003000, 0.2256701000, 0.3438045000, 0.6678486000", \ - "0.2171993000, 0.2206018000, 0.2294260000, 0.2498546000, 0.2973230000, 0.4159077000, 0.7400165000", \ - "0.3329597000, 0.3374507000, 0.3489198000, 0.3743597000, 0.4279661000, 0.5522919000, 0.8776287000", \ - "0.5148496000, 0.5208157000, 0.5360487000, 0.5697234000, 0.6392974000, 0.7810108000, 1.1142112000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.1492825000, 0.1545350000, 0.1683459000, 0.2012092000, 0.2810475000, 0.5080801000, 1.2184440000", \ - "0.1535441000, 0.1588220000, 0.1726390000, 0.2053335000, 0.2852462000, 0.5119893000, 1.2220964000", \ - "0.1625719000, 0.1677841000, 0.1815452000, 0.2143620000, 0.2942413000, 0.5212651000, 1.2314402000", \ - "0.1832000000, 0.1883000000, 0.2020664000, 0.2348789000, 0.3145222000, 0.5415542000, 1.2524367000", \ - "0.2290260000, 0.2343344000, 0.2481691000, 0.2808767000, 0.3604528000, 0.5867299000, 1.3005269000", \ - "0.3021105000, 0.3079790000, 0.3227808000, 0.3569359000, 0.4378033000, 0.6649675000, 1.3752508000", \ - "0.3859490000, 0.3930809000, 0.4112494000, 0.4500975000, 0.5338325000, 0.7608441000, 1.4725184000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0176547000, 0.0198481000, 0.0260325000, 0.0431122000, 0.0912878000, 0.2245115000, 0.6434713000", \ - "0.0176573000, 0.0198460000, 0.0258985000, 0.0431024000, 0.0912236000, 0.2246613000, 0.6425248000", \ - "0.0177380000, 0.0200195000, 0.0260377000, 0.0432149000, 0.0912898000, 0.2242667000, 0.6440560000", \ - "0.0175416000, 0.0196766000, 0.0258643000, 0.0431558000, 0.0912313000, 0.2246435000, 0.6431264000", \ - "0.0206809000, 0.0228511000, 0.0285388000, 0.0449898000, 0.0921181000, 0.2250556000, 0.6443533000", \ - "0.0305218000, 0.0332550000, 0.0398251000, 0.0576836000, 0.1045070000, 0.2320227000, 0.6449422000", \ - "0.0461521000, 0.0496456000, 0.0591760000, 0.0808624000, 0.1314094000, 0.2559141000, 0.6502668000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0294171000, 0.0336621000, 0.0448859000, 0.0752187000, 0.1690187000, 0.4822972000, 1.5035203000", \ - "0.0295461000, 0.0335211000, 0.0446042000, 0.0753281000, 0.1692763000, 0.4817309000, 1.5008811000", \ - "0.0295108000, 0.0334664000, 0.0445712000, 0.0753377000, 0.1693802000, 0.4820442000, 1.5033542000", \ - "0.0293876000, 0.0333077000, 0.0443714000, 0.0751215000, 0.1687256000, 0.4818257000, 1.5031245000", \ - "0.0300645000, 0.0339127000, 0.0449594000, 0.0754252000, 0.1690168000, 0.4820984000, 1.5039817000", \ - "0.0345347000, 0.0384951000, 0.0493571000, 0.0796725000, 0.1712734000, 0.4820891000, 1.5016595000", \ - "0.0457583000, 0.0504420000, 0.0617211000, 0.0901606000, 0.1766961000, 0.4845008000, 1.4989295000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0860490000, 0.0891330000, 0.0973836000, 0.1167949000, 0.1630424000, 0.2803559000, 0.6037745000", \ - "0.0915007000, 0.0945819000, 0.1027361000, 0.1222429000, 0.1684995000, 0.2859047000, 0.6091968000", \ - "0.1050075000, 0.1080525000, 0.1162200000, 0.1356708000, 0.1818999000, 0.2992390000, 0.6224432000", \ - "0.1371056000, 0.1401865000, 0.1482676000, 0.1676541000, 0.2139813000, 0.3314532000, 0.6548909000", \ - "0.2060314000, 0.2094755000, 0.2184787000, 0.2390977000, 0.2863972000, 0.4041359000, 0.7277694000", \ - "0.3191828000, 0.3237167000, 0.3354497000, 0.3616440000, 0.4173071000, 0.5425377000, 0.8667232000", \ - "0.5018266000, 0.5076694000, 0.5230971000, 0.5574353000, 0.6297552000, 0.7747565000, 1.1075717000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.1371391000, 0.1424007000, 0.1562340000, 0.1890599000, 0.2689206000, 0.4959878000, 1.2066015000", \ - "0.1408261000, 0.1461081000, 0.1599281000, 0.1927523000, 0.2725077000, 0.4995914000, 1.2103599000", \ - "0.1498186000, 0.1550841000, 0.1689156000, 0.2017351000, 0.2815411000, 0.5086265000, 1.2191472000", \ - "0.1722668000, 0.1775118000, 0.1913145000, 0.2241070000, 0.3037455000, 0.5308073000, 1.2416616000", \ - "0.2228655000, 0.2280884000, 0.2417810000, 0.2744014000, 0.3536767000, 0.5796667000, 1.2933472000", \ - "0.2958122000, 0.3015508000, 0.3158176000, 0.3490117000, 0.4290685000, 0.6568104000, 1.3698755000", \ - "0.3696992000, 0.3769925000, 0.3944934000, 0.4328670000, 0.5145332000, 0.7418016000, 1.4538029000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0167064000, 0.0189527000, 0.0250631000, 0.0422397000, 0.0903270000, 0.2238158000, 0.6434627000", \ - "0.0166559000, 0.0189517000, 0.0250588000, 0.0422486000, 0.0903522000, 0.2235326000, 0.6432676000", \ - "0.0167476000, 0.0189389000, 0.0249788000, 0.0421664000, 0.0903281000, 0.2234219000, 0.6436010000", \ - "0.0167010000, 0.0188512000, 0.0249740000, 0.0422745000, 0.0903557000, 0.2237725000, 0.6434555000", \ - "0.0207444000, 0.0229317000, 0.0289268000, 0.0452179000, 0.0917646000, 0.2243281000, 0.6428020000", \ - "0.0312381000, 0.0336103000, 0.0414706000, 0.0593143000, 0.1058068000, 0.2325588000, 0.6436645000", \ - "0.0470362000, 0.0507277000, 0.0599756000, 0.0839648000, 0.1369074000, 0.2606451000, 0.6510824000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0294350000, 0.0334189000, 0.0448337000, 0.0752800000, 0.1690218000, 0.4824715000, 1.5034666000", \ - "0.0295610000, 0.0336788000, 0.0447142000, 0.0752637000, 0.1687289000, 0.4816908000, 1.5027315000", \ - "0.0295992000, 0.0335781000, 0.0447944000, 0.0751901000, 0.1689923000, 0.4825108000, 1.5035473000", \ - "0.0295396000, 0.0334434000, 0.0443299000, 0.0750376000, 0.1687832000, 0.4824401000, 1.5033428000", \ - "0.0294859000, 0.0335055000, 0.0446122000, 0.0753497000, 0.1686503000, 0.4821779000, 1.5045358000", \ - "0.0351496000, 0.0386916000, 0.0493967000, 0.0787215000, 0.1713848000, 0.4831945000, 1.5051032000", \ - "0.0474298000, 0.0518338000, 0.0628907000, 0.0900473000, 0.1757284000, 0.4851209000, 1.5025339000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o311ai_0") { - leakage_power () { - value : 0.0001085000; - when : "!A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 3.7228392e-05; - when : "!A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0010956000; - when : "!A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0001021000; - when : "!A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0004090000; - when : "!A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 4.7689107e-05; - when : "!A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0020640000; - when : "!A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0003979000; - when : "!A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0004090000; - when : "!A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 4.7689107e-05; - when : "!A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0019715000; - when : "!A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0003979000; - when : "!A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0004090000; - when : "!A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 4.7689107e-05; - when : "!A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0016459000; - when : "!A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0003979000; - when : "!A1&A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0004090000; - when : "A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 4.7689107e-05; - when : "A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0023676000; - when : "A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0003979000; - when : "A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0004090000; - when : "A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 4.7689107e-05; - when : "A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0016930000; - when : "A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0003979000; - when : "A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0004090000; - when : "A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 4.7689107e-05; - when : "A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0016943000; - when : "A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0003979000; - when : "A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0004090000; - when : "A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 4.7689107e-05; - when : "A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0016309000; - when : "A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0003979000; - when : "A1&A2&A3&B1&!C1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__o311ai"; - cell_leakage_power : 0.0006372847; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0017820000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017180000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0029297000, 0.0029295000, 0.0029290000, 0.0029287000, 0.0029281000, 0.0029267000, 0.0029234000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002936800, -0.002933300, -0.002925000, -0.002924500, -0.002923400, -0.002920900, -0.002915000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018450000; - } - pin ("A2") { - capacitance : 0.0018750000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030534000, 0.0030503000, 0.0030431000, 0.0030433000, 0.0030438000, 0.0030451000, 0.0030479000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003064700, -0.003056800, -0.003038700, -0.003039200, -0.003040300, -0.003043000, -0.003049100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019810000; - } - pin ("A3") { - capacitance : 0.0016940000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027462000, 0.0027453000, 0.0027432000, 0.0027435000, 0.0027442000, 0.0027460000, 0.0027500000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002744400, -0.002744000, -0.002742900, -0.002743000, -0.002743200, -0.002743700, -0.002744900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018140000; - } - pin ("B1") { - capacitance : 0.0017280000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0029245000, 0.0029144000, 0.0028912000, 0.0028939000, 0.0029002000, 0.0029146000, 0.0029477000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002848400, -0.002847800, -0.002846200, -0.002846000, -0.002845500, -0.002844400, -0.002841900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017750000; - } - pin ("C1") { - capacitance : 0.0017240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016980000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0034926000, 0.0034931000, 0.0034942000, 0.0034951000, 0.0034969000, 0.0035013000, 0.0035114000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002944600, -0.002947200, -0.002953300, -0.002948700, -0.002938200, -0.002913900, -0.002857900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017510000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2&!A3) | (!B1) | (!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009854544, 0.0019422410, 0.0038279800, 0.0075446000, 0.0148697200, 0.0293068700"); - values("0.0055750000, 0.0047979000, 0.0032714000, 0.0002445000, -0.005729800, -0.017538700, -0.040883400", \ - "0.0054991000, 0.0047218000, 0.0031929000, 0.0001665000, -0.005805500, -0.017628700, -0.040948100", \ - "0.0054118000, 0.0046335000, 0.0031113000, 8.720000e-05, -0.005888100, -0.017703800, -0.041025800", \ - "0.0053149000, 0.0045412000, 0.0030233000, 8.500000e-06, -0.005953900, -0.017756000, -0.041078900", \ - "0.0052625000, 0.0045011000, 0.0029797000, -3.16000e-05, -0.005994400, -0.017780300, -0.041093900", \ - "0.0052932000, 0.0045088000, 0.0029678000, -0.000138900, -0.006075600, -0.017853400, -0.041160500", \ - "0.0055612000, 0.0047906000, 0.0032136000, 0.0001528000, -0.005893300, -0.017844000, -0.041119700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009854544, 0.0019422410, 0.0038279800, 0.0075446000, 0.0148697200, 0.0293068700"); - values("0.0122865000, 0.0130916000, 0.0146603000, 0.0176952000, 0.0236999000, 0.0354856000, 0.0586578000", \ - "0.0122117000, 0.0130168000, 0.0145744000, 0.0176431000, 0.0236264000, 0.0354214000, 0.0585351000", \ - "0.0121457000, 0.0129416000, 0.0144961000, 0.0175715000, 0.0235784000, 0.0353738000, 0.0585012000", \ - "0.0120514000, 0.0128501000, 0.0144092000, 0.0174915000, 0.0235130000, 0.0352871000, 0.0584879000", \ - "0.0119754000, 0.0127687000, 0.0143428000, 0.0174141000, 0.0234442000, 0.0352384000, 0.0584260000", \ - "0.0119615000, 0.0127517000, 0.0142924000, 0.0173605000, 0.0233767000, 0.0351905000, 0.0583699000", \ - "0.0118422000, 0.0127050000, 0.0142992000, 0.0174140000, 0.0234998000, 0.0352267000, 0.0583883000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009854544, 0.0019422410, 0.0038279800, 0.0075446000, 0.0148697200, 0.0293068700"); - values("0.0050180000, 0.0042240000, 0.0026840000, -0.000351800, -0.006352800, -0.018190700, -0.041520000", \ - "0.0049668000, 0.0041931000, 0.0026567000, -0.000376300, -0.006373000, -0.018214300, -0.041568700", \ - "0.0048711000, 0.0041018000, 0.0025823000, -0.000444700, -0.006428400, -0.018259800, -0.041605300", \ - "0.0047058000, 0.0039496000, 0.0024485000, -0.000546400, -0.006498500, -0.018297000, -0.041635100", \ - "0.0045406000, 0.0037935000, 0.0022951000, -0.000687500, -0.006610600, -0.018375600, -0.041671300", \ - "0.0045094000, 0.0037315000, 0.0022196000, -0.000884400, -0.006807000, -0.018527900, -0.041783400", \ - "0.0046608000, 0.0038685000, 0.0023112000, -0.000729500, -0.006726700, -0.018605100, -0.041868800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009854544, 0.0019422410, 0.0038279800, 0.0075446000, 0.0148697200, 0.0293068700"); - values("0.0109768000, 0.0117582000, 0.0133330000, 0.0163935000, 0.0223711000, 0.0341857000, 0.0572924000", \ - "0.0108640000, 0.0116584000, 0.0132391000, 0.0162859000, 0.0223078000, 0.0340793000, 0.0572063000", \ - "0.0107634000, 0.0115725000, 0.0131220000, 0.0162183000, 0.0222263000, 0.0340571000, 0.0572260000", \ - "0.0106214000, 0.0114288000, 0.0130081000, 0.0160934000, 0.0221252000, 0.0339766000, 0.0571163000", \ - "0.0105192000, 0.0113057000, 0.0128804000, 0.0159669000, 0.0220214000, 0.0338666000, 0.0570911000", \ - "0.0104656000, 0.0112432000, 0.0128090000, 0.0159326000, 0.0219613000, 0.0337692000, 0.0570022000", \ - "0.0105753000, 0.0113408000, 0.0128813000, 0.0159839000, 0.0219539000, 0.0337767000, 0.0570176000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009854544, 0.0019422410, 0.0038279800, 0.0075446000, 0.0148697200, 0.0293068700"); - values("0.0038439000, 0.0030785000, 0.0015353000, -0.001500300, -0.007506700, -0.019354100, -0.042744500", \ - "0.0038165000, 0.0030548000, 0.0015521000, -0.001469400, -0.007459100, -0.019311300, -0.042683600", \ - "0.0037295000, 0.0029820000, 0.0014828000, -0.001493700, -0.007453600, -0.019271200, -0.042639500", \ - "0.0035335000, 0.0027990000, 0.0013304000, -0.001617300, -0.007535800, -0.019312100, -0.042642000", \ - "0.0033380000, 0.0025936000, 0.0011323000, -0.001808400, -0.007699200, -0.019415700, -0.042690800", \ - "0.0032971000, 0.0025282000, 0.0010272000, -0.001946200, -0.007918200, -0.019607300, -0.042834900", \ - "0.0034535000, 0.0026531000, 0.0010947000, -0.001930400, -0.007907000, -0.019714700, -0.042972600"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009854544, 0.0019422410, 0.0038279800, 0.0075446000, 0.0148697200, 0.0293068700"); - values("0.0095814000, 0.0103759000, 0.0119407000, 0.0150063000, 0.0210086000, 0.0327711000, 0.0559209000", \ - "0.0094692000, 0.0102750000, 0.0118174000, 0.0149086000, 0.0209086000, 0.0327158000, 0.0557891000", \ - "0.0092983000, 0.0101070000, 0.0117054000, 0.0147842000, 0.0208046000, 0.0326306000, 0.0557857000", \ - "0.0091328000, 0.0099520000, 0.0115248000, 0.0146250000, 0.0206661000, 0.0325370000, 0.0556900000", \ - "0.0090519000, 0.0098575000, 0.0114305000, 0.0145224000, 0.0205434000, 0.0323969000, 0.0556167000", \ - "0.0091738000, 0.0099515000, 0.0115118000, 0.0145462000, 0.0205501000, 0.0323262000, 0.0555640000", \ - "0.0098125000, 0.0105820000, 0.0121157000, 0.0150745000, 0.0209638000, 0.0327396000, 0.0558536000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009854544, 0.0019422410, 0.0038279800, 0.0075446000, 0.0148697200, 0.0293068700"); - values("0.0059109000, 0.0051536000, 0.0036396000, 0.0006155000, -0.005352500, -0.017145400, -0.040486200", \ - "0.0058478000, 0.0050805000, 0.0035781000, 0.0005750000, -0.005375000, -0.017168100, -0.040483300", \ - "0.0057486000, 0.0049890000, 0.0034791000, 0.0004975000, -0.005429600, -0.017202700, -0.040505000", \ - "0.0056135000, 0.0048588000, 0.0033635000, 0.0003795000, -0.005522700, -0.017280900, -0.040564200", \ - "0.0054799000, 0.0047231000, 0.0032284000, 0.0002590000, -0.005652000, -0.017383900, -0.040626700", \ - "0.0055005000, 0.0047124000, 0.0031684000, 0.0001504000, -0.005778400, -0.017535300, -0.040729500", \ - "0.0059869000, 0.0051876000, 0.0036046000, 0.0005260000, -0.005545700, -0.017495200, -0.040797600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009854544, 0.0019422410, 0.0038279800, 0.0075446000, 0.0148697200, 0.0293068700"); - values("0.0089928000, 0.0097963000, 0.0113546000, 0.0144121000, 0.0204119000, 0.0321974000, 0.0552882000", \ - "0.0089020000, 0.0097060000, 0.0112865000, 0.0143316000, 0.0203566000, 0.0321973000, 0.0553136000", \ - "0.0087597000, 0.0095785000, 0.0111732000, 0.0142766000, 0.0202752000, 0.0320795000, 0.0552111000", \ - "0.0085468000, 0.0093480000, 0.0109347000, 0.0140486000, 0.0201255000, 0.0318397000, 0.0551339000", \ - "0.0084673000, 0.0092601000, 0.0108103000, 0.0138820000, 0.0199442000, 0.0318138000, 0.0549667000", \ - "0.0087238000, 0.0094720000, 0.0110109000, 0.0140674000, 0.0201580000, 0.0316660000, 0.0548192000", \ - "0.0092705000, 0.0100154000, 0.0114653000, 0.0143673000, 0.0203419000, 0.0320271000, 0.0551674000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009854544, 0.0019422410, 0.0038279800, 0.0075446000, 0.0148697200, 0.0293068700"); - values("0.0058991000, 0.0051379000, 0.0036337000, 0.0006329000, -0.005333600, -0.017110000, -0.040443200", \ - "0.0057960000, 0.0050461000, 0.0035522000, 0.0005837000, -0.005351900, -0.017144700, -0.040454700", \ - "0.0056938000, 0.0049357000, 0.0034363000, 0.0004801000, -0.005418900, -0.017183900, -0.040475700", \ - "0.0055322000, 0.0047771000, 0.0032809000, 0.0003212000, -0.005566000, -0.017284200, -0.040540900", \ - "0.0054058000, 0.0046484000, 0.0031485000, 0.0001611000, -0.005741300, -0.017434400, -0.040659800", \ - "0.0056228000, 0.0048357000, 0.0032918000, 0.0001204000, -0.005833600, -0.017576600, -0.040785600", \ - "0.0063133000, 0.0054834000, 0.0039000000, 0.0007635000, -0.005335800, -0.017265800, -0.040381100"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0009854544, 0.0019422410, 0.0038279800, 0.0075446000, 0.0148697200, 0.0293068700"); - values("0.0069641000, 0.0078033000, 0.0094003000, 0.0125064000, 0.0185305000, 0.0303653000, 0.0534097000", \ - "0.0068063000, 0.0076608000, 0.0092919000, 0.0124176000, 0.0184772000, 0.0303130000, 0.0534345000", \ - "0.0065847000, 0.0074252000, 0.0091050000, 0.0122890000, 0.0183184000, 0.0302444000, 0.0534039000", \ - "0.0064002000, 0.0072182000, 0.0088283000, 0.0120147000, 0.0180127000, 0.0299648000, 0.0531493000", \ - "0.0064767000, 0.0072354000, 0.0087664000, 0.0118115000, 0.0178266000, 0.0298058000, 0.0530095000", \ - "0.0067464000, 0.0074402000, 0.0089611000, 0.0121622000, 0.0178544000, 0.0297055000, 0.0526642000", \ - "0.0074192000, 0.0081370000, 0.0095958000, 0.0125122000, 0.0184339000, 0.0301978000, 0.0535383000"); - } - } - max_capacitance : 0.0293070000; - max_transition : 1.4812000000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.0831662000, 0.0899731000, 0.1034506000, 0.1287437000, 0.1772558000, 0.2705285000, 0.4523192000", \ - "0.0875566000, 0.0945339000, 0.1078377000, 0.1331229000, 0.1816399000, 0.2751664000, 0.4569844000", \ - "0.0971093000, 0.1042452000, 0.1174942000, 0.1429106000, 0.1914301000, 0.2849295000, 0.4666082000", \ - "0.1158690000, 0.1227889000, 0.1360795000, 0.1614252000, 0.2099563000, 0.3035957000, 0.4853478000", \ - "0.1494129000, 0.1574065000, 0.1718374000, 0.1991696000, 0.2487637000, 0.3424609000, 0.5244084000", \ - "0.2003030000, 0.2100491000, 0.2286615000, 0.2630458000, 0.3218667000, 0.4258147000, 0.6123361000", \ - "0.2507438000, 0.2675169000, 0.2963184000, 0.3471085000, 0.4304500000, 0.5659992000, 0.7888524000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.2223490000, 0.2390723000, 0.2725376000, 0.3361447000, 0.4604954000, 0.7031196000, 1.1796046000", \ - "0.2272915000, 0.2437520000, 0.2772778000, 0.3412196000, 0.4654935000, 0.7082439000, 1.1843577000", \ - "0.2395024000, 0.2563862000, 0.2890516000, 0.3532512000, 0.4777216000, 0.7205898000, 1.1970243000", \ - "0.2641576000, 0.2812181000, 0.3141146000, 0.3782608000, 0.5029369000, 0.7461386000, 1.2227265000", \ - "0.3154502000, 0.3325671000, 0.3651464000, 0.4288109000, 0.5539342000, 0.7978915000, 1.2747774000", \ - "0.4168603000, 0.4354661000, 0.4715650000, 0.5390364000, 0.6641098000, 0.9073836000, 1.3844252000", \ - "0.5875631000, 0.6102274000, 0.6552493000, 0.7390685000, 0.8891985000, 1.1534893000, 1.6336973000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.0683429000, 0.0768657000, 0.0931753000, 0.1248458000, 0.1870540000, 0.3097394000, 0.5513521000", \ - "0.0684753000, 0.0767221000, 0.0930564000, 0.1248097000, 0.1870887000, 0.3097128000, 0.5511874000", \ - "0.0684054000, 0.0767302000, 0.0930693000, 0.1249002000, 0.1870087000, 0.3097897000, 0.5519457000", \ - "0.0690927000, 0.0773230000, 0.0932227000, 0.1246655000, 0.1869293000, 0.3098615000, 0.5520577000", \ - "0.0804592000, 0.0881306000, 0.1033540000, 0.1328072000, 0.1914370000, 0.3110381000, 0.5518380000", \ - "0.1130034000, 0.1215507000, 0.1374000000, 0.1673369000, 0.2244016000, 0.3350172000, 0.5624056000", \ - "0.1873366000, 0.1978371000, 0.2161230000, 0.2514689000, 0.3139135000, 0.4274014000, 0.6425225000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.1873640000, 0.2095607000, 0.2526425000, 0.3360046000, 0.5011302000, 0.8249859000, 1.4627460000", \ - "0.1873665000, 0.2096301000, 0.2519667000, 0.3363238000, 0.5012739000, 0.8257360000, 1.4634429000", \ - "0.1877138000, 0.2097078000, 0.2519305000, 0.3369366000, 0.5011113000, 0.8254225000, 1.4629760000", \ - "0.1875840000, 0.2096192000, 0.2519523000, 0.3368196000, 0.5011007000, 0.8251602000, 1.4630799000", \ - "0.1893178000, 0.2106540000, 0.2523417000, 0.3361082000, 0.5011287000, 0.8252306000, 1.4622669000", \ - "0.2178193000, 0.2378601000, 0.2771978000, 0.3543565000, 0.5108060000, 0.8270963000, 1.4634025000", \ - "0.2900788000, 0.3126946000, 0.3550440000, 0.4364973000, 0.5896219000, 0.8781492000, 1.4812003000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.0783559000, 0.0845487000, 0.0968268000, 0.1208105000, 0.1672923000, 0.2583789000, 0.4370093000", \ - "0.0831034000, 0.0894298000, 0.1017288000, 0.1257354000, 0.1722739000, 0.2634750000, 0.4420796000", \ - "0.0924072000, 0.0988568000, 0.1112723000, 0.1351580000, 0.1819016000, 0.2732034000, 0.4518864000", \ - "0.1096176000, 0.1160847000, 0.1285936000, 0.1528617000, 0.1996953000, 0.2909126000, 0.4701926000", \ - "0.1377666000, 0.1454786000, 0.1597980000, 0.1866282000, 0.2358962000, 0.3277522000, 0.5071318000", \ - "0.1756558000, 0.1862667000, 0.2060481000, 0.2406197000, 0.2999811000, 0.4046351000, 0.5902706000", \ - "0.2038302000, 0.2206933000, 0.2505607000, 0.3039619000, 0.3908155000, 0.5282429000, 0.7523197000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.2157001000, 0.2333328000, 0.2663218000, 0.3296881000, 0.4544088000, 0.6971070000, 1.1736031000", \ - "0.2200275000, 0.2364789000, 0.2698430000, 0.3340781000, 0.4579750000, 0.7014920000, 1.1772707000", \ - "0.2304100000, 0.2476830000, 0.2808348000, 0.3445136000, 0.4699257000, 0.7125203000, 1.1895402000", \ - "0.2549559000, 0.2726369000, 0.3057777000, 0.3697598000, 0.4955611000, 0.7385663000, 1.2156195000", \ - "0.3137034000, 0.3307583000, 0.3635061000, 0.4281297000, 0.5527828000, 0.7969265000, 1.2744821000", \ - "0.4394292000, 0.4572235000, 0.4942457000, 0.5641947000, 0.6887750000, 0.9329369000, 1.4105737000", \ - "0.6561098000, 0.6817092000, 0.7308523000, 0.8208190000, 0.9772321000, 1.2483152000, 1.7283412000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.0570079000, 0.0651221000, 0.0810276000, 0.1124764000, 0.1741110000, 0.2958700000, 0.5346892000", \ - "0.0570416000, 0.0651124000, 0.0810484000, 0.1123807000, 0.1741212000, 0.2956188000, 0.5352072000", \ - "0.0569783000, 0.0650978000, 0.0810468000, 0.1124272000, 0.1741915000, 0.2959977000, 0.5355455000", \ - "0.0585327000, 0.0664023000, 0.0818569000, 0.1127514000, 0.1741036000, 0.2955693000, 0.5348460000", \ - "0.0704213000, 0.0783405000, 0.0933077000, 0.1224985000, 0.1798199000, 0.2978779000, 0.5353570000", \ - "0.1037013000, 0.1118734000, 0.1277553000, 0.1570439000, 0.2139425000, 0.3238574000, 0.5479320000", \ - "0.1773583000, 0.1884484000, 0.2082157000, 0.2435927000, 0.3048805000, 0.4159577000, 0.6302678000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.1877848000, 0.2094108000, 0.2527406000, 0.3361929000, 0.5023590000, 0.8247378000, 1.4620456000", \ - "0.1874247000, 0.2091623000, 0.2525261000, 0.3359398000, 0.5013909000, 0.8252323000, 1.4634995000", \ - "0.1876638000, 0.2097424000, 0.2517932000, 0.3363611000, 0.5009383000, 0.8248281000, 1.4630767000", \ - "0.1874347000, 0.2096326000, 0.2523063000, 0.3362564000, 0.5012598000, 0.8249241000, 1.4631756000", \ - "0.1905397000, 0.2114264000, 0.2526048000, 0.3360306000, 0.5014125000, 0.8249584000, 1.4626289000", \ - "0.2316655000, 0.2485299000, 0.2845203000, 0.3596451000, 0.5116028000, 0.8249380000, 1.4635378000", \ - "0.3307508000, 0.3504886000, 0.3926495000, 0.4694877000, 0.6095753000, 0.8848566000, 1.4799399000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.0619858000, 0.0679810000, 0.0792732000, 0.1016770000, 0.1452734000, 0.2319842000, 0.4004685000", \ - "0.0670232000, 0.0729252000, 0.0843362000, 0.1067036000, 0.1507326000, 0.2373238000, 0.4078879000", \ - "0.0763941000, 0.0823302000, 0.0940801000, 0.1169143000, 0.1610354000, 0.2473085000, 0.4163673000", \ - "0.0929986000, 0.0994526000, 0.1115598000, 0.1345535000, 0.1788359000, 0.2659567000, 0.4359823000", \ - "0.1179757000, 0.1258393000, 0.1406487000, 0.1675187000, 0.2154525000, 0.3031789000, 0.4746377000", \ - "0.1454378000, 0.1574127000, 0.1790038000, 0.2158043000, 0.2785204000, 0.3809993000, 0.5592013000", \ - "0.1505060000, 0.1706044000, 0.2055057000, 0.2644399000, 0.3584394000, 0.4991641000, 0.7239814000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.1836466000, 0.2001214000, 0.2324444000, 0.2963793000, 0.4209395000, 0.6644515000, 1.1401082000", \ - "0.1854671000, 0.2027507000, 0.2355259000, 0.2992946000, 0.4243276000, 0.6670010000, 1.1436555000", \ - "0.1940882000, 0.2108099000, 0.2447310000, 0.3086553000, 0.4338735000, 0.6769835000, 1.1537348000", \ - "0.2188234000, 0.2359346000, 0.2694192000, 0.3332755000, 0.4589758000, 0.7028518000, 1.1806474000", \ - "0.2817566000, 0.2988774000, 0.3314793000, 0.3953979000, 0.5208763000, 0.7651541000, 1.2425414000", \ - "0.4221437000, 0.4420535000, 0.4790860000, 0.5457673000, 0.6696656000, 0.9100307000, 1.3885552000", \ - "0.6545519000, 0.6838820000, 0.7386164000, 0.8338998000, 0.9969746000, 1.2609668000, 1.7286257000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.0435780000, 0.0514085000, 0.0662925000, 0.0960383000, 0.1543356000, 0.2712432000, 0.4968332000", \ - "0.0435712000, 0.0512382000, 0.0665679000, 0.0967426000, 0.1551624000, 0.2698767000, 0.4980034000", \ - "0.0436484000, 0.0513369000, 0.0663303000, 0.0964716000, 0.1552157000, 0.2715675000, 0.4974702000", \ - "0.0467482000, 0.0537976000, 0.0680519000, 0.0969332000, 0.1545685000, 0.2700148000, 0.4982169000", \ - "0.0608986000, 0.0679785000, 0.0822434000, 0.1094798000, 0.1622327000, 0.2731980000, 0.4990619000", \ - "0.0974089000, 0.1051993000, 0.1201628000, 0.1481275000, 0.2044086000, 0.3044255000, 0.5110984000", \ - "0.1723384000, 0.1831974000, 0.2035767000, 0.2379671000, 0.2984816000, 0.4025356000, 0.6081566000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.1871436000, 0.2091553000, 0.2520865000, 0.3369351000, 0.5012143000, 0.8252308000, 1.4634653000", \ - "0.1876392000, 0.2094982000, 0.2519573000, 0.3363533000, 0.5009606000, 0.8255009000, 1.4628416000", \ - "0.1873317000, 0.2091598000, 0.2523251000, 0.3362005000, 0.5014599000, 0.8250852000, 1.4632170000", \ - "0.1872056000, 0.2092015000, 0.2518021000, 0.3362742000, 0.5012125000, 0.8251309000, 1.4633720000", \ - "0.1912343000, 0.2112523000, 0.2525365000, 0.3357879000, 0.5012642000, 0.8258399000, 1.4614837000", \ - "0.2463189000, 0.2650232000, 0.2981608000, 0.3681981000, 0.5148734000, 0.8259039000, 1.4635951000", \ - "0.3591963000, 0.3846766000, 0.4298432000, 0.5138188000, 0.6516412000, 0.9098853000, 1.4786724000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.0757190000, 0.0827512000, 0.0960601000, 0.1214212000, 0.1700404000, 0.2635843000, 0.4456636000", \ - "0.0797200000, 0.0866579000, 0.1001236000, 0.1257533000, 0.1744642000, 0.2680173000, 0.4498254000", \ - "0.0882976000, 0.0953704000, 0.1089600000, 0.1344235000, 0.1832685000, 0.2770342000, 0.4590311000", \ - "0.1092355000, 0.1161540000, 0.1294717000, 0.1550313000, 0.2041392000, 0.2984182000, 0.4806632000", \ - "0.1482045000, 0.1566131000, 0.1721964000, 0.2014788000, 0.2526336000, 0.3472413000, 0.5301882000", \ - "0.2005788000, 0.2130943000, 0.2360326000, 0.2752785000, 0.3419705000, 0.4519944000, 0.6428886000", \ - "0.2492286000, 0.2681706000, 0.3041308000, 0.3645858000, 0.4652672000, 0.6230508000, 0.8647844000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.0517929000, 0.0572737000, 0.0679645000, 0.0890798000, 0.1299901000, 0.2100645000, 0.3676574000", \ - "0.0572593000, 0.0628262000, 0.0737505000, 0.0945763000, 0.1357630000, 0.2158137000, 0.3743744000", \ - "0.0704248000, 0.0760189000, 0.0868355000, 0.1080457000, 0.1488102000, 0.2299689000, 0.3872772000", \ - "0.1026797000, 0.1081952000, 0.1190348000, 0.1402279000, 0.1813790000, 0.2608413000, 0.4185613000", \ - "0.1618670000, 0.1709003000, 0.1870238000, 0.2137189000, 0.2567583000, 0.3370353000, 0.4942052000", \ - "0.2584646000, 0.2728674000, 0.2989693000, 0.3422844000, 0.4097155000, 0.5107083000, 0.6701247000", \ - "0.4145380000, 0.4377840000, 0.4789618000, 0.5489743000, 0.6602082000, 0.8252530000, 1.0561592000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.0684299000, 0.0768850000, 0.0930334000, 0.1248142000, 0.1870929000, 0.3097560000, 0.5515106000", \ - "0.0684837000, 0.0768036000, 0.0931933000, 0.1249138000, 0.1870343000, 0.3098166000, 0.5517776000", \ - "0.0680484000, 0.0764284000, 0.0926227000, 0.1247230000, 0.1870541000, 0.3094957000, 0.5517979000", \ - "0.0704233000, 0.0782167000, 0.0939442000, 0.1247423000, 0.1867868000, 0.3096540000, 0.5510504000", \ - "0.0901377000, 0.0979953000, 0.1126968000, 0.1399627000, 0.1950591000, 0.3116804000, 0.5518083000", \ - "0.1330503000, 0.1423509000, 0.1596080000, 0.1908013000, 0.2470216000, 0.3493531000, 0.5666746000", \ - "0.2138368000, 0.2269857000, 0.2523173000, 0.2946740000, 0.3643658000, 0.4811370000, 0.6820964000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.0592715000, 0.0677370000, 0.0840120000, 0.1154698000, 0.1766120000, 0.2952898000, 0.5224854000", \ - "0.0593764000, 0.0677189000, 0.0839981000, 0.1155217000, 0.1766370000, 0.2953892000, 0.5225362000", \ - "0.0593170000, 0.0676686000, 0.0839992000, 0.1155442000, 0.1766729000, 0.2956183000, 0.5227512000", \ - "0.0667414000, 0.0736139000, 0.0876518000, 0.1165529000, 0.1766265000, 0.2956028000, 0.5228210000", \ - "0.1044037000, 0.1097623000, 0.1193954000, 0.1409127000, 0.1896117000, 0.2972292000, 0.5228725000", \ - "0.1797959000, 0.1877618000, 0.2016072000, 0.2259589000, 0.2680479000, 0.3485530000, 0.5393027000", \ - "0.3168141000, 0.3275794000, 0.3483447000, 0.3852625000, 0.4455420000, 0.5393506000, 0.6887629000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.0682209000, 0.0753269000, 0.0888166000, 0.1143304000, 0.1629822000, 0.2565438000, 0.4386596000", \ - "0.0719086000, 0.0790659000, 0.0926850000, 0.1182954000, 0.1671176000, 0.2607962000, 0.4428495000", \ - "0.0810541000, 0.0883283000, 0.1016782000, 0.1274512000, 0.1763455000, 0.2703494000, 0.4525187000", \ - "0.1047961000, 0.1115456000, 0.1245347000, 0.1501167000, 0.1992035000, 0.2931710000, 0.4756652000", \ - "0.1482467000, 0.1574597000, 0.1741904000, 0.2037385000, 0.2530678000, 0.3466426000, 0.5290618000", \ - "0.2009514000, 0.2142751000, 0.2383050000, 0.2823974000, 0.3549952000, 0.4695810000, 0.6548809000", \ - "0.2528492000, 0.2726770000, 0.3099093000, 0.3757376000, 0.4824854000, 0.6527435000, 0.9054628000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.0409891000, 0.0463092000, 0.0564172000, 0.0760289000, 0.1141369000, 0.1895535000, 0.3358567000", \ - "0.0461594000, 0.0515180000, 0.0615629000, 0.0812701000, 0.1198056000, 0.1950210000, 0.3414709000", \ - "0.0590730000, 0.0643839000, 0.0746845000, 0.0945803000, 0.1330367000, 0.2085064000, 0.3548173000", \ - "0.0888982000, 0.0952544000, 0.1061676000, 0.1257020000, 0.1629821000, 0.2382636000, 0.3847562000", \ - "0.1375461000, 0.1476996000, 0.1647377000, 0.1943520000, 0.2381313000, 0.3127656000, 0.4600287000", \ - "0.2130596000, 0.2298634000, 0.2588562000, 0.3054518000, 0.3769409000, 0.4806559000, 0.6315476000", \ - "0.3328298000, 0.3587003000, 0.4048209000, 0.4794606000, 0.5960620000, 0.7638981000, 0.9955616000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.0686690000, 0.0768320000, 0.0931358000, 0.1248073000, 0.1871032000, 0.3095509000, 0.5515090000", \ - "0.0685307000, 0.0767850000, 0.0931508000, 0.1248362000, 0.1871443000, 0.3097311000, 0.5516543000", \ - "0.0676673000, 0.0763615000, 0.0926807000, 0.1248319000, 0.1870924000, 0.3101681000, 0.5520542000", \ - "0.0710204000, 0.0785485000, 0.0935766000, 0.1241958000, 0.1868286000, 0.3094089000, 0.5522757000", \ - "0.0964830000, 0.1044521000, 0.1191005000, 0.1457784000, 0.1979943000, 0.3116835000, 0.5519258000", \ - "0.1484102000, 0.1595402000, 0.1794608000, 0.2120477000, 0.2691389000, 0.3651311000, 0.5722026000", \ - "0.2352677000, 0.2517098000, 0.2854744000, 0.3296218000, 0.4088571000, 0.5354372000, 0.7416083000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0009854540, 0.0019422400, 0.0038279800, 0.0075446000, 0.0148697000, 0.0293069000"); - values("0.0473421000, 0.0551542000, 0.0703418000, 0.0998087000, 0.1567945000, 0.2675586000, 0.4802361000", \ - "0.0473366000, 0.0551091000, 0.0703666000, 0.0998079000, 0.1567162000, 0.2674170000, 0.4802255000", \ - "0.0477285000, 0.0552292000, 0.0703663000, 0.0998071000, 0.1567684000, 0.2673564000, 0.4802834000", \ - "0.0600981000, 0.0655828000, 0.0773437000, 0.1028164000, 0.1567684000, 0.2673149000, 0.4804921000", \ - "0.1020910000, 0.1072370000, 0.1168095000, 0.1327931000, 0.1747566000, 0.2715730000, 0.4805327000", \ - "0.1775648000, 0.1844598000, 0.1973327000, 0.2209889000, 0.2601803000, 0.3323032000, 0.5041540000", \ - "0.3139914000, 0.3235256000, 0.3418003000, 0.3760761000, 0.4359909000, 0.5293387000, 0.6719448000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o311ai_1") { - leakage_power () { - value : 9.9776937e-05; - when : "!A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0066099000; - when : "!A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0009604000; - when : "!A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 9.340170e-05; - when : "!A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0003608000; - when : "!A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 4.4096471e-05; - when : "!A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0033522000; - when : "!A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0003514000; - when : "!A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0003608000; - when : "!A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 4.4096471e-05; - when : "!A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0031897000; - when : "!A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0003519000; - when : "!A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0003608000; - when : "!A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 4.4096471e-05; - when : "!A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0026940000; - when : "!A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0003503000; - when : "!A1&A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0003608000; - when : "A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 4.4096471e-05; - when : "A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0038850000; - when : "A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0003527000; - when : "A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0003608000; - when : "A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 4.4096471e-05; - when : "A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0027613000; - when : "A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0003503000; - when : "A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0003608000; - when : "A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 4.4096471e-05; - when : "A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0027617000; - when : "A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0003503000; - when : "A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0003608000; - when : "A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 4.4096471e-05; - when : "A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0026779000; - when : "A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0003503000; - when : "A1&A2&A3&B1&!C1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__o311ai"; - cell_leakage_power : 0.0010742710; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023690000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022700000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041125000, 0.0041117000, 0.0041099000, 0.0041077000, 0.0041026000, 0.0040909000, 0.0040640000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004102200, -0.004099500, -0.004093200, -0.004092300, -0.004090200, -0.004085500, -0.004074600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024680000; - } - pin ("A2") { - capacitance : 0.0024650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023090000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041766000, 0.0041768000, 0.0041774000, 0.0041779000, 0.0041791000, 0.0041817000, 0.0041877000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004184800, -0.004181800, -0.004174800, -0.004173700, -0.004171000, -0.004164800, -0.004150500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026210000; - } - pin ("A3") { - capacitance : 0.0023330000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021580000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039584000, 0.0039580000, 0.0039569000, 0.0039554000, 0.0039521000, 0.0039443000, 0.0039265000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003964100, -0.003961600, -0.003955900, -0.003956600, -0.003958200, -0.003961800, -0.003970300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025080000; - } - pin ("B1") { - capacitance : 0.0023410000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041219000, 0.0041029000, 0.0040593000, 0.0040639000, 0.0040745000, 0.0040989000, 0.0041553000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003987200, -0.003985400, -0.003981300, -0.003982200, -0.003984200, -0.003989000, -0.003999800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024150000; - } - pin ("C1") { - capacitance : 0.0023320000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022850000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048158000, 0.0048173000, 0.0048210000, 0.0048221000, 0.0048246000, 0.0048303000, 0.0048434000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004005500, -0.004000900, -0.003990400, -0.003984100, -0.003969600, -0.003936200, -0.003859200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023800000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2&!A3) | (!B1) | (!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010615270, 0.0022536790, 0.0047846820, 0.0101581400, 0.0215662700, 0.0457863500"); - values("0.0081101000, 0.0072107000, 0.0053080000, 0.0012522000, -0.007393400, -0.025781900, -0.064902100", \ - "0.0079946000, 0.0070984000, 0.0051861000, 0.0011396000, -0.007500900, -0.025893900, -0.065023300", \ - "0.0078777000, 0.0069656000, 0.0050598000, 0.0010042000, -0.007625100, -0.026002900, -0.065137300", \ - "0.0077270000, 0.0068317000, 0.0049159000, 0.0008781000, -0.007727900, -0.026097500, -0.065224400", \ - "0.0076184000, 0.0067197000, 0.0048400000, 0.0008293000, -0.007770900, -0.026114400, -0.065233100", \ - "0.0077067000, 0.0068057000, 0.0048919000, 0.0008170000, -0.007922700, -0.026232900, -0.065331500", \ - "0.0082309000, 0.0072749000, 0.0053342000, 0.0012606000, -0.007507300, -0.026046900, -0.065285900"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010615270, 0.0022536790, 0.0047846820, 0.0101581400, 0.0215662700, 0.0457863500"); - values("0.0171668000, 0.0180738000, 0.0200341000, 0.0241303000, 0.0328036000, 0.0512021000, 0.0900269000", \ - "0.0170538000, 0.0179740000, 0.0199023000, 0.0240399000, 0.0327086000, 0.0510458000, 0.0899819000", \ - "0.0169216000, 0.0178560000, 0.0197856000, 0.0239332000, 0.0326298000, 0.0509692000, 0.0899112000", \ - "0.0167940000, 0.0177234000, 0.0197007000, 0.0237967000, 0.0325038000, 0.0509652000, 0.0898829000", \ - "0.0166956000, 0.0176187000, 0.0195728000, 0.0236926000, 0.0324242000, 0.0508136000, 0.0896618000", \ - "0.0166954000, 0.0176278000, 0.0195668000, 0.0236449000, 0.0323799000, 0.0507006000, 0.0897265000", \ - "0.0165105000, 0.0174250000, 0.0195417000, 0.0237338000, 0.0325636000, 0.0509402000, 0.0896890000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010615270, 0.0022536790, 0.0047846820, 0.0101581400, 0.0215662700, 0.0457863500"); - values("0.0071169000, 0.0062157000, 0.0043021000, 0.0002142000, -0.008456200, -0.026897500, -0.066084800", \ - "0.0070573000, 0.0061688000, 0.0042632000, 0.0001893000, -0.008468900, -0.026904500, -0.066087100", \ - "0.0069368000, 0.0060426000, 0.0041619000, 0.0001114000, -0.008525100, -0.026942300, -0.066129000", \ - "0.0066875000, 0.0058092000, 0.0039279000, -6.29000e-05, -0.008652300, -0.027043800, -0.066142400", \ - "0.0064192000, 0.0055474000, 0.0036955000, -0.000288900, -0.008832500, -0.027141500, -0.066237200", \ - "0.0063743000, 0.0054676000, 0.0035802000, -0.000440100, -0.009153600, -0.027393500, -0.066388800", \ - "0.0066766000, 0.0057764000, 0.0038568000, -0.000281300, -0.008954300, -0.027356900, -0.066526200"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010615270, 0.0022536790, 0.0047846820, 0.0101581400, 0.0215662700, 0.0457863500"); - values("0.0151407000, 0.0160609000, 0.0180421000, 0.0221301000, 0.0308088000, 0.0491867000, 0.0879471000", \ - "0.0150202000, 0.0159239000, 0.0178802000, 0.0220153000, 0.0307109000, 0.0490669000, 0.0879788000", \ - "0.0148494000, 0.0157753000, 0.0177062000, 0.0218587000, 0.0305833000, 0.0490108000, 0.0878217000", \ - "0.0146477000, 0.0155680000, 0.0175400000, 0.0217113000, 0.0304287000, 0.0488967000, 0.0878172000", \ - "0.0145083000, 0.0154417000, 0.0173720000, 0.0215221000, 0.0302827000, 0.0487345000, 0.0877049000", \ - "0.0144507000, 0.0153785000, 0.0173035000, 0.0214515000, 0.0302151000, 0.0486000000, 0.0876499000", \ - "0.0147225000, 0.0156114000, 0.0174986000, 0.0216195000, 0.0302911000, 0.0488752000, 0.0877251000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010615270, 0.0022536790, 0.0047846820, 0.0101581400, 0.0215662700, 0.0457863500"); - values("0.0054238000, 0.0045421000, 0.0026271000, -0.001427100, -0.010115300, -0.028565800, -0.067795600", \ - "0.0053595000, 0.0044917000, 0.0026094000, -0.001421400, -0.010065600, -0.028491600, -0.067714600", \ - "0.0051786000, 0.0043337000, 0.0025052000, -0.001472300, -0.010059300, -0.028467100, -0.067648400", \ - "0.0048905000, 0.0040617000, 0.0022407000, -0.001683800, -0.010189300, -0.028515200, -0.067645600", \ - "0.0047173000, 0.0038208000, 0.0019189000, -0.001982100, -0.010446200, -0.028675900, -0.067722100", \ - "0.0045625000, 0.0037069000, 0.0018305000, -0.002166700, -0.010769100, -0.028939000, -0.067917300", \ - "0.0050105000, 0.0040826000, 0.0021236000, -0.002006500, -0.010670800, -0.029019900, -0.068118500"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010615270, 0.0022536790, 0.0047846820, 0.0101581400, 0.0215662700, 0.0457863500"); - values("0.0130445000, 0.0139642000, 0.0158939000, 0.0200253000, 0.0287066000, 0.0470414000, 0.0858388000", \ - "0.0128132000, 0.0137487000, 0.0157402000, 0.0198625000, 0.0285618000, 0.0469776000, 0.0858637000", \ - "0.0125728000, 0.0135439000, 0.0155284000, 0.0196668000, 0.0284118000, 0.0468256000, 0.0856558000", \ - "0.0123938000, 0.0133222000, 0.0152910000, 0.0194590000, 0.0282478000, 0.0466944000, 0.0855669000", \ - "0.0124686000, 0.0133759000, 0.0152377000, 0.0193611000, 0.0280563000, 0.0464592000, 0.0855635000", \ - "0.0129913000, 0.0139028000, 0.0158401000, 0.0199873000, 0.0281465000, 0.0464900000, 0.0854242000", \ - "0.0138827000, 0.0147058000, 0.0165188000, 0.0205423000, 0.0290075000, 0.0471806000, 0.0859636000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010615270, 0.0022536790, 0.0047846820, 0.0101581400, 0.0215662700, 0.0457863500"); - values("0.0084130000, 0.0075431000, 0.0056368000, 0.0016211000, -0.007010500, -0.025371200, -0.064512500", \ - "0.0083166000, 0.0074294000, 0.0055488000, 0.0015367000, -0.007043600, -0.025399700, -0.064520500", \ - "0.0081779000, 0.0072866000, 0.0054153000, 0.0014358000, -0.007129100, -0.025459600, -0.064539300", \ - "0.0079858000, 0.0071026000, 0.0052383000, 0.0012491000, -0.007285800, -0.025566500, -0.064606100", \ - "0.0078274000, 0.0069440000, 0.0051159000, 0.0011335000, -0.007395500, -0.025665200, -0.064711200", \ - "0.0080208000, 0.0070946000, 0.0051754000, 0.0010128000, -0.007608500, -0.025908800, -0.064881200", \ - "0.0087731000, 0.0078409000, 0.0058515000, 0.0016914000, -0.007104400, -0.025709500, -0.064886300"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010615270, 0.0022536790, 0.0047846820, 0.0101581400, 0.0215662700, 0.0457863500"); - values("0.0123882000, 0.0133166000, 0.0152760000, 0.0193892000, 0.0280722000, 0.0464246000, 0.0851104000", \ - "0.0122010000, 0.0131397000, 0.0151335000, 0.0192317000, 0.0279664000, 0.0464282000, 0.0851450000", \ - "0.0119899000, 0.0129430000, 0.0149452000, 0.0191456000, 0.0279440000, 0.0462958000, 0.0850447000", \ - "0.0116931000, 0.0126244000, 0.0146016000, 0.0187858000, 0.0274337000, 0.0459201000, 0.0848927000", \ - "0.0118254000, 0.0127059000, 0.0146341000, 0.0187019000, 0.0273517000, 0.0457870000, 0.0844074000", \ - "0.0122198000, 0.0132086000, 0.0150650000, 0.0190587000, 0.0277137000, 0.0459487000, 0.0849163000", \ - "0.0132766000, 0.0141088000, 0.0159210000, 0.0197989000, 0.0283248000, 0.0464746000, 0.0850368000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010615270, 0.0022536790, 0.0047846820, 0.0101581400, 0.0215662700, 0.0457863500"); - values("0.0083128000, 0.0074223000, 0.0055434000, 0.0015436000, -0.007049100, -0.025413700, -0.064528000", \ - "0.0081452000, 0.0072623000, 0.0054230000, 0.0014402000, -0.007116100, -0.025449000, -0.064558000", \ - "0.0079712000, 0.0070834000, 0.0052231000, 0.0012926000, -0.007239800, -0.025540800, -0.064609700", \ - "0.0077654000, 0.0068913000, 0.0050053000, 0.0010763000, -0.007446800, -0.025676100, -0.064681900", \ - "0.0076346000, 0.0067139000, 0.0048564000, 0.0008834000, -0.007683000, -0.025881200, -0.064849800", \ - "0.0081535000, 0.0071408000, 0.0052924000, 0.0011663000, -0.007540200, -0.025904800, -0.064963200", \ - "0.0093873000, 0.0083912000, 0.0063832000, 0.0021735000, -0.006724300, -0.025349700, -0.064247600"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010615270, 0.0022536790, 0.0047846820, 0.0101581400, 0.0215662700, 0.0457863500"); - values("0.0091713000, 0.0101442000, 0.0121822000, 0.0163882000, 0.0251437000, 0.0435239000, 0.0822810000", \ - "0.0089068000, 0.0099243000, 0.0119887000, 0.0161795000, 0.0250358000, 0.0435021000, 0.0822990000", \ - "0.0086192000, 0.0095888000, 0.0116808000, 0.0160081000, 0.0247623000, 0.0432516000, 0.0822639000", \ - "0.0084770000, 0.0094262000, 0.0114485000, 0.0155597000, 0.0244569000, 0.0430166000, 0.0819349000", \ - "0.0086292000, 0.0095364000, 0.0114811000, 0.0155987000, 0.0243992000, 0.0427006000, 0.0816719000", \ - "0.0092175000, 0.0101129000, 0.0119892000, 0.0159806000, 0.0245781000, 0.0425812000, 0.0813602000", \ - "0.0106211000, 0.0114152000, 0.0131482000, 0.0170010000, 0.0252612000, 0.0436543000, 0.0825153000"); - } - } - max_capacitance : 0.0457860000; - max_transition : 1.4766590000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.0658180000, 0.0705788000, 0.0806288000, 0.1006889000, 0.1416765000, 0.2260354000, 0.4020906000", \ - "0.0702334000, 0.0750400000, 0.0849146000, 0.1050902000, 0.1460873000, 0.2304067000, 0.4063448000", \ - "0.0792297000, 0.0841256000, 0.0939931000, 0.1141875000, 0.1551392000, 0.2394214000, 0.4156172000", \ - "0.0968767000, 0.1016938000, 0.1116457000, 0.1317191000, 0.1726977000, 0.2571587000, 0.4333038000", \ - "0.1260062000, 0.1314793000, 0.1432546000, 0.1656608000, 0.2093009000, 0.2946862000, 0.4709025000", \ - "0.1643292000, 0.1719505000, 0.1884445000, 0.2182370000, 0.2730885000, 0.3713276000, 0.5563227000", \ - "0.1899136000, 0.2024299000, 0.2262429000, 0.2728622000, 0.3550528000, 0.4901717000, 0.7168853000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.1998522000, 0.2130931000, 0.2400884000, 0.2953663000, 0.4119737000, 0.6562646000, 1.1730796000", \ - "0.2057416000, 0.2184292000, 0.2451573000, 0.3002243000, 0.4171551000, 0.6621436000, 1.1782683000", \ - "0.2173236000, 0.2303875000, 0.2571570000, 0.3128495000, 0.4293858000, 0.6744033000, 1.1911460000", \ - "0.2423707000, 0.2545879000, 0.2822080000, 0.3378350000, 0.4548630000, 0.6998072000, 1.2170636000", \ - "0.2948792000, 0.3074018000, 0.3341960000, 0.3895053000, 0.5065718000, 0.7523270000, 1.2696104000", \ - "0.3948314000, 0.4096227000, 0.4392372000, 0.4995561000, 0.6185409000, 0.8636741000, 1.3810598000", \ - "0.5625593000, 0.5837063000, 0.6213319000, 0.6950707000, 0.8401105000, 1.1129917000, 1.6352476000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.0520810000, 0.0577648000, 0.0696171000, 0.0943928000, 0.1462857000, 0.2561014000, 0.4898987000", \ - "0.0520523000, 0.0576719000, 0.0694627000, 0.0941452000, 0.1462511000, 0.2564577000, 0.4892518000", \ - "0.0518595000, 0.0574406000, 0.0693025000, 0.0938655000, 0.1461596000, 0.2561137000, 0.4897527000", \ - "0.0537428000, 0.0590043000, 0.0704555000, 0.0946733000, 0.1458770000, 0.2564546000, 0.4903078000", \ - "0.0666862000, 0.0717014000, 0.0829883000, 0.1058475000, 0.1533616000, 0.2586734000, 0.4891441000", \ - "0.1003900000, 0.1061659000, 0.1180620000, 0.1425573000, 0.1907567000, 0.2898403000, 0.5029633000", \ - "0.1704671000, 0.1778028000, 0.1945614000, 0.2257756000, 0.2810513000, 0.3843768000, 0.5924843000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.1606849000, 0.1770929000, 0.2117838000, 0.2843769000, 0.4406698000, 0.7652963000, 1.4547327000", \ - "0.1605487000, 0.1770352000, 0.2111534000, 0.2846493000, 0.4386370000, 0.7646798000, 1.4549753000", \ - "0.1606657000, 0.1769546000, 0.2111801000, 0.2847378000, 0.4387493000, 0.7652087000, 1.4558456000", \ - "0.1605706000, 0.1769299000, 0.2116453000, 0.2843709000, 0.4403602000, 0.7647551000, 1.4551322000", \ - "0.1632146000, 0.1790541000, 0.2124959000, 0.2847378000, 0.4384803000, 0.7644890000, 1.4557524000", \ - "0.1924387000, 0.2076340000, 0.2399682000, 0.3065351000, 0.4514601000, 0.7670760000, 1.4560865000", \ - "0.2615732000, 0.2797339000, 0.3142983000, 0.3870938000, 0.5319389000, 0.8229231000, 1.4739790000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.0638465000, 0.0683108000, 0.0775028000, 0.0965570000, 0.1364752000, 0.2202711000, 0.3974128000", \ - "0.0685389000, 0.0729147000, 0.0821578000, 0.1012921000, 0.1411594000, 0.2249839000, 0.4019567000", \ - "0.0772078000, 0.0817616000, 0.0909678000, 0.1101636000, 0.1501959000, 0.2340766000, 0.4111607000", \ - "0.0929858000, 0.0976820000, 0.1072781000, 0.1267231000, 0.1669325000, 0.2511018000, 0.4282453000", \ - "0.1166051000, 0.1225716000, 0.1341990000, 0.1565865000, 0.2006831000, 0.2865178000, 0.4641838000", \ - "0.1427185000, 0.1511922000, 0.1678911000, 0.2000331000, 0.2555932000, 0.3566575000, 0.5450750000", \ - "0.1429524000, 0.1568369000, 0.1843589000, 0.2336710000, 0.3197017000, 0.4605580000, 0.6919222000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.1924658000, 0.2046050000, 0.2321733000, 0.2874985000, 0.4041305000, 0.6486133000, 1.1656555000", \ - "0.1964285000, 0.2095515000, 0.2353064000, 0.2913315000, 0.4078929000, 0.6531101000, 1.1695060000", \ - "0.2062866000, 0.2199186000, 0.2467671000, 0.3021786000, 0.4199639000, 0.6644111000, 1.1816331000", \ - "0.2329107000, 0.2454696000, 0.2718842000, 0.3285717000, 0.4455875000, 0.6910991000, 1.2086375000", \ - "0.2924185000, 0.3052927000, 0.3321745000, 0.3881148000, 0.5046311000, 0.7507209000, 1.2686560000", \ - "0.4169188000, 0.4323739000, 0.4624356000, 0.5248736000, 0.6443712000, 0.8899602000, 1.4080578000", \ - "0.6329131000, 0.6537856000, 0.6969972000, 0.7778961000, 0.9307458000, 1.2127990000, 1.7332142000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.0436100000, 0.0490158000, 0.0608500000, 0.0856641000, 0.1384312000, 0.2504391000, 0.4866345000", \ - "0.0435424000, 0.0491540000, 0.0607323000, 0.0855090000, 0.1383290000, 0.2499824000, 0.4866057000", \ - "0.0435189000, 0.0490197000, 0.0606967000, 0.0855762000, 0.1383023000, 0.2500757000, 0.4861983000", \ - "0.0463578000, 0.0514924000, 0.0627086000, 0.0866173000, 0.1382805000, 0.2500542000, 0.4866748000", \ - "0.0592281000, 0.0646290000, 0.0758753000, 0.0989730000, 0.1470226000, 0.2538999000, 0.4874281000", \ - "0.0933232000, 0.0994395000, 0.1118845000, 0.1367092000, 0.1851362000, 0.2852781000, 0.5025574000", \ - "0.1642813000, 0.1727325000, 0.1900293000, 0.2207979000, 0.2782533000, 0.3835693000, 0.5925742000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.1603386000, 0.1770287000, 0.2116477000, 0.2843644000, 0.4407670000, 0.7652005000, 1.4589195000", \ - "0.1606280000, 0.1766180000, 0.2113212000, 0.2852455000, 0.4389768000, 0.7664624000, 1.4552521000", \ - "0.1607368000, 0.1768887000, 0.2115832000, 0.2842419000, 0.4384806000, 0.7649391000, 1.4545977000", \ - "0.1606788000, 0.1769185000, 0.2116446000, 0.2851032000, 0.4386130000, 0.7650747000, 1.4560532000", \ - "0.1650403000, 0.1803660000, 0.2136457000, 0.2845735000, 0.4391378000, 0.7653050000, 1.4554340000", \ - "0.2068025000, 0.2221133000, 0.2507605000, 0.3133127000, 0.4522934000, 0.7662408000, 1.4566428000", \ - "0.3013705000, 0.3176854000, 0.3520899000, 0.4218635000, 0.5549816000, 0.8359172000, 1.4715248000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.0491054000, 0.0530881000, 0.0611720000, 0.0784458000, 0.1148542000, 0.1916887000, 0.3549431000", \ - "0.0537909000, 0.0577554000, 0.0659626000, 0.0833325000, 0.1200355000, 0.1968806000, 0.3617152000", \ - "0.0626347000, 0.0666387000, 0.0751103000, 0.0926848000, 0.1294359000, 0.2065754000, 0.3690367000", \ - "0.0774486000, 0.0821072000, 0.0914297000, 0.1098763000, 0.1468421000, 0.2243725000, 0.3870682000", \ - "0.0969450000, 0.1035828000, 0.1154643000, 0.1388066000, 0.1812486000, 0.2617914000, 0.4254933000", \ - "0.1107672000, 0.1214804000, 0.1398092000, 0.1747440000, 0.2333228000, 0.3357939000, 0.5120152000", \ - "0.0874568000, 0.1039344000, 0.1352510000, 0.1912928000, 0.2850297000, 0.4313435000, 0.6595501000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.1606375000, 0.1732215000, 0.1996727000, 0.2550737000, 0.3716412000, 0.6169103000, 1.1338602000", \ - "0.1622841000, 0.1750157000, 0.2025424000, 0.2579580000, 0.3754138000, 0.6196810000, 1.1367431000", \ - "0.1705234000, 0.1845075000, 0.2115236000, 0.2676797000, 0.3847753000, 0.6306338000, 1.1475687000", \ - "0.1963831000, 0.2087057000, 0.2358329000, 0.2916843000, 0.4089939000, 0.6555420000, 1.1729615000", \ - "0.2609183000, 0.2732031000, 0.2991063000, 0.3544872000, 0.4710211000, 0.7164779000, 1.2339832000", \ - "0.4002108000, 0.4139671000, 0.4452661000, 0.5064260000, 0.6230266000, 0.8661197000, 1.3828269000", \ - "0.6268855000, 0.6480356000, 0.6939423000, 0.7836030000, 0.9434287000, 1.2177268000, 1.7265188000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.0308873000, 0.0358777000, 0.0466313000, 0.0697787000, 0.1177546000, 0.2211945000, 0.4387017000", \ - "0.0308402000, 0.0359774000, 0.0466577000, 0.0694203000, 0.1179199000, 0.2211650000, 0.4393445000", \ - "0.0310223000, 0.0360542000, 0.0467813000, 0.0696909000, 0.1184597000, 0.2204442000, 0.4384330000", \ - "0.0359359000, 0.0406232000, 0.0503939000, 0.0715393000, 0.1184450000, 0.2207407000, 0.4384473000", \ - "0.0514455000, 0.0563889000, 0.0662549000, 0.0874613000, 0.1305333000, 0.2252409000, 0.4379208000", \ - "0.0878919000, 0.0939680000, 0.1058249000, 0.1295370000, 0.1737494000, 0.2676584000, 0.4570383000", \ - "0.1607027000, 0.1689448000, 0.1854403000, 0.2171854000, 0.2724167000, 0.3697743000, 0.5630615000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.1604071000, 0.1771170000, 0.2112513000, 0.2846502000, 0.4389726000, 0.7648430000, 1.4591607000", \ - "0.1602890000, 0.1766227000, 0.2118071000, 0.2844207000, 0.4388021000, 0.7645707000, 1.4548552000", \ - "0.1602031000, 0.1767948000, 0.2116369000, 0.2843010000, 0.4400120000, 0.7676740000, 1.4582374000", \ - "0.1601119000, 0.1765505000, 0.2110704000, 0.2843508000, 0.4391820000, 0.7652239000, 1.4590074000", \ - "0.1662847000, 0.1814467000, 0.2141255000, 0.2847350000, 0.4387004000, 0.7650575000, 1.4553648000", \ - "0.2225377000, 0.2363130000, 0.2664902000, 0.3289795000, 0.4590890000, 0.7668320000, 1.4553149000", \ - "0.3271969000, 0.3474123000, 0.3872704000, 0.4627961000, 0.6030497000, 0.8585039000, 1.4766585000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.0585894000, 0.0635711000, 0.0735105000, 0.0937540000, 0.1348982000, 0.2192439000, 0.3954055000", \ - "0.0625604000, 0.0674493000, 0.0775445000, 0.0978044000, 0.1390319000, 0.2235286000, 0.3996430000", \ - "0.0713982000, 0.0763014000, 0.0863100000, 0.1067478000, 0.1480760000, 0.2326385000, 0.4087403000", \ - "0.0918355000, 0.0969773000, 0.1071599000, 0.1273949000, 0.1686384000, 0.2536220000, 0.4302456000", \ - "0.1250322000, 0.1316551000, 0.1450347000, 0.1696409000, 0.2161746000, 0.3024254000, 0.4794260000", \ - "0.1635650000, 0.1731083000, 0.1928876000, 0.2288488000, 0.2926408000, 0.4009913000, 0.5884977000", \ - "0.1851141000, 0.2002120000, 0.2299653000, 0.2855663000, 0.3831330000, 0.5435142000, 0.7986129000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.0465890000, 0.0509992000, 0.0600663000, 0.0792052000, 0.1191585000, 0.2035576000, 0.3814660000", \ - "0.0518602000, 0.0563313000, 0.0655414000, 0.0845933000, 0.1247665000, 0.2090563000, 0.3873685000", \ - "0.0650232000, 0.0693710000, 0.0785876000, 0.0977612000, 0.1380603000, 0.2220522000, 0.4008411000", \ - "0.0968405000, 0.1016544000, 0.1108144000, 0.1299879000, 0.1691190000, 0.2538843000, 0.4323876000", \ - "0.1532351000, 0.1605722000, 0.1753437000, 0.2012626000, 0.2457044000, 0.3285661000, 0.5052073000", \ - "0.2455881000, 0.2583181000, 0.2817656000, 0.3240287000, 0.3943489000, 0.5025039000, 0.6807583000", \ - "0.4004866000, 0.4191633000, 0.4559393000, 0.5228930000, 0.6365753000, 0.8148827000, 1.0734189000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.0520529000, 0.0576922000, 0.0694074000, 0.0942718000, 0.1462336000, 0.2559115000, 0.4898545000", \ - "0.0520176000, 0.0575667000, 0.0694951000, 0.0941437000, 0.1460939000, 0.2562228000, 0.4905593000", \ - "0.0514392000, 0.0571339000, 0.0689393000, 0.0938554000, 0.1460167000, 0.2564132000, 0.4891952000", \ - "0.0562732000, 0.0608851000, 0.0717802000, 0.0951370000, 0.1457229000, 0.2558510000, 0.4891947000", \ - "0.0757451000, 0.0811244000, 0.0934266000, 0.1155117000, 0.1588756000, 0.2601913000, 0.4898577000", \ - "0.1178405000, 0.1256285000, 0.1389019000, 0.1651532000, 0.2157351000, 0.3065646000, 0.5094229000", \ - "0.1910540000, 0.2019647000, 0.2233187000, 0.2622430000, 0.3292149000, 0.4400093000, 0.6400124000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.0515101000, 0.0579382000, 0.0717705000, 0.1004654000, 0.1600833000, 0.2844007000, 0.5410588000", \ - "0.0514817000, 0.0579432000, 0.0717730000, 0.1004565000, 0.1600828000, 0.2844640000, 0.5405570000", \ - "0.0514570000, 0.0580228000, 0.0717520000, 0.1004636000, 0.1601308000, 0.2845200000, 0.5408355000", \ - "0.0607179000, 0.0658683000, 0.0771774000, 0.1026033000, 0.1600318000, 0.2846974000, 0.5409344000", \ - "0.0996154000, 0.1040775000, 0.1132516000, 0.1312375000, 0.1758453000, 0.2873304000, 0.5409562000", \ - "0.1728158000, 0.1788388000, 0.1913671000, 0.2152053000, 0.2577114000, 0.3423945000, 0.5574093000", \ - "0.3045979000, 0.3133887000, 0.3313469000, 0.3669781000, 0.4301978000, 0.5327614000, 0.7028431000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.0513325000, 0.0563278000, 0.0663597000, 0.0866941000, 0.1279005000, 0.2123971000, 0.3885595000", \ - "0.0549199000, 0.0599087000, 0.0701244000, 0.0905815000, 0.1318023000, 0.2163570000, 0.3925853000", \ - "0.0642046000, 0.0691001000, 0.0791213000, 0.0998120000, 0.1413096000, 0.2260889000, 0.4023389000", \ - "0.0881373000, 0.0931456000, 0.1027429000, 0.1223500000, 0.1630014000, 0.2477731000, 0.4243366000", \ - "0.1229883000, 0.1300746000, 0.1442116000, 0.1704030000, 0.2164221000, 0.3010479000, 0.4773295000", \ - "0.1602274000, 0.1718208000, 0.1908123000, 0.2295744000, 0.2979368000, 0.4127075000, 0.5983364000", \ - "0.1856900000, 0.2020013000, 0.2321072000, 0.2913217000, 0.3936052000, 0.5645898000, 0.8299006000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.0350590000, 0.0391817000, 0.0478585000, 0.0656652000, 0.1026834000, 0.1805441000, 0.3459807000", \ - "0.0400780000, 0.0443370000, 0.0530261000, 0.0707313000, 0.1081684000, 0.1864176000, 0.3510364000", \ - "0.0530884000, 0.0572566000, 0.0659721000, 0.0838550000, 0.1213324000, 0.1994950000, 0.3650350000", \ - "0.0810027000, 0.0865166000, 0.0968047000, 0.1145965000, 0.1525207000, 0.2309254000, 0.3938178000", \ - "0.1250946000, 0.1340097000, 0.1507218000, 0.1794477000, 0.2257687000, 0.3042718000, 0.4687963000", \ - "0.1948242000, 0.2088766000, 0.2357311000, 0.2825266000, 0.3568778000, 0.4689244000, 0.6402579000", \ - "0.3112301000, 0.3321281000, 0.3726590000, 0.4456812000, 0.5643824000, 0.7463629000, 1.0076809000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.0522355000, 0.0577181000, 0.0694336000, 0.0941251000, 0.1460851000, 0.2565339000, 0.4894927000", \ - "0.0520176000, 0.0575946000, 0.0694225000, 0.0940175000, 0.1459927000, 0.2560434000, 0.4906026000", \ - "0.0506409000, 0.0563373000, 0.0685061000, 0.0938096000, 0.1462268000, 0.2561160000, 0.4905888000", \ - "0.0580005000, 0.0628537000, 0.0729542000, 0.0951062000, 0.1454603000, 0.2557454000, 0.4896389000", \ - "0.0819960000, 0.0884185000, 0.0996602000, 0.1218851000, 0.1643700000, 0.2613704000, 0.4898122000", \ - "0.1278146000, 0.1363358000, 0.1534645000, 0.1852614000, 0.2394057000, 0.3287369000, 0.5196172000", \ - "0.2056331000, 0.2199178000, 0.2437269000, 0.2896049000, 0.3657884000, 0.4932632000, 0.6975969000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010615300, 0.0022536800, 0.0047846800, 0.0101581000, 0.0215663000, 0.0457864000"); - values("0.0394183000, 0.0454016000, 0.0581907000, 0.0847595000, 0.1400787000, 0.2549374000, 0.4934914000", \ - "0.0393191000, 0.0453521000, 0.0581844000, 0.0847744000, 0.1399836000, 0.2550766000, 0.4938476000", \ - "0.0406804000, 0.0461228000, 0.0581501000, 0.0847609000, 0.1399900000, 0.2549975000, 0.4938329000", \ - "0.0561659000, 0.0593185000, 0.0684273000, 0.0901421000, 0.1405829000, 0.2551311000, 0.4939322000", \ - "0.0973377000, 0.1012225000, 0.1095783000, 0.1254009000, 0.1634919000, 0.2605845000, 0.4937722000", \ - "0.1699013000, 0.1751436000, 0.1866481000, 0.2094904000, 0.2507986000, 0.3242394000, 0.5164973000", \ - "0.3009759000, 0.3084970000, 0.3243791000, 0.3571918000, 0.4197071000, 0.5212538000, 0.6824825000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o311ai_2") { - leakage_power () { - value : 0.0002108000; - when : "!A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 6.4908487e-05; - when : "!A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0021916000; - when : "!A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0001901000; - when : "!A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0006617000; - when : "!A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 7.7712407e-05; - when : "!A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0073302000; - when : "!A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0005675000; - when : "!A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0006617000; - when : "!A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 7.7712407e-05; - when : "!A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0066767000; - when : "!A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0005665000; - when : "!A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0006617000; - when : "!A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 7.7712407e-05; - when : "!A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0045561000; - when : "!A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0005704000; - when : "!A1&A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0006617000; - when : "A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 7.7712407e-05; - when : "A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0066091000; - when : "A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0005676000; - when : "A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0006617000; - when : "A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 7.7712407e-05; - when : "A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0045581000; - when : "A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0005664000; - when : "A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0006617000; - when : "A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 7.7712407e-05; - when : "A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0045654000; - when : "A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0005671000; - when : "A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0006617000; - when : "A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 7.7712407e-05; - when : "A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0044394000; - when : "A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0005701000; - when : "A1&A2&A3&B1&!C1"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__o311ai"; - cell_leakage_power : 0.0015795030; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0044470000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042350000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080142000, 0.0080126000, 0.0080089000, 0.0080060000, 0.0079992000, 0.0079834000, 0.0079472000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007993000, -0.007992900, -0.007992800, -0.007993100, -0.007993800, -0.007995400, -0.007999200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046590000; - } - pin ("A2") { - capacitance : 0.0043630000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040710000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079681000, 0.0079654000, 0.0079591000, 0.0079606000, 0.0079640000, 0.0079719000, 0.0079901000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007943700, -0.007944700, -0.007947100, -0.007941900, -0.007929800, -0.007902100, -0.007838100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046560000; - } - pin ("A3") { - capacitance : 0.0044110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040500000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079357000, 0.0079325000, 0.0079250000, 0.0079217000, 0.0079141000, 0.0078964000, 0.0078558000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007935500, -0.007930300, -0.007918400, -0.007920000, -0.007923700, -0.007932300, -0.007952100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047730000; - } - pin ("B1") { - capacitance : 0.0044880000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043370000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082524000, 0.0082336000, 0.0081904000, 0.0082013000, 0.0082266000, 0.0082849000, 0.0084194000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008126500, -0.008116200, -0.008092400, -0.008090700, -0.008086600, -0.008077300, -0.008055700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046400000; - } - pin ("C1") { - capacitance : 0.0043780000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042860000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0094700000, 0.0094680000, 0.0094636000, 0.0094604000, 0.0094533000, 0.0094367000, 0.0093985000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007227400, -0.007222000, -0.007209500, -0.007196900, -0.007168000, -0.007101200, -0.006947300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044700000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2&!A3) | (!B1) | (!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0174359000, 0.0163583000, 0.0138349000, 0.0079477000, -0.005849700, -0.038276300, -0.114486400", \ - "0.0171855000, 0.0161095000, 0.0135782000, 0.0077008000, -0.006099800, -0.038521000, -0.114775300", \ - "0.0168729000, 0.0157981000, 0.0132814000, 0.0073925000, -0.006380500, -0.038810200, -0.115029500", \ - "0.0165226000, 0.0154435000, 0.0129371000, 0.0070832000, -0.006657800, -0.039040200, -0.115267400", \ - "0.0162386000, 0.0151902000, 0.0127187000, 0.0069431000, -0.006799000, -0.039152600, -0.115281000", \ - "0.0163514000, 0.0152764000, 0.0127603000, 0.0066431000, -0.007137800, -0.039399100, -0.115549500", \ - "0.0172650000, 0.0162607000, 0.0136837000, 0.0076217000, -0.006343200, -0.039164100, -0.115431300"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0339463000, 0.0350558000, 0.0376151000, 0.0435584000, 0.0574949000, 0.0899691000, 0.1658105000", \ - "0.0336813000, 0.0347896000, 0.0373078000, 0.0433078000, 0.0573039000, 0.0898204000, 0.1654038000", \ - "0.0333671000, 0.0344718000, 0.0370529000, 0.0430445000, 0.0570608000, 0.0895442000, 0.1654279000", \ - "0.0330502000, 0.0341186000, 0.0366874000, 0.0427319000, 0.0568237000, 0.0894652000, 0.1651477000", \ - "0.0327180000, 0.0338135000, 0.0363858000, 0.0424287000, 0.0564457000, 0.0890681000, 0.1649113000", \ - "0.0327550000, 0.0338460000, 0.0363836000, 0.0423914000, 0.0563511000, 0.0889763000, 0.1648005000", \ - "0.0325932000, 0.0337631000, 0.0364767000, 0.0424375000, 0.0567276000, 0.0891329000, 0.1647701000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0138599000, 0.0127770000, 0.0102667000, 0.0043489000, -0.009543700, -0.042137800, -0.118529700", \ - "0.0138075000, 0.0127282000, 0.0102176000, 0.0043225000, -0.009542500, -0.042121700, -0.118470000", \ - "0.0136097000, 0.0125476000, 0.0100692000, 0.0042060000, -0.009626100, -0.042134400, -0.118558500", \ - "0.0131633000, 0.0121117000, 0.0096594000, 0.0038744000, -0.009856500, -0.042292600, -0.118536800", \ - "0.0127051000, 0.0116623000, 0.0092070000, 0.0034503000, -0.010202700, -0.042480900, -0.118632800", \ - "0.0127174000, 0.0116544000, 0.0091344000, 0.0033269000, -0.010760200, -0.042926900, -0.118975100", \ - "0.0135296000, 0.0124564000, 0.0098597000, 0.0039220000, -0.010093700, -0.042731600, -0.119083800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0291421000, 0.0302729000, 0.0328479000, 0.0387904000, 0.0527387000, 0.0851630000, 0.1610611000", \ - "0.0288300000, 0.0299643000, 0.0325544000, 0.0385134000, 0.0524800000, 0.0849907000, 0.1607645000", \ - "0.0285079000, 0.0296231000, 0.0322409000, 0.0382329000, 0.0522865000, 0.0848717000, 0.1605791000", \ - "0.0282077000, 0.0292716000, 0.0319105000, 0.0379088000, 0.0519369000, 0.0845802000, 0.1603389000", \ - "0.0278481000, 0.0289822000, 0.0315368000, 0.0375935000, 0.0516292000, 0.0842871000, 0.1601005000", \ - "0.0277945000, 0.0289224000, 0.0315571000, 0.0377169000, 0.0516325000, 0.0842129000, 0.1600497000", \ - "0.0286486000, 0.0297073000, 0.0321816000, 0.0380774000, 0.0521000000, 0.0844426000, 0.1605142000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0107576000, 0.0096795000, 0.0072296000, 0.0013530000, -0.012535100, -0.045149400, -0.121621200", \ - "0.0105764000, 0.0095626000, 0.0071151000, 0.0013283000, -0.012430100, -0.044988700, -0.121429500", \ - "0.0101849000, 0.0091840000, 0.0068332000, 0.0011425000, -0.012481700, -0.044921900, -0.121300100", \ - "0.0095832000, 0.0086173000, 0.0062661000, 0.0006734000, -0.012787400, -0.045047900, -0.121269500", \ - "0.0091801000, 0.0080863000, 0.0056757000, 7.240000e-05, -0.013350800, -0.045413300, -0.121409500", \ - "0.0091549000, 0.0081378000, 0.0056466000, -0.000139500, -0.013867600, -0.045999300, -0.121857000", \ - "0.0103327000, 0.0093553000, 0.0065458000, 0.0005347000, -0.013506700, -0.045867600, -0.122125400"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0246706000, 0.0257243000, 0.0282982000, 0.0342748000, 0.0483145000, 0.0808224000, 0.1565534000", \ - "0.0242566000, 0.0253279000, 0.0279185000, 0.0339662000, 0.0479918000, 0.0805522000, 0.1562354000", \ - "0.0237991000, 0.0248717000, 0.0275045000, 0.0335396000, 0.0476506000, 0.0802185000, 0.1560219000", \ - "0.0233393000, 0.0244407000, 0.0271150000, 0.0331794000, 0.0473121000, 0.0799936000, 0.1559936000", \ - "0.0234479000, 0.0245607000, 0.0268687000, 0.0328898000, 0.0469607000, 0.0796304000, 0.1556563000", \ - "0.0243119000, 0.0253210000, 0.0283195000, 0.0334439000, 0.0472522000, 0.0796463000, 0.1553411000", \ - "0.0266015000, 0.0276117000, 0.0302216000, 0.0358419000, 0.0493764000, 0.0816561000, 0.1568866000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0177065000, 0.0166440000, 0.0141611000, 0.0083315000, -0.005405300, -0.037774200, -0.114009900", \ - "0.0174733000, 0.0164255000, 0.0139961000, 0.0081616000, -0.005521200, -0.037856600, -0.114012800", \ - "0.0171616000, 0.0161031000, 0.0136514000, 0.0078880000, -0.005731600, -0.037996200, -0.114127200", \ - "0.0167881000, 0.0157374000, 0.0132835000, 0.0074881000, -0.006063300, -0.038220700, -0.114224600", \ - "0.0165173000, 0.0154581000, 0.0129995000, 0.0072797000, -0.006315400, -0.038458900, -0.114384700", \ - "0.0165159000, 0.0154303000, 0.0128926000, 0.0069582000, -0.006756500, -0.038983700, -0.114780200", \ - "0.0180424000, 0.0169345000, 0.0143451000, 0.0082865000, -0.005796000, -0.038726000, -0.114978000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0241403000, 0.0252597000, 0.0278254000, 0.0338353000, 0.0477409000, 0.0802486000, 0.1560351000", \ - "0.0238090000, 0.0248905000, 0.0275011000, 0.0335778000, 0.0476156000, 0.0802366000, 0.1561685000", \ - "0.0233643000, 0.0245155000, 0.0271757000, 0.0333164000, 0.0474089000, 0.0800404000, 0.1560633000", \ - "0.0229086000, 0.0240265000, 0.0266938000, 0.0327334000, 0.0468148000, 0.0795698000, 0.1554987000", \ - "0.0226677000, 0.0237545000, 0.0263131000, 0.0323681000, 0.0463836000, 0.0791544000, 0.1553193000", \ - "0.0236640000, 0.0247414000, 0.0272640000, 0.0330759000, 0.0468495000, 0.0792621000, 0.1551450000", \ - "0.0265517000, 0.0269908000, 0.0290789000, 0.0345663000, 0.0481536000, 0.0807322000, 0.1570991000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0166703000, 0.0156234000, 0.0131736000, 0.0073927000, -0.006311300, -0.038667100, -0.114820800", \ - "0.0163855000, 0.0153533000, 0.0129211000, 0.0071923000, -0.006445600, -0.038750300, -0.114865800", \ - "0.0159810000, 0.0149465000, 0.0125236000, 0.0067995000, -0.006715200, -0.038908000, -0.114972700", \ - "0.0156305000, 0.0145790000, 0.0121487000, 0.0064042000, -0.007111600, -0.039223200, -0.115164300", \ - "0.0153786000, 0.0142871000, 0.0118748000, 0.0061033000, -0.007601400, -0.039628400, -0.115491200", \ - "0.0160079000, 0.0149136000, 0.0123569000, 0.0063393000, -0.007565800, -0.039970500, -0.115805200", \ - "0.0180849000, 0.0169628000, 0.0143463000, 0.0081624000, -0.006156600, -0.039206800, -0.115669800"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011707640, 0.0027413770, 0.0064190120, 0.0150303000, 0.0351938700, 0.0824074400"); - values("0.0172613000, 0.0184662000, 0.0212325000, 0.0274634000, 0.0415534000, 0.0740849000, 0.1498876000", \ - "0.0167194000, 0.0179547000, 0.0207957000, 0.0271277000, 0.0413859000, 0.0740236000, 0.1499000000", \ - "0.0162723000, 0.0174726000, 0.0202678000, 0.0265227000, 0.0409198000, 0.0737419000, 0.1496817000", \ - "0.0159333000, 0.0170842000, 0.0198142000, 0.0259226000, 0.0402525000, 0.0732897000, 0.1496777000", \ - "0.0159189000, 0.0170134000, 0.0195597000, 0.0256356000, 0.0397781000, 0.0726041000, 0.1489193000", \ - "0.0170945000, 0.0181585000, 0.0206552000, 0.0265931000, 0.0403616000, 0.0727861000, 0.1488589000", \ - "0.0202437000, 0.0211120000, 0.0229212000, 0.0284189000, 0.0418089000, 0.0743023000, 0.1486601000"); - } - } - max_capacitance : 0.0824070000; - max_transition : 1.4975910000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0755979000, 0.0793291000, 0.0877336000, 0.1065603000, 0.1474960000, 0.2394180000, 0.4492291000", \ - "0.0798306000, 0.0835592000, 0.0920270000, 0.1107677000, 0.1517246000, 0.2436765000, 0.4535547000", \ - "0.0886426000, 0.0922343000, 0.1006163000, 0.1193490000, 0.1604268000, 0.2525295000, 0.4619508000", \ - "0.1050116000, 0.1087277000, 0.1170276000, 0.1356460000, 0.1768702000, 0.2687215000, 0.4785808000", \ - "0.1315816000, 0.1358972000, 0.1451351000, 0.1657961000, 0.2090988000, 0.3019090000, 0.5117087000", \ - "0.1677062000, 0.1729134000, 0.1855539000, 0.2109026000, 0.2630947000, 0.3679929000, 0.5858369000", \ - "0.1903092000, 0.1978016000, 0.2164179000, 0.2546512000, 0.3309405000, 0.4696760000, 0.7278135000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.2162915000, 0.2255374000, 0.2452820000, 0.2900322000, 0.3949067000, 0.6347398000, 1.1917151000", \ - "0.2210860000, 0.2299081000, 0.2495980000, 0.2943291000, 0.3989396000, 0.6389021000, 1.1964301000", \ - "0.2322539000, 0.2413525000, 0.2613535000, 0.3062952000, 0.4113211000, 0.6519851000, 1.2089830000", \ - "0.2585966000, 0.2666287000, 0.2868219000, 0.3327782000, 0.4376413000, 0.6779259000, 1.2362616000", \ - "0.3118688000, 0.3205625000, 0.3405610000, 0.3862249000, 0.4900015000, 0.7317675000, 1.2899517000", \ - "0.4142065000, 0.4236906000, 0.4457345000, 0.4951595000, 0.6024627000, 0.8429379000, 1.4013100000", \ - "0.5883796000, 0.6005823000, 0.6267198000, 0.6869481000, 0.8165768000, 1.0856912000, 1.6506171000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0616031000, 0.0657207000, 0.0753069000, 0.0979298000, 0.1493780000, 0.2689962000, 0.5502057000", \ - "0.0614379000, 0.0656023000, 0.0751310000, 0.0977711000, 0.1493086000, 0.2692946000, 0.5509723000", \ - "0.0610514000, 0.0653605000, 0.0750111000, 0.0974592000, 0.1492211000, 0.2695527000, 0.5513838000", \ - "0.0624126000, 0.0664770000, 0.0759093000, 0.0980316000, 0.1491829000, 0.2689651000, 0.5510870000", \ - "0.0729671000, 0.0768099000, 0.0858393000, 0.1073952000, 0.1551934000, 0.2716858000, 0.5505700000", \ - "0.1033191000, 0.1075634000, 0.1172020000, 0.1386171000, 0.1871527000, 0.2976926000, 0.5617028000", \ - "0.1725668000, 0.1783083000, 0.1904286000, 0.2160909000, 0.2715928000, 0.3835385000, 0.6367987000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.1661563000, 0.1768441000, 0.2027920000, 0.2615131000, 0.3994478000, 0.7224272000, 1.4746138000", \ - "0.1660326000, 0.1769773000, 0.2024864000, 0.2615935000, 0.3998395000, 0.7220891000, 1.4745968000", \ - "0.1660489000, 0.1768705000, 0.2027618000, 0.2615418000, 0.3998289000, 0.7219909000, 1.4745857000", \ - "0.1662552000, 0.1767965000, 0.2022754000, 0.2614723000, 0.4010302000, 0.7219401000, 1.4740821000", \ - "0.1676597000, 0.1782106000, 0.2028927000, 0.2618875000, 0.4003211000, 0.7217535000, 1.4748472000", \ - "0.1938297000, 0.2041761000, 0.2282053000, 0.2828625000, 0.4125285000, 0.7246867000, 1.4750953000", \ - "0.2580742000, 0.2693632000, 0.2955916000, 0.3550208000, 0.4880538000, 0.7796857000, 1.4914909000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0688901000, 0.0720182000, 0.0795237000, 0.0961837000, 0.1344733000, 0.2227728000, 0.4276840000", \ - "0.0737082000, 0.0767901000, 0.0841451000, 0.1007865000, 0.1391379000, 0.2274287000, 0.4326222000", \ - "0.0822595000, 0.0854522000, 0.0930215000, 0.1095796000, 0.1479793000, 0.2363995000, 0.4416425000", \ - "0.0970329000, 0.1004597000, 0.1082016000, 0.1253283000, 0.1639953000, 0.2526827000, 0.4580977000", \ - "0.1191150000, 0.1231130000, 0.1317408000, 0.1512380000, 0.1932716000, 0.2839433000, 0.4899158000", \ - "0.1426169000, 0.1476722000, 0.1599043000, 0.1872057000, 0.2396615000, 0.3444815000, 0.5601822000", \ - "0.1378059000, 0.1469102000, 0.1662841000, 0.2082497000, 0.2878088000, 0.4313273000, 0.6900055000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.1980034000, 0.2072835000, 0.2272945000, 0.2719606000, 0.3768413000, 0.6165133000, 1.1736971000", \ - "0.2009121000, 0.2101261000, 0.2303748000, 0.2751472000, 0.3800643000, 0.6198702000, 1.1771378000", \ - "0.2109306000, 0.2195603000, 0.2404708000, 0.2863076000, 0.3905565000, 0.6304413000, 1.1884626000", \ - "0.2356973000, 0.2441588000, 0.2650305000, 0.3105245000, 0.4156478000, 0.6564222000, 1.2145491000", \ - "0.2912898000, 0.3001106000, 0.3196319000, 0.3655413000, 0.4693194000, 0.7109308000, 1.2694472000", \ - "0.4025458000, 0.4130274000, 0.4376969000, 0.4904834000, 0.5990824000, 0.8397167000, 1.3987810000", \ - "0.6014412000, 0.6150252000, 0.6462521000, 0.7144151000, 0.8570119000, 1.1329365000, 1.7007438000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0473262000, 0.0513200000, 0.0605571000, 0.0823202000, 0.1333812000, 0.2527120000, 0.5317041000", \ - "0.0472755000, 0.0512034000, 0.0606027000, 0.0822553000, 0.1331642000, 0.2527255000, 0.5315082000", \ - "0.0472658000, 0.0513084000, 0.0604681000, 0.0824033000, 0.1333089000, 0.2523442000, 0.5321711000", \ - "0.0495553000, 0.0532690000, 0.0621757000, 0.0832418000, 0.1334861000, 0.2523353000, 0.5318516000", \ - "0.0605997000, 0.0644113000, 0.0731690000, 0.0940219000, 0.1419269000, 0.2559289000, 0.5314049000", \ - "0.0922902000, 0.0964620000, 0.1059202000, 0.1276567000, 0.1749285000, 0.2845913000, 0.5442323000", \ - "0.1619996000, 0.1677396000, 0.1797966000, 0.2071707000, 0.2616908000, 0.3721087000, 0.6208933000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.1662182000, 0.1769852000, 0.2027986000, 0.2615501000, 0.3997566000, 0.7223063000, 1.4746029000", \ - "0.1662172000, 0.1770091000, 0.2027898000, 0.2615340000, 0.3998137000, 0.7223274000, 1.4745651000", \ - "0.1662139000, 0.1771353000, 0.2023817000, 0.2615636000, 0.4010922000, 0.7218004000, 1.4736991000", \ - "0.1661202000, 0.1768567000, 0.2027109000, 0.2614447000, 0.3996834000, 0.7228101000, 1.4759617000", \ - "0.1715075000, 0.1817125000, 0.2061480000, 0.2625731000, 0.3998095000, 0.7217195000, 1.4748243000", \ - "0.2087003000, 0.2187316000, 0.2438207000, 0.2946339000, 0.4189423000, 0.7264866000, 1.4750203000", \ - "0.2971915000, 0.3088960000, 0.3357331000, 0.3931964000, 0.5243261000, 0.7947853000, 1.4909370000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0521832000, 0.0549080000, 0.0615127000, 0.0766088000, 0.1113430000, 0.1923424000, 0.3828936000", \ - "0.0565193000, 0.0594997000, 0.0660468000, 0.0811937000, 0.1163836000, 0.1976158000, 0.3867495000", \ - "0.0649644000, 0.0678946000, 0.0746768000, 0.0901731000, 0.1256126000, 0.2071241000, 0.3963203000", \ - "0.0785149000, 0.0818101000, 0.0892613000, 0.1056433000, 0.1415313000, 0.2235349000, 0.4133622000", \ - "0.0955277000, 0.0998766000, 0.1091898000, 0.1292668000, 0.1700638000, 0.2555219000, 0.4461687000", \ - "0.1052549000, 0.1119889000, 0.1266910000, 0.1556092000, 0.2112244000, 0.3152833000, 0.5190398000", \ - "0.0750250000, 0.0879584000, 0.1101132000, 0.1573510000, 0.2447668000, 0.3981506000, 0.6516205000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.1630202000, 0.1715450000, 0.1913503000, 0.2359751000, 0.3400364000, 0.5804466000, 1.1382594000", \ - "0.1648855000, 0.1734349000, 0.1932927000, 0.2387690000, 0.3427565000, 0.5829383000, 1.1408079000", \ - "0.1727386000, 0.1815295000, 0.2016393000, 0.2471942000, 0.3523302000, 0.5931722000, 1.1509291000", \ - "0.1965807000, 0.2048657000, 0.2252535000, 0.2704175000, 0.3760260000, 0.6168751000, 1.1754161000", \ - "0.2596108000, 0.2681785000, 0.2873560000, 0.3328336000, 0.4370837000, 0.6783764000, 1.2371286000", \ - "0.3944407000, 0.4040303000, 0.4294748000, 0.4792665000, 0.5850319000, 0.8227900000, 1.3799890000", \ - "0.6123905000, 0.6273722000, 0.6634298000, 0.7347695000, 0.8836855000, 1.1670492000, 1.7146966000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0316781000, 0.0352782000, 0.0439344000, 0.0642332000, 0.1112604000, 0.2212632000, 0.4797668000", \ - "0.0315899000, 0.0353298000, 0.0438113000, 0.0639598000, 0.1116279000, 0.2218913000, 0.4788949000", \ - "0.0318028000, 0.0354172000, 0.0440245000, 0.0641121000, 0.1116103000, 0.2220865000, 0.4782087000", \ - "0.0360438000, 0.0394225000, 0.0473860000, 0.0660906000, 0.1118190000, 0.2214827000, 0.4800624000", \ - "0.0490642000, 0.0526621000, 0.0604965000, 0.0794262000, 0.1228967000, 0.2260792000, 0.4794957000", \ - "0.0828665000, 0.0870759000, 0.0961666000, 0.1166851000, 0.1594722000, 0.2609567000, 0.4943607000", \ - "0.1527544000, 0.1591282000, 0.1712008000, 0.1971505000, 0.2496454000, 0.3590292000, 0.5880040000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.1662995000, 0.1770170000, 0.2021397000, 0.2613230000, 0.4001202000, 0.7218336000, 1.4760231000", \ - "0.1662218000, 0.1769320000, 0.2021720000, 0.2621652000, 0.3997374000, 0.7217187000, 1.4737507000", \ - "0.1661280000, 0.1766334000, 0.2025861000, 0.2614649000, 0.3997932000, 0.7215595000, 1.4797606000", \ - "0.1653175000, 0.1761830000, 0.2021118000, 0.2618608000, 0.4006079000, 0.7221900000, 1.4747028000", \ - "0.1713334000, 0.1813895000, 0.2045536000, 0.2621353000, 0.3993604000, 0.7225670000, 1.4739764000", \ - "0.2236873000, 0.2345876000, 0.2610943000, 0.3093751000, 0.4258189000, 0.7238841000, 1.4759227000", \ - "0.3213642000, 0.3362721000, 0.3700948000, 0.4377270000, 0.5714159000, 0.8296243000, 1.4975913000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0665245000, 0.0702528000, 0.0787747000, 0.0976125000, 0.1388440000, 0.2308513000, 0.4407100000", \ - "0.0704223000, 0.0741041000, 0.0826562000, 0.1015128000, 0.1430984000, 0.2350813000, 0.4447531000", \ - "0.0785000000, 0.0821824000, 0.0908170000, 0.1097256000, 0.1513383000, 0.2435520000, 0.4535892000", \ - "0.0975312000, 0.1015033000, 0.1099321000, 0.1284334000, 0.1698145000, 0.2623745000, 0.4726494000", \ - "0.1308140000, 0.1350719000, 0.1450138000, 0.1676344000, 0.2126314000, 0.3068737000, 0.5174790000", \ - "0.1721767000, 0.1785407000, 0.1931023000, 0.2230164000, 0.2835969000, 0.3967555000, 0.6193634000", \ - "0.1981748000, 0.2078669000, 0.2299495000, 0.2763161000, 0.3683205000, 0.5325500000, 0.8152191000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0453814000, 0.0481331000, 0.0545763000, 0.0692175000, 0.1030668000, 0.1815915000, 0.3647212000", \ - "0.0507545000, 0.0533764000, 0.0599218000, 0.0747026000, 0.1089295000, 0.1870979000, 0.3703314000", \ - "0.0639466000, 0.0667417000, 0.0732933000, 0.0881922000, 0.1224436000, 0.2015913000, 0.3839482000", \ - "0.0956234000, 0.0987592000, 0.1054640000, 0.1199876000, 0.1544390000, 0.2326535000, 0.4147431000", \ - "0.1515609000, 0.1565611000, 0.1674212000, 0.1894803000, 0.2297456000, 0.3087161000, 0.4915098000", \ - "0.2426157000, 0.2506101000, 0.2681921000, 0.3036069000, 0.3688717000, 0.4792012000, 0.6666975000", \ - "0.3967623000, 0.4087815000, 0.4354149000, 0.4908858000, 0.5956520000, 0.7748465000, 1.0515816000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0610494000, 0.0654163000, 0.0750337000, 0.0975799000, 0.1491463000, 0.2692706000, 0.5506878000", \ - "0.0610993000, 0.0652159000, 0.0751209000, 0.0975195000, 0.1492863000, 0.2689727000, 0.5510444000", \ - "0.0603354000, 0.0647511000, 0.0744193000, 0.0972789000, 0.1490686000, 0.2688359000, 0.5505532000", \ - "0.0636757000, 0.0675774000, 0.0766586000, 0.0981560000, 0.1486655000, 0.2690990000, 0.5507482000", \ - "0.0806536000, 0.0847966000, 0.0942010000, 0.1146737000, 0.1596415000, 0.2725340000, 0.5505427000", \ - "0.1213463000, 0.1249244000, 0.1361252000, 0.1600368000, 0.2091683000, 0.3119424000, 0.5661406000", \ - "0.1954157000, 0.2020705000, 0.2179514000, 0.2489786000, 0.3150188000, 0.4329964000, 0.6750286000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0494870000, 0.0536271000, 0.0633528000, 0.0856894000, 0.1366447000, 0.2533316000, 0.5195462000", \ - "0.0494623000, 0.0536235000, 0.0633637000, 0.0857004000, 0.1366826000, 0.2532162000, 0.5200085000", \ - "0.0494044000, 0.0535962000, 0.0632889000, 0.0857028000, 0.1367144000, 0.2535114000, 0.5197298000", \ - "0.0589034000, 0.0622092000, 0.0701204000, 0.0892447000, 0.1368327000, 0.2534409000, 0.5199132000", \ - "0.0978510000, 0.1005939000, 0.1068788000, 0.1204571000, 0.1567518000, 0.2582735000, 0.5199859000", \ - "0.1717177000, 0.1755647000, 0.1843724000, 0.2033762000, 0.2408917000, 0.3181504000, 0.5370723000", \ - "0.3074477000, 0.3127213000, 0.3243873000, 0.3508326000, 0.4084366000, 0.5108555000, 0.6903783000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0572728000, 0.0610824000, 0.0697618000, 0.0886538000, 0.1300532000, 0.2222040000, 0.4320255000", \ - "0.0608162000, 0.0646575000, 0.0732741000, 0.0924901000, 0.1339791000, 0.2263404000, 0.4360881000", \ - "0.0701053000, 0.0739469000, 0.0824571000, 0.1015845000, 0.1435667000, 0.2359169000, 0.4461385000", \ - "0.0955120000, 0.0988472000, 0.1065754000, 0.1247066000, 0.1655764000, 0.2589481000, 0.4694143000", \ - "0.1358417000, 0.1409066000, 0.1519454000, 0.1755800000, 0.2203560000, 0.3124154000, 0.5226048000", \ - "0.1825842000, 0.1900243000, 0.2064873000, 0.2409443000, 0.3084202000, 0.4305765000, 0.6485180000", \ - "0.2210049000, 0.2320041000, 0.2565609000, 0.3059380000, 0.4084285000, 0.5911315000, 0.9021344000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0346184000, 0.0375440000, 0.0440704000, 0.0588417000, 0.0923472000, 0.1701361000, 0.3495990000", \ - "0.0394092000, 0.0423561000, 0.0490006000, 0.0640204000, 0.0978560000, 0.1759001000, 0.3559299000", \ - "0.0524225000, 0.0552823000, 0.0617198000, 0.0766673000, 0.1107983000, 0.1883156000, 0.3681524000", \ - "0.0794924000, 0.0834477000, 0.0917365000, 0.1074643000, 0.1415058000, 0.2194914000, 0.4009744000", \ - "0.1218624000, 0.1281588000, 0.1414826000, 0.1675003000, 0.2135165000, 0.2928545000, 0.4737150000", \ - "0.1890721000, 0.1995165000, 0.2202493000, 0.2618454000, 0.3350853000, 0.4532974000, 0.6433906000", \ - "0.3014050000, 0.3167235000, 0.3477980000, 0.4124747000, 0.5280859000, 0.7195241000, 1.0091002000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0613147000, 0.0654948000, 0.0750163000, 0.0974474000, 0.1492015000, 0.2694160000, 0.5507336000", \ - "0.0612905000, 0.0653468000, 0.0750398000, 0.0976751000, 0.1491658000, 0.2694955000, 0.5506086000", \ - "0.0590638000, 0.0634544000, 0.0739128000, 0.0969776000, 0.1491540000, 0.2690035000, 0.5501105000", \ - "0.0642259000, 0.0679348000, 0.0765630000, 0.0974581000, 0.1479444000, 0.2688432000, 0.5501630000", \ - "0.0883722000, 0.0928450000, 0.1032907000, 0.1240927000, 0.1657551000, 0.2726904000, 0.5504227000", \ - "0.1347848000, 0.1409436000, 0.1542796000, 0.1817973000, 0.2350307000, 0.3364422000, 0.5728702000", \ - "0.2151940000, 0.2248139000, 0.2454947000, 0.2863809000, 0.3628893000, 0.4982786000, 0.7434969000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011707600, 0.0027413800, 0.0064190100, 0.0150303000, 0.0351939000, 0.0824074000"); - values("0.0393027000, 0.0433981000, 0.0530054000, 0.0752842000, 0.1256260000, 0.2406197000, 0.5038658000", \ - "0.0392116000, 0.0434275000, 0.0530632000, 0.0753115000, 0.1255956000, 0.2406230000, 0.5036123000", \ - "0.0406946000, 0.0443340000, 0.0532224000, 0.0752736000, 0.1255911000, 0.2406104000, 0.5037931000", \ - "0.0564631000, 0.0586151000, 0.0648942000, 0.0823808000, 0.1267835000, 0.2405597000, 0.5039273000", \ - "0.0994369000, 0.1017534000, 0.1077336000, 0.1206782000, 0.1526307000, 0.2475348000, 0.5038380000", \ - "0.1751118000, 0.1784455000, 0.1863121000, 0.2041008000, 0.2418998000, 0.3158415000, 0.5257771000", \ - "0.3164989000, 0.3202624000, 0.3301164000, 0.3535723000, 0.4079175000, 0.5118534000, 0.6884538000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o311ai_4") { - leakage_power () { - value : 0.0004445000; - when : "!A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0001411000; - when : "!A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0046112000; - when : "!A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0004457000; - when : "!A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0015094000; - when : "!A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0001779000; - when : "!A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0094520000; - when : "!A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0019318000; - when : "!A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0015094000; - when : "!A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0001779000; - when : "!A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0083034000; - when : "!A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0019249000; - when : "!A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0015094000; - when : "!A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0001779000; - when : "!A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0059320000; - when : "!A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0019323000; - when : "!A1&A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0015095000; - when : "A1&!A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0001779000; - when : "A1&!A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0080947000; - when : "A1&!A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0019325000; - when : "A1&!A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0015094000; - when : "A1&!A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0001779000; - when : "A1&!A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0059213000; - when : "A1&!A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0019296000; - when : "A1&!A2&A3&B1&!C1"; - } - leakage_power () { - value : 0.0015094000; - when : "A1&A2&!A3&!B1&C1"; - } - leakage_power () { - value : 0.0001779000; - when : "A1&A2&!A3&!B1&!C1"; - } - leakage_power () { - value : 0.0064565000; - when : "A1&A2&!A3&B1&C1"; - } - leakage_power () { - value : 0.0019209000; - when : "A1&A2&!A3&B1&!C1"; - } - leakage_power () { - value : 0.0015221000; - when : "A1&A2&A3&!B1&C1"; - } - leakage_power () { - value : 0.0001875000; - when : "A1&A2&A3&!B1&!C1"; - } - leakage_power () { - value : 0.0484985000; - when : "A1&A2&A3&B1&C1"; - } - leakage_power () { - value : 0.0019247000; - when : "A1&A2&A3&B1&!C1"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__o311ai"; - cell_leakage_power : 0.0038634690; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0086430000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0157519000, 0.0157540000, 0.0157589000, 0.0157457000, 0.0157153000, 0.0156453000, 0.0154838000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015748900, -0.015744900, -0.015735600, -0.015733400, -0.015728400, -0.015716900, -0.015690300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090850000; - } - pin ("A2") { - capacitance : 0.0084510000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078450000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0157425000, 0.0157414000, 0.0157388000, 0.0157425000, 0.0157508000, 0.0157700000, 0.0158143000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015746800, -0.015742700, -0.015733100, -0.015735500, -0.015741000, -0.015753700, -0.015782800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090570000; - } - pin ("A3") { - capacitance : 0.0085460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078420000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0158197000, 0.0158180000, 0.0158139000, 0.0158086000, 0.0157964000, 0.0157682000, 0.0157032000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015833100, -0.015824500, -0.015804500, -0.015807000, -0.015812900, -0.015826400, -0.015857600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0092510000; - } - pin ("B1") { - capacitance : 0.0084630000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0161095000, 0.0160418000, 0.0158856000, 0.0159158000, 0.0159853000, 0.0161455000, 0.0165149000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015685200, -0.015658900, -0.015598300, -0.015594600, -0.015586200, -0.015566700, -0.015521700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087460000; - } - pin ("C1") { - capacitance : 0.0084520000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082820000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0182668000, 0.0182662000, 0.0182648000, 0.0182653000, 0.0182667000, 0.0182697000, 0.0182768000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.013007800, -0.013026000, -0.013068000, -0.013032300, -0.012950000, -0.012760300, -0.012323200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0086220000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2&!A3) | (!B1) | (!C1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0259144000, 0.0246699000, 0.0214833000, 0.0131553000, -0.008143700, -0.062959100, -0.204026400", \ - "0.0258092000, 0.0245850000, 0.0213428000, 0.0130749000, -0.008215600, -0.063030700, -0.204012300", \ - "0.0254154000, 0.0241633000, 0.0209905000, 0.0127951000, -0.008398700, -0.063140500, -0.204093400", \ - "0.0244836000, 0.0232809000, 0.0201541000, 0.0120805000, -0.008900200, -0.063431100, -0.204220300", \ - "0.0234882000, 0.0222805000, 0.0192047000, 0.0112164000, -0.009674200, -0.063887200, -0.204399800", \ - "0.0236371000, 0.0222865000, 0.0191288000, 0.0109222000, -0.010602800, -0.064734100, -0.204977100", \ - "0.0249949000, 0.0236909000, 0.0204192000, 0.0120355000, -0.009408300, -0.064291300, -0.205236600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0539609000, 0.0552516000, 0.0584239000, 0.0668365000, 0.0883244000, 0.1430177000, 0.2829275000", \ - "0.0532932000, 0.0545654000, 0.0579221000, 0.0663187000, 0.0879335000, 0.1428341000, 0.2827072000", \ - "0.0527199000, 0.0540019000, 0.0573326000, 0.0659151000, 0.0874041000, 0.1423404000, 0.2821693000", \ - "0.0521622000, 0.0534751000, 0.0567310000, 0.0652213000, 0.0868992000, 0.1419454000, 0.2820064000", \ - "0.0514611000, 0.0527972000, 0.0560650000, 0.0645112000, 0.0861859000, 0.1412188000, 0.2813866000", \ - "0.0511769000, 0.0525521000, 0.0558053000, 0.0643902000, 0.0860960000, 0.1408905000, 0.2809660000", \ - "0.0523865000, 0.0536427000, 0.0568887000, 0.0651290000, 0.0866349000, 0.1411920000, 0.2818962000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0193992000, 0.0181894000, 0.0149875000, 0.0067812000, -0.014525900, -0.069452800, -0.210662000", \ - "0.0189880000, 0.0178234000, 0.0148095000, 0.0067285000, -0.014344800, -0.069186400, -0.210304800", \ - "0.0182108000, 0.0170854000, 0.0141506000, 0.0063278000, -0.014475000, -0.069009900, -0.209973100", \ - "0.0171005000, 0.0159590000, 0.0130647000, 0.0053748000, -0.015071600, -0.069188800, -0.209861600", \ - "0.0161605000, 0.0149893000, 0.0119457000, 0.0041880000, -0.016152400, -0.069868800, -0.210072200", \ - "0.0162760000, 0.0150295000, 0.0119269000, 0.0038384000, -0.017094900, -0.071061600, -0.210913200", \ - "0.0180847000, 0.0168115000, 0.0134103000, 0.0048911000, -0.016516300, -0.071115300, -0.211546800"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0454022000, 0.0466959000, 0.0498587000, 0.0583014000, 0.0797965000, 0.1346684000, 0.2743759000", \ - "0.0445674000, 0.0458551000, 0.0492312000, 0.0577973000, 0.0792375000, 0.1341103000, 0.2737774000", \ - "0.0437462000, 0.0450389000, 0.0483180000, 0.0569090000, 0.0785614000, 0.1337175000, 0.2736591000", \ - "0.0426473000, 0.0440199000, 0.0474310000, 0.0559776000, 0.0776939000, 0.1330202000, 0.2735346000", \ - "0.0421602000, 0.0434355000, 0.0467827000, 0.0552757000, 0.0769923000, 0.1319448000, 0.2725526000", \ - "0.0441523000, 0.0454359000, 0.0487162000, 0.0572141000, 0.0774881000, 0.1326394000, 0.2723474000", \ - "0.0483157000, 0.0514975000, 0.0533843000, 0.0607306000, 0.0818514000, 0.1364915000, 0.2735825000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0326593000, 0.0314531000, 0.0282905000, 0.0201088000, -0.000990300, -0.055492200, -0.196179700", \ - "0.0322197000, 0.0310090000, 0.0278865000, 0.0197832000, -0.001217600, -0.055627100, -0.196147900", \ - "0.0315727000, 0.0304016000, 0.0272523000, 0.0191995000, -0.001672400, -0.055881500, -0.196402600", \ - "0.0309124000, 0.0296604000, 0.0265379000, 0.0184302000, -0.002346800, -0.056400600, -0.196581600", \ - "0.0303235000, 0.0291047000, 0.0260023000, 0.0179616000, -0.002850300, -0.056861100, -0.196991600", \ - "0.0304319000, 0.0291658000, 0.0259449000, 0.0175882000, -0.003795600, -0.057860300, -0.197705100", \ - "0.0329523000, 0.0316668000, 0.0283822000, 0.0197964000, -0.001927600, -0.057447100, -0.198136200"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0454258000, 0.0467328000, 0.0500386000, 0.0585245000, 0.0800150000, 0.1347229000, 0.2747592000", \ - "0.0446580000, 0.0460092000, 0.0494242000, 0.0580121000, 0.0797650000, 0.1347690000, 0.2748537000", \ - "0.0435400000, 0.0449148000, 0.0483608000, 0.0571022000, 0.0791166000, 0.1344013000, 0.2747915000", \ - "0.0425452000, 0.0439198000, 0.0473042000, 0.0561027000, 0.0781733000, 0.1338296000, 0.2742179000", \ - "0.0421422000, 0.0434165000, 0.0466942000, 0.0551154000, 0.0766225000, 0.1321667000, 0.2729844000", \ - "0.0435506000, 0.0447417000, 0.0479210000, 0.0561751000, 0.0777894000, 0.1327852000, 0.2732465000", \ - "0.0475208000, 0.0485346000, 0.0513560000, 0.0590536000, 0.0803429000, 0.1346913000, 0.2755295000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0294350000, 0.0282345000, 0.0251869000, 0.0171347000, -0.003831800, -0.058246900, -0.198794800", \ - "0.0289387000, 0.0277518000, 0.0246665000, 0.0167054000, -0.004112800, -0.058386100, -0.198835300", \ - "0.0283236000, 0.0271502000, 0.0240422000, 0.0160723000, -0.004600100, -0.058658500, -0.198961800", \ - "0.0276439000, 0.0264370000, 0.0234201000, 0.0153203000, -0.005372400, -0.059319600, -0.199349400", \ - "0.0275898000, 0.0263425000, 0.0231706000, 0.0150307000, -0.006075100, -0.060002500, -0.199849500", \ - "0.0287470000, 0.0274371000, 0.0241268000, 0.0158104000, -0.005757400, -0.060369000, -0.200640200", \ - "0.0331367000, 0.0318118000, 0.0282887000, 0.0195936000, -0.002341000, -0.057307800, -0.200541700"); - } - related_pin : "C1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0296620000, 0.0311543000, 0.0348923000, 0.0439034000, 0.0658449000, 0.1208769000, 0.2610498000", \ - "0.0288112000, 0.0302780000, 0.0340111000, 0.0432649000, 0.0655110000, 0.1208201000, 0.2614442000", \ - "0.0280518000, 0.0294661000, 0.0331281000, 0.0421667000, 0.0648344000, 0.1204438000, 0.2609844000", \ - "0.0275500000, 0.0288891000, 0.0324058000, 0.0412953000, 0.0633090000, 0.1192353000, 0.2603212000", \ - "0.0278005000, 0.0290466000, 0.0323147000, 0.0407000000, 0.0622681000, 0.1179604000, 0.2592147000", \ - "0.0302867000, 0.0314892000, 0.0346614000, 0.0427258000, 0.0634416000, 0.1190671000, 0.2592667000", \ - "0.0365005000, 0.0372048000, 0.0398409000, 0.0470354000, 0.0669571000, 0.1209372000, 0.2618687000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0322443000, 0.0309936000, 0.0277653000, 0.0194648000, -0.001758200, -0.056329500, -0.196963900", \ - "0.0317545000, 0.0304964000, 0.0272823000, 0.0189620000, -0.002247300, -0.056814100, -0.197467400", \ - "0.0311953000, 0.0299153000, 0.0266879000, 0.0184313000, -0.002777800, -0.057346100, -0.197965800", \ - "0.0305324000, 0.0292707000, 0.0260652000, 0.0178351000, -0.003314900, -0.057812300, -0.198451600", \ - "0.0301175000, 0.0288682000, 0.0255760000, 0.0175089000, -0.003570200, -0.058060600, -0.198587900", \ - "0.0301821000, 0.0288928000, 0.0256263000, 0.0172333000, -0.004253600, -0.058567200, -0.199044600", \ - "0.0317049000, 0.0304852000, 0.0272132000, 0.0188521000, -0.002805400, -0.057941100, -0.198880700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012831560, 0.0032929770, 0.0084508050, 0.0216874000, 0.0556566200, 0.1428322000"); - values("0.0627872000, 0.0640822000, 0.0672200000, 0.0756744000, 0.0971196000, 0.1519473000, 0.2917186000", \ - "0.0623287000, 0.0636293000, 0.0669368000, 0.0752494000, 0.0967308000, 0.1515424000, 0.2913489000", \ - "0.0618498000, 0.0630032000, 0.0663109000, 0.0748079000, 0.0963495000, 0.1511605000, 0.2912731000", \ - "0.0611987000, 0.0626096000, 0.0659485000, 0.0743460000, 0.0959665000, 0.1508379000, 0.2908259000", \ - "0.0608323000, 0.0620821000, 0.0654316000, 0.0738729000, 0.0953849000, 0.1504479000, 0.2908699000", \ - "0.0607315000, 0.0619716000, 0.0651405000, 0.0736874000, 0.0951486000, 0.1501884000, 0.2902448000", \ - "0.0609382000, 0.0623226000, 0.0656570000, 0.0740289000, 0.0957696000, 0.1504576000, 0.2903907000"); - } - } - max_capacitance : 0.1428320000; - max_transition : 1.4964440000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0714431000, 0.0738831000, 0.0800329000, 0.0950003000, 0.1306553000, 0.2164406000, 0.4277320000", \ - "0.0753654000, 0.0778341000, 0.0840307000, 0.0989762000, 0.1345758000, 0.2203222000, 0.4310250000", \ - "0.0828426000, 0.0852433000, 0.0914503000, 0.1064040000, 0.1421239000, 0.2277553000, 0.4388578000", \ - "0.0967851000, 0.0992309000, 0.1054971000, 0.1204415000, 0.1561033000, 0.2416796000, 0.4525794000", \ - "0.1191743000, 0.1216425000, 0.1280405000, 0.1445327000, 0.1822982000, 0.2693604000, 0.4806406000", \ - "0.1472885000, 0.1504563000, 0.1584493000, 0.1788275000, 0.2247612000, 0.3224531000, 0.5431101000", \ - "0.1531250000, 0.1589426000, 0.1726780000, 0.2018241000, 0.2679416000, 0.3984773000, 0.6591189000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.2247590000, 0.2307046000, 0.2453776000, 0.2810655000, 0.3731866000, 0.6033561000, 1.1888303000", \ - "0.2284216000, 0.2347347000, 0.2496615000, 0.2858892000, 0.3779143000, 0.6077256000, 1.1936032000", \ - "0.2416559000, 0.2475460000, 0.2621170000, 0.2978671000, 0.3905076000, 0.6211597000, 1.2061259000", \ - "0.2672291000, 0.2726407000, 0.2882328000, 0.3244467000, 0.4172283000, 0.6482208000, 1.2343357000", \ - "0.3218733000, 0.3281648000, 0.3423715000, 0.3793057000, 0.4708222000, 0.7024996000, 1.2884522000", \ - "0.4272494000, 0.4342458000, 0.4504142000, 0.4885748000, 0.5847919000, 0.8152136000, 1.4019679000", \ - "0.6095695000, 0.6172712000, 0.6393712000, 0.6874247000, 0.8014373000, 1.0587943000, 1.6543447000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0644718000, 0.0670847000, 0.0737450000, 0.0907128000, 0.1340677000, 0.2446946000, 0.5300174000", \ - "0.0643350000, 0.0668025000, 0.0734672000, 0.0907106000, 0.1339114000, 0.2445871000, 0.5296853000", \ - "0.0637562000, 0.0663989000, 0.0731921000, 0.0903375000, 0.1337341000, 0.2445149000, 0.5300004000", \ - "0.0652557000, 0.0678239000, 0.0742977000, 0.0909428000, 0.1338672000, 0.2444795000, 0.5298733000", \ - "0.0753864000, 0.0777888000, 0.0835378000, 0.0999061000, 0.1409542000, 0.2474076000, 0.5300478000", \ - "0.1030908000, 0.1052507000, 0.1117655000, 0.1279594000, 0.1692446000, 0.2724504000, 0.5414460000", \ - "0.1690816000, 0.1729520000, 0.1805002000, 0.2005511000, 0.2456979000, 0.3502029000, 0.6102931000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.1693065000, 0.1765300000, 0.1957141000, 0.2432236000, 0.3651109000, 0.6775807000, 1.4777902000", \ - "0.1694245000, 0.1767143000, 0.1957167000, 0.2432537000, 0.3652122000, 0.6780811000, 1.4774617000", \ - "0.1692740000, 0.1768500000, 0.1950750000, 0.2431758000, 0.3652928000, 0.6765635000, 1.4729421000", \ - "0.1691342000, 0.1767172000, 0.1956768000, 0.2431786000, 0.3654806000, 0.6766553000, 1.4765236000", \ - "0.1704615000, 0.1778640000, 0.1960508000, 0.2438625000, 0.3660677000, 0.6771150000, 1.4737531000", \ - "0.1948535000, 0.2015502000, 0.2189741000, 0.2638847000, 0.3781895000, 0.6809546000, 1.4776425000", \ - "0.2543996000, 0.2618726000, 0.2812892000, 0.3297764000, 0.4472625000, 0.7374840000, 1.4923438000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0673671000, 0.0695466000, 0.0749923000, 0.0881002000, 0.1207897000, 0.2022555000, 0.4086848000", \ - "0.0719381000, 0.0741523000, 0.0793347000, 0.0926037000, 0.1252242000, 0.2066801000, 0.4137678000", \ - "0.0799134000, 0.0819113000, 0.0873387000, 0.1006532000, 0.1334948000, 0.2149735000, 0.4219443000", \ - "0.0928838000, 0.0951632000, 0.1007939000, 0.1145624000, 0.1475818000, 0.2295819000, 0.4364219000", \ - "0.1117483000, 0.1143845000, 0.1209345000, 0.1365445000, 0.1730226000, 0.2578849000, 0.4653444000", \ - "0.1310190000, 0.1338846000, 0.1431684000, 0.1638500000, 0.2103646000, 0.3095793000, 0.5290216000", \ - "0.1149604000, 0.1212298000, 0.1356670000, 0.1687579000, 0.2403055000, 0.3790597000, 0.6428443000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.2089689000, 0.2147434000, 0.2293339000, 0.2647178000, 0.3571211000, 0.5875959000, 1.1727964000", \ - "0.2118815000, 0.2171981000, 0.2310796000, 0.2689707000, 0.3600985000, 0.5902291000, 1.1758644000", \ - "0.2224327000, 0.2278635000, 0.2423527000, 0.2790755000, 0.3704740000, 0.6017250000, 1.1878207000", \ - "0.2470194000, 0.2529596000, 0.2673866000, 0.3034831000, 0.3960103000, 0.6276228000, 1.2137605000", \ - "0.3039538000, 0.3094928000, 0.3238267000, 0.3604908000, 0.4523392000, 0.6845997000, 1.2712625000", \ - "0.4202611000, 0.4263883000, 0.4439292000, 0.4875692000, 0.5849822000, 0.8163242000, 1.4032221000", \ - "0.6313899000, 0.6401712000, 0.6630310000, 0.7187759000, 0.8423117000, 1.1126861000, 1.7128767000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0485267000, 0.0511630000, 0.0574494000, 0.0738496000, 0.1168746000, 0.2271796000, 0.5114059000", \ - "0.0486069000, 0.0510354000, 0.0573391000, 0.0739082000, 0.1165809000, 0.2271749000, 0.5119998000", \ - "0.0484391000, 0.0509141000, 0.0574994000, 0.0739031000, 0.1165998000, 0.2271677000, 0.5118332000", \ - "0.0510925000, 0.0531843000, 0.0591682000, 0.0751513000, 0.1170582000, 0.2271717000, 0.5117686000", \ - "0.0609206000, 0.0633408000, 0.0695248000, 0.0852905000, 0.1260630000, 0.2310317000, 0.5115823000", \ - "0.0917164000, 0.0941558000, 0.1005912000, 0.1168988000, 0.1571081000, 0.2596766000, 0.5253201000", \ - "0.1594352000, 0.1627224000, 0.1716873000, 0.1924773000, 0.2404898000, 0.3452725000, 0.5988002000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.1693373000, 0.1764320000, 0.1951689000, 0.2432371000, 0.3655254000, 0.6767429000, 1.4791099000", \ - "0.1689846000, 0.1764074000, 0.1957231000, 0.2431101000, 0.3664025000, 0.6769266000, 1.4725720000", \ - "0.1696862000, 0.1768526000, 0.1952727000, 0.2435789000, 0.3655274000, 0.6773072000, 1.4787718000", \ - "0.1694479000, 0.1768016000, 0.1955094000, 0.2434701000, 0.3654176000, 0.6772271000, 1.4763301000", \ - "0.1734808000, 0.1804060000, 0.1983211000, 0.2444915000, 0.3659669000, 0.6778013000, 1.4790247000", \ - "0.2093952000, 0.2167326000, 0.2347861000, 0.2757699000, 0.3858788000, 0.6816560000, 1.4770077000", \ - "0.2962276000, 0.3044110000, 0.3257562000, 0.3751312000, 0.4857831000, 0.7540783000, 1.4921581000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0533363000, 0.0552677000, 0.0600754000, 0.0723553000, 0.1035212000, 0.1824418000, 0.3845558000", \ - "0.0574272000, 0.0593840000, 0.0646208000, 0.0769360000, 0.1086065000, 0.1874706000, 0.3882991000", \ - "0.0654741000, 0.0676143000, 0.0726313000, 0.0855171000, 0.1172659000, 0.1970573000, 0.3996081000", \ - "0.0780661000, 0.0804658000, 0.0860673000, 0.0999798000, 0.1325225000, 0.2125068000, 0.4141034000", \ - "0.0935631000, 0.0965542000, 0.1040366000, 0.1209257000, 0.1588000000, 0.2433046000, 0.4462474000", \ - "0.1019909000, 0.1063982000, 0.1174107000, 0.1423995000, 0.1946324000, 0.2997136000, 0.5168286000", \ - "0.0661834000, 0.0737008000, 0.0922115000, 0.1340169000, 0.2168824000, 0.3692862000, 0.6474663000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.1695104000, 0.1754506000, 0.1900112000, 0.2266989000, 0.3172071000, 0.5477190000, 1.1339228000", \ - "0.1717704000, 0.1773226000, 0.1912771000, 0.2287446000, 0.3196447000, 0.5504917000, 1.1360981000", \ - "0.1787187000, 0.1851188000, 0.2001749000, 0.2369202000, 0.3281496000, 0.5596710000, 1.1457331000", \ - "0.2020781000, 0.2077143000, 0.2227215000, 0.2593998000, 0.3523824000, 0.5845763000, 1.1708613000", \ - "0.2644319000, 0.2699969000, 0.2843465000, 0.3206153000, 0.4127977000, 0.6430885000, 1.2308917000", \ - "0.4030547000, 0.4100736000, 0.4270399000, 0.4694896000, 0.5624832000, 0.7874535000, 1.3716901000", \ - "0.6301131000, 0.6400029000, 0.6645799000, 0.7213666000, 0.8589762000, 1.1332387000, 1.7160715000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0322004000, 0.0347040000, 0.0410833000, 0.0576556000, 0.1000788000, 0.2091324000, 0.4874806000", \ - "0.0321488000, 0.0346673000, 0.0412068000, 0.0575709000, 0.1003158000, 0.2086806000, 0.4874411000", \ - "0.0324037000, 0.0348862000, 0.0412383000, 0.0576624000, 0.1000200000, 0.2091988000, 0.4888660000", \ - "0.0368888000, 0.0391974000, 0.0449978000, 0.0601488000, 0.1009815000, 0.2085419000, 0.4870198000", \ - "0.0499885000, 0.0521374000, 0.0580943000, 0.0732486000, 0.1126591000, 0.2141997000, 0.4874758000", \ - "0.0838335000, 0.0868184000, 0.0934688000, 0.1097321000, 0.1490237000, 0.2484400000, 0.5014941000", \ - "0.1555212000, 0.1590220000, 0.1675523000, 0.1895390000, 0.2373528000, 0.3407909000, 0.5931575000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.1693705000, 0.1765894000, 0.1955942000, 0.2431670000, 0.3655394000, 0.6769905000, 1.4761889000", \ - "0.1688253000, 0.1762484000, 0.1951548000, 0.2439609000, 0.3652920000, 0.6769907000, 1.4739882000", \ - "0.1691602000, 0.1765327000, 0.1954425000, 0.2432059000, 0.3655334000, 0.6766809000, 1.4736657000", \ - "0.1686750000, 0.1760722000, 0.1952794000, 0.2434657000, 0.3659225000, 0.6771423000, 1.4738176000", \ - "0.1733537000, 0.1801254000, 0.1980913000, 0.2433524000, 0.3643682000, 0.6772283000, 1.4724038000", \ - "0.2240159000, 0.2315083000, 0.2501121000, 0.2914831000, 0.3937198000, 0.6838564000, 1.4732808000", \ - "0.3207896000, 0.3287480000, 0.3526775000, 0.4085304000, 0.5341450000, 0.7885994000, 1.4964444000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0640882000, 0.0666140000, 0.0729001000, 0.0880255000, 0.1238232000, 0.2095057000, 0.4206715000", \ - "0.0679493000, 0.0704587000, 0.0768167000, 0.0919985000, 0.1280358000, 0.2138601000, 0.4248460000", \ - "0.0766349000, 0.0791720000, 0.0854455000, 0.1006668000, 0.1366797000, 0.2227146000, 0.4342035000", \ - "0.0971735000, 0.0996551000, 0.1059203000, 0.1206446000, 0.1563580000, 0.2426682000, 0.4544416000", \ - "0.1311897000, 0.1343771000, 0.1422552000, 0.1606292000, 0.2003868000, 0.2888748000, 0.5008672000", \ - "0.1722681000, 0.1766909000, 0.1876710000, 0.2138791000, 0.2688383000, 0.3804181000, 0.6084886000", \ - "0.1936894000, 0.2004463000, 0.2178312000, 0.2560265000, 0.3408574000, 0.5080853000, 0.8102438000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0483191000, 0.0502994000, 0.0553043000, 0.0676909000, 0.0987880000, 0.1771481000, 0.3760944000", \ - "0.0533696000, 0.0553584000, 0.0604281000, 0.0730926000, 0.1043870000, 0.1827179000, 0.3821624000", \ - "0.0659450000, 0.0679434000, 0.0730346000, 0.0857757000, 0.1173570000, 0.1968598000, 0.3959064000", \ - "0.0972868000, 0.0995384000, 0.1048662000, 0.1173027000, 0.1482353000, 0.2271230000, 0.4272519000", \ - "0.1531055000, 0.1567181000, 0.1649973000, 0.1837233000, 0.2226853000, 0.3022078000, 0.5025992000", \ - "0.2458505000, 0.2512871000, 0.2643886000, 0.2944099000, 0.3564157000, 0.4694008000, 0.6746901000", \ - "0.4035483000, 0.4112914000, 0.4309247000, 0.4777094000, 0.5750291000, 0.7571110000, 1.0600352000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0639604000, 0.0665503000, 0.0731910000, 0.0902770000, 0.1334122000, 0.2442673000, 0.5302136000", \ - "0.0638304000, 0.0664704000, 0.0732376000, 0.0901326000, 0.1335468000, 0.2443851000, 0.5296350000", \ - "0.0629362000, 0.0656105000, 0.0724950000, 0.0898333000, 0.1334467000, 0.2443712000, 0.5299362000", \ - "0.0664415000, 0.0687198000, 0.0748370000, 0.0909496000, 0.1331907000, 0.2439223000, 0.5300387000", \ - "0.0838545000, 0.0865419000, 0.0933249000, 0.1090041000, 0.1475729000, 0.2486362000, 0.5296776000", \ - "0.1248602000, 0.1279391000, 0.1360901000, 0.1547074000, 0.1982747000, 0.2954161000, 0.5481055000", \ - "0.2006305000, 0.2055564000, 0.2172066000, 0.2437348000, 0.3025657000, 0.4214699000, 0.6695370000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0506474000, 0.0534787000, 0.0610001000, 0.0802544000, 0.1279194000, 0.2465627000, 0.5408178000", \ - "0.0505356000, 0.0535737000, 0.0609996000, 0.0800807000, 0.1280080000, 0.2466850000, 0.5413821000", \ - "0.0505337000, 0.0533790000, 0.0609018000, 0.0802846000, 0.1279883000, 0.2467679000, 0.5411481000", \ - "0.0593070000, 0.0617042000, 0.0676466000, 0.0842247000, 0.1286833000, 0.2466777000, 0.5412283000", \ - "0.0975006000, 0.0995377000, 0.1047163000, 0.1169023000, 0.1503227000, 0.2520444000, 0.5414930000", \ - "0.1697108000, 0.1726377000, 0.1794973000, 0.1957611000, 0.2340618000, 0.3140377000, 0.5571021000", \ - "0.3027282000, 0.3059477000, 0.3144833000, 0.3382303000, 0.3905541000, 0.5001233000, 0.7055858000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0508554000, 0.0534318000, 0.0598668000, 0.0751200000, 0.1113131000, 0.1969771000, 0.4081964000", \ - "0.0542147000, 0.0567570000, 0.0631364000, 0.0786593000, 0.1148630000, 0.2009836000, 0.4121944000", \ - "0.0631863000, 0.0657579000, 0.0719974000, 0.0874188000, 0.1237899000, 0.2101528000, 0.4217629000", \ - "0.0875545000, 0.0900656000, 0.0962172000, 0.1101959000, 0.1455264000, 0.2313668000, 0.4432572000", \ - "0.1237458000, 0.1271650000, 0.1355329000, 0.1548875000, 0.1959026000, 0.2823807000, 0.4939507000", \ - "0.1612572000, 0.1662022000, 0.1782885000, 0.2067797000, 0.2678406000, 0.3878758000, 0.6125395000", \ - "0.1803615000, 0.1875261000, 0.2049631000, 0.2461013000, 0.3361751000, 0.5149676000, 0.8458301000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0304369000, 0.0324071000, 0.0372670000, 0.0488391000, 0.0769896000, 0.1477127000, 0.3288244000", \ - "0.0355393000, 0.0374510000, 0.0422447000, 0.0540216000, 0.0825853000, 0.1531887000, 0.3330955000", \ - "0.0488752000, 0.0506823000, 0.0553605000, 0.0669032000, 0.0953227000, 0.1667033000, 0.3462158000", \ - "0.0747721000, 0.0775694000, 0.0840946000, 0.0980866000, 0.1268076000, 0.1979643000, 0.3777028000", \ - "0.1156813000, 0.1201301000, 0.1304558000, 0.1529150000, 0.1956682000, 0.2718351000, 0.4522873000", \ - "0.1831954000, 0.1903149000, 0.2061932000, 0.2419826000, 0.3104648000, 0.4277277000, 0.6229980000", \ - "0.3040779000, 0.3130648000, 0.3368289000, 0.3892118000, 0.4963380000, 0.6839236000, 0.9856679000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0639169000, 0.0666048000, 0.0732724000, 0.0901184000, 0.1334800000, 0.2440571000, 0.5300514000", \ - "0.0638054000, 0.0664186000, 0.0731684000, 0.0903610000, 0.1335181000, 0.2444784000, 0.5300882000", \ - "0.0610805000, 0.0637679000, 0.0707073000, 0.0888659000, 0.1334180000, 0.2440629000, 0.5296284000", \ - "0.0672270000, 0.0694330000, 0.0751244000, 0.0904602000, 0.1320786000, 0.2438351000, 0.5297901000", \ - "0.0875736000, 0.0906723000, 0.0985517000, 0.1161080000, 0.1542811000, 0.2504831000, 0.5296170000", \ - "0.1310670000, 0.1352803000, 0.1455045000, 0.1687381000, 0.2194565000, 0.3193042000, 0.5568463000", \ - "0.2041254000, 0.2104990000, 0.2262118000, 0.2611567000, 0.3326980000, 0.4756107000, 0.7270187000"); - } - related_pin : "C1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012831600, 0.0032929800, 0.0084508100, 0.0216874000, 0.0556566000, 0.1428320000"); - values("0.0323665000, 0.0349215000, 0.0415739000, 0.0584701000, 0.1013214000, 0.2072067000, 0.4723770000", \ - "0.0321575000, 0.0347351000, 0.0415470000, 0.0585785000, 0.1013714000, 0.2071869000, 0.4719990000", \ - "0.0344220000, 0.0366115000, 0.0422146000, 0.0584225000, 0.1013434000, 0.2072271000, 0.4718154000", \ - "0.0520640000, 0.0529607000, 0.0564757000, 0.0679617000, 0.1041400000, 0.2071074000, 0.4719519000", \ - "0.0917787000, 0.0932828000, 0.0976337000, 0.1085650000, 0.1339217000, 0.2177550000, 0.4719121000", \ - "0.1646379000, 0.1667739000, 0.1720511000, 0.1864084000, 0.2204899000, 0.2919962000, 0.4959509000", \ - "0.3003277000, 0.3026898000, 0.3079679000, 0.3257067000, 0.3737190000, 0.4774844000, 0.6655797000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o31a_1") { - leakage_power () { - value : 0.0026204000; - when : "!A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0016139000; - when : "!A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0027125000; - when : "!A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0018799000; - when : "!A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0024132000; - when : "!A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0018799000; - when : "!A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0020260000; - when : "!A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0018799000; - when : "!A1&A2&A3&!B1"; - } - leakage_power () { - value : 0.0024420000; - when : "A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0018799000; - when : "A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0020308000; - when : "A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0018799000; - when : "A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0020340000; - when : "A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0018799000; - when : "A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0020053000; - when : "A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0018799000; - when : "A1&A2&A3&!B1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__o31a"; - cell_leakage_power : 0.0020660990; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022500000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041862000, 0.0041879000, 0.0041917000, 0.0041928000, 0.0041953000, 0.0042011000, 0.0042144000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004192400, -0.004191500, -0.004189500, -0.004188900, -0.004187600, -0.004184600, -0.004177600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025090000; - } - pin ("A2") { - capacitance : 0.0023650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022110000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038747000, 0.0038755000, 0.0038776000, 0.0038783000, 0.0038800000, 0.0038838000, 0.0038927000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003875700, -0.003873500, -0.003868400, -0.003869200, -0.003871100, -0.003875400, -0.003885500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025190000; - } - pin ("A3") { - capacitance : 0.0023360000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021580000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038893000, 0.0038893000, 0.0038894000, 0.0038879000, 0.0038843000, 0.0038761000, 0.0038572000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003891400, -0.003890100, -0.003887200, -0.003887600, -0.003888600, -0.003891000, -0.003896400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025130000; - } - pin ("B1") { - capacitance : 0.0023630000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022890000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047422000, 0.0047424000, 0.0047431000, 0.0047449000, 0.0047493000, 0.0047592000, 0.0047822000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002023100, -0.002029200, -0.002043300, -0.002029200, -0.001996700, -0.001922000, -0.001749600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024360000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A2&B1) | (A3&B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012925770, 0.0033415090, 0.0086383130, 0.0223313600, 0.0577300000, 0.1492409000"); - values("0.0152005000, 0.0143554000, 0.0119317000, 0.0046199000, -0.016522900, -0.073727200, -0.222009700", \ - "0.0150584000, 0.0141773000, 0.0117438000, 0.0044951000, -0.016715800, -0.073877000, -0.222118000", \ - "0.0148620000, 0.0139906000, 0.0115351000, 0.0043019000, -0.016909200, -0.074060400, -0.222295100", \ - "0.0146576000, 0.0137822000, 0.0113943000, 0.0041137000, -0.017061400, -0.074225800, -0.222466600", \ - "0.0145113000, 0.0136745000, 0.0112225000, 0.0039334000, -0.017237100, -0.074329200, -0.222538600", \ - "0.0141359000, 0.0133357000, 0.0110259000, 0.0038060000, -0.017265900, -0.074284900, -0.222503000", \ - "0.0180745000, 0.0167382000, 0.0133987000, 0.0048213000, -0.017354000, -0.074221900, -0.222371800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012925770, 0.0033415090, 0.0086383130, 0.0223313600, 0.0577300000, 0.1492409000"); - values("0.0152923000, 0.0166720000, 0.0201739000, 0.0288253000, 0.0507415000, 0.1078074000, 0.2549800000", \ - "0.0151781000, 0.0165939000, 0.0200651000, 0.0287295000, 0.0506733000, 0.1072276000, 0.2535030000", \ - "0.0150420000, 0.0164560000, 0.0199399000, 0.0285985000, 0.0505846000, 0.1075911000, 0.2547646000", \ - "0.0148885000, 0.0162865000, 0.0197391000, 0.0284223000, 0.0504616000, 0.1075519000, 0.2547712000", \ - "0.0147375000, 0.0161018000, 0.0196233000, 0.0282293000, 0.0503299000, 0.1070475000, 0.2535690000", \ - "0.0151448000, 0.0164530000, 0.0197882000, 0.0281206000, 0.0502038000, 0.1066290000, 0.2534140000", \ - "0.0155933000, 0.0168692000, 0.0202194000, 0.0288142000, 0.0508950000, 0.1078125000, 0.2528772000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012925770, 0.0033415090, 0.0086383130, 0.0223313600, 0.0577300000, 0.1492409000"); - values("0.0132324000, 0.0123412000, 0.0099029000, 0.0026709000, -0.018453300, -0.075503700, -0.223652800", \ - "0.0130741000, 0.0121862000, 0.0097899000, 0.0025622000, -0.018596000, -0.075622400, -0.223780900", \ - "0.0129301000, 0.0120533000, 0.0096216000, 0.0023193000, -0.018731200, -0.075755200, -0.223939800", \ - "0.0127809000, 0.0119268000, 0.0094929000, 0.0021658000, -0.018936600, -0.075931500, -0.224095700", \ - "0.0125984000, 0.0117603000, 0.0093246000, 0.0020172000, -0.019049400, -0.076040700, -0.224171900", \ - "0.0122936000, 0.0114421000, 0.0093854000, 0.0020817000, -0.019003300, -0.076005600, -0.224144700", \ - "0.0164832000, 0.0150269000, 0.0116142000, 0.0030325000, -0.019064600, -0.075819800, -0.223923800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012925770, 0.0033415090, 0.0086383130, 0.0223313600, 0.0577300000, 0.1492409000"); - values("0.0139932000, 0.0153814000, 0.0188727000, 0.0276260000, 0.0498033000, 0.1065896000, 0.2543397000", \ - "0.0139843000, 0.0153515000, 0.0188474000, 0.0275995000, 0.0500113000, 0.1066337000, 0.2534127000", \ - "0.0138664000, 0.0152530000, 0.0187480000, 0.0274820000, 0.0496644000, 0.1065082000, 0.2531501000", \ - "0.0136618000, 0.0150337000, 0.0184975000, 0.0272171000, 0.0493957000, 0.1063925000, 0.2529419000", \ - "0.0134660000, 0.0147594000, 0.0182418000, 0.0269015000, 0.0490765000, 0.1064172000, 0.2537633000", \ - "0.0135251000, 0.0148552000, 0.0182012000, 0.0266602000, 0.0488181000, 0.1054151000, 0.2525525000", \ - "0.0140023000, 0.0152417000, 0.0185770000, 0.0272253000, 0.0493883000, 0.1065953000, 0.2533053000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012925770, 0.0033415090, 0.0086383130, 0.0223313600, 0.0577300000, 0.1492409000"); - values("0.0110958000, 0.0102141000, 0.0078162000, 0.0005883000, -0.020473200, -0.077382900, -0.225460900", \ - "0.0109302000, 0.0100242000, 0.0075906000, 0.0003817000, -0.020666600, -0.077568600, -0.225637800", \ - "0.0107187000, 0.0098171000, 0.0074117000, 0.0001659000, -0.020883800, -0.077783500, -0.225860100", \ - "0.0105251000, 0.0096499000, 0.0072373000, 6.000000e-07, -0.021022900, -0.077930700, -0.226052100", \ - "0.0106609000, 0.0097506000, 0.0073287000, 4.480000e-05, -0.020968000, -0.077893300, -0.225934100", \ - "0.0107849000, 0.0098976000, 0.0074701000, 0.0003337000, -0.020668500, -0.077544000, -0.225596300", \ - "0.0155903000, 0.0142439000, 0.0108492000, 0.0021953000, -0.019625100, -0.076561200, -0.224650000"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012925770, 0.0033415090, 0.0086383130, 0.0223313600, 0.0577300000, 0.1492409000"); - values("0.0124115000, 0.0138059000, 0.0173108000, 0.0260054000, 0.0481264000, 0.1051219000, 0.2504632000", \ - "0.0123868000, 0.0137751000, 0.0172710000, 0.0260143000, 0.0481150000, 0.1050458000, 0.2517410000", \ - "0.0122452000, 0.0136327000, 0.0171165000, 0.0258406000, 0.0480044000, 0.1049553000, 0.2515841000", \ - "0.0119495000, 0.0133242000, 0.0167795000, 0.0254742000, 0.0476536000, 0.1048174000, 0.2525495000", \ - "0.0118124000, 0.0130680000, 0.0164328000, 0.0250748000, 0.0472130000, 0.1043120000, 0.2508468000", \ - "0.0117600000, 0.0130638000, 0.0164133000, 0.0249915000, 0.0472096000, 0.1036092000, 0.2509909000", \ - "0.0123087000, 0.0135774000, 0.0168616000, 0.0255969000, 0.0477186000, 0.1048293000, 0.2499042000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012925770, 0.0033415090, 0.0086383130, 0.0223313600, 0.0577300000, 0.1492409000"); - values("0.0103530000, 0.0096763000, 0.0076320000, 0.0008386000, -0.020458300, -0.077681100, -0.225924500", \ - "0.0101191000, 0.0094378000, 0.0073851000, 0.0006171000, -0.020675800, -0.077897500, -0.226138600", \ - "0.0098136000, 0.0091152000, 0.0070484000, 0.0002846000, -0.020989600, -0.078206500, -0.226440900", \ - "0.0095854000, 0.0088237000, 0.0067074000, -3.71000e-05, -0.021232100, -0.078398800, -0.226615100", \ - "0.0088258000, 0.0082234000, 0.0063710000, -0.000203900, -0.021292300, -0.078378800, -0.226575800", \ - "0.0130601000, 0.0117882000, 0.0085668000, 0.0002921000, -0.020963900, -0.077956900, -0.226098100", \ - "0.0152838000, 0.0139916000, 0.0107034000, 0.0022284000, -0.019742800, -0.076867200, -0.224624300"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012925770, 0.0033415090, 0.0086383130, 0.0223313600, 0.0577300000, 0.1492409000"); - values("0.0130799000, 0.0144907000, 0.0180053000, 0.0267035000, 0.0488012000, 0.1060258000, 0.2520884000", \ - "0.0129921000, 0.0144055000, 0.0178804000, 0.0265927000, 0.0487100000, 0.1053806000, 0.2532420000", \ - "0.0128229000, 0.0142386000, 0.0177365000, 0.0264593000, 0.0485701000, 0.1052893000, 0.2519020000", \ - "0.0126785000, 0.0140566000, 0.0175254000, 0.0262466000, 0.0483540000, 0.1051128000, 0.2517004000", \ - "0.0124676000, 0.0138800000, 0.0173036000, 0.0259709000, 0.0480483000, 0.1049392000, 0.2516451000", \ - "0.0133035000, 0.0146060000, 0.0179341000, 0.0261116000, 0.0484547000, 0.1054425000, 0.2518881000", \ - "0.0144333000, 0.0156747000, 0.0189954000, 0.0274730000, 0.0495105000, 0.1067565000, 0.2528088000"); - } - } - max_capacitance : 0.1492410000; - max_transition : 1.5053010000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.2539146000, 0.2619229000, 0.2782627000, 0.3095071000, 0.3681254000, 0.4898481000, 0.7761450000", \ - "0.2582156000, 0.2662422000, 0.2826852000, 0.3137462000, 0.3727852000, 0.4944891000, 0.7809403000", \ - "0.2697781000, 0.2777963000, 0.2941196000, 0.3252932000, 0.3843665000, 0.5060817000, 0.7925460000", \ - "0.2959527000, 0.3039389000, 0.3202689000, 0.3510458000, 0.4102077000, 0.5320299000, 0.8183597000", \ - "0.3523786000, 0.3603397000, 0.3766769000, 0.4077693000, 0.4670720000, 0.5887116000, 0.8752261000", \ - "0.4659378000, 0.4744225000, 0.4916370000, 0.5241202000, 0.5843908000, 0.7072708000, 0.9938088000", \ - "0.6660084000, 0.6756344000, 0.6951585000, 0.7316522000, 0.7984148000, 0.9274999000, 1.2175386000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.0937478000, 0.1011271000, 0.1180812000, 0.1571199000, 0.2536308000, 0.5004793000, 1.1377842000", \ - "0.0981102000, 0.1055598000, 0.1224532000, 0.1615938000, 0.2576131000, 0.5039749000, 1.1424223000", \ - "0.1071638000, 0.1145955000, 0.1314585000, 0.1705533000, 0.2667646000, 0.5139407000, 1.1521283000", \ - "0.1265103000, 0.1338577000, 0.1506686000, 0.1897282000, 0.2863414000, 0.5334148000, 1.1702951000", \ - "0.1605927000, 0.1683319000, 0.1856265000, 0.2250093000, 0.3216362000, 0.5681177000, 1.2048892000", \ - "0.2046360000, 0.2131197000, 0.2316093000, 0.2720772000, 0.3692371000, 0.6157736000, 1.2522086000", \ - "0.2351753000, 0.2456655000, 0.2680949000, 0.3114303000, 0.4088957000, 0.6579410000, 1.2929086000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.0347126000, 0.0397255000, 0.0514522000, 0.0747985000, 0.1298622000, 0.2635841000, 0.6265168000", \ - "0.0346293000, 0.0397352000, 0.0511612000, 0.0758769000, 0.1300357000, 0.2636154000, 0.6299361000", \ - "0.0346207000, 0.0396880000, 0.0509490000, 0.0759012000, 0.1299765000, 0.2636410000, 0.6298936000", \ - "0.0346687000, 0.0397170000, 0.0514576000, 0.0753349000, 0.1300834000, 0.2633248000, 0.6254307000", \ - "0.0347972000, 0.0400262000, 0.0509172000, 0.0751679000, 0.1302495000, 0.2638164000, 0.6299666000", \ - "0.0378848000, 0.0430997000, 0.0545657000, 0.0781987000, 0.1330003000, 0.2648625000, 0.6267060000", \ - "0.0458912000, 0.0521896000, 0.0637623000, 0.0894493000, 0.1447273000, 0.2759592000, 0.6286714000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.0274167000, 0.0350665000, 0.0542518000, 0.1048815000, 0.2392733000, 0.5895360000, 1.4976481000", \ - "0.0274210000, 0.0350307000, 0.0543945000, 0.1049872000, 0.2390065000, 0.5894901000, 1.4975059000", \ - "0.0273593000, 0.0349584000, 0.0542939000, 0.1050427000, 0.2394715000, 0.5889131000, 1.4937612000", \ - "0.0273284000, 0.0349382000, 0.0542710000, 0.1049598000, 0.2395744000, 0.5898362000, 1.4976004000", \ - "0.0295615000, 0.0368425000, 0.0557263000, 0.1054827000, 0.2392971000, 0.5884138000, 1.4944591000", \ - "0.0344439000, 0.0419763000, 0.0594672000, 0.1076472000, 0.2399987000, 0.5883002000, 1.4942741000", \ - "0.0458114000, 0.0537719000, 0.0704428000, 0.1142152000, 0.2416266000, 0.5915691000, 1.4910365000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.2372271000, 0.2452478000, 0.2616332000, 0.2927176000, 0.3513493000, 0.4735646000, 0.7604973000", \ - "0.2407929000, 0.2487934000, 0.2651334000, 0.2960403000, 0.3549852000, 0.4771250000, 0.7637244000", \ - "0.2515014000, 0.2594459000, 0.2757991000, 0.3070527000, 0.3658081000, 0.4878345000, 0.7743189000", \ - "0.2775418000, 0.2855563000, 0.3018941000, 0.3330493000, 0.3919245000, 0.5139257000, 0.8003680000", \ - "0.3381400000, 0.3461298000, 0.3624190000, 0.3936357000, 0.4529835000, 0.5751754000, 0.8616570000", \ - "0.4660423000, 0.4745852000, 0.4930724000, 0.5256544000, 0.5866840000, 0.7096799000, 0.9964178000", \ - "0.6921420000, 0.7030186000, 0.7235920000, 0.7614039000, 0.8284496000, 0.9578652000, 1.2481297000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.0901573000, 0.0974336000, 0.1140722000, 0.1530106000, 0.2495166000, 0.4964619000, 1.1346108000", \ - "0.0948620000, 0.1020704000, 0.1187568000, 0.1577459000, 0.2545307000, 0.5013994000, 1.1370482000", \ - "0.1039697000, 0.1111967000, 0.1278697000, 0.1668273000, 0.2635646000, 0.5104455000, 1.1474055000", \ - "0.1225875000, 0.1298336000, 0.1464204000, 0.1852959000, 0.2822946000, 0.5289095000, 1.1655055000", \ - "0.1540064000, 0.1616068000, 0.1787377000, 0.2180504000, 0.3149940000, 0.5629397000, 1.2018425000", \ - "0.1912596000, 0.1999148000, 0.2184826000, 0.2589444000, 0.3557087000, 0.6031108000, 1.2400474000", \ - "0.2095414000, 0.2208417000, 0.2436092000, 0.2877155000, 0.3853572000, 0.6327037000, 1.2692786000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.0346890000, 0.0397426000, 0.0511008000, 0.0758600000, 0.1306056000, 0.2638534000, 0.6299507000", \ - "0.0346284000, 0.0396600000, 0.0514626000, 0.0751565000, 0.1306581000, 0.2632121000, 0.6251929000", \ - "0.0349571000, 0.0397208000, 0.0514057000, 0.0751996000, 0.1295515000, 0.2634566000, 0.6263478000", \ - "0.0346549000, 0.0397544000, 0.0512632000, 0.0748246000, 0.1303627000, 0.2628807000, 0.6260856000", \ - "0.0347457000, 0.0398342000, 0.0515811000, 0.0749563000, 0.1302035000, 0.2635440000, 0.6271644000", \ - "0.0394920000, 0.0443893000, 0.0559066000, 0.0796636000, 0.1335072000, 0.2642924000, 0.6275950000", \ - "0.0515269000, 0.0563007000, 0.0681182000, 0.0931158000, 0.1470831000, 0.2757632000, 0.6298880000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.0261553000, 0.0335421000, 0.0526726000, 0.1032896000, 0.2381227000, 0.5897037000, 1.4981656000", \ - "0.0261030000, 0.0335726000, 0.0526429000, 0.1033076000, 0.2383437000, 0.5882356000, 1.4931070000", \ - "0.0260389000, 0.0335494000, 0.0526724000, 0.1033372000, 0.2384337000, 0.5896459000, 1.4938426000", \ - "0.0264146000, 0.0338709000, 0.0527651000, 0.1033985000, 0.2384166000, 0.5878332000, 1.4971711000", \ - "0.0286969000, 0.0360912000, 0.0546287000, 0.1041592000, 0.2383351000, 0.5890496000, 1.4960149000", \ - "0.0350782000, 0.0420825000, 0.0594042000, 0.1068314000, 0.2390450000, 0.5867187000, 1.4929230000", \ - "0.0469488000, 0.0543069000, 0.0712206000, 0.1147625000, 0.2408996000, 0.5895224000, 1.4881001000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.2022090000, 0.2102081000, 0.2265408000, 0.2575664000, 0.3167788000, 0.4392249000, 0.7258720000", \ - "0.2043636000, 0.2124117000, 0.2287261000, 0.2599557000, 0.3193778000, 0.4415723000, 0.7284198000", \ - "0.2129599000, 0.2209635000, 0.2374109000, 0.2686175000, 0.3281513000, 0.4503865000, 0.7372140000", \ - "0.2384827000, 0.2464651000, 0.2628906000, 0.2940859000, 0.3533842000, 0.4756692000, 0.7624936000", \ - "0.3018966000, 0.3099300000, 0.3260905000, 0.3573329000, 0.4169101000, 0.5390126000, 0.8258246000", \ - "0.4422255000, 0.4512060000, 0.4684843000, 0.5005719000, 0.5613367000, 0.6840913000, 0.9711479000", \ - "0.6722499000, 0.6835876000, 0.7060598000, 0.7440615000, 0.8089688000, 0.9350470000, 1.2254449000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.0764046000, 0.0833968000, 0.0995398000, 0.1379749000, 0.2345420000, 0.4818987000, 1.1195459000", \ - "0.0811851000, 0.0881374000, 0.1043172000, 0.1427945000, 0.2388263000, 0.4861307000, 1.1223780000", \ - "0.0907775000, 0.0977350000, 0.1138342000, 0.1521933000, 0.2483778000, 0.4963784000, 1.1307423000", \ - "0.1097341000, 0.1167373000, 0.1329121000, 0.1713030000, 0.2675127000, 0.5160565000, 1.1600174000", \ - "0.1381124000, 0.1455980000, 0.1624733000, 0.2013646000, 0.2979375000, 0.5459790000, 1.1815446000", \ - "0.1672676000, 0.1762278000, 0.1948941000, 0.2349948000, 0.3316019000, 0.5784550000, 1.2175220000", \ - "0.1704024000, 0.1823278000, 0.2063904000, 0.2517549000, 0.3493009000, 0.5971655000, 1.2331246000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.0346584000, 0.0396862000, 0.0515068000, 0.0754884000, 0.1303406000, 0.2629644000, 0.6235894000", \ - "0.0352676000, 0.0402488000, 0.0509316000, 0.0757457000, 0.1298084000, 0.2634876000, 0.6295577000", \ - "0.0347515000, 0.0395880000, 0.0513220000, 0.0757551000, 0.1300038000, 0.2635118000, 0.6293888000", \ - "0.0345496000, 0.0396516000, 0.0511945000, 0.0756978000, 0.1297611000, 0.2633997000, 0.6288509000", \ - "0.0349513000, 0.0400179000, 0.0507178000, 0.0746981000, 0.1300585000, 0.2631423000, 0.6283650000", \ - "0.0409445000, 0.0455720000, 0.0556108000, 0.0792040000, 0.1322602000, 0.2651518000, 0.6241651000", \ - "0.0577670000, 0.0634934000, 0.0740133000, 0.0943928000, 0.1434772000, 0.2731753000, 0.6310302000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.0243959000, 0.0318039000, 0.0509126000, 0.1020633000, 0.2379105000, 0.5903153000, 1.4958722000", \ - "0.0244412000, 0.0317616000, 0.0509162000, 0.1017930000, 0.2378149000, 0.5896418000, 1.4958387000", \ - "0.0243971000, 0.0317687000, 0.0509740000, 0.1020449000, 0.2376979000, 0.5910208000, 1.4987168000", \ - "0.0252153000, 0.0324506000, 0.0513806000, 0.1021953000, 0.2378627000, 0.5911370000, 1.5053007000", \ - "0.0284599000, 0.0354288000, 0.0537087000, 0.1034049000, 0.2367338000, 0.5879925000, 1.4920001000", \ - "0.0358961000, 0.0427147000, 0.0599559000, 0.1063580000, 0.2387055000, 0.5871997000, 1.4985696000", \ - "0.0505698000, 0.0584394000, 0.0747351000, 0.1167347000, 0.2407928000, 0.5882736000, 1.4905395000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.0699376000, 0.0750394000, 0.0861598000, 0.1098236000, 0.1617207000, 0.2769904000, 0.5602486000", \ - "0.0750867000, 0.0801784000, 0.0912724000, 0.1149887000, 0.1668981000, 0.2821672000, 0.5655411000", \ - "0.0880116000, 0.0930655000, 0.1041662000, 0.1278932000, 0.1798487000, 0.2950760000, 0.5784822000", \ - "0.1190349000, 0.1240474000, 0.1352010000, 0.1589514000, 0.2111045000, 0.3265192000, 0.6098422000", \ - "0.1762577000, 0.1822791000, 0.1950355000, 0.2211911000, 0.2751475000, 0.3910184000, 0.6749823000", \ - "0.2653351000, 0.2731375000, 0.2893863000, 0.3214698000, 0.3825067000, 0.5045731000, 0.7888366000", \ - "0.4078732000, 0.4180507000, 0.4388662000, 0.4795076000, 0.5560229000, 0.6917691000, 0.9797861000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.0860726000, 0.0935354000, 0.1105347000, 0.1498126000, 0.2467214000, 0.4941293000, 1.1311541000", \ - "0.0902807000, 0.0977287000, 0.1146236000, 0.1539434000, 0.2506271000, 0.4977010000, 1.1366205000", \ - "0.1005041000, 0.1079460000, 0.1248701000, 0.1641654000, 0.2609808000, 0.5078113000, 1.1441261000", \ - "0.1252277000, 0.1325488000, 0.1492215000, 0.1880613000, 0.2847176000, 0.5316968000, 1.1687202000", \ - "0.1650556000, 0.1726680000, 0.1897067000, 0.2290927000, 0.3260321000, 0.5730523000, 1.2094281000", \ - "0.2130483000, 0.2212979000, 0.2392265000, 0.2787408000, 0.3758208000, 0.6236138000, 1.2613475000", \ - "0.2527765000, 0.2634085000, 0.2844223000, 0.3264355000, 0.4227155000, 0.6717706000, 1.3080884000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.0173247000, 0.0215200000, 0.0319118000, 0.0564742000, 0.1135411000, 0.2496670000, 0.6181984000", \ - "0.0173228000, 0.0215717000, 0.0319310000, 0.0564755000, 0.1134892000, 0.2496768000, 0.6165335000", \ - "0.0171646000, 0.0215661000, 0.0319615000, 0.0564492000, 0.1134482000, 0.2506485000, 0.6173926000", \ - "0.0179401000, 0.0220192000, 0.0322493000, 0.0567491000, 0.1136820000, 0.2500886000, 0.6181491000", \ - "0.0235630000, 0.0277254000, 0.0379365000, 0.0616681000, 0.1165667000, 0.2516792000, 0.6210939000", \ - "0.0327422000, 0.0378754000, 0.0500331000, 0.0754915000, 0.1310938000, 0.2591846000, 0.6218844000", \ - "0.0465999000, 0.0530275000, 0.0681452000, 0.0992993000, 0.1598733000, 0.2815963000, 0.6230777000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012925800, 0.0033415100, 0.0086383100, 0.0223314000, 0.0577300000, 0.1492410000"); - values("0.0275228000, 0.0350513000, 0.0543708000, 0.1050959000, 0.2391031000, 0.5897453000, 1.4964282000", \ - "0.0273607000, 0.0349791000, 0.0543229000, 0.1050428000, 0.2393125000, 0.5895585000, 1.4974130000", \ - "0.0273321000, 0.0349472000, 0.0542591000, 0.1048865000, 0.2393606000, 0.5875980000, 1.4925851000", \ - "0.0274723000, 0.0349674000, 0.0541178000, 0.1046227000, 0.2392862000, 0.5888605000, 1.4947857000", \ - "0.0290297000, 0.0364230000, 0.0553767000, 0.1055813000, 0.2390720000, 0.5891444000, 1.4941087000", \ - "0.0345122000, 0.0412513000, 0.0588160000, 0.1074553000, 0.2404879000, 0.5885352000, 1.4952578000", \ - "0.0459629000, 0.0526698000, 0.0694408000, 0.1124915000, 0.2414338000, 0.5909881000, 1.4921884000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o31a_2") { - leakage_power () { - value : 0.0031203000; - when : "!A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0020626000; - when : "!A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0031529000; - when : "!A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0023309000; - when : "!A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0028548000; - when : "!A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0023309000; - when : "!A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0025119000; - when : "!A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0023309000; - when : "!A1&A2&A3&!B1"; - } - leakage_power () { - value : 0.0028423000; - when : "A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0023309000; - when : "A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0025123000; - when : "A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0023309000; - when : "A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0025159000; - when : "A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0023309000; - when : "A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0024926000; - when : "A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0023309000; - when : "A1&A2&A3&!B1"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__o31a"; - cell_leakage_power : 0.0025238810; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023680000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022380000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041872000, 0.0041881000, 0.0041901000, 0.0041880000, 0.0041831000, 0.0041720000, 0.0041462000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004187800, -0.004185600, -0.004180500, -0.004180100, -0.004179300, -0.004177300, -0.004172800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024980000; - } - pin ("A2") { - capacitance : 0.0023560000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022020000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038677000, 0.0038682000, 0.0038692000, 0.0038697000, 0.0038708000, 0.0038735000, 0.0038797000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003868700, -0.003866500, -0.003861600, -0.003862800, -0.003865700, -0.003872300, -0.003887400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025100000; - } - pin ("A3") { - capacitance : 0.0023230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021450000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038831000, 0.0038840000, 0.0038861000, 0.0038865000, 0.0038875000, 0.0038898000, 0.0038950000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003883000, -0.003882500, -0.003881200, -0.003882100, -0.003884200, -0.003889000, -0.003900100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025020000; - } - pin ("B1") { - capacitance : 0.0023400000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022640000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047394000, 0.0047395000, 0.0047397000, 0.0047407000, 0.0047431000, 0.0047484000, 0.0047608000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002023900, -0.002033200, -0.002054600, -0.002039200, -0.002003500, -0.001921300, -0.001731900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024150000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A2&B1) | (A3&B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0186742000, 0.0172466000, 0.0135480000, 0.0026507000, -0.031460400, -0.135164000, -0.437121900", \ - "0.0185469000, 0.0170728000, 0.0133975000, 0.0025104000, -0.031580300, -0.135297200, -0.437254800", \ - "0.0183789000, 0.0169227000, 0.0132551000, 0.0023418000, -0.031796400, -0.135467900, -0.437413900", \ - "0.0180311000, 0.0165793000, 0.0129110000, 0.0020585000, -0.032000000, -0.135640200, -0.437562800", \ - "0.0179014000, 0.0164516000, 0.0127547000, 0.0019814000, -0.032127700, -0.135803900, -0.437687200", \ - "0.0180253000, 0.0165610000, 0.0127565000, 0.0018431000, -0.032212400, -0.135863200, -0.437704600", \ - "0.0231177000, 0.0214240000, 0.0174199000, 0.0041704000, -0.031852900, -0.135776800, -0.437537600"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0205070000, 0.0221557000, 0.0268753000, 0.0397797000, 0.0761036000, 0.1805212000, 0.4777624000", \ - "0.0204122000, 0.0220582000, 0.0267183000, 0.0396776000, 0.0759769000, 0.1795522000, 0.4776151000", \ - "0.0202483000, 0.0219159000, 0.0266079000, 0.0395415000, 0.0758796000, 0.1794648000, 0.4780662000", \ - "0.0201492000, 0.0218036000, 0.0264705000, 0.0394444000, 0.0757464000, 0.1802525000, 0.4776486000", \ - "0.0201178000, 0.0217464000, 0.0264194000, 0.0391437000, 0.0755322000, 0.1793060000, 0.4779132000", \ - "0.0205952000, 0.0221412000, 0.0266054000, 0.0390724000, 0.0754096000, 0.1789578000, 0.4776536000", \ - "0.0214915000, 0.0229935000, 0.0273276000, 0.0400339000, 0.0758810000, 0.1802242000, 0.4764932000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0165116000, 0.0150625000, 0.0115742000, 0.0007201000, -0.033367800, -0.137004600, -0.438836000", \ - "0.0163952000, 0.0149558000, 0.0113087000, 0.0004494000, -0.033553900, -0.137107100, -0.438962800", \ - "0.0162512000, 0.0147958000, 0.0111801000, 0.0002330000, -0.033632000, -0.137161300, -0.439075500", \ - "0.0161765000, 0.0147139000, 0.0110320000, 0.0002468000, -0.033818200, -0.137428300, -0.439260800", \ - "0.0159784000, 0.0145170000, 0.0108774000, -3.44000e-05, -0.034025800, -0.137616300, -0.439344600", \ - "0.0159757000, 0.0144693000, 0.0107364000, 2.380000e-05, -0.034068000, -0.137632500, -0.439393000", \ - "0.0213303000, 0.0206584000, 0.0156466000, 0.0026198000, -0.033982900, -0.137415000, -0.439158600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0191829000, 0.0208473000, 0.0254706000, 0.0385310000, 0.0750582000, 0.1790665000, 0.4777607000", \ - "0.0191674000, 0.0208193000, 0.0254433000, 0.0385143000, 0.0750916000, 0.1799194000, 0.4799494000", \ - "0.0190744000, 0.0207290000, 0.0253771000, 0.0384268000, 0.0749986000, 0.1788953000, 0.4798195000", \ - "0.0189146000, 0.0205605000, 0.0252460000, 0.0382292000, 0.0747700000, 0.1789185000, 0.4777964000", \ - "0.0187052000, 0.0203409000, 0.0250138000, 0.0377937000, 0.0743220000, 0.1786739000, 0.4792745000", \ - "0.0189962000, 0.0205538000, 0.0250198000, 0.0377421000, 0.0740357000, 0.1775641000, 0.4771084000", \ - "0.0195369000, 0.0210746000, 0.0254481000, 0.0381981000, 0.0745910000, 0.1787292000, 0.4768631000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0143988000, 0.0129637000, 0.0092859000, -0.001523600, -0.035490100, -0.138963900, -0.440664400", \ - "0.0143768000, 0.0129151000, 0.0092365000, -0.001545500, -0.035607700, -0.139091800, -0.440825500", \ - "0.0140975000, 0.0126079000, 0.0089776000, -0.001883800, -0.035819100, -0.139309100, -0.441010100", \ - "0.0138562000, 0.0124118000, 0.0087921000, -0.002069900, -0.036036500, -0.139438300, -0.441184100", \ - "0.0137083000, 0.0122648000, 0.0087406000, -0.002061500, -0.036078400, -0.139536500, -0.441172200", \ - "0.0141356000, 0.0126843000, 0.0092352000, -0.001708000, -0.035730400, -0.139164800, -0.440812000", \ - "0.0208283000, 0.0190780000, 0.0143082000, 0.0015223000, -0.035131300, -0.138615600, -0.440216100"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0176164000, 0.0192762000, 0.0239818000, 0.0369274000, 0.0734874000, 0.1773622000, 0.4767273000", \ - "0.0176359000, 0.0192780000, 0.0239631000, 0.0370001000, 0.0735857000, 0.1777297000, 0.4775456000", \ - "0.0175255000, 0.0191898000, 0.0238810000, 0.0368922000, 0.0734607000, 0.1783911000, 0.4770751000", \ - "0.0173199000, 0.0190043000, 0.0236060000, 0.0366000000, 0.0730872000, 0.1774205000, 0.4738839000", \ - "0.0171696000, 0.0187179000, 0.0232539000, 0.0360982000, 0.0725764000, 0.1767650000, 0.4764656000", \ - "0.0174625000, 0.0190135000, 0.0235042000, 0.0360272000, 0.0722972000, 0.1760254000, 0.4752648000", \ - "0.0181784000, 0.0196872000, 0.0240489000, 0.0367154000, 0.0728178000, 0.1771377000, 0.4758561000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0135706000, 0.0125089000, 0.0095633000, -0.000417400, -0.034488900, -0.138849600, -0.440888800", \ - "0.0134209000, 0.0123772000, 0.0093602000, -0.000613400, -0.034642300, -0.139002900, -0.441028400", \ - "0.0131372000, 0.0120958000, 0.0090653000, -0.000906300, -0.034957400, -0.139289000, -0.441318100", \ - "0.0128628000, 0.0117650000, 0.0086606000, -0.001346500, -0.035336200, -0.139615000, -0.441599400", \ - "0.0126795000, 0.0115514000, 0.0082606000, -0.001595400, -0.035500100, -0.139667100, -0.441589400", \ - "0.0173795000, 0.0158487000, 0.0115546000, -0.001042500, -0.035558500, -0.139414600, -0.441232100", \ - "0.0205556000, 0.0189207000, 0.0148418000, 0.0018122000, -0.034240000, -0.138595100, -0.440011300"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0183250000, 0.0199918000, 0.0246875000, 0.0376330000, 0.0740580000, 0.1778716000, 0.4767592000", \ - "0.0182687000, 0.0199096000, 0.0245809000, 0.0375754000, 0.0739624000, 0.1777244000, 0.4759722000", \ - "0.0180863000, 0.0197373000, 0.0244281000, 0.0374406000, 0.0738390000, 0.1776128000, 0.4758183000", \ - "0.0179267000, 0.0195848000, 0.0242513000, 0.0372250000, 0.0735825000, 0.1774139000, 0.4778018000", \ - "0.0181126000, 0.0196854000, 0.0242794000, 0.0368423000, 0.0731948000, 0.1774445000, 0.4779415000", \ - "0.0185051000, 0.0200486000, 0.0245353000, 0.0369772000, 0.0731238000, 0.1768309000, 0.4762775000", \ - "0.0199923000, 0.0215257000, 0.0257593000, 0.0383356000, 0.0744512000, 0.1786416000, 0.4751672000"); - } - } - max_capacitance : 0.2856540000; - max_transition : 1.5046800000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.3036469000, 0.3109825000, 0.3272416000, 0.3591873000, 0.4197389000, 0.5425341000, 0.8372256000", \ - "0.3082213000, 0.3155272000, 0.3317725000, 0.3636964000, 0.4242988000, 0.5471095000, 0.8418001000", \ - "0.3201706000, 0.3274384000, 0.3437072000, 0.3756157000, 0.4364955000, 0.5585295000, 0.8530585000", \ - "0.3465254000, 0.3538056000, 0.3703232000, 0.4023283000, 0.4630416000, 0.5851930000, 0.8796797000", \ - "0.4034243000, 0.4108763000, 0.4271148000, 0.4592508000, 0.5195264000, 0.6424534000, 0.9367647000", \ - "0.5245401000, 0.5321097000, 0.5487166000, 0.5811514000, 0.6423587000, 0.7648612000, 1.0595292000", \ - "0.7444334000, 0.7528863000, 0.7731009000, 0.8085691000, 0.8760896000, 1.0068860000, 1.3058643000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.1053413000, 0.1112580000, 0.1253911000, 0.1584566000, 0.2424860000, 0.4785437000, 1.1510831000", \ - "0.1096715000, 0.1155787000, 0.1296671000, 0.1627512000, 0.2469402000, 0.4830130000, 1.1558831000", \ - "0.1185980000, 0.1245175000, 0.1386526000, 0.1717389000, 0.2558398000, 0.4915780000, 1.1652536000", \ - "0.1380017000, 0.1438703000, 0.1578663000, 0.1908368000, 0.2748543000, 0.5110303000, 1.1834245000", \ - "0.1747892000, 0.1809438000, 0.1954533000, 0.2290179000, 0.3132529000, 0.5491618000, 1.2227860000", \ - "0.2251782000, 0.2322735000, 0.2482782000, 0.2834717000, 0.3686151000, 0.6041835000, 1.2789759000", \ - "0.2669245000, 0.2760663000, 0.2959073000, 0.3359445000, 0.4228630000, 0.6591585000, 1.3322375000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0419663000, 0.0457436000, 0.0552117000, 0.0764407000, 0.1240837000, 0.2439653000, 0.6015159000", \ - "0.0419274000, 0.0457657000, 0.0552861000, 0.0765355000, 0.1225287000, 0.2439754000, 0.6015470000", \ - "0.0419988000, 0.0457887000, 0.0552560000, 0.0764848000, 0.1231203000, 0.2447028000, 0.5996393000", \ - "0.0415203000, 0.0461238000, 0.0561196000, 0.0758028000, 0.1231175000, 0.2449331000, 0.6008790000", \ - "0.0418095000, 0.0456532000, 0.0550678000, 0.0761454000, 0.1235949000, 0.2449315000, 0.6012708000", \ - "0.0439039000, 0.0480762000, 0.0572000000, 0.0772920000, 0.1249002000, 0.2442936000, 0.6014135000", \ - "0.0530668000, 0.0575982000, 0.0678208000, 0.0893147000, 0.1391303000, 0.2559877000, 0.6065418000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0246735000, 0.0299137000, 0.0435088000, 0.0818246000, 0.1953244000, 0.5307592000, 1.4951532000", \ - "0.0247026000, 0.0298172000, 0.0436054000, 0.0817000000, 0.1953658000, 0.5302991000, 1.4940420000", \ - "0.0247175000, 0.0298753000, 0.0434870000, 0.0817599000, 0.1949436000, 0.5304738000, 1.4983026000", \ - "0.0245326000, 0.0297637000, 0.0433660000, 0.0816814000, 0.1952097000, 0.5308579000, 1.4961925000", \ - "0.0265114000, 0.0316136000, 0.0451177000, 0.0827088000, 0.1951021000, 0.5307814000, 1.4979326000", \ - "0.0320329000, 0.0370250000, 0.0504148000, 0.0867604000, 0.1967699000, 0.5296138000, 1.4941801000", \ - "0.0436267000, 0.0495861000, 0.0626184000, 0.0964941000, 0.2011411000, 0.5314733000, 1.4955531000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.2864743000, 0.2937947000, 0.3099744000, 0.3418862000, 0.4023693000, 0.5256144000, 0.8202918000", \ - "0.2901950000, 0.2975396000, 0.3138941000, 0.3458968000, 0.4066694000, 0.5288087000, 0.8234323000", \ - "0.3011179000, 0.3084505000, 0.3247317000, 0.3566990000, 0.4169609000, 0.5396544000, 0.8344052000", \ - "0.3276641000, 0.3349843000, 0.3512675000, 0.3833387000, 0.4438745000, 0.5660433000, 0.8612221000", \ - "0.3882045000, 0.3955151000, 0.4118728000, 0.4437885000, 0.5044285000, 0.6275264000, 0.9220242000", \ - "0.5242036000, 0.5318592000, 0.5486550000, 0.5813536000, 0.6421322000, 0.7657130000, 1.0603791000", \ - "0.7749499000, 0.7846548000, 0.8039845000, 0.8414244000, 0.9094835000, 1.0402499000, 1.3396102000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.1014826000, 0.1072505000, 0.1210863000, 0.1539018000, 0.2380020000, 0.4729357000, 1.1470265000", \ - "0.1061088000, 0.1119400000, 0.1257529000, 0.1585661000, 0.2426636000, 0.4787749000, 1.1539332000", \ - "0.1152480000, 0.1210716000, 0.1348989000, 0.1677153000, 0.2518059000, 0.4881615000, 1.1634788000", \ - "0.1343499000, 0.1400923000, 0.1539291000, 0.1865855000, 0.2705474000, 0.5062703000, 1.1809606000", \ - "0.1693119000, 0.1754196000, 0.1898756000, 0.2232900000, 0.3073949000, 0.5429218000, 1.2203583000", \ - "0.2149329000, 0.2217770000, 0.2380024000, 0.2734544000, 0.3586068000, 0.5939970000, 1.2694504000", \ - "0.2471026000, 0.2564111000, 0.2768469000, 0.3176197000, 0.4056875000, 0.6411428000, 1.3153785000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0416743000, 0.0460603000, 0.0555157000, 0.0769778000, 0.1241722000, 0.2439123000, 0.6019487000", \ - "0.0417393000, 0.0461302000, 0.0561854000, 0.0755774000, 0.1230792000, 0.2446632000, 0.5996858000", \ - "0.0418220000, 0.0463009000, 0.0552508000, 0.0767618000, 0.1227729000, 0.2452456000, 0.6019094000", \ - "0.0415903000, 0.0458671000, 0.0550411000, 0.0764775000, 0.1231652000, 0.2443783000, 0.5999761000", \ - "0.0419636000, 0.0461314000, 0.0561966000, 0.0757157000, 0.1224889000, 0.2446681000, 0.6021092000", \ - "0.0446280000, 0.0487485000, 0.0578431000, 0.0778605000, 0.1263519000, 0.2452486000, 0.6019992000", \ - "0.0575704000, 0.0620975000, 0.0726369000, 0.0938059000, 0.1388358000, 0.2577728000, 0.6062695000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0237751000, 0.0288403000, 0.0424324000, 0.0803007000, 0.1939411000, 0.5298332000, 1.4969163000", \ - "0.0237059000, 0.0288471000, 0.0424479000, 0.0802125000, 0.1941820000, 0.5304948000, 1.5003991000", \ - "0.0237181000, 0.0288576000, 0.0424022000, 0.0802359000, 0.1941315000, 0.5298440000, 1.5001107000", \ - "0.0238080000, 0.0288865000, 0.0423731000, 0.0804208000, 0.1940543000, 0.5296814000, 1.4986827000", \ - "0.0259398000, 0.0311081000, 0.0444260000, 0.0817431000, 0.1945501000, 0.5302456000, 1.4980343000", \ - "0.0320526000, 0.0380427000, 0.0507500000, 0.0863392000, 0.1961078000, 0.5277822000, 1.4984917000", \ - "0.0447122000, 0.0506073000, 0.0639349000, 0.0973682000, 0.2006808000, 0.5307562000, 1.4918076000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.2509878000, 0.2583450000, 0.2747729000, 0.3066609000, 0.3674833000, 0.4901655000, 0.7849682000", \ - "0.2535532000, 0.2608178000, 0.2771290000, 0.3091596000, 0.3697962000, 0.4928686000, 0.7877837000", \ - "0.2623226000, 0.2696839000, 0.2860152000, 0.3180042000, 0.3787340000, 0.5017565000, 0.7964925000", \ - "0.2866925000, 0.2941460000, 0.3103768000, 0.3421277000, 0.4028136000, 0.5258016000, 0.8209760000", \ - "0.3504708000, 0.3578092000, 0.3732623000, 0.4053117000, 0.4661233000, 0.5893805000, 0.8841466000", \ - "0.4986965000, 0.5065980000, 0.5237345000, 0.5560428000, 0.6171434000, 0.7404054000, 1.0351333000", \ - "0.7575601000, 0.7671451000, 0.7883853000, 0.8289887000, 0.8975551000, 1.0223657000, 1.3213949000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0865690000, 0.0920463000, 0.1052914000, 0.1371347000, 0.2205199000, 0.4547999000, 1.1327657000", \ - "0.0914423000, 0.0968918000, 0.1101509000, 0.1420585000, 0.2255096000, 0.4607549000, 1.1395572000", \ - "0.1011886000, 0.1066486000, 0.1198994000, 0.1517368000, 0.2350969000, 0.4698676000, 1.1469387000", \ - "0.1213265000, 0.1268502000, 0.1400577000, 0.1718307000, 0.2551993000, 0.4908758000, 1.1662630000", \ - "0.1550561000, 0.1609727000, 0.1749775000, 0.2077478000, 0.2913997000, 0.5272424000, 1.2033506000", \ - "0.1944407000, 0.2017119000, 0.2181790000, 0.2529364000, 0.3372022000, 0.5722296000, 1.2525374000", \ - "0.2150243000, 0.2247754000, 0.2460561000, 0.2880589000, 0.3755060000, 0.6109381000, 1.2843223000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0417746000, 0.0461012000, 0.0555019000, 0.0755562000, 0.1230396000, 0.2441433000, 0.6012154000", \ - "0.0419420000, 0.0458050000, 0.0551380000, 0.0763114000, 0.1237029000, 0.2438054000, 0.6012008000", \ - "0.0414913000, 0.0456948000, 0.0560682000, 0.0756953000, 0.1230505000, 0.2440550000, 0.6007391000", \ - "0.0419667000, 0.0457320000, 0.0552120000, 0.0759646000, 0.1226224000, 0.2447081000, 0.6025991000", \ - "0.0416867000, 0.0460500000, 0.0552203000, 0.0756035000, 0.1222569000, 0.2441487000, 0.6031277000", \ - "0.0457568000, 0.0502305000, 0.0583920000, 0.0780097000, 0.1238104000, 0.2452510000, 0.6018192000", \ - "0.0653922000, 0.0704200000, 0.0809069000, 0.1003508000, 0.1410395000, 0.2584877000, 0.6079630000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0219911000, 0.0268560000, 0.0402856000, 0.0784699000, 0.1923523000, 0.5302688000, 1.5001205000", \ - "0.0220910000, 0.0269880000, 0.0402463000, 0.0785034000, 0.1928130000, 0.5296827000, 1.5011018000", \ - "0.0220574000, 0.0269010000, 0.0403256000, 0.0783077000, 0.1926174000, 0.5309989000, 1.5046798000", \ - "0.0224601000, 0.0272202000, 0.0406065000, 0.0786039000, 0.1928457000, 0.5295431000, 1.4973320000", \ - "0.0256374000, 0.0305413000, 0.0435593000, 0.0806724000, 0.1934344000, 0.5294623000, 1.5045505000", \ - "0.0334644000, 0.0379884000, 0.0510411000, 0.0860079000, 0.1952688000, 0.5278015000, 1.5006270000", \ - "0.0475647000, 0.0536214000, 0.0668372000, 0.1000935000, 0.2007499000, 0.5300436000, 1.4929020000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0833609000, 0.0875813000, 0.0971353000, 0.1181849000, 0.1647836000, 0.2740363000, 0.5599711000", \ - "0.0887001000, 0.0928743000, 0.1024832000, 0.1234464000, 0.1700771000, 0.2793166000, 0.5650044000", \ - "0.1015925000, 0.1057262000, 0.1153689000, 0.1363623000, 0.1829955000, 0.2922602000, 0.5780730000", \ - "0.1332377000, 0.1373674000, 0.1469518000, 0.1679232000, 0.2146983000, 0.3240803000, 0.6100594000", \ - "0.1985878000, 0.2033004000, 0.2140069000, 0.2362386000, 0.2840280000, 0.3940790000, 0.6800012000", \ - "0.3031650000, 0.3092684000, 0.3230747000, 0.3509608000, 0.4064823000, 0.5225805000, 0.8096766000", \ - "0.4680522000, 0.4759564000, 0.4941709000, 0.5297129000, 0.5996225000, 0.7319162000, 1.0251212000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0978494000, 0.1037700000, 0.1179012000, 0.1510406000, 0.2354270000, 0.4709430000, 1.1457340000", \ - "0.1020209000, 0.1078599000, 0.1220178000, 0.1551125000, 0.2395221000, 0.4760322000, 1.1490345000", \ - "0.1123948000, 0.1179966000, 0.1321230000, 0.1655182000, 0.2498814000, 0.4864320000, 1.1595366000", \ - "0.1372162000, 0.1430460000, 0.1570099000, 0.1898848000, 0.2740661000, 0.5107152000, 1.1844568000", \ - "0.1835188000, 0.1895186000, 0.2038565000, 0.2373452000, 0.3216613000, 0.5571947000, 1.2340530000", \ - "0.2418953000, 0.2492659000, 0.2652348000, 0.3000640000, 0.3851599000, 0.6205753000, 1.2958855000", \ - "0.2969176000, 0.3062839000, 0.3263895000, 0.3659448000, 0.4516814000, 0.6875672000, 1.3622113000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0172986000, 0.0203407000, 0.0277961000, 0.0467436000, 0.0949803000, 0.2194637000, 0.5882620000", \ - "0.0173154000, 0.0203474000, 0.0278241000, 0.0467378000, 0.0951717000, 0.2194664000, 0.5877993000", \ - "0.0173779000, 0.0203355000, 0.0277808000, 0.0465743000, 0.0951806000, 0.2192648000, 0.5903561000", \ - "0.0174667000, 0.0204436000, 0.0279189000, 0.0467875000, 0.0951352000, 0.2192032000, 0.5879547000", \ - "0.0222910000, 0.0252747000, 0.0323843000, 0.0503619000, 0.0971485000, 0.2200019000, 0.5900147000", \ - "0.0322213000, 0.0360385000, 0.0446591000, 0.0642683000, 0.1107632000, 0.2282651000, 0.5888172000", \ - "0.0478465000, 0.0528034000, 0.0635272000, 0.0877622000, 0.1401743000, 0.2538632000, 0.5933160000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0247235000, 0.0298908000, 0.0434847000, 0.0816233000, 0.1953449000, 0.5290658000, 1.4995761000", \ - "0.0246789000, 0.0297955000, 0.0435552000, 0.0816901000, 0.1953469000, 0.5303776000, 1.4944398000", \ - "0.0246559000, 0.0299081000, 0.0435142000, 0.0817197000, 0.1953325000, 0.5302473000, 1.4940789000", \ - "0.0243817000, 0.0294949000, 0.0431308000, 0.0814774000, 0.1952113000, 0.5299650000, 1.4963437000", \ - "0.0269826000, 0.0320526000, 0.0453543000, 0.0829484000, 0.1952341000, 0.5307668000, 1.4989369000", \ - "0.0340281000, 0.0386272000, 0.0513259000, 0.0865537000, 0.1973467000, 0.5302211000, 1.5003345000", \ - "0.0470179000, 0.0528076000, 0.0650736000, 0.0981009000, 0.2001970000, 0.5327427000, 1.4954018000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o31a_4") { - leakage_power () { - value : 0.0067624000; - when : "!A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0047345000; - when : "!A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0073472000; - when : "!A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0053760000; - when : "!A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0063516000; - when : "!A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0053760000; - when : "!A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0046285000; - when : "!A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0053745000; - when : "!A1&A2&A3&!B1"; - } - leakage_power () { - value : 0.0054377000; - when : "A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0053762000; - when : "A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0045377000; - when : "A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0053752000; - when : "A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0442887000; - when : "A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0053785000; - when : "A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0340162000; - when : "A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0053785000; - when : "A1&A2&A3&!B1"; - } - area : 17.516800000; - cell_footprint : "sky130_fd_sc_hd__o31a"; - cell_leakage_power : 0.0097337110; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0043750000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041300000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0076170000, 0.0076140000, 0.0076071000, 0.0076099000, 0.0076165000, 0.0076318000, 0.0076670000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007594300, -0.007591200, -0.007584000, -0.007580200, -0.007571300, -0.007551000, -0.007504100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046200000; - } - pin ("A2") { - capacitance : 0.0047900000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0044640000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082011000, 0.0081953000, 0.0081819000, 0.0081829000, 0.0081855000, 0.0081916000, 0.0082054000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008170100, -0.008167700, -0.008162200, -0.008161300, -0.008159400, -0.008154900, -0.008144600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0051160000; - } - pin ("A3") { - capacitance : 0.0042530000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039180000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078247000, 0.0078202000, 0.0078098000, 0.0078092000, 0.0078077000, 0.0078042000, 0.0077964000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007801600, -0.007800700, -0.007798500, -0.007794900, -0.007786700, -0.007767700, -0.007723800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045870000; - } - pin ("B1") { - capacitance : 0.0045730000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0044120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0095335000, 0.0095340000, 0.0095352000, 0.0095335000, 0.0095295000, 0.0095204000, 0.0094993000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003309700, -0.003325000, -0.003360300, -0.003322900, -0.003236800, -0.003038100, -0.002580300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047340000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A2&B1) | (A3&B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0374524000, 0.0356899000, 0.0303813000, 0.0149562000, -0.038613100, -0.224369100, -0.830753400", \ - "0.0370281000, 0.0351867000, 0.0299298000, 0.0145786000, -0.039054200, -0.224441500, -0.830748900", \ - "0.0369419000, 0.0350868000, 0.0297432000, 0.0144595000, -0.039154700, -0.224874600, -0.831231700", \ - "0.0364613000, 0.0346420000, 0.0294821000, 0.0140240000, -0.039518400, -0.225012900, -0.831618000", \ - "0.0362306000, 0.0344048000, 0.0290799000, 0.0137445000, -0.039930700, -0.225429000, -0.831732500", \ - "0.0364090000, 0.0344546000, 0.0290618000, 0.0136147000, -0.040102600, -0.225741900, -0.831907300", \ - "0.0453627000, 0.0433515000, 0.0372346000, 0.0186143000, -0.039430700, -0.225779600, -0.831994000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0377012000, 0.0397069000, 0.0459615000, 0.0655531000, 0.1254482000, 0.3139736000, 0.9132829000", \ - "0.0375919000, 0.0395313000, 0.0457541000, 0.0653270000, 0.1253099000, 0.3137889000, 0.9135322000", \ - "0.0372411000, 0.0392503000, 0.0454474000, 0.0650129000, 0.1250965000, 0.3131305000, 0.9180829000", \ - "0.0370199000, 0.0389971000, 0.0452834000, 0.0648253000, 0.1246649000, 0.3133659000, 0.9135695000", \ - "0.0370627000, 0.0390032000, 0.0451783000, 0.0642236000, 0.1239827000, 0.3128478000, 0.9129339000", \ - "0.0386496000, 0.0404529000, 0.0463182000, 0.0648973000, 0.1235221000, 0.3108067000, 0.9158160000", \ - "0.0394364000, 0.0411827000, 0.0469120000, 0.0655083000, 0.1244858000, 0.3124955000, 0.9111242000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0329813000, 0.0311497000, 0.0259310000, 0.0105404000, -0.042927900, -0.228432300, -0.834728600", \ - "0.0327945000, 0.0309614000, 0.0256392000, 0.0102804000, -0.043288000, -0.228773900, -0.834966700", \ - "0.0324919000, 0.0306535000, 0.0253180000, 0.0101515000, -0.043334900, -0.228987500, -0.835602000", \ - "0.0322510000, 0.0305162000, 0.0251227000, 0.0097486000, -0.043747900, -0.229459900, -0.835796800", \ - "0.0318365000, 0.0299931000, 0.0246605000, 0.0093397000, -0.044215700, -0.229865100, -0.836133900", \ - "0.0320181000, 0.0300820000, 0.0246380000, 0.0094191000, -0.044330300, -0.230075400, -0.836267500", \ - "0.0423516000, 0.0402881000, 0.0339818000, 0.0151019000, -0.043971200, -0.230180000, -0.836090600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0357494000, 0.0377230000, 0.0438779000, 0.0632606000, 0.1230314000, 0.3112284000, 0.9105112000", \ - "0.0356242000, 0.0375875000, 0.0438399000, 0.0632530000, 0.1230162000, 0.3114445000, 0.9112369000", \ - "0.0355432000, 0.0375146000, 0.0436765000, 0.0630391000, 0.1228214000, 0.3106784000, 0.9122531000", \ - "0.0352446000, 0.0371623000, 0.0434337000, 0.0627337000, 0.1223330000, 0.3107812000, 0.9150747000", \ - "0.0348236000, 0.0367423000, 0.0427668000, 0.0619680000, 0.1214870000, 0.3099923000, 0.9145275000", \ - "0.0354692000, 0.0373152000, 0.0431703000, 0.0617310000, 0.1205381000, 0.3077276000, 0.9097649000", \ - "0.0367525000, 0.0384899000, 0.0442970000, 0.0627736000, 0.1219070000, 0.3100926000, 0.9108028000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0280704000, 0.0263389000, 0.0209795000, 0.0056870000, -0.047887200, -0.233423300, -0.839752300", \ - "0.0279140000, 0.0261206000, 0.0207458000, 0.0055042000, -0.048092200, -0.233780000, -0.840074600", \ - "0.0275025000, 0.0257365000, 0.0204539000, 0.0050780000, -0.048586500, -0.234157700, -0.840456500", \ - "0.0269491000, 0.0251009000, 0.0197905000, 0.0045880000, -0.049002500, -0.234665800, -0.841072700", \ - "0.0267634000, 0.0249493000, 0.0196742000, 0.0043251000, -0.049382200, -0.234976800, -0.841166800", \ - "0.0291132000, 0.0272238000, 0.0215993000, 0.0057492000, -0.048437000, -0.234367800, -0.840472300", \ - "0.0404297000, 0.0382875000, 0.0318219000, 0.0128034000, -0.045524600, -0.232395300, -0.838410800"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0314355000, 0.0334119000, 0.0395496000, 0.0589322000, 0.1184495000, 0.3074006000, 0.9117000000", \ - "0.0314285000, 0.0333879000, 0.0396490000, 0.0590261000, 0.1184522000, 0.3061987000, 0.9118752000", \ - "0.0312813000, 0.0332524000, 0.0394688000, 0.0588296000, 0.1183129000, 0.3059434000, 0.9064943000", \ - "0.0309259000, 0.0328858000, 0.0389840000, 0.0581322000, 0.1177478000, 0.3058981000, 0.9074364000", \ - "0.0305267000, 0.0323775000, 0.0385122000, 0.0574629000, 0.1166943000, 0.3048861000, 0.9069161000", \ - "0.0311588000, 0.0329676000, 0.0388832000, 0.0574165000, 0.1158123000, 0.3033541000, 0.9053837000", \ - "0.0331619000, 0.0349132000, 0.0405343000, 0.0590134000, 0.1176931000, 0.3051097000, 0.9028880000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0242816000, 0.0230799000, 0.0192554000, 0.0055890000, -0.047395500, -0.235224100, -0.842485100", \ - "0.0240316000, 0.0227810000, 0.0189839000, 0.0052602000, -0.047693100, -0.235512400, -0.842755100", \ - "0.0234349000, 0.0222018000, 0.0183651000, 0.0046558000, -0.048185600, -0.235988500, -0.843216200", \ - "0.0232798000, 0.0219648000, 0.0178496000, 0.0037371000, -0.048933400, -0.236568800, -0.843771100", \ - "0.0225054000, 0.0210294000, 0.0167039000, 0.0027087000, -0.049711500, -0.236990700, -0.843987900", \ - "0.0309065000, 0.0290685000, 0.0233813000, 0.0053522000, -0.049733300, -0.236328300, -0.843093300", \ - "0.0391718000, 0.0371878000, 0.0311963000, 0.0126422000, -0.045744600, -0.234017200, -0.840122700"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0332226000, 0.0351750000, 0.0413735000, 0.0609860000, 0.1210337000, 0.3096889000, 0.9097556000", \ - "0.0330501000, 0.0349930000, 0.0412150000, 0.0608260000, 0.1208642000, 0.3094619000, 0.9093472000", \ - "0.0327594000, 0.0346770000, 0.0409336000, 0.0605377000, 0.1205440000, 0.3089402000, 0.9102590000", \ - "0.0324730000, 0.0344025000, 0.0407309000, 0.0602309000, 0.1199651000, 0.3087121000, 0.9095331000", \ - "0.0323382000, 0.0342350000, 0.0403726000, 0.0594417000, 0.1189021000, 0.3079864000, 0.9088418000", \ - "0.0344034000, 0.0361870000, 0.0419051000, 0.0602915000, 0.1191071000, 0.3071315000, 0.9090807000", \ - "0.0368218000, 0.0385159000, 0.0442171000, 0.0623508000, 0.1209653000, 0.3091845000, 0.9112658000"); - } - } - max_capacitance : 0.5447490000; - max_transition : 1.5087930000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.3098366000, 0.3149095000, 0.3282722000, 0.3573435000, 0.4167022000, 0.5442508000, 0.8778323000", \ - "0.3144502000, 0.3196314000, 0.3328791000, 0.3619645000, 0.4214095000, 0.5490598000, 0.8822322000", \ - "0.3267244000, 0.3318753000, 0.3451140000, 0.3743139000, 0.4336020000, 0.5611536000, 0.8941994000", \ - "0.3537288000, 0.3588706000, 0.3721742000, 0.4011925000, 0.4600251000, 0.5882868000, 0.9214063000", \ - "0.4113106000, 0.4165048000, 0.4297243000, 0.4587613000, 0.5178980000, 0.6461797000, 0.9794903000", \ - "0.5316192000, 0.5368441000, 0.5503683000, 0.5798881000, 0.6396647000, 0.7677780000, 1.1009769000", \ - "0.7490685000, 0.7550132000, 0.7704142000, 0.8034996000, 0.8693501000, 1.0056374000, 1.3432497000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.1061680000, 0.1103781000, 0.1216891000, 0.1501281000, 0.2251818000, 0.4502835000, 1.1638117000", \ - "0.1105060000, 0.1147222000, 0.1260334000, 0.1544700000, 0.2294590000, 0.4546136000, 1.1677004000", \ - "0.1194225000, 0.1236374000, 0.1349658000, 0.1634148000, 0.2384980000, 0.4637100000, 1.1793527000", \ - "0.1381470000, 0.1423253000, 0.1536229000, 0.1819136000, 0.2566563000, 0.4821276000, 1.1949378000", \ - "0.1743243000, 0.1786612000, 0.1902547000, 0.2189614000, 0.2937650000, 0.5191933000, 1.2318101000", \ - "0.2246563000, 0.2296220000, 0.2423674000, 0.2725619000, 0.3485295000, 0.5735455000, 1.2887217000", \ - "0.2665586000, 0.2729276000, 0.2891369000, 0.3246141000, 0.4033996000, 0.6293627000, 1.3423401000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0396218000, 0.0428114000, 0.0501740000, 0.0694002000, 0.1148395000, 0.2419307000, 0.6523981000", \ - "0.0397799000, 0.0427855000, 0.0501462000, 0.0692900000, 0.1146448000, 0.2414597000, 0.6530337000", \ - "0.0395364000, 0.0424642000, 0.0504457000, 0.0689650000, 0.1146392000, 0.2416762000, 0.6533025000", \ - "0.0396957000, 0.0427089000, 0.0504132000, 0.0693867000, 0.1153139000, 0.2413008000, 0.6525219000", \ - "0.0395467000, 0.0426652000, 0.0502510000, 0.0693113000, 0.1148425000, 0.2409024000, 0.6527078000", \ - "0.0416565000, 0.0446247000, 0.0523944000, 0.0700540000, 0.1156697000, 0.2424450000, 0.6526908000", \ - "0.0506937000, 0.0535326000, 0.0618791000, 0.0811322000, 0.1285813000, 0.2541483000, 0.6581578000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0232955000, 0.0266591000, 0.0369109000, 0.0665248000, 0.1623383000, 0.4792554000, 1.4974914000", \ - "0.0232689000, 0.0267237000, 0.0368442000, 0.0664596000, 0.1623090000, 0.4792741000, 1.4991900000", \ - "0.0232397000, 0.0266186000, 0.0367780000, 0.0664397000, 0.1622037000, 0.4778929000, 1.5025644000", \ - "0.0230359000, 0.0264312000, 0.0365957000, 0.0662763000, 0.1619651000, 0.4793768000, 1.5004075000", \ - "0.0246807000, 0.0281915000, 0.0381112000, 0.0674506000, 0.1624380000, 0.4793385000, 1.4997338000", \ - "0.0296799000, 0.0331918000, 0.0433046000, 0.0712902000, 0.1642267000, 0.4778785000, 1.4992011000", \ - "0.0406619000, 0.0446235000, 0.0548786000, 0.0822403000, 0.1689197000, 0.4810374000, 1.4983571000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.2936887000, 0.2988413000, 0.3121157000, 0.3411857000, 0.3998724000, 0.5282644000, 0.8614625000", \ - "0.2971012000, 0.3022546000, 0.3156042000, 0.3446280000, 0.4041413000, 0.5319232000, 0.8647835000", \ - "0.3073750000, 0.3125012000, 0.3257292000, 0.3549906000, 0.4138010000, 0.5419440000, 0.8750712000", \ - "0.3329927000, 0.3382150000, 0.3514523000, 0.3804553000, 0.4398882000, 0.5674714000, 0.9005380000", \ - "0.3903897000, 0.3955337000, 0.4087636000, 0.4377922000, 0.4970983000, 0.6253913000, 0.9581660000", \ - "0.5170940000, 0.5224727000, 0.5362161000, 0.5665640000, 0.6261758000, 0.7550507000, 1.0881651000", \ - "0.7457677000, 0.7520299000, 0.7680465000, 0.8025304000, 0.8692365000, 1.0059650000, 1.3443910000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0980642000, 0.1019978000, 0.1125943000, 0.1396522000, 0.2128793000, 0.4379080000, 1.1484121000", \ - "0.1028823000, 0.1067883000, 0.1174122000, 0.1444890000, 0.2177182000, 0.4413541000, 1.1536868000", \ - "0.1124562000, 0.1163524000, 0.1269905000, 0.1539740000, 0.2270902000, 0.4509219000, 1.1641232000", \ - "0.1318475000, 0.1357760000, 0.1464291000, 0.1733180000, 0.2464733000, 0.4706806000, 1.1858141000", \ - "0.1676250000, 0.1717758000, 0.1828384000, 0.2105267000, 0.2839163000, 0.5083016000, 1.2237066000", \ - "0.2147527000, 0.2197464000, 0.2324229000, 0.2619979000, 0.3367516000, 0.5610202000, 1.2775623000", \ - "0.2515718000, 0.2580280000, 0.2743793000, 0.3102120000, 0.3887158000, 0.6126740000, 1.3260769000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0400265000, 0.0429835000, 0.0501359000, 0.0694139000, 0.1152263000, 0.2415171000, 0.6530699000", \ - "0.0396087000, 0.0427081000, 0.0505642000, 0.0683332000, 0.1140860000, 0.2418137000, 0.6530517000", \ - "0.0398185000, 0.0426469000, 0.0507383000, 0.0688724000, 0.1153152000, 0.2413318000, 0.6527351000", \ - "0.0398488000, 0.0424430000, 0.0503840000, 0.0685247000, 0.1146807000, 0.2417310000, 0.6530876000", \ - "0.0399137000, 0.0429058000, 0.0502047000, 0.0685946000, 0.1154146000, 0.2415304000, 0.6528321000", \ - "0.0428394000, 0.0456723000, 0.0533627000, 0.0713758000, 0.1175673000, 0.2422658000, 0.6533863000", \ - "0.0547251000, 0.0583519000, 0.0660752000, 0.0850524000, 0.1306946000, 0.2543654000, 0.6589305000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0212369000, 0.0245197000, 0.0342994000, 0.0635491000, 0.1594544000, 0.4779119000, 1.4968796000", \ - "0.0212933000, 0.0245435000, 0.0342366000, 0.0636314000, 0.1594900000, 0.4780822000, 1.4969684000", \ - "0.0212480000, 0.0245094000, 0.0342247000, 0.0635167000, 0.1594387000, 0.4767445000, 1.5014190000", \ - "0.0212758000, 0.0245879000, 0.0341687000, 0.0635903000, 0.1598525000, 0.4779948000, 1.5017826000", \ - "0.0235058000, 0.0268024000, 0.0365682000, 0.0653296000, 0.1600396000, 0.4778548000, 1.5020120000", \ - "0.0293286000, 0.0327192000, 0.0421036000, 0.0698555000, 0.1623616000, 0.4764188000, 1.4991860000", \ - "0.0410798000, 0.0453386000, 0.0556117000, 0.0827800000, 0.1680348000, 0.4792420000, 1.4939195000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.2441892000, 0.2494469000, 0.2626931000, 0.2917021000, 0.3512305000, 0.4789947000, 0.8117884000", \ - "0.2466544000, 0.2518231000, 0.2651143000, 0.2942677000, 0.3536099000, 0.4816275000, 0.8148027000", \ - "0.2547841000, 0.2599586000, 0.2731786000, 0.3022429000, 0.3615039000, 0.4898023000, 0.8228986000", \ - "0.2787329000, 0.2838799000, 0.2971256000, 0.3263731000, 0.3856439000, 0.5126709000, 0.8457588000", \ - "0.3399647000, 0.3451553000, 0.3583547000, 0.3874826000, 0.4467514000, 0.5751787000, 0.9078957000", \ - "0.4846930000, 0.4900632000, 0.5037229000, 0.5330200000, 0.5920422000, 0.7207819000, 1.0541054000", \ - "0.7310584000, 0.7378089000, 0.7549064000, 0.7916003000, 0.8574300000, 0.9893072000, 1.3268734000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0866673000, 0.0905128000, 0.1009060000, 0.1275473000, 0.1999803000, 0.4233893000, 1.1356193000", \ - "0.0915057000, 0.0953823000, 0.1058001000, 0.1325026000, 0.2049496000, 0.4282598000, 1.1415201000", \ - "0.1011920000, 0.1050339000, 0.1154558000, 0.1420813000, 0.2145458000, 0.4382922000, 1.1495370000", \ - "0.1207381000, 0.1245845000, 0.1349214000, 0.1615178000, 0.2340829000, 0.4577631000, 1.1688627000", \ - "0.1524456000, 0.1566595000, 0.1679231000, 0.1955243000, 0.2687890000, 0.4938290000, 1.2041902000", \ - "0.1887492000, 0.1939866000, 0.2072192000, 0.2374963000, 0.3121625000, 0.5360393000, 1.2514974000", \ - "0.2034066000, 0.2105050000, 0.2280702000, 0.2661621000, 0.3457882000, 0.5699277000, 1.2817777000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0399806000, 0.0427200000, 0.0504312000, 0.0684081000, 0.1144953000, 0.2421328000, 0.6522090000", \ - "0.0395760000, 0.0425319000, 0.0504151000, 0.0688243000, 0.1146276000, 0.2415167000, 0.6522690000", \ - "0.0396942000, 0.0426200000, 0.0505371000, 0.0693230000, 0.1142815000, 0.2411986000, 0.6524000000", \ - "0.0399146000, 0.0429467000, 0.0502348000, 0.0690828000, 0.1150077000, 0.2412140000, 0.6519402000", \ - "0.0396916000, 0.0426612000, 0.0501143000, 0.0685699000, 0.1136026000, 0.2414071000, 0.6528459000", \ - "0.0435656000, 0.0466428000, 0.0535853000, 0.0710429000, 0.1163444000, 0.2426332000, 0.6525437000", \ - "0.0621611000, 0.0656916000, 0.0754962000, 0.0916492000, 0.1324340000, 0.2512421000, 0.6582294000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0204080000, 0.0236532000, 0.0334286000, 0.0624840000, 0.1587753000, 0.4780585000, 1.5087931000", \ - "0.0204689000, 0.0237569000, 0.0333818000, 0.0626491000, 0.1588523000, 0.4770270000, 1.5076793000", \ - "0.0205211000, 0.0237076000, 0.0334043000, 0.0625177000, 0.1587757000, 0.4774737000, 1.5008288000", \ - "0.0207751000, 0.0239756000, 0.0337027000, 0.0628462000, 0.1588079000, 0.4775516000, 1.4991733000", \ - "0.0238795000, 0.0270425000, 0.0367179000, 0.0652069000, 0.1598496000, 0.4773426000, 1.4993511000", \ - "0.0314131000, 0.0348852000, 0.0443470000, 0.0713908000, 0.1626545000, 0.4765089000, 1.4999387000", \ - "0.0451881000, 0.0496617000, 0.0606950000, 0.0872732000, 0.1699232000, 0.4786349000, 1.4967663000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0809040000, 0.0838174000, 0.0915737000, 0.1103097000, 0.1557923000, 0.2717870000, 0.5954626000", \ - "0.0861187000, 0.0890201000, 0.0967556000, 0.1154935000, 0.1610088000, 0.2770206000, 0.6006366000", \ - "0.0990241000, 0.1019068000, 0.1096176000, 0.1283618000, 0.1738776000, 0.2899269000, 0.6139819000", \ - "0.1302855000, 0.1331331000, 0.1406797000, 0.1596961000, 0.2051501000, 0.3213608000, 0.6453364000", \ - "0.1946491000, 0.1979628000, 0.2066668000, 0.2268922000, 0.2738177000, 0.3905830000, 0.7148589000", \ - "0.2970398000, 0.3013455000, 0.3125358000, 0.3378693000, 0.3927270000, 0.5170189000, 0.8420455000", \ - "0.4608535000, 0.4664574000, 0.4809455000, 0.5134987000, 0.5832576000, 0.7255156000, 1.0592047000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0978136000, 0.1020376000, 0.1133196000, 0.1417992000, 0.2167933000, 0.4421977000, 1.1548702000", \ - "0.1018858000, 0.1061017000, 0.1173966000, 0.1458666000, 0.2208983000, 0.4462050000, 1.1591513000", \ - "0.1120852000, 0.1162438000, 0.1275762000, 0.1560200000, 0.2310571000, 0.4572419000, 1.1704220000", \ - "0.1366850000, 0.1408562000, 0.1520552000, 0.1801839000, 0.2548368000, 0.4802286000, 1.1939285000", \ - "0.1826664000, 0.1869415000, 0.1983152000, 0.2267654000, 0.3014491000, 0.5268491000, 1.2403307000", \ - "0.2398982000, 0.2449264000, 0.2576386000, 0.2867797000, 0.3622448000, 0.5879664000, 1.3028135000", \ - "0.2917714000, 0.2982986000, 0.3145345000, 0.3492385000, 0.4261384000, 0.6508758000, 1.3648640000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0161201000, 0.0180404000, 0.0239189000, 0.0409052000, 0.0889642000, 0.2201313000, 0.6403456000", \ - "0.0159878000, 0.0182501000, 0.0240149000, 0.0408264000, 0.0889084000, 0.2200879000, 0.6405007000", \ - "0.0161192000, 0.0181826000, 0.0240255000, 0.0408889000, 0.0889281000, 0.2199462000, 0.6399541000", \ - "0.0161514000, 0.0183871000, 0.0241796000, 0.0409959000, 0.0890404000, 0.2203370000, 0.6394403000", \ - "0.0210273000, 0.0231833000, 0.0287760000, 0.0449028000, 0.0911154000, 0.2209513000, 0.6401494000", \ - "0.0310027000, 0.0335959000, 0.0404460000, 0.0582679000, 0.1050338000, 0.2299304000, 0.6412355000", \ - "0.0464880000, 0.0497236000, 0.0583906000, 0.0808758000, 0.1338744000, 0.2574046000, 0.6460463000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0232670000, 0.0267044000, 0.0368030000, 0.0665504000, 0.1622061000, 0.4793307000, 1.5000113000", \ - "0.0232527000, 0.0267140000, 0.0367880000, 0.0665247000, 0.1622691000, 0.4793014000, 1.4994870000", \ - "0.0232549000, 0.0266794000, 0.0367315000, 0.0665373000, 0.1623960000, 0.4785748000, 1.5021320000", \ - "0.0228638000, 0.0263047000, 0.0363305000, 0.0662053000, 0.1621585000, 0.4788377000, 1.5015204000", \ - "0.0247263000, 0.0281799000, 0.0379738000, 0.0673599000, 0.1622730000, 0.4793355000, 1.5012673000", \ - "0.0316054000, 0.0348363000, 0.0435715000, 0.0711589000, 0.1643710000, 0.4783868000, 1.5013393000", \ - "0.0435095000, 0.0475295000, 0.0572618000, 0.0825910000, 0.1682882000, 0.4806842000, 1.4989773000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o31ai_1") { - leakage_power () { - value : 0.0009437000; - when : "!A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0066736000; - when : "!A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0027392000; - when : "!A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0003753000; - when : "!A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0025576000; - when : "!A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0003745000; - when : "!A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0020324000; - when : "!A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0003754000; - when : "!A1&A2&A3&!B1"; - } - leakage_power () { - value : 0.0033837000; - when : "A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0003749000; - when : "A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0021118000; - when : "A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0003754000; - when : "A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0021108000; - when : "A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0003754000; - when : "A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0020161000; - when : "A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0003754000; - when : "A1&A2&A3&!B1"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__o31ai"; - cell_leakage_power : 0.0016997230; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022080000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040123000, 0.0040145000, 0.0040194000, 0.0040163000, 0.0040092000, 0.0039929000, 0.0039552000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004009600, -0.004006400, -0.003998900, -0.004000000, -0.004002400, -0.004007900, -0.004020600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024000000; - } - pin ("A2") { - capacitance : 0.0025250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023780000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042044000, 0.0042134000, 0.0042343000, 0.0042350000, 0.0042365000, 0.0042402000, 0.0042486000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004228800, -0.004229400, -0.004230600, -0.004230200, -0.004229200, -0.004227000, -0.004221700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026720000; - } - pin ("A3") { - capacitance : 0.0024790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022940000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042679000, 0.0042693000, 0.0042725000, 0.0042731000, 0.0042746000, 0.0042779000, 0.0042856000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004276500, -0.004274600, -0.004270200, -0.004270800, -0.004272200, -0.004275400, -0.004282700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026650000; - } - pin ("B1") { - capacitance : 0.0023300000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048665000, 0.0048751000, 0.0048951000, 0.0048911000, 0.0048819000, 0.0048605000, 0.0048114000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001667000, -0.001667000, -0.001667100, -0.001650600, -0.001612600, -0.001525000, -0.001323200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023940000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2&!A3) | (!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0067200000, 0.0058072000, 0.0038430000, -0.000315100, -0.009226200, -0.028310600, -0.069232600", \ - "0.0065928000, 0.0056856000, 0.0037257000, -0.000434500, -0.009340500, -0.028423600, -0.069367800", \ - "0.0064740000, 0.0055534000, 0.0035988000, -0.000570300, -0.009454900, -0.028541600, -0.069466800", \ - "0.0063193000, 0.0054169000, 0.0034785000, -0.000683600, -0.009549400, -0.028647800, -0.069568900", \ - "0.0062218000, 0.0053139000, 0.0033886000, -0.000728900, -0.009580600, -0.028630200, -0.069551500", \ - "0.0064688000, 0.0055525000, 0.0035911000, -0.000588900, -0.009613400, -0.028767300, -0.069635700", \ - "0.0071682000, 0.0062198000, 0.0042332000, -3.75000e-05, -0.009038900, -0.028326300, -0.069546100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0144083000, 0.0153296000, 0.0172955000, 0.0215159000, 0.0304400000, 0.0494865000, 0.0900665000", \ - "0.0142654000, 0.0151765000, 0.0171879000, 0.0214020000, 0.0303583000, 0.0494315000, 0.0900404000", \ - "0.0140965000, 0.0150341000, 0.0170278000, 0.0212605000, 0.0302768000, 0.0493369000, 0.0899692000", \ - "0.0139674000, 0.0148937000, 0.0168828000, 0.0211339000, 0.0301371000, 0.0492358000, 0.0898990000", \ - "0.0138828000, 0.0148150000, 0.0167981000, 0.0210346000, 0.0299869000, 0.0490873000, 0.0897022000", \ - "0.0138592000, 0.0148101000, 0.0168066000, 0.0209646000, 0.0299212000, 0.0489596000, 0.0896457000", \ - "0.0138066000, 0.0147293000, 0.0166385000, 0.0210872000, 0.0301907000, 0.0491072000, 0.0897897000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0054979000, 0.0045931000, 0.0026413000, -0.001534300, -0.010488200, -0.029653600, -0.070646100", \ - "0.0054622000, 0.0045539000, 0.0026082000, -0.001558600, -0.010505700, -0.029653400, -0.070653700", \ - "0.0053413000, 0.0044406000, 0.0025126000, -0.001626500, -0.010545700, -0.029682200, -0.070663500", \ - "0.0051112000, 0.0042305000, 0.0023297000, -0.001773700, -0.010659900, -0.029755200, -0.070710600", \ - "0.0050288000, 0.0041550000, 0.0021553000, -0.001993000, -0.010810500, -0.029825100, -0.070733400", \ - "0.0049589000, 0.0040507000, 0.0021158000, -0.002033900, -0.010921400, -0.030058000, -0.070874800", \ - "0.0054936000, 0.0045452000, 0.0025446000, -0.001670000, -0.010697800, -0.029884100, -0.070945600"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0124631000, 0.0133825000, 0.0153460000, 0.0195683000, 0.0285333000, 0.0475118000, 0.0881180000", \ - "0.0122672000, 0.0132254000, 0.0152230000, 0.0194385000, 0.0283990000, 0.0474543000, 0.0880197000", \ - "0.0120749000, 0.0130181000, 0.0150162000, 0.0192562000, 0.0282535000, 0.0473580000, 0.0879310000", \ - "0.0119185000, 0.0128583000, 0.0148472000, 0.0191080000, 0.0281033000, 0.0472374000, 0.0879322000", \ - "0.0118151000, 0.0127473000, 0.0147329000, 0.0189518000, 0.0279215000, 0.0470661000, 0.0877293000", \ - "0.0117459000, 0.0126799000, 0.0146916000, 0.0189148000, 0.0279426000, 0.0469837000, 0.0876600000", \ - "0.0119441000, 0.0129318000, 0.0149294000, 0.0190997000, 0.0280526000, 0.0469638000, 0.0877902000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0042313000, 0.0033517000, 0.0014210000, -0.002739500, -0.011692400, -0.030888300, -0.071915600", \ - "0.0041240000, 0.0032697000, 0.0013800000, -0.002735100, -0.011643100, -0.030804600, -0.071821200", \ - "0.0039077000, 0.0030783000, 0.0012434000, -0.002811000, -0.011664000, -0.030769600, -0.071753900", \ - "0.0036042000, 0.0027681000, 0.0009677000, -0.003035400, -0.011796000, -0.030817400, -0.071754000", \ - "0.0034858000, 0.0026267000, 0.0007748000, -0.003340600, -0.012041300, -0.030975200, -0.071813200", \ - "0.0034439000, 0.0025077000, 0.0005960000, -0.003471700, -0.012252600, -0.031290400, -0.072045800", \ - "0.0041171000, 0.0031434000, 0.0011384000, -0.003160000, -0.012165800, -0.031264700, -0.072198100"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0101993000, 0.0111285000, 0.0131527000, 0.0173736000, 0.0263289000, 0.0453729000, 0.0858713000", \ - "0.0099185000, 0.0108687000, 0.0129011000, 0.0171691000, 0.0261792000, 0.0452888000, 0.0858318000", \ - "0.0096319000, 0.0105748000, 0.0126040000, 0.0168975000, 0.0259758000, 0.0450845000, 0.0857262000", \ - "0.0094642000, 0.0103367000, 0.0123717000, 0.0166699000, 0.0257337000, 0.0449105000, 0.0856115000", \ - "0.0095872000, 0.0105094000, 0.0124787000, 0.0166421000, 0.0255726000, 0.0446859000, 0.0855298000", \ - "0.0100574000, 0.0109908000, 0.0129814000, 0.0172026000, 0.0256080000, 0.0446232000, 0.0853600000", \ - "0.0110812000, 0.0120488000, 0.0138964000, 0.0178564000, 0.0265068000, 0.0453498000, 0.0855254000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0043664000, 0.0034808000, 0.0015621000, -0.002553800, -0.011403100, -0.030470600, -0.071382400", \ - "0.0042221000, 0.0033387000, 0.0014255000, -0.002640400, -0.011462500, -0.030512800, -0.071403600", \ - "0.0040241000, 0.0031437000, 0.0012556000, -0.002784600, -0.011572700, -0.030572800, -0.071437800", \ - "0.0038603000, 0.0029670000, 0.0010608000, -0.003018400, -0.011739700, -0.030732900, -0.071536500", \ - "0.0037836000, 0.0028686000, 0.0009262000, -0.003129300, -0.012005500, -0.030954300, -0.071707700", \ - "0.0042824000, 0.0033486000, 0.0013370000, -0.002713500, -0.012006800, -0.030954400, -0.071765200", \ - "0.0057394000, 0.0047417000, 0.0026701000, -0.001733600, -0.010826500, -0.030320100, -0.071813100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010684870, 0.0022833300, 0.0048794160, 0.0104271900, 0.0222826300, 0.0476174100"); - values("0.0064682000, 0.0074805000, 0.0095774000, 0.0139008000, 0.0228998000, 0.0419307000, 0.0824886000", \ - "0.0061852000, 0.0072117000, 0.0093517000, 0.0137157000, 0.0227850000, 0.0418579000, 0.0824149000", \ - "0.0059543000, 0.0068956000, 0.0089862000, 0.0134096000, 0.0224709000, 0.0416268000, 0.0823529000", \ - "0.0058501000, 0.0068168000, 0.0088387000, 0.0131031000, 0.0221591000, 0.0413808000, 0.0820340000", \ - "0.0061678000, 0.0070805000, 0.0090108000, 0.0131444000, 0.0220429000, 0.0412240000, 0.0819379000", \ - "0.0064847000, 0.0073593000, 0.0094884000, 0.0139495000, 0.0227209000, 0.0410503000, 0.0815536000", \ - "0.0086782000, 0.0094752000, 0.0111486000, 0.0149587000, 0.0233968000, 0.0421716000, 0.0825084000"); - } - } - max_capacitance : 0.0476170000; - max_transition : 1.4872860000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0407562000, 0.0445357000, 0.0521180000, 0.0675238000, 0.0984540000, 0.1618186000, 0.2942168000", \ - "0.0453768000, 0.0490984000, 0.0566869000, 0.0720629000, 0.1029952000, 0.1663131000, 0.2988803000", \ - "0.0556682000, 0.0592887000, 0.0667916000, 0.0820918000, 0.1129499000, 0.1763109000, 0.3088212000", \ - "0.0756528000, 0.0796200000, 0.0878568000, 0.1035591000, 0.1343362000, 0.1977654000, 0.3304072000", \ - "0.1052417000, 0.1106766000, 0.1217861000, 0.1423498000, 0.1793242000, 0.2462420000, 0.3791941000", \ - "0.1386596000, 0.1467539000, 0.1636288000, 0.1951563000, 0.2485039000, 0.3376373000, 0.4888700000", \ - "0.1558361000, 0.1686289000, 0.1959656000, 0.2440476000, 0.3281667000, 0.4654813000, 0.6772587000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.1656764000, 0.1784766000, 0.2049495000, 0.2609478000, 0.3791051000, 0.6306757000, 1.1659577000", \ - "0.1701895000, 0.1828407000, 0.2096752000, 0.2658105000, 0.3847984000, 0.6359177000, 1.1715610000", \ - "0.1818779000, 0.1943790000, 0.2208815000, 0.2777704000, 0.3968679000, 0.6484781000, 1.1845043000", \ - "0.2061366000, 0.2191430000, 0.2457372000, 0.3024596000, 0.4212364000, 0.6736705000, 1.2096868000", \ - "0.2583928000, 0.2710783000, 0.2974581000, 0.3533755000, 0.4726573000, 0.7250085000, 1.2616364000", \ - "0.3495980000, 0.3639511000, 0.3964070000, 0.4588483000, 0.5831629000, 0.8350573000, 1.3712872000", \ - "0.5001728000, 0.5205623000, 0.5624345000, 0.6422753000, 0.7950265000, 1.0795065000, 1.6233104000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0321004000, 0.0363439000, 0.0452294000, 0.0637451000, 0.1024045000, 0.1843394000, 0.3580770000", \ - "0.0317876000, 0.0361344000, 0.0450354000, 0.0635840000, 0.1023492000, 0.1837207000, 0.3595440000", \ - "0.0318352000, 0.0360229000, 0.0447337000, 0.0631239000, 0.1020559000, 0.1842539000, 0.3581153000", \ - "0.0383237000, 0.0419762000, 0.0495944000, 0.0658794000, 0.1028132000, 0.1841867000, 0.3593506000", \ - "0.0570606000, 0.0613233000, 0.0695302000, 0.0861814000, 0.1188951000, 0.1908870000, 0.3594069000", \ - "0.0940595000, 0.0995077000, 0.1110381000, 0.1320973000, 0.1706544000, 0.2416868000, 0.3870015000", \ - "0.1612938000, 0.1703290000, 0.1868983000, 0.2167115000, 0.2724705000, 0.3626514000, 0.5167807000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.1247821000, 0.1415965000, 0.1763943000, 0.2514533000, 0.4095277000, 0.7487528000, 1.4692667000", \ - "0.1250534000, 0.1412886000, 0.1766277000, 0.2509858000, 0.4097865000, 0.7464308000, 1.4643761000", \ - "0.1250239000, 0.1415274000, 0.1764582000, 0.2509406000, 0.4097481000, 0.7461909000, 1.4667779000", \ - "0.1249348000, 0.1414862000, 0.1763539000, 0.2515523000, 0.4098168000, 0.7466419000, 1.4648209000", \ - "0.1303413000, 0.1459917000, 0.1797329000, 0.2521622000, 0.4094908000, 0.7470662000, 1.4661516000", \ - "0.1603713000, 0.1770223000, 0.2104535000, 0.2790856000, 0.4248139000, 0.7500429000, 1.4656025000", \ - "0.2302297000, 0.2477656000, 0.2852496000, 0.3592630000, 0.5086345000, 0.8097953000, 1.4872856000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0407228000, 0.0440943000, 0.0509767000, 0.0652707000, 0.0950194000, 0.1576978000, 0.2906301000", \ - "0.0454486000, 0.0488438000, 0.0557504000, 0.0700705000, 0.0998314000, 0.1625308000, 0.2954798000", \ - "0.0550696000, 0.0584095000, 0.0653763000, 0.0797531000, 0.1095977000, 0.1723422000, 0.3055978000", \ - "0.0723199000, 0.0764362000, 0.0842534000, 0.0998523000, 0.1300651000, 0.1929488000, 0.3263042000", \ - "0.0956301000, 0.1014386000, 0.1127259000, 0.1334389000, 0.1713435000, 0.2395953000, 0.3734163000", \ - "0.1150029000, 0.1242009000, 0.1422136000, 0.1748198000, 0.2312627000, 0.3231782000, 0.4789180000", \ - "0.1041956000, 0.1194739000, 0.1483092000, 0.2012480000, 0.2913371000, 0.4340363000, 0.6528791000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.1553010000, 0.1681444000, 0.1944834000, 0.2509004000, 0.3688727000, 0.6203902000, 1.1558805000", \ - "0.1588102000, 0.1710164000, 0.1984198000, 0.2542732000, 0.3733859000, 0.6247491000, 1.1606259000", \ - "0.1694544000, 0.1823395000, 0.2088999000, 0.2659433000, 0.3849167000, 0.6366372000, 1.1724671000", \ - "0.1955656000, 0.2078743000, 0.2351174000, 0.2912770000, 0.4106727000, 0.6631844000, 1.1994941000", \ - "0.2554400000, 0.2679568000, 0.2947407000, 0.3507658000, 0.4701059000, 0.7226390000, 1.2591568000", \ - "0.3666642000, 0.3831776000, 0.4190593000, 0.4849446000, 0.6090510000, 0.8614136000, 1.3980569000", \ - "0.5591634000, 0.5840115000, 0.6320955000, 0.7241150000, 0.8863730000, 1.1764483000, 1.7218977000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0267577000, 0.0308425000, 0.0392077000, 0.0574503000, 0.0964356000, 0.1798047000, 0.3562779000", \ - "0.0267934000, 0.0308204000, 0.0391954000, 0.0574235000, 0.0964079000, 0.1795458000, 0.3562603000", \ - "0.0270355000, 0.0309618000, 0.0392574000, 0.0573574000, 0.0961574000, 0.1791454000, 0.3567258000", \ - "0.0335262000, 0.0372048000, 0.0447910000, 0.0608503000, 0.0975797000, 0.1789546000, 0.3563997000", \ - "0.0516072000, 0.0558773000, 0.0644355000, 0.0812160000, 0.1152358000, 0.1882581000, 0.3576642000", \ - "0.0875225000, 0.0938330000, 0.1054451000, 0.1269810000, 0.1670678000, 0.2398929000, 0.3892939000", \ - "0.1551116000, 0.1649376000, 0.1823735000, 0.2135627000, 0.2680197000, 0.3607874000, 0.5204081000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.1248402000, 0.1416273000, 0.1763922000, 0.2512117000, 0.4093492000, 0.7456115000, 1.4688512000", \ - "0.1248338000, 0.1414808000, 0.1768143000, 0.2510775000, 0.4094196000, 0.7464536000, 1.4684961000", \ - "0.1247717000, 0.1416170000, 0.1763965000, 0.2510072000, 0.4097641000, 0.7461779000, 1.4634297000", \ - "0.1251281000, 0.1414038000, 0.1765851000, 0.2512271000, 0.4095124000, 0.7468004000, 1.4647704000", \ - "0.1338200000, 0.1489031000, 0.1817022000, 0.2525806000, 0.4096319000, 0.7473218000, 1.4650009000", \ - "0.1765916000, 0.1912448000, 0.2255611000, 0.2887275000, 0.4281423000, 0.7493958000, 1.4656812000", \ - "0.2690470000, 0.2872096000, 0.3266604000, 0.3992918000, 0.5358988000, 0.8184552000, 1.4823528000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0333256000, 0.0362895000, 0.0424902000, 0.0556172000, 0.0833322000, 0.1422083000, 0.2690873000", \ - "0.0379227000, 0.0409366000, 0.0472736000, 0.0604816000, 0.0883406000, 0.1474215000, 0.2738409000", \ - "0.0472794000, 0.0504877000, 0.0570466000, 0.0704955000, 0.0983448000, 0.1578108000, 0.2841308000", \ - "0.0614163000, 0.0657882000, 0.0745873000, 0.0904196000, 0.1195250000, 0.1792199000, 0.3058286000", \ - "0.0765422000, 0.0834874000, 0.0966809000, 0.1205029000, 0.1598099000, 0.2270945000, 0.3542882000", \ - "0.0825107000, 0.0932511000, 0.1143607000, 0.1520735000, 0.2127862000, 0.3081012000, 0.4607054000", \ - "0.0456502000, 0.0644747000, 0.0998049000, 0.1604994000, 0.2591264000, 0.4090112000, 0.6356742000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.1277465000, 0.1400227000, 0.1672578000, 0.2232927000, 0.3420499000, 0.5937116000, 1.1294818000", \ - "0.1290859000, 0.1419117000, 0.1688478000, 0.2260932000, 0.3455005000, 0.5970644000, 1.1330101000", \ - "0.1371250000, 0.1500623000, 0.1771013000, 0.2344206000, 0.3540860000, 0.6071996000, 1.1438144000", \ - "0.1628671000, 0.1747842000, 0.2017599000, 0.2581674000, 0.3780339000, 0.6316141000, 1.1686945000", \ - "0.2271220000, 0.2402469000, 0.2658229000, 0.3207188000, 0.4392464000, 0.6916882000, 1.2285852000", \ - "0.3509540000, 0.3689277000, 0.4029937000, 0.4698960000, 0.5916497000, 0.8414595000, 1.3774090000", \ - "0.5499002000, 0.5776352000, 0.6296000000, 0.7275340000, 0.8998350000, 1.1946849000, 1.7200997000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0183005000, 0.0220543000, 0.0302422000, 0.0476473000, 0.0845397000, 0.1622030000, 0.3308099000", \ - "0.0183378000, 0.0220549000, 0.0302089000, 0.0472721000, 0.0845072000, 0.1623224000, 0.3351467000", \ - "0.0194415000, 0.0228710000, 0.0305210000, 0.0473412000, 0.0839575000, 0.1625150000, 0.3350797000", \ - "0.0278085000, 0.0311864000, 0.0385852000, 0.0529967000, 0.0863357000, 0.1640845000, 0.3303602000", \ - "0.0467285000, 0.0508223000, 0.0593979000, 0.0756639000, 0.1074826000, 0.1736571000, 0.3340448000", \ - "0.0829295000, 0.0892108000, 0.1012239000, 0.1232352000, 0.1621395000, 0.2304933000, 0.3673261000", \ - "0.1533352000, 0.1622453000, 0.1792006000, 0.2112743000, 0.2654817000, 0.3557308000, 0.5112881000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.1246158000, 0.1412695000, 0.1767638000, 0.2509638000, 0.4093990000, 0.7481383000, 1.4671120000", \ - "0.1249823000, 0.1413490000, 0.1763751000, 0.2508631000, 0.4115079000, 0.7461167000, 1.4655833000", \ - "0.1246831000, 0.1411982000, 0.1763099000, 0.2510247000, 0.4092990000, 0.7471567000, 1.4650247000", \ - "0.1229883000, 0.1401855000, 0.1760958000, 0.2508567000, 0.4095509000, 0.7468494000, 1.4696316000", \ - "0.1387724000, 0.1529700000, 0.1835529000, 0.2530193000, 0.4091377000, 0.7486800000, 1.4646737000", \ - "0.1927053000, 0.2099049000, 0.2424289000, 0.3035341000, 0.4356249000, 0.7478892000, 1.4655904000", \ - "0.2868490000, 0.3094111000, 0.3574834000, 0.4385948000, 0.5857552000, 0.8504021000, 1.4846834000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0324259000, 0.0362662000, 0.0440235000, 0.0594846000, 0.0904980000, 0.1539705000, 0.2865308000", \ - "0.0365699000, 0.0403352000, 0.0480366000, 0.0635678000, 0.0946812000, 0.1582166000, 0.2907909000", \ - "0.0475830000, 0.0510735000, 0.0584377000, 0.0739401000, 0.1050013000, 0.1686047000, 0.3012412000", \ - "0.0692739000, 0.0739429000, 0.0829441000, 0.0990161000, 0.1290351000, 0.1927304000, 0.3247584000", \ - "0.0963297000, 0.1030886000, 0.1161283000, 0.1391820000, 0.1815276000, 0.2481333000, 0.3813808000", \ - "0.1232879000, 0.1334050000, 0.1530440000, 0.1888623000, 0.2505509000, 0.3524055000, 0.5102505000", \ - "0.1306770000, 0.1454807000, 0.1736627000, 0.2293071000, 0.3240139000, 0.4798702000, 0.7209959000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0295613000, 0.0337539000, 0.0423237000, 0.0600183000, 0.0969086000, 0.1745592000, 0.3411762000", \ - "0.0346584000, 0.0388293000, 0.0474619000, 0.0650087000, 0.1021980000, 0.1805674000, 0.3470406000", \ - "0.0479968000, 0.0518904000, 0.0603634000, 0.0782075000, 0.1151780000, 0.1935540000, 0.3597527000", \ - "0.0736000000, 0.0798180000, 0.0908260000, 0.1095489000, 0.1465914000, 0.2249823000, 0.3913073000", \ - "0.1134198000, 0.1232388000, 0.1411697000, 0.1716691000, 0.2198486000, 0.2981356000, 0.4631562000", \ - "0.1777774000, 0.1930992000, 0.2219106000, 0.2709024000, 0.3481700000, 0.4628945000, 0.6329191000", \ - "0.2887309000, 0.3120369000, 0.3546535000, 0.4291238000, 0.5513777000, 0.7380571000, 1.0024606000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0317682000, 0.0360371000, 0.0448539000, 0.0635246000, 0.1019197000, 0.1837676000, 0.3589648000", \ - "0.0312866000, 0.0357227000, 0.0447446000, 0.0633446000, 0.1020621000, 0.1842886000, 0.3580882000", \ - "0.0319112000, 0.0358629000, 0.0444502000, 0.0626398000, 0.1019657000, 0.1842282000, 0.3581800000", \ - "0.0440452000, 0.0479120000, 0.0550369000, 0.0691179000, 0.1037952000, 0.1837656000, 0.3581617000", \ - "0.0667216000, 0.0720806000, 0.0821231000, 0.1019912000, 0.1320011000, 0.1981761000, 0.3601150000", \ - "0.1069542000, 0.1150373000, 0.1301897000, 0.1576951000, 0.2030520000, 0.2755851000, 0.4084243000", \ - "0.1757976000, 0.1884181000, 0.2136671000, 0.2571268000, 0.3261540000, 0.4352718000, 0.5999529000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010684900, 0.0022833300, 0.0048794200, 0.0104272000, 0.0222826000, 0.0476174000"); - values("0.0315183000, 0.0376303000, 0.0501520000, 0.0762439000, 0.1297895000, 0.2405490000, 0.4758290000", \ - "0.0316390000, 0.0375700000, 0.0501491000, 0.0763108000, 0.1297794000, 0.2407483000, 0.4761858000", \ - "0.0342023000, 0.0393520000, 0.0505867000, 0.0763470000, 0.1297595000, 0.2406779000, 0.4761060000", \ - "0.0522753000, 0.0550759000, 0.0626705000, 0.0831395000, 0.1306965000, 0.2406209000, 0.4760384000", \ - "0.0899749000, 0.0942929000, 0.1032326000, 0.1203389000, 0.1557260000, 0.2474365000, 0.4759296000", \ - "0.1514371000, 0.1581650000, 0.1719760000, 0.1968244000, 0.2401739000, 0.3126664000, 0.5013505000", \ - "0.2503656000, 0.2605824000, 0.2821245000, 0.3234399000, 0.3923252000, 0.4997072000, 0.6700263000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o31ai_2") { - leakage_power () { - value : 0.0022951000; - when : "!A1&!A2&!A3&B1"; - } - leakage_power () { - value : 1.0930683e-05; - when : "!A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0068213000; - when : "!A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0008629000; - when : "!A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0058747000; - when : "!A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0008634000; - when : "!A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0037591000; - when : "!A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0008625000; - when : "!A1&A2&A3&!B1"; - } - leakage_power () { - value : 0.0056503000; - when : "A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0008681000; - when : "A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0037455000; - when : "A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0008629000; - when : "A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0037510000; - when : "A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0008662000; - when : "A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0036359000; - when : "A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0008643000; - when : "A1&A2&A3&!B1"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__o31ai"; - cell_leakage_power : 0.0025996280; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0044550000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042460000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080408000, 0.0080351000, 0.0080222000, 0.0080259000, 0.0080345000, 0.0080542000, 0.0080998000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008016700, -0.008016100, -0.008014500, -0.008016200, -0.008020100, -0.008029000, -0.008049700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046640000; - } - pin ("A2") { - capacitance : 0.0043700000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040780000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079339000, 0.0079345000, 0.0079360000, 0.0079377000, 0.0079416000, 0.0079506000, 0.0079713000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007924200, -0.007923700, -0.007922500, -0.007923700, -0.007926500, -0.007932900, -0.007947600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046620000; - } - pin ("A3") { - capacitance : 0.0043160000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039600000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079544000, 0.0079534000, 0.0079511000, 0.0079528000, 0.0079565000, 0.0079652000, 0.0079853000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007954600, -0.007950200, -0.007940200, -0.007941600, -0.007945000, -0.007952600, -0.007970300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046710000; - } - pin ("B1") { - capacitance : 0.0044040000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092119000, 0.0092082000, 0.0091999000, 0.0091971000, 0.0091907000, 0.0091760000, 0.0091421000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002688800, -0.002702100, -0.002733000, -0.002694800, -0.002606800, -0.002403900, -0.001936100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045400000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2&!A3) | (!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011663880, 0.0027209210, 0.0063472980, 0.0148068200, 0.0345409900, 0.0805763800"); - values("0.0148542000, 0.0137882000, 0.0112841000, 0.0054389000, -0.008112100, -0.039815500, -0.114065900", \ - "0.0146010000, 0.0135134000, 0.0110200000, 0.0051999000, -0.008374300, -0.040079800, -0.114333000", \ - "0.0142622000, 0.0132147000, 0.0107193000, 0.0048663000, -0.008679300, -0.040361400, -0.114620600", \ - "0.0139298000, 0.0128721000, 0.0104342000, 0.0046027000, -0.008958400, -0.040618700, -0.114860400", \ - "0.0136181000, 0.0125825000, 0.0101301000, 0.0043591000, -0.009070400, -0.040675700, -0.114876400", \ - "0.0139400000, 0.0129214000, 0.0103874000, 0.0045426000, -0.009149900, -0.040907900, -0.115111900", \ - "0.0152842000, 0.0141768000, 0.0116734000, 0.0057633000, -0.008139300, -0.040137200, -0.114942600"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011663880, 0.0027209210, 0.0063472980, 0.0148068200, 0.0345409900, 0.0805763800"); - values("0.0271261000, 0.0281945000, 0.0307507000, 0.0366161000, 0.0503094000, 0.0820568000, 0.1556599000", \ - "0.0267813000, 0.0278715000, 0.0304004000, 0.0363551000, 0.0501113000, 0.0818551000, 0.1556866000", \ - "0.0263580000, 0.0274904000, 0.0300364000, 0.0360208000, 0.0497950000, 0.0816314000, 0.1553949000", \ - "0.0260827000, 0.0271416000, 0.0297263000, 0.0356596000, 0.0494980000, 0.0813976000, 0.1553515000", \ - "0.0257608000, 0.0268426000, 0.0293973000, 0.0353104000, 0.0490697000, 0.0809819000, 0.1549592000", \ - "0.0257790000, 0.0268944000, 0.0293748000, 0.0352656000, 0.0489355000, 0.0808051000, 0.1546631000", \ - "0.0252651000, 0.0263133000, 0.0290267000, 0.0352465000, 0.0491764000, 0.0808378000, 0.1545096000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011663880, 0.0027209210, 0.0063472980, 0.0148068200, 0.0345409900, 0.0805763800"); - values("0.0110698000, 0.0100068000, 0.0075279000, 0.0017086000, -0.011909400, -0.043770700, -0.118273900", \ - "0.0109946000, 0.0099373000, 0.0074648000, 0.0016607000, -0.011931100, -0.043799700, -0.118222400", \ - "0.0108151000, 0.0097619000, 0.0073231000, 0.0015669000, -0.011998300, -0.043815700, -0.118246100", \ - "0.0104062000, 0.0093533000, 0.0069674000, 0.0012829000, -0.012181600, -0.043948800, -0.118312100", \ - "0.0102982000, 0.0092434000, 0.0068066000, 0.0009021000, -0.012481100, -0.044025700, -0.118331700", \ - "0.0103600000, 0.0092624000, 0.0067807000, 0.0009325000, -0.012566900, -0.044431700, -0.118567100", \ - "0.0119185000, 0.0107535000, 0.0082162000, 0.0023272000, -0.011656200, -0.043727700, -0.118441700"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011663880, 0.0027209210, 0.0063472980, 0.0148068200, 0.0345409900, 0.0805763800"); - values("0.0221811000, 0.0232344000, 0.0257803000, 0.0316807000, 0.0453378000, 0.0770266000, 0.1507891000", \ - "0.0218031000, 0.0229076000, 0.0254664000, 0.0313817000, 0.0451365000, 0.0768656000, 0.1506670000", \ - "0.0214433000, 0.0225447000, 0.0251035000, 0.0310691000, 0.0448914000, 0.0767374000, 0.1505008000", \ - "0.0211696000, 0.0222205000, 0.0248038000, 0.0307262000, 0.0445035000, 0.0764072000, 0.1503777000", \ - "0.0208654000, 0.0219583000, 0.0244972000, 0.0304267000, 0.0442110000, 0.0760481000, 0.1500090000", \ - "0.0208485000, 0.0219462000, 0.0245316000, 0.0304601000, 0.0442635000, 0.0761353000, 0.1499187000", \ - "0.0220060000, 0.0230394000, 0.0254443000, 0.0311765000, 0.0447674000, 0.0764227000, 0.1503934000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011663880, 0.0027209210, 0.0063472980, 0.0148068200, 0.0345409900, 0.0805763800"); - values("0.0083360000, 0.0073201000, 0.0048918000, -0.000835900, -0.014442500, -0.046332800, -0.120885200", \ - "0.0080342000, 0.0070628000, 0.0047377000, -0.000900000, -0.014361100, -0.046188500, -0.120694900", \ - "0.0075093000, 0.0065891000, 0.0043487000, -0.001136400, -0.014471800, -0.046149100, -0.120580800", \ - "0.0069307000, 0.0060165000, 0.0037617000, -0.001633900, -0.014823800, -0.046310800, -0.120600600", \ - "0.0067810000, 0.0057997000, 0.0034499000, -0.002227200, -0.015307800, -0.046659100, -0.120752000", \ - "0.0068929000, 0.0058075000, 0.0033325000, -0.002386000, -0.015726000, -0.047240700, -0.121151300", \ - "0.0088005000, 0.0076348000, 0.0049726000, -0.001194600, -0.015057300, -0.046930400, -0.121341500"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011663880, 0.0027209210, 0.0063472980, 0.0148068200, 0.0345409900, 0.0805763800"); - values("0.0177644000, 0.0188529000, 0.0214082000, 0.0273189000, 0.0410820000, 0.0728369000, 0.1464671000", \ - "0.0171589000, 0.0182966000, 0.0208906000, 0.0269139000, 0.0407352000, 0.0725354000, 0.1463505000", \ - "0.0166093000, 0.0177959000, 0.0203801000, 0.0263935000, 0.0403575000, 0.0723413000, 0.1460058000", \ - "0.0161926000, 0.0172986000, 0.0199225000, 0.0259593000, 0.0398650000, 0.0719708000, 0.1459098000", \ - "0.0162598000, 0.0173631000, 0.0198774000, 0.0258972000, 0.0394883000, 0.0714206000, 0.1456209000", \ - "0.0180025000, 0.0190233000, 0.0214916000, 0.0267854000, 0.0399592000, 0.0715281000, 0.1452063000", \ - "0.0203356000, 0.0214553000, 0.0244483000, 0.0289567000, 0.0423118000, 0.0731709000, 0.1471797000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011663880, 0.0027209210, 0.0063472980, 0.0148068200, 0.0345409900, 0.0805763800"); - values("0.0093936000, 0.0083855000, 0.0059577000, 0.0002515000, -0.013200100, -0.044813800, -0.119070400", \ - "0.0091166000, 0.0081160000, 0.0057044000, 2.690000e-05, -0.013336200, -0.044875000, -0.119057700", \ - "0.0088377000, 0.0078061000, 0.0054212000, -0.000257800, -0.013561600, -0.044986400, -0.119102000", \ - "0.0084522000, 0.0074257000, 0.0050023000, -0.000656800, -0.014007400, -0.045343800, -0.119325000", \ - "0.0082677000, 0.0072047000, 0.0047361000, -0.000980700, -0.014269800, -0.045659800, -0.119694800", \ - "0.0093941000, 0.0082802000, 0.0056712000, 4.200000e-06, -0.014061800, -0.045908800, -0.119937500", \ - "0.0120901000, 0.0108825000, 0.0081670000, 0.0019588000, -0.012136400, -0.044691100, -0.119939700"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011663880, 0.0027209210, 0.0063472980, 0.0148068200, 0.0345409900, 0.0805763800"); - values("0.0098942000, 0.0111335000, 0.0139415000, 0.0201610000, 0.0339919000, 0.0656948000, 0.1392929000", \ - "0.0093892000, 0.0105930000, 0.0134182000, 0.0197126000, 0.0336789000, 0.0656949000, 0.1393865000", \ - "0.0090127000, 0.0101839000, 0.0129153000, 0.0191611000, 0.0332172000, 0.0652239000, 0.1390611000", \ - "0.0090437000, 0.0101743000, 0.0126844000, 0.0186399000, 0.0327658000, 0.0646285000, 0.1386561000", \ - "0.0093141000, 0.0103697000, 0.0128504000, 0.0186667000, 0.0323195000, 0.0640880000, 0.1379692000", \ - "0.0103621000, 0.0114035000, 0.0139834000, 0.0198135000, 0.0331553000, 0.0644862000, 0.1374540000", \ - "0.0146265000, 0.0155108000, 0.0177277000, 0.0236763000, 0.0358745000, 0.0669817000, 0.1409597000"); - } - } - max_capacitance : 0.0805760000; - max_transition : 1.4910310000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0411329000, 0.0437262000, 0.0496954000, 0.0626763000, 0.0906369000, 0.1517795000, 0.2885301000", \ - "0.0457082000, 0.0483290000, 0.0542058000, 0.0671637000, 0.0950751000, 0.1561639000, 0.2928666000", \ - "0.0556527000, 0.0582124000, 0.0639874000, 0.0767360000, 0.1046073000, 0.1656101000, 0.3023532000", \ - "0.0743788000, 0.0773615000, 0.0835781000, 0.0968249000, 0.1247902000, 0.1856759000, 0.3226448000", \ - "0.1018578000, 0.1058972000, 0.1145024000, 0.1318997000, 0.1654055000, 0.2307538000, 0.3686321000", \ - "0.1310780000, 0.1369953000, 0.1493771000, 0.1752242000, 0.2247003000, 0.3123222000, 0.4698814000", \ - "0.1382187000, 0.1472164000, 0.1664733000, 0.2062610000, 0.2835383000, 0.4178138000, 0.6400265000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.1803961000, 0.1894507000, 0.2100321000, 0.2553745000, 0.3610889000, 0.6047641000, 1.1701876000", \ - "0.1846996000, 0.1938767000, 0.2142169000, 0.2602154000, 0.3656147000, 0.6097089000, 1.1754528000", \ - "0.1961329000, 0.2048819000, 0.2249643000, 0.2718585000, 0.3781375000, 0.6226472000, 1.1884026000", \ - "0.2214292000, 0.2302647000, 0.2509775000, 0.2973795000, 0.4035462000, 0.6486722000, 1.2154585000", \ - "0.2758026000, 0.2843611000, 0.3044714000, 0.3502752000, 0.4566240000, 0.7015730000, 1.2686939000", \ - "0.3703781000, 0.3806677000, 0.4038235000, 0.4554302000, 0.5669358000, 0.8111233000, 1.3783547000", \ - "0.5269526000, 0.5403540000, 0.5710487000, 0.6351442000, 0.7707998000, 1.0477375000, 1.6236164000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0321801000, 0.0354978000, 0.0423517000, 0.0579162000, 0.0927194000, 0.1715429000, 0.3535191000", \ - "0.0319298000, 0.0348832000, 0.0420222000, 0.0576914000, 0.0924999000, 0.1711705000, 0.3541340000", \ - "0.0319867000, 0.0349032000, 0.0416847000, 0.0571635000, 0.0922357000, 0.1710789000, 0.3536955000", \ - "0.0385617000, 0.0411842000, 0.0472949000, 0.0605737000, 0.0932570000, 0.1708606000, 0.3538168000", \ - "0.0569950000, 0.0598270000, 0.0660756000, 0.0799566000, 0.1103354000, 0.1791249000, 0.3542864000", \ - "0.0936712000, 0.0974783000, 0.1058263000, 0.1236053000, 0.1590111000, 0.2293524000, 0.3838703000", \ - "0.1606460000, 0.1663998000, 0.1792109000, 0.2044213000, 0.2528775000, 0.3437028000, 0.5098638000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.1274050000, 0.1386658000, 0.1650927000, 0.2261452000, 0.3675260000, 0.6964845000, 1.4625288000", \ - "0.1273991000, 0.1387903000, 0.1646628000, 0.2263932000, 0.3676066000, 0.6967602000, 1.4621948000", \ - "0.1274434000, 0.1388496000, 0.1647596000, 0.2257345000, 0.3676778000, 0.6978205000, 1.4612167000", \ - "0.1272788000, 0.1386909000, 0.1651852000, 0.2263494000, 0.3676956000, 0.6969127000, 1.4628475000", \ - "0.1311578000, 0.1421218000, 0.1675168000, 0.2267743000, 0.3681627000, 0.6978055000, 1.4628265000", \ - "0.1574881000, 0.1687054000, 0.1936055000, 0.2517033000, 0.3824830000, 0.7015031000, 1.4633056000", \ - "0.2206532000, 0.2332699000, 0.2606698000, 0.3209719000, 0.4574797000, 0.7568428000, 1.4795848000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0379139000, 0.0400358000, 0.0447815000, 0.0553309000, 0.0791622000, 0.1332296000, 0.2581963000", \ - "0.0425972000, 0.0446828000, 0.0494931000, 0.0600369000, 0.0838130000, 0.1380238000, 0.2630377000", \ - "0.0520928000, 0.0542098000, 0.0589644000, 0.0696758000, 0.0934931000, 0.1477494000, 0.2726602000", \ - "0.0678950000, 0.0705033000, 0.0761340000, 0.0882988000, 0.1130874000, 0.1675443000, 0.2928827000", \ - "0.0876126000, 0.0912960000, 0.0996562000, 0.1166927000, 0.1490485000, 0.2111298000, 0.3376766000", \ - "0.1012540000, 0.1069637000, 0.1202564000, 0.1464719000, 0.1961933000, 0.2827608000, 0.4346567000", \ - "0.0807691000, 0.0894252000, 0.1105927000, 0.1522225000, 0.2315623000, 0.3676637000, 0.5870427000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.1618411000, 0.1706577000, 0.1899506000, 0.2365208000, 0.3419710000, 0.5854398000, 1.1511496000", \ - "0.1643018000, 0.1730084000, 0.1929518000, 0.2392932000, 0.3452062000, 0.5892156000, 1.1557867000", \ - "0.1735295000, 0.1827963000, 0.2027601000, 0.2494616000, 0.3553654000, 0.6000182000, 1.1661964000", \ - "0.1976153000, 0.2060501000, 0.2267598000, 0.2730343000, 0.3795909000, 0.6243694000, 1.1911455000", \ - "0.2512908000, 0.2599607000, 0.2798875000, 0.3263498000, 0.4319898000, 0.6771620000, 1.2442199000", \ - "0.3472404000, 0.3582388000, 0.3856739000, 0.4412930000, 0.5571575000, 0.8020386000, 1.3702421000", \ - "0.5209652000, 0.5371849000, 0.5717665000, 0.6459114000, 0.7919909000, 1.0832770000, 1.6610486000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0231734000, 0.0256750000, 0.0315078000, 0.0449177000, 0.0760887000, 0.1488284000, 0.3195120000", \ - "0.0231137000, 0.0256556000, 0.0314470000, 0.0448816000, 0.0760842000, 0.1489996000, 0.3179338000", \ - "0.0236273000, 0.0259889000, 0.0316314000, 0.0448908000, 0.0760075000, 0.1485954000, 0.3186214000", \ - "0.0301066000, 0.0323309000, 0.0376254000, 0.0496935000, 0.0783575000, 0.1489612000, 0.3188517000", \ - "0.0472132000, 0.0500175000, 0.0560448000, 0.0693525000, 0.0974686000, 0.1606475000, 0.3209449000", \ - "0.0811806000, 0.0850440000, 0.0934136000, 0.1111313000, 0.1458389000, 0.2122939000, 0.3557922000", \ - "0.1462181000, 0.1516878000, 0.1644045000, 0.1909305000, 0.2381737000, 0.3254583000, 0.4837046000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.1274785000, 0.1383375000, 0.1648417000, 0.2258717000, 0.3676272000, 0.6969960000, 1.4670821000", \ - "0.1272102000, 0.1383623000, 0.1650033000, 0.2257271000, 0.3676243000, 0.6982656000, 1.4634051000", \ - "0.1274083000, 0.1388073000, 0.1647435000, 0.2263694000, 0.3675434000, 0.6966242000, 1.4609653000", \ - "0.1273788000, 0.1387257000, 0.1651630000, 0.2259320000, 0.3678836000, 0.6980752000, 1.4666316000", \ - "0.1370561000, 0.1473857000, 0.1723835000, 0.2296742000, 0.3679159000, 0.6994457000, 1.4629737000", \ - "0.1749328000, 0.1858652000, 0.2110832000, 0.2666746000, 0.3914525000, 0.7020035000, 1.4669576000", \ - "0.2583144000, 0.2712852000, 0.2991241000, 0.3632937000, 0.4912202000, 0.7778569000, 1.4814199000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0319705000, 0.0339943000, 0.0384443000, 0.0486274000, 0.0719711000, 0.1255336000, 0.2500292000", \ - "0.0363331000, 0.0383979000, 0.0429935000, 0.0533423000, 0.0768325000, 0.1307076000, 0.2562254000", \ - "0.0452537000, 0.0474816000, 0.0523970000, 0.0629999000, 0.0866722000, 0.1406765000, 0.2657565000", \ - "0.0575673000, 0.0608615000, 0.0673761000, 0.0808710000, 0.1067384000, 0.1613483000, 0.2871862000", \ - "0.0693333000, 0.0741095000, 0.0844976000, 0.1046647000, 0.1412612000, 0.2065303000, 0.3341445000", \ - "0.0676212000, 0.0753916000, 0.0925058000, 0.1246906000, 0.1820674000, 0.2795749000, 0.4370485000", \ - "0.0201248000, 0.0332959000, 0.0609084000, 0.1132675000, 0.2060847000, 0.3556291000, 0.5894853000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.1279058000, 0.1368129000, 0.1566632000, 0.2029732000, 0.3085566000, 0.5524093000, 1.1180252000", \ - "0.1284409000, 0.1374213000, 0.1575223000, 0.2046336000, 0.3109075000, 0.5552626000, 1.1215006000", \ - "0.1356841000, 0.1444685000, 0.1654740000, 0.2122317000, 0.3195454000, 0.5645285000, 1.1328668000", \ - "0.1595082000, 0.1682502000, 0.1886743000, 0.2353162000, 0.3422691000, 0.5880255000, 1.1563425000", \ - "0.2238416000, 0.2327281000, 0.2524347000, 0.2969070000, 0.4035033000, 0.6482725000, 1.2162821000", \ - "0.3433399000, 0.3558427000, 0.3835267000, 0.4391684000, 0.5527847000, 0.7922465000, 1.3583152000", \ - "0.5366930000, 0.5531562000, 0.5942135000, 0.6741440000, 0.8397120000, 1.1340631000, 1.6948498000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0155254000, 0.0180492000, 0.0238547000, 0.0373522000, 0.0684966000, 0.1420417000, 0.3108546000", \ - "0.0156476000, 0.0180872000, 0.0238191000, 0.0372702000, 0.0687256000, 0.1419260000, 0.3127147000", \ - "0.0170019000, 0.0191458000, 0.0245241000, 0.0375125000, 0.0685151000, 0.1419022000, 0.3127407000", \ - "0.0250075000, 0.0274110000, 0.0326331000, 0.0445808000, 0.0718936000, 0.1418939000, 0.3109985000", \ - "0.0431104000, 0.0461029000, 0.0523021000, 0.0657604000, 0.0938712000, 0.1556770000, 0.3135630000", \ - "0.0779697000, 0.0820950000, 0.0910113000, 0.1096854000, 0.1450076000, 0.2154955000, 0.3549482000", \ - "0.1464719000, 0.1522751000, 0.1650136000, 0.1915271000, 0.2416618000, 0.3295336000, 0.4878963000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.1268421000, 0.1381311000, 0.1649296000, 0.2257109000, 0.3677256000, 0.6964880000, 1.4615852000", \ - "0.1270128000, 0.1381602000, 0.1645995000, 0.2257772000, 0.3675686000, 0.6982689000, 1.4658196000", \ - "0.1267441000, 0.1380875000, 0.1643978000, 0.2261412000, 0.3684157000, 0.6965031000, 1.4639938000", \ - "0.1244923000, 0.1359517000, 0.1633601000, 0.2256239000, 0.3674506000, 0.6967688000, 1.4675671000", \ - "0.1405503000, 0.1505252000, 0.1731089000, 0.2291403000, 0.3666971000, 0.6979448000, 1.4654350000", \ - "0.1911988000, 0.2041817000, 0.2307051000, 0.2855920000, 0.4025793000, 0.7028870000, 1.4666344000", \ - "0.2731295000, 0.2913980000, 0.3291024000, 0.4042426000, 0.5496569000, 0.8158729000, 1.4910306000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0310633000, 0.0337844000, 0.0397759000, 0.0527793000, 0.0807933000, 0.1418290000, 0.2788214000", \ - "0.0350491000, 0.0377303000, 0.0436838000, 0.0567821000, 0.0849578000, 0.1460361000, 0.2830210000", \ - "0.0464613000, 0.0488132000, 0.0543654000, 0.0669396000, 0.0947551000, 0.1560196000, 0.2931483000", \ - "0.0679200000, 0.0712538000, 0.0781532000, 0.0921330000, 0.1185639000, 0.1800157000, 0.3171466000", \ - "0.0947350000, 0.0993310000, 0.1094847000, 0.1299697000, 0.1682691000, 0.2358478000, 0.3707684000", \ - "0.1200162000, 0.1268730000, 0.1417380000, 0.1722057000, 0.2289482000, 0.3313834000, 0.5006165000", \ - "0.1249717000, 0.1349319000, 0.1567600000, 0.2021229000, 0.2880072000, 0.4425126000, 0.6986624000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0278281000, 0.0307392000, 0.0373593000, 0.0519001000, 0.0843406000, 0.1610592000, 0.3333418000", \ - "0.0326834000, 0.0355188000, 0.0420420000, 0.0567879000, 0.0895129000, 0.1647666000, 0.3377518000", \ - "0.0459536000, 0.0486887000, 0.0550325000, 0.0694495000, 0.1020239000, 0.1766593000, 0.3504290000", \ - "0.0693786000, 0.0739631000, 0.0832426000, 0.1006153000, 0.1332334000, 0.2079370000, 0.3820745000", \ - "0.1062644000, 0.1135392000, 0.1284883000, 0.1563129000, 0.2034601000, 0.2812646000, 0.4537811000", \ - "0.1672215000, 0.1781949000, 0.2011708000, 0.2458099000, 0.3200956000, 0.4401302000, 0.6251846000", \ - "0.2768350000, 0.2927073000, 0.3265275000, 0.3914251000, 0.5076813000, 0.6978367000, 0.9846884000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0309409000, 0.0341655000, 0.0411662000, 0.0568629000, 0.0917456000, 0.1708338000, 0.3538334000", \ - "0.0305037000, 0.0338380000, 0.0409867000, 0.0568473000, 0.0919449000, 0.1707708000, 0.3536036000", \ - "0.0315803000, 0.0343312000, 0.0408446000, 0.0559821000, 0.0913974000, 0.1708428000, 0.3537963000", \ - "0.0432216000, 0.0458994000, 0.0522768000, 0.0643791000, 0.0944531000, 0.1700516000, 0.3535377000", \ - "0.0646313000, 0.0687315000, 0.0769376000, 0.0931635000, 0.1243270000, 0.1870310000, 0.3547776000", \ - "0.1038758000, 0.1096482000, 0.1217262000, 0.1460898000, 0.1888259000, 0.2638703000, 0.4069289000", \ - "0.1718800000, 0.1807480000, 0.1995137000, 0.2356297000, 0.3035480000, 0.4110799000, 0.5951279000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011663900, 0.0027209200, 0.0063473000, 0.0148068000, 0.0345410000, 0.0805764000"); - values("0.0309160000, 0.0349417000, 0.0443531000, 0.0656269000, 0.1128569000, 0.2186960000, 0.4625549000", \ - "0.0309070000, 0.0349589000, 0.0443463000, 0.0655678000, 0.1128458000, 0.2185093000, 0.4617620000", \ - "0.0343062000, 0.0374609000, 0.0455415000, 0.0656171000, 0.1128700000, 0.2184090000, 0.4621566000", \ - "0.0543556000, 0.0555534000, 0.0604392000, 0.0750090000, 0.1151117000, 0.2185338000, 0.4623522000", \ - "0.0917660000, 0.0944078000, 0.1005607000, 0.1142874000, 0.1430944000, 0.2279588000, 0.4620241000", \ - "0.1520922000, 0.1562460000, 0.1658767000, 0.1866083000, 0.2267932000, 0.2990037000, 0.4868367000", \ - "0.2494613000, 0.2555993000, 0.2703956000, 0.3034950000, 0.3689197000, 0.4791305000, 0.6581972000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o31ai_4") { - leakage_power () { - value : 0.0051575000; - when : "!A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0004785000; - when : "!A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0082504000; - when : "!A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0020161000; - when : "!A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0068101000; - when : "!A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0020147000; - when : "!A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0044318000; - when : "!A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0020134000; - when : "!A1&A2&A3&!B1"; - } - leakage_power () { - value : 0.0065957000; - when : "A1&!A2&!A3&B1"; - } - leakage_power () { - value : 0.0020161000; - when : "A1&!A2&!A3&!B1"; - } - leakage_power () { - value : 0.0044218000; - when : "A1&!A2&A3&B1"; - } - leakage_power () { - value : 0.0020160000; - when : "A1&!A2&A3&!B1"; - } - leakage_power () { - value : 0.0044344000; - when : "A1&A2&!A3&B1"; - } - leakage_power () { - value : 0.0020272000; - when : "A1&A2&!A3&!B1"; - } - leakage_power () { - value : 0.0042579000; - when : "A1&A2&A3&B1"; - } - leakage_power () { - value : 0.0020741000; - when : "A1&A2&A3&!B1"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__o31ai"; - cell_leakage_power : 0.0036884860; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0086170000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0081550000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0157321000, 0.0157359000, 0.0157447000, 0.0157359000, 0.0157156000, 0.0156689000, 0.0155611000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015736900, -0.015732600, -0.015722800, -0.015723400, -0.015724900, -0.015728200, -0.015736000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090780000; - } - pin ("A2") { - capacitance : 0.0084620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078900000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0157351000, 0.0157276000, 0.0157103000, 0.0157053000, 0.0156936000, 0.0156667000, 0.0156047000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015722300, -0.015711600, -0.015687100, -0.015688100, -0.015690500, -0.015695900, -0.015708400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090330000; - } - pin ("A3") { - capacitance : 0.0086850000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0079690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0160344000, 0.0160302000, 0.0160204000, 0.0160228000, 0.0160283000, 0.0160409000, 0.0160701000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.016022300, -0.016012900, -0.015991000, -0.015992300, -0.015995500, -0.016002600, -0.016019100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0094000000; - } - pin ("B1") { - capacitance : 0.0085620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0083350000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0181833000, 0.0181754000, 0.0181573000, 0.0181516000, 0.0181387000, 0.0181087000, 0.0180397000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.006098700, -0.006107800, -0.006128800, -0.006065000, -0.005917900, -0.005578900, -0.004797400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087890000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2&!A3) | (!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012858830, 0.0033069890, 0.0085048000, 0.0218723500, 0.0562505700, 0.1446633000"); - values("0.0282822000, 0.0270169000, 0.0237910000, 0.0153679000, -0.006095500, -0.061247400, -0.203844600", \ - "0.0277731000, 0.0265115000, 0.0232855000, 0.0149098000, -0.006572600, -0.061771200, -0.204356400", \ - "0.0271914000, 0.0259132000, 0.0226748000, 0.0143022000, -0.007143800, -0.062265100, -0.204935800", \ - "0.0264820000, 0.0253088000, 0.0220157000, 0.0137499000, -0.007711900, -0.062843600, -0.205321500", \ - "0.0258324000, 0.0246144000, 0.0214630000, 0.0131963000, -0.007930600, -0.063026100, -0.205493800", \ - "0.0262399000, 0.0249789000, 0.0217177000, 0.0133449000, -0.008303900, -0.063550600, -0.205914500", \ - "0.0286192000, 0.0273417000, 0.0240102000, 0.0155208000, -0.006490500, -0.062078200, -0.205719700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012858830, 0.0033069890, 0.0085048000, 0.0218723500, 0.0562505700, 0.1446633000"); - values("0.0512469000, 0.0526024000, 0.0557835000, 0.0642265000, 0.0858589000, 0.1412249000, 0.2826573000", \ - "0.0506362000, 0.0519138000, 0.0553197000, 0.0637133000, 0.0854559000, 0.1408585000, 0.2822226000", \ - "0.0499943000, 0.0512975000, 0.0545413000, 0.0631013000, 0.0849292000, 0.1404474000, 0.2821972000", \ - "0.0493709000, 0.0506638000, 0.0540008000, 0.0624861000, 0.0844012000, 0.1399182000, 0.2818537000", \ - "0.0488929000, 0.0501423000, 0.0534500000, 0.0618875000, 0.0836557000, 0.1393729000, 0.2812604000", \ - "0.0488034000, 0.0501069000, 0.0534028000, 0.0618774000, 0.0835499000, 0.1391173000, 0.2809171000", \ - "0.0480110000, 0.0494695000, 0.0531515000, 0.0619355000, 0.0839333000, 0.1392512000, 0.2806670000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012858830, 0.0033069890, 0.0085048000, 0.0218723500, 0.0562505700, 0.1446633000"); - values("0.0213988000, 0.0201510000, 0.0169362000, 0.0085822000, -0.012889100, -0.068358700, -0.211407600", \ - "0.0212643000, 0.0200145000, 0.0168039000, 0.0085384000, -0.012953100, -0.068405900, -0.211375000", \ - "0.0208245000, 0.0196092000, 0.0164475000, 0.0082253000, -0.013120500, -0.068460500, -0.211399900", \ - "0.0200062000, 0.0188165000, 0.0156975000, 0.0076050000, -0.013587100, -0.068696600, -0.211529700", \ - "0.0191475000, 0.0179339000, 0.0147982000, 0.0067275000, -0.014239000, -0.069033700, -0.211758700", \ - "0.0193787000, 0.0181315000, 0.0149189000, 0.0066216000, -0.014695500, -0.070001900, -0.212088500", \ - "0.0219379000, 0.0206180000, 0.0171942000, 0.0084790000, -0.013241000, -0.069005500, -0.212142900"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012858830, 0.0033069890, 0.0085048000, 0.0218723500, 0.0562505700, 0.1446633000"); - values("0.0423353000, 0.0437044000, 0.0469001000, 0.0553663000, 0.0769920000, 0.1322739000, 0.2739586000", \ - "0.0416806000, 0.0428843000, 0.0462452000, 0.0547948000, 0.0765888000, 0.1319011000, 0.2735773000", \ - "0.0408554000, 0.0422298000, 0.0454691000, 0.0540916000, 0.0759314000, 0.1314633000, 0.2733092000", \ - "0.0402280000, 0.0415450000, 0.0447963000, 0.0533419000, 0.0752378000, 0.1309457000, 0.2728136000", \ - "0.0395804000, 0.0409064000, 0.0442028000, 0.0526925000, 0.0744881000, 0.1301376000, 0.2721912000", \ - "0.0395869000, 0.0409038000, 0.0441952000, 0.0527302000, 0.0746313000, 0.1302757000, 0.2720300000", \ - "0.0411504000, 0.0423784000, 0.0455612000, 0.0537902000, 0.0753161000, 0.1302646000, 0.2724577000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012858830, 0.0033069890, 0.0085048000, 0.0218723500, 0.0562505700, 0.1446633000"); - values("0.0155592000, 0.0143636000, 0.0112677000, 0.0030547000, -0.018333700, -0.073887300, -0.217074100", \ - "0.0149511000, 0.0138140000, 0.0108501000, 0.0028987000, -0.018233500, -0.073604800, -0.216688300", \ - "0.0139486000, 0.0128252000, 0.0100151000, 0.0023574000, -0.018439500, -0.073472400, -0.216384800", \ - "0.0128575000, 0.0117582000, 0.0089009000, 0.0013035000, -0.019171700, -0.073753900, -0.216335400", \ - "0.0126663000, 0.0115052000, 0.0084742000, 0.0004841000, -0.020238800, -0.074457900, -0.216547400", \ - "0.0127601000, 0.0115492000, 0.0083609000, 0.0001655000, -0.020797500, -0.075643300, -0.217361500", \ - "0.0162822000, 0.0148986000, 0.0113960000, 0.0026939000, -0.019457400, -0.075067100, -0.217822700"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012858830, 0.0033069890, 0.0085048000, 0.0218723500, 0.0562505700, 0.1446633000"); - values("0.0331412000, 0.0345367000, 0.0378685000, 0.0463422000, 0.0680406000, 0.1234458000, 0.2650640000", \ - "0.0320736000, 0.0333107000, 0.0368194000, 0.0454029000, 0.0673370000, 0.1229388000, 0.2646314000", \ - "0.0309218000, 0.0322138000, 0.0358221000, 0.0442866000, 0.0664634000, 0.1223980000, 0.2644453000", \ - "0.0301114000, 0.0314000000, 0.0348698000, 0.0433903000, 0.0653968000, 0.1215656000, 0.2639047000", \ - "0.0300907000, 0.0318320000, 0.0352226000, 0.0433620000, 0.0650411000, 0.1207283000, 0.2632516000", \ - "0.0325864000, 0.0333321000, 0.0365326000, 0.0448034000, 0.0664681000, 0.1207928000, 0.2622138000", \ - "0.0372061000, 0.0381289000, 0.0411498000, 0.0490553000, 0.0697501000, 0.1235400000, 0.2627075000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012858830, 0.0033069890, 0.0085048000, 0.0218723500, 0.0562505700, 0.1446633000"); - values("0.0181570000, 0.0169775000, 0.0139252000, 0.0057601000, -0.015424900, -0.070346200, -0.212919600", \ - "0.0176680000, 0.0164529000, 0.0133437000, 0.0052648000, -0.015740500, -0.070564900, -0.212972600", \ - "0.0170571000, 0.0158282000, 0.0127584000, 0.0045988000, -0.016273000, -0.070822600, -0.213104700", \ - "0.0162495000, 0.0150236000, 0.0120581000, 0.0039505000, -0.017046500, -0.071540200, -0.213424900", \ - "0.0160313000, 0.0147595000, 0.0114933000, 0.0034050000, -0.017750100, -0.072379700, -0.214246800", \ - "0.0177518000, 0.0164669000, 0.0130920000, 0.0045566000, -0.017340100, -0.072844500, -0.214964200", \ - "0.0222867000, 0.0208964000, 0.0173828000, 0.0085326000, -0.013799900, -0.070555200, -0.214362200"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012858830, 0.0033069890, 0.0085048000, 0.0218723500, 0.0562505700, 0.1446633000"); - values("0.0186349000, 0.0201889000, 0.0240360000, 0.0333180000, 0.0556571000, 0.1110961000, 0.2524752000", \ - "0.0177449000, 0.0192344000, 0.0229989000, 0.0323896000, 0.0550634000, 0.1107921000, 0.2526772000", \ - "0.0170237000, 0.0184235000, 0.0220398000, 0.0312966000, 0.0540986000, 0.1103498000, 0.2523656000", \ - "0.0168413000, 0.0181058000, 0.0215050000, 0.0303408000, 0.0526383000, 0.1089046000, 0.2512052000", \ - "0.0174467000, 0.0187030000, 0.0218994000, 0.0302131000, 0.0520375000, 0.1077097000, 0.2500950000", \ - "0.0195224000, 0.0207453000, 0.0240702000, 0.0324716000, 0.0534982000, 0.1085201000, 0.2498395000", \ - "0.0270143000, 0.0279838000, 0.0307426000, 0.0395007000, 0.0579461000, 0.1122324000, 0.2544924000"); - } - } - max_capacitance : 0.1446630000; - max_transition : 1.5045030000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.0438757000, 0.0458291000, 0.0506471000, 0.0622204000, 0.0894597000, 0.1538221000, 0.3115347000", \ - "0.0482041000, 0.0501419000, 0.0549484000, 0.0665133000, 0.0936320000, 0.1580882000, 0.3158976000", \ - "0.0570351000, 0.0588704000, 0.0635797000, 0.0749650000, 0.1020452000, 0.1663587000, 0.3242076000", \ - "0.0728026000, 0.0749737000, 0.0802183000, 0.0918023000, 0.1191032000, 0.1833532000, 0.3410767000", \ - "0.0973129000, 0.0999419000, 0.1063893000, 0.1207731000, 0.1524294000, 0.2207896000, 0.3795429000", \ - "0.1216918000, 0.1255662000, 0.1349291000, 0.1549386000, 0.2003046000, 0.2881613000, 0.4651203000", \ - "0.1177360000, 0.1235228000, 0.1379259000, 0.1711047000, 0.2390693000, 0.3722130000, 0.6095247000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.1904498000, 0.1961273000, 0.2108201000, 0.2478810000, 0.3412551000, 0.5795922000, 1.1891429000", \ - "0.1930770000, 0.1993096000, 0.2147090000, 0.2515401000, 0.3458666000, 0.5845246000, 1.1938857000", \ - "0.2052990000, 0.2111883000, 0.2261864000, 0.2631363000, 0.3578243000, 0.5970274000, 1.2074328000", \ - "0.2308355000, 0.2365091000, 0.2522470000, 0.2893402000, 0.3846324000, 0.6241305000, 1.2345095000", \ - "0.2863693000, 0.2924525000, 0.3070699000, 0.3438883000, 0.4389346000, 0.6784073000, 1.2907272000", \ - "0.3870538000, 0.3938116000, 0.4104503000, 0.4527242000, 0.5533844000, 0.7926401000, 1.4037317000", \ - "0.5562693000, 0.5651446000, 0.5869722000, 0.6404638000, 0.7633903000, 1.0360683000, 1.6586574000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.0384394000, 0.0405188000, 0.0459369000, 0.0592970000, 0.0925142000, 0.1754554000, 0.3884745000", \ - "0.0380142000, 0.0400691000, 0.0454850000, 0.0590269000, 0.0920340000, 0.1753573000, 0.3880791000", \ - "0.0378032000, 0.0398021000, 0.0451442000, 0.0584777000, 0.0917583000, 0.1750510000, 0.3887102000", \ - "0.0427737000, 0.0448222000, 0.0495838000, 0.0614471000, 0.0929424000, 0.1751330000, 0.3883694000", \ - "0.0583161000, 0.0603818000, 0.0650194000, 0.0769430000, 0.1073818000, 0.1820650000, 0.3893769000", \ - "0.0929565000, 0.0954766000, 0.1013450000, 0.1155890000, 0.1490311000, 0.2243917000, 0.4120537000", \ - "0.1582983000, 0.1619126000, 0.1706251000, 0.1921271000, 0.2344421000, 0.3275687000, 0.5196056000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.1301083000, 0.1376927000, 0.1564340000, 0.2063420000, 0.3318645000, 0.6535384000, 1.4809229000", \ - "0.1299756000, 0.1375804000, 0.1569558000, 0.2059413000, 0.3316988000, 0.6530708000, 1.4756885000", \ - "0.1300526000, 0.1377086000, 0.1563935000, 0.2062383000, 0.3317024000, 0.6533514000, 1.4772994000", \ - "0.1299372000, 0.1373190000, 0.1569497000, 0.2059561000, 0.3326305000, 0.6533995000, 1.4774286000", \ - "0.1335516000, 0.1406891000, 0.1589319000, 0.2069271000, 0.3322477000, 0.6542327000, 1.4789813000", \ - "0.1590123000, 0.1662480000, 0.1850158000, 0.2320337000, 0.3479674000, 0.6574289000, 1.4779346000", \ - "0.2222442000, 0.2298515000, 0.2500655000, 0.2991915000, 0.4225146000, 0.7154002000, 1.4940819000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.0426176000, 0.0442396000, 0.0482691000, 0.0579610000, 0.0817825000, 0.1412441000, 0.2920812000", \ - "0.0472180000, 0.0487726000, 0.0527429000, 0.0625908000, 0.0865689000, 0.1458859000, 0.2966819000", \ - "0.0560282000, 0.0576565000, 0.0616282000, 0.0714661000, 0.0954803000, 0.1549226000, 0.3059162000", \ - "0.0705534000, 0.0724675000, 0.0768796000, 0.0878518000, 0.1129205000, 0.1725455000, 0.3236079000", \ - "0.0892144000, 0.0920181000, 0.0982621000, 0.1129324000, 0.1442062000, 0.2111192000, 0.3636530000", \ - "0.1005220000, 0.1042153000, 0.1145304000, 0.1365597000, 0.1839615000, 0.2750778000, 0.4515603000", \ - "0.0735279000, 0.0799419000, 0.0943590000, 0.1301406000, 0.2057382000, 0.3472832000, 0.5934455000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.1705992000, 0.1764698000, 0.1912558000, 0.2282343000, 0.3215916000, 0.5600537000, 1.1693407000", \ - "0.1729046000, 0.1788258000, 0.1935370000, 0.2309953000, 0.3253024000, 0.5640466000, 1.1740647000", \ - "0.1821262000, 0.1878754000, 0.2027437000, 0.2407633000, 0.3356046000, 0.5749259000, 1.1853682000", \ - "0.2067716000, 0.2124754000, 0.2265768000, 0.2651115000, 0.3601436000, 0.6000445000, 1.2107891000", \ - "0.2626737000, 0.2686860000, 0.2835047000, 0.3207456000, 0.4154504000, 0.6551945000, 1.2680283000", \ - "0.3672421000, 0.3743698000, 0.3930713000, 0.4403403000, 0.5459313000, 0.7862944000, 1.3976417000", \ - "0.5537194000, 0.5643825000, 0.5907677000, 0.6522679000, 0.7891978000, 1.0759587000, 1.7024498000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.0281182000, 0.0298951000, 0.0345583000, 0.0465930000, 0.0777544000, 0.1581126000, 0.3658480000", \ - "0.0280477000, 0.0298668000, 0.0345471000, 0.0466174000, 0.0778557000, 0.1583420000, 0.3655243000", \ - "0.0283306000, 0.0300662000, 0.0346238000, 0.0465398000, 0.0778155000, 0.1583056000, 0.3657699000", \ - "0.0336971000, 0.0354190000, 0.0397395000, 0.0509228000, 0.0799192000, 0.1584557000, 0.3657196000", \ - "0.0494704000, 0.0514180000, 0.0560833000, 0.0678853000, 0.0968277000, 0.1683619000, 0.3677082000", \ - "0.0834604000, 0.0861353000, 0.0924218000, 0.1077888000, 0.1414127000, 0.2151782000, 0.3952738000", \ - "0.1483343000, 0.1521974000, 0.1617890000, 0.1839335000, 0.2306297000, 0.3227231000, 0.5122162000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.1299275000, 0.1376474000, 0.1563934000, 0.2063344000, 0.3319150000, 0.6543948000, 1.4775222000", \ - "0.1300984000, 0.1374918000, 0.1564444000, 0.2063303000, 0.3327095000, 0.6541415000, 1.4785824000", \ - "0.1301649000, 0.1377637000, 0.1565600000, 0.2059789000, 0.3318707000, 0.6529479000, 1.4783451000", \ - "0.1303139000, 0.1378887000, 0.1568237000, 0.2059372000, 0.3316547000, 0.6548191000, 1.4763556000", \ - "0.1384253000, 0.1454487000, 0.1636425000, 0.2101708000, 0.3322370000, 0.6532180000, 1.4789446000", \ - "0.1763056000, 0.1834225000, 0.2019134000, 0.2480242000, 0.3569859000, 0.6595804000, 1.4795415000", \ - "0.2609257000, 0.2694156000, 0.2910257000, 0.3426988000, 0.4601925000, 0.7379320000, 1.4953401000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.0315163000, 0.0328312000, 0.0361721000, 0.0443438000, 0.0650612000, 0.1173228000, 0.2507623000", \ - "0.0356751000, 0.0370719000, 0.0405397000, 0.0489552000, 0.0698060000, 0.1223650000, 0.2560183000", \ - "0.0439746000, 0.0454978000, 0.0492247000, 0.0579997000, 0.0789368000, 0.1318014000, 0.2660079000", \ - "0.0549548000, 0.0570619000, 0.0619715000, 0.0734260000, 0.0974039000, 0.1510000000, 0.2852633000", \ - "0.0641293000, 0.0674303000, 0.0752895000, 0.0923389000, 0.1259739000, 0.1915311000, 0.3290319000", \ - "0.0576214000, 0.0632180000, 0.0761874000, 0.1028496000, 0.1565296000, 0.2526076000, 0.4236610000", \ - "-0.000154600, 0.0085682000, 0.0291468000, 0.0746016000, 0.1605103000, 0.3117029000, 0.5606861000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.1294042000, 0.1343993000, 0.1501404000, 0.1876924000, 0.2817599000, 0.5202351000, 1.1296065000", \ - "0.1293644000, 0.1351939000, 0.1498687000, 0.1888626000, 0.2835102000, 0.5228129000, 1.1330894000", \ - "0.1361454000, 0.1418831000, 0.1577504000, 0.1954744000, 0.2912862000, 0.5316366000, 1.1423668000", \ - "0.1604342000, 0.1660638000, 0.1811951000, 0.2183305000, 0.3142483000, 0.5551116000, 1.1677371000", \ - "0.2244655000, 0.2310543000, 0.2456935000, 0.2801489000, 0.3736514000, 0.6135161000, 1.2255450000", \ - "0.3476025000, 0.3551057000, 0.3749027000, 0.4227531000, 0.5257843000, 0.7570882000, 1.3658281000", \ - "0.5472922000, 0.5593191000, 0.5857708000, 0.6564452000, 0.8050058000, 1.1003604000, 1.7052578000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.0153293000, 0.0169582000, 0.0212223000, 0.0320535000, 0.0601133000, 0.1317598000, 0.3153489000", \ - "0.0154293000, 0.0170660000, 0.0212733000, 0.0320891000, 0.0599429000, 0.1317533000, 0.3165041000", \ - "0.0169223000, 0.0183318000, 0.0222085000, 0.0324615000, 0.0601452000, 0.1318718000, 0.3160155000", \ - "0.0243782000, 0.0258989000, 0.0297828000, 0.0397057000, 0.0639603000, 0.1323109000, 0.3146395000", \ - "0.0419361000, 0.0437159000, 0.0482365000, 0.0594313000, 0.0849103000, 0.1468188000, 0.3181784000", \ - "0.0760085000, 0.0782015000, 0.0848789000, 0.1001701000, 0.1320616000, 0.2003930000, 0.3578539000", \ - "0.1435854000, 0.1470927000, 0.1564811000, 0.1779112000, 0.2241855000, 0.3105253000, 0.4823079000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.1292386000, 0.1368995000, 0.1565918000, 0.2055365000, 0.3315897000, 0.6532068000, 1.4776472000", \ - "0.1295135000, 0.1368029000, 0.1560959000, 0.2056619000, 0.3316318000, 0.6534387000, 1.4819098000", \ - "0.1286781000, 0.1362990000, 0.1562063000, 0.2055989000, 0.3316541000, 0.6529640000, 1.4766309000", \ - "0.1258839000, 0.1336328000, 0.1540965000, 0.2046940000, 0.3313911000, 0.6533473000, 1.4774167000", \ - "0.1409134000, 0.1470279000, 0.1641434000, 0.2092529000, 0.3304312000, 0.6535021000, 1.4806082000", \ - "0.1881177000, 0.1980557000, 0.2199064000, 0.2665207000, 0.3698322000, 0.6617522000, 1.4776677000", \ - "0.2681928000, 0.2806287000, 0.3086189000, 0.3725261000, 0.5073393000, 0.7769210000, 1.5045026000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.0340388000, 0.0360423000, 0.0410015000, 0.0527215000, 0.0800868000, 0.1445648000, 0.3025375000", \ - "0.0379668000, 0.0399074000, 0.0448282000, 0.0565779000, 0.0841111000, 0.1488137000, 0.3067837000", \ - "0.0490582000, 0.0508101000, 0.0553006000, 0.0665719000, 0.0937700000, 0.1586182000, 0.3167711000", \ - "0.0714101000, 0.0736614000, 0.0795507000, 0.0917905000, 0.1179131000, 0.1816282000, 0.3396620000", \ - "0.0999341000, 0.1032268000, 0.1111494000, 0.1286653000, 0.1661430000, 0.2377534000, 0.3950238000", \ - "0.1255140000, 0.1302608000, 0.1417791000, 0.1682891000, 0.2242207000, 0.3322443000, 0.5232424000", \ - "0.1270705000, 0.1339452000, 0.1508598000, 0.1900604000, 0.2729125000, 0.4357341000, 0.7276981000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.0262976000, 0.0282993000, 0.0331286000, 0.0447396000, 0.0727875000, 0.1427998000, 0.3219403000", \ - "0.0313333000, 0.0332187000, 0.0379178000, 0.0496122000, 0.0781319000, 0.1482970000, 0.3278684000", \ - "0.0448066000, 0.0465884000, 0.0510731000, 0.0625134000, 0.0905510000, 0.1614472000, 0.3414271000", \ - "0.0678574000, 0.0709593000, 0.0781047000, 0.0930531000, 0.1218301000, 0.1924514000, 0.3709051000", \ - "0.1051177000, 0.1099286000, 0.1212628000, 0.1452055000, 0.1900031000, 0.2664911000, 0.4456054000", \ - "0.1675150000, 0.1748519000, 0.1920945000, 0.2295582000, 0.3019328000, 0.4211941000, 0.6174469000", \ - "0.2823296000, 0.2931393000, 0.3182556000, 0.3732362000, 0.4820840000, 0.6740248000, 0.9797905000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.0371356000, 0.0392047000, 0.0445497000, 0.0580853000, 0.0913713000, 0.1747175000, 0.3883639000", \ - "0.0366578000, 0.0388425000, 0.0443645000, 0.0580199000, 0.0914892000, 0.1749819000, 0.3881377000", \ - "0.0365313000, 0.0384969000, 0.0436303000, 0.0569188000, 0.0909870000, 0.1746480000, 0.3880812000", \ - "0.0473056000, 0.0494072000, 0.0545698000, 0.0648286000, 0.0934891000, 0.1740112000, 0.3882219000", \ - "0.0680711000, 0.0708672000, 0.0774679000, 0.0925969000, 0.1238838000, 0.1900531000, 0.3892788000", \ - "0.1071210000, 0.1112365000, 0.1209197000, 0.1426123000, 0.1848333000, 0.2691516000, 0.4354614000", \ - "0.1740954000, 0.1803226000, 0.1952672000, 0.2281845000, 0.2975901000, 0.4124752000, 0.6273446000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012858800, 0.0033069900, 0.0085048000, 0.0218724000, 0.0562506000, 0.1446630000"); - values("0.0272660000, 0.0298318000, 0.0361862000, 0.0529775000, 0.0944644000, 0.1947628000, 0.4456914000", \ - "0.0271749000, 0.0296959000, 0.0363689000, 0.0528948000, 0.0943958000, 0.1947303000, 0.4453921000", \ - "0.0308321000, 0.0327233000, 0.0380473000, 0.0531420000, 0.0944765000, 0.1947605000, 0.4457028000", \ - "0.0508100000, 0.0522333000, 0.0543619000, 0.0643418000, 0.0979914000, 0.1947104000, 0.4458762000", \ - "0.0880555000, 0.0895988000, 0.0937683000, 0.1047170000, 0.1297812000, 0.2058909000, 0.4458970000", \ - "0.1496594000, 0.1521351000, 0.1588760000, 0.1750106000, 0.2112780000, 0.2814993000, 0.4718774000", \ - "0.2490639000, 0.2526470000, 0.2622881000, 0.2871688000, 0.3444391000, 0.4542340000, 0.6418917000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o32a_1") { - leakage_power () { - value : 0.0027452000; - when : "!A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0015927000; - when : "!A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0027603000; - when : "!A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0027324000; - when : "!A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0016656000; - when : "!A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0024476000; - when : "!A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0010652000; - when : "!A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0021209000; - when : "!A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0014607000; - when : "!A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0024476000; - when : "!A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0008603000; - when : "!A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0019161000; - when : "!A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0011056000; - when : "!A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0024476000; - when : "!A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0005052000; - when : "!A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0015610000; - when : "!A1&A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0015378000; - when : "A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0024476000; - when : "A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0009374000; - when : "A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0019932000; - when : "A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0011150000; - when : "A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0024476000; - when : "A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0005146000; - when : "A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0015704000; - when : "A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0011186000; - when : "A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0024476000; - when : "A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0005181000; - when : "A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0015739000; - when : "A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0010881000; - when : "A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0024476000; - when : "A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0004876000; - when : "A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0015434000; - when : "A1&A2&A3&B1&!B2"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__o32a"; - cell_leakage_power : 0.0016632030; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023170000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021940000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0042088000, 0.0042045000, 0.0041945000, 0.0041938000, 0.0041920000, 0.0041879000, 0.0041786000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004196100, -0.004193900, -0.004188700, -0.004188300, -0.004187300, -0.004185000, -0.004179600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024400000; - } - pin ("A2") { - capacitance : 0.0024080000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022450000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040853000, 0.0040840000, 0.0040811000, 0.0040797000, 0.0040764000, 0.0040687000, 0.0040511000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004083300, -0.004081500, -0.004077200, -0.004077100, -0.004076700, -0.004075800, -0.004073600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025700000; - } - pin ("A3") { - capacitance : 0.0023210000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038273000, 0.0038249000, 0.0038193000, 0.0038199000, 0.0038213000, 0.0038247000, 0.0038323000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003816900, -0.003815100, -0.003810900, -0.003812000, -0.003814600, -0.003820600, -0.003834400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024930000; - } - pin ("B1") { - capacitance : 0.0023180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047502000, 0.0047415000, 0.0047213000, 0.0047207000, 0.0047195000, 0.0047165000, 0.0047098000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000741900, -0.000751700, -0.000774100, -0.000750300, -0.000695400, -0.000568800, -0.000277000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023880000; - } - pin ("B2") { - capacitance : 0.0023740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022430000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046427000, 0.0046432000, 0.0046442000, 0.0046443000, 0.0046447000, 0.0046454000, 0.0046471000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000536000, -0.000545200, -0.000566300, -0.000544000, -0.000492400, -0.000373500, -9.9435673e-05"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025050000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A1&B2) | (A2&B1) | (A3&B1) | (A2&B2) | (A3&B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012938950, 0.0033483280, 0.0086647680, 0.0224226000, 0.0580249800, 0.1501564000"); - values("0.0190593000, 0.0181854000, 0.0158954000, 0.0087087000, -0.012514100, -0.069969400, -0.219247400", \ - "0.0189262000, 0.0180354000, 0.0156583000, 0.0085181000, -0.012705900, -0.070151900, -0.219387100", \ - "0.0187020000, 0.0178485000, 0.0154779000, 0.0082952000, -0.012899600, -0.070332700, -0.219586700", \ - "0.0185662000, 0.0177103000, 0.0152762000, 0.0080535000, -0.013132400, -0.070554700, -0.219778700", \ - "0.0183522000, 0.0174426000, 0.0150747000, 0.0079122000, -0.013279200, -0.070688000, -0.219921100", \ - "0.0182427000, 0.0173479000, 0.0149520000, 0.0077649000, -0.013326200, -0.070636900, -0.219866600", \ - "0.0221686000, 0.0208399000, 0.0174614000, 0.0088136000, -0.013533400, -0.070551900, -0.219701100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012938950, 0.0033483280, 0.0086647680, 0.0224226000, 0.0580249800, 0.1501564000"); - values("0.0166589000, 0.0180594000, 0.0215345000, 0.0300620000, 0.0518381000, 0.1083929000, 0.2558871000", \ - "0.0165205000, 0.0179184000, 0.0213827000, 0.0299640000, 0.0517134000, 0.1082794000, 0.2568328000", \ - "0.0164054000, 0.0177825000, 0.0212470000, 0.0298343000, 0.0516259000, 0.1082668000, 0.2567705000", \ - "0.0162739000, 0.0176695000, 0.0211314000, 0.0297210000, 0.0516040000, 0.1082671000, 0.2555355000", \ - "0.0161639000, 0.0175422000, 0.0210143000, 0.0296686000, 0.0516357000, 0.1084129000, 0.2555676000", \ - "0.0165628000, 0.0178534000, 0.0211864000, 0.0294707000, 0.0516684000, 0.1082414000, 0.2556884000", \ - "0.0170764000, 0.0183564000, 0.0216835000, 0.0302178000, 0.0522556000, 0.1094413000, 0.2554324000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012938950, 0.0033483280, 0.0086647680, 0.0224226000, 0.0580249800, 0.1501564000"); - values("0.0171986000, 0.0162958000, 0.0139020000, 0.0066794000, -0.014470600, -0.071780200, -0.220934500", \ - "0.0169242000, 0.0160458000, 0.0136842000, 0.0065714000, -0.014571200, -0.071904500, -0.221054100", \ - "0.0167229000, 0.0158561000, 0.0135352000, 0.0063309000, -0.014807500, -0.072124400, -0.221277200", \ - "0.0165462000, 0.0156418000, 0.0133201000, 0.0061187000, -0.015016900, -0.072325400, -0.221470300", \ - "0.0163598000, 0.0154939000, 0.0131153000, 0.0058954000, -0.015204900, -0.072470400, -0.221587900", \ - "0.0162823000, 0.0153889000, 0.0130048000, 0.0058193000, -0.015253600, -0.072498100, -0.221594100", \ - "0.0201342000, 0.0188188000, 0.0154532000, 0.0071389000, -0.015544000, -0.072113300, -0.221273700"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012938950, 0.0033483280, 0.0086647680, 0.0224226000, 0.0580249800, 0.1501564000"); - values("0.0155753000, 0.0169901000, 0.0205113000, 0.0293363000, 0.0518541000, 0.1089525000, 0.2565731000", \ - "0.0155528000, 0.0169582000, 0.0204823000, 0.0293106000, 0.0516582000, 0.1088063000, 0.2576145000", \ - "0.0154668000, 0.0168761000, 0.0204080000, 0.0292382000, 0.0515631000, 0.1092694000, 0.2576368000", \ - "0.0153008000, 0.0167043000, 0.0202270000, 0.0290399000, 0.0513735000, 0.1091136000, 0.2574652000", \ - "0.0150440000, 0.0164458000, 0.0199484000, 0.0287190000, 0.0510589000, 0.1088448000, 0.2560304000", \ - "0.0151167000, 0.0164728000, 0.0198621000, 0.0283578000, 0.0507805000, 0.1077345000, 0.2569510000", \ - "0.0155534000, 0.0168539000, 0.0202486000, 0.0288384000, 0.0511029000, 0.1085092000, 0.2550161000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012938950, 0.0033483280, 0.0086647680, 0.0224226000, 0.0580249800, 0.1501564000"); - values("0.0149772000, 0.0140767000, 0.0116981000, 0.0044938000, -0.016512000, -0.073683300, -0.222736100", \ - "0.0147754000, 0.0139057000, 0.0115438000, 0.0043458000, -0.016709200, -0.073872300, -0.222904600", \ - "0.0145093000, 0.0136500000, 0.0112594000, 0.0041354000, -0.016963900, -0.074095600, -0.223130500", \ - "0.0143621000, 0.0134941000, 0.0110680000, 0.0039040000, -0.017096500, -0.074217100, -0.223283300", \ - "0.0143434000, 0.0134763000, 0.0110985000, 0.0039919000, -0.017088800, -0.074265300, -0.223354100", \ - "0.0148219000, 0.0139427000, 0.0114895000, 0.0043228000, -0.016727100, -0.073888400, -0.222916800", \ - "0.0193278000, 0.0179721000, 0.0145667000, 0.0062616000, -0.016392900, -0.073139200, -0.222219900"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012938950, 0.0033483280, 0.0086647680, 0.0224226000, 0.0580249800, 0.1501564000"); - values("0.0136706000, 0.0150512000, 0.0185813000, 0.0273864000, 0.0496523000, 0.1068547000, 0.2546580000", \ - "0.0136641000, 0.0150646000, 0.0185949000, 0.0274071000, 0.0497084000, 0.1067728000, 0.2544794000", \ - "0.0136272000, 0.0150315000, 0.0185483000, 0.0273495000, 0.0498574000, 0.1068526000, 0.2555953000", \ - "0.0134259000, 0.0148203000, 0.0183247000, 0.0271306000, 0.0494230000, 0.1070459000, 0.2554949000", \ - "0.0131838000, 0.0145476000, 0.0180486000, 0.0267448000, 0.0490486000, 0.1063787000, 0.2550951000", \ - "0.0132169000, 0.0145605000, 0.0179222000, 0.0264633000, 0.0488102000, 0.1062646000, 0.2550471000", \ - "0.0136486000, 0.0149259000, 0.0182648000, 0.0269228000, 0.0491737000, 0.1066430000, 0.2541365000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012938950, 0.0033483280, 0.0086647680, 0.0224226000, 0.0580249800, 0.1501564000"); - values("0.0115600000, 0.0109575000, 0.0088939000, 0.0026823000, -0.017599400, -0.074759600, -0.223948200", \ - "0.0114643000, 0.0108359000, 0.0087313000, 0.0025194000, -0.017763200, -0.074908800, -0.224098900", \ - "0.0112328000, 0.0105862000, 0.0084909000, 0.0022781000, -0.017992300, -0.075145600, -0.224330400", \ - "0.0108995000, 0.0103129000, 0.0082270000, 0.0019665000, -0.018261400, -0.075398500, -0.224572300", \ - "0.0106639000, 0.0100535000, 0.0079427000, 0.0017147000, -0.018503900, -0.075585700, -0.224741300", \ - "0.0132688000, 0.0120191000, 0.0088011000, 0.0009452000, -0.018739200, -0.075696300, -0.224805700", \ - "0.0170092000, 0.0156939000, 0.0123909000, 0.0039087000, -0.018028700, -0.075174800, -0.224228900"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012938950, 0.0033483280, 0.0086647680, 0.0224226000, 0.0580249800, 0.1501564000"); - values("0.0129898000, 0.0143836000, 0.0178721000, 0.0264933000, 0.0485165000, 0.1059964000, 0.2542706000", \ - "0.0128845000, 0.0142880000, 0.0177623000, 0.0264172000, 0.0484648000, 0.1054856000, 0.2530619000", \ - "0.0127717000, 0.0141760000, 0.0176588000, 0.0263274000, 0.0483967000, 0.1053893000, 0.2528905000", \ - "0.0126626000, 0.0140553000, 0.0175264000, 0.0261974000, 0.0482658000, 0.1051898000, 0.2539680000", \ - "0.0124795000, 0.0138639000, 0.0173306000, 0.0260000000, 0.0481003000, 0.1051085000, 0.2523851000", \ - "0.0132041000, 0.0145032000, 0.0178211000, 0.0261435000, 0.0483478000, 0.1056812000, 0.2536831000", \ - "0.0141058000, 0.0153496000, 0.0187110000, 0.0271647000, 0.0492198000, 0.1065031000, 0.2536451000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012938950, 0.0033483280, 0.0086647680, 0.0224226000, 0.0580249800, 0.1501564000"); - values("0.0093617000, 0.0087402000, 0.0066696000, 0.0004354000, -0.019831700, -0.076988500, -0.226188700", \ - "0.0091133000, 0.0085497000, 0.0064571000, 0.0002277000, -0.020033800, -0.077190100, -0.226369500", \ - "0.0088762000, 0.0082381000, 0.0061418000, -7.30000e-05, -0.020341400, -0.077493000, -0.226674000", \ - "0.0085542000, 0.0079463000, 0.0058370000, -0.000428200, -0.020657400, -0.077773600, -0.226936100", \ - "0.0085690000, 0.0079528000, 0.0057967000, -0.000532200, -0.020743300, -0.077785900, -0.226912600", \ - "0.0109889000, 0.0096931000, 0.0064256000, -0.000859900, -0.020565100, -0.077450000, -0.226549400", \ - "0.0159944000, 0.0146967000, 0.0113670000, 0.0026979000, -0.019391600, -0.076420100, -0.225409600"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012938950, 0.0033483280, 0.0086647680, 0.0224226000, 0.0580249800, 0.1501564000"); - values("0.0114530000, 0.0128489000, 0.0163203000, 0.0249623000, 0.0470251000, 0.1038668000, 0.2526692000", \ - "0.0114379000, 0.0128362000, 0.0162953000, 0.0249818000, 0.0470551000, 0.1039925000, 0.2527800000", \ - "0.0113471000, 0.0127442000, 0.0162307000, 0.0248872000, 0.0469943000, 0.1039189000, 0.2516662000", \ - "0.0111821000, 0.0125744000, 0.0160188000, 0.0247035000, 0.0467836000, 0.1037837000, 0.2512737000", \ - "0.0109400000, 0.0123240000, 0.0158046000, 0.0243580000, 0.0464919000, 0.1039055000, 0.2518712000", \ - "0.0114106000, 0.0127343000, 0.0160447000, 0.0244141000, 0.0465976000, 0.1037853000, 0.2510919000", \ - "0.0122245000, 0.0134595000, 0.0167760000, 0.0253709000, 0.0474125000, 0.1047349000, 0.2507221000"); - } - } - max_capacitance : 0.1501560000; - max_transition : 1.4979900000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.3019107000, 0.3107978000, 0.3284572000, 0.3619581000, 0.4250448000, 0.5541298000, 0.8576613000", \ - "0.3061647000, 0.3151303000, 0.3330069000, 0.3666310000, 0.4296139000, 0.5585840000, 0.8621905000", \ - "0.3173478000, 0.3262638000, 0.3441920000, 0.3777435000, 0.4407161000, 0.5698423000, 0.8732235000", \ - "0.3431056000, 0.3519841000, 0.3698555000, 0.4034115000, 0.4663602000, 0.5955841000, 0.8991948000", \ - "0.3991096000, 0.4080965000, 0.4258452000, 0.4590713000, 0.5221945000, 0.6516597000, 0.9551731000", \ - "0.5163359000, 0.5257096000, 0.5440635000, 0.5781631000, 0.6414060000, 0.7712077000, 1.0748820000", \ - "0.7282580000, 0.7390286000, 0.7596436000, 0.7977587000, 0.8672661000, 1.0025184000, 1.3088048000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.1113291000, 0.1189738000, 0.1361470000, 0.1749737000, 0.2700993000, 0.5152467000, 1.1505680000", \ - "0.1157877000, 0.1234350000, 0.1405993000, 0.1795341000, 0.2746192000, 0.5194682000, 1.1553222000", \ - "0.1256747000, 0.1332595000, 0.1504098000, 0.1893806000, 0.2843837000, 0.5290633000, 1.1653556000", \ - "0.1457845000, 0.1533980000, 0.1704611000, 0.2094509000, 0.3046762000, 0.5498822000, 1.1857734000", \ - "0.1848789000, 0.1927463000, 0.2103067000, 0.2497799000, 0.3455823000, 0.5910416000, 1.2267497000", \ - "0.2400834000, 0.2488186000, 0.2678100000, 0.3084632000, 0.4051172000, 0.6510497000, 1.2866268000", \ - "0.2911089000, 0.3021920000, 0.3244919000, 0.3684290000, 0.4660670000, 0.7129105000, 1.3474316000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0395764000, 0.0447463000, 0.0565417000, 0.0820221000, 0.1391240000, 0.2797941000, 0.6685458000", \ - "0.0395671000, 0.0446568000, 0.0562000000, 0.0819881000, 0.1388398000, 0.2798123000, 0.6624510000", \ - "0.0396210000, 0.0446884000, 0.0558893000, 0.0810258000, 0.1389603000, 0.2798943000, 0.6650290000", \ - "0.0399107000, 0.0451225000, 0.0559983000, 0.0810816000, 0.1390476000, 0.2791771000, 0.6675985000", \ - "0.0395830000, 0.0446515000, 0.0560280000, 0.0822665000, 0.1389613000, 0.2796113000, 0.6647368000", \ - "0.0419448000, 0.0471709000, 0.0579140000, 0.0824708000, 0.1402618000, 0.2805635000, 0.6677747000", \ - "0.0498953000, 0.0555928000, 0.0680812000, 0.0942002000, 0.1511044000, 0.2887856000, 0.6686660000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0286059000, 0.0362567000, 0.0556492000, 0.1059949000, 0.2395043000, 0.5890483000, 1.4943132000", \ - "0.0286315000, 0.0363318000, 0.0555846000, 0.1058789000, 0.2395405000, 0.5892129000, 1.4956779000", \ - "0.0285034000, 0.0363076000, 0.0555596000, 0.1058154000, 0.2393262000, 0.5878343000, 1.4966010000", \ - "0.0284214000, 0.0360826000, 0.0554273000, 0.1056846000, 0.2393838000, 0.5889305000, 1.4958491000", \ - "0.0298907000, 0.0376278000, 0.0564950000, 0.1060179000, 0.2393852000, 0.5889019000, 1.4967647000", \ - "0.0350695000, 0.0425234000, 0.0604699000, 0.1084385000, 0.2396071000, 0.5885607000, 1.4955220000", \ - "0.0454025000, 0.0533084000, 0.0707816000, 0.1147242000, 0.2418896000, 0.5895001000, 1.4924281000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.2875606000, 0.2963575000, 0.3142109000, 0.3477918000, 0.4108334000, 0.5403140000, 0.8437678000", \ - "0.2907581000, 0.2996617000, 0.3175843000, 0.3507397000, 0.4141145000, 0.5433274000, 0.8470055000", \ - "0.3010268000, 0.3098691000, 0.3276335000, 0.3612581000, 0.4243486000, 0.5537524000, 0.8573452000", \ - "0.3266253000, 0.3354399000, 0.3530741000, 0.3867490000, 0.4499109000, 0.5793367000, 0.8830193000", \ - "0.3867872000, 0.3955421000, 0.4133543000, 0.4470857000, 0.5101514000, 0.6396924000, 0.9435296000", \ - "0.5214963000, 0.5309465000, 0.5503119000, 0.5847191000, 0.6487545000, 0.7786790000, 1.0821319000", \ - "0.7675671000, 0.7788699000, 0.8008667000, 0.8397407000, 0.9098406000, 1.0441894000, 1.3511088000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.1065102000, 0.1140301000, 0.1310776000, 0.1704123000, 0.2675611000, 0.5145668000, 1.1509339000", \ - "0.1112988000, 0.1188486000, 0.1358962000, 0.1753229000, 0.2720341000, 0.5192985000, 1.1580445000", \ - "0.1211360000, 0.1286956000, 0.1457788000, 0.1851940000, 0.2818958000, 0.5298375000, 1.1688833000", \ - "0.1408082000, 0.1483344000, 0.1653565000, 0.2047156000, 0.3013425000, 0.5493362000, 1.1883058000", \ - "0.1772504000, 0.1850175000, 0.2025385000, 0.2421361000, 0.3393300000, 0.5876911000, 1.2239983000", \ - "0.2256239000, 0.2345059000, 0.2533713000, 0.2941117000, 0.3912928000, 0.6388501000, 1.2775004000", \ - "0.2624272000, 0.2736528000, 0.2963668000, 0.3405413000, 0.4386374000, 0.6864455000, 1.3230738000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0398684000, 0.0447499000, 0.0559933000, 0.0809521000, 0.1388103000, 0.2796989000, 0.6651448000", \ - "0.0395898000, 0.0447507000, 0.0560096000, 0.0818551000, 0.1390268000, 0.2798956000, 0.6655927000", \ - "0.0393586000, 0.0446037000, 0.0560107000, 0.0810406000, 0.1388926000, 0.2798020000, 0.6649480000", \ - "0.0395562000, 0.0446166000, 0.0562003000, 0.0810674000, 0.1389168000, 0.2798347000, 0.6649858000", \ - "0.0396813000, 0.0451894000, 0.0564126000, 0.0812148000, 0.1387523000, 0.2800134000, 0.6648893000", \ - "0.0428583000, 0.0479163000, 0.0584582000, 0.0828004000, 0.1401994000, 0.2794305000, 0.6651877000", \ - "0.0539998000, 0.0597519000, 0.0709872000, 0.0966880000, 0.1523168000, 0.2907413000, 0.6670759000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0269804000, 0.0344878000, 0.0534725000, 0.1035931000, 0.2377415000, 0.5883244000, 1.4912704000", \ - "0.0270089000, 0.0344874000, 0.0535547000, 0.1037750000, 0.2378854000, 0.5894524000, 1.4969790000", \ - "0.0270867000, 0.0345336000, 0.0535095000, 0.1035483000, 0.2372231000, 0.5890770000, 1.4944808000", \ - "0.0270453000, 0.0344607000, 0.0535080000, 0.1036643000, 0.2374724000, 0.5893926000, 1.4939745000", \ - "0.0288893000, 0.0363047000, 0.0550484000, 0.1046252000, 0.2379996000, 0.5887511000, 1.4964349000", \ - "0.0344080000, 0.0413145000, 0.0592817000, 0.1068188000, 0.2394097000, 0.5858833000, 1.4962465000", \ - "0.0455306000, 0.0535342000, 0.0708535000, 0.1142904000, 0.2409828000, 0.5895667000, 1.4916234000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.2476653000, 0.2564600000, 0.2742842000, 0.3079908000, 0.3707249000, 0.5003647000, 0.8044219000", \ - "0.2500164000, 0.2589244000, 0.2768724000, 0.3104405000, 0.3738137000, 0.5036108000, 0.8076085000", \ - "0.2580538000, 0.2670095000, 0.2849061000, 0.3184402000, 0.3818264000, 0.5114877000, 0.8155097000", \ - "0.2837274000, 0.2927379000, 0.3104446000, 0.3441614000, 0.4071363000, 0.5370972000, 0.8410275000", \ - "0.3464362000, 0.3553792000, 0.3732526000, 0.4069032000, 0.4703243000, 0.5999525000, 0.9040289000", \ - "0.4967352000, 0.5059948000, 0.5244131000, 0.5584159000, 0.6220169000, 0.7520907000, 1.0561093000", \ - "0.7548652000, 0.7669606000, 0.7903135000, 0.8304532000, 0.8979314000, 1.0307497000, 1.3378840000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0945245000, 0.1018699000, 0.1187515000, 0.1577990000, 0.2546015000, 0.5010586000, 1.1366612000", \ - "0.0994359000, 0.1068321000, 0.1237278000, 0.1628457000, 0.2593896000, 0.5059106000, 1.1429194000", \ - "0.1093862000, 0.1167629000, 0.1335926000, 0.1726208000, 0.2694711000, 0.5160699000, 1.1532647000", \ - "0.1290319000, 0.1364169000, 0.1531966000, 0.1922278000, 0.2885877000, 0.5365089000, 1.1720663000", \ - "0.1626390000, 0.1704588000, 0.1877752000, 0.2272618000, 0.3239903000, 0.5704997000, 1.2092456000", \ - "0.2033720000, 0.2122559000, 0.2313489000, 0.2720040000, 0.3691649000, 0.6161356000, 1.2536850000", \ - "0.2251075000, 0.2371239000, 0.2605831000, 0.3058231000, 0.4039210000, 0.6525254000, 1.2878040000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0395508000, 0.0446137000, 0.0560516000, 0.0811931000, 0.1391744000, 0.2795292000, 0.6639020000", \ - "0.0394815000, 0.0446650000, 0.0567435000, 0.0807789000, 0.1387023000, 0.2797569000, 0.6671911000", \ - "0.0396843000, 0.0446728000, 0.0559418000, 0.0811098000, 0.1388823000, 0.2796012000, 0.6675812000", \ - "0.0396035000, 0.0446016000, 0.0560224000, 0.0812950000, 0.1388305000, 0.2793939000, 0.6651352000", \ - "0.0395814000, 0.0446837000, 0.0558514000, 0.0818363000, 0.1382947000, 0.2795298000, 0.6669588000", \ - "0.0428156000, 0.0477842000, 0.0585460000, 0.0824787000, 0.1396535000, 0.2798254000, 0.6666633000", \ - "0.0607157000, 0.0663263000, 0.0775154000, 0.0982242000, 0.1502179000, 0.2872468000, 0.6686277000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0260911000, 0.0336687000, 0.0526591000, 0.1029219000, 0.2376691000, 0.5869134000, 1.4919462000", \ - "0.0262392000, 0.0336737000, 0.0527225000, 0.1030101000, 0.2376450000, 0.5885806000, 1.4942699000", \ - "0.0261848000, 0.0336699000, 0.0525563000, 0.1027656000, 0.2375825000, 0.5872215000, 1.4974316000", \ - "0.0263953000, 0.0338350000, 0.0527361000, 0.1029601000, 0.2368606000, 0.5878938000, 1.4958375000", \ - "0.0288209000, 0.0362492000, 0.0547196000, 0.1036755000, 0.2376281000, 0.5883242000, 1.4972666000", \ - "0.0351556000, 0.0425729000, 0.0599409000, 0.1066402000, 0.2386761000, 0.5868753000, 1.4979900000", \ - "0.0482857000, 0.0563010000, 0.0731357000, 0.1161791000, 0.2409498000, 0.5898982000, 1.4870921000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.1338548000, 0.1408313000, 0.1562161000, 0.1882515000, 0.2544789000, 0.3894068000, 0.6943321000", \ - "0.1387913000, 0.1459070000, 0.1613329000, 0.1933341000, 0.2594051000, 0.3944045000, 0.6993247000", \ - "0.1504660000, 0.1575962000, 0.1728275000, 0.2049522000, 0.2710670000, 0.4059941000, 0.7109487000", \ - "0.1761959000, 0.1832777000, 0.1986153000, 0.2305975000, 0.2968655000, 0.4318417000, 0.7368492000", \ - "0.2316077000, 0.2387788000, 0.2545776000, 0.2869094000, 0.3535572000, 0.4889759000, 0.7939811000", \ - "0.3271559000, 0.3355053000, 0.3532646000, 0.3895192000, 0.4611807000, 0.6011801000, 0.9072982000", \ - "0.4858586000, 0.4961445000, 0.5177480000, 0.5603585000, 0.6406619000, 0.7939434000, 1.1067889000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0993625000, 0.1070048000, 0.1241897000, 0.1632981000, 0.2597579000, 0.5068330000, 1.1445299000", \ - "0.1035224000, 0.1111701000, 0.1283244000, 0.1675588000, 0.2635807000, 0.5099955000, 1.1470300000", \ - "0.1136387000, 0.1213030000, 0.1384759000, 0.1777632000, 0.2738265000, 0.5203627000, 1.1574396000", \ - "0.1387232000, 0.1462803000, 0.1633590000, 0.2025821000, 0.2989620000, 0.5452396000, 1.1819936000", \ - "0.1847418000, 0.1925171000, 0.2099745000, 0.2495065000, 0.3459578000, 0.5923337000, 1.2288005000", \ - "0.2430585000, 0.2515402000, 0.2696801000, 0.3097137000, 0.4068542000, 0.6530501000, 1.2921721000", \ - "0.2992621000, 0.3097084000, 0.3309228000, 0.3724415000, 0.4696781000, 0.7166773000, 1.3524852000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0261035000, 0.0319720000, 0.0451280000, 0.0752878000, 0.1412657000, 0.2846801000, 0.6663662000", \ - "0.0263044000, 0.0319031000, 0.0449575000, 0.0754936000, 0.1416512000, 0.2850365000, 0.6626407000", \ - "0.0262705000, 0.0319136000, 0.0451303000, 0.0755421000, 0.1413271000, 0.2846431000, 0.6651082000", \ - "0.0262439000, 0.0319803000, 0.0450856000, 0.0753748000, 0.1414765000, 0.2847115000, 0.6653118000", \ - "0.0280043000, 0.0336557000, 0.0467139000, 0.0766065000, 0.1423862000, 0.2854053000, 0.6631987000", \ - "0.0339461000, 0.0399410000, 0.0541281000, 0.0853340000, 0.1516954000, 0.2915644000, 0.6644848000", \ - "0.0458456000, 0.0528352000, 0.0687975000, 0.1035071000, 0.1747219000, 0.3123815000, 0.6697831000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0284998000, 0.0362321000, 0.0555063000, 0.1056723000, 0.2393920000, 0.5893075000, 1.4972732000", \ - "0.0284930000, 0.0361868000, 0.0555081000, 0.1056437000, 0.2393460000, 0.5883200000, 1.4945507000", \ - "0.0284369000, 0.0361271000, 0.0554273000, 0.1055728000, 0.2393494000, 0.5888265000, 1.4962374000", \ - "0.0281837000, 0.0359393000, 0.0552033000, 0.1056098000, 0.2394492000, 0.5893407000, 1.4963987000", \ - "0.0295712000, 0.0370424000, 0.0561001000, 0.1060594000, 0.2391866000, 0.5894630000, 1.4967531000", \ - "0.0342199000, 0.0412223000, 0.0589371000, 0.1074019000, 0.2398952000, 0.5889731000, 1.4934574000", \ - "0.0449195000, 0.0514285000, 0.0685423000, 0.1119010000, 0.2411496000, 0.5918867000, 1.4948072000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.1195387000, 0.1266897000, 0.1420823000, 0.1738623000, 0.2400874000, 0.3750399000, 0.6800599000", \ - "0.1231716000, 0.1303176000, 0.1457339000, 0.1775590000, 0.2436955000, 0.3786673000, 0.6835952000", \ - "0.1335391000, 0.1406595000, 0.1558671000, 0.1878793000, 0.2541427000, 0.3890482000, 0.6939823000", \ - "0.1616821000, 0.1687492000, 0.1840401000, 0.2160270000, 0.2823546000, 0.4173525000, 0.7223935000", \ - "0.2279065000, 0.2353523000, 0.2509171000, 0.2831347000, 0.3498461000, 0.4854259000, 0.7906020000", \ - "0.3405787000, 0.3496270000, 0.3685615000, 0.4057111000, 0.4785983000, 0.6182131000, 0.9244090000", \ - "0.5235785000, 0.5354451000, 0.5591410000, 0.6045809000, 0.6920922000, 0.8472520000, 1.1610325000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0856402000, 0.0931770000, 0.1101132000, 0.1492143000, 0.2452250000, 0.4924125000, 1.1308632000", \ - "0.0899578000, 0.0974745000, 0.1144489000, 0.1535525000, 0.2495159000, 0.4960520000, 1.1328783000", \ - "0.1003320000, 0.1078305000, 0.1248491000, 0.1638799000, 0.2603688000, 0.5068959000, 1.1431156000", \ - "0.1242418000, 0.1316721000, 0.1484823000, 0.1874604000, 0.2833720000, 0.5294910000, 1.1662125000", \ - "0.1622063000, 0.1698893000, 0.1871486000, 0.2263814000, 0.3228313000, 0.5700624000, 1.2076947000", \ - "0.2051057000, 0.2135945000, 0.2317512000, 0.2710466000, 0.3681326000, 0.6149226000, 1.2521240000", \ - "0.2311606000, 0.2419425000, 0.2639673000, 0.3059508000, 0.4017837000, 0.6489811000, 1.2849237000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0262662000, 0.0316401000, 0.0449758000, 0.0756154000, 0.1412982000, 0.2847967000, 0.6653602000", \ - "0.0260750000, 0.0317586000, 0.0448622000, 0.0754223000, 0.1415178000, 0.2846259000, 0.6663674000", \ - "0.0262569000, 0.0318101000, 0.0449806000, 0.0753212000, 0.1413834000, 0.2845811000, 0.6663837000", \ - "0.0262075000, 0.0319793000, 0.0451077000, 0.0753912000, 0.1412081000, 0.2846417000, 0.6615449000", \ - "0.0287736000, 0.0341992000, 0.0469990000, 0.0767372000, 0.1426042000, 0.2855448000, 0.6647661000", \ - "0.0388530000, 0.0448975000, 0.0588603000, 0.0890306000, 0.1539302000, 0.2933679000, 0.6664190000", \ - "0.0538517000, 0.0613512000, 0.0782989000, 0.1142206000, 0.1840084000, 0.3181793000, 0.6726315000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012938900, 0.0033483300, 0.0086647700, 0.0224226000, 0.0580250000, 0.1501560000"); - values("0.0276424000, 0.0353184000, 0.0546223000, 0.1048076000, 0.2387206000, 0.5881299000, 1.4934537000", \ - "0.0276605000, 0.0353264000, 0.0546369000, 0.1047998000, 0.2386106000, 0.5884673000, 1.4956585000", \ - "0.0275822000, 0.0352675000, 0.0545883000, 0.1049052000, 0.2388497000, 0.5882547000, 1.4932779000", \ - "0.0277482000, 0.0352148000, 0.0543682000, 0.1045255000, 0.2383714000, 0.5873507000, 1.4938969000", \ - "0.0291994000, 0.0365708000, 0.0555683000, 0.1052525000, 0.2387322000, 0.5877347000, 1.4920721000", \ - "0.0348654000, 0.0418634000, 0.0590530000, 0.1070312000, 0.2400364000, 0.5875003000, 1.4951915000", \ - "0.0468608000, 0.0535968000, 0.0700301000, 0.1124713000, 0.2409081000, 0.5903036000, 1.4902077000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o32a_2") { - leakage_power () { - value : 0.0041560000; - when : "!A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0030443000; - when : "!A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0041734000; - when : "!A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0041430000; - when : "!A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0020884000; - when : "!A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0038116000; - when : "!A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0015077000; - when : "!A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0023784000; - when : "!A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0018642000; - when : "!A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0038121000; - when : "!A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0012838000; - when : "!A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0021545000; - when : "!A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0015550000; - when : "!A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0038115000; - when : "!A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0009746000; - when : "!A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0018453000; - when : "!A1&A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0018661000; - when : "A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0038115000; - when : "A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0012857000; - when : "A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0021564000; - when : "A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0015566000; - when : "A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0038115000; - when : "A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0009763000; - when : "A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0018469000; - when : "A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0015605000; - when : "A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0038115000; - when : "A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0009802000; - when : "A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0018509000; - when : "A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0015381000; - when : "A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0038115000; - when : "A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0009578000; - when : "A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0018284000; - when : "A1&A2&A3&B1&!B2"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__o32a"; - cell_leakage_power : 0.0023829350; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023510000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022250000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041816000, 0.0041790000, 0.0041731000, 0.0041746000, 0.0041781000, 0.0041862000, 0.0042048000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004170400, -0.004167300, -0.004160100, -0.004158700, -0.004155500, -0.004148100, -0.004131000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024760000; - } - pin ("A2") { - capacitance : 0.0022960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038423000, 0.0038381000, 0.0038282000, 0.0038288000, 0.0038302000, 0.0038333000, 0.0038405000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003829300, -0.003829400, -0.003829600, -0.003830500, -0.003832800, -0.003838000, -0.003849900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024440000; - } - pin ("A3") { - capacitance : 0.0022950000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021200000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039005000, 0.0038965000, 0.0038873000, 0.0038876000, 0.0038882000, 0.0038896000, 0.0038928000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003885500, -0.003882900, -0.003876800, -0.003877900, -0.003880500, -0.003886400, -0.003900100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024690000; - } - pin ("B1") { - capacitance : 0.0022760000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022070000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045915000, 0.0045910000, 0.0045897000, 0.0045885000, 0.0045857000, 0.0045792000, 0.0045644000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000721800, -0.000738500, -0.000777200, -0.000752300, -0.000694800, -0.000562300, -0.000256800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023460000; - } - pin ("B2") { - capacitance : 0.0023010000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021700000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045735000, 0.0045725000, 0.0045701000, 0.0045703000, 0.0045706000, 0.0045714000, 0.0045731000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000547200, -0.000559000, -0.000586200, -0.000563100, -0.000509700, -0.000386800, -0.000103500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024320000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A1&B2) | (A2&B1) | (A3&B1) | (A2&B2) | (A3&B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0134146000, 0.0121150000, 0.0090340000, -0.001281600, -0.035180800, -0.143353700, -0.462106400", \ - "0.0134036000, 0.0120840000, 0.0088850000, -0.001344500, -0.035307900, -0.143465200, -0.462205500", \ - "0.0130666000, 0.0118537000, 0.0086560000, -0.001561500, -0.035534400, -0.143697300, -0.462443900", \ - "0.0128115000, 0.0115358000, 0.0083653000, -0.001938000, -0.035841000, -0.143974700, -0.462704400", \ - "0.0127551000, 0.0114556000, 0.0081462000, -0.002209800, -0.036123700, -0.144208900, -0.462900300", \ - "0.0148336000, 0.0132489000, 0.0087644000, -0.003001300, -0.036563000, -0.144429600, -0.463026400", \ - "0.0214228000, 0.0196801000, 0.0150924000, 0.0022180000, -0.035297600, -0.144205500, -0.462649000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0174859000, 0.0191698000, 0.0239861000, 0.0373206000, 0.0751609000, 0.1839254000, 0.5012694000", \ - "0.0174058000, 0.0190840000, 0.0238953000, 0.0373154000, 0.0750393000, 0.1837287000, 0.4992097000", \ - "0.0172899000, 0.0189525000, 0.0236952000, 0.0371446000, 0.0749267000, 0.1845769000, 0.4990330000", \ - "0.0172060000, 0.0188697000, 0.0236726000, 0.0370847000, 0.0748261000, 0.1844746000, 0.4989124000", \ - "0.0171026000, 0.0187229000, 0.0234200000, 0.0366503000, 0.0745536000, 0.1833697000, 0.4988134000", \ - "0.0180793000, 0.0196227000, 0.0241271000, 0.0370201000, 0.0747032000, 0.1831764000, 0.5009219000", \ - "0.0191732000, 0.0206770000, 0.0249895000, 0.0380215000, 0.0754808000, 0.1848446000, 0.4996918000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0112515000, 0.0099774000, 0.0067582000, -0.003507800, -0.037431800, -0.145595100, -0.464350000", \ - "0.0110216000, 0.0097250000, 0.0065622000, -0.003695100, -0.037581600, -0.145767000, -0.464518800", \ - "0.0107698000, 0.0094626000, 0.0063192000, -0.003940200, -0.037868900, -0.146016400, -0.464773200", \ - "0.0105078000, 0.0091966000, 0.0060244000, -0.004279300, -0.038210300, -0.146335400, -0.465044100", \ - "0.0105210000, 0.0091995000, 0.0059536000, -0.004525200, -0.038437700, -0.146413600, -0.465100000", \ - "0.0126097000, 0.0110852000, 0.0064994000, -0.004774200, -0.038433800, -0.146102400, -0.464793900", \ - "0.0204099000, 0.0186405000, 0.0138731000, 0.0007222000, -0.035706100, -0.145337100, -0.462954000"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0160141000, 0.0176917000, 0.0224266000, 0.0358826000, 0.0736724000, 0.1824439000, 0.5003652000", \ - "0.0160073000, 0.0176842000, 0.0225079000, 0.0358845000, 0.0737096000, 0.1824235000, 0.4977656000", \ - "0.0159389000, 0.0176057000, 0.0224563000, 0.0358628000, 0.0736505000, 0.1823932000, 0.4977018000", \ - "0.0160105000, 0.0176769000, 0.0224337000, 0.0357790000, 0.0735152000, 0.1821970000, 0.4975459000", \ - "0.0156155000, 0.0172209000, 0.0219707000, 0.0351510000, 0.0729781000, 0.1817574000, 0.4972035000", \ - "0.0163546000, 0.0178934000, 0.0224252000, 0.0352576000, 0.0728177000, 0.1811408000, 0.4972878000", \ - "0.0172636000, 0.0187241000, 0.0230873000, 0.0362114000, 0.0736766000, 0.1830818000, 0.4983941000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0216089000, 0.0200341000, 0.0162113000, 0.0050558000, -0.030212800, -0.138842300, -0.457633600", \ - "0.0215583000, 0.0199937000, 0.0162165000, 0.0050155000, -0.030307200, -0.138982700, -0.457738100", \ - "0.0212804000, 0.0197221000, 0.0159082000, 0.0047980000, -0.030544200, -0.139144000, -0.457918400", \ - "0.0209990000, 0.0194117000, 0.0155815000, 0.0044702000, -0.030871800, -0.139273100, -0.458099100", \ - "0.0208725000, 0.0193314000, 0.0154768000, 0.0043659000, -0.031028800, -0.139577200, -0.458275000", \ - "0.0207701000, 0.0191752000, 0.0152720000, 0.0041947000, -0.031134300, -0.139621300, -0.458309300", \ - "0.0259628000, 0.0242441000, 0.0194599000, 0.0066452000, -0.031395400, -0.139553500, -0.458203900"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0211368000, 0.0228127000, 0.0276229000, 0.0409203000, 0.0786213000, 0.1870056000, 0.5022701000", \ - "0.0209968000, 0.0226932000, 0.0274175000, 0.0408323000, 0.0784916000, 0.1870692000, 0.5042925000", \ - "0.0208243000, 0.0225053000, 0.0272830000, 0.0407088000, 0.0783758000, 0.1877073000, 0.5024261000", \ - "0.0206902000, 0.0223813000, 0.0271891000, 0.0406085000, 0.0782551000, 0.1868949000, 0.5039400000", \ - "0.0207721000, 0.0224026000, 0.0271164000, 0.0404226000, 0.0781530000, 0.1877222000, 0.5019937000", \ - "0.0213057000, 0.0228686000, 0.0273681000, 0.0403167000, 0.0780677000, 0.1863912000, 0.5042119000", \ - "0.0219455000, 0.0234471000, 0.0278582000, 0.0409994000, 0.0787092000, 0.1874718000, 0.5009091000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0195401000, 0.0179673000, 0.0141318000, 0.0030659000, -0.032248500, -0.140701000, -0.459372300", \ - "0.0194657000, 0.0178890000, 0.0140466000, 0.0029138000, -0.032305400, -0.140811200, -0.459468200", \ - "0.0192177000, 0.0176565000, 0.0138316000, 0.0027441000, -0.032398900, -0.140881300, -0.459584700", \ - "0.0190832000, 0.0174976000, 0.0136629000, 0.0025586000, -0.032768200, -0.141057600, -0.459762500", \ - "0.0188966000, 0.0173174000, 0.0135294000, 0.0024119000, -0.032867500, -0.141299900, -0.459919400", \ - "0.0190130000, 0.0174678000, 0.0135049000, 0.0025448000, -0.032791600, -0.141321700, -0.459960800", \ - "0.0240253000, 0.0222582000, 0.0174671000, 0.0051025000, -0.032553700, -0.140922600, -0.459667100"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0197922000, 0.0214660000, 0.0262119000, 0.0396841000, 0.0776379000, 0.1867469000, 0.5022323000", \ - "0.0197863000, 0.0214595000, 0.0262769000, 0.0397407000, 0.0777005000, 0.1867016000, 0.5020312000", \ - "0.0197045000, 0.0213891000, 0.0262065000, 0.0396793000, 0.0775756000, 0.1874600000, 0.5044983000", \ - "0.0195170000, 0.0212005000, 0.0259912000, 0.0394384000, 0.0773854000, 0.1865515000, 0.5043787000", \ - "0.0194734000, 0.0211266000, 0.0257933000, 0.0391144000, 0.0770537000, 0.1861536000, 0.5040803000", \ - "0.0198249000, 0.0213877000, 0.0259943000, 0.0390280000, 0.0768779000, 0.1854333000, 0.5032941000", \ - "0.0205134000, 0.0219906000, 0.0264396000, 0.0394947000, 0.0772996000, 0.1863921000, 0.4995149000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0174983000, 0.0159829000, 0.0121513000, 0.0009995000, -0.034246800, -0.142645600, -0.461187300", \ - "0.0172780000, 0.0156826000, 0.0118846000, 0.0006885000, -0.034501500, -0.142701800, -0.461282000", \ - "0.0170550000, 0.0154543000, 0.0116079000, 0.0005161000, -0.034709200, -0.143030600, -0.461572500", \ - "0.0168794000, 0.0152769000, 0.0114252000, 0.0003220000, -0.034885700, -0.143231100, -0.461763600", \ - "0.0166424000, 0.0150627000, 0.0112297000, 0.0001520000, -0.034960800, -0.143163200, -0.461771100", \ - "0.0170030000, 0.0154045000, 0.0114363000, 0.0002952000, -0.034578400, -0.142910800, -0.461396700", \ - "0.0235227000, 0.0217127000, 0.0167780000, 0.0033412000, -0.034310100, -0.142438800, -0.460917100"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185820, 0.0122536300, 0.0355928700, 0.1033859000, 0.3003029000"); - values("0.0181202000, 0.0197625000, 0.0245620000, 0.0380577000, 0.0759922000, 0.1857648000, 0.5026606000", \ - "0.0181634000, 0.0198360000, 0.0245910000, 0.0380830000, 0.0760230000, 0.1849869000, 0.5026426000", \ - "0.0181259000, 0.0197660000, 0.0245674000, 0.0380427000, 0.0760007000, 0.1858792000, 0.5025074000", \ - "0.0180083000, 0.0196564000, 0.0244635000, 0.0379190000, 0.0758416000, 0.1856455000, 0.5026507000", \ - "0.0178358000, 0.0194791000, 0.0241238000, 0.0373920000, 0.0753242000, 0.1852350000, 0.5021386000", \ - "0.0182413000, 0.0198199000, 0.0243989000, 0.0373508000, 0.0749701000, 0.1834031000, 0.4997531000", \ - "0.0186819000, 0.0201456000, 0.0246301000, 0.0378728000, 0.0753482000, 0.1847464000, 0.4975760000"); - } - } - max_capacitance : 0.3003030000; - max_transition : 1.5016900000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.3420412000, 0.3502315000, 0.3688111000, 0.4034506000, 0.4675461000, 0.5973812000, 0.9113382000", \ - "0.3465642000, 0.3550378000, 0.3732199000, 0.4078476000, 0.4718215000, 0.6016685000, 0.9158024000", \ - "0.3581649000, 0.3663575000, 0.3848785000, 0.4195199000, 0.4836936000, 0.6133272000, 0.9273972000", \ - "0.3842929000, 0.3928173000, 0.4111595000, 0.4455566000, 0.5098544000, 0.6390208000, 0.9527329000", \ - "0.4413557000, 0.4498088000, 0.4681214000, 0.5021394000, 0.5663355000, 0.6962184000, 1.0105787000", \ - "0.5647022000, 0.5732335000, 0.5916777000, 0.6263557000, 0.6902196000, 0.8197653000, 1.1339338000", \ - "0.7947334000, 0.8051203000, 0.8258920000, 0.8657001000, 0.9345736000, 1.0713544000, 1.3885846000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1136444000, 0.1198049000, 0.1342007000, 0.1673828000, 0.2512990000, 0.4879385000, 1.1688654000", \ - "0.1180576000, 0.1242258000, 0.1386183000, 0.1719058000, 0.2559661000, 0.4914884000, 1.1759012000", \ - "0.1277271000, 0.1338475000, 0.1483018000, 0.1815687000, 0.2656099000, 0.5024255000, 1.1827852000", \ - "0.1477561000, 0.1539730000, 0.1683761000, 0.2015755000, 0.2856897000, 0.5212223000, 1.2060928000", \ - "0.1879482000, 0.1943221000, 0.2091842000, 0.2426614000, 0.3267518000, 0.5636586000, 1.2440204000", \ - "0.2455386000, 0.2528978000, 0.2690540000, 0.3044170000, 0.3894541000, 0.6257733000, 1.3099646000", \ - "0.2991986000, 0.3088990000, 0.3293302000, 0.3698143000, 0.4574301000, 0.6951998000, 1.3757374000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0439656000, 0.0484743000, 0.0585961000, 0.0797429000, 0.1286526000, 0.2556886000, 0.6373315000", \ - "0.0440853000, 0.0484454000, 0.0589758000, 0.0793534000, 0.1296460000, 0.2558976000, 0.6379939000", \ - "0.0439531000, 0.0484677000, 0.0587514000, 0.0792323000, 0.1293445000, 0.2555393000, 0.6392518000", \ - "0.0440568000, 0.0489455000, 0.0592659000, 0.0795210000, 0.1275904000, 0.2566405000, 0.6379689000", \ - "0.0438597000, 0.0485862000, 0.0586467000, 0.0802934000, 0.1281402000, 0.2560578000, 0.6372148000", \ - "0.0452485000, 0.0496182000, 0.0596515000, 0.0799347000, 0.1280366000, 0.2559881000, 0.6397988000", \ - "0.0543012000, 0.0588218000, 0.0697193000, 0.0916291000, 0.1412803000, 0.2656502000, 0.6424053000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0227991000, 0.0279243000, 0.0415240000, 0.0790105000, 0.1913075000, 0.5260026000, 1.4977437000", \ - "0.0227645000, 0.0280503000, 0.0415881000, 0.0790544000, 0.1912362000, 0.5246720000, 1.4980815000", \ - "0.0228816000, 0.0280549000, 0.0416309000, 0.0790880000, 0.1911633000, 0.5249873000, 1.4954121000", \ - "0.0226749000, 0.0279181000, 0.0413641000, 0.0789148000, 0.1912695000, 0.5242705000, 1.4974526000", \ - "0.0241628000, 0.0292304000, 0.0429753000, 0.0796254000, 0.1912761000, 0.5260394000, 1.4959644000", \ - "0.0295616000, 0.0348673000, 0.0483630000, 0.0835773000, 0.1925172000, 0.5248962000, 1.5010428000", \ - "0.0401329000, 0.0462192000, 0.0597360000, 0.0932741000, 0.1968441000, 0.5273209000, 1.4966053000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.3245244000, 0.3329726000, 0.3512151000, 0.3859824000, 0.4500250000, 0.5799782000, 0.8940049000", \ - "0.3282126000, 0.3366886000, 0.3550062000, 0.3897023000, 0.4538181000, 0.5837826000, 0.8978833000", \ - "0.3389494000, 0.3472054000, 0.3657689000, 0.4003977000, 0.4638449000, 0.5937730000, 0.9076596000", \ - "0.3650222000, 0.3735594000, 0.3918619000, 0.4263665000, 0.4906855000, 0.6199793000, 0.9338658000", \ - "0.4258793000, 0.4343654000, 0.4523877000, 0.4870225000, 0.5511753000, 0.6811705000, 0.9956819000", \ - "0.5655835000, 0.5741914000, 0.5928331000, 0.6272723000, 0.6920417000, 0.8219986000, 1.1361511000", \ - "0.8310368000, 0.8407177000, 0.8624834000, 0.9028891000, 0.9730833000, 1.1091127000, 1.4264412000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1099033000, 0.1158685000, 0.1301273000, 0.1631171000, 0.2471557000, 0.4835138000, 1.1658486000", \ - "0.1147418000, 0.1207676000, 0.1349654000, 0.1679125000, 0.2519376000, 0.4883045000, 1.1703424000", \ - "0.1245886000, 0.1306202000, 0.1448261000, 0.1777853000, 0.2617183000, 0.4989067000, 1.1815776000", \ - "0.1444784000, 0.1505120000, 0.1647400000, 0.1976571000, 0.2815670000, 0.5181163000, 1.2000018000", \ - "0.1831801000, 0.1894885000, 0.2041764000, 0.2375601000, 0.3217135000, 0.5583191000, 1.2432960000", \ - "0.2364613000, 0.2439335000, 0.2603810000, 0.2959285000, 0.3808230000, 0.6172904000, 1.3028104000", \ - "0.2810755000, 0.2909094000, 0.3117112000, 0.3526069000, 0.4405832000, 0.6780274000, 1.3590317000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0438527000, 0.0487157000, 0.0585485000, 0.0803522000, 0.1290731000, 0.2556887000, 0.6384884000", \ - "0.0439434000, 0.0489625000, 0.0584830000, 0.0805350000, 0.1286289000, 0.2556335000, 0.6373660000", \ - "0.0440051000, 0.0488241000, 0.0592935000, 0.0793808000, 0.1281680000, 0.2562305000, 0.6382893000", \ - "0.0440644000, 0.0489458000, 0.0591955000, 0.0794978000, 0.1275588000, 0.2566406000, 0.6383465000", \ - "0.0441925000, 0.0484351000, 0.0592217000, 0.0800348000, 0.1297342000, 0.2555306000, 0.6371439000", \ - "0.0458141000, 0.0498192000, 0.0595502000, 0.0808634000, 0.1294709000, 0.2559712000, 0.6377572000", \ - "0.0570266000, 0.0630938000, 0.0736513000, 0.0958214000, 0.1425282000, 0.2663209000, 0.6426327000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0219821000, 0.0271004000, 0.0406260000, 0.0777996000, 0.1899821000, 0.5236174000, 1.4970989000", \ - "0.0219814000, 0.0270690000, 0.0405148000, 0.0777330000, 0.1901292000, 0.5259480000, 1.5000710000", \ - "0.0219882000, 0.0270968000, 0.0405073000, 0.0777787000, 0.1897651000, 0.5251678000, 1.5016904000", \ - "0.0220833000, 0.0270995000, 0.0405340000, 0.0778720000, 0.1900711000, 0.5256720000, 1.4991413000", \ - "0.0238696000, 0.0290007000, 0.0424307000, 0.0789238000, 0.1901869000, 0.5259627000, 1.4982062000", \ - "0.0295846000, 0.0345959000, 0.0478879000, 0.0831304000, 0.1921122000, 0.5240710000, 1.4970206000", \ - "0.0406853000, 0.0470511000, 0.0605477000, 0.0944310000, 0.1967475000, 0.5266312000, 1.4941718000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.2875946000, 0.2958483000, 0.3143788000, 0.3490319000, 0.4131724000, 0.5430605000, 0.8573695000", \ - "0.2901930000, 0.2987115000, 0.3171560000, 0.3516040000, 0.4160584000, 0.5449671000, 0.8592045000", \ - "0.2984346000, 0.3069749000, 0.3251680000, 0.3598987000, 0.4240817000, 0.5541667000, 0.8683863000", \ - "0.3228530000, 0.3313749000, 0.3495850000, 0.3842470000, 0.4486100000, 0.5785171000, 0.8923759000", \ - "0.3865244000, 0.3950042000, 0.4132377000, 0.4479325000, 0.5115516000, 0.6414497000, 0.9557384000", \ - "0.5382637000, 0.5467732000, 0.5649873000, 0.5995127000, 0.6642678000, 0.7944068000, 1.1085938000", \ - "0.8183031000, 0.8290662000, 0.8522643000, 0.8949326000, 0.9663677000, 1.1001512000, 1.4181093000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0989474000, 0.1048523000, 0.1188521000, 0.1514416000, 0.2349692000, 0.4717682000, 1.1542127000", \ - "0.1039656000, 0.1098760000, 0.1238404000, 0.1564701000, 0.2399787000, 0.4757974000, 1.1606879000", \ - "0.1140255000, 0.1199112000, 0.1339136000, 0.1665054000, 0.2499753000, 0.4872079000, 1.1699952000", \ - "0.1341860000, 0.1400424000, 0.1540176000, 0.1865396000, 0.2701328000, 0.5067480000, 1.1884899000", \ - "0.1708467000, 0.1771878000, 0.1918073000, 0.2251221000, 0.3087051000, 0.5457240000, 1.2287629000", \ - "0.2176185000, 0.2251968000, 0.2421226000, 0.2776065000, 0.3623739000, 0.5983635000, 1.2821190000", \ - "0.2498258000, 0.2599294000, 0.2819928000, 0.3240803000, 0.4121199000, 0.6483381000, 1.3298047000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0439018000, 0.0484701000, 0.0586280000, 0.0795257000, 0.1287690000, 0.2550878000, 0.6391973000", \ - "0.0440507000, 0.0488742000, 0.0595929000, 0.0794573000, 0.1283736000, 0.2565555000, 0.6379116000", \ - "0.0437676000, 0.0485512000, 0.0587135000, 0.0799730000, 0.1289015000, 0.2555837000, 0.6372479000", \ - "0.0436976000, 0.0484639000, 0.0586426000, 0.0796688000, 0.1283448000, 0.2556273000, 0.6375452000", \ - "0.0442833000, 0.0484664000, 0.0588378000, 0.0806133000, 0.1281066000, 0.2564661000, 0.6392179000", \ - "0.0450891000, 0.0493399000, 0.0592322000, 0.0797638000, 0.1279614000, 0.2562378000, 0.6385386000", \ - "0.0643299000, 0.0704945000, 0.0814076000, 0.1012142000, 0.1440367000, 0.2657577000, 0.6431793000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0213047000, 0.0263778000, 0.0396423000, 0.0768682000, 0.1889490000, 0.5244937000, 1.5007262000", \ - "0.0212786000, 0.0262798000, 0.0397225000, 0.0769559000, 0.1893152000, 0.5252123000, 1.4964865000", \ - "0.0212693000, 0.0263739000, 0.0396278000, 0.0769000000, 0.1891985000, 0.5243460000, 1.5000760000", \ - "0.0212229000, 0.0264508000, 0.0395850000, 0.0769600000, 0.1893818000, 0.5251373000, 1.5002992000", \ - "0.0237314000, 0.0288387000, 0.0422100000, 0.0784315000, 0.1893783000, 0.5244237000, 1.5009862000", \ - "0.0303048000, 0.0355481000, 0.0486208000, 0.0834968000, 0.1911682000, 0.5235588000, 1.4968289000", \ - "0.0428197000, 0.0492297000, 0.0636880000, 0.0964469000, 0.1969224000, 0.5259327000, 1.4939948000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1562724000, 0.1623448000, 0.1762082000, 0.2052161000, 0.2667162000, 0.4000134000, 0.7146830000", \ - "0.1616113000, 0.1676842000, 0.1815231000, 0.2105589000, 0.2719100000, 0.4053937000, 0.7201738000", \ - "0.1736231000, 0.1796691000, 0.1934381000, 0.2224675000, 0.2839239000, 0.4173898000, 0.7320675000", \ - "0.1995780000, 0.2056368000, 0.2194511000, 0.2483420000, 0.3099413000, 0.4434006000, 0.7580834000", \ - "0.2582368000, 0.2642723000, 0.2780004000, 0.3068077000, 0.3685558000, 0.5022061000, 0.8169588000", \ - "0.3668720000, 0.3737925000, 0.3892819000, 0.4212000000, 0.4870834000, 0.6242953000, 0.9401169000", \ - "0.5510584000, 0.5592456000, 0.5781358000, 0.6158832000, 0.6911237000, 0.8424444000, 1.1668364000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1024617000, 0.1085810000, 0.1230198000, 0.1562485000, 0.2405219000, 0.4764311000, 1.1617869000", \ - "0.1065902000, 0.1127489000, 0.1271337000, 0.1603783000, 0.2445176000, 0.4817267000, 1.1632773000", \ - "0.1166314000, 0.1227727000, 0.1371800000, 0.1704746000, 0.2547478000, 0.4920909000, 1.1726476000", \ - "0.1413732000, 0.1474807000, 0.1618747000, 0.1950232000, 0.2791476000, 0.5164932000, 1.1970273000", \ - "0.1910038000, 0.1972886000, 0.2118508000, 0.2453263000, 0.3295258000, 0.5660092000, 1.2484056000", \ - "0.2546811000, 0.2620843000, 0.2780831000, 0.3129845000, 0.3974106000, 0.6342100000, 1.3193550000", \ - "0.3174993000, 0.3271473000, 0.3472579000, 0.3868643000, 0.4730467000, 0.7085809000, 1.3908966000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0262733000, 0.0303289000, 0.0401999000, 0.0639980000, 0.1220843000, 0.2563006000, 0.6363604000", \ - "0.0261264000, 0.0302850000, 0.0403304000, 0.0639582000, 0.1221653000, 0.2566476000, 0.6354814000", \ - "0.0263461000, 0.0302181000, 0.0400021000, 0.0640339000, 0.1221514000, 0.2558468000, 0.6363903000", \ - "0.0264024000, 0.0303543000, 0.0401358000, 0.0642112000, 0.1219925000, 0.2559970000, 0.6363748000", \ - "0.0268807000, 0.0307746000, 0.0409027000, 0.0646152000, 0.1224189000, 0.2563983000, 0.6363962000", \ - "0.0330097000, 0.0373600000, 0.0475180000, 0.0719109000, 0.1296498000, 0.2609439000, 0.6357008000", \ - "0.0458872000, 0.0504592000, 0.0618011000, 0.0881142000, 0.1503412000, 0.2820773000, 0.6425323000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0227788000, 0.0280021000, 0.0414848000, 0.0790646000, 0.1913106000, 0.5241756000, 1.4965713000", \ - "0.0227760000, 0.0279048000, 0.0414731000, 0.0790300000, 0.1912777000, 0.5259998000, 1.4983267000", \ - "0.0226982000, 0.0278296000, 0.0415970000, 0.0790584000, 0.1911659000, 0.5255314000, 1.4947424000", \ - "0.0225410000, 0.0276354000, 0.0413049000, 0.0789027000, 0.1911814000, 0.5256720000, 1.4948623000", \ - "0.0242615000, 0.0293252000, 0.0426652000, 0.0797068000, 0.1911931000, 0.5255720000, 1.4991983000", \ - "0.0309283000, 0.0358966000, 0.0483896000, 0.0831355000, 0.1928445000, 0.5252572000, 1.4982895000", \ - "0.0426799000, 0.0486140000, 0.0615155000, 0.0931397000, 0.1957512000, 0.5277528000, 1.4973466000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.1425609000, 0.1486585000, 0.1624262000, 0.1915262000, 0.2528242000, 0.3863105000, 0.7011182000", \ - "0.1465332000, 0.1525916000, 0.1661463000, 0.1951638000, 0.2564693000, 0.3899474000, 0.7047810000", \ - "0.1569439000, 0.1630112000, 0.1766348000, 0.2057791000, 0.2671935000, 0.4006618000, 0.7153890000", \ - "0.1849039000, 0.1909219000, 0.2047474000, 0.2337015000, 0.2952288000, 0.4287337000, 0.7433197000", \ - "0.2534356000, 0.2594576000, 0.2731783000, 0.3023131000, 0.3638582000, 0.4966682000, 0.8120078000", \ - "0.3830327000, 0.3905305000, 0.4070793000, 0.4399454000, 0.5064357000, 0.6445378000, 0.9584951000", \ - "0.5910012000, 0.6002355000, 0.6210595000, 0.6622360000, 0.7437590000, 0.8977432000, 1.2227780000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0903946000, 0.0964510000, 0.1106541000, 0.1437215000, 0.2278079000, 0.4638773000, 1.1465112000", \ - "0.0947608000, 0.1008159000, 0.1150891000, 0.1480721000, 0.2320466000, 0.4688780000, 1.1503570000", \ - "0.1051360000, 0.1112174000, 0.1254384000, 0.1583832000, 0.2423742000, 0.4792065000, 1.1606702000", \ - "0.1295152000, 0.1354162000, 0.1494345000, 0.1821244000, 0.2657885000, 0.5025788000, 1.1840241000", \ - "0.1725663000, 0.1786954000, 0.1931960000, 0.2269139000, 0.3103255000, 0.5471199000, 1.2282593000", \ - "0.2229577000, 0.2303714000, 0.2470540000, 0.2816036000, 0.3658906000, 0.6023350000, 1.2854787000", \ - "0.2594788000, 0.2694833000, 0.2905187000, 0.3307444000, 0.4167819000, 0.6527438000, 1.3345205000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0263480000, 0.0301221000, 0.0400163000, 0.0638578000, 0.1222688000, 0.2559447000, 0.6344675000", \ - "0.0261906000, 0.0303381000, 0.0400727000, 0.0638206000, 0.1222726000, 0.2561337000, 0.6344182000", \ - "0.0261545000, 0.0301185000, 0.0404155000, 0.0639748000, 0.1219840000, 0.2563390000, 0.6348511000", \ - "0.0261565000, 0.0302491000, 0.0401235000, 0.0640284000, 0.1220306000, 0.2567155000, 0.6360303000", \ - "0.0267854000, 0.0307410000, 0.0404582000, 0.0643469000, 0.1224252000, 0.2568077000, 0.6352710000", \ - "0.0375363000, 0.0423357000, 0.0519826000, 0.0756341000, 0.1318962000, 0.2620993000, 0.6359159000", \ - "0.0552592000, 0.0605724000, 0.0728354000, 0.1001363000, 0.1614372000, 0.2904066000, 0.6460847000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014523400, 0.0042185800, 0.0122536000, 0.0355929000, 0.1033860000, 0.3003030000"); - values("0.0221619000, 0.0272036000, 0.0408886000, 0.0782808000, 0.1901675000, 0.5247331000, 1.4989148000", \ - "0.0221046000, 0.0273552000, 0.0408432000, 0.0783435000, 0.1906258000, 0.5253140000, 1.4987547000", \ - "0.0220699000, 0.0272968000, 0.0407812000, 0.0781585000, 0.1905907000, 0.5253274000, 1.4987883000", \ - "0.0218924000, 0.0269274000, 0.0404739000, 0.0781814000, 0.1904436000, 0.5252963000, 1.4982794000", \ - "0.0245777000, 0.0296855000, 0.0425966000, 0.0792874000, 0.1903466000, 0.5255038000, 1.4962362000", \ - "0.0318478000, 0.0366807000, 0.0484845000, 0.0832253000, 0.1925036000, 0.5246251000, 1.5003210000", \ - "0.0443901000, 0.0503640000, 0.0634760000, 0.0947475000, 0.1955609000, 0.5283721000, 1.4964548000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o32a_4") { - leakage_power () { - value : 0.0093865000; - when : "!A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0076254000; - when : "!A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0094194000; - when : "!A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0093876000; - when : "!A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0131066000; - when : "!A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0089315000; - when : "!A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0097119000; - when : "!A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0118297000; - when : "!A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0076435000; - when : "!A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0089322000; - when : "!A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0042486000; - when : "!A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0063664000; - when : "!A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0054414000; - when : "!A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0089321000; - when : "!A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0020465000; - when : "!A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0041643000; - when : "!A1&A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0075086000; - when : "A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0089331000; - when : "A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0041137000; - when : "A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0062315000; - when : "A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0054449000; - when : "A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0089322000; - when : "A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0020496000; - when : "A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0041674000; - when : "A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0054330000; - when : "A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0089341000; - when : "A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0020380000; - when : "A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0041558000; - when : "A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0053047000; - when : "A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0089319000; - when : "A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0019098000; - when : "A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0040277000; - when : "A1&A2&A3&B1&!B2"; - } - area : 22.521600000; - cell_footprint : "sky130_fd_sc_hd__o32a"; - cell_leakage_power : 0.0067278040; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0043510000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041450000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079952000, 0.0079865000, 0.0079664000, 0.0079692000, 0.0079757000, 0.0079907000, 0.0080251000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007876900, -0.007874100, -0.007867600, -0.007870800, -0.007878200, -0.007895300, -0.007934800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045570000; - } - pin ("A2") { - capacitance : 0.0042450000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039640000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079386000, 0.0079291000, 0.0079070000, 0.0079097000, 0.0079160000, 0.0079303000, 0.0079635000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007857200, -0.007856300, -0.007854300, -0.007851200, -0.007844200, -0.007828100, -0.007790900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045270000; - } - pin ("A3") { - capacitance : 0.0042940000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039360000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0082388000, 0.0082310000, 0.0082130000, 0.0082112000, 0.0082068000, 0.0081969000, 0.0081739000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008189200, -0.008184200, -0.008172500, -0.008169400, -0.008162100, -0.008145300, -0.008106600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046510000; - } - pin ("B1") { - capacitance : 0.0042390000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091941000, 0.0091903000, 0.0091814000, 0.0091843000, 0.0091909000, 0.0092062000, 0.0092415000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001430600, -0.001472900, -0.001570300, -0.001516400, -0.001392100, -0.001105600, -0.000445400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044050000; - } - pin ("B2") { - capacitance : 0.0042170000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039750000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092649000, 0.0092642000, 0.0092625000, 0.0092649000, 0.0092702000, 0.0092825000, 0.0093109000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001418900, -0.001448700, -0.001517400, -0.001469900, -0.001360400, -0.001108100, -0.000526400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044600000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A1&B2) | (A2&B1) | (A3&B1) | (A2&B2) | (A3&B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0457043000, 0.0437829000, 0.0383290000, 0.0228184000, -0.031390600, -0.220139800, -0.840718700", \ - "0.0455581000, 0.0436117000, 0.0382151000, 0.0227530000, -0.031443700, -0.220549600, -0.840979900", \ - "0.0451734000, 0.0432983000, 0.0377997000, 0.0223906000, -0.031857500, -0.220628100, -0.841197700", \ - "0.0451173000, 0.0432260000, 0.0376090000, 0.0221566000, -0.032030100, -0.221173800, -0.841613400", \ - "0.0444085000, 0.0425881000, 0.0370578000, 0.0217008000, -0.032543000, -0.221515400, -0.841871200", \ - "0.0447732000, 0.0429314000, 0.0373133000, 0.0218052000, -0.032720300, -0.221877400, -0.842115400", \ - "0.0545601000, 0.0523635000, 0.0461041000, 0.0271263000, -0.032011600, -0.221837800, -0.842127800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0429197000, 0.0447870000, 0.0509854000, 0.0708763000, 0.1319595000, 0.3246019000, 0.9400425000", \ - "0.0425347000, 0.0445133000, 0.0507383000, 0.0706265000, 0.1316929000, 0.3241994000, 0.9401735000", \ - "0.0423565000, 0.0442514000, 0.0505158000, 0.0702281000, 0.1313851000, 0.3242199000, 0.9386433000", \ - "0.0419108000, 0.0438219000, 0.0501038000, 0.0699286000, 0.1309796000, 0.3234774000, 0.9390490000", \ - "0.0418846000, 0.0440303000, 0.0501184000, 0.0696816000, 0.1306588000, 0.3233008000, 0.9423967000", \ - "0.0438381000, 0.0457128000, 0.0514949000, 0.0701667000, 0.1300364000, 0.3220577000, 0.9420025000", \ - "0.0452001000, 0.0469321000, 0.0527784000, 0.0715651000, 0.1314235000, 0.3240090000, 0.9368697000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0409297000, 0.0390522000, 0.0335384000, 0.0181844000, -0.035828200, -0.224988100, -0.845331700", \ - "0.0407685000, 0.0388473000, 0.0333872000, 0.0179295000, -0.036235400, -0.225180500, -0.845421600", \ - "0.0405229000, 0.0385752000, 0.0331596000, 0.0177079000, -0.036497100, -0.225594100, -0.845969100", \ - "0.0400729000, 0.0381882000, 0.0327353000, 0.0172431000, -0.036984200, -0.225729200, -0.846293000", \ - "0.0397067000, 0.0378519000, 0.0323258000, 0.0169244000, -0.037277600, -0.226350600, -0.846747800", \ - "0.0401084000, 0.0380745000, 0.0324493000, 0.0169242000, -0.037364100, -0.226619200, -0.846807000", \ - "0.0502909000, 0.0481688000, 0.0417167000, 0.0224864000, -0.037641800, -0.226684600, -0.846623100"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0395613000, 0.0415751000, 0.0477936000, 0.0672979000, 0.1280675000, 0.3205658000, 0.9349088000", \ - "0.0396479000, 0.0415302000, 0.0476371000, 0.0672571000, 0.1281309000, 0.3201915000, 0.9342857000", \ - "0.0395153000, 0.0414037000, 0.0476438000, 0.0671509000, 0.1279228000, 0.3204590000, 0.9348257000", \ - "0.0391068000, 0.0410134000, 0.0472676000, 0.0668522000, 0.1276982000, 0.3200455000, 0.9351994000", \ - "0.0390553000, 0.0409748000, 0.0471969000, 0.0665343000, 0.1271950000, 0.3192372000, 0.9355423000", \ - "0.0398778000, 0.0416927000, 0.0476057000, 0.0663883000, 0.1265581000, 0.3181038000, 0.9334557000", \ - "0.0416282000, 0.0434252000, 0.0491869000, 0.0674288000, 0.1276963000, 0.3192591000, 0.9327795000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0362418000, 0.0343806000, 0.0289794000, 0.0134635000, -0.040812400, -0.229896300, -0.850265700", \ - "0.0358080000, 0.0339242000, 0.0284324000, 0.0129968000, -0.041208000, -0.230159200, -0.850552200", \ - "0.0354411000, 0.0335238000, 0.0280614000, 0.0126045000, -0.041617000, -0.230400800, -0.850932000", \ - "0.0349191000, 0.0330562000, 0.0275643000, 0.0122281000, -0.041958300, -0.231023000, -0.851347400", \ - "0.0347406000, 0.0328281000, 0.0273896000, 0.0118894000, -0.042338800, -0.231426600, -0.851742900", \ - "0.0358484000, 0.0338972000, 0.0282465000, 0.0125436000, -0.041937100, -0.231069300, -0.851126500", \ - "0.0506431000, 0.0484517000, 0.0418505000, 0.0223977000, -0.036971600, -0.227118600, -0.847431300"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0359283000, 0.0378282000, 0.0440853000, 0.0636111000, 0.1244188000, 0.3160895000, 0.9299288000", \ - "0.0360349000, 0.0379312000, 0.0441767000, 0.0637181000, 0.1244008000, 0.3166103000, 0.9306387000", \ - "0.0359663000, 0.0379126000, 0.0440536000, 0.0636657000, 0.1245127000, 0.3164734000, 0.9314713000", \ - "0.0356441000, 0.0375328000, 0.0438203000, 0.0634009000, 0.1241192000, 0.3160873000, 0.9304755000", \ - "0.0354905000, 0.0374160000, 0.0435212000, 0.0626111000, 0.1231999000, 0.3156737000, 0.9342956000", \ - "0.0361116000, 0.0379285000, 0.0437941000, 0.0627099000, 0.1225578000, 0.3138935000, 0.9298413000", \ - "0.0379849000, 0.0397639000, 0.0455313000, 0.0638384000, 0.1241133000, 0.3159176000, 0.9270070000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0278589000, 0.0263467000, 0.0219733000, 0.0080621000, -0.043384400, -0.231043200, -0.852024700", \ - "0.0276590000, 0.0260941000, 0.0216974000, 0.0077267000, -0.043592600, -0.231256400, -0.852232800", \ - "0.0274330000, 0.0257901000, 0.0214789000, 0.0074665000, -0.044013300, -0.231684700, -0.852642300", \ - "0.0267282000, 0.0252244000, 0.0207782000, 0.0068774000, -0.044632200, -0.232274100, -0.853176800", \ - "0.0263487000, 0.0248079000, 0.0203911000, 0.0060983000, -0.045424200, -0.232861900, -0.853665000", \ - "0.0275805000, 0.0256809000, 0.0198554000, 0.0050089000, -0.046034000, -0.233183400, -0.853773000", \ - "0.0436586000, 0.0416433000, 0.0354669000, 0.0165787000, -0.042631200, -0.232947800, -0.853243100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0366708000, 0.0385962000, 0.0448635000, 0.0645450000, 0.1257367000, 0.3185193000, 0.9320236000", \ - "0.0365077000, 0.0384045000, 0.0445746000, 0.0643913000, 0.1255210000, 0.3181312000, 0.9337654000", \ - "0.0361695000, 0.0380667000, 0.0443517000, 0.0642335000, 0.1252918000, 0.3179270000, 0.9331048000", \ - "0.0357545000, 0.0377131000, 0.0439389000, 0.0637006000, 0.1248928000, 0.3174280000, 0.9324048000", \ - "0.0359368000, 0.0378343000, 0.0439429000, 0.0634860000, 0.1236328000, 0.3164707000, 0.9328664000", \ - "0.0372811000, 0.0390876000, 0.0450124000, 0.0632649000, 0.1238305000, 0.3156721000, 0.9316596000", \ - "0.0402745000, 0.0419672000, 0.0477163000, 0.0663875000, 0.1257456000, 0.3175765000, 0.9309257000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0231539000, 0.0215249000, 0.0170558000, 0.0032606000, -0.048117600, -0.235562700, -0.856450200", \ - "0.0228792000, 0.0214161000, 0.0169640000, 0.0030321000, -0.048312500, -0.235841900, -0.856717500", \ - "0.0223473000, 0.0208159000, 0.0163494000, 0.0024457000, -0.048825600, -0.236352200, -0.857184300", \ - "0.0217210000, 0.0201595000, 0.0158205000, 0.0017925000, -0.049562400, -0.237061100, -0.857858400", \ - "0.0214075000, 0.0198167000, 0.0152800000, 0.0010485000, -0.050382300, -0.237589100, -0.858233500", \ - "0.0246294000, 0.0226291000, 0.0165657000, 0.0009267000, -0.049950900, -0.236954500, -0.857518800", \ - "0.0424356000, 0.0402689000, 0.0338257000, 0.0145207000, -0.044712700, -0.234914400, -0.855270600"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016096770, 0.0051821200, 0.0166830800, 0.0537087500, 0.1729075000, 0.5566504000"); - values("0.0325260000, 0.0345066000, 0.0407062000, 0.0604844000, 0.1217914000, 0.3139711000, 0.9285386000", \ - "0.0325844000, 0.0344574000, 0.0407607000, 0.0606841000, 0.1217277000, 0.3139776000, 0.9293349000", \ - "0.0326142000, 0.0345176000, 0.0407081000, 0.0605783000, 0.1217242000, 0.3141654000, 0.9293893000", \ - "0.0322859000, 0.0342293000, 0.0405124000, 0.0602799000, 0.1213277000, 0.3136986000, 0.9328106000", \ - "0.0324342000, 0.0343929000, 0.0401279000, 0.0599424000, 0.1199661000, 0.3129087000, 0.9283573000", \ - "0.0336791000, 0.0354730000, 0.0412382000, 0.0597827000, 0.1198403000, 0.3112314000, 0.9285972000", \ - "0.0359831000, 0.0376815000, 0.0434298000, 0.0617937000, 0.1214178000, 0.3132171000, 0.9262779000"); - } - } - max_capacitance : 0.5566500000; - max_transition : 1.5033630000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.3374238000, 0.3428658000, 0.3570133000, 0.3880938000, 0.4517398000, 0.5867798000, 0.9378868000", \ - "0.3420642000, 0.3475130000, 0.3615453000, 0.3928944000, 0.4562940000, 0.5920468000, 0.9429860000", \ - "0.3539506000, 0.3593208000, 0.3734780000, 0.4046737000, 0.4683490000, 0.6034151000, 0.9544638000", \ - "0.3797618000, 0.3850454000, 0.3994484000, 0.4307207000, 0.4941276000, 0.6298845000, 0.9810073000", \ - "0.4335429000, 0.4389742000, 0.4530707000, 0.4840254000, 0.5474997000, 0.6832127000, 1.0346962000", \ - "0.5455875000, 0.5510737000, 0.5653810000, 0.5968788000, 0.6604858000, 0.7958005000, 1.1468563000", \ - "0.7457549000, 0.7517569000, 0.7680133000, 0.8027854000, 0.8725596000, 1.0155393000, 1.3706132000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.1339664000, 0.1383595000, 0.1502299000, 0.1797273000, 0.2557103000, 0.4823635000, 1.1973705000", \ - "0.1382342000, 0.1426572000, 0.1546236000, 0.1841018000, 0.2600593000, 0.4867325000, 1.2022336000", \ - "0.1479599000, 0.1523643000, 0.1642214000, 0.1936406000, 0.2697735000, 0.4957367000, 1.2107753000", \ - "0.1671702000, 0.1715728000, 0.1834578000, 0.2128964000, 0.2889281000, 0.5153538000, 1.2333328000", \ - "0.2078375000, 0.2123729000, 0.2243302000, 0.2539014000, 0.3298582000, 0.5558492000, 1.2743534000", \ - "0.2728726000, 0.2778618000, 0.2909908000, 0.3220975000, 0.3988670000, 0.6245442000, 1.3434680000", \ - "0.3463795000, 0.3526182000, 0.3689148000, 0.4044361000, 0.4846256000, 0.7111969000, 1.4263811000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0427416000, 0.0461229000, 0.0544953000, 0.0735815000, 0.1209224000, 0.2546715000, 0.6858198000", \ - "0.0426790000, 0.0457757000, 0.0549672000, 0.0741827000, 0.1225941000, 0.2542200000, 0.6870736000", \ - "0.0428332000, 0.0462222000, 0.0548912000, 0.0735872000, 0.1217855000, 0.2543784000, 0.6862417000", \ - "0.0428623000, 0.0462503000, 0.0549384000, 0.0747263000, 0.1225272000, 0.2539292000, 0.6857357000", \ - "0.0429356000, 0.0461523000, 0.0540487000, 0.0741470000, 0.1223739000, 0.2548940000, 0.6860744000", \ - "0.0445207000, 0.0473970000, 0.0556573000, 0.0753475000, 0.1219967000, 0.2549539000, 0.6868994000", \ - "0.0529111000, 0.0560639000, 0.0651716000, 0.0854348000, 0.1344779000, 0.2658163000, 0.6913922000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0256959000, 0.0292613000, 0.0394948000, 0.0690069000, 0.1633822000, 0.4784313000, 1.5027217000", \ - "0.0258626000, 0.0292779000, 0.0393795000, 0.0690924000, 0.1635075000, 0.4788874000, 1.5030456000", \ - "0.0255769000, 0.0290827000, 0.0393281000, 0.0690117000, 0.1634756000, 0.4788092000, 1.5010977000", \ - "0.0256756000, 0.0291940000, 0.0392303000, 0.0689749000, 0.1635637000, 0.4794485000, 1.5026074000", \ - "0.0263550000, 0.0301670000, 0.0400725000, 0.0694416000, 0.1634271000, 0.4786597000, 1.5018601000", \ - "0.0307179000, 0.0345627000, 0.0445329000, 0.0730720000, 0.1651770000, 0.4776780000, 1.5033631000", \ - "0.0405955000, 0.0445930000, 0.0557744000, 0.0831907000, 0.1698283000, 0.4801947000, 1.5010774000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.3183661000, 0.3237971000, 0.3378986000, 0.3691115000, 0.4322769000, 0.5680018000, 0.9192411000", \ - "0.3220856000, 0.3273768000, 0.3416451000, 0.3727365000, 0.4362056000, 0.5716361000, 0.9227775000", \ - "0.3324488000, 0.3379017000, 0.3519418000, 0.3832314000, 0.4466967000, 0.5824448000, 0.9333617000", \ - "0.3571660000, 0.3626117000, 0.3768160000, 0.4079182000, 0.4715260000, 0.6066248000, 0.9577322000", \ - "0.4132117000, 0.4185360000, 0.4326273000, 0.4638146000, 0.5272028000, 0.6628985000, 1.0141087000", \ - "0.5403712000, 0.5459728000, 0.5602422000, 0.5922895000, 0.6554853000, 0.7916747000, 1.1427179000", \ - "0.7737040000, 0.7800448000, 0.7970892000, 0.8329969000, 0.9032699000, 1.0464302000, 1.4023775000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.1234323000, 0.1276451000, 0.1388782000, 0.1671259000, 0.2413519000, 0.4659013000, 1.1817889000", \ - "0.1282702000, 0.1324166000, 0.1436412000, 0.1719099000, 0.2462965000, 0.4709902000, 1.1845077000", \ - "0.1378971000, 0.1420649000, 0.1533673000, 0.1815577000, 0.2558067000, 0.4803765000, 1.1964174000", \ - "0.1567299000, 0.1609433000, 0.1721916000, 0.2003875000, 0.2747726000, 0.4990674000, 1.2147833000", \ - "0.1945604000, 0.1988391000, 0.2103682000, 0.2389335000, 0.3134756000, 0.5379799000, 1.2536427000", \ - "0.2503916000, 0.2552684000, 0.2681369000, 0.2986444000, 0.3745037000, 0.5991342000, 1.3142215000", \ - "0.3034725000, 0.3097957000, 0.3260977000, 0.3617076000, 0.4412667000, 0.6664227000, 1.3811023000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0429938000, 0.0462168000, 0.0540798000, 0.0736395000, 0.1222221000, 0.2546751000, 0.6866215000", \ - "0.0428160000, 0.0461840000, 0.0544970000, 0.0735851000, 0.1211185000, 0.2552474000, 0.6863130000", \ - "0.0426376000, 0.0458086000, 0.0549568000, 0.0740209000, 0.1226275000, 0.2542165000, 0.6868658000", \ - "0.0429655000, 0.0462480000, 0.0544612000, 0.0735675000, 0.1210600000, 0.2551038000, 0.6854565000", \ - "0.0428341000, 0.0460941000, 0.0541903000, 0.0737531000, 0.1216580000, 0.2550197000, 0.6864096000", \ - "0.0450817000, 0.0481145000, 0.0563018000, 0.0761587000, 0.1240651000, 0.2550036000, 0.6865623000", \ - "0.0564374000, 0.0594934000, 0.0681679000, 0.0887337000, 0.1360408000, 0.2664476000, 0.6918377000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0239128000, 0.0271534000, 0.0370699000, 0.0663926000, 0.1610106000, 0.4770273000, 1.5025268000", \ - "0.0238435000, 0.0272504000, 0.0372421000, 0.0665200000, 0.1608374000, 0.4781932000, 1.4985306000", \ - "0.0237399000, 0.0271589000, 0.0372292000, 0.0664106000, 0.1610395000, 0.4770642000, 1.5031868000", \ - "0.0238023000, 0.0272425000, 0.0370813000, 0.0662854000, 0.1610316000, 0.4780263000, 1.5017524000", \ - "0.0253283000, 0.0287259000, 0.0384883000, 0.0672638000, 0.1613656000, 0.4770109000, 1.5028326000", \ - "0.0299458000, 0.0337452000, 0.0434875000, 0.0716147000, 0.1635923000, 0.4762973000, 1.5007138000", \ - "0.0407747000, 0.0446348000, 0.0556323000, 0.0830951000, 0.1692307000, 0.4782402000, 1.4959580000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.2773952000, 0.2828373000, 0.2969399000, 0.3281382000, 0.3915401000, 0.5273045000, 0.8785163000", \ - "0.2799952000, 0.2854280000, 0.2995680000, 0.3306826000, 0.3942691000, 0.5301882000, 0.8804502000", \ - "0.2878505000, 0.2932872000, 0.3074740000, 0.3385169000, 0.4021811000, 0.5375013000, 0.8884460000", \ - "0.3099519000, 0.3153711000, 0.3295237000, 0.3604971000, 0.4239220000, 0.5596689000, 0.9111476000", \ - "0.3693253000, 0.3747245000, 0.3889580000, 0.4200360000, 0.4834543000, 0.6192335000, 0.9704134000", \ - "0.5098268000, 0.5153938000, 0.5295176000, 0.5607911000, 0.6239672000, 0.7603168000, 1.1117149000", \ - "0.7529789000, 0.7599040000, 0.7773030000, 0.8153439000, 0.8841055000, 1.0242200000, 1.3800142000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.1110479000, 0.1151638000, 0.1262837000, 0.1543039000, 0.2282925000, 0.4524888000, 1.1652799000", \ - "0.1160951000, 0.1201941000, 0.1313545000, 0.1593354000, 0.2332095000, 0.4570912000, 1.1728721000", \ - "0.1262949000, 0.1304098000, 0.1415213000, 0.1695305000, 0.2435278000, 0.4669676000, 1.1822991000", \ - "0.1460656000, 0.1501523000, 0.1613155000, 0.1892686000, 0.2632102000, 0.4872155000, 1.2013244000", \ - "0.1836185000, 0.1879610000, 0.1994961000, 0.2280943000, 0.3021857000, 0.5264020000, 1.2438087000", \ - "0.2365001000, 0.2415490000, 0.2545920000, 0.2853955000, 0.3614726000, 0.5856539000, 1.3021361000", \ - "0.2854872000, 0.2920827000, 0.3090902000, 0.3461616000, 0.4267094000, 0.6513443000, 1.3658724000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0427516000, 0.0459540000, 0.0540473000, 0.0748921000, 0.1215321000, 0.2547279000, 0.6868898000", \ - "0.0427922000, 0.0459956000, 0.0547697000, 0.0736522000, 0.1217978000, 0.2549178000, 0.6863154000", \ - "0.0427830000, 0.0459485000, 0.0546447000, 0.0736137000, 0.1218787000, 0.2549397000, 0.6854326000", \ - "0.0428576000, 0.0461286000, 0.0539916000, 0.0741412000, 0.1215688000, 0.2549921000, 0.6870848000", \ - "0.0430956000, 0.0463428000, 0.0547220000, 0.0735574000, 0.1215049000, 0.2545957000, 0.6866476000", \ - "0.0454232000, 0.0485037000, 0.0565395000, 0.0748300000, 0.1222023000, 0.2554178000, 0.6869203000", \ - "0.0641246000, 0.0678637000, 0.0780255000, 0.0946842000, 0.1386974000, 0.2648934000, 0.6924227000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0231624000, 0.0265384000, 0.0364289000, 0.0657385000, 0.1599573000, 0.4775295000, 1.4982469000", \ - "0.0232164000, 0.0266241000, 0.0365010000, 0.0656866000, 0.1603002000, 0.4765651000, 1.5030409000", \ - "0.0232900000, 0.0266516000, 0.0365303000, 0.0655934000, 0.1602909000, 0.4769416000, 1.5015771000", \ - "0.0231642000, 0.0266019000, 0.0364050000, 0.0655667000, 0.1602243000, 0.4780247000, 1.4998736000", \ - "0.0251638000, 0.0285734000, 0.0384667000, 0.0671093000, 0.1607044000, 0.4768108000, 1.5019355000", \ - "0.0310325000, 0.0343431000, 0.0449412000, 0.0723698000, 0.1634560000, 0.4760773000, 1.5010372000", \ - "0.0428709000, 0.0471168000, 0.0585563000, 0.0855852000, 0.1704072000, 0.4785917000, 1.4977196000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.1798884000, 0.1842811000, 0.1958874000, 0.2235323000, 0.2875499000, 0.4363642000, 0.7980317000", \ - "0.1847473000, 0.1891439000, 0.2007564000, 0.2286807000, 0.2924379000, 0.4411611000, 0.8030360000", \ - "0.1965174000, 0.2008173000, 0.2124604000, 0.2402093000, 0.3041265000, 0.4528170000, 0.8145651000", \ - "0.2225968000, 0.2269761000, 0.2385611000, 0.2662559000, 0.3301646000, 0.4789126000, 0.8406479000", \ - "0.2811233000, 0.2854791000, 0.2970047000, 0.3246641000, 0.3885965000, 0.5375727000, 0.8994000000", \ - "0.3927419000, 0.3975580000, 0.4105565000, 0.4407682000, 0.5086535000, 0.6609721000, 1.0239646000", \ - "0.5804688000, 0.5861794000, 0.6015243000, 0.6370933000, 0.7150790000, 0.8824114000, 1.2560522000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.1228419000, 0.1272559000, 0.1390930000, 0.1685002000, 0.2446634000, 0.4704938000, 1.1866442000", \ - "0.1268247000, 0.1312255000, 0.1430589000, 0.1725172000, 0.2485434000, 0.4752195000, 1.1904326000", \ - "0.1366578000, 0.1410508000, 0.1529261000, 0.1823712000, 0.2584787000, 0.4847660000, 1.2031407000", \ - "0.1610592000, 0.1654460000, 0.1773060000, 0.2066955000, 0.2827238000, 0.5088786000, 1.2273812000", \ - "0.2145764000, 0.2190063000, 0.2308226000, 0.2600239000, 0.3355853000, 0.5619346000, 1.2779251000", \ - "0.2894196000, 0.2944544000, 0.3072686000, 0.3375853000, 0.4139136000, 0.6397413000, 1.3594158000", \ - "0.3682289000, 0.3746690000, 0.3912481000, 0.4260677000, 0.5038518000, 0.7291139000, 1.4452093000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0295786000, 0.0325736000, 0.0405721000, 0.0637195000, 0.1229063000, 0.2704127000, 0.6930111000", \ - "0.0293428000, 0.0323095000, 0.0406151000, 0.0632609000, 0.1229018000, 0.2702811000, 0.6926913000", \ - "0.0295602000, 0.0325387000, 0.0410767000, 0.0636086000, 0.1229147000, 0.2701370000, 0.6928036000", \ - "0.0296441000, 0.0323526000, 0.0410456000, 0.0636636000, 0.1228861000, 0.2702635000, 0.6929461000", \ - "0.0296198000, 0.0325670000, 0.0413529000, 0.0635578000, 0.1230838000, 0.2704930000, 0.6929465000", \ - "0.0358784000, 0.0390061000, 0.0476344000, 0.0710666000, 0.1302490000, 0.2750111000, 0.6934493000", \ - "0.0495209000, 0.0530483000, 0.0624386000, 0.0880169000, 0.1505446000, 0.2969490000, 0.7026522000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0254994000, 0.0290467000, 0.0392715000, 0.0689834000, 0.1634745000, 0.4789511000, 1.4984879000", \ - "0.0256075000, 0.0290736000, 0.0394356000, 0.0688962000, 0.1632227000, 0.4782173000, 1.5028874000", \ - "0.0256901000, 0.0291805000, 0.0392800000, 0.0689555000, 0.1635447000, 0.4791726000, 1.5003232000", \ - "0.0253880000, 0.0290047000, 0.0391418000, 0.0687133000, 0.1632940000, 0.4788765000, 1.5002158000", \ - "0.0263353000, 0.0296940000, 0.0396035000, 0.0690251000, 0.1634426000, 0.4792951000, 1.5030384000", \ - "0.0323501000, 0.0356116000, 0.0448838000, 0.0727960000, 0.1652831000, 0.4787433000, 1.5007050000", \ - "0.0440457000, 0.0481102000, 0.0582553000, 0.0837788000, 0.1693355000, 0.4808101000, 1.4985173000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.1563498000, 0.1607132000, 0.1723696000, 0.2002537000, 0.2641203000, 0.4131478000, 0.7752374000", \ - "0.1597902000, 0.1641771000, 0.1758182000, 0.2036868000, 0.2677792000, 0.4167975000, 0.7787206000", \ - "0.1691352000, 0.1733856000, 0.1849535000, 0.2129602000, 0.2769606000, 0.4260820000, 0.7880583000", \ - "0.1943542000, 0.1986614000, 0.2107449000, 0.2385334000, 0.3027572000, 0.4520086000, 0.8138941000", \ - "0.2595313000, 0.2638225000, 0.2752859000, 0.3029345000, 0.3668527000, 0.5160483000, 0.8779824000", \ - "0.3823531000, 0.3874468000, 0.4008861000, 0.4321576000, 0.4997004000, 0.6533893000, 1.0170251000", \ - "0.5750908000, 0.5813734000, 0.5982075000, 0.6365936000, 0.7182769000, 0.8893892000, 1.2645140000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.1096369000, 0.1140901000, 0.1260426000, 0.1554752000, 0.2317209000, 0.4569075000, 1.1735680000", \ - "0.1140660000, 0.1184828000, 0.1303928000, 0.1599480000, 0.2360141000, 0.4621647000, 1.1795187000", \ - "0.1247170000, 0.1291329000, 0.1409516000, 0.1704706000, 0.2465801000, 0.4728312000, 1.1874501000", \ - "0.1493424000, 0.1537138000, 0.1656153000, 0.1948892000, 0.2707764000, 0.4964736000, 1.2140217000", \ - "0.2002453000, 0.2046982000, 0.2165936000, 0.2458956000, 0.3209036000, 0.5470814000, 1.2619231000", \ - "0.2668666000, 0.2720933000, 0.2852117000, 0.3157513000, 0.3917823000, 0.6176832000, 1.3341687000", \ - "0.3312898000, 0.3380530000, 0.3549651000, 0.3914100000, 0.4697485000, 0.6948718000, 1.4109246000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0295097000, 0.0323250000, 0.0407369000, 0.0634212000, 0.1231931000, 0.2707848000, 0.6923234000", \ - "0.0294355000, 0.0325973000, 0.0410636000, 0.0636316000, 0.1232522000, 0.2708736000, 0.6931063000", \ - "0.0295571000, 0.0324043000, 0.0410426000, 0.0634039000, 0.1230552000, 0.2706134000, 0.6930100000", \ - "0.0294336000, 0.0323898000, 0.0410711000, 0.0634420000, 0.1232523000, 0.2706007000, 0.6920777000", \ - "0.0298633000, 0.0325331000, 0.0408954000, 0.0638586000, 0.1236296000, 0.2710591000, 0.6932042000", \ - "0.0404869000, 0.0436052000, 0.0524097000, 0.0744115000, 0.1326549000, 0.2769748000, 0.6942268000", \ - "0.0584583000, 0.0620433000, 0.0726980000, 0.0995353000, 0.1620075000, 0.3038573000, 0.7057341000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016096800, 0.0051821200, 0.0166831000, 0.0537087000, 0.1729070000, 0.5566500000"); - values("0.0254277000, 0.0289086000, 0.0392810000, 0.0687403000, 0.1630203000, 0.4779973000, 1.4994486000", \ - "0.0254514000, 0.0291269000, 0.0392080000, 0.0688759000, 0.1632396000, 0.4788459000, 1.5018214000", \ - "0.0254658000, 0.0289534000, 0.0392746000, 0.0687725000, 0.1630841000, 0.4777256000, 1.5021767000", \ - "0.0250591000, 0.0285975000, 0.0388727000, 0.0683385000, 0.1628134000, 0.4780131000, 1.5029122000", \ - "0.0265874000, 0.0301617000, 0.0402774000, 0.0693071000, 0.1629754000, 0.4775571000, 1.5021843000", \ - "0.0337357000, 0.0369968000, 0.0460878000, 0.0737215000, 0.1651911000, 0.4773439000, 1.5027919000", \ - "0.0463831000, 0.0504869000, 0.0607555000, 0.0858109000, 0.1705038000, 0.4802090000, 1.5010300000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o32ai_1") { - leakage_power () { - value : 0.0010565000; - when : "!A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0001174000; - when : "!A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0010700000; - when : "!A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0010448000; - when : "!A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0015935000; - when : "!A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0008244000; - when : "!A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0008169000; - when : "!A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0020881000; - when : "!A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0014623000; - when : "!A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0008244000; - when : "!A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0006858000; - when : "!A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0019570000; - when : "!A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0009801000; - when : "!A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0008244000; - when : "!A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0002036000; - when : "!A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0014748000; - when : "!A1&A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0021497000; - when : "A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0008249000; - when : "A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0013732000; - when : "A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0026444000; - when : "A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0010457000; - when : "A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0008244000; - when : "A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0002691000; - when : "A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0015403000; - when : "A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0010471000; - when : "A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0008244000; - when : "A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0002706000; - when : "A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0015418000; - when : "A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0009647000; - when : "A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0008244000; - when : "A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0001881000; - when : "A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0014593000; - when : "A1&A2&A3&B1&!B2"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__o32ai"; - cell_leakage_power : 0.0010880000; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023140000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022200000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040336000, 0.0040379000, 0.0040478000, 0.0040465000, 0.0040436000, 0.0040369000, 0.0040215000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004040000, -0.004038200, -0.004034200, -0.004033400, -0.004031600, -0.004027400, -0.004017700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024080000; - } - pin ("A2") { - capacitance : 0.0024000000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022510000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041536000, 0.0041500000, 0.0041417000, 0.0041409000, 0.0041391000, 0.0041349000, 0.0041252000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004142500, -0.004141300, -0.004138400, -0.004139300, -0.004141500, -0.004146400, -0.004157900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025480000; - } - pin ("A3") { - capacitance : 0.0022880000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021160000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038631000, 0.0038633000, 0.0038639000, 0.0038633000, 0.0038620000, 0.0038590000, 0.0038519000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003860400, -0.003859600, -0.003857700, -0.003858500, -0.003860400, -0.003864700, -0.003874600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024590000; - } - pin ("B1") { - capacitance : 0.0023490000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022900000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047217000, 0.0047195000, 0.0047145000, 0.0047155000, 0.0047180000, 0.0047236000, 0.0047366000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000900800, -0.000911500, -0.000936400, -0.000915000, -0.000865800, -0.000752500, -0.000491200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024070000; - } - pin ("B2") { - capacitance : 0.0023840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022710000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046525000, 0.0046562000, 0.0046647000, 0.0046631000, 0.0046594000, 0.0046508000, 0.0046310000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000782500, -0.000795400, -0.000825200, -0.000803700, -0.000754200, -0.000640200, -0.000377400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024980000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2&!A3) | (!B1&!B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010650350, 0.0022686010, 0.0048322810, 0.0102931000, 0.0219250400, 0.0467018800"); - values("0.0084505000, 0.0075356000, 0.0056117000, 0.0014946000, -0.007247800, -0.025960900, -0.065944900", \ - "0.0083346000, 0.0074423000, 0.0054965000, 0.0013719000, -0.007390600, -0.026076500, -0.066071500", \ - "0.0082135000, 0.0073087000, 0.0053724000, 0.0012469000, -0.007489000, -0.026185400, -0.066182100", \ - "0.0080953000, 0.0072047000, 0.0052606000, 0.0011534000, -0.007581400, -0.026281600, -0.066209100", \ - "0.0079965000, 0.0070938000, 0.0051636000, 0.0011280000, -0.007593600, -0.026283200, -0.066241600", \ - "0.0081989000, 0.0072784000, 0.0053402000, 0.0011740000, -0.007697900, -0.026400300, -0.066285000", \ - "0.0088520000, 0.0079102000, 0.0059838000, 0.0017816000, -0.007151800, -0.025949600, -0.066255700"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010650350, 0.0022686010, 0.0048322810, 0.0102931000, 0.0219250400, 0.0467018800"); - values("0.0169744000, 0.0178952000, 0.0198698000, 0.0240255000, 0.0328440000, 0.0515009000, 0.0911492000", \ - "0.0168437000, 0.0177682000, 0.0197584000, 0.0239148000, 0.0327520000, 0.0514729000, 0.0911433000", \ - "0.0166702000, 0.0175989000, 0.0195902000, 0.0237769000, 0.0326374000, 0.0514037000, 0.0910853000", \ - "0.0165289000, 0.0174597000, 0.0194366000, 0.0236245000, 0.0324919000, 0.0512222000, 0.0910126000", \ - "0.0164520000, 0.0173781000, 0.0193545000, 0.0235294000, 0.0323480000, 0.0510735000, 0.0908145000", \ - "0.0164222000, 0.0173437000, 0.0193270000, 0.0234211000, 0.0322650000, 0.0509824000, 0.0907977000", \ - "0.0163092000, 0.0171730000, 0.0191886000, 0.0235312000, 0.0324882000, 0.0511474000, 0.0909078000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010650350, 0.0022686010, 0.0048322810, 0.0102931000, 0.0219250400, 0.0467018800"); - values("0.0073036000, 0.0063960000, 0.0044998000, 0.0003681000, -0.008419300, -0.027168700, -0.067207300", \ - "0.0072706000, 0.0063660000, 0.0044654000, 0.0003397000, -0.008437100, -0.027205600, -0.067242000", \ - "0.0071811000, 0.0062887000, 0.0043653000, 0.0002790000, -0.008493100, -0.027233600, -0.067257300", \ - "0.0069962000, 0.0061038000, 0.0042177000, 0.0001478000, -0.008583800, -0.027287500, -0.067308700", \ - "0.0067951000, 0.0059052000, 0.0040097000, 4.400000e-06, -0.008672000, -0.027336600, -0.067309900", \ - "0.0068453000, 0.0059485000, 0.0040290000, -4.89000e-05, -0.008872600, -0.027562700, -0.067400600", \ - "0.0073328000, 0.0064228000, 0.0044391000, 0.0002640000, -0.008602500, -0.027400700, -0.067511700"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010650350, 0.0022686010, 0.0048322810, 0.0102931000, 0.0219250400, 0.0467018800"); - values("0.0149354000, 0.0158544000, 0.0178340000, 0.0219918000, 0.0307804000, 0.0494932000, 0.0891640000", \ - "0.0148074000, 0.0157249000, 0.0176979000, 0.0218830000, 0.0307069000, 0.0494553000, 0.0890618000", \ - "0.0146568000, 0.0155410000, 0.0175313000, 0.0217344000, 0.0305979000, 0.0493718000, 0.0889726000", \ - "0.0144488000, 0.0153787000, 0.0173624000, 0.0215553000, 0.0304342000, 0.0492390000, 0.0889499000", \ - "0.0143587000, 0.0152726000, 0.0172455000, 0.0214121000, 0.0302870000, 0.0490702000, 0.0888478000", \ - "0.0143296000, 0.0152463000, 0.0171661000, 0.0213630000, 0.0302601000, 0.0489942000, 0.0886576000", \ - "0.0145951000, 0.0154614000, 0.0173645000, 0.0214878000, 0.0302988000, 0.0490705000, 0.0890133000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010650350, 0.0022686010, 0.0048322810, 0.0102931000, 0.0219250400, 0.0467018800"); - values("0.0053616000, 0.0044624000, 0.0025598000, -0.001541000, -0.010334200, -0.029111100, -0.069196100", \ - "0.0053466000, 0.0044673000, 0.0025713000, -0.001509800, -0.010276300, -0.029041500, -0.069118800", \ - "0.0052563000, 0.0043844000, 0.0025263000, -0.001516000, -0.010252600, -0.028995100, -0.069049800", \ - "0.0050772000, 0.0042070000, 0.0023495000, -0.001664800, -0.010343000, -0.029025800, -0.069040100", \ - "0.0049490000, 0.0040849000, 0.0021343000, -0.001904300, -0.010538400, -0.029119000, -0.069079300", \ - "0.0048284000, 0.0039590000, 0.0020620000, -0.002055700, -0.010730300, -0.029422600, -0.069256000", \ - "0.0053361000, 0.0043713000, 0.0024268000, -0.001759300, -0.010620400, -0.029371700, -0.069409600"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010650350, 0.0022686010, 0.0048322810, 0.0102931000, 0.0219250400, 0.0467018800"); - values("0.0128332000, 0.0137270000, 0.0157013000, 0.0198767000, 0.0287018000, 0.0473687000, 0.0870564000", \ - "0.0125885000, 0.0135693000, 0.0155133000, 0.0197372000, 0.0285972000, 0.0473475000, 0.0870827000", \ - "0.0123019000, 0.0132323000, 0.0152367000, 0.0194966000, 0.0284003000, 0.0471707000, 0.0868694000", \ - "0.0121805000, 0.0130937000, 0.0150996000, 0.0192769000, 0.0281942000, 0.0470184000, 0.0867944000", \ - "0.0121846000, 0.0130986000, 0.0150102000, 0.0192430000, 0.0280587000, 0.0468155000, 0.0866758000", \ - "0.0124177000, 0.0133351000, 0.0152307000, 0.0193591000, 0.0281273000, 0.0467924000, 0.0863174000", \ - "0.0139318000, 0.0148060000, 0.0167579000, 0.0205309000, 0.0290971000, 0.0476493000, 0.0870039000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010650350, 0.0022686010, 0.0048322810, 0.0102931000, 0.0219250400, 0.0467018800"); - values("0.0053638000, 0.0044605000, 0.0025212000, -0.001578400, -0.010337800, -0.029036600, -0.069008400", \ - "0.0052344000, 0.0043373000, 0.0024152000, -0.001679800, -0.010420800, -0.029113600, -0.069086500", \ - "0.0051117000, 0.0042071000, 0.0022923000, -0.001799100, -0.010489700, -0.029150800, -0.069111300", \ - "0.0049897000, 0.0040989000, 0.0021698000, -0.001913800, -0.010605300, -0.029267200, -0.069178000", \ - "0.0048128000, 0.0039145000, 0.0019946000, -0.002059200, -0.010786700, -0.029424700, -0.069309000", \ - "0.0051782000, 0.0042459000, 0.0022720000, -0.001912700, -0.010680400, -0.029407300, -0.069373500", \ - "0.0063038000, 0.0053694000, 0.0033604000, -0.000818500, -0.009969400, -0.028866000, -0.069332100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010650350, 0.0022686010, 0.0048322810, 0.0102931000, 0.0219250400, 0.0467018800"); - values("0.0092591000, 0.0102292000, 0.0122349000, 0.0164523000, 0.0252434000, 0.0438819000, 0.0833590000", \ - "0.0090353000, 0.0100097000, 0.0120555000, 0.0163062000, 0.0252005000, 0.0438567000, 0.0834674000", \ - "0.0087895000, 0.0097619000, 0.0117894000, 0.0160642000, 0.0250086000, 0.0437626000, 0.0834635000", \ - "0.0086218000, 0.0095605000, 0.0115681000, 0.0157891000, 0.0247165000, 0.0435283000, 0.0832051000", \ - "0.0085034000, 0.0094375000, 0.0114108000, 0.0155988000, 0.0244770000, 0.0432290000, 0.0829535000", \ - "0.0085160000, 0.0094308000, 0.0113808000, 0.0155696000, 0.0244688000, 0.0431635000, 0.0829149000", \ - "0.0091595000, 0.0099998000, 0.0118195000, 0.0157545000, 0.0246286000, 0.0432370000, 0.0830261000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010650350, 0.0022686010, 0.0048322810, 0.0102931000, 0.0219250400, 0.0467018800"); - values("0.0039626000, 0.0030755000, 0.0011775000, -0.002894900, -0.011605500, -0.030301700, -0.070274500", \ - "0.0039223000, 0.0030460000, 0.0011563000, -0.002885700, -0.011578600, -0.030239200, -0.070239700", \ - "0.0038586000, 0.0029788000, 0.0010930000, -0.002955600, -0.011595800, -0.030222100, -0.070183300", \ - "0.0036260000, 0.0027521000, 0.0008592000, -0.003103200, -0.011750100, -0.030355400, -0.070272300", \ - "0.0034494000, 0.0025482000, 0.0006576000, -0.003411300, -0.012019600, -0.030555700, -0.070404500", \ - "0.0038152000, 0.0028908000, 0.0009761000, -0.003183400, -0.012222400, -0.030855500, -0.070632000", \ - "0.0046821000, 0.0037168000, 0.0016617000, -0.002613200, -0.011587400, -0.030361600, -0.070603800"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010650350, 0.0022686010, 0.0048322810, 0.0102931000, 0.0219250400, 0.0467018800"); - values("0.0069346000, 0.0079385000, 0.0100013000, 0.0142260000, 0.0230641000, 0.0417195000, 0.0812153000", \ - "0.0066224000, 0.0076115000, 0.0097110000, 0.0140205000, 0.0229713000, 0.0416857000, 0.0813538000", \ - "0.0063977000, 0.0073732000, 0.0093859000, 0.0136661000, 0.0226882000, 0.0415631000, 0.0812689000", \ - "0.0062469000, 0.0071916000, 0.0092109000, 0.0134721000, 0.0222631000, 0.0412134000, 0.0810438000", \ - "0.0065361000, 0.0074660000, 0.0093951000, 0.0134945000, 0.0223259000, 0.0408654000, 0.0806014000", \ - "0.0069641000, 0.0078589000, 0.0097922000, 0.0140069000, 0.0226027000, 0.0409754000, 0.0803789000", \ - "0.0083229000, 0.0091343000, 0.0109274000, 0.0148698000, 0.0233991000, 0.0415580000, 0.0809769000"); - } - } - max_capacitance : 0.0467020000; - max_transition : 1.4953670000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.0538109000, 0.0576053000, 0.0653024000, 0.0810840000, 0.1126344000, 0.1770830000, 0.3116990000", \ - "0.0583823000, 0.0621402000, 0.0698918000, 0.0855500000, 0.1171029000, 0.1816594000, 0.3162323000", \ - "0.0682308000, 0.0720569000, 0.0797590000, 0.0954614000, 0.1271055000, 0.1915832000, 0.3260724000", \ - "0.0884653000, 0.0922636000, 0.1001788000, 0.1157430000, 0.1472645000, 0.2119728000, 0.3465448000", \ - "0.1216454000, 0.1266948000, 0.1363459000, 0.1555051000, 0.1909282000, 0.2576373000, 0.3925764000", \ - "0.1624402000, 0.1699913000, 0.1847187000, 0.2123873000, 0.2615770000, 0.3462331000, 0.4971355000", \ - "0.1907046000, 0.2021781000, 0.2248869000, 0.2683492000, 0.3446144000, 0.4729305000, 0.6770763000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.1972416000, 0.2096483000, 0.2356759000, 0.2923043000, 0.4098045000, 0.6601927000, 1.1910628000", \ - "0.2019882000, 0.2138734000, 0.2411363000, 0.2971040000, 0.4157067000, 0.6656225000, 1.1967581000", \ - "0.2130040000, 0.2252161000, 0.2528381000, 0.3088017000, 0.4272094000, 0.6779989000, 1.2096175000", \ - "0.2382785000, 0.2508334000, 0.2768954000, 0.3333758000, 0.4528401000, 0.7039640000, 1.2352159000", \ - "0.2901737000, 0.3032068000, 0.3291843000, 0.3852666000, 0.5041222000, 0.7555893000, 1.2879194000", \ - "0.3899679000, 0.4038339000, 0.4339893000, 0.4947869000, 0.6162316000, 0.8670129000, 1.3989955000", \ - "0.5560324000, 0.5749519000, 0.6124687000, 0.6900009000, 0.8380663000, 1.1161817000, 1.6530274000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.0403907000, 0.0448204000, 0.0541180000, 0.0734485000, 0.1130485000, 0.1973208000, 0.3758228000", \ - "0.0402497000, 0.0447659000, 0.0539055000, 0.0730389000, 0.1131746000, 0.1970695000, 0.3771305000", \ - "0.0398497000, 0.0442789000, 0.0536046000, 0.0729094000, 0.1130184000, 0.1969194000, 0.3771408000", \ - "0.0436019000, 0.0477365000, 0.0559859000, 0.0741354000, 0.1131557000, 0.1968140000, 0.3760735000", \ - "0.0603743000, 0.0647145000, 0.0731888000, 0.0906355000, 0.1258509000, 0.2026668000, 0.3763891000", \ - "0.0968739000, 0.1022712000, 0.1130148000, 0.1338932000, 0.1738053000, 0.2475359000, 0.4019818000", \ - "0.1658123000, 0.1738356000, 0.1894608000, 0.2184402000, 0.2710646000, 0.3628520000, 0.5219934000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.1466796000, 0.1633888000, 0.1987422000, 0.2733142000, 0.4312903000, 0.7652372000, 1.4792199000", \ - "0.1468112000, 0.1635241000, 0.1990465000, 0.2732478000, 0.4313201000, 0.7656195000, 1.4753609000", \ - "0.1468311000, 0.1634965000, 0.1990168000, 0.2732713000, 0.4313275000, 0.7658119000, 1.4756499000", \ - "0.1471518000, 0.1634408000, 0.1987653000, 0.2731367000, 0.4312193000, 0.7674066000, 1.4759463000", \ - "0.1500814000, 0.1663698000, 0.2006531000, 0.2739014000, 0.4317805000, 0.7659793000, 1.4769083000", \ - "0.1786760000, 0.1946168000, 0.2286013000, 0.2957587000, 0.4441111000, 0.7682570000, 1.4802926000", \ - "0.2478659000, 0.2648734000, 0.3005739000, 0.3752888000, 0.5245928000, 0.8226186000, 1.4953674000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.0516973000, 0.0552033000, 0.0621879000, 0.0769335000, 0.1069121000, 0.1698477000, 0.3023958000", \ - "0.0563944000, 0.0599037000, 0.0670130000, 0.0818184000, 0.1117864000, 0.1747469000, 0.3071822000", \ - "0.0660649000, 0.0695988000, 0.0768121000, 0.0914847000, 0.1216357000, 0.1845838000, 0.3170711000", \ - "0.0846826000, 0.0883204000, 0.0959413000, 0.1109813000, 0.1413334000, 0.2042838000, 0.3371161000", \ - "0.1128249000, 0.1179561000, 0.1276397000, 0.1466457000, 0.1825205000, 0.2488186000, 0.3819536000", \ - "0.1430407000, 0.1506581000, 0.1652532000, 0.1940565000, 0.2449431000, 0.3311894000, 0.4830256000", \ - "0.1489490000, 0.1613379000, 0.1858364000, 0.2315740000, 0.3111588000, 0.4426401000, 0.6506600000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.1874816000, 0.1992541000, 0.2264144000, 0.2822782000, 0.4007314000, 0.6504023000, 1.1814510000", \ - "0.1908816000, 0.2032532000, 0.2304157000, 0.2862248000, 0.4044114000, 0.6550428000, 1.1869883000", \ - "0.2004933000, 0.2142569000, 0.2409390000, 0.2970945000, 0.4163769000, 0.6668779000, 1.1995341000", \ - "0.2280714000, 0.2393673000, 0.2674009000, 0.3236254000, 0.4426687000, 0.6935855000, 1.2258482000", \ - "0.2877323000, 0.3000775000, 0.3266871000, 0.3827855000, 0.5016565000, 0.7531145000, 1.2854928000", \ - "0.4101270000, 0.4251943000, 0.4561261000, 0.5187469000, 0.6408560000, 0.8919959000, 1.4246739000", \ - "0.6206513000, 0.6427830000, 0.6868859000, 0.7719650000, 0.9267994000, 1.2119046000, 1.7490075000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.0340895000, 0.0382365000, 0.0471218000, 0.0659649000, 0.1052312000, 0.1886529000, 0.3660441000", \ - "0.0341214000, 0.0382274000, 0.0471681000, 0.0659393000, 0.1053488000, 0.1892158000, 0.3669085000", \ - "0.0339650000, 0.0382388000, 0.0469718000, 0.0657874000, 0.1051641000, 0.1892494000, 0.3673678000", \ - "0.0382911000, 0.0422307000, 0.0501613000, 0.0675236000, 0.1059497000, 0.1889019000, 0.3678426000", \ - "0.0546018000, 0.0588986000, 0.0673935000, 0.0854009000, 0.1202354000, 0.1956297000, 0.3678528000", \ - "0.0906221000, 0.0961918000, 0.1074623000, 0.1285369000, 0.1675235000, 0.2418338000, 0.3958574000", \ - "0.1592055000, 0.1672412000, 0.1840283000, 0.2131141000, 0.2667812000, 0.3580654000, 0.5167683000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.1468242000, 0.1635195000, 0.1990355000, 0.2732429000, 0.4312059000, 0.7656724000, 1.4749378000", \ - "0.1468541000, 0.1635154000, 0.1987564000, 0.2732786000, 0.4311517000, 0.7656671000, 1.4793374000", \ - "0.1477751000, 0.1637274000, 0.1986278000, 0.2730994000, 0.4310806000, 0.7658744000, 1.4767386000", \ - "0.1466698000, 0.1634225000, 0.1989804000, 0.2732351000, 0.4307661000, 0.7659355000, 1.4747961000", \ - "0.1521587000, 0.1682257000, 0.2018275000, 0.2735607000, 0.4310813000, 0.7681442000, 1.4765789000", \ - "0.1926501000, 0.2083062000, 0.2386122000, 0.3030482000, 0.4464000000, 0.7683175000, 1.4769687000", \ - "0.2873352000, 0.3036861000, 0.3391478000, 0.4110740000, 0.5481087000, 0.8307390000, 1.4909177000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.0414762000, 0.0447294000, 0.0514278000, 0.0652017000, 0.0938448000, 0.1539170000, 0.2810837000", \ - "0.0463950000, 0.0496171000, 0.0563712000, 0.0702430000, 0.0989404000, 0.1590094000, 0.2861909000", \ - "0.0561693000, 0.0594180000, 0.0662259000, 0.0802082000, 0.1090396000, 0.1692997000, 0.2964023000", \ - "0.0733510000, 0.0773542000, 0.0849391000, 0.0999434000, 0.1291735000, 0.1896539000, 0.3170701000", \ - "0.0958582000, 0.1014303000, 0.1125890000, 0.1327972000, 0.1693633000, 0.2352046000, 0.3631861000", \ - "0.1141572000, 0.1226313000, 0.1403848000, 0.1718634000, 0.2261881000, 0.3148938000, 0.4645409000", \ - "0.0993982000, 0.1134017000, 0.1415842000, 0.1929734000, 0.2798097000, 0.4174044000, 0.6289993000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.1512079000, 0.1641465000, 0.1905577000, 0.2459152000, 0.3648797000, 0.6153415000, 1.1473881000", \ - "0.1533082000, 0.1660261000, 0.1934253000, 0.2492810000, 0.3682589000, 0.6187411000, 1.1501823000", \ - "0.1617942000, 0.1742261000, 0.2016051000, 0.2578868000, 0.3774282000, 0.6290679000, 1.1612296000", \ - "0.1870891000, 0.2000238000, 0.2263571000, 0.2828452000, 0.4017257000, 0.6538750000, 1.1863918000", \ - "0.2520611000, 0.2640748000, 0.2900720000, 0.3449202000, 0.4638558000, 0.7144905000, 1.2466679000", \ - "0.3885757000, 0.4049485000, 0.4374330000, 0.4984732000, 0.6170560000, 0.8659994000, 1.3981528000", \ - "0.6117539000, 0.6358692000, 0.6847606000, 0.7753008000, 0.9394420000, 1.2213117000, 1.7419314000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.0260411000, 0.0299142000, 0.0383069000, 0.0560135000, 0.0936054000, 0.1738212000, 0.3443511000", \ - "0.0259986000, 0.0299554000, 0.0382678000, 0.0560730000, 0.0938371000, 0.1737570000, 0.3442829000", \ - "0.0262185000, 0.0299707000, 0.0382759000, 0.0559929000, 0.0936892000, 0.1744132000, 0.3445203000", \ - "0.0325296000, 0.0359339000, 0.0434622000, 0.0592038000, 0.0949626000, 0.1740133000, 0.3454461000", \ - "0.0500503000, 0.0542616000, 0.0625156000, 0.0793322000, 0.1121242000, 0.1827288000, 0.3460488000", \ - "0.0859658000, 0.0919693000, 0.1029657000, 0.1242979000, 0.1627896000, 0.2340096000, 0.3769582000", \ - "0.1546712000, 0.1628623000, 0.1799564000, 0.2100152000, 0.2625221000, 0.3515733000, 0.5047988000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.1472939000, 0.1637350000, 0.1985821000, 0.2731971000, 0.4311095000, 0.7660078000, 1.4803831000", \ - "0.1467491000, 0.1639087000, 0.1986858000, 0.2733030000, 0.4308303000, 0.7656862000, 1.4778877000", \ - "0.1469442000, 0.1633849000, 0.1989392000, 0.2733086000, 0.4308443000, 0.7655554000, 1.4769365000", \ - "0.1460724000, 0.1628990000, 0.1987513000, 0.2731753000, 0.4312823000, 0.7652844000, 1.4798445000", \ - "0.1550737000, 0.1701640000, 0.2026594000, 0.2731603000, 0.4314250000, 0.7672991000, 1.4755538000", \ - "0.2095283000, 0.2258365000, 0.2574614000, 0.3161848000, 0.4513519000, 0.7688647000, 1.4818906000", \ - "0.3103705000, 0.3328575000, 0.3772841000, 0.4539692000, 0.5940025000, 0.8617571000, 1.4913855000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.0442872000, 0.0480575000, 0.0558134000, 0.0714008000, 0.1030495000, 0.1675757000, 0.3021060000", \ - "0.0484178000, 0.0522342000, 0.0599690000, 0.0755880000, 0.1071075000, 0.1718908000, 0.3064394000", \ - "0.0587696000, 0.0625081000, 0.0702099000, 0.0858719000, 0.1174351000, 0.1820496000, 0.3167544000", \ - "0.0838553000, 0.0879281000, 0.0958214000, 0.1110005000, 0.1418575000, 0.2065025000, 0.3406365000", \ - "0.1195662000, 0.1254878000, 0.1371429000, 0.1590771000, 0.1972271000, 0.2637835000, 0.3965862000", \ - "0.1593606000, 0.1681873000, 0.1856312000, 0.2193222000, 0.2772135000, 0.3734314000, 0.5301776000", \ - "0.1871083000, 0.2002678000, 0.2264771000, 0.2773252000, 0.3667753000, 0.5161541000, 0.7536715000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.0686993000, 0.0769148000, 0.0942763000, 0.1300568000, 0.2047140000, 0.3642871000, 0.7002273000", \ - "0.0730582000, 0.0814986000, 0.0989361000, 0.1351254000, 0.2119405000, 0.3694003000, 0.7075689000", \ - "0.0846161000, 0.0928454000, 0.1102181000, 0.1466070000, 0.2230101000, 0.3822705000, 0.7218282000", \ - "0.1102529000, 0.1184048000, 0.1354817000, 0.1715199000, 0.2473676000, 0.4088113000, 0.7457089000", \ - "0.1556831000, 0.1664972000, 0.1883110000, 0.2286705000, 0.3052101000, 0.4655426000, 0.8059902000", \ - "0.2281159000, 0.2449605000, 0.2758054000, 0.3333141000, 0.4329116000, 0.5998647000, 0.9387587000", \ - "0.3482098000, 0.3742315000, 0.4213882000, 0.5061294000, 0.6452499000, 0.8712081000, 1.2520818000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.0400026000, 0.0445084000, 0.0537568000, 0.0729829000, 0.1127941000, 0.1970600000, 0.3754672000", \ - "0.0399083000, 0.0443614000, 0.0536579000, 0.0729701000, 0.1129296000, 0.1967974000, 0.3760629000", \ - "0.0391716000, 0.0435078000, 0.0528132000, 0.0724534000, 0.1128312000, 0.1971369000, 0.3754662000", \ - "0.0483178000, 0.0521387000, 0.0592666000, 0.0758993000, 0.1133907000, 0.1969258000, 0.3754940000", \ - "0.0714955000, 0.0766931000, 0.0868687000, 0.1053701000, 0.1379134000, 0.2084120000, 0.3761424000", \ - "0.1145309000, 0.1223594000, 0.1373651000, 0.1635764000, 0.2089206000, 0.2867786000, 0.4220497000", \ - "0.1869834000, 0.1988963000, 0.2217037000, 0.2635580000, 0.3301356000, 0.4405234000, 0.6108868000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.0820968000, 0.0930240000, 0.1160441000, 0.1644009000, 0.2657688000, 0.4809725000, 0.9404265000", \ - "0.0820709000, 0.0930309000, 0.1160485000, 0.1643763000, 0.2660529000, 0.4806679000, 0.9407830000", \ - "0.0821441000, 0.0930185000, 0.1160572000, 0.1643872000, 0.2659949000, 0.4813291000, 0.9381858000", \ - "0.0861944000, 0.0961525000, 0.1175139000, 0.1644922000, 0.2659703000, 0.4813412000, 0.9415468000", \ - "0.1105887000, 0.1186292000, 0.1362028000, 0.1773795000, 0.2703250000, 0.4812163000, 0.9420047000", \ - "0.1648094000, 0.1736015000, 0.1921684000, 0.2325917000, 0.3142465000, 0.5007036000, 0.9399550000", \ - "0.2653663000, 0.2754410000, 0.2982514000, 0.3440913000, 0.4361282000, 0.6140372000, 1.0027759000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.0327650000, 0.0365210000, 0.0440447000, 0.0591500000, 0.0891780000, 0.1504503000, 0.2777571000", \ - "0.0370896000, 0.0408226000, 0.0483638000, 0.0634790000, 0.0936662000, 0.1549066000, 0.2824058000", \ - "0.0482794000, 0.0517258000, 0.0589904000, 0.0736283000, 0.1037641000, 0.1651182000, 0.2926766000", \ - "0.0697290000, 0.0742103000, 0.0830500000, 0.0984711000, 0.1280276000, 0.1890414000, 0.3165869000", \ - "0.0954307000, 0.1020500000, 0.1148509000, 0.1382651000, 0.1788771000, 0.2448415000, 0.3718613000", \ - "0.1177991000, 0.1277512000, 0.1471696000, 0.1828799000, 0.2437713000, 0.3440481000, 0.4965859000", \ - "0.1131635000, 0.1282005000, 0.1576691000, 0.2111832000, 0.3063628000, 0.4580747000, 0.6962309000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.0555502000, 0.0641155000, 0.0816066000, 0.1180157000, 0.1927641000, 0.3524766000, 0.6884416000", \ - "0.0582424000, 0.0666907000, 0.0845114000, 0.1210455000, 0.1979584000, 0.3563199000, 0.6943864000", \ - "0.0692404000, 0.0774002000, 0.0946598000, 0.1310368000, 0.2080993000, 0.3688500000, 0.7085060000", \ - "0.0981090000, 0.1063896000, 0.1231092000, 0.1590726000, 0.2338663000, 0.3958376000, 0.7355109000", \ - "0.1488020000, 0.1617575000, 0.1854276000, 0.2271003000, 0.3011050000, 0.4597937000, 0.7975913000", \ - "0.2313171000, 0.2514875000, 0.2873733000, 0.3512613000, 0.4545420000, 0.6171752000, 0.9561725000", \ - "0.3751176000, 0.4029364000, 0.4561913000, 0.5518139000, 0.7089003000, 0.9556627000, 1.3268219000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.0320921000, 0.0363063000, 0.0450715000, 0.0629790000, 0.1008749000, 0.1809603000, 0.3495221000", \ - "0.0317083000, 0.0360246000, 0.0449556000, 0.0631407000, 0.1011194000, 0.1807937000, 0.3505629000", \ - "0.0321019000, 0.0360729000, 0.0443809000, 0.0622776000, 0.1004718000, 0.1802463000, 0.3496344000", \ - "0.0432168000, 0.0470688000, 0.0547695000, 0.0688053000, 0.1025043000, 0.1805984000, 0.3502459000", \ - "0.0660644000, 0.0713014000, 0.0812659000, 0.1002268000, 0.1310036000, 0.1952920000, 0.3516376000", \ - "0.1075472000, 0.1154722000, 0.1305289000, 0.1567261000, 0.2011357000, 0.2727367000, 0.4049756000", \ - "0.1805364000, 0.1925878000, 0.2156077000, 0.2558641000, 0.3224783000, 0.4328216000, 0.5931330000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010650400, 0.0022686000, 0.0048322800, 0.0102931000, 0.0219250000, 0.0467019000"); - values("0.0820941000, 0.0930124000, 0.1160262000, 0.1644383000, 0.2659122000, 0.4809900000, 0.9406065000", \ - "0.0820307000, 0.0930039000, 0.1160514000, 0.1643447000, 0.2659636000, 0.4812088000, 0.9405722000", \ - "0.0817914000, 0.0928619000, 0.1160209000, 0.1643999000, 0.2659419000, 0.4813894000, 0.9404949000", \ - "0.0906468000, 0.0995211000, 0.1189714000, 0.1644854000, 0.2659010000, 0.4814907000, 0.9399922000", \ - "0.1287834000, 0.1355663000, 0.1499217000, 0.1856137000, 0.2720979000, 0.4812865000, 0.9412476000", \ - "0.1950066000, 0.2052107000, 0.2250202000, 0.2636567000, 0.3352499000, 0.5100148000, 0.9410714000", \ - "0.3039070000, 0.3181693000, 0.3475824000, 0.4050134000, 0.5024801000, 0.6698463000, 1.0188280000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o32ai_2") { - leakage_power () { - value : 0.0024581000; - when : "!A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0002720000; - when : "!A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0025117000; - when : "!A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0024794000; - when : "!A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0071137000; - when : "!A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0019872000; - when : "!A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0036694000; - when : "!A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0058065000; - when : "!A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0059094000; - when : "!A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0019872000; - when : "!A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0024651000; - when : "!A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0046021000; - when : "!A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0039442000; - when : "!A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0019870000; - when : "!A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0004999000; - when : "!A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0026370000; - when : "!A1&A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0082322000; - when : "A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0019879000; - when : "A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0047879000; - when : "A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0069249000; - when : "A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0041888000; - when : "A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0019869000; - when : "A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0007441000; - when : "A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0028811000; - when : "A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0041693000; - when : "A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0019873000; - when : "A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0007250000; - when : "A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0028621000; - when : "A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0038728000; - when : "A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0019873000; - when : "A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0004292000; - when : "A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0025663000; - when : "A1&A2&A3&B1&!B2"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__o32ai"; - cell_leakage_power : 0.0031457140; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0044600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080710000, 0.0080747000, 0.0080833000, 0.0080870000, 0.0080954000, 0.0081148000, 0.0081594000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008062700, -0.008060000, -0.008053900, -0.008048700, -0.008036700, -0.008009100, -0.007945500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046440000; - } - pin ("A2") { - capacitance : 0.0043190000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040290000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080025000, 0.0079950000, 0.0079777000, 0.0079804000, 0.0079868000, 0.0080015000, 0.0080354000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007961200, -0.007959400, -0.007955200, -0.007952000, -0.007944600, -0.007927500, -0.007888100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046100000; - } - pin ("A3") { - capacitance : 0.0043800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040460000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080373000, 0.0080324000, 0.0080211000, 0.0080221000, 0.0080246000, 0.0080303000, 0.0080434000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008008400, -0.008003400, -0.007991900, -0.007993200, -0.007996100, -0.008002800, -0.008018300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047130000; - } - pin ("B1") { - capacitance : 0.0043800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042140000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0093221000, 0.0093239000, 0.0093282000, 0.0093247000, 0.0093167000, 0.0092983000, 0.0092560000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-9.0112541e-05, -0.000125900, -0.000208500, -0.000154400, -2.9819422e-05, 0.0002575000, 0.0009198000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045460000; - } - pin ("B2") { - capacitance : 0.0042410000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040200000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0090935000, 0.0090990000, 0.0091118000, 0.0091079000, 0.0090990000, 0.0090783000, 0.0090308000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("1.9146015e-05, 7.8563398e-06, -1.8161713e-05, 2.7915349e-05, 0.0001341000, 0.0003790000, 0.0009434000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044620000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2&!A3) | (!B1&!B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0175556000, 0.0164652000, 0.0138249000, 0.0076540000, -0.006961300, -0.041778000, -0.124556600", \ - "0.0173055000, 0.0162287000, 0.0135962000, 0.0073997000, -0.007243800, -0.042009400, -0.124790500", \ - "0.0170672000, 0.0159480000, 0.0133573000, 0.0071613000, -0.007461200, -0.042236900, -0.125059000", \ - "0.0168232000, 0.0156769000, 0.0130779000, 0.0068887000, -0.007724300, -0.042401200, -0.125187900", \ - "0.0166202000, 0.0155231000, 0.0129111000, 0.0068195000, -0.007710600, -0.042413100, -0.125179400", \ - "0.0168776000, 0.0157764000, 0.0131375000, 0.0068611000, -0.008039200, -0.042569700, -0.125299000", \ - "0.0185448000, 0.0174066000, 0.0146820000, 0.0083756000, -0.006523800, -0.041817000, -0.124931400"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0353707000, 0.0364877000, 0.0391734000, 0.0454181000, 0.0602466000, 0.0950800000, 0.1773594000", \ - "0.0350492000, 0.0361794000, 0.0388783000, 0.0451609000, 0.0600381000, 0.0949119000, 0.1772284000", \ - "0.0346577000, 0.0357573000, 0.0384725000, 0.0448051000, 0.0597287000, 0.0946977000, 0.1771261000", \ - "0.0342725000, 0.0354453000, 0.0380699000, 0.0444059000, 0.0593388000, 0.0944184000, 0.1766787000", \ - "0.0339793000, 0.0351202000, 0.0377416000, 0.0440441000, 0.0589739000, 0.0940018000, 0.1763440000", \ - "0.0338955000, 0.0350350000, 0.0376594000, 0.0439547000, 0.0587275000, 0.0937417000, 0.1762570000", \ - "0.0336607000, 0.0347467000, 0.0373547000, 0.0441797000, 0.0591506000, 0.0939536000, 0.1760160000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0149282000, 0.0138724000, 0.0112594000, 0.0051149000, -0.009511700, -0.044387800, -0.127300000", \ - "0.0148860000, 0.0137994000, 0.0111948000, 0.0050670000, -0.009565500, -0.044490400, -0.127330200", \ - "0.0146834000, 0.0135996000, 0.0110169000, 0.0049140000, -0.009676900, -0.044497900, -0.127429400", \ - "0.0143172000, 0.0132553000, 0.0106763000, 0.0045810000, -0.009958400, -0.044722400, -0.127494700", \ - "0.0138575000, 0.0128266000, 0.0102497000, 0.0041678000, -0.010178600, -0.044801900, -0.127559100", \ - "0.0140281000, 0.0129512000, 0.0103525000, 0.0041179000, -0.010523000, -0.045263400, -0.127905100", \ - "0.0151972000, 0.0141486000, 0.0113930000, 0.0051649000, -0.009685500, -0.044814800, -0.127978100"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0306805000, 0.0318172000, 0.0345840000, 0.0407619000, 0.0555517000, 0.0904611000, 0.1726422000", \ - "0.0303802000, 0.0315632000, 0.0342053000, 0.0405129000, 0.0553849000, 0.0902169000, 0.1725003000", \ - "0.0298902000, 0.0310450000, 0.0338305000, 0.0401115000, 0.0550331000, 0.0900375000, 0.1723727000", \ - "0.0295431000, 0.0306509000, 0.0333353000, 0.0396916000, 0.0546197000, 0.0897171000, 0.1722763000", \ - "0.0292589000, 0.0303627000, 0.0330192000, 0.0393196000, 0.0541736000, 0.0892577000, 0.1717835000", \ - "0.0291531000, 0.0302608000, 0.0329638000, 0.0392861000, 0.0542041000, 0.0890906000, 0.1715070000", \ - "0.0299552000, 0.0309947000, 0.0335675000, 0.0397636000, 0.0544513000, 0.0892751000, 0.1719202000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0107905000, 0.0097229000, 0.0071680000, 0.0010810000, -0.013543900, -0.048452300, -0.131477200", \ - "0.0107445000, 0.0097007000, 0.0071635000, 0.0011255000, -0.013443100, -0.048281400, -0.131278800", \ - "0.0106197000, 0.0095799000, 0.0070758000, 0.0010804000, -0.013385200, -0.048158600, -0.131131900", \ - "0.0102391000, 0.0092200000, 0.0067580000, 0.0008186000, -0.013567700, -0.048237600, -0.131057300", \ - "0.0099021000, 0.0088473000, 0.0063069000, 0.0003451000, -0.013972200, -0.048440800, -0.131094600", \ - "0.0100372000, 0.0089236000, 0.0063201000, 0.0001880000, -0.014330900, -0.049036400, -0.131492900", \ - "0.0114896000, 0.0103224000, 0.0075902000, 0.0012590000, -0.013687200, -0.048675100, -0.131677700"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0261873000, 0.0272398000, 0.0299319000, 0.0363362000, 0.0510740000, 0.0859209000, 0.1682567000", \ - "0.0256059000, 0.0268420000, 0.0294174000, 0.0358195000, 0.0507620000, 0.0857339000, 0.1681603000", \ - "0.0250470000, 0.0261556000, 0.0289782000, 0.0353403000, 0.0503634000, 0.0854672000, 0.1678893000", \ - "0.0245635000, 0.0256618000, 0.0282678000, 0.0346592000, 0.0497173000, 0.0850106000, 0.1675389000", \ - "0.0244135000, 0.0255507000, 0.0281880000, 0.0344938000, 0.0493378000, 0.0844489000, 0.1671472000", \ - "0.0253456000, 0.0264966000, 0.0290469000, 0.0351430000, 0.0498122000, 0.0845554000, 0.1668986000", \ - "0.0289201000, 0.0299704000, 0.0324765000, 0.0384541000, 0.0533043000, 0.0872556000, 0.1675651000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0101647000, 0.0090929000, 0.0064631000, 0.0002802000, -0.014343100, -0.049143600, -0.131939900", \ - "0.0099520000, 0.0088442000, 0.0062370000, 0.0001009000, -0.014520800, -0.049250200, -0.132061700", \ - "0.0096867000, 0.0086160000, 0.0059649000, -0.000148500, -0.014703500, -0.049363300, -0.132127700", \ - "0.0093064000, 0.0082743000, 0.0056897000, -0.000480100, -0.015065200, -0.049640100, -0.132344100", \ - "0.0089545000, 0.0078604000, 0.0052802000, -0.000850200, -0.015296000, -0.049940400, -0.132621800", \ - "0.0096956000, 0.0085514000, 0.0058718000, -0.000479900, -0.015414000, -0.049999500, -0.132675500", \ - "0.0116525000, 0.0105093000, 0.0077828000, 0.0013185000, -0.013894000, -0.049387500, -0.132988000"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0176272000, 0.0188335000, 0.0216127000, 0.0280402000, 0.0428861000, 0.0776291000, 0.1596897000", \ - "0.0171928000, 0.0184074000, 0.0212231000, 0.0277481000, 0.0427734000, 0.0776519000, 0.1597299000", \ - "0.0167521000, 0.0179232000, 0.0207034000, 0.0272209000, 0.0423810000, 0.0774004000, 0.1597470000", \ - "0.0164714000, 0.0176235000, 0.0203466000, 0.0267289000, 0.0417434000, 0.0769060000, 0.1593820000", \ - "0.0162425000, 0.0173516000, 0.0200185000, 0.0263235000, 0.0411980000, 0.0762654000, 0.1588414000", \ - "0.0163042000, 0.0174255000, 0.0200599000, 0.0263102000, 0.0412714000, 0.0760642000, 0.1582830000", \ - "0.0174624000, 0.0184669000, 0.0209009000, 0.0270052000, 0.0417807000, 0.0764279000, 0.1588331000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0058057000, 0.0047498000, 0.0022041000, -0.003880100, -0.018436700, -0.053193000, -0.136072700", \ - "0.0057789000, 0.0047168000, 0.0021757000, -0.003879200, -0.018331600, -0.053033500, -0.135901200", \ - "0.0056711000, 0.0045982000, 0.0021058000, -0.003941300, -0.018371900, -0.052970000, -0.135756400", \ - "0.0053537000, 0.0043114000, 0.0017936000, -0.004229600, -0.018627200, -0.053151100, -0.135844800", \ - "0.0049723000, 0.0038932000, 0.0013384000, -0.004587100, -0.019063100, -0.053518600, -0.136087400", \ - "0.0057215000, 0.0046263000, 0.0019783000, -0.004162900, -0.019178100, -0.053853700, -0.136398400", \ - "0.0077095000, 0.0065161000, 0.0037007000, -0.002748300, -0.017975600, -0.053303800, -0.136810800"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011854690, 0.0028106750, 0.0066639370, 0.0157997900, 0.0374603200, 0.0888161200"); - values("0.0128974000, 0.0141082000, 0.0169733000, 0.0233893000, 0.0382486000, 0.0730029000, 0.1550816000", \ - "0.0124200000, 0.0136839000, 0.0165416000, 0.0230607000, 0.0380746000, 0.0730005000, 0.1551771000", \ - "0.0119662000, 0.0131884000, 0.0160236000, 0.0225273000, 0.0377133000, 0.0727887000, 0.1550110000", \ - "0.0117968000, 0.0129341000, 0.0156899000, 0.0220016000, 0.0368663000, 0.0722207000, 0.1548085000", \ - "0.0120271000, 0.0131170000, 0.0156877000, 0.0218907000, 0.0367649000, 0.0716865000, 0.1543265000", \ - "0.0132848000, 0.0144289000, 0.0169898000, 0.0231385000, 0.0376631000, 0.0723071000, 0.1534760000", \ - "0.0163585000, 0.0175186000, 0.0206395000, 0.0272360000, 0.0398606000, 0.0738832000, 0.1554470000"); - } - } - max_capacitance : 0.0888160000; - max_transition : 1.5036780000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0579209000, 0.0605207000, 0.0663983000, 0.0796808000, 0.1090406000, 0.1742093000, 0.3245043000", \ - "0.0624201000, 0.0650245000, 0.0707955000, 0.0840452000, 0.1133130000, 0.1787593000, 0.3290118000", \ - "0.0724721000, 0.0749726000, 0.0809908000, 0.0941939000, 0.1233430000, 0.1887263000, 0.3389052000", \ - "0.0930924000, 0.0956821000, 0.1014706000, 0.1146570000, 0.1437337000, 0.2092742000, 0.3593822000", \ - "0.1269291000, 0.1302023000, 0.1372454000, 0.1536077000, 0.1864613000, 0.2547885000, 0.4054192000", \ - "0.1689030000, 0.1736451000, 0.1843192000, 0.2072597000, 0.2540339000, 0.3423246000, 0.5090893000", \ - "0.1967965000, 0.2041611000, 0.2208250000, 0.2571114000, 0.3281702000, 0.4616165000, 0.6917411000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.2058191000, 0.2138787000, 0.2324187000, 0.2766084000, 0.3794044000, 0.6214661000, 1.1926288000", \ - "0.2098449000, 0.2179033000, 0.2357504000, 0.2810420000, 0.3841150000, 0.6264941000, 1.1978628000", \ - "0.2201870000, 0.2282875000, 0.2467037000, 0.2917296000, 0.3949313000, 0.6384186000, 1.2107322000", \ - "0.2431054000, 0.2507242000, 0.2689666000, 0.3139662000, 0.4181753000, 0.6621344000, 1.2352626000", \ - "0.2904506000, 0.2989582000, 0.3172028000, 0.3612957000, 0.4649275000, 0.7087130000, 1.2827183000", \ - "0.3797740000, 0.3892671000, 0.4101400000, 0.4590228000, 0.5676623000, 0.8099899000, 1.3830657000", \ - "0.5271749000, 0.5385070000, 0.5645679000, 0.6269955000, 0.7572855000, 1.0312291000, 1.6128802000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0441957000, 0.0470816000, 0.0538724000, 0.0696362000, 0.1062325000, 0.1914866000, 0.3936851000", \ - "0.0439635000, 0.0468356000, 0.0537553000, 0.0696082000, 0.1061320000, 0.1913364000, 0.3934149000", \ - "0.0435783000, 0.0465157000, 0.0532994000, 0.0692794000, 0.1060132000, 0.1914652000, 0.3939852000", \ - "0.0469699000, 0.0492182000, 0.0556867000, 0.0705397000, 0.1062075000, 0.1910025000, 0.3930854000", \ - "0.0634911000, 0.0662613000, 0.0725375000, 0.0873488000, 0.1199593000, 0.1973209000, 0.3938388000", \ - "0.1005663000, 0.1041596000, 0.1123105000, 0.1298603000, 0.1662317000, 0.2440841000, 0.4179415000", \ - "0.1708718000, 0.1763039000, 0.1881110000, 0.2125137000, 0.2619301000, 0.3568485000, 0.5413164000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1451592000, 0.1558459000, 0.1811461000, 0.2406907000, 0.3794608000, 0.7074148000, 1.4841014000", \ - "0.1451427000, 0.1558354000, 0.1811939000, 0.2406764000, 0.3794929000, 0.7074277000, 1.4806799000", \ - "0.1455285000, 0.1557554000, 0.1811587000, 0.2407285000, 0.3797618000, 0.7086285000, 1.4850299000", \ - "0.1456801000, 0.1563209000, 0.1811967000, 0.2404004000, 0.3795927000, 0.7079847000, 1.4822422000", \ - "0.1488353000, 0.1590382000, 0.1831668000, 0.2410997000, 0.3801774000, 0.7071415000, 1.4825832000", \ - "0.1753953000, 0.1853839000, 0.2102599000, 0.2665113000, 0.3952903000, 0.7122836000, 1.4812336000", \ - "0.2382596000, 0.2499913000, 0.2758603000, 0.3368805000, 0.4720150000, 0.7701202000, 1.5015952000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0550462000, 0.0575101000, 0.0629061000, 0.0751693000, 0.1027987000, 0.1656682000, 0.3129107000", \ - "0.0599136000, 0.0622178000, 0.0675532000, 0.0797482000, 0.1074282000, 0.1706120000, 0.3179322000", \ - "0.0696677000, 0.0721126000, 0.0774552000, 0.0897460000, 0.1175050000, 0.1805666000, 0.3277990000", \ - "0.0889880000, 0.0915671000, 0.0970465000, 0.1098133000, 0.1375925000, 0.2009915000, 0.3482615000", \ - "0.1180717000, 0.1215400000, 0.1291192000, 0.1446131000, 0.1781632000, 0.2459430000, 0.3938147000", \ - "0.1481543000, 0.1539068000, 0.1651009000, 0.1888670000, 0.2375830000, 0.3275968000, 0.4949619000", \ - "0.1534058000, 0.1620623000, 0.1801234000, 0.2181489000, 0.2938577000, 0.4316277000, 0.6667967000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1935449000, 0.2010887000, 0.2195426000, 0.2641996000, 0.3674640000, 0.6089758000, 1.1827611000", \ - "0.1963701000, 0.2044163000, 0.2228590000, 0.2678485000, 0.3706538000, 0.6133603000, 1.1846345000", \ - "0.2060088000, 0.2134735000, 0.2331072000, 0.2772234000, 0.3818593000, 0.6243270000, 1.1964219000", \ - "0.2312830000, 0.2391492000, 0.2583176000, 0.3019937000, 0.4063438000, 0.6504325000, 1.2228737000", \ - "0.2887635000, 0.2963680000, 0.3156881000, 0.3600126000, 0.4637819000, 0.7071314000, 1.2802044000", \ - "0.4044587000, 0.4131027000, 0.4388628000, 0.4888697000, 0.5985336000, 0.8415341000, 1.4143083000", \ - "0.6036485000, 0.6181229000, 0.6483858000, 0.7191132000, 0.8620733000, 1.1455970000, 1.7288518000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0364411000, 0.0391314000, 0.0456842000, 0.0606795000, 0.0964486000, 0.1809716000, 0.3812323000", \ - "0.0364003000, 0.0391632000, 0.0455858000, 0.0608035000, 0.0964300000, 0.1809641000, 0.3809133000", \ - "0.0362616000, 0.0389845000, 0.0454889000, 0.0606862000, 0.0963597000, 0.1809541000, 0.3815364000", \ - "0.0404091000, 0.0428603000, 0.0485622000, 0.0626833000, 0.0972440000, 0.1809323000, 0.3811146000", \ - "0.0570822000, 0.0601221000, 0.0661570000, 0.0803940000, 0.1127517000, 0.1881949000, 0.3814092000", \ - "0.0939219000, 0.0975764000, 0.1059970000, 0.1238814000, 0.1612679000, 0.2371829000, 0.4084393000", \ - "0.1629307000, 0.1689002000, 0.1811413000, 0.2078444000, 0.2586388000, 0.3547824000, 0.5357882000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1452180000, 0.1558759000, 0.1817354000, 0.2403480000, 0.3797925000, 0.7071651000, 1.4844087000", \ - "0.1451900000, 0.1559586000, 0.1811187000, 0.2405220000, 0.3796442000, 0.7072711000, 1.4795658000", \ - "0.1452473000, 0.1559147000, 0.1817372000, 0.2404029000, 0.3797261000, 0.7080542000, 1.4794967000", \ - "0.1457046000, 0.1559084000, 0.1808827000, 0.2404533000, 0.3794703000, 0.7094721000, 1.4803225000", \ - "0.1507360000, 0.1610701000, 0.1852912000, 0.2417217000, 0.3794663000, 0.7092893000, 1.4808307000", \ - "0.1901554000, 0.2000985000, 0.2247899000, 0.2758044000, 0.3998603000, 0.7102966000, 1.4820203000", \ - "0.2843141000, 0.2949490000, 0.3248709000, 0.3832450000, 0.5065246000, 0.7816788000, 1.4984647000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0438180000, 0.0460024000, 0.0511334000, 0.0626763000, 0.0886912000, 0.1486091000, 0.2887418000", \ - "0.0485392000, 0.0508564000, 0.0558946000, 0.0674951000, 0.0936854000, 0.1535862000, 0.2938416000", \ - "0.0588480000, 0.0611040000, 0.0662653000, 0.0779352000, 0.1041707000, 0.1643777000, 0.3048267000", \ - "0.0769857000, 0.0797384000, 0.0858398000, 0.0983433000, 0.1251426000, 0.1856147000, 0.3262003000", \ - "0.1005768000, 0.1045004000, 0.1125492000, 0.1307082000, 0.1655531000, 0.2325896000, 0.3742096000", \ - "0.1185250000, 0.1245778000, 0.1382419000, 0.1651141000, 0.2185565000, 0.3123456000, 0.4788583000", \ - "0.1034541000, 0.1128798000, 0.1335173000, 0.1781010000, 0.2617938000, 0.4087581000, 0.6505937000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1521016000, 0.1602907000, 0.1792868000, 0.2234256000, 0.3261343000, 0.5687013000, 1.1400431000", \ - "0.1537296000, 0.1606596000, 0.1795575000, 0.2254667000, 0.3290726000, 0.5720296000, 1.1437672000", \ - "0.1608949000, 0.1683352000, 0.1874974000, 0.2334589000, 0.3381083000, 0.5816562000, 1.1539176000", \ - "0.1843427000, 0.1921174000, 0.2105943000, 0.2549501000, 0.3601061000, 0.6045097000, 1.1791776000", \ - "0.2476499000, 0.2554728000, 0.2735221000, 0.3176119000, 0.4210572000, 0.6646513000, 1.2381073000", \ - "0.3776540000, 0.3866410000, 0.4110565000, 0.4622962000, 0.5679508000, 0.8075962000, 1.3792036000", \ - "0.5875612000, 0.6026465000, 0.6368900000, 0.7105381000, 0.8638342000, 1.1475838000, 1.7055957000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0269788000, 0.0295920000, 0.0355803000, 0.0498481000, 0.0835229000, 0.1638516000, 0.3540893000", \ - "0.0270682000, 0.0295846000, 0.0355455000, 0.0498256000, 0.0836619000, 0.1637902000, 0.3540691000", \ - "0.0270251000, 0.0295578000, 0.0355273000, 0.0497879000, 0.0834464000, 0.1639107000, 0.3541517000", \ - "0.0335221000, 0.0358223000, 0.0410969000, 0.0534947000, 0.0849475000, 0.1638924000, 0.3548562000", \ - "0.0517370000, 0.0543934000, 0.0606241000, 0.0743537000, 0.1044627000, 0.1737521000, 0.3550784000", \ - "0.0884663000, 0.0923827000, 0.1007334000, 0.1186692000, 0.1557887000, 0.2278510000, 0.3870701000", \ - "0.1572124000, 0.1630075000, 0.1754540000, 0.2027103000, 0.2547819000, 0.3496113000, 0.5246309000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.1454660000, 0.1562471000, 0.1809786000, 0.2408396000, 0.3797182000, 0.7077706000, 1.4805196000", \ - "0.1451071000, 0.1563614000, 0.1811084000, 0.2405605000, 0.3796196000, 0.7088173000, 1.4832683000", \ - "0.1452479000, 0.1556618000, 0.1813780000, 0.2403142000, 0.3794405000, 0.7092761000, 1.4810801000", \ - "0.1438340000, 0.1546228000, 0.1803112000, 0.2403203000, 0.3796026000, 0.7070618000, 1.4819231000", \ - "0.1528373000, 0.1624349000, 0.1851441000, 0.2412708000, 0.3784310000, 0.7074369000, 1.4805950000", \ - "0.2037771000, 0.2183409000, 0.2399712000, 0.2922609000, 0.4075966000, 0.7103655000, 1.4861244000", \ - "0.2944882000, 0.3097232000, 0.3440939000, 0.4136132000, 0.5532216000, 0.8157308000, 1.5036784000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0453293000, 0.0479322000, 0.0537147000, 0.0668852000, 0.0962596000, 0.1616285000, 0.3117425000", \ - "0.0491240000, 0.0516667000, 0.0576174000, 0.0708709000, 0.1000620000, 0.1654284000, 0.3157442000", \ - "0.0590303000, 0.0615732000, 0.0673004000, 0.0804908000, 0.1097973000, 0.1752178000, 0.3255419000", \ - "0.0834229000, 0.0862212000, 0.0922480000, 0.1049202000, 0.1329886000, 0.1987975000, 0.3490183000", \ - "0.1174223000, 0.1213411000, 0.1300795000, 0.1486943000, 0.1844485000, 0.2537384000, 0.4033844000", \ - "0.1517909000, 0.1575972000, 0.1699657000, 0.1975323000, 0.2542600000, 0.3550005000, 0.5301172000", \ - "0.1667605000, 0.1756634000, 0.1953002000, 0.2369974000, 0.3204468000, 0.4748781000, 0.7387715000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0754303000, 0.0814634000, 0.0955142000, 0.1275236000, 0.2015210000, 0.3729951000, 0.7799897000", \ - "0.0793565000, 0.0855017000, 0.0996579000, 0.1321224000, 0.2069267000, 0.3791362000, 0.7878108000", \ - "0.0911242000, 0.0971869000, 0.1111107000, 0.1434589000, 0.2189609000, 0.3933260000, 0.7996260000", \ - "0.1180667000, 0.1240050000, 0.1376558000, 0.1693986000, 0.2436060000, 0.4181922000, 0.8270596000", \ - "0.1649757000, 0.1728614000, 0.1904205000, 0.2269759000, 0.3017659000, 0.4764404000, 0.8859826000", \ - "0.2407006000, 0.2522531000, 0.2772269000, 0.3281857000, 0.4292222000, 0.6120743000, 1.0215955000", \ - "0.3628194000, 0.3823525000, 0.4211390000, 0.4982444000, 0.6425357000, 0.8916654000, 1.3386419000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0437784000, 0.0466341000, 0.0534349000, 0.0691995000, 0.1058900000, 0.1913808000, 0.3936162000", \ - "0.0438143000, 0.0467496000, 0.0535281000, 0.0692421000, 0.1058280000, 0.1912376000, 0.3934891000", \ - "0.0423760000, 0.0452579000, 0.0521855000, 0.0683628000, 0.1056177000, 0.1913345000, 0.3933183000", \ - "0.0502954000, 0.0533728000, 0.0589546000, 0.0723747000, 0.1064775000, 0.1907030000, 0.3935689000", \ - "0.0723854000, 0.0758369000, 0.0836708000, 0.0999381000, 0.1341258000, 0.2032800000, 0.3939639000", \ - "0.1147246000, 0.1200886000, 0.1317230000, 0.1551908000, 0.1995790000, 0.2800153000, 0.4393692000", \ - "0.1876607000, 0.1959219000, 0.2135258000, 0.2490270000, 0.3146302000, 0.4270793000, 0.6253535000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0925819000, 0.1001849000, 0.1183088000, 0.1609473000, 0.2609151000, 0.4963606000, 1.0549088000", \ - "0.0925946000, 0.1002175000, 0.1183288000, 0.1609856000, 0.2609735000, 0.4966659000, 1.0567663000", \ - "0.0926624000, 0.1003019000, 0.1183515000, 0.1609966000, 0.2610695000, 0.4963354000, 1.0546739000", \ - "0.0955323000, 0.1025079000, 0.1194485000, 0.1611523000, 0.2609884000, 0.4965824000, 1.0571486000", \ - "0.1179635000, 0.1235367000, 0.1377780000, 0.1740526000, 0.2653630000, 0.4965290000, 1.0570875000", \ - "0.1721600000, 0.1786010000, 0.1935438000, 0.2283227000, 0.3097481000, 0.5140493000, 1.0570109000", \ - "0.2773993000, 0.2847970000, 0.3011797000, 0.3425735000, 0.4321498000, 0.6299201000, 1.1069605000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0332206000, 0.0360503000, 0.0423974000, 0.0563259000, 0.0866316000, 0.1539169000, 0.3081989000", \ - "0.0376898000, 0.0404760000, 0.0468231000, 0.0606866000, 0.0910454000, 0.1584759000, 0.3129016000", \ - "0.0489667000, 0.0514843000, 0.0574511000, 0.0711406000, 0.1015173000, 0.1688044000, 0.3233408000", \ - "0.0720754000, 0.0752714000, 0.0823793000, 0.0961419000, 0.1256009000, 0.1927846000, 0.3473911000", \ - "0.1007362000, 0.1053775000, 0.1155789000, 0.1363715000, 0.1768124000, 0.2487338000, 0.4027487000", \ - "0.1274574000, 0.1342775000, 0.1493498000, 0.1809443000, 0.2406118000, 0.3501489000, 0.5320194000", \ - "0.1322115000, 0.1423585000, 0.1649538000, 0.2117543000, 0.3050630000, 0.4704196000, 0.7466409000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0508852000, 0.0570185000, 0.0710903000, 0.1030745000, 0.1767741000, 0.3500294000, 0.7560852000", \ - "0.0535951000, 0.0597919000, 0.0741288000, 0.1065444000, 0.1811993000, 0.3559939000, 0.7608573000", \ - "0.0638174000, 0.0696944000, 0.0836954000, 0.1158633000, 0.1910984000, 0.3643249000, 0.7722695000", \ - "0.0914070000, 0.0975767000, 0.1107806000, 0.1419364000, 0.2151989000, 0.3904893000, 0.7997726000", \ - "0.1367376000, 0.1462279000, 0.1662300000, 0.2063287000, 0.2805205000, 0.4524809000, 0.8619941000", \ - "0.2095171000, 0.2239631000, 0.2543041000, 0.3131138000, 0.4204043000, 0.6047722000, 1.0071453000", \ - "0.3385024000, 0.3580298000, 0.3997955000, 0.4860075000, 0.6456924000, 0.9173621000, 1.3583525000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0367070000, 0.0398345000, 0.0467496000, 0.0631933000, 0.1008728000, 0.1888977000, 0.3975161000", \ - "0.0361746000, 0.0394276000, 0.0466853000, 0.0631554000, 0.1007679000, 0.1890081000, 0.3974673000", \ - "0.0360861000, 0.0389995000, 0.0458430000, 0.0620124000, 0.1004984000, 0.1889683000, 0.3976158000", \ - "0.0459615000, 0.0490402000, 0.0551984000, 0.0685649000, 0.1020535000, 0.1884491000, 0.3973036000", \ - "0.0676197000, 0.0717438000, 0.0799991000, 0.0972111000, 0.1294715000, 0.2021752000, 0.3978650000", \ - "0.1086791000, 0.1141433000, 0.1262105000, 0.1506207000, 0.1974051000, 0.2778348000, 0.4432707000", \ - "0.1793995000, 0.1884168000, 0.2072328000, 0.2447772000, 0.3133550000, 0.4297001000, 0.6291334000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011854700, 0.0028106700, 0.0066639400, 0.0157998000, 0.0374603000, 0.0888161000"); - values("0.0929993000, 0.1007717000, 0.1189741000, 0.1617095000, 0.2617188000, 0.4975446000, 1.0552721000", \ - "0.0927266000, 0.1005671000, 0.1189652000, 0.1617395000, 0.2617073000, 0.4976041000, 1.0572276000", \ - "0.0918250000, 0.0996985000, 0.1184910000, 0.1616488000, 0.2617386000, 0.4971409000, 1.0573699000", \ - "0.1022518000, 0.1080036000, 0.1232207000, 0.1620836000, 0.2614692000, 0.4973832000, 1.0550812000", \ - "0.1400700000, 0.1450401000, 0.1559786000, 0.1869691000, 0.2698300000, 0.4970634000, 1.0575887000", \ - "0.2023972000, 0.2098936000, 0.2263550000, 0.2633809000, 0.3375057000, 0.5238013000, 1.0567163000", \ - "0.3093251000, 0.3191740000, 0.3414363000, 0.3921653000, 0.4953092000, 0.6869272000, 1.1314276000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o32ai_4") { - leakage_power () { - value : 0.0053448000; - when : "!A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0005871000; - when : "!A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0054625000; - when : "!A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0053790000; - when : "!A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0082742000; - when : "!A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0045240000; - when : "!A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0044326000; - when : "!A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0068122000; - when : "!A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0068104000; - when : "!A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0045239000; - when : "!A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0029688000; - when : "!A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0053484000; - when : "!A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0044275000; - when : "!A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0045203000; - when : "!A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0005860000; - when : "!A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0029656000; - when : "!A1&A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0085026000; - when : "A1&!A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0045231000; - when : "A1&!A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0046609000; - when : "A1&!A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0070405000; - when : "A1&!A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0046113000; - when : "A1&!A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0045279000; - when : "A1&!A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0007686000; - when : "A1&!A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0031482000; - when : "A1&!A2&A3&B1&!B2"; - } - leakage_power () { - value : 0.0046084000; - when : "A1&A2&!A3&!B1&B2"; - } - leakage_power () { - value : 0.0045240000; - when : "A1&A2&!A3&!B1&!B2"; - } - leakage_power () { - value : 0.0007668000; - when : "A1&A2&!A3&B1&B2"; - } - leakage_power () { - value : 0.0031464000; - when : "A1&A2&!A3&B1&!B2"; - } - leakage_power () { - value : 0.0043299000; - when : "A1&A2&A3&!B1&B2"; - } - leakage_power () { - value : 0.0045187000; - when : "A1&A2&A3&!B1&!B2"; - } - leakage_power () { - value : 0.0004894000; - when : "A1&A2&A3&B1&B2"; - } - leakage_power () { - value : 0.0028679000; - when : "A1&A2&A3&B1&!B2"; - } - area : 27.526400000; - cell_footprint : "sky130_fd_sc_hd__o32ai"; - cell_leakage_power : 0.0042500690; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0086590000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0158764000, 0.0158631000, 0.0158324000, 0.0158273000, 0.0158156000, 0.0157886000, 0.0157264000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015758400, -0.015753400, -0.015741800, -0.015736900, -0.015725600, -0.015699700, -0.015640000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090620000; - } - pin ("A2") { - capacitance : 0.0082520000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0077010000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0155843000, 0.0155750000, 0.0155536000, 0.0155555000, 0.0155599000, 0.0155700000, 0.0155933000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015522600, -0.015518000, -0.015507400, -0.015510500, -0.015517800, -0.015534500, -0.015573000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0088020000; - } - pin ("A3") { - capacitance : 0.0084670000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078110000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0157120000, 0.0157042000, 0.0156863000, 0.0156806000, 0.0156676000, 0.0156376000, 0.0155684000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015658300, -0.015656200, -0.015651300, -0.015654200, -0.015660900, -0.015676300, -0.015711700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0091230000; - } - pin ("B1") { - capacitance : 0.0083800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0080920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0181881000, 0.0181904000, 0.0181956000, 0.0181893000, 0.0181746000, 0.0181409000, 0.0180631000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001575200, -0.001649800, -0.001821800, -0.001726700, -0.001507400, -0.001002000, 0.0001629000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0086670000; - } - pin ("B2") { - capacitance : 0.0083060000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0079060000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0182531000, 0.0182485000, 0.0182380000, 0.0182401000, 0.0182452000, 0.0182567000, 0.0182834000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001745800, -0.001800500, -0.001926700, -0.001833700, -0.001619500, -0.001125600, 1.2835066e-05"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0087070000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2&!A3) | (!B1&!B2)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0345127000, 0.0332180000, 0.0299230000, 0.0211787000, -0.001287300, -0.059728500, -0.212273800", \ - "0.0340475000, 0.0327624000, 0.0294331000, 0.0207095000, -0.001762300, -0.060183600, -0.212601100", \ - "0.0334474000, 0.0321824000, 0.0288096000, 0.0202009000, -0.002172200, -0.060694500, -0.213201400", \ - "0.0329806000, 0.0317009000, 0.0283812000, 0.0196568000, -0.002789900, -0.061107100, -0.213449500", \ - "0.0326278000, 0.0313450000, 0.0280667000, 0.0195451000, -0.002800400, -0.061151700, -0.213671500", \ - "0.0327239000, 0.0314313000, 0.0281450000, 0.0192910000, -0.003297500, -0.061707500, -0.213980200", \ - "0.0355252000, 0.0342167000, 0.0307949000, 0.0219711000, -0.000976700, -0.060247400, -0.213221300"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0669955000, 0.0683560000, 0.0716935000, 0.0804267000, 0.1031608000, 0.1617628000, 0.3133855000", \ - "0.0664714000, 0.0677558000, 0.0711613000, 0.0800131000, 0.1027167000, 0.1614102000, 0.3130823000", \ - "0.0657432000, 0.0672523000, 0.0705277000, 0.0794216000, 0.1022995000, 0.1610793000, 0.3129967000", \ - "0.0652044000, 0.0664741000, 0.0699337000, 0.0789070000, 0.1017333000, 0.1606642000, 0.3127250000", \ - "0.0646385000, 0.0659424000, 0.0693394000, 0.0781185000, 0.1009948000, 0.1598506000, 0.3120094000", \ - "0.0643939000, 0.0657493000, 0.0690244000, 0.0779672000, 0.1005400000, 0.1595654000, 0.3114826000", \ - "0.0637495000, 0.0651534000, 0.0689613000, 0.0783318000, 0.1012411000, 0.1598400000, 0.3117722000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0287912000, 0.0275086000, 0.0242443000, 0.0155855000, -0.006792800, -0.065476400, -0.218131100", \ - "0.0286727000, 0.0273901000, 0.0241349000, 0.0155068000, -0.006892200, -0.065504300, -0.218183400", \ - "0.0283357000, 0.0270633000, 0.0237784000, 0.0151978000, -0.007149200, -0.065612500, -0.218365900", \ - "0.0276879000, 0.0263862000, 0.0231783000, 0.0146488000, -0.007625900, -0.065917600, -0.218548400", \ - "0.0268126000, 0.0255293000, 0.0222788000, 0.0139828000, -0.008177000, -0.066164800, -0.218806600", \ - "0.0269441000, 0.0256660000, 0.0223917000, 0.0137456000, -0.008831300, -0.067128700, -0.219056000", \ - "0.0289944000, 0.0277122000, 0.0242878000, 0.0155648000, -0.007211000, -0.066249600, -0.219259800"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0582765000, 0.0595533000, 0.0629435000, 0.0717570000, 0.0944415000, 0.1530367000, 0.3048388000", \ - "0.0576401000, 0.0590264000, 0.0624278000, 0.0712133000, 0.0940197000, 0.1526860000, 0.3042664000", \ - "0.0567585000, 0.0582696000, 0.0615724000, 0.0704792000, 0.0933686000, 0.1524677000, 0.3040001000", \ - "0.0561413000, 0.0574805000, 0.0607305000, 0.0696624000, 0.0926494000, 0.1516626000, 0.3038533000", \ - "0.0554207000, 0.0567217000, 0.0600729000, 0.0689485000, 0.0918638000, 0.1509370000, 0.3033154000", \ - "0.0554005000, 0.0566524000, 0.0599861000, 0.0690711000, 0.0917371000, 0.1504866000, 0.3026250000", \ - "0.0564215000, 0.0577032000, 0.0609004000, 0.0695175000, 0.0924083000, 0.1506142000, 0.3031254000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0211797000, 0.0199340000, 0.0166707000, 0.0081186000, -0.014277100, -0.072965600, -0.226026700", \ - "0.0211159000, 0.0198925000, 0.0167007000, 0.0082120000, -0.014066800, -0.072638500, -0.225554400", \ - "0.0208599000, 0.0196451000, 0.0165419000, 0.0081136000, -0.014006300, -0.072430200, -0.225264000", \ - "0.0201889000, 0.0189881000, 0.0158913000, 0.0076028000, -0.014356200, -0.072431900, -0.225059200", \ - "0.0193992000, 0.0181967000, 0.0150703000, 0.0066859000, -0.015099100, -0.072877300, -0.225249200", \ - "0.0196325000, 0.0182784000, 0.0149665000, 0.0065702000, -0.015759100, -0.073999800, -0.225778100", \ - "0.0220273000, 0.0207077000, 0.0170610000, 0.0084416000, -0.014564500, -0.073412600, -0.226185900"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0497270000, 0.0510053000, 0.0544311000, 0.0632882000, 0.0860527000, 0.1447346000, 0.2965584000", \ - "0.0487642000, 0.0502832000, 0.0534980000, 0.0624965000, 0.0854266000, 0.1443306000, 0.2958851000", \ - "0.0474610000, 0.0489171000, 0.0523407000, 0.0613211000, 0.0844777000, 0.1437470000, 0.2955945000", \ - "0.0464239000, 0.0478462000, 0.0512086000, 0.0603111000, 0.0834214000, 0.1428023000, 0.2950125000", \ - "0.0462426000, 0.0475865000, 0.0509483000, 0.0597831000, 0.0822104000, 0.1412933000, 0.2943264000", \ - "0.0484784000, 0.0497347000, 0.0531144000, 0.0618488000, 0.0847541000, 0.1415251000, 0.2931228000", \ - "0.0555177000, 0.0566994000, 0.0575593000, 0.0655306000, 0.0887282000, 0.1464065000, 0.2954459000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0211092000, 0.0198347000, 0.0165468000, 0.0078357000, -0.014531800, -0.072964900, -0.225374300", \ - "0.0206560000, 0.0193800000, 0.0161150000, 0.0074390000, -0.014851100, -0.073310700, -0.225806400", \ - "0.0201813000, 0.0189155000, 0.0156170000, 0.0070277000, -0.015290500, -0.073537000, -0.225785300", \ - "0.0193617000, 0.0181131000, 0.0148676000, 0.0062727000, -0.016007300, -0.073962300, -0.226249800", \ - "0.0191386000, 0.0178138000, 0.0145117000, 0.0059267000, -0.016294100, -0.074462400, -0.226464800", \ - "0.0195343000, 0.0182555000, 0.0149117000, 0.0061696000, -0.017090500, -0.075255300, -0.227103400", \ - "0.0232009000, 0.0218666000, 0.0184166000, 0.0096408000, -0.012765000, -0.073041800, -0.227086300"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0338398000, 0.0352719000, 0.0389025000, 0.0480205000, 0.0708695000, 0.1293188000, 0.2805288000", \ - "0.0330024000, 0.0344561000, 0.0381976000, 0.0474325000, 0.0705962000, 0.1293385000, 0.2807274000", \ - "0.0321223000, 0.0335228000, 0.0371309000, 0.0464148000, 0.0697904000, 0.1289245000, 0.2806819000", \ - "0.0315209000, 0.0328141000, 0.0363395000, 0.0453770000, 0.0686000000, 0.1280875000, 0.2801807000", \ - "0.0309993000, 0.0322921000, 0.0357728000, 0.0446467000, 0.0674833000, 0.1266598000, 0.2791466000", \ - "0.0310422000, 0.0323306000, 0.0356698000, 0.0444801000, 0.0673438000, 0.1262063000, 0.2779546000", \ - "0.0327379000, 0.0339212000, 0.0370094000, 0.0456964000, 0.0684069000, 0.1264110000, 0.2791932000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0138108000, 0.0126137000, 0.0093994000, 0.0009592000, -0.021275000, -0.079549100, -0.232181700", \ - "0.0137279000, 0.0125367000, 0.0093447000, 0.0009344000, -0.021107200, -0.079233000, -0.231711600", \ - "0.0136163000, 0.0123802000, 0.0092261000, 0.0008310000, -0.021168400, -0.079086800, -0.231401500", \ - "0.0131038000, 0.0118807000, 0.0086542000, 0.0002614000, -0.021700300, -0.079481300, -0.231576400", \ - "0.0126518000, 0.0113822000, 0.0081042000, -0.000453400, -0.022231800, -0.080295200, -0.232144100", \ - "0.0126887000, 0.0114097000, 0.0080678000, -0.000485500, -0.023000700, -0.081051600, -0.233131600", \ - "0.0160245000, 0.0147179000, 0.0111707000, 0.0023248000, -0.020950800, -0.079379600, -0.233327000"); - } - related_pin : "B2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0245711000, 0.0260838000, 0.0298386000, 0.0390683000, 0.0621262000, 0.1205688000, 0.2718514000", \ - "0.0235387000, 0.0250230000, 0.0287955000, 0.0382725000, 0.0616512000, 0.1205787000, 0.2720410000", \ - "0.0226046000, 0.0240320000, 0.0277634000, 0.0370238000, 0.0606010000, 0.1202232000, 0.2719009000", \ - "0.0221033000, 0.0234601000, 0.0269762000, 0.0361319000, 0.0594441000, 0.1189266000, 0.2714005000", \ - "0.0223061000, 0.0236307000, 0.0269500000, 0.0356090000, 0.0586531000, 0.1176695000, 0.2702767000", \ - "0.0245330000, 0.0257698000, 0.0290019000, 0.0376949000, 0.0597971000, 0.1187747000, 0.2688978000", \ - "0.0307565000, 0.0322582000, 0.0356743000, 0.0425767000, 0.0636384000, 0.1206441000, 0.2738738000"); - } - } - max_capacitance : 0.1538190000; - max_transition : 1.5101650000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0634559000, 0.0653257000, 0.0700737000, 0.0814931000, 0.1092210000, 0.1765194000, 0.3435645000", \ - "0.0678179000, 0.0696024000, 0.0743106000, 0.0858012000, 0.1134854000, 0.1807836000, 0.3478599000", \ - "0.0769281000, 0.0788078000, 0.0834429000, 0.0949166000, 0.1227964000, 0.1898089000, 0.3570086000", \ - "0.0948407000, 0.0966748000, 0.1011260000, 0.1126693000, 0.1401080000, 0.2073085000, 0.3745437000", \ - "0.1250314000, 0.1272429000, 0.1320560000, 0.1460141000, 0.1764944000, 0.2463092000, 0.4136265000", \ - "0.1626124000, 0.1657212000, 0.1738022000, 0.1914417000, 0.2333184000, 0.3185578000, 0.5014621000", \ - "0.1828071000, 0.1875033000, 0.1992948000, 0.2272980000, 0.2899480000, 0.4174018000, 0.6581006000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.2198283000, 0.2248810000, 0.2383767000, 0.2740121000, 0.3640344000, 0.5968951000, 1.1972150000", \ - "0.2237695000, 0.2291937000, 0.2431259000, 0.2785376000, 0.3693755000, 0.6014671000, 1.2029181000", \ - "0.2346847000, 0.2390264000, 0.2541418000, 0.2900045000, 0.3808314000, 0.6143990000, 1.2159037000", \ - "0.2591881000, 0.2644198000, 0.2779360000, 0.3132827000, 0.4047160000, 0.6390204000, 1.2405967000", \ - "0.3095353000, 0.3144977000, 0.3280957000, 0.3634582000, 0.4550162000, 0.6890651000, 1.2936050000", \ - "0.4062264000, 0.4122019000, 0.4277752000, 0.4657046000, 0.5620601000, 0.7949891000, 1.3972660000", \ - "0.5705038000, 0.5775878000, 0.5953855000, 0.6456517000, 0.7601581000, 1.0236374000, 1.6359581000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0526568000, 0.0546747000, 0.0599266000, 0.0736434000, 0.1082335000, 0.1968394000, 0.4273771000", \ - "0.0524067000, 0.0544726000, 0.0597538000, 0.0734431000, 0.1080978000, 0.1966541000, 0.4276282000", \ - "0.0518484000, 0.0539271000, 0.0592958000, 0.0730021000, 0.1077174000, 0.1966370000, 0.4273623000", \ - "0.0540352000, 0.0560228000, 0.0610607000, 0.0741103000, 0.1081550000, 0.1963484000, 0.4271926000", \ - "0.0676596000, 0.0695809000, 0.0749001000, 0.0876360000, 0.1193970000, 0.2018121000, 0.4275903000", \ - "0.1025832000, 0.1048789000, 0.1112142000, 0.1250901000, 0.1602129000, 0.2400776000, 0.4474226000", \ - "0.1717172000, 0.1751251000, 0.1835107000, 0.2027989000, 0.2472318000, 0.3423278000, 0.5526390000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.1570056000, 0.1642768000, 0.1823515000, 0.2302506000, 0.3526545000, 0.6696890000, 1.4902223000", \ - "0.1567360000, 0.1638958000, 0.1820015000, 0.2298328000, 0.3526091000, 0.6686884000, 1.4915970000", \ - "0.1566349000, 0.1648002000, 0.1824968000, 0.2298362000, 0.3526142000, 0.6691201000, 1.4877912000", \ - "0.1570419000, 0.1636996000, 0.1822997000, 0.2306843000, 0.3527008000, 0.6696984000, 1.4894804000", \ - "0.1594688000, 0.1665435000, 0.1839920000, 0.2305556000, 0.3533758000, 0.6705136000, 1.4891360000", \ - "0.1840823000, 0.1911377000, 0.2083335000, 0.2547940000, 0.3679031000, 0.6731636000, 1.4870671000", \ - "0.2444722000, 0.2521253000, 0.2712983000, 0.3197688000, 0.4393245000, 0.7312903000, 1.5034490000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0598996000, 0.0615359000, 0.0658675000, 0.0761600000, 0.1016371000, 0.1652302000, 0.3268520000", \ - "0.0645591000, 0.0661788000, 0.0705280000, 0.0807398000, 0.1062795000, 0.1699381000, 0.3315876000", \ - "0.0740976000, 0.0756163000, 0.0797866000, 0.0901792000, 0.1158812000, 0.1793477000, 0.3409175000", \ - "0.0918103000, 0.0933814000, 0.0978272000, 0.1086300000, 0.1342642000, 0.1978993000, 0.3597883000", \ - "0.1191609000, 0.1213417000, 0.1269325000, 0.1404504000, 0.1705686000, 0.2385698000, 0.4009462000", \ - "0.1478484000, 0.1511010000, 0.1592288000, 0.1793142000, 0.2220431000, 0.3109116000, 0.4942142000", \ - "0.1478173000, 0.1533791000, 0.1664121000, 0.1965742000, 0.2645361000, 0.4001763000, 0.6494170000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.2060386000, 0.2113910000, 0.2253440000, 0.2603997000, 0.3511886000, 0.5838098000, 1.1841556000", \ - "0.2088612000, 0.2144671000, 0.2283427000, 0.2629296000, 0.3542369000, 0.5874065000, 1.1880903000", \ - "0.2183804000, 0.2226237000, 0.2378544000, 0.2733415000, 0.3652486000, 0.5980642000, 1.1994494000", \ - "0.2429254000, 0.2491211000, 0.2631975000, 0.2985512000, 0.3901780000, 0.6245234000, 1.2267469000", \ - "0.3010002000, 0.3068200000, 0.3199939000, 0.3555556000, 0.4466766000, 0.6811719000, 1.2842593000", \ - "0.4215279000, 0.4281659000, 0.4438248000, 0.4853479000, 0.5820750000, 0.8160305000, 1.4187937000", \ - "0.6311591000, 0.6401612000, 0.6627107000, 0.7178666000, 0.8462167000, 1.1190462000, 1.7351607000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0416919000, 0.0436366000, 0.0486437000, 0.0614970000, 0.0947322000, 0.1814062000, 0.4068555000", \ - "0.0416969000, 0.0436159000, 0.0486317000, 0.0615173000, 0.0948434000, 0.1813864000, 0.4069075000", \ - "0.0415932000, 0.0435299000, 0.0485317000, 0.0614529000, 0.0946641000, 0.1811890000, 0.4070174000", \ - "0.0448133000, 0.0467081000, 0.0511152000, 0.0633430000, 0.0954962000, 0.1813513000, 0.4065814000", \ - "0.0599517000, 0.0618388000, 0.0667616000, 0.0793501000, 0.1097351000, 0.1883419000, 0.4075401000", \ - "0.0962995000, 0.0987672000, 0.1048439000, 0.1198558000, 0.1539510000, 0.2333925000, 0.4324732000", \ - "0.1656964000, 0.1689564000, 0.1784920000, 0.2005709000, 0.2462021000, 0.3435820000, 0.5470427000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.1567602000, 0.1639096000, 0.1819757000, 0.2298642000, 0.3525033000, 0.6692148000, 1.4915819000", \ - "0.1567655000, 0.1639877000, 0.1821962000, 0.2299755000, 0.3526098000, 0.6709591000, 1.4873838000", \ - "0.1566157000, 0.1648403000, 0.1825320000, 0.2299705000, 0.3526985000, 0.6689391000, 1.4848284000", \ - "0.1573045000, 0.1640928000, 0.1820554000, 0.2298357000, 0.3526330000, 0.6709037000, 1.4915337000", \ - "0.1618326000, 0.1688797000, 0.1857155000, 0.2311088000, 0.3532922000, 0.6685512000, 1.4863508000", \ - "0.1996020000, 0.2067576000, 0.2235016000, 0.2654152000, 0.3738293000, 0.6733404000, 1.4892765000", \ - "0.2900615000, 0.2973877000, 0.3162180000, 0.3634872000, 0.4827837000, 0.7465049000, 1.5033821000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0458665000, 0.0474218000, 0.0512970000, 0.0607423000, 0.0839245000, 0.1422340000, 0.2913739000", \ - "0.0507340000, 0.0521896000, 0.0561530000, 0.0655117000, 0.0888533000, 0.1472680000, 0.2962445000", \ - "0.0605617000, 0.0621186000, 0.0660622000, 0.0755574000, 0.0991891000, 0.1577763000, 0.3068745000", \ - "0.0779344000, 0.0796842000, 0.0843266000, 0.0947308000, 0.1190141000, 0.1778749000, 0.3274388000", \ - "0.1005320000, 0.1030143000, 0.1095016000, 0.1235135000, 0.1551888000, 0.2215607000, 0.3725398000", \ - "0.1167183000, 0.1209041000, 0.1305330000, 0.1524252000, 0.2003993000, 0.2933072000, 0.4721626000", \ - "0.0952932000, 0.1014104000, 0.1164547000, 0.1538644000, 0.2285788000, 0.3740253000, 0.6297182000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.1647324000, 0.1703224000, 0.1832449000, 0.2196188000, 0.3099044000, 0.5428016000, 1.1432353000", \ - "0.1659165000, 0.1707974000, 0.1853043000, 0.2214799000, 0.3126162000, 0.5457569000, 1.1465651000", \ - "0.1725368000, 0.1781564000, 0.1919072000, 0.2286517000, 0.3205957000, 0.5546860000, 1.1570772000", \ - "0.1954652000, 0.2009037000, 0.2152845000, 0.2503835000, 0.3432795000, 0.5782637000, 1.1811140000", \ - "0.2593066000, 0.2643418000, 0.2776627000, 0.3128824000, 0.4017976000, 0.6366405000, 1.2401778000", \ - "0.3952213000, 0.4019998000, 0.4191159000, 0.4604287000, 0.5540794000, 0.7796800000, 1.3811158000", \ - "0.6171946000, 0.6277217000, 0.6520804000, 0.7103356000, 0.8457113000, 1.1273439000, 1.7245027000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0296031000, 0.0313444000, 0.0357935000, 0.0476216000, 0.0782985000, 0.1580673000, 0.3660032000", \ - "0.0295309000, 0.0312678000, 0.0357772000, 0.0476371000, 0.0782991000, 0.1580126000, 0.3657256000", \ - "0.0295022000, 0.0312804000, 0.0357522000, 0.0475746000, 0.0783229000, 0.1581364000, 0.3659608000", \ - "0.0354624000, 0.0370795000, 0.0412579000, 0.0514992000, 0.0800763000, 0.1581108000, 0.3657758000", \ - "0.0528915000, 0.0547112000, 0.0593158000, 0.0709468000, 0.0989027000, 0.1685820000, 0.3669045000", \ - "0.0897749000, 0.0922227000, 0.0983631000, 0.1136274000, 0.1470062000, 0.2217774000, 0.3987694000", \ - "0.1590398000, 0.1626734000, 0.1717102000, 0.1946208000, 0.2414539000, 0.3366144000, 0.5280526000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.1568235000, 0.1636200000, 0.1822121000, 0.2302752000, 0.3526028000, 0.6687254000, 1.4861015000", \ - "0.1565243000, 0.1642830000, 0.1822509000, 0.2303084000, 0.3524857000, 0.6684617000, 1.4849265000", \ - "0.1567935000, 0.1641793000, 0.1824399000, 0.2294858000, 0.3527821000, 0.6683067000, 1.4915715000", \ - "0.1554622000, 0.1629198000, 0.1813062000, 0.2295506000, 0.3528471000, 0.6706558000, 1.4864945000", \ - "0.1621227000, 0.1687968000, 0.1859036000, 0.2306829000, 0.3512898000, 0.6685068000, 1.4907353000", \ - "0.2132370000, 0.2205459000, 0.2388699000, 0.2805025000, 0.3819971000, 0.6741649000, 1.4920574000", \ - "0.3042253000, 0.3142086000, 0.3394526000, 0.3967982000, 0.5190539000, 0.7858444000, 1.5101654000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0513215000, 0.0531678000, 0.0579121000, 0.0692654000, 0.0971513000, 0.1640971000, 0.3313117000", \ - "0.0550856000, 0.0569626000, 0.0616862000, 0.0730860000, 0.1010326000, 0.1680844000, 0.3352175000", \ - "0.0647423000, 0.0666198000, 0.0712442000, 0.0827935000, 0.1104631000, 0.1778053000, 0.3450521000", \ - "0.0893068000, 0.0911897000, 0.0955587000, 0.1064096000, 0.1334020000, 0.2004430000, 0.3677239000", \ - "0.1259152000, 0.1285069000, 0.1352122000, 0.1509234000, 0.1850745000, 0.2550060000, 0.4217377000", \ - "0.1635055000, 0.1674175000, 0.1765458000, 0.1995909000, 0.2530406000, 0.3541399000, 0.5471835000", \ - "0.1788392000, 0.1846342000, 0.1991058000, 0.2336794000, 0.3109369000, 0.4695788000, 0.7621294000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0792204000, 0.0834163000, 0.0939052000, 0.1199934000, 0.1859499000, 0.3524727000, 0.7822319000", \ - "0.0831628000, 0.0873329000, 0.0979320000, 0.1244350000, 0.1908667000, 0.3576772000, 0.7891137000", \ - "0.0947185000, 0.0987072000, 0.1090248000, 0.1356374000, 0.2027744000, 0.3713541000, 0.8052640000", \ - "0.1217184000, 0.1255421000, 0.1357804000, 0.1617414000, 0.2281990000, 0.3981131000, 0.8301085000", \ - "0.1698880000, 0.1751796000, 0.1881236000, 0.2184820000, 0.2858575000, 0.4544796000, 0.8884484000", \ - "0.2502680000, 0.2581948000, 0.2762763000, 0.3180836000, 0.4093902000, 0.5906166000, 1.0245110000", \ - "0.3842713000, 0.3961251000, 0.4246823000, 0.4880846000, 0.6185492000, 0.8623986000, 1.3428663000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0516550000, 0.0536827000, 0.0589770000, 0.0726792000, 0.1073196000, 0.1965140000, 0.4272577000", \ - "0.0517493000, 0.0537786000, 0.0591125000, 0.0727891000, 0.1073759000, 0.1966382000, 0.4273292000", \ - "0.0502483000, 0.0523155000, 0.0578549000, 0.0720081000, 0.1073861000, 0.1963085000, 0.4270915000", \ - "0.0567047000, 0.0584129000, 0.0631861000, 0.0751971000, 0.1077845000, 0.1960623000, 0.4271190000", \ - "0.0784322000, 0.0809395000, 0.0871288000, 0.1016173000, 0.1334942000, 0.2082171000, 0.4272521000", \ - "0.1214887000, 0.1251885000, 0.1342296000, 0.1547802000, 0.1958149000, 0.2838720000, 0.4693759000", \ - "0.1949400000, 0.2003322000, 0.2135885000, 0.2441496000, 0.3087761000, 0.4294281000, 0.6503731000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0967887000, 0.1019099000, 0.1151702000, 0.1495030000, 0.2376917000, 0.4639955000, 1.0527158000", \ - "0.0968391000, 0.1019198000, 0.1151739000, 0.1495078000, 0.2376349000, 0.4638414000, 1.0515320000", \ - "0.0969174000, 0.1019885000, 0.1152463000, 0.1495194000, 0.2376658000, 0.4638747000, 1.0532438000", \ - "0.0993821000, 0.1040104000, 0.1163640000, 0.1497975000, 0.2376218000, 0.4642853000, 1.0525668000", \ - "0.1202482000, 0.1239684000, 0.1344283000, 0.1635489000, 0.2436354000, 0.4640641000, 1.0520377000", \ - "0.1728244000, 0.1769312000, 0.1879715000, 0.2161414000, 0.2880447000, 0.4838429000, 1.0521723000", \ - "0.2770544000, 0.2818396000, 0.2932750000, 0.3252684000, 0.4035652000, 0.5930438000, 1.0968682000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0398049000, 0.0419475000, 0.0472208000, 0.0599396000, 0.0900344000, 0.1617752000, 0.3403261000", \ - "0.0440104000, 0.0462057000, 0.0513729000, 0.0642556000, 0.0944078000, 0.1664387000, 0.3451251000", \ - "0.0547086000, 0.0567092000, 0.0619766000, 0.0743065000, 0.1045272000, 0.1766478000, 0.3554658000", \ - "0.0797085000, 0.0819111000, 0.0873939000, 0.0997102000, 0.1285689000, 0.2004095000, 0.3794376000", \ - "0.1108533000, 0.1140804000, 0.1219742000, 0.1400626000, 0.1794418000, 0.2548627000, 0.4333636000", \ - "0.1407719000, 0.1455024000, 0.1570480000, 0.1838468000, 0.2424166000, 0.3558498000, 0.5599824000", \ - "0.1441490000, 0.1511124000, 0.1683414000, 0.2084562000, 0.2968317000, 0.4689596000, 0.7824718000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0558125000, 0.0600677000, 0.0707630000, 0.0975658000, 0.1635061000, 0.3322808000, 0.7619566000", \ - "0.0579974000, 0.0621648000, 0.0729793000, 0.0999973000, 0.1671560000, 0.3342411000, 0.7657153000", \ - "0.0677964000, 0.0717915000, 0.0824206000, 0.1087485000, 0.1761958000, 0.3464983000, 0.7770790000", \ - "0.0958815000, 0.1000091000, 0.1095686000, 0.1352561000, 0.2006917000, 0.3703770000, 0.8036033000", \ - "0.1441102000, 0.1504186000, 0.1655108000, 0.1981660000, 0.2663888000, 0.4331353000, 0.8674768000", \ - "0.2225903000, 0.2318431000, 0.2542661000, 0.3053086000, 0.4039866000, 0.5873463000, 1.0143826000", \ - "0.3625560000, 0.3751406000, 0.4066645000, 0.4785030000, 0.6261088000, 0.8996188000, 1.3742841000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0470078000, 0.0491327000, 0.0546222000, 0.0690100000, 0.1056936000, 0.2004551000, 0.4473643000", \ - "0.0466672000, 0.0489236000, 0.0545125000, 0.0691108000, 0.1057072000, 0.2004564000, 0.4473489000", \ - "0.0453892000, 0.0474414000, 0.0531182000, 0.0676620000, 0.1053384000, 0.2004825000, 0.4472024000", \ - "0.0544414000, 0.0561023000, 0.0609553000, 0.0726371000, 0.1061115000, 0.1997632000, 0.4472468000", \ - "0.0756354000, 0.0783049000, 0.0849273000, 0.1003153000, 0.1345478000, 0.2121296000, 0.4467409000", \ - "0.1171367000, 0.1209469000, 0.1303795000, 0.1524906000, 0.1984094000, 0.2868873000, 0.4863498000", \ - "0.1910377000, 0.1964955000, 0.2109367000, 0.2441011000, 0.3109850000, 0.4416512000, 0.6687170000"); - } - related_pin : "B2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0970667000, 0.1021988000, 0.1155891000, 0.1499743000, 0.2381218000, 0.4647097000, 1.0535203000", \ - "0.0969241000, 0.1020286000, 0.1154806000, 0.1499480000, 0.2381197000, 0.4644866000, 1.0531522000", \ - "0.0960438000, 0.1014145000, 0.1150225000, 0.1498218000, 0.2381010000, 0.4644991000, 1.0531443000", \ - "0.1045844000, 0.1086751000, 0.1198898000, 0.1506244000, 0.2378198000, 0.4646515000, 1.0537832000", \ - "0.1429665000, 0.1451605000, 0.1529327000, 0.1769429000, 0.2482767000, 0.4642505000, 1.0523895000", \ - "0.2052948000, 0.2099460000, 0.2221750000, 0.2520701000, 0.3174375000, 0.4949549000, 1.0521913000", \ - "0.3140391000, 0.3207013000, 0.3368382000, 0.3770839000, 0.4705830000, 0.6600374000, 1.1234288000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o41a_1") { - leakage_power () { - value : 0.0025373000; - when : "!A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0013058000; - when : "!A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0014988000; - when : "!A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "!A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0013053000; - when : "!A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "!A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0009728000; - when : "!A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "!A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0013738000; - when : "!A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "!A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0009814000; - when : "!A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "!A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0009850000; - when : "!A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "!A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0009564000; - when : "!A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "!A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0020666000; - when : "A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0010464000; - when : "A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0010419000; - when : "A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0009644000; - when : "A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0010520000; - when : "A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0009696000; - when : "A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0009740000; - when : "A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0009535000; - when : "A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0015311000; - when : "A1&A2&A3&A4&!B1"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__o41a"; - cell_leakage_power : 0.0013734680; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023150000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022080000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039982000, 0.0039995000, 0.0040026000, 0.0040025000, 0.0040025000, 0.0040023000, 0.0040018000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003998800, -0.003997100, -0.003993200, -0.003993700, -0.003994700, -0.003997200, -0.004002800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024210000; - } - pin ("A2") { - capacitance : 0.0023980000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022540000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040458000, 0.0040401000, 0.0040271000, 0.0040251000, 0.0040205000, 0.0040101000, 0.0039859000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004014600, -0.004017600, -0.004024300, -0.004023000, -0.004020100, -0.004013300, -0.003997600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025410000; - } - pin ("A3") { - capacitance : 0.0023890000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022300000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038607000, 0.0038599000, 0.0038580000, 0.0038588000, 0.0038607000, 0.0038651000, 0.0038751000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003860600, -0.003860300, -0.003859700, -0.003858900, -0.003857000, -0.003852800, -0.003842900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025470000; - } - pin ("A4") { - capacitance : 0.0024250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022360000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041085000, 0.0041066000, 0.0041022000, 0.0041029000, 0.0041046000, 0.0041084000, 0.0041171000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004098700, -0.004098700, -0.004098800, -0.004099700, -0.004101700, -0.004106400, -0.004117100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026130000; - } - pin ("B1") { - capacitance : 0.0023950000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023180000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048262000, 0.0048284000, 0.0048335000, 0.0048369000, 0.0048448000, 0.0048629000, 0.0049046000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000678400, -0.000694600, -0.000732100, -0.000707200, -0.000649600, -0.000516800, -0.000210900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024720000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A2&B1) | (A3&B1) | (A4&B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277870, 0.0085851570, 0.0221483300, 0.0571391500, 0.1474098000"); - values("0.0186547000, 0.0177510000, 0.0153472000, 0.0084125000, -0.011899200, -0.067671900, -0.213556900", \ - "0.0185174000, 0.0176141000, 0.0152120000, 0.0082751000, -0.012031700, -0.067886900, -0.213775400", \ - "0.0183360000, 0.0174284000, 0.0150142000, 0.0080360000, -0.012220300, -0.068052400, -0.213958700", \ - "0.0181785000, 0.0172753000, 0.0148621000, 0.0079246000, -0.012381700, -0.068232900, -0.214132000", \ - "0.0180989000, 0.0171935000, 0.0147931000, 0.0077617000, -0.012559200, -0.068356500, -0.214237800", \ - "0.0179672000, 0.0170436000, 0.0145937000, 0.0077135000, -0.012636800, -0.068474000, -0.214332900", \ - "0.0216955000, 0.0203894000, 0.0170676000, 0.0086372000, -0.013222600, -0.068595500, -0.214417600"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277870, 0.0085851570, 0.0221483300, 0.0571391500, 0.1474098000"); - values("0.0159511000, 0.0174610000, 0.0212181000, 0.0302739000, 0.0527080000, 0.1094458000, 0.2537800000", \ - "0.0158269000, 0.0173728000, 0.0211337000, 0.0301842000, 0.0524271000, 0.1089082000, 0.2547578000", \ - "0.0157034000, 0.0172358000, 0.0209926000, 0.0300381000, 0.0523565000, 0.1085492000, 0.2546185000", \ - "0.0156377000, 0.0171451000, 0.0208665000, 0.0298710000, 0.0521598000, 0.1085607000, 0.2545045000", \ - "0.0156367000, 0.0171282000, 0.0207632000, 0.0296973000, 0.0519853000, 0.1085401000, 0.2543912000", \ - "0.0162763000, 0.0175763000, 0.0209461000, 0.0294418000, 0.0517586000, 0.1080193000, 0.2542449000", \ - "0.0170568000, 0.0183559000, 0.0216211000, 0.0302432000, 0.0522181000, 0.1089749000, 0.2540051000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277870, 0.0085851570, 0.0221483300, 0.0571391500, 0.1474098000"); - values("0.0164956000, 0.0155919000, 0.0131850000, 0.0061781000, -0.014096700, -0.069849900, -0.215768500", \ - "0.0164471000, 0.0155188000, 0.0131421000, 0.0060904000, -0.014214300, -0.070050700, -0.215941100", \ - "0.0161953000, 0.0152925000, 0.0128577000, 0.0058830000, -0.014378100, -0.070137300, -0.216011800", \ - "0.0160289000, 0.0151181000, 0.0127097000, 0.0057342000, -0.014536000, -0.070369100, -0.216270200", \ - "0.0159240000, 0.0150456000, 0.0126602000, 0.0056171000, -0.014700700, -0.070498100, -0.216375800", \ - "0.0158127000, 0.0150074000, 0.0125569000, 0.0055322000, -0.014798100, -0.070614600, -0.216479200", \ - "0.0191937000, 0.0181671000, 0.0147300000, 0.0062468000, -0.015305500, -0.070733400, -0.216535000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277870, 0.0085851570, 0.0221483300, 0.0571391500, 0.1474098000"); - values("0.0152296000, 0.0166745000, 0.0202524000, 0.0291000000, 0.0511944000, 0.1079390000, 0.2533040000", \ - "0.0151955000, 0.0166391000, 0.0202185000, 0.0290596000, 0.0511795000, 0.1078800000, 0.2533740000", \ - "0.0150969000, 0.0165495000, 0.0201312000, 0.0289744000, 0.0510961000, 0.1074731000, 0.2524120000", \ - "0.0149567000, 0.0163865000, 0.0199564000, 0.0287644000, 0.0509254000, 0.1072177000, 0.2520232000", \ - "0.0147443000, 0.0161758000, 0.0197215000, 0.0284471000, 0.0507630000, 0.1073531000, 0.2527626000", \ - "0.0150776000, 0.0164052000, 0.0197278000, 0.0281247000, 0.0503359000, 0.1063679000, 0.2514856000", \ - "0.0154548000, 0.0167187000, 0.0201419000, 0.0286697000, 0.0506434000, 0.1071207000, 0.2508090000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277870, 0.0085851570, 0.0221483300, 0.0571391500, 0.1474098000"); - values("0.0144276000, 0.0135304000, 0.0110730000, 0.0041052000, -0.016200900, -0.072033100, -0.217906700", \ - "0.0142062000, 0.0133558000, 0.0110100000, 0.0040373000, -0.016298100, -0.072162700, -0.218020100", \ - "0.0140780000, 0.0131748000, 0.0107473000, 0.0037639000, -0.016494900, -0.072312000, -0.218123300", \ - "0.0139222000, 0.0130203000, 0.0105904000, 0.0036086000, -0.016650300, -0.072408500, -0.218265500", \ - "0.0137907000, 0.0129094000, 0.0105224000, 0.0034853000, -0.016846100, -0.072641000, -0.218513400", \ - "0.0136598000, 0.0127720000, 0.0104650000, 0.0034273000, -0.016975300, -0.072774500, -0.218628800", \ - "0.0172679000, 0.0159302000, 0.0125197000, 0.0041475000, -0.017352300, -0.072828500, -0.218595600"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277870, 0.0085851570, 0.0221483300, 0.0571391500, 0.1474098000"); - values("0.0139008000, 0.0152986000, 0.0187997000, 0.0275067000, 0.0497028000, 0.1057276000, 0.2505673000", \ - "0.0139072000, 0.0153004000, 0.0188023000, 0.0275125000, 0.0497149000, 0.1057385000, 0.2505624000", \ - "0.0138542000, 0.0152495000, 0.0187505000, 0.0274538000, 0.0494414000, 0.1057585000, 0.2502033000", \ - "0.0136784000, 0.0150655000, 0.0185504000, 0.0272320000, 0.0494676000, 0.1055485000, 0.2504196000", \ - "0.0133859000, 0.0147482000, 0.0182317000, 0.0268474000, 0.0488645000, 0.1052656000, 0.2498089000", \ - "0.0134040000, 0.0147480000, 0.0181081000, 0.0265486000, 0.0485902000, 0.1045626000, 0.2495909000", \ - "0.0137101000, 0.0149438000, 0.0183320000, 0.0269372000, 0.0489555000, 0.1053435000, 0.2502674000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277870, 0.0085851570, 0.0221483300, 0.0571391500, 0.1474098000"); - values("0.0122337000, 0.0113426000, 0.0089693000, 0.0019418000, -0.018353900, -0.074177900, -0.220078600", \ - "0.0119793000, 0.0111338000, 0.0087526000, 0.0017420000, -0.018559700, -0.074380800, -0.220267900", \ - "0.0117775000, 0.0108723000, 0.0084830000, 0.0014677000, -0.018810300, -0.074636500, -0.220518100", \ - "0.0115699000, 0.0106626000, 0.0082461000, 0.0012678000, -0.019021800, -0.074841200, -0.220713300", \ - "0.0115684000, 0.0106799000, 0.0082126000, 0.0012682000, -0.019044000, -0.074859800, -0.220726300", \ - "0.0121396000, 0.0111956000, 0.0087374000, 0.0016310000, -0.018790700, -0.074656300, -0.220526400", \ - "0.0165384000, 0.0151895000, 0.0118304000, 0.0032520000, -0.018508400, -0.074029500, -0.219821700"); - } - related_pin : "A4"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277870, 0.0085851570, 0.0221483300, 0.0571391500, 0.1474098000"); - values("0.0124068000, 0.0137863000, 0.0172908000, 0.0259709000, 0.0478899000, 0.1038197000, 0.2482064000", \ - "0.0123791000, 0.0137670000, 0.0172342000, 0.0259355000, 0.0478624000, 0.1041867000, 0.2476713000", \ - "0.0122411000, 0.0136325000, 0.0171173000, 0.0257916000, 0.0477844000, 0.1040207000, 0.2469942000", \ - "0.0119599000, 0.0133274000, 0.0167759000, 0.0254464000, 0.0474679000, 0.1036367000, 0.2469764000", \ - "0.0117488000, 0.0129966000, 0.0164220000, 0.0250099000, 0.0469678000, 0.1033847000, 0.2479780000", \ - "0.0115611000, 0.0129025000, 0.0162910000, 0.0247994000, 0.0467831000, 0.1030442000, 0.2490849000", \ - "0.0120560000, 0.0132596000, 0.0166615000, 0.0252852000, 0.0473102000, 0.1039202000, 0.2481184000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277870, 0.0085851570, 0.0221483300, 0.0571391500, 0.1474098000"); - values("0.0106238000, 0.0098353000, 0.0076724000, 0.0007545000, -0.020516100, -0.077155800, -0.223403800", \ - "0.0103379000, 0.0095739000, 0.0074437000, 0.0005524000, -0.020729200, -0.077355400, -0.223616300", \ - "0.0100378000, 0.0092564000, 0.0071101000, 0.0002551000, -0.021000400, -0.077624100, -0.223868900", \ - "0.0096915000, 0.0089050000, 0.0067533000, -3.64000e-05, -0.021217800, -0.077832600, -0.224060000", \ - "0.0092841000, 0.0086515000, 0.0065286000, -0.000142700, -0.021212600, -0.077753500, -0.223962300", \ - "0.0125603000, 0.0113103000, 0.0081591000, -0.000220700, -0.020955700, -0.077443400, -0.223625100", \ - "0.0146848000, 0.0134178000, 0.0101600000, 0.0016996000, -0.020075900, -0.076380400, -0.222487500"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277870, 0.0085851570, 0.0221483300, 0.0571391500, 0.1474098000"); - values("0.0128562000, 0.0143859000, 0.0181466000, 0.0272274000, 0.0494979000, 0.1059924000, 0.2518082000", \ - "0.0127445000, 0.0142710000, 0.0180538000, 0.0270947000, 0.0494168000, 0.1062195000, 0.2507908000", \ - "0.0126350000, 0.0141675000, 0.0179204000, 0.0269715000, 0.0492678000, 0.1056738000, 0.2513352000", \ - "0.0125432000, 0.0140254000, 0.0177082000, 0.0266881000, 0.0491398000, 0.1052797000, 0.2502951000", \ - "0.0123838000, 0.0138281000, 0.0173879000, 0.0263052000, 0.0485684000, 0.1050932000, 0.2510925000", \ - "0.0132813000, 0.0145814000, 0.0179472000, 0.0262521000, 0.0485382000, 0.1048347000, 0.2500130000", \ - "0.0140784000, 0.0152944000, 0.0185768000, 0.0271552000, 0.0491740000, 0.1057848000, 0.2506503000"); - } - } - max_capacitance : 0.1474100000; - max_transition : 1.5065940000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.3729366000, 0.3821557000, 0.4013810000, 0.4377928000, 0.5084914000, 0.6490888000, 0.9658950000", \ - "0.3768464000, 0.3860690000, 0.4053729000, 0.4418240000, 0.5125003000, 0.6530022000, 0.9698210000", \ - "0.3875077000, 0.3967272000, 0.4159075000, 0.4530707000, 0.5226497000, 0.6630783000, 0.9798322000", \ - "0.4115558000, 0.4208409000, 0.4400976000, 0.4769275000, 0.5473397000, 0.6875466000, 1.0043157000", \ - "0.4624751000, 0.4718320000, 0.4910420000, 0.5280857000, 0.5977129000, 0.7382974000, 1.0546872000", \ - "0.5641656000, 0.5735905000, 0.5929809000, 0.6294796000, 0.7003153000, 0.8409120000, 1.1575730000", \ - "0.7372223000, 0.7475319000, 0.7686288000, 0.8088406000, 0.8838671000, 1.0296443000, 1.3493444000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.1023519000, 0.1102870000, 0.1287031000, 0.1706594000, 0.2713120000, 0.5224383000, 1.1620999000", \ - "0.1069119000, 0.1149737000, 0.1333330000, 0.1752477000, 0.2759274000, 0.5268395000, 1.1681058000", \ - "0.1173068000, 0.1253029000, 0.1435921000, 0.1855453000, 0.2860223000, 0.5362895000, 1.1793629000", \ - "0.1386726000, 0.1465114000, 0.1645608000, 0.2061503000, 0.3066413000, 0.5573046000, 1.1999546000", \ - "0.1793417000, 0.1874377000, 0.2055852000, 0.2469766000, 0.3470883000, 0.5979893000, 1.2400051000", \ - "0.2370976000, 0.2459096000, 0.2651577000, 0.3072054000, 0.4071068000, 0.6578204000, 1.2992936000", \ - "0.2944898000, 0.3057193000, 0.3282030000, 0.3729220000, 0.4728504000, 0.7241294000, 1.3644992000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0462622000, 0.0516658000, 0.0651845000, 0.0933032000, 0.1556757000, 0.3036177000, 0.6944876000", \ - "0.0462861000, 0.0516814000, 0.0650903000, 0.0930729000, 0.1556496000, 0.3036708000, 0.6939535000", \ - "0.0465178000, 0.0517652000, 0.0642370000, 0.0929260000, 0.1560406000, 0.3029030000, 0.6921411000", \ - "0.0462213000, 0.0516565000, 0.0651947000, 0.0925636000, 0.1556253000, 0.3035167000, 0.6926474000", \ - "0.0459646000, 0.0515149000, 0.0649461000, 0.0922115000, 0.1557010000, 0.3027497000, 0.6928716000", \ - "0.0476859000, 0.0525966000, 0.0654232000, 0.0951295000, 0.1562221000, 0.3027150000, 0.6939678000", \ - "0.0538341000, 0.0595147000, 0.0725701000, 0.1015638000, 0.1654730000, 0.3117858000, 0.6993515000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0313112000, 0.0392910000, 0.0593672000, 0.1111807000, 0.2458413000, 0.5961913000, 1.4993506000", \ - "0.0312908000, 0.0392505000, 0.0593761000, 0.1109756000, 0.2456813000, 0.5954146000, 1.5025124000", \ - "0.0311665000, 0.0391325000, 0.0591886000, 0.1110489000, 0.2460104000, 0.5957453000, 1.5033795000", \ - "0.0308152000, 0.0387559000, 0.0587697000, 0.1106938000, 0.2460626000, 0.5944968000, 1.4999755000", \ - "0.0326053000, 0.0402866000, 0.0596982000, 0.1103803000, 0.2454851000, 0.5946449000, 1.5026222000", \ - "0.0379966000, 0.0453675000, 0.0634942000, 0.1122804000, 0.2451966000, 0.5950754000, 1.5026969000", \ - "0.0497361000, 0.0575558000, 0.0749292000, 0.1185825000, 0.2470849000, 0.5975212000, 1.4993115000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.3591482000, 0.3683663000, 0.3875903000, 0.4248068000, 0.4946187000, 0.6352893000, 0.9520988000", \ - "0.3620912000, 0.3712567000, 0.3904287000, 0.4276006000, 0.4980572000, 0.6384122000, 0.9548978000", \ - "0.3720576000, 0.3812829000, 0.4005208000, 0.4376913000, 0.5074283000, 0.6482219000, 0.9650246000", \ - "0.3973664000, 0.4066892000, 0.4258116000, 0.4629639000, 0.5325326000, 0.6729700000, 0.9897365000", \ - "0.4532787000, 0.4625382000, 0.4817772000, 0.5188392000, 0.5885985000, 0.7292012000, 1.0456011000", \ - "0.5729447000, 0.5823113000, 0.6013763000, 0.6387008000, 0.7094001000, 0.8500219000, 1.1668555000", \ - "0.7927912000, 0.8040477000, 0.8257208000, 0.8667113000, 0.9419016000, 1.0880982000, 1.4085511000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0987282000, 0.1062873000, 0.1236618000, 0.1640546000, 0.2625690000, 0.5126808000, 1.1544072000", \ - "0.1035071000, 0.1110823000, 0.1284343000, 0.1688772000, 0.2673298000, 0.5173315000, 1.1591572000", \ - "0.1134170000, 0.1210030000, 0.1383816000, 0.1788155000, 0.2774428000, 0.5267882000, 1.1658524000", \ - "0.1334873000, 0.1409444000, 0.1582070000, 0.1984493000, 0.2969401000, 0.5463185000, 1.1861659000", \ - "0.1697203000, 0.1774665000, 0.1950480000, 0.2352818000, 0.3340816000, 0.5843702000, 1.2260308000", \ - "0.2170207000, 0.2257200000, 0.2442718000, 0.2851790000, 0.3838943000, 0.6334224000, 1.2736987000", \ - "0.2520174000, 0.2629220000, 0.2853025000, 0.3292826000, 0.4284002000, 0.6780184000, 1.3182575000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0462806000, 0.0516591000, 0.0651758000, 0.0922354000, 0.1557282000, 0.3035710000, 0.6950175000", \ - "0.0464510000, 0.0518386000, 0.0652842000, 0.0922534000, 0.1559431000, 0.3025352000, 0.6932280000", \ - "0.0462192000, 0.0516264000, 0.0642668000, 0.0923981000, 0.1557345000, 0.3035105000, 0.6954133000", \ - "0.0466840000, 0.0518846000, 0.0642118000, 0.0931034000, 0.1560648000, 0.3031212000, 0.6917862000", \ - "0.0458515000, 0.0515220000, 0.0649078000, 0.0921095000, 0.1557116000, 0.3026690000, 0.6927729000", \ - "0.0475793000, 0.0539182000, 0.0670089000, 0.0939181000, 0.1563650000, 0.3037985000, 0.6942413000", \ - "0.0560330000, 0.0622019000, 0.0752324000, 0.1047855000, 0.1657210000, 0.3121362000, 0.6979363000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0293588000, 0.0370538000, 0.0565545000, 0.1079065000, 0.2430451000, 0.5937910000, 1.5009279000", \ - "0.0293436000, 0.0370540000, 0.0567080000, 0.1078476000, 0.2428384000, 0.5941741000, 1.5027469000", \ - "0.0293484000, 0.0370631000, 0.0566802000, 0.1075774000, 0.2431230000, 0.5932976000, 1.4984445000", \ - "0.0292595000, 0.0368797000, 0.0564422000, 0.1075690000, 0.2427543000, 0.5927390000, 1.4973588000", \ - "0.0312356000, 0.0387584000, 0.0576254000, 0.1079598000, 0.2427636000, 0.5939600000, 1.5026769000", \ - "0.0369825000, 0.0439465000, 0.0619069000, 0.1103662000, 0.2428843000, 0.5923751000, 1.4970357000", \ - "0.0490944000, 0.0565073000, 0.0734405000, 0.1168889000, 0.2450314000, 0.5969598000, 1.4983189000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.3255883000, 0.3349449000, 0.3541560000, 0.3912054000, 0.4613575000, 0.6021538000, 0.9188746000", \ - "0.3282509000, 0.3375698000, 0.3565147000, 0.3937460000, 0.4641106000, 0.6046129000, 0.9209887000", \ - "0.3376736000, 0.3468918000, 0.3661084000, 0.4032636000, 0.4725583000, 0.6138175000, 0.9305834000", \ - "0.3622227000, 0.3714496000, 0.3906807000, 0.4278165000, 0.4975640000, 0.6383415000, 0.9551447000", \ - "0.4209843000, 0.4302944000, 0.4495594000, 0.4865739000, 0.5563909000, 0.6970212000, 1.0136491000", \ - "0.5541481000, 0.5637464000, 0.5835333000, 0.6208391000, 0.6907942000, 0.8314966000, 1.1482832000", \ - "0.8047636000, 0.8155884000, 0.8377516000, 0.8792957000, 0.9548451000, 1.1006810000, 1.4214213000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0934118000, 0.1005747000, 0.1173291000, 0.1566938000, 0.2544603000, 0.5028314000, 1.1413303000", \ - "0.0983073000, 0.1054755000, 0.1222337000, 0.1616161000, 0.2594023000, 0.5077631000, 1.1461059000", \ - "0.1082715000, 0.1155056000, 0.1322136000, 0.1715495000, 0.2694423000, 0.5173182000, 1.1581079000", \ - "0.1278449000, 0.1350094000, 0.1516922000, 0.1910389000, 0.2889270000, 0.5375127000, 1.1760568000", \ - "0.1608797000, 0.1684517000, 0.1856350000, 0.2252890000, 0.3231275000, 0.5718047000, 1.2125597000", \ - "0.2005311000, 0.2091068000, 0.2276938000, 0.2682360000, 0.3662126000, 0.6152270000, 1.2556656000", \ - "0.2211237000, 0.2322671000, 0.2552073000, 0.2996278000, 0.3982470000, 0.6479317000, 1.2872243000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0459919000, 0.0515093000, 0.0651651000, 0.0921680000, 0.1556732000, 0.3033598000, 0.6934518000", \ - "0.0459098000, 0.0516784000, 0.0647100000, 0.0925129000, 0.1556476000, 0.3029679000, 0.6921949000", \ - "0.0462970000, 0.0516395000, 0.0642749000, 0.0925002000, 0.1556968000, 0.3031200000, 0.6954262000", \ - "0.0462664000, 0.0516526000, 0.0642878000, 0.0924536000, 0.1557679000, 0.3034394000, 0.6955173000", \ - "0.0458668000, 0.0514935000, 0.0648080000, 0.0920020000, 0.1557535000, 0.3028634000, 0.6942072000", \ - "0.0481022000, 0.0535006000, 0.0660703000, 0.0950240000, 0.1568598000, 0.3035035000, 0.6946996000", \ - "0.0592285000, 0.0650719000, 0.0779199000, 0.1054137000, 0.1676869000, 0.3111573000, 0.6982546000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0276773000, 0.0352764000, 0.0546568000, 0.1059490000, 0.2420995000, 0.5918941000, 1.5010183000", \ - "0.0277361000, 0.0352764000, 0.0546527000, 0.1059493000, 0.2420918000, 0.5919539000, 1.5014010000", \ - "0.0277393000, 0.0352865000, 0.0547269000, 0.1056496000, 0.2418513000, 0.5936139000, 1.5040245000", \ - "0.0279646000, 0.0354738000, 0.0546753000, 0.1059722000, 0.2419934000, 0.5918978000, 1.5015035000", \ - "0.0304149000, 0.0377773000, 0.0564730000, 0.1064892000, 0.2418369000, 0.5937150000, 1.5040303000", \ - "0.0367155000, 0.0438286000, 0.0616436000, 0.1094791000, 0.2422678000, 0.5924957000, 1.4986899000", \ - "0.0497845000, 0.0571937000, 0.0740790000, 0.1175419000, 0.2440519000, 0.5962924000, 1.4946111000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.2721943000, 0.2815503000, 0.3007850000, 0.3378320000, 0.4083161000, 0.5486053000, 0.8652429000", \ - "0.2732566000, 0.2825831000, 0.3016397000, 0.3388999000, 0.4090006000, 0.5496476000, 0.8663328000", \ - "0.2802064000, 0.2894802000, 0.3086790000, 0.3454192000, 0.4158748000, 0.5565555000, 0.8730456000", \ - "0.3039568000, 0.3131864000, 0.3323676000, 0.3691831000, 0.4395704000, 0.5803117000, 0.8966680000", \ - "0.3645429000, 0.3737939000, 0.3929917000, 0.4300625000, 0.5002772000, 0.6410065000, 0.9576883000", \ - "0.5126741000, 0.5217635000, 0.5406495000, 0.5770727000, 0.6471069000, 0.7877732000, 1.1045979000", \ - "0.7769148000, 0.7886077000, 0.8114890000, 0.8518478000, 0.9227773000, 1.0650756000, 1.3858518000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0808251000, 0.0877537000, 0.1041670000, 0.1431527000, 0.2400292000, 0.4883311000, 1.1343781000", \ - "0.0856984000, 0.0926453000, 0.1089847000, 0.1478419000, 0.2450163000, 0.4935903000, 1.1321366000", \ - "0.0958302000, 0.1027946000, 0.1191376000, 0.1580770000, 0.2552578000, 0.5047091000, 1.1420977000", \ - "0.1154781000, 0.1224650000, 0.1387179000, 0.1776053000, 0.2749636000, 0.5247873000, 1.1639748000", \ - "0.1456115000, 0.1530323000, 0.1699998000, 0.2093752000, 0.3071025000, 0.5561192000, 1.1940745000", \ - "0.1772480000, 0.1860789000, 0.2050417000, 0.2455849000, 0.3435433000, 0.5921409000, 1.2328405000", \ - "0.1827647000, 0.1947704000, 0.2191095000, 0.2649753000, 0.3637474000, 0.6132871000, 1.2527022000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0456949000, 0.0514927000, 0.0648667000, 0.0921257000, 0.1558719000, 0.3025740000, 0.6926851000", \ - "0.0458955000, 0.0516562000, 0.0654249000, 0.0923888000, 0.1555600000, 0.3029804000, 0.6935389000", \ - "0.0462231000, 0.0515957000, 0.0651651000, 0.0933090000, 0.1550937000, 0.3024228000, 0.6936043000", \ - "0.0465378000, 0.0517579000, 0.0642222000, 0.0933263000, 0.1552273000, 0.3025704000, 0.6925250000", \ - "0.0464518000, 0.0525074000, 0.0643129000, 0.0929088000, 0.1552332000, 0.3028739000, 0.6927816000", \ - "0.0476777000, 0.0530907000, 0.0649033000, 0.0923244000, 0.1558318000, 0.3035531000, 0.6918454000", \ - "0.0655112000, 0.0707321000, 0.0823098000, 0.1053590000, 0.1631312000, 0.3091879000, 0.6990625000"); - } - related_pin : "A4"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0261977000, 0.0336831000, 0.0531209000, 0.1047474000, 0.2410918000, 0.5913443000, 1.5056845000", \ - "0.0261498000, 0.0336390000, 0.0531722000, 0.1047216000, 0.2403260000, 0.5930879000, 1.5065937000", \ - "0.0261871000, 0.0336285000, 0.0531722000, 0.1045503000, 0.2412059000, 0.5967719000, 1.4979781000", \ - "0.0269182000, 0.0342502000, 0.0534404000, 0.1047596000, 0.2411754000, 0.5972871000, 1.4961505000", \ - "0.0302330000, 0.0373618000, 0.0558918000, 0.1060234000, 0.2405222000, 0.5929580000, 1.4987160000", \ - "0.0379984000, 0.0449417000, 0.0621246000, 0.1091219000, 0.2419626000, 0.5915692000, 1.5028911000", \ - "0.0540977000, 0.0614809000, 0.0783757000, 0.1202823000, 0.2446769000, 0.5974454000, 1.4947338000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0740075000, 0.0792274000, 0.0909705000, 0.1165028000, 0.1731161000, 0.2984721000, 0.6062300000", \ - "0.0791023000, 0.0844038000, 0.0961843000, 0.1217695000, 0.1784090000, 0.3037279000, 0.6115148000", \ - "0.0923020000, 0.0975331000, 0.1093242000, 0.1350013000, 0.1917083000, 0.3171263000, 0.6247357000", \ - "0.1243116000, 0.1295505000, 0.1413523000, 0.1671563000, 0.2240245000, 0.3496803000, 0.6569880000", \ - "0.1857171000, 0.1918730000, 0.2051242000, 0.2329782000, 0.2912954000, 0.4175321000, 0.7254015000", \ - "0.2832767000, 0.2912606000, 0.3081755000, 0.3419628000, 0.4080387000, 0.5397234000, 0.8479941000", \ - "0.4418337000, 0.4520790000, 0.4738656000, 0.5171774000, 0.5981074000, 0.7448204000, 1.0568804000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0920687000, 0.1000955000, 0.1184304000, 0.1604204000, 0.2611152000, 0.5119034000, 1.1536382000", \ - "0.0962283000, 0.1042204000, 0.1225442000, 0.1645806000, 0.2649002000, 0.5162597000, 1.1575436000", \ - "0.1066818000, 0.1146813000, 0.1329716000, 0.1747702000, 0.2754336000, 0.5260591000, 1.1687248000", \ - "0.1321556000, 0.1398986000, 0.1576795000, 0.1989346000, 0.2993042000, 0.5504343000, 1.1903080000", \ - "0.1767227000, 0.1845365000, 0.2024190000, 0.2435138000, 0.3432428000, 0.5939579000, 1.2367124000", \ - "0.2328389000, 0.2410555000, 0.2592933000, 0.2999683000, 0.3999241000, 0.6510445000, 1.2922303000", \ - "0.2851220000, 0.2951100000, 0.3160598000, 0.3581969000, 0.4565395000, 0.7077471000, 1.3493807000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0196790000, 0.0245272000, 0.0360389000, 0.0633226000, 0.1259510000, 0.2749556000, 0.6755873000", \ - "0.0198568000, 0.0245766000, 0.0361205000, 0.0634885000, 0.1259012000, 0.2754441000, 0.6757852000", \ - "0.0198244000, 0.0244593000, 0.0361412000, 0.0634547000, 0.1259715000, 0.2748089000, 0.6770146000", \ - "0.0202870000, 0.0249051000, 0.0364338000, 0.0636290000, 0.1260645000, 0.2753733000, 0.6793247000", \ - "0.0260004000, 0.0304920000, 0.0415820000, 0.0678722000, 0.1284463000, 0.2760750000, 0.6794516000", \ - "0.0359988000, 0.0420442000, 0.0546443000, 0.0824632000, 0.1430306000, 0.2837786000, 0.6774997000", \ - "0.0515437000, 0.0583279000, 0.0744617000, 0.1089008000, 0.1753562000, 0.3068321000, 0.6802316000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012899200, 0.0033277900, 0.0085851600, 0.0221483000, 0.0571391000, 0.1474100000"); - values("0.0311426000, 0.0391483000, 0.0591648000, 0.1109267000, 0.2458231000, 0.5949856000, 1.5020735000", \ - "0.0311645000, 0.0391570000, 0.0591564000, 0.1108927000, 0.2458864000, 0.5955109000, 1.5015010000", \ - "0.0310128000, 0.0389379000, 0.0590955000, 0.1107626000, 0.2460027000, 0.5944630000, 1.4986251000", \ - "0.0305222000, 0.0384682000, 0.0583269000, 0.1100896000, 0.2451400000, 0.5960578000, 1.4977747000", \ - "0.0318782000, 0.0396062000, 0.0593102000, 0.1103042000, 0.2444748000, 0.5936868000, 1.5026769000", \ - "0.0366983000, 0.0436350000, 0.0616807000, 0.1113668000, 0.2462141000, 0.5954709000, 1.4993157000", \ - "0.0479418000, 0.0546755000, 0.0712797000, 0.1152963000, 0.2462165000, 0.5988419000, 1.4999257000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o41a_2") { - leakage_power () { - value : 0.0011195000; - when : "A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0032300000; - when : "A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0011242000; - when : "A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0032300000; - when : "A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0011074000; - when : "A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0032300000; - when : "A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0042737000; - when : "!A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0160239000; - when : "!A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0015955000; - when : "!A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0032300000; - when : "!A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0014342000; - when : "!A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0032301000; - when : "!A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0011264000; - when : "!A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0032300000; - when : "!A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0014884000; - when : "!A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0032300000; - when : "!A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0011333000; - when : "!A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0032300000; - when : "!A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0011373000; - when : "!A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0032300000; - when : "!A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0011111000; - when : "!A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0032300000; - when : "!A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0018005000; - when : "A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0032295000; - when : "A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0011643000; - when : "A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0032300000; - when : "A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0011654000; - when : "A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0032300000; - when : "A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0011159000; - when : "A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0032300000; - when : "A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0011728000; - when : "A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0032300000; - when : "A1&A2&!A3&!A4&!B1"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__o41a"; - cell_leakage_power : 0.0027357500; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023700000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022570000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040494000, 0.0040523000, 0.0040590000, 0.0040591000, 0.0040594000, 0.0040601000, 0.0040616000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004058500, -0.004057000, -0.004053400, -0.004054600, -0.004057100, -0.004063100, -0.004076700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024830000; - } - pin ("A2") { - capacitance : 0.0023900000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022470000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039781000, 0.0039758000, 0.0039707000, 0.0039691000, 0.0039654000, 0.0039570000, 0.0039376000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003969200, -0.003969400, -0.003969900, -0.003968700, -0.003966100, -0.003960000, -0.003945900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025340000; - } - pin ("A3") { - capacitance : 0.0023900000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022300000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038434000, 0.0038408000, 0.0038348000, 0.0038355000, 0.0038370000, 0.0038406000, 0.0038487000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003838500, -0.003838500, -0.003838700, -0.003837600, -0.003835100, -0.003829500, -0.003816400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025500000; - } - pin ("A4") { - capacitance : 0.0023050000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021250000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038838000, 0.0038830000, 0.0038814000, 0.0038820000, 0.0038836000, 0.0038871000, 0.0038953000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003882500, -0.003881700, -0.003879900, -0.003879200, -0.003877500, -0.003873600, -0.003864700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024840000; - } - pin ("B1") { - capacitance : 0.0023190000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022420000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0046838000, 0.0046817000, 0.0046771000, 0.0046773000, 0.0046779000, 0.0046792000, 0.0046823000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000264300, -0.000290200, -0.000349900, -0.000320000, -0.000250900, -9.1451365e-05, 0.0002760000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023950000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A2&B1) | (A3&B1) | (A4&B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014567340, 0.0042441490, 0.0123651900, 0.0360256000, 0.1049594000, 0.3057960000"); - values("0.0211599000, 0.0195225000, 0.0156186000, 0.0041884000, -0.031111400, -0.140655200, -0.465342100", \ - "0.0210212000, 0.0193919000, 0.0154791000, 0.0040606000, -0.031466400, -0.140757300, -0.465473600", \ - "0.0208627000, 0.0192469000, 0.0152508000, 0.0039534000, -0.031550200, -0.141035800, -0.465663400", \ - "0.0207382000, 0.0192486000, 0.0152227000, 0.0037849000, -0.031700500, -0.141258100, -0.465859600", \ - "0.0205517000, 0.0190806000, 0.0151358000, 0.0037865000, -0.031897400, -0.141397700, -0.466004900", \ - "0.0205914000, 0.0189191000, 0.0149128000, 0.0034447000, -0.032042400, -0.141592300, -0.466113000", \ - "0.0248585000, 0.0230484000, 0.0182641000, 0.0048892000, -0.032425000, -0.141678200, -0.466202300"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014567340, 0.0042441490, 0.0123651900, 0.0360256000, 0.1049594000, 0.3057960000"); - values("0.0201444000, 0.0218865000, 0.0268556000, 0.0408876000, 0.0796947000, 0.1906119000, 0.5124125000", \ - "0.0200326000, 0.0217886000, 0.0268464000, 0.0407103000, 0.0796032000, 0.1905121000, 0.5146615000", \ - "0.0198832000, 0.0215956000, 0.0266082000, 0.0406195000, 0.0793956000, 0.1911840000, 0.5117274000", \ - "0.0198093000, 0.0215397000, 0.0265466000, 0.0405047000, 0.0792973000, 0.1902025000, 0.5141002000", \ - "0.0199923000, 0.0216733000, 0.0266490000, 0.0402228000, 0.0788966000, 0.1900345000, 0.5139810000", \ - "0.0209807000, 0.0225514000, 0.0271817000, 0.0401313000, 0.0788281000, 0.1893354000, 0.5140596000", \ - "0.0218848000, 0.0234135000, 0.0278285000, 0.0409789000, 0.0791656000, 0.1905117000, 0.5131212000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014567340, 0.0042441490, 0.0123651900, 0.0360256000, 0.1049594000, 0.3057960000"); - values("0.0189674000, 0.0173400000, 0.0134206000, 0.0020317000, -0.033469900, -0.142919700, -0.467452300", \ - "0.0188384000, 0.0172246000, 0.0132087000, 0.0019220000, -0.033583500, -0.143059700, -0.467615000", \ - "0.0186776000, 0.0170727000, 0.0131443000, 0.0017494000, -0.033753400, -0.143206900, -0.467764000", \ - "0.0185848000, 0.0169921000, 0.0129127000, 0.0017001000, -0.033901700, -0.143406600, -0.468027100", \ - "0.0184656000, 0.0168628000, 0.0129393000, 0.0015417000, -0.034046500, -0.143563200, -0.468160900", \ - "0.0183682000, 0.0167569000, 0.0128441000, 0.0013886000, -0.034169000, -0.143715700, -0.468267900", \ - "0.0224371000, 0.0206312000, 0.0153548000, 0.0018989000, -0.034532400, -0.143667500, -0.468275700"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014567340, 0.0042441490, 0.0123651900, 0.0360256000, 0.1049594000, 0.3057960000"); - values("0.0195246000, 0.0212325000, 0.0261187000, 0.0398125000, 0.0784220000, 0.1901247000, 0.5109629000", \ - "0.0194757000, 0.0211858000, 0.0260767000, 0.0397680000, 0.0783813000, 0.1900782000, 0.5109366000", \ - "0.0193728000, 0.0210554000, 0.0260143000, 0.0397088000, 0.0783279000, 0.1890428000, 0.5108984000", \ - "0.0192805000, 0.0210035000, 0.0259258000, 0.0396182000, 0.0781349000, 0.1890342000, 0.5132684000", \ - "0.0192522000, 0.0209442000, 0.0257918000, 0.0392405000, 0.0778104000, 0.1887375000, 0.5125034000", \ - "0.0198825000, 0.0214725000, 0.0261208000, 0.0391562000, 0.0775472000, 0.1876921000, 0.5123460000", \ - "0.0204247000, 0.0219352000, 0.0263333000, 0.0397506000, 0.0776173000, 0.1888012000, 0.5090554000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014567340, 0.0042441490, 0.0123651900, 0.0360256000, 0.1049594000, 0.3057960000"); - values("0.0168716000, 0.0152477000, 0.0116135000, 9.790000e-05, -0.035374200, -0.145059300, -0.469641400", \ - "0.0167630000, 0.0151446000, 0.0111295000, -0.000150400, -0.035664100, -0.145140900, -0.469775500", \ - "0.0167232000, 0.0150974000, 0.0111395000, -0.000291000, -0.035801800, -0.145312800, -0.469949800", \ - "0.0165841000, 0.0149692000, 0.0110009000, -0.000593000, -0.035983800, -0.145513200, -0.470094200", \ - "0.0163600000, 0.0147590000, 0.0108290000, -0.000589300, -0.036059500, -0.145623000, -0.470265200", \ - "0.0164822000, 0.0148450000, 0.0107740000, -0.000556500, -0.036178100, -0.145810200, -0.470423800", \ - "0.0196859000, 0.0178860000, 0.0130021000, 0.0005481000, -0.036433900, -0.145920300, -0.470399900"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014567340, 0.0042441490, 0.0123651900, 0.0360256000, 0.1049594000, 0.3057960000"); - values("0.0182069000, 0.0199276000, 0.0247794000, 0.0383647000, 0.0768370000, 0.1873695000, 0.5093959000", \ - "0.0182169000, 0.0198736000, 0.0247250000, 0.0383504000, 0.0767943000, 0.1873866000, 0.5101729000", \ - "0.0182178000, 0.0199101000, 0.0247197000, 0.0383393000, 0.0767779000, 0.1875015000, 0.5112123000", \ - "0.0181245000, 0.0198145000, 0.0246853000, 0.0382162000, 0.0766114000, 0.1874855000, 0.5088409000", \ - "0.0178522000, 0.0195295000, 0.0244029000, 0.0378339000, 0.0762051000, 0.1869561000, 0.5098707000", \ - "0.0181764000, 0.0197740000, 0.0243490000, 0.0376639000, 0.0758129000, 0.1859625000, 0.5083931000", \ - "0.0186955000, 0.0201841000, 0.0246392000, 0.0378803000, 0.0762784000, 0.1872944000, 0.5097515000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014567340, 0.0042441490, 0.0123651900, 0.0360256000, 0.1049594000, 0.3057960000"); - values("0.0147287000, 0.0131523000, 0.0092510000, -0.002096600, -0.037645200, -0.147213000, -0.471822300", \ - "0.0145882000, 0.0129927000, 0.0089946000, -0.002281200, -0.037832200, -0.147376800, -0.471982600", \ - "0.0144200000, 0.0128582000, 0.0088565000, -0.002612400, -0.038040000, -0.147584800, -0.472193100", \ - "0.0142105000, 0.0126272000, 0.0086283000, -0.002867100, -0.038244700, -0.147778200, -0.472392500", \ - "0.0139922000, 0.0123701000, 0.0084604000, -0.002914900, -0.038437400, -0.147884500, -0.472497300", \ - "0.0146375000, 0.0129633000, 0.0090400000, -0.002398700, -0.037694200, -0.147411200, -0.472044400", \ - "0.0199941000, 0.0181307000, 0.0131699000, -0.000360900, -0.037610400, -0.147178600, -0.471724500"); - } - related_pin : "A4"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014567340, 0.0042441490, 0.0123651900, 0.0360256000, 0.1049594000, 0.3057960000"); - values("0.0166805000, 0.0183905000, 0.0232545000, 0.0367607000, 0.0751464000, 0.1866575000, 0.5101072000", \ - "0.0166952000, 0.0184018000, 0.0232684000, 0.0367367000, 0.0751749000, 0.1858639000, 0.5103188000", \ - "0.0166215000, 0.0183180000, 0.0232082000, 0.0368135000, 0.0752206000, 0.1867416000, 0.5102483000", \ - "0.0164822000, 0.0181262000, 0.0229411000, 0.0365009000, 0.0748848000, 0.1847194000, 0.5070803000", \ - "0.0162490000, 0.0178345000, 0.0225980000, 0.0360099000, 0.0744208000, 0.1862972000, 0.5064492000", \ - "0.0163968000, 0.0179769000, 0.0225388000, 0.0359180000, 0.0740848000, 0.1843042000, 0.5068936000", \ - "0.0170617000, 0.0185497000, 0.0228892000, 0.0360764000, 0.0745507000, 0.1855737000, 0.5063344000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014567340, 0.0042441490, 0.0123651900, 0.0360256000, 0.1049594000, 0.3057960000"); - values("0.0121950000, 0.0110899000, 0.0079976000, -0.002521100, -0.038582500, -0.149828100, -0.475133900", \ - "0.0120397000, 0.0109313000, 0.0078723000, -0.002624800, -0.038708600, -0.149959800, -0.475265400", \ - "0.0117186000, 0.0106702000, 0.0075187000, -0.002957200, -0.039029600, -0.150260600, -0.475559800", \ - "0.0114604000, 0.0103187000, 0.0071061000, -0.003404400, -0.039372800, -0.150525500, -0.475796000", \ - "0.0116827000, 0.0104134000, 0.0070415000, -0.003594200, -0.039514200, -0.150569500, -0.475780500", \ - "0.0151133000, 0.0135460000, 0.0090864000, -0.003805900, -0.039561700, -0.150405700, -0.475516800", \ - "0.0186991000, 0.0170035000, 0.0123798000, -0.000734900, -0.038747400, -0.149698000, -0.474666600"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014567340, 0.0042441490, 0.0123651900, 0.0360256000, 0.1049594000, 0.3057960000"); - values("0.0166768000, 0.0184192000, 0.0234092000, 0.0373998000, 0.0762662000, 0.1872798000, 0.5114883000", \ - "0.0166320000, 0.0183734000, 0.0233798000, 0.0373311000, 0.0762124000, 0.1879533000, 0.5083431000", \ - "0.0165244000, 0.0182446000, 0.0233219000, 0.0372731000, 0.0761084000, 0.1878957000, 0.5110260000", \ - "0.0164523000, 0.0181907000, 0.0231961000, 0.0370558000, 0.0758097000, 0.1876176000, 0.5106893000", \ - "0.0164657000, 0.0181293000, 0.0230351000, 0.0366784000, 0.0752726000, 0.1863299000, 0.5102632000", \ - "0.0177000000, 0.0192243000, 0.0237612000, 0.0367146000, 0.0751444000, 0.1859299000, 0.5079356000", \ - "0.0186175000, 0.0200984000, 0.0245309000, 0.0378899000, 0.0756202000, 0.1869417000, 0.5087437000"); - } - } - max_capacitance : 0.3057960000; - max_transition : 1.5043120000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.4394259000, 0.4488441000, 0.4699933000, 0.5098894000, 0.5834014000, 0.7305930000, 1.0728689000", \ - "0.4434470000, 0.4528603000, 0.4740640000, 0.5138125000, 0.5882501000, 0.7348451000, 1.0773537000", \ - "0.4545036000, 0.4641113000, 0.4849142000, 0.5250109000, 0.5992418000, 0.7456683000, 1.0876221000", \ - "0.4792619000, 0.4888827000, 0.5098318000, 0.5496720000, 0.6238425000, 0.7711345000, 1.1135611000", \ - "0.5318896000, 0.5415756000, 0.5623434000, 0.6022677000, 0.6764862000, 0.8235106000, 1.1664233000", \ - "0.6397756000, 0.6495837000, 0.6704376000, 0.7104997000, 0.7847893000, 0.9323683000, 1.2745089000", \ - "0.8375309000, 0.8481983000, 0.8706363000, 0.9133441000, 0.9913920000, 1.1426683000, 1.4879742000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.1064165000, 0.1128835000, 0.1279495000, 0.1625999000, 0.2485134000, 0.4864429000, 1.1714136000", \ - "0.1111270000, 0.1176291000, 0.1327352000, 0.1672219000, 0.2533201000, 0.4915885000, 1.1770189000", \ - "0.1214221000, 0.1278273000, 0.1429311000, 0.1775336000, 0.2637256000, 0.5020609000, 1.1868212000", \ - "0.1428396000, 0.1492351000, 0.1642246000, 0.1985447000, 0.2844685000, 0.5228316000, 1.2083539000", \ - "0.1853158000, 0.1919780000, 0.2074340000, 0.2418450000, 0.3274037000, 0.5656212000, 1.2513737000", \ - "0.2476011000, 0.2553895000, 0.2726011000, 0.3088024000, 0.3945384000, 0.6320827000, 1.3185207000", \ - "0.3104294000, 0.3205972000, 0.3422717000, 0.3837454000, 0.4729557000, 0.7111379000, 1.3940059000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.0514058000, 0.0566369000, 0.0684591000, 0.0922024000, 0.1480696000, 0.2869196000, 0.6866433000", \ - "0.0513256000, 0.0566129000, 0.0684586000, 0.0923160000, 0.1476008000, 0.2876885000, 0.6881165000", \ - "0.0509659000, 0.0562524000, 0.0678456000, 0.0932381000, 0.1484947000, 0.2873590000, 0.6872922000", \ - "0.0509335000, 0.0562635000, 0.0677535000, 0.0921638000, 0.1488891000, 0.2873211000, 0.6876817000", \ - "0.0509199000, 0.0562153000, 0.0680118000, 0.0936247000, 0.1469055000, 0.2871365000, 0.6876876000", \ - "0.0513815000, 0.0562453000, 0.0679105000, 0.0935264000, 0.1478185000, 0.2869135000, 0.6880498000", \ - "0.0581325000, 0.0632751000, 0.0759304000, 0.1004479000, 0.1556167000, 0.2933772000, 0.6893486000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.0230456000, 0.0283016000, 0.0420733000, 0.0799343000, 0.1919177000, 0.5241070000, 1.4977997000", \ - "0.0229244000, 0.0282720000, 0.0419951000, 0.0797560000, 0.1918221000, 0.5244001000, 1.5009111000", \ - "0.0228399000, 0.0281099000, 0.0420411000, 0.0796597000, 0.1917684000, 0.5245895000, 1.4995485000", \ - "0.0226457000, 0.0278315000, 0.0417370000, 0.0795518000, 0.1915078000, 0.5250195000, 1.4990340000", \ - "0.0243839000, 0.0294797000, 0.0431361000, 0.0799697000, 0.1913115000, 0.5247799000, 1.4991441000", \ - "0.0299871000, 0.0353199000, 0.0487337000, 0.0841824000, 0.1928069000, 0.5239386000, 1.5010262000", \ - "0.0411322000, 0.0475784000, 0.0614224000, 0.0956523000, 0.1968791000, 0.5250937000, 1.4961117000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.4242383000, 0.4339388000, 0.4547424000, 0.4946908000, 0.5689325000, 0.7153607000, 1.0579121000", \ - "0.4274074000, 0.4370234000, 0.4578164000, 0.4979320000, 0.5721549000, 0.7185815000, 1.0611042000", \ - "0.4375577000, 0.4472783000, 0.4680918000, 0.5080293000, 0.5823139000, 0.7288063000, 1.0713753000", \ - "0.4628162000, 0.4725724000, 0.4931894000, 0.5330413000, 0.6072572000, 0.7546729000, 1.0972316000", \ - "0.5186886000, 0.5282279000, 0.5493108000, 0.5892261000, 0.6634991000, 0.8102801000, 1.1532549000", \ - "0.6409733000, 0.6505643000, 0.6710821000, 0.7109028000, 0.7851393000, 0.9328505000, 1.2752260000", \ - "0.8792523000, 0.8898277000, 0.9126365000, 0.9553602000, 1.0342251000, 1.1863209000, 1.5317644000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.1024966000, 0.1086097000, 0.1229357000, 0.1560731000, 0.2401852000, 0.4771833000, 1.1595861000", \ - "0.1073254000, 0.1134309000, 0.1277464000, 0.1608870000, 0.2449947000, 0.4819990000, 1.1643059000", \ - "0.1173882000, 0.1234809000, 0.1378473000, 0.1709630000, 0.2549529000, 0.4918865000, 1.1745891000", \ - "0.1381310000, 0.1442204000, 0.1584595000, 0.1914330000, 0.2755185000, 0.5117137000, 1.1959823000", \ - "0.1774871000, 0.1838691000, 0.1986568000, 0.2321180000, 0.3162333000, 0.5521343000, 1.2383731000", \ - "0.2312027000, 0.2388114000, 0.2557202000, 0.2911365000, 0.3760590000, 0.6120722000, 1.2985876000", \ - "0.2763935000, 0.2864627000, 0.3080810000, 0.3497423000, 0.4376464000, 0.6742385000, 1.3565958000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.0508176000, 0.0568400000, 0.0691411000, 0.0923406000, 0.1486436000, 0.2873883000, 0.6882296000", \ - "0.0509703000, 0.0562750000, 0.0679207000, 0.0932048000, 0.1485326000, 0.2873906000, 0.6898414000", \ - "0.0509277000, 0.0568318000, 0.0691509000, 0.0922417000, 0.1486723000, 0.2873206000, 0.6868651000", \ - "0.0511158000, 0.0566512000, 0.0678205000, 0.0919696000, 0.1470211000, 0.2867944000, 0.6876240000", \ - "0.0512882000, 0.0562094000, 0.0681195000, 0.0920530000, 0.1472729000, 0.2864753000, 0.6869805000", \ - "0.0513815000, 0.0565402000, 0.0682081000, 0.0921753000, 0.1487363000, 0.2864563000, 0.6874805000", \ - "0.0597073000, 0.0650217000, 0.0772398000, 0.1034224000, 0.1595404000, 0.2945540000, 0.6919227000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.0214513000, 0.0265240000, 0.0399069000, 0.0771644000, 0.1889429000, 0.5234319000, 1.4980112000", \ - "0.0214514000, 0.0265049000, 0.0399242000, 0.0771621000, 0.1889476000, 0.5233956000, 1.4977659000", \ - "0.0214226000, 0.0265453000, 0.0398682000, 0.0771342000, 0.1889658000, 0.5234121000, 1.4989207000", \ - "0.0212837000, 0.0263358000, 0.0397489000, 0.0768281000, 0.1884580000, 0.5232396000, 1.5001412000", \ - "0.0233600000, 0.0285236000, 0.0415299000, 0.0778826000, 0.1890218000, 0.5217817000, 1.4965001000", \ - "0.0293326000, 0.0345713000, 0.0475044000, 0.0826438000, 0.1906701000, 0.5215273000, 1.4985084000", \ - "0.0412050000, 0.0475193000, 0.0610447000, 0.0942464000, 0.1950909000, 0.5245559000, 1.4947789000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.3908978000, 0.4005266000, 0.4215445000, 0.4611454000, 0.5352597000, 0.6830206000, 1.0253999000", \ - "0.3938251000, 0.4034384000, 0.4243652000, 0.4645068000, 0.5385908000, 0.6849522000, 1.0274428000", \ - "0.4032043000, 0.4129420000, 0.4338793000, 0.4737365000, 0.5477493000, 0.6949846000, 1.0373894000", \ - "0.4276141000, 0.4373870000, 0.4583050000, 0.4980589000, 0.5725792000, 0.7196250000, 1.0608812000", \ - "0.4859637000, 0.4957276000, 0.5166181000, 0.5562657000, 0.6303333000, 0.7774977000, 1.1196973000", \ - "0.6238258000, 0.6334271000, 0.6543129000, 0.6940685000, 0.7682437000, 0.9160158000, 1.2582727000", \ - "0.8950259000, 0.9059566000, 0.9293736000, 0.9741816000, 1.0529860000, 1.2059838000, 1.5514373000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.0973213000, 0.1031983000, 0.1170362000, 0.1493208000, 0.2323326000, 0.4679241000, 1.1494412000", \ - "0.1022331000, 0.1080593000, 0.1219041000, 0.1541648000, 0.2370651000, 0.4723216000, 1.1572763000", \ - "0.1125409000, 0.1184001000, 0.1321915000, 0.1644313000, 0.2473680000, 0.4827737000, 1.1678506000", \ - "0.1328839000, 0.1387361000, 0.1525662000, 0.1847116000, 0.2676787000, 0.5033765000, 1.1860486000", \ - "0.1698437000, 0.1761447000, 0.1907564000, 0.2236568000, 0.3067828000, 0.5423332000, 1.2275253000", \ - "0.2171292000, 0.2248126000, 0.2415870000, 0.2771039000, 0.3615238000, 0.5970296000, 1.2807254000", \ - "0.2501486000, 0.2603647000, 0.2824309000, 0.3245632000, 0.4126454000, 0.6483868000, 1.3307198000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.0513375000, 0.0568591000, 0.0687784000, 0.0926103000, 0.1480289000, 0.2869631000, 0.6872870000", \ - "0.0509960000, 0.0563303000, 0.0677922000, 0.0927108000, 0.1485541000, 0.2873583000, 0.6901920000", \ - "0.0512557000, 0.0561990000, 0.0677790000, 0.0921447000, 0.1489385000, 0.2876255000, 0.6876619000", \ - "0.0512820000, 0.0562062000, 0.0677454000, 0.0937635000, 0.1485188000, 0.2878911000, 0.6860781000", \ - "0.0512854000, 0.0562055000, 0.0677992000, 0.0925488000, 0.1479133000, 0.2866387000, 0.6891637000", \ - "0.0515069000, 0.0569303000, 0.0679117000, 0.0927758000, 0.1489700000, 0.2871691000, 0.6872925000", \ - "0.0621977000, 0.0679318000, 0.0798309000, 0.1055044000, 0.1608760000, 0.2942355000, 0.6900397000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.0202912000, 0.0252317000, 0.0385073000, 0.0756222000, 0.1875874000, 0.5232226000, 1.4972356000", \ - "0.0202847000, 0.0253120000, 0.0384405000, 0.0755140000, 0.1874741000, 0.5225420000, 1.4996744000", \ - "0.0202514000, 0.0251972000, 0.0384395000, 0.0753622000, 0.1873208000, 0.5228967000, 1.4982023000", \ - "0.0202812000, 0.0252578000, 0.0384605000, 0.0754551000, 0.1873507000, 0.5220849000, 1.5005105000", \ - "0.0229248000, 0.0278768000, 0.0407680000, 0.0769558000, 0.1877355000, 0.5226285000, 1.5003448000", \ - "0.0296108000, 0.0348209000, 0.0478065000, 0.0820441000, 0.1898298000, 0.5212455000, 1.4979230000", \ - "0.0416700000, 0.0483482000, 0.0620569000, 0.0954933000, 0.1949014000, 0.5238235000, 1.4916386000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.3352405000, 0.3448771000, 0.3657867000, 0.4057107000, 0.4799005000, 0.6272959000, 0.9698311000", \ - "0.3371093000, 0.3467544000, 0.3675860000, 0.4076964000, 0.4817963000, 0.6291433000, 0.9715809000", \ - "0.3444275000, 0.3542149000, 0.3750764000, 0.4150646000, 0.4892844000, 0.6367242000, 0.9792517000", \ - "0.3671801000, 0.3767319000, 0.3978673000, 0.4377901000, 0.5121370000, 0.6596740000, 1.0020624000", \ - "0.4283404000, 0.4379449000, 0.4587739000, 0.4986525000, 0.5726364000, 0.7191648000, 1.0615573000", \ - "0.5762192000, 0.5850317000, 0.6056481000, 0.6455870000, 0.7187736000, 0.8662815000, 1.2086707000", \ - "0.8724720000, 0.8839831000, 0.9086946000, 0.9536699000, 1.0312196000, 1.1804884000, 1.5255396000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.0848826000, 0.0904991000, 0.1039026000, 0.1353699000, 0.2176623000, 0.4532807000, 1.1352966000", \ - "0.0897830000, 0.0954059000, 0.1088135000, 0.1403064000, 0.2228096000, 0.4578813000, 1.1392546000", \ - "0.1003030000, 0.1059088000, 0.1193311000, 0.1509098000, 0.2334103000, 0.4684415000, 1.1509111000", \ - "0.1211068000, 0.1266428000, 0.1400004000, 0.1714489000, 0.2539507000, 0.4893709000, 1.1833701000", \ - "0.1557883000, 0.1619271000, 0.1764317000, 0.2090556000, 0.2918044000, 0.5279076000, 1.2213115000", \ - "0.1960053000, 0.2039134000, 0.2209798000, 0.2565883000, 0.3406498000, 0.5758838000, 1.2599072000", \ - "0.2152377000, 0.2259916000, 0.2491777000, 0.2929596000, 0.3813832000, 0.6172222000, 1.2987869000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.0509284000, 0.0565619000, 0.0676859000, 0.0938254000, 0.1468159000, 0.2867977000, 0.6875952000", \ - "0.0508016000, 0.0566697000, 0.0677815000, 0.0932364000, 0.1487985000, 0.2869197000, 0.6876339000", \ - "0.0512713000, 0.0561666000, 0.0676610000, 0.0935370000, 0.1487685000, 0.2867829000, 0.6876175000", \ - "0.0513894000, 0.0561830000, 0.0677998000, 0.0937012000, 0.1488700000, 0.2868119000, 0.6876044000", \ - "0.0515427000, 0.0563302000, 0.0682722000, 0.0923543000, 0.1476033000, 0.2877183000, 0.6875649000", \ - "0.0499138000, 0.0569536000, 0.0682145000, 0.0931122000, 0.1484903000, 0.2877854000, 0.6877325000", \ - "0.0679906000, 0.0734789000, 0.0856937000, 0.1082264000, 0.1571620000, 0.2918532000, 0.6912532000"); - } - related_pin : "A4"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.0191009000, 0.0239906000, 0.0369274000, 0.0739494000, 0.1862658000, 0.5222206000, 1.5004682000", \ - "0.0190759000, 0.0239407000, 0.0368702000, 0.0740280000, 0.1862672000, 0.5214398000, 1.5043121000", \ - "0.0191319000, 0.0240390000, 0.0369550000, 0.0739536000, 0.1866035000, 0.5229656000, 1.5025541000", \ - "0.0193535000, 0.0242685000, 0.0371665000, 0.0740089000, 0.1860508000, 0.5213768000, 1.4987049000", \ - "0.0226924000, 0.0277531000, 0.0404229000, 0.0761006000, 0.1869866000, 0.5231635000, 1.5033215000", \ - "0.0306036000, 0.0357691000, 0.0484279000, 0.0822032000, 0.1891021000, 0.5207006000, 1.5007294000", \ - "0.0442801000, 0.0514083000, 0.0655881000, 0.0982716000, 0.1956619000, 0.5230779000, 1.4935555000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.0843091000, 0.0888506000, 0.0995313000, 0.1223360000, 0.1736354000, 0.2951914000, 0.6184882000", \ - "0.0898301000, 0.0944189000, 0.1050059000, 0.1278078000, 0.1791294000, 0.3006732000, 0.6243664000", \ - "0.1029912000, 0.1075858000, 0.1180274000, 0.1409239000, 0.1922341000, 0.3137987000, 0.6375007000", \ - "0.1357666000, 0.1403468000, 0.1508117000, 0.1737009000, 0.2252097000, 0.3468650000, 0.6705977000", \ - "0.2055350000, 0.2106698000, 0.2221231000, 0.2460610000, 0.2982539000, 0.4204434000, 0.7440630000", \ - "0.3209331000, 0.3277399000, 0.3427087000, 0.3726440000, 0.4326944000, 0.5604048000, 0.8845791000", \ - "0.5084015000, 0.5171536000, 0.5369551000, 0.5758716000, 0.6516423000, 0.7956750000, 1.1249874000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.0949678000, 0.1013553000, 0.1164076000, 0.1510095000, 0.2370441000, 0.4752327000, 1.1605248000", \ - "0.0990552000, 0.1055006000, 0.1205209000, 0.1553173000, 0.2415478000, 0.4798559000, 1.1647968000", \ - "0.1093111000, 0.1157392000, 0.1308184000, 0.1653147000, 0.2514826000, 0.4898549000, 1.1749890000", \ - "0.1351048000, 0.1414806000, 0.1562469000, 0.1903023000, 0.2760097000, 0.5144061000, 1.1994780000", \ - "0.1841332000, 0.1906482000, 0.2056510000, 0.2398013000, 0.3247568000, 0.5627940000, 1.2488037000", \ - "0.2463930000, 0.2540424000, 0.2709027000, 0.3058983000, 0.3912982000, 0.6288582000, 1.3136937000", \ - "0.3060923000, 0.3163431000, 0.3378512000, 0.3781025000, 0.4636916000, 0.7012235000, 1.3855267000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.0166728000, 0.0199772000, 0.0280359000, 0.0489644000, 0.1026999000, 0.2417216000, 0.6619638000", \ - "0.0166927000, 0.0199257000, 0.0280345000, 0.0489998000, 0.1029224000, 0.2418542000, 0.6629263000", \ - "0.0165580000, 0.0199164000, 0.0280062000, 0.0488247000, 0.1027904000, 0.2419008000, 0.6629943000", \ - "0.0167579000, 0.0199787000, 0.0281955000, 0.0490216000, 0.1028547000, 0.2415721000, 0.6627995000", \ - "0.0210969000, 0.0241319000, 0.0320644000, 0.0519605000, 0.1042771000, 0.2425787000, 0.6626338000", \ - "0.0307389000, 0.0348153000, 0.0444766000, 0.0659182000, 0.1174496000, 0.2497037000, 0.6664623000", \ - "0.0466407000, 0.0521227000, 0.0637591000, 0.0901452000, 0.1483986000, 0.2753866000, 0.6643783000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014567300, 0.0042441500, 0.0123652000, 0.0360256000, 0.1049590000, 0.3057960000"); - values("0.0228656000, 0.0281685000, 0.0419454000, 0.0797525000, 0.1918322000, 0.5236748000, 1.5002346000", \ - "0.0228462000, 0.0280904000, 0.0419985000, 0.0796950000, 0.1916288000, 0.5246199000, 1.4991265000", \ - "0.0228008000, 0.0280953000, 0.0418369000, 0.0796809000, 0.1914584000, 0.5249089000, 1.4982305000", \ - "0.0223141000, 0.0276112000, 0.0412905000, 0.0791173000, 0.1909933000, 0.5248816000, 1.4989255000", \ - "0.0244099000, 0.0295328000, 0.0427496000, 0.0799557000, 0.1910337000, 0.5246527000, 1.4979676000", \ - "0.0316983000, 0.0363386000, 0.0483818000, 0.0835193000, 0.1923314000, 0.5243153000, 1.5004182000", \ - "0.0437471000, 0.0500274000, 0.0626547000, 0.0937246000, 0.1952704000, 0.5267526000, 1.4981918000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o41a_4") { - leakage_power () { - value : 0.0078470000; - when : "!A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0048416000; - when : "!A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0083431000; - when : "!A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0054212000; - when : "!A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0068856000; - when : "!A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0054212000; - when : "!A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0049030000; - when : "!A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0054225000; - when : "!A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0066887000; - when : "!A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0054209000; - when : "!A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0048914000; - when : "!A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0054218000; - when : "!A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0048945000; - when : "!A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0054189000; - when : "!A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0047815000; - when : "!A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0054229000; - when : "!A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0060117000; - when : "A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0054212000; - when : "A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0048222000; - when : "A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0054182000; - when : "A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0051636000; - when : "A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0054218000; - when : "A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0077229000; - when : "A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0054226000; - when : "A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0048391000; - when : "A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0054212000; - when : "A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0050057000; - when : "A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0054252000; - when : "A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0446182000; - when : "A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0054272000; - when : "A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0076984000; - when : "A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0054248000; - when : "A1&A2&A3&A4&!B1"; - } - area : 21.270400000; - cell_footprint : "sky130_fd_sc_hd__o41a"; - cell_leakage_power : 0.0069153160; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0044650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080646000, 0.0080569000, 0.0080392000, 0.0080422000, 0.0080492000, 0.0080654000, 0.0081028000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008021600, -0.008019300, -0.008013800, -0.008010300, -0.008002100, -0.007983300, -0.007939900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046820000; - } - pin ("A2") { - capacitance : 0.0044620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041540000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080316000, 0.0080303000, 0.0080273000, 0.0080288000, 0.0080320000, 0.0080394000, 0.0080567000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.008003900, -0.008001300, -0.007995200, -0.007993900, -0.007990900, -0.007984000, -0.007968100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0047700000; - } - pin ("A3") { - capacitance : 0.0041920000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0038750000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079123000, 0.0079071000, 0.0078952000, 0.0078955000, 0.0078960000, 0.0078971000, 0.0079000000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007889800, -0.007884800, -0.007873400, -0.007872500, -0.007870300, -0.007865400, -0.007854000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045090000; - } - pin ("A4") { - capacitance : 0.0042080000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0038560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078895000, 0.0078851000, 0.0078750000, 0.0078757000, 0.0078773000, 0.0078810000, 0.0078895000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007856100, -0.007853800, -0.007848600, -0.007844900, -0.007836300, -0.007816400, -0.007770500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045590000; - } - pin ("B1") { - capacitance : 0.0044470000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0093262000, 0.0093268000, 0.0093283000, 0.0093259000, 0.0093206000, 0.0093083000, 0.0092799000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000791800, -0.000838700, -0.000946600, -0.000884800, -0.000742200, -0.000413600, 0.0003440000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045900000; - } - pin ("X") { - direction : "output"; - function : "(A1&B1) | (A2&B1) | (A3&B1) | (A4&B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0439783000, 0.0420588000, 0.0363499000, 0.0206423000, -0.032700900, -0.216793700, -0.822394600", \ - "0.0434283000, 0.0414422000, 0.0358783000, 0.0201095000, -0.033098600, -0.216902800, -0.822340600", \ - "0.0430692000, 0.0411515000, 0.0356104000, 0.0198103000, -0.033166600, -0.217192500, -0.823002300", \ - "0.0428186000, 0.0408255000, 0.0352140000, 0.0194362000, -0.033823700, -0.217613300, -0.823036100", \ - "0.0425683000, 0.0406415000, 0.0350768000, 0.0192229000, -0.034028800, -0.218092300, -0.823637700", \ - "0.0423393000, 0.0403639000, 0.0347474000, 0.0188939000, -0.034320300, -0.218315600, -0.823822900", \ - "0.0492557000, 0.0471022000, 0.0407517000, 0.0218911000, -0.035200600, -0.218704500, -0.824031100"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0403625000, 0.0423646000, 0.0487949000, 0.0687762000, 0.1295633000, 0.3183138000, 0.9190766000", \ - "0.0400714000, 0.0421021000, 0.0485029000, 0.0685753000, 0.1294449000, 0.3183636000, 0.9183605000", \ - "0.0398104000, 0.0417908000, 0.0482347000, 0.0682545000, 0.1290435000, 0.3181637000, 0.9185025000", \ - "0.0394890000, 0.0414731000, 0.0479014000, 0.0680668000, 0.1287064000, 0.3173079000, 0.9228043000", \ - "0.0398670000, 0.0418956000, 0.0482104000, 0.0678781000, 0.1281317000, 0.3170033000, 0.9183726000", \ - "0.0419722000, 0.0437768000, 0.0496679000, 0.0682533000, 0.1275311000, 0.3155306000, 0.9172893000", \ - "0.0437613000, 0.0454915000, 0.0512630000, 0.0695798000, 0.1282973000, 0.3173296000, 0.9207710000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0390438000, 0.0370633000, 0.0315110000, 0.0157321000, -0.037645600, -0.221546700, -0.827086800", \ - "0.0385463000, 0.0365789000, 0.0309455000, 0.0156753000, -0.037640600, -0.221778300, -0.827494900", \ - "0.0382374000, 0.0363316000, 0.0306724000, 0.0149397000, -0.038343900, -0.222106100, -0.827604400", \ - "0.0378451000, 0.0359278000, 0.0303863000, 0.0145842000, -0.038396900, -0.222427800, -0.828214400", \ - "0.0375523000, 0.0358627000, 0.0301477000, 0.0144437000, -0.038937600, -0.223019900, -0.828435300", \ - "0.0375658000, 0.0360522000, 0.0301556000, 0.0142912000, -0.039183400, -0.223172000, -0.828700900", \ - "0.0452374000, 0.0431158000, 0.0367460000, 0.0177181000, -0.040324100, -0.223202200, -0.828618300"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0380630000, 0.0400615000, 0.0463119000, 0.0658966000, 0.1257634000, 0.3143191000, 0.9143748000", \ - "0.0380200000, 0.0400728000, 0.0462863000, 0.0658658000, 0.1257283000, 0.3142796000, 0.9144596000", \ - "0.0379782000, 0.0399219000, 0.0462261000, 0.0657185000, 0.1256797000, 0.3138374000, 0.9148175000", \ - "0.0376877000, 0.0396593000, 0.0459457000, 0.0655247000, 0.1253555000, 0.3134844000, 0.9149345000", \ - "0.0374958000, 0.0394235000, 0.0456765000, 0.0647142000, 0.1247714000, 0.3132616000, 0.9182063000", \ - "0.0389490000, 0.0407544000, 0.0466183000, 0.0654256000, 0.1240667000, 0.3119548000, 0.9128569000", \ - "0.0405450000, 0.0423042000, 0.0479534000, 0.0665072000, 0.1247702000, 0.3133675000, 0.9119709000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0343739000, 0.0324025000, 0.0268763000, 0.0110654000, -0.041872400, -0.225945300, -0.831625100", \ - "0.0342880000, 0.0322979000, 0.0266566000, 0.0109307000, -0.042362200, -0.226300700, -0.831842300", \ - "0.0337146000, 0.0318592000, 0.0262641000, 0.0104792000, -0.042734800, -0.226501200, -0.832214100", \ - "0.0334191000, 0.0314438000, 0.0258575000, 0.0100850000, -0.043179200, -0.226927900, -0.832288900", \ - "0.0329216000, 0.0310751000, 0.0255115000, 0.0097958000, -0.043366900, -0.227558300, -0.832903100", \ - "0.0331044000, 0.0311562000, 0.0259851000, 0.0099536000, -0.043370500, -0.227600000, -0.833159100", \ - "0.0423261000, 0.0401158000, 0.0335169000, 0.0141243000, -0.043586700, -0.227409700, -0.832938000"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0358202000, 0.0377941000, 0.0439090000, 0.0632301000, 0.1228587000, 0.3107045000, 0.9105037000", \ - "0.0358626000, 0.0378245000, 0.0440044000, 0.0632952000, 0.1229186000, 0.3107838000, 0.9112044000", \ - "0.0356858000, 0.0376373000, 0.0438611000, 0.0632530000, 0.1228485000, 0.3108195000, 0.9109318000", \ - "0.0354400000, 0.0374106000, 0.0435856000, 0.0629445000, 0.1224129000, 0.3107541000, 0.9151230000", \ - "0.0352527000, 0.0371666000, 0.0432604000, 0.0621232000, 0.1217293000, 0.3097939000, 0.9109627000", \ - "0.0356026000, 0.0374393000, 0.0434128000, 0.0619765000, 0.1208833000, 0.3079884000, 0.9133936000", \ - "0.0370423000, 0.0387471000, 0.0445285000, 0.0626394000, 0.1220228000, 0.3101518000, 0.9072910000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0296892000, 0.0277885000, 0.0221692000, 0.0064379000, -0.046818000, -0.230654100, -0.836031400", \ - "0.0294191000, 0.0274772000, 0.0218150000, 0.0061055000, -0.047102100, -0.231015600, -0.836423000", \ - "0.0290284000, 0.0270384000, 0.0214279000, 0.0057453000, -0.047487200, -0.231538900, -0.836995600", \ - "0.0284552000, 0.0264498000, 0.0208321000, 0.0052342000, -0.047985500, -0.231930400, -0.837501200", \ - "0.0282014000, 0.0262540000, 0.0206234000, 0.0049771000, -0.048299200, -0.232209100, -0.837777300", \ - "0.0287623000, 0.0267884000, 0.0212183000, 0.0054041000, -0.047528200, -0.231720600, -0.837305500", \ - "0.0414128000, 0.0392017000, 0.0325931000, 0.0123139000, -0.045360400, -0.229491200, -0.835169600"); - } - related_pin : "A4"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0315990000, 0.0335710000, 0.0396948000, 0.0590487000, 0.1185276000, 0.3058755000, 0.9063555000", \ - "0.0316010000, 0.0335699000, 0.0397859000, 0.0590165000, 0.1185418000, 0.3058158000, 0.9077790000", \ - "0.0314515000, 0.0333929000, 0.0395825000, 0.0590017000, 0.1183955000, 0.3059716000, 0.9023346000", \ - "0.0311171000, 0.0330875000, 0.0392372000, 0.0584656000, 0.1179035000, 0.3044266000, 0.9021256000", \ - "0.0306301000, 0.0325638000, 0.0387374000, 0.0577178000, 0.1169476000, 0.3053911000, 0.9069618000", \ - "0.0311787000, 0.0330438000, 0.0388764000, 0.0576232000, 0.1160474000, 0.3031590000, 0.9059032000", \ - "0.0325830000, 0.0342665000, 0.0401550000, 0.0583728000, 0.1177410000, 0.3053359000, 0.9019621000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0254726000, 0.0241533000, 0.0203355000, 0.0064708000, -0.046689300, -0.234649700, -0.841895400", \ - "0.0251286000, 0.0238630000, 0.0200237000, 0.0061532000, -0.046977400, -0.234932000, -0.842180700", \ - "0.0246352000, 0.0232545000, 0.0193606000, 0.0055166000, -0.047467300, -0.235383100, -0.842616900", \ - "0.0240316000, 0.0229461000, 0.0187083000, 0.0047399000, -0.048228900, -0.235957500, -0.843130200", \ - "0.0236742000, 0.0222067000, 0.0178812000, 0.0035650000, -0.049019500, -0.236335700, -0.843307400", \ - "0.0318221000, 0.0300103000, 0.0243323000, 0.0064947000, -0.049059900, -0.235612500, -0.842428500", \ - "0.0399853000, 0.0380160000, 0.0320851000, 0.0135664000, -0.044686800, -0.233268900, -0.839268800"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016038890, 0.0051449210, 0.0165037700, 0.0529404200, 0.1698211000, 0.5447486000"); - values("0.0331971000, 0.0351517000, 0.0416173000, 0.0617114000, 0.1225435000, 0.3114694000, 0.9164569000", \ - "0.0330519000, 0.0349976000, 0.0414698000, 0.0615522000, 0.1223706000, 0.3113037000, 0.9162728000", \ - "0.0328083000, 0.0348558000, 0.0412755000, 0.0613813000, 0.1221784000, 0.3111827000, 0.9117541000", \ - "0.0326575000, 0.0346367000, 0.0410446000, 0.0610449000, 0.1217241000, 0.3106129000, 0.9121651000", \ - "0.0327938000, 0.0347461000, 0.0409619000, 0.0605559000, 0.1207347000, 0.3095516000, 0.9150723000", \ - "0.0353410000, 0.0371317000, 0.0430159000, 0.0612612000, 0.1207518000, 0.3083159000, 0.9151623000", \ - "0.0379209000, 0.0401051000, 0.0457781000, 0.0642517000, 0.1224170000, 0.3104794000, 0.9103267000"); - } - } - max_capacitance : 0.5447490000; - max_transition : 1.5032640000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.4460322000, 0.4519886000, 0.4675211000, 0.5014674000, 0.5699773000, 0.7134920000, 1.0631832000", \ - "0.4497962000, 0.4558108000, 0.4714082000, 0.5052580000, 0.5740716000, 0.7167658000, 1.0665929000", \ - "0.4610607000, 0.4667726000, 0.4825044000, 0.5164446000, 0.5845542000, 0.7282804000, 1.0777331000", \ - "0.4870039000, 0.4930262000, 0.5084254000, 0.5424898000, 0.6109259000, 0.7538081000, 1.1037488000", \ - "0.5419073000, 0.5479038000, 0.5635211000, 0.5974554000, 0.6659818000, 0.8090536000, 1.1580749000", \ - "0.6532471000, 0.6592472000, 0.6747865000, 0.7087438000, 0.7773094000, 0.9207025000, 1.2704546000", \ - "0.8557954000, 0.8621984000, 0.8788715000, 0.9149967000, 0.9869221000, 1.1352312000, 1.4885694000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.1170069000, 0.1215445000, 0.1338094000, 0.1642170000, 0.2429642000, 0.4725256000, 1.1898970000", \ - "0.1214504000, 0.1259674000, 0.1382323000, 0.1686346000, 0.2472977000, 0.4769404000, 1.1948121000", \ - "0.1312368000, 0.1357535000, 0.1479816000, 0.1783599000, 0.2569832000, 0.4857461000, 1.2054413000", \ - "0.1510106000, 0.1555515000, 0.1676887000, 0.1979555000, 0.2761217000, 0.5059154000, 1.2250447000", \ - "0.1913350000, 0.1959015000, 0.2082371000, 0.2383304000, 0.3162982000, 0.5451249000, 1.2622823000", \ - "0.2514433000, 0.2565593000, 0.2700352000, 0.3016992000, 0.3800912000, 0.6084176000, 1.3284666000", \ - "0.3115759000, 0.3181081000, 0.3348453000, 0.3712956000, 0.4522452000, 0.6804983000, 1.3983329000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0531609000, 0.0565111000, 0.0645686000, 0.0846616000, 0.1341176000, 0.2673334000, 0.6724763000", \ - "0.0527596000, 0.0560011000, 0.0649656000, 0.0848552000, 0.1342564000, 0.2672857000, 0.6733554000", \ - "0.0530293000, 0.0562082000, 0.0646823000, 0.0849191000, 0.1354189000, 0.2671171000, 0.6734683000", \ - "0.0531124000, 0.0560247000, 0.0653036000, 0.0853508000, 0.1356062000, 0.2673917000, 0.6719931000", \ - "0.0528121000, 0.0560890000, 0.0652111000, 0.0848319000, 0.1343800000, 0.2675550000, 0.6735683000", \ - "0.0528112000, 0.0560622000, 0.0646741000, 0.0848628000, 0.1343545000, 0.2674743000, 0.6722591000", \ - "0.0598538000, 0.0628591000, 0.0723148000, 0.0927517000, 0.1448058000, 0.2734464000, 0.6771499000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0261837000, 0.0298409000, 0.0405339000, 0.0710954000, 0.1676810000, 0.4822021000, 1.5007117000", \ - "0.0262293000, 0.0297414000, 0.0404969000, 0.0709349000, 0.1676666000, 0.4827144000, 1.4984134000", \ - "0.0260961000, 0.0297288000, 0.0402856000, 0.0710790000, 0.1673650000, 0.4828702000, 1.5006698000", \ - "0.0257904000, 0.0294179000, 0.0398831000, 0.0707435000, 0.1670675000, 0.4825699000, 1.5026804000", \ - "0.0270473000, 0.0306736000, 0.0410710000, 0.0708341000, 0.1665882000, 0.4814569000, 1.5015600000", \ - "0.0318055000, 0.0354000000, 0.0458847000, 0.0746605000, 0.1680678000, 0.4810743000, 1.5015368000", \ - "0.0429491000, 0.0469663000, 0.0580433000, 0.0849795000, 0.1727201000, 0.4828208000, 1.5003134000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.4258368000, 0.4316786000, 0.4474010000, 0.4814196000, 0.5499479000, 0.6932312000, 1.0418882000", \ - "0.4282430000, 0.4342410000, 0.4497539000, 0.4835377000, 0.5523051000, 0.6958131000, 1.0454223000", \ - "0.4376611000, 0.4436854000, 0.4591184000, 0.4932725000, 0.5616989000, 0.7045083000, 1.0544345000", \ - "0.4609423000, 0.4666608000, 0.4823879000, 0.5163096000, 0.5843776000, 0.7281055000, 1.0775832000", \ - "0.5124691000, 0.5184487000, 0.5339362000, 0.5679238000, 0.6364815000, 0.7798074000, 1.1287934000", \ - "0.6246329000, 0.6307632000, 0.6462929000, 0.6803469000, 0.7489669000, 0.8925095000, 1.2420894000", \ - "0.8357137000, 0.8422531000, 0.8593117000, 0.8965426000, 0.9698656000, 1.1187065000, 1.4733565000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.1074683000, 0.1116083000, 0.1227794000, 0.1510753000, 0.2260052000, 0.4519218000, 1.1669866000", \ - "0.1122534000, 0.1163901000, 0.1276129000, 0.1558746000, 0.2307931000, 0.4567370000, 1.1720462000", \ - "0.1220451000, 0.1261782000, 0.1374434000, 0.1656684000, 0.2406947000, 0.4673350000, 1.1826309000", \ - "0.1415334000, 0.1456577000, 0.1568256000, 0.1849578000, 0.2598884000, 0.4865582000, 1.2021963000", \ - "0.1786485000, 0.1829413000, 0.1944777000, 0.2230273000, 0.2979799000, 0.5240171000, 1.2421553000", \ - "0.2297966000, 0.2347339000, 0.2474873000, 0.2776716000, 0.3536867000, 0.5794319000, 1.2988502000", \ - "0.2712223000, 0.2776510000, 0.2939002000, 0.3298389000, 0.4085896000, 0.6349403000, 1.3504854000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0527665000, 0.0564636000, 0.0653370000, 0.0861735000, 0.1345033000, 0.2673622000, 0.6736801000", \ - "0.0530818000, 0.0564181000, 0.0653109000, 0.0854497000, 0.1345728000, 0.2675729000, 0.6711371000", \ - "0.0530387000, 0.0559449000, 0.0653080000, 0.0854564000, 0.1359679000, 0.2675450000, 0.6729775000", \ - "0.0530263000, 0.0562030000, 0.0646892000, 0.0849079000, 0.1353329000, 0.2671809000, 0.6734902000", \ - "0.0527839000, 0.0564768000, 0.0646741000, 0.0860251000, 0.1341607000, 0.2672208000, 0.6732760000", \ - "0.0532201000, 0.0565904000, 0.0649693000, 0.0851975000, 0.1342992000, 0.2675332000, 0.6732490000", \ - "0.0619342000, 0.0654406000, 0.0750254000, 0.0969188000, 0.1452747000, 0.2770273000, 0.6781026000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0234745000, 0.0268233000, 0.0369903000, 0.0665242000, 0.1623492000, 0.4794063000, 1.4982259000", \ - "0.0234522000, 0.0268500000, 0.0369563000, 0.0665311000, 0.1623008000, 0.4794299000, 1.4988061000", \ - "0.0234559000, 0.0268106000, 0.0368405000, 0.0666464000, 0.1625316000, 0.4785973000, 1.5005908000", \ - "0.0233835000, 0.0267733000, 0.0367347000, 0.0664880000, 0.1624457000, 0.4785913000, 1.5016596000", \ - "0.0249934000, 0.0285249000, 0.0381929000, 0.0674760000, 0.1624895000, 0.4787927000, 1.5020364000", \ - "0.0306412000, 0.0340516000, 0.0439670000, 0.0717374000, 0.1645770000, 0.4783839000, 1.4988633000", \ - "0.0422334000, 0.0461022000, 0.0566501000, 0.0838695000, 0.1696827000, 0.4799056000, 1.4974968000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.3898703000, 0.3958698000, 0.4114748000, 0.4454841000, 0.5137991000, 0.6573499000, 1.0069851000", \ - "0.3921682000, 0.3981758000, 0.4137470000, 0.4477632000, 0.5163208000, 0.6596308000, 1.0081360000", \ - "0.4008693000, 0.4068915000, 0.4223717000, 0.4562785000, 0.5250438000, 0.6681272000, 1.0177367000", \ - "0.4239917000, 0.4300004000, 0.4455681000, 0.4794123000, 0.5481104000, 0.6907360000, 1.0406471000", \ - "0.4787056000, 0.4846768000, 0.5002834000, 0.5341958000, 0.6024069000, 0.7457555000, 1.0951123000", \ - "0.6082217000, 0.6143460000, 0.6296175000, 0.6635854000, 0.7321386000, 0.8756052000, 1.2251206000", \ - "0.8566788000, 0.8634338000, 0.8809641000, 0.9187390000, 0.9924385000, 1.1418256000, 1.4965027000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.1054513000, 0.1094580000, 0.1203034000, 0.1478108000, 0.2214556000, 0.4462439000, 1.1645130000", \ - "0.1102978000, 0.1143137000, 0.1251828000, 0.1527095000, 0.2265219000, 0.4521318000, 1.1657649000", \ - "0.1203056000, 0.1242890000, 0.1351563000, 0.1627457000, 0.2365586000, 0.4622025000, 1.1762500000", \ - "0.1400263000, 0.1440252000, 0.1548673000, 0.1823776000, 0.2561422000, 0.4810755000, 1.1980832000", \ - "0.1762355000, 0.1804856000, 0.1917942000, 0.2199164000, 0.2942126000, 0.5195284000, 1.2350344000", \ - "0.2229555000, 0.2279549000, 0.2409449000, 0.2713624000, 0.3469264000, 0.5720742000, 1.2908840000", \ - "0.2550577000, 0.2616631000, 0.2786782000, 0.3151956000, 0.3952305000, 0.6204004000, 1.3361368000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0530171000, 0.0563731000, 0.0646378000, 0.0848557000, 0.1348824000, 0.2676135000, 0.6715721000", \ - "0.0527211000, 0.0560719000, 0.0645700000, 0.0863208000, 0.1344648000, 0.2673699000, 0.6735265000", \ - "0.0529950000, 0.0562904000, 0.0648267000, 0.0848825000, 0.1340922000, 0.2670450000, 0.6730036000", \ - "0.0527383000, 0.0559932000, 0.0650580000, 0.0848745000, 0.1347147000, 0.2674853000, 0.6719336000", \ - "0.0532752000, 0.0565950000, 0.0646006000, 0.0850097000, 0.1348441000, 0.2668804000, 0.6731314000", \ - "0.0532610000, 0.0565712000, 0.0657878000, 0.0869842000, 0.1347773000, 0.2678076000, 0.6730706000", \ - "0.0658138000, 0.0692338000, 0.0778928000, 0.0987029000, 0.1475351000, 0.2769986000, 0.6778836000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0224415000, 0.0257493000, 0.0355794000, 0.0650219000, 0.1604506000, 0.4775591000, 1.5001380000", \ - "0.0224495000, 0.0257956000, 0.0356328000, 0.0651573000, 0.1605694000, 0.4787197000, 1.4984257000", \ - "0.0224976000, 0.0257958000, 0.0356377000, 0.0651633000, 0.1606354000, 0.4788505000, 1.4995934000", \ - "0.0224270000, 0.0257248000, 0.0357101000, 0.0651478000, 0.1608696000, 0.4785962000, 1.5024605000", \ - "0.0246893000, 0.0280560000, 0.0378781000, 0.0668346000, 0.1610973000, 0.4791171000, 1.5010397000", \ - "0.0309581000, 0.0347503000, 0.0443675000, 0.0718159000, 0.1636560000, 0.4775063000, 1.4984801000", \ - "0.0435880000, 0.0476591000, 0.0584437000, 0.0851800000, 0.1698472000, 0.4798442000, 1.4975327000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.3183442000, 0.3242281000, 0.3400256000, 0.3738921000, 0.4425905000, 0.5856670000, 0.9355270000", \ - "0.3198367000, 0.3258696000, 0.3414250000, 0.3754900000, 0.4440605000, 0.5870420000, 0.9370527000", \ - "0.3263274000, 0.3323077000, 0.3479716000, 0.3820110000, 0.4506076000, 0.5938992000, 0.9429654000", \ - "0.3473613000, 0.3534007000, 0.3687377000, 0.4027371000, 0.4714506000, 0.6148227000, 0.9644536000", \ - "0.4054137000, 0.4113994000, 0.4269095000, 0.4609297000, 0.5294972000, 0.6732119000, 1.0226120000", \ - "0.5480916000, 0.5537593000, 0.5687782000, 0.6020763000, 0.6689054000, 0.8122237000, 1.1620221000", \ - "0.8216861000, 0.8287880000, 0.8471848000, 0.8854573000, 0.9566201000, 1.1002005000, 1.4535849000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0905773000, 0.0944103000, 0.1048869000, 0.1317671000, 0.2046523000, 0.4296258000, 1.1422416000", \ - "0.0954168000, 0.0992766000, 0.1097961000, 0.1366371000, 0.2095107000, 0.4334286000, 1.1516151000", \ - "0.1056008000, 0.1094434000, 0.1199465000, 0.1468976000, 0.2197758000, 0.4439794000, 1.1598087000", \ - "0.1257952000, 0.1296150000, 0.1400757000, 0.1669148000, 0.2396922000, 0.4637771000, 1.1785418000", \ - "0.1590401000, 0.1633071000, 0.1745545000, 0.2025172000, 0.2762048000, 0.5006867000, 1.2147990000", \ - "0.1971469000, 0.2023664000, 0.2157653000, 0.2465809000, 0.3219593000, 0.5467042000, 1.2625015000", \ - "0.2134756000, 0.2204485000, 0.2381255000, 0.2768072000, 0.3581948000, 0.5830144000, 1.2978342000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0531094000, 0.0562611000, 0.0649954000, 0.0848952000, 0.1346227000, 0.2673708000, 0.6730666000", \ - "0.0530745000, 0.0559685000, 0.0654039000, 0.0852200000, 0.1360530000, 0.2674019000, 0.6726900000", \ - "0.0529407000, 0.0562908000, 0.0645191000, 0.0863997000, 0.1336662000, 0.2673499000, 0.6735383000", \ - "0.0528058000, 0.0561378000, 0.0648991000, 0.0853310000, 0.1342562000, 0.2673582000, 0.6715014000", \ - "0.0532231000, 0.0564456000, 0.0653528000, 0.0848352000, 0.1342954000, 0.2673648000, 0.6730859000", \ - "0.0516001000, 0.0549920000, 0.0638440000, 0.0835072000, 0.1353756000, 0.2678434000, 0.6729035000", \ - "0.0717438000, 0.0752958000, 0.0840183000, 0.1026138000, 0.1466097000, 0.2733901000, 0.6766001000"); - } - related_pin : "A4"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0211031000, 0.0245017000, 0.0341983000, 0.0633566000, 0.1594554000, 0.4779632000, 1.4991096000", \ - "0.0211562000, 0.0244040000, 0.0340964000, 0.0633348000, 0.1594673000, 0.4784551000, 1.5030981000", \ - "0.0212118000, 0.0245297000, 0.0341119000, 0.0635042000, 0.1595106000, 0.4765380000, 1.4994489000", \ - "0.0214916000, 0.0246625000, 0.0343310000, 0.0634567000, 0.1594146000, 0.4763225000, 1.4946753000", \ - "0.0248459000, 0.0280111000, 0.0374507000, 0.0660677000, 0.1603468000, 0.4787347000, 1.5002236000", \ - "0.0325663000, 0.0361027000, 0.0454116000, 0.0726753000, 0.1634968000, 0.4758024000, 1.5030533000", \ - "0.0470376000, 0.0514442000, 0.0627320000, 0.0893341000, 0.1714039000, 0.4792640000, 1.4940441000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0789005000, 0.0817451000, 0.0893510000, 0.1078132000, 0.1527552000, 0.2680574000, 0.5930092000", \ - "0.0840946000, 0.0869825000, 0.0945828000, 0.1130521000, 0.1580298000, 0.2733402000, 0.5980763000", \ - "0.0971666000, 0.0999857000, 0.1075347000, 0.1260263000, 0.1710622000, 0.2864023000, 0.6112640000", \ - "0.1284865000, 0.1312572000, 0.1387862000, 0.1573635000, 0.2021853000, 0.3180416000, 0.6429481000", \ - "0.1924858000, 0.1957441000, 0.2043276000, 0.2242925000, 0.2709049000, 0.3869728000, 0.7120465000", \ - "0.2936751000, 0.2979090000, 0.3090940000, 0.3342609000, 0.3889724000, 0.5119332000, 0.8381048000", \ - "0.4559594000, 0.4614216000, 0.4757217000, 0.5081396000, 0.5780390000, 0.7197353000, 1.0533668000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.1044842000, 0.1089872000, 0.1212026000, 0.1515942000, 0.2301370000, 0.4596608000, 1.1792396000", \ - "0.1084844000, 0.1129889000, 0.1252136000, 0.1555998000, 0.2341599000, 0.4636422000, 1.1832788000", \ - "0.1187219000, 0.1232298000, 0.1353961000, 0.1657889000, 0.2442271000, 0.4739840000, 1.1925391000", \ - "0.1440150000, 0.1484560000, 0.1603983000, 0.1903544000, 0.2683647000, 0.4972214000, 1.2150716000", \ - "0.1947724000, 0.1992239000, 0.2111245000, 0.2408741000, 0.3177965000, 0.5471286000, 1.2666046000", \ - "0.2618431000, 0.2669405000, 0.2797753000, 0.3097809000, 0.3873272000, 0.6159013000, 1.3365954000", \ - "0.3296643000, 0.3360105000, 0.3521311000, 0.3869819000, 0.4646220000, 0.6926928000, 1.4109145000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0160122000, 0.0181230000, 0.0238572000, 0.0406851000, 0.0881987000, 0.2190589000, 0.6411507000", \ - "0.0160580000, 0.0182130000, 0.0238817000, 0.0406819000, 0.0882130000, 0.2189914000, 0.6407411000", \ - "0.0161852000, 0.0181492000, 0.0238485000, 0.0405972000, 0.0881236000, 0.2190732000, 0.6404328000", \ - "0.0161843000, 0.0184080000, 0.0242025000, 0.0407383000, 0.0883777000, 0.2191868000, 0.6410263000", \ - "0.0213697000, 0.0234850000, 0.0293079000, 0.0448739000, 0.0907070000, 0.2198218000, 0.6405876000", \ - "0.0317823000, 0.0342991000, 0.0410509000, 0.0588048000, 0.1051357000, 0.2290610000, 0.6417514000", \ - "0.0477592000, 0.0508605000, 0.0593601000, 0.0818223000, 0.1348322000, 0.2568974000, 0.6472405000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016038900, 0.0051449200, 0.0165038000, 0.0529404000, 0.1698210000, 0.5447490000"); - values("0.0260295000, 0.0296796000, 0.0400730000, 0.0708164000, 0.1673993000, 0.4821205000, 1.5023667000", \ - "0.0260730000, 0.0296871000, 0.0400879000, 0.0708615000, 0.1674098000, 0.4823483000, 1.5017654000", \ - "0.0258600000, 0.0294670000, 0.0399848000, 0.0709076000, 0.1673557000, 0.4829581000, 1.4996497000", \ - "0.0253509000, 0.0289795000, 0.0395783000, 0.0700925000, 0.1665520000, 0.4822430000, 1.5026946000", \ - "0.0266446000, 0.0302270000, 0.0404956000, 0.0704212000, 0.1657986000, 0.4816628000, 1.5029037000", \ - "0.0331629000, 0.0364724000, 0.0453557000, 0.0739150000, 0.1677229000, 0.4811189000, 1.5032637000", \ - "0.0454190000, 0.0490748000, 0.0589211000, 0.0839539000, 0.1707953000, 0.4838620000, 1.4998842000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o41ai_1") { - leakage_power () { - value : 0.0023278000; - when : "A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0003398000; - when : "A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0024189000; - when : "A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0003398000; - when : "A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0023322000; - when : "A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0003398000; - when : "A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0023361000; - when : "A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0003398000; - when : "A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0023146000; - when : "A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0003398000; - when : "A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0013643000; - when : "!A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 7.673241e-06; - when : "!A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0031594000; - when : "!A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0003399000; - when : "!A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0027393000; - when : "!A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0003400000; - when : "!A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0023393000; - when : "!A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0003398000; - when : "!A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0027915000; - when : "!A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0003395000; - when : "!A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0023469000; - when : "!A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0003398000; - when : "!A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0023493000; - when : "!A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0003398000; - when : "!A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0023183000; - when : "!A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0003398000; - when : "!A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0035048000; - when : "A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0003398000; - when : "A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0024200000; - when : "A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0003398000; - when : "A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0024104000; - when : "A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0003398000; - when : "A1&!A2&A3&!A4&!B1"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__o41ai"; - cell_leakage_power : 0.0013930580; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0023290000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022250000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040122000, 0.0040157000, 0.0040237000, 0.0040225000, 0.0040196000, 0.0040131000, 0.0039979000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004012700, -0.004011200, -0.004007700, -0.004009000, -0.004011800, -0.004018200, -0.004033200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024330000; - } - pin ("A2") { - capacitance : 0.0024190000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022770000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040202000, 0.0040192000, 0.0040169000, 0.0040175000, 0.0040190000, 0.0040224000, 0.0040301000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004005100, -0.004006900, -0.004010800, -0.004011300, -0.004012300, -0.004014700, -0.004020200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025600000; - } - pin ("A3") { - capacitance : 0.0024070000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038893000, 0.0038875000, 0.0038833000, 0.0038836000, 0.0038844000, 0.0038864000, 0.0038908000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003876700, -0.003877100, -0.003877900, -0.003878600, -0.003880300, -0.003884300, -0.003893400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025660000; - } - pin ("A4") { - capacitance : 0.0023800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021990000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040970000, 0.0040959000, 0.0040934000, 0.0040910000, 0.0040854000, 0.0040726000, 0.0040429000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004086600, -0.004085300, -0.004082300, -0.004080100, -0.004075100, -0.004063500, -0.004036900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025600000; - } - pin ("B1") { - capacitance : 0.0022880000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022260000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0047260000, 0.0047349000, 0.0047554000, 0.0047564000, 0.0047586000, 0.0047638000, 0.0047758000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000293900, -0.000307600, -0.000339200, -0.000313700, -0.000254700, -0.000118800, 0.0001945000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0023490000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2&!A3&!A4) | (!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0073974000, 0.0065670000, 0.0049114000, 0.0015706000, -0.005192200, -0.018748600, -0.046249700", \ - "0.0072699000, 0.0064435000, 0.0047861000, 0.0014371000, -0.005310500, -0.018865800, -0.046343500", \ - "0.0071597000, 0.0063239000, 0.0046626000, 0.0013057000, -0.005433300, -0.019004100, -0.046470600", \ - "0.0070286000, 0.0062059000, 0.0045436000, 0.0011916000, -0.005559500, -0.019106100, -0.046588200", \ - "0.0069149000, 0.0061267000, 0.0044683000, 0.0011432000, -0.005549600, -0.019099500, -0.046589100", \ - "0.0070873000, 0.0062534000, 0.0045888000, 0.0012229000, -0.005626700, -0.019198400, -0.046684400", \ - "0.0078223000, 0.0069887000, 0.0052910000, 0.0019229000, -0.004878200, -0.018677900, -0.046570900"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0175046000, 0.0183442000, 0.0200191000, 0.0233861000, 0.0301596000, 0.0438177000, 0.0711848000", \ - "0.0173708000, 0.0182181000, 0.0198738000, 0.0232649000, 0.0301094000, 0.0436823000, 0.0710765000", \ - "0.0171345000, 0.0179922000, 0.0196961000, 0.0231072000, 0.0299397000, 0.0435930000, 0.0710700000", \ - "0.0169822000, 0.0178551000, 0.0195179000, 0.0229163000, 0.0297577000, 0.0434660000, 0.0709012000", \ - "0.0169289000, 0.0177252000, 0.0194169000, 0.0227920000, 0.0296283000, 0.0433395000, 0.0707562000", \ - "0.0168553000, 0.0176541000, 0.0193400000, 0.0227127000, 0.0295221000, 0.0431948000, 0.0706215000", \ - "0.0167742000, 0.0176753000, 0.0193109000, 0.0227656000, 0.0295639000, 0.0431282000, 0.0705728000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0061160000, 0.0052856000, 0.0036340000, 0.0002905000, -0.006421500, -0.020026700, -0.047591200", \ - "0.0060769000, 0.0052506000, 0.0035968000, 0.0002584000, -0.006455000, -0.020068500, -0.047655200", \ - "0.0060003000, 0.0051692000, 0.0035200000, 0.0001886000, -0.006526700, -0.020133800, -0.047702300", \ - "0.0058097000, 0.0050080000, 0.0033750000, 5.270000e-05, -0.006644300, -0.020221000, -0.047780200", \ - "0.0056051000, 0.0048153000, 0.0031936000, -8.88000e-05, -0.006729900, -0.020262300, -0.047821400", \ - "0.0057771000, 0.0049562000, 0.0033042000, -1.35000e-05, -0.006802000, -0.020451300, -0.047966100", \ - "0.0061988000, 0.0053649000, 0.0037648000, 0.0003505000, -0.006395500, -0.020179600, -0.047874200"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0153532000, 0.0162035000, 0.0178954000, 0.0212519000, 0.0280220000, 0.0416413000, 0.0690806000", \ - "0.0151866000, 0.0160343000, 0.0177469000, 0.0211010000, 0.0279108000, 0.0415659000, 0.0689113000", \ - "0.0150240000, 0.0158763000, 0.0175455000, 0.0209558000, 0.0277851000, 0.0414823000, 0.0689120000", \ - "0.0148664000, 0.0156909000, 0.0173708000, 0.0207810000, 0.0276202000, 0.0413522000, 0.0687659000", \ - "0.0147960000, 0.0155839000, 0.0172794000, 0.0206470000, 0.0274777000, 0.0411920000, 0.0686359000", \ - "0.0147104000, 0.0155486000, 0.0172173000, 0.0205667000, 0.0273697000, 0.0410474000, 0.0685326000", \ - "0.0146804000, 0.0155049000, 0.0171730000, 0.0205760000, 0.0274146000, 0.0411245000, 0.0685198000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0047458000, 0.0039262000, 0.0022917000, -0.001054400, -0.007805600, -0.021463600, -0.049108600", \ - "0.0047320000, 0.0039183000, 0.0022804000, -0.001044600, -0.007791200, -0.021439900, -0.049080100", \ - "0.0046653000, 0.0038645000, 0.0022455000, -0.001055000, -0.007771800, -0.021416600, -0.049066300", \ - "0.0044653000, 0.0036763000, 0.0020855000, -0.001165200, -0.007855200, -0.021447900, -0.049062400", \ - "0.0043445000, 0.0035943000, 0.0019210000, -0.001397500, -0.008016400, -0.021544600, -0.049079300", \ - "0.0042534000, 0.0034653000, 0.0018343000, -0.001471300, -0.008161000, -0.021799200, -0.049253900", \ - "0.0047012000, 0.0038214000, 0.0021636000, -0.001195600, -0.008007300, -0.021645100, -0.049288400"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0132225000, 0.0140637000, 0.0157608000, 0.0191071000, 0.0259125000, 0.0395142000, 0.0669249000", \ - "0.0130622000, 0.0139199000, 0.0155879000, 0.0189985000, 0.0258005000, 0.0394394000, 0.0668390000", \ - "0.0128711000, 0.0137263000, 0.0154143000, 0.0188286000, 0.0256717000, 0.0393528000, 0.0667605000", \ - "0.0126985000, 0.0135754000, 0.0152463000, 0.0186557000, 0.0255042000, 0.0392180000, 0.0666784000", \ - "0.0126338000, 0.0134603000, 0.0151202000, 0.0185186000, 0.0253549000, 0.0390462000, 0.0665569000", \ - "0.0125503000, 0.0133773000, 0.0150949000, 0.0184907000, 0.0252641000, 0.0389418000, 0.0664511000", \ - "0.0127354000, 0.0135344000, 0.0151605000, 0.0185551000, 0.0253065000, 0.0390643000, 0.0664791000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0029106000, 0.0020984000, 0.0004427000, -0.002909100, -0.009681400, -0.023381900, -0.051078600", \ - "0.0027780000, 0.0019982000, 0.0003903000, -0.002908700, -0.009643000, -0.023306300, -0.050984500", \ - "0.0025541000, 0.0018084000, 0.0002491000, -0.002974600, -0.009642100, -0.023252900, -0.050896200", \ - "0.0022920000, 0.0015493000, 1.760000e-05, -0.003170700, -0.009743300, -0.023289000, -0.050866000", \ - "0.0021690000, 0.0014251000, -0.000140300, -0.003416700, -0.009979500, -0.023434100, -0.050922600", \ - "0.0021059000, 0.0013081000, -0.000305900, -0.003590700, -0.010181700, -0.023755000, -0.051167200", \ - "0.0027178000, 0.0018489000, 0.0001471000, -0.003283800, -0.010097100, -0.023722300, -0.051219400"); - } - related_pin : "A4"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0109843000, 0.0118200000, 0.0135069000, 0.0168960000, 0.0237219000, 0.0373348000, 0.0646901000", \ - "0.0107590000, 0.0115895000, 0.0133088000, 0.0167019000, 0.0235616000, 0.0371989000, 0.0646833000", \ - "0.0104623000, 0.0112729000, 0.0129971000, 0.0164584000, 0.0233350000, 0.0370721000, 0.0645780000", \ - "0.0102710000, 0.0111401000, 0.0128501000, 0.0162259000, 0.0231120000, 0.0368599000, 0.0643623000", \ - "0.0104207000, 0.0112604000, 0.0128726000, 0.0162435000, 0.0230228000, 0.0366937000, 0.0642782000", \ - "0.0109785000, 0.0118006000, 0.0134665000, 0.0164018000, 0.0231410000, 0.0366921000, 0.0641973000", \ - "0.0118497000, 0.0126326000, 0.0142411000, 0.0174555000, 0.0240637000, 0.0374272000, 0.0642515000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0037219000, 0.0029219000, 0.0013168000, -0.001988300, -0.008682900, -0.022208700, -0.049679500", \ - "0.0035662000, 0.0027977000, 0.0011824000, -0.002083300, -0.008746400, -0.022244200, -0.049692800", \ - "0.0034317000, 0.0026441000, 0.0010521000, -0.002221000, -0.008854000, -0.022291400, -0.049712500", \ - "0.0032986000, 0.0024913000, 0.0008714000, -0.002360000, -0.009036900, -0.022460600, -0.049830100", \ - "0.0031759000, 0.0023627000, 0.0007234000, -0.002582500, -0.009216200, -0.022652800, -0.050049600", \ - "0.0036448000, 0.0027807000, 0.0010787000, -0.002374300, -0.009232800, -0.022687700, -0.050178900", \ - "0.0050687000, 0.0041770000, 0.0024673000, -0.001045900, -0.007956600, -0.022068700, -0.049799400"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0010095830, 0.0020385140, 0.0041160960, 0.0083110790, 0.0167814400, 0.0338845000"); - values("0.0101596000, 0.0110879000, 0.0128984000, 0.0164092000, 0.0232753000, 0.0370057000, 0.0644924000", \ - "0.0099089000, 0.0108427000, 0.0126902000, 0.0161590000, 0.0231397000, 0.0369231000, 0.0645099000", \ - "0.0096923000, 0.0105651000, 0.0123670000, 0.0159199000, 0.0229046000, 0.0366697000, 0.0643403000", \ - "0.0096720000, 0.0105156000, 0.0122412000, 0.0156526000, 0.0225374000, 0.0364139000, 0.0641466000", \ - "0.0098091000, 0.0106225000, 0.0122601000, 0.0156019000, 0.0224577000, 0.0363020000, 0.0639688000", \ - "0.0106557000, 0.0115084000, 0.0131121000, 0.0165438000, 0.0231847000, 0.0365023000, 0.0636837000", \ - "0.0126211000, 0.0133282000, 0.0147405000, 0.0176422000, 0.0242557000, 0.0374428000, 0.0646819000"); - } - } - max_capacitance : 0.0338840000; - max_transition : 1.4806290000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0414108000, 0.0452218000, 0.0525645000, 0.0666243000, 0.0931865000, 0.1433687000, 0.2401093000", \ - "0.0462667000, 0.0500261000, 0.0573722000, 0.0713468000, 0.0978333000, 0.1479929000, 0.2446358000", \ - "0.0572241000, 0.0608939000, 0.0680825000, 0.0819660000, 0.1082329000, 0.1583675000, 0.2549441000", \ - "0.0781641000, 0.0823660000, 0.0898706000, 0.1039819000, 0.1298313000, 0.1796232000, 0.2762097000", \ - "0.1102411000, 0.1156809000, 0.1259666000, 0.1437883000, 0.1746446000, 0.2275640000, 0.3244698000", \ - "0.1498700000, 0.1581079000, 0.1725379000, 0.1987977000, 0.2426707000, 0.3132385000, 0.4273777000", \ - "0.1767365000, 0.1888057000, 0.2113143000, 0.2520325000, 0.3198091000, 0.4275435000, 0.5920232000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.2599977000, 0.2746984000, 0.3060187000, 0.3678914000, 0.4915713000, 0.7379454000, 1.2327395000", \ - "0.2630482000, 0.2790475000, 0.3098195000, 0.3726658000, 0.4953537000, 0.7420968000, 1.2378414000", \ - "0.2731010000, 0.2879857000, 0.3210491000, 0.3822960000, 0.5065906000, 0.7543978000, 1.2499559000", \ - "0.2976450000, 0.3134427000, 0.3441279000, 0.4071494000, 0.5314859000, 0.7791859000, 1.2753405000", \ - "0.3478681000, 0.3631274000, 0.3950686000, 0.4571893000, 0.5812366000, 0.8284511000, 1.3260969000", \ - "0.4450330000, 0.4620730000, 0.4951304000, 0.5601485000, 0.6833578000, 0.9309193000, 1.4271825000", \ - "0.6015748000, 0.6221519000, 0.6618709000, 0.7375375000, 0.8794208000, 1.1459885000, 1.6452725000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0326762000, 0.0372295000, 0.0461327000, 0.0631988000, 0.0958911000, 0.1585935000, 0.2834726000", \ - "0.0323626000, 0.0368778000, 0.0457465000, 0.0629568000, 0.0955086000, 0.1583697000, 0.2823282000", \ - "0.0321739000, 0.0366105000, 0.0452571000, 0.0621828000, 0.0950752000, 0.1585049000, 0.2822055000", \ - "0.0388984000, 0.0426114000, 0.0499781000, 0.0649224000, 0.0956471000, 0.1576532000, 0.2822781000", \ - "0.0587983000, 0.0625180000, 0.0699721000, 0.0847946000, 0.1121546000, 0.1658132000, 0.2839711000", \ - "0.0968258000, 0.1015980000, 0.1113686000, 0.1295355000, 0.1612939000, 0.2159355000, 0.3202640000", \ - "0.1648232000, 0.1721795000, 0.1867171000, 0.2122181000, 0.2565686000, 0.3286257000, 0.4445544000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.1722483000, 0.1925258000, 0.2331873000, 0.3139929000, 0.4768527000, 0.8043676000, 1.4603138000", \ - "0.1725761000, 0.1929327000, 0.2329800000, 0.3144354000, 0.4769884000, 0.8030606000, 1.4588010000", \ - "0.1723731000, 0.1925869000, 0.2332653000, 0.3143444000, 0.4771541000, 0.8050801000, 1.4609846000", \ - "0.1722747000, 0.1928675000, 0.2330512000, 0.3141357000, 0.4766137000, 0.8043052000, 1.4597706000", \ - "0.1728274000, 0.1930048000, 0.2335183000, 0.3146953000, 0.4779652000, 0.8035995000, 1.4606403000", \ - "0.1931145000, 0.2122339000, 0.2503898000, 0.3265773000, 0.4836480000, 0.8055689000, 1.4605690000", \ - "0.2443695000, 0.2649969000, 0.3057061000, 0.3880542000, 0.5450032000, 0.8474581000, 1.4761998000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0415218000, 0.0450545000, 0.0519208000, 0.0648607000, 0.0893654000, 0.1364697000, 0.2286066000", \ - "0.0463542000, 0.0498923000, 0.0567478000, 0.0696765000, 0.0941734000, 0.1412739000, 0.2334946000", \ - "0.0567747000, 0.0602307000, 0.0669631000, 0.0798767000, 0.1042969000, 0.1513945000, 0.2435702000", \ - "0.0760322000, 0.0799656000, 0.0871799000, 0.1005506000, 0.1249391000, 0.1719190000, 0.2642524000", \ - "0.1031947000, 0.1086255000, 0.1183809000, 0.1360650000, 0.1660299000, 0.2173604000, 0.3105299000", \ - "0.1307836000, 0.1389392000, 0.1536209000, 0.1802136000, 0.2237531000, 0.2947804000, 0.4074596000", \ - "0.1331203000, 0.1461993000, 0.1692828000, 0.2104828000, 0.2801128000, 0.3890019000, 0.5560228000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.2458409000, 0.2607188000, 0.2931859000, 0.3542479000, 0.4778949000, 0.7246584000, 1.2193739000", \ - "0.2486808000, 0.2637679000, 0.2961094000, 0.3576630000, 0.4818988000, 0.7278972000, 1.2236325000", \ - "0.2578560000, 0.2744227000, 0.3052659000, 0.3684630000, 0.4924751000, 0.7393155000, 1.2353393000", \ - "0.2831963000, 0.2990404000, 0.3301548000, 0.3935470000, 0.5176523000, 0.7646077000, 1.2614790000", \ - "0.3395124000, 0.3546027000, 0.3864414000, 0.4485108000, 0.5723017000, 0.8196016000, 1.3164856000", \ - "0.4503392000, 0.4696210000, 0.5041012000, 0.5700160000, 0.6937718000, 0.9407629000, 1.4372242000", \ - "0.6492737000, 0.6719553000, 0.7157107000, 0.7950718000, 0.9445364000, 1.2146016000, 1.7132041000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0295996000, 0.0335515000, 0.0414369000, 0.0570207000, 0.0869692000, 0.1467568000, 0.2671127000", \ - "0.0294563000, 0.0334132000, 0.0413194000, 0.0569078000, 0.0869109000, 0.1471246000, 0.2683136000", \ - "0.0294530000, 0.0332390000, 0.0410581000, 0.0563725000, 0.0866720000, 0.1470940000, 0.2674158000", \ - "0.0353318000, 0.0387453000, 0.0456071000, 0.0592778000, 0.0878965000, 0.1464884000, 0.2680217000", \ - "0.0530986000, 0.0568438000, 0.0646306000, 0.0784036000, 0.1048418000, 0.1564600000, 0.2704666000", \ - "0.0887085000, 0.0938552000, 0.1033406000, 0.1218988000, 0.1522340000, 0.2085885000, 0.3086493000", \ - "0.1556238000, 0.1636753000, 0.1781886000, 0.2036820000, 0.2480680000, 0.3179788000, 0.4376993000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.1723570000, 0.1926174000, 0.2334791000, 0.3140114000, 0.4769117000, 0.8030250000, 1.4603382000", \ - "0.1722752000, 0.1925917000, 0.2334817000, 0.3139906000, 0.4768161000, 0.8035784000, 1.4598172000", \ - "0.1725363000, 0.1929068000, 0.2330154000, 0.3143994000, 0.4773960000, 0.8034430000, 1.4591167000", \ - "0.1726614000, 0.1924613000, 0.2330774000, 0.3140562000, 0.4772929000, 0.8035549000, 1.4604873000", \ - "0.1737469000, 0.1934566000, 0.2337762000, 0.3146930000, 0.4778964000, 0.8036073000, 1.4607179000", \ - "0.2020879000, 0.2204150000, 0.2564886000, 0.3313609000, 0.4860691000, 0.8052662000, 1.4617159000", \ - "0.2734127000, 0.2937102000, 0.3338185000, 0.4117921000, 0.5618577000, 0.8536749000, 1.4750844000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0404519000, 0.0436077000, 0.0496494000, 0.0614104000, 0.0843280000, 0.1295825000, 0.2201168000", \ - "0.0453262000, 0.0484947000, 0.0545587000, 0.0663397000, 0.0892626000, 0.1345577000, 0.2251435000", \ - "0.0554677000, 0.0586134000, 0.0647525000, 0.0765919000, 0.0995972000, 0.1449715000, 0.2355129000", \ - "0.0729578000, 0.0765890000, 0.0837047000, 0.0965885000, 0.1200312000, 0.1655956000, 0.2562824000", \ - "0.0952084000, 0.1010945000, 0.1106432000, 0.1282535000, 0.1583566000, 0.2099283000, 0.3023790000", \ - "0.1129349000, 0.1216120000, 0.1373369000, 0.1646925000, 0.2107451000, 0.2828284000, 0.3976438000", \ - "0.0969455000, 0.1105040000, 0.1360613000, 0.1805615000, 0.2545257000, 0.3680065000, 0.5384148000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.2150528000, 0.2295830000, 0.2621307000, 0.3229548000, 0.4465326000, 0.6936384000, 1.1887357000", \ - "0.2179654000, 0.2336676000, 0.2649440000, 0.3260165000, 0.4500947000, 0.6973596000, 1.1924066000", \ - "0.2254693000, 0.2422618000, 0.2738191000, 0.3366752000, 0.4598224000, 0.7073635000, 1.2034446000", \ - "0.2508680000, 0.2674331000, 0.2985613000, 0.3613658000, 0.4854629000, 0.7327818000, 1.2295063000", \ - "0.3104093000, 0.3256628000, 0.3572219000, 0.4191537000, 0.5431662000, 0.7910412000, 1.2874749000", \ - "0.4330579000, 0.4508657000, 0.4879729000, 0.5565229000, 0.6799308000, 0.9275954000, 1.4241182000", \ - "0.6512659000, 0.6771210000, 0.7246810000, 0.8144954000, 0.9687308000, 1.2471717000, 1.7446410000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0240603000, 0.0276478000, 0.0349990000, 0.0495998000, 0.0793908000, 0.1389397000, 0.2590897000", \ - "0.0240466000, 0.0276494000, 0.0349137000, 0.0495721000, 0.0793609000, 0.1388131000, 0.2597030000", \ - "0.0242152000, 0.0277214000, 0.0349852000, 0.0496452000, 0.0793011000, 0.1388920000, 0.2599033000", \ - "0.0301325000, 0.0333989000, 0.0400818000, 0.0530194000, 0.0809406000, 0.1390356000, 0.2600580000", \ - "0.0470848000, 0.0508410000, 0.0586229000, 0.0723670000, 0.0986647000, 0.1503017000, 0.2625397000", \ - "0.0817597000, 0.0871469000, 0.0972470000, 0.1156700000, 0.1477486000, 0.2020767000, 0.3047551000", \ - "0.1469953000, 0.1555214000, 0.1709202000, 0.1984031000, 0.2430373000, 0.3149402000, 0.4323942000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.1722873000, 0.1927086000, 0.2335382000, 0.3142829000, 0.4771286000, 0.8036298000, 1.4634228000", \ - "0.1728778000, 0.1928634000, 0.2330071000, 0.3143601000, 0.4770248000, 0.8033431000, 1.4598931000", \ - "0.1724372000, 0.1923834000, 0.2328737000, 0.3136985000, 0.4767847000, 0.8036768000, 1.4597001000", \ - "0.1723558000, 0.1925528000, 0.2328762000, 0.3142115000, 0.4783226000, 0.8035277000, 1.4594137000", \ - "0.1756662000, 0.1946989000, 0.2338864000, 0.3147538000, 0.4772406000, 0.8041682000, 1.4608569000", \ - "0.2120863000, 0.2296901000, 0.2658570000, 0.3382505000, 0.4882833000, 0.8047136000, 1.4606916000", \ - "0.3041254000, 0.3255153000, 0.3655323000, 0.4465135000, 0.5869785000, 0.8686974000, 1.4762374000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0322722000, 0.0350102000, 0.0403872000, 0.0509484000, 0.0720923000, 0.1145047000, 0.1997986000", \ - "0.0368285000, 0.0396660000, 0.0451969000, 0.0558713000, 0.0771472000, 0.1195704000, 0.2048184000", \ - "0.0464405000, 0.0494894000, 0.0552858000, 0.0662662000, 0.0876550000, 0.1304207000, 0.2157119000", \ - "0.0604489000, 0.0645599000, 0.0723252000, 0.0855914000, 0.1087037000, 0.1517817000, 0.2373564000", \ - "0.0745361000, 0.0813133000, 0.0930776000, 0.1130248000, 0.1453781000, 0.1977162000, 0.2859436000", \ - "0.0775649000, 0.0879574000, 0.1079861000, 0.1392210000, 0.1900418000, 0.2668562000, 0.3814274000", \ - "0.0354460000, 0.0531592000, 0.0847880000, 0.1372208000, 0.2202350000, 0.3425327000, 0.5217581000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.1552745000, 0.1711156000, 0.2031463000, 0.2651052000, 0.3882625000, 0.6345889000, 1.1302338000", \ - "0.1563640000, 0.1719931000, 0.2043513000, 0.2662807000, 0.3903309000, 0.6378626000, 1.1337249000", \ - "0.1626486000, 0.1780151000, 0.2103220000, 0.2735110000, 0.3985355000, 0.6466568000, 1.1433731000", \ - "0.1860038000, 0.2018927000, 0.2334997000, 0.2957910000, 0.4204999000, 0.6689295000, 1.1666522000", \ - "0.2491713000, 0.2638682000, 0.2931332000, 0.3547244000, 0.4776730000, 0.7249208000, 1.2222594000", \ - "0.3850117000, 0.4033523000, 0.4389378000, 0.5007435000, 0.6222214000, 0.8666031000, 1.3618313000", \ - "0.6035326000, 0.6296894000, 0.6808918000, 0.7710059000, 0.9353350000, 1.2004637000, 1.6845839000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0151898000, 0.0186059000, 0.0253869000, 0.0394419000, 0.0676307000, 0.1245255000, 0.2387199000", \ - "0.0152393000, 0.0185971000, 0.0254755000, 0.0394019000, 0.0675834000, 0.1245523000, 0.2390553000", \ - "0.0164443000, 0.0194912000, 0.0258699000, 0.0394702000, 0.0671053000, 0.1249775000, 0.2392579000", \ - "0.0242497000, 0.0273166000, 0.0335734000, 0.0454486000, 0.0701622000, 0.1243536000, 0.2397892000", \ - "0.0418291000, 0.0456870000, 0.0531532000, 0.0667693000, 0.0918084000, 0.1393481000, 0.2432528000", \ - "0.0760115000, 0.0818349000, 0.0924132000, 0.1110230000, 0.1426623000, 0.1960267000, 0.2908542000", \ - "0.1439358000, 0.1522091000, 0.1676378000, 0.1955428000, 0.2386688000, 0.3096178000, 0.4248180000"); - } - related_pin : "A4"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.1711496000, 0.1916590000, 0.2323103000, 0.3143106000, 0.4780168000, 0.8032800000, 1.4599845000", \ - "0.1707326000, 0.1912622000, 0.2326042000, 0.3139549000, 0.4770734000, 0.8029592000, 1.4637359000", \ - "0.1696866000, 0.1905672000, 0.2318476000, 0.3137720000, 0.4768674000, 0.8029761000, 1.4618997000", \ - "0.1662066000, 0.1876962000, 0.2302669000, 0.3131523000, 0.4771873000, 0.8032160000, 1.4606089000", \ - "0.1711480000, 0.1900081000, 0.2294597000, 0.3097922000, 0.4755448000, 0.8052310000, 1.4632352000", \ - "0.2182376000, 0.2391959000, 0.2775420000, 0.3448307000, 0.4903449000, 0.8032457000, 1.4606714000", \ - "0.3054978000, 0.3321808000, 0.3842239000, 0.4691720000, 0.6207291000, 0.8891891000, 1.4806293000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0298258000, 0.0337759000, 0.0412579000, 0.0554438000, 0.0819574000, 0.1321105000, 0.2287520000", \ - "0.0340036000, 0.0378914000, 0.0453726000, 0.0596291000, 0.0862419000, 0.1364955000, 0.2331298000", \ - "0.0460065000, 0.0493230000, 0.0562404000, 0.0698693000, 0.0962906000, 0.1467400000, 0.2435356000", \ - "0.0694372000, 0.0739751000, 0.0823971000, 0.0965953000, 0.1214946000, 0.1703224000, 0.2668901000", \ - "0.1010515000, 0.1075315000, 0.1194742000, 0.1402009000, 0.1746790000, 0.2286211000, 0.3232859000", \ - "0.1366117000, 0.1460901000, 0.1636477000, 0.1942703000, 0.2442219000, 0.3254615000, 0.4510921000", \ - "0.1590504000, 0.1727603000, 0.1983059000, 0.2436876000, 0.3200761000, 0.4440125000, 0.6316959000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0255574000, 0.0292877000, 0.0366057000, 0.0506417000, 0.0782213000, 0.1332734000, 0.2432647000", \ - "0.0307436000, 0.0344736000, 0.0417630000, 0.0556367000, 0.0836273000, 0.1386841000, 0.2489198000", \ - "0.0441986000, 0.0477486000, 0.0548809000, 0.0688668000, 0.0966972000, 0.1519044000, 0.2622134000", \ - "0.0669635000, 0.0731650000, 0.0835224000, 0.1002215000, 0.1278791000, 0.1834632000, 0.2945864000", \ - "0.1026569000, 0.1124059000, 0.1293891000, 0.1564922000, 0.1966779000, 0.2560631000, 0.3660066000", \ - "0.1600304000, 0.1749894000, 0.2016965000, 0.2456445000, 0.3110190000, 0.4047215000, 0.5394147000", \ - "0.2604835000, 0.2815884000, 0.3212377000, 0.3867151000, 0.4898348000, 0.6435675000, 0.8585760000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0317384000, 0.0362734000, 0.0450771000, 0.0619675000, 0.0948750000, 0.1580239000, 0.2821745000", \ - "0.0309950000, 0.0357739000, 0.0448369000, 0.0619426000, 0.0947831000, 0.1581667000, 0.2829170000", \ - "0.0322869000, 0.0362259000, 0.0445358000, 0.0611117000, 0.0944097000, 0.1576944000, 0.2822571000", \ - "0.0445376000, 0.0485703000, 0.0552123000, 0.0679550000, 0.0964426000, 0.1570387000, 0.2823777000", \ - "0.0673612000, 0.0726151000, 0.0822475000, 0.0985192000, 0.1250773000, 0.1743136000, 0.2857561000", \ - "0.1075068000, 0.1154832000, 0.1295385000, 0.1532515000, 0.1912543000, 0.2512652000, 0.3475718000", \ - "0.1756649000, 0.1879531000, 0.2092187000, 0.2454143000, 0.3040544000, 0.3894189000, 0.5308416000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0010095800, 0.0020385100, 0.0041161000, 0.0083110800, 0.0167814000, 0.0338845000"); - values("0.0264085000, 0.0316509000, 0.0425894000, 0.0639355000, 0.1057702000, 0.1879676000, 0.3511338000", \ - "0.0264810000, 0.0317954000, 0.0425513000, 0.0639010000, 0.1058267000, 0.1879387000, 0.3510297000", \ - "0.0301599000, 0.0344402000, 0.0436712000, 0.0638931000, 0.1058002000, 0.1878953000, 0.3508979000", \ - "0.0502409000, 0.0530151000, 0.0578394000, 0.0733985000, 0.1087715000, 0.1879651000, 0.3510494000", \ - "0.0897030000, 0.0930605000, 0.1000761000, 0.1138024000, 0.1394038000, 0.2028032000, 0.3521318000", \ - "0.1603387000, 0.1646913000, 0.1741552000, 0.1929515000, 0.2273338000, 0.2848380000, 0.3982399000", \ - "0.2911254000, 0.2953407000, 0.3058473000, 0.3331271000, 0.3846427000, 0.4660742000, 0.5937473000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o41ai_2") { - leakage_power () { - value : 0.0022590000; - when : "!A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0100640000; - when : "!A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0116235000; - when : "!A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0005536000; - when : "!A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0101656000; - when : "!A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0005536000; - when : "!A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0081833000; - when : "!A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0005535000; - when : "!A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0100748000; - when : "!A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0005528000; - when : "!A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0081834000; - when : "!A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0005533000; - when : "!A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0081851000; - when : "!A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0005543000; - when : "!A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0080686000; - when : "!A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0005533000; - when : "!A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0100432000; - when : "A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0005567000; - when : "A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0081857000; - when : "A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0005538000; - when : "A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0081877000; - when : "A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0005537000; - when : "A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0080692000; - when : "A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0005538000; - when : "A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0081974000; - when : "A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0005530000; - when : "A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0080731000; - when : "A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0005536000; - when : "A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0080822000; - when : "A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0005528000; - when : "A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0080300000; - when : "A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0005539000; - when : "A1&A2&A3&A4&!B1"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__o41ai"; - cell_leakage_power : 0.0047494200; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0044270000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042210000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080244000, 0.0080211000, 0.0080134000, 0.0080160000, 0.0080219000, 0.0080354000, 0.0080667000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007992600, -0.007991000, -0.007987200, -0.007984300, -0.007977700, -0.007962600, -0.007927500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046340000; - } - pin ("A2") { - capacitance : 0.0042970000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0040200000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079408000, 0.0079363000, 0.0079260000, 0.0079240000, 0.0079194000, 0.0079087000, 0.0078840000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007924300, -0.007920100, -0.007910500, -0.007907600, -0.007901000, -0.007885700, -0.007850600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045730000; - } - pin ("A3") { - capacitance : 0.0043230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039870000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079653000, 0.0079649000, 0.0079641000, 0.0079656000, 0.0079690000, 0.0079769000, 0.0079951000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007950300, -0.007949100, -0.007946300, -0.007942800, -0.007934700, -0.007916000, -0.007872900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046590000; - } - pin ("A4") { - capacitance : 0.0043310000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0039720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079870000, 0.0079848000, 0.0079798000, 0.0079812000, 0.0079844000, 0.0079917000, 0.0080086000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.007972200, -0.007968600, -0.007960500, -0.007959000, -0.007955300, -0.007947000, -0.007927700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046910000; - } - pin ("B1") { - capacitance : 0.0043460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042360000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091635000, 0.0091576000, 0.0091439000, 0.0091470000, 0.0091543000, 0.0091709000, 0.0092094000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000541200, -0.000576500, -0.000658100, -0.000606300, -0.000487100, -0.000212000, 0.0004220000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044550000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2&!A3&!A4) | (!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011173350, 0.0024968740, 0.0055796880, 0.0124687600, 0.0278635600, 0.0622658400"); - values("0.0171582000, 0.0161484000, 0.0139370000, 0.0089744000, -0.002150400, -0.026836000, -0.082041500", \ - "0.0169002000, 0.0159369000, 0.0136902000, 0.0087062000, -0.002373000, -0.027060000, -0.082289300", \ - "0.0166116000, 0.0156171000, 0.0133943000, 0.0084369000, -0.002663400, -0.027343700, -0.082578100", \ - "0.0163263000, 0.0153415000, 0.0131330000, 0.0081484000, -0.002973100, -0.027659300, -0.082853500", \ - "0.0160567000, 0.0150726000, 0.0128565000, 0.0079953000, -0.003037900, -0.027685200, -0.082940800", \ - "0.0158919000, 0.0148732000, 0.0126667000, 0.0076702000, -0.003402400, -0.027960200, -0.083140900", \ - "0.0173746000, 0.0164134000, 0.0141596000, 0.0091022000, -0.002100000, -0.027121300, -0.083093800"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011173350, 0.0024968740, 0.0055796880, 0.0124687600, 0.0278635600, 0.0622658400"); - values("0.0334217000, 0.0344572000, 0.0367593000, 0.0416991000, 0.0528163000, 0.0776172000, 0.1327662000", \ - "0.0331420000, 0.0340981000, 0.0363669000, 0.0414385000, 0.0526223000, 0.0774283000, 0.1326279000", \ - "0.0326831000, 0.0337102000, 0.0359998000, 0.0410687000, 0.0523185000, 0.0772370000, 0.1324862000", \ - "0.0323372000, 0.0333636000, 0.0356551000, 0.0407233000, 0.0519533000, 0.0769330000, 0.1321895000", \ - "0.0320941000, 0.0331007000, 0.0353377000, 0.0403819000, 0.0515719000, 0.0765192000, 0.1318600000", \ - "0.0319982000, 0.0329747000, 0.0352623000, 0.0402743000, 0.0514440000, 0.0763704000, 0.1317150000", \ - "0.0318590000, 0.0328728000, 0.0351361000, 0.0402267000, 0.0514276000, 0.0761313000, 0.1315112000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011173350, 0.0024968740, 0.0055796880, 0.0124687600, 0.0278635600, 0.0622658400"); - values("0.0136938000, 0.0126830000, 0.0104596000, 0.0054848000, -0.005556800, -0.030242300, -0.085692200", \ - "0.0136306000, 0.0126286000, 0.0104128000, 0.0054529000, -0.005585400, -0.030310400, -0.085735800", \ - "0.0134710000, 0.0124874000, 0.0102609000, 0.0053175000, -0.005716300, -0.030404400, -0.085851700", \ - "0.0131296000, 0.0121921000, 0.0100146000, 0.0050146000, -0.005967600, -0.030603000, -0.086010300", \ - "0.0126451000, 0.0116847000, 0.0095496000, 0.0046919000, -0.006163100, -0.030722100, -0.086074800", \ - "0.0127807000, 0.0118582000, 0.0096518000, 0.0047421000, -0.006344100, -0.031182500, -0.086377800", \ - "0.0138300000, 0.0127558000, 0.0106039000, 0.0055989000, -0.005617300, -0.030607300, -0.086416500"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011173350, 0.0024968740, 0.0055796880, 0.0124687600, 0.0278635600, 0.0622658400"); - values("0.0287974000, 0.0298054000, 0.0319696000, 0.0369934000, 0.0481008000, 0.0729124000, 0.1280848000", \ - "0.0283669000, 0.0293729000, 0.0316852000, 0.0367099000, 0.0479060000, 0.0726987000, 0.1278910000", \ - "0.0279933000, 0.0290206000, 0.0313379000, 0.0363588000, 0.0475778000, 0.0724783000, 0.1276414000", \ - "0.0276163000, 0.0286197000, 0.0309038000, 0.0359863000, 0.0472208000, 0.0722265000, 0.1275504000", \ - "0.0273483000, 0.0283305000, 0.0305934000, 0.0356374000, 0.0468801000, 0.0718154000, 0.1271830000", \ - "0.0272874000, 0.0282800000, 0.0305396000, 0.0355557000, 0.0467744000, 0.0716430000, 0.1269738000", \ - "0.0273323000, 0.0283350000, 0.0305589000, 0.0356374000, 0.0468825000, 0.0717201000, 0.1270024000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011173350, 0.0024968740, 0.0055796880, 0.0124687600, 0.0278635600, 0.0622658400"); - values("0.0108964000, 0.0099120000, 0.0077027000, 0.0027675000, -0.008309400, -0.033100800, -0.088707900", \ - "0.0108325000, 0.0098525000, 0.0076606000, 0.0027558000, -0.008302500, -0.033088300, -0.088672300", \ - "0.0106172000, 0.0096616000, 0.0075094000, 0.0026984000, -0.008312500, -0.033045800, -0.088599600", \ - "0.0101929000, 0.0092547000, 0.0071388000, 0.0023829000, -0.008496600, -0.033129000, -0.088593200", \ - "0.0097560000, 0.0088130000, 0.0066217000, 0.0018812000, -0.008897200, -0.033341300, -0.088679100", \ - "0.0096584000, 0.0086787000, 0.0065284000, 0.0016339000, -0.009203300, -0.033894900, -0.089101900", \ - "0.0106485000, 0.0096604000, 0.0073973000, 0.0023196000, -0.008866200, -0.033659500, -0.089298100"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011173350, 0.0024968740, 0.0055796880, 0.0124687600, 0.0278635600, 0.0622658400"); - values("0.0242117000, 0.0252186000, 0.0275016000, 0.0324901000, 0.0436367000, 0.0684023000, 0.1235223000", \ - "0.0238832000, 0.0249260000, 0.0272649000, 0.0322188000, 0.0433854000, 0.0682371000, 0.1234340000", \ - "0.0234697000, 0.0245215000, 0.0268354000, 0.0318663000, 0.0430946000, 0.0679979000, 0.1232632000", \ - "0.0232062000, 0.0241387000, 0.0264081000, 0.0314934000, 0.0427771000, 0.0677025000, 0.1230788000", \ - "0.0229015000, 0.0238717000, 0.0261824000, 0.0311916000, 0.0423748000, 0.0673508000, 0.1226906000", \ - "0.0228148000, 0.0238122000, 0.0260467000, 0.0311295000, 0.0424031000, 0.0671712000, 0.1224953000", \ - "0.0232066000, 0.0242410000, 0.0264297000, 0.0313914000, 0.0425233000, 0.0674248000, 0.1227333000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011173350, 0.0024968740, 0.0055796880, 0.0124687600, 0.0278635600, 0.0622658400"); - values("0.0067723000, 0.0058098000, 0.0036022000, -0.001366600, -0.012485800, -0.037373400, -0.093075800", \ - "0.0064732000, 0.0055416000, 0.0034275000, -0.001415700, -0.012425700, -0.037221500, -0.092877600", \ - "0.0059896000, 0.0051010000, 0.0030625000, -0.001614300, -0.012457100, -0.037119300, -0.092676700", \ - "0.0054409000, 0.0045750000, 0.0025720000, -0.002054000, -0.012708800, -0.037172100, -0.092587000", \ - "0.0052849000, 0.0043512000, 0.0022840000, -0.002484700, -0.013194000, -0.037492000, -0.092701900", \ - "0.0052640000, 0.0042746000, 0.0021275000, -0.002740400, -0.013527600, -0.038073000, -0.093130800", \ - "0.0067604000, 0.0056703000, 0.0033486000, -0.001845400, -0.013023100, -0.037878400, -0.093266500"); - } - related_pin : "A4"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011173350, 0.0024968740, 0.0055796880, 0.0124687600, 0.0278635600, 0.0622658400"); - values("0.0194370000, 0.0204057000, 0.0227494000, 0.0277268000, 0.0388899000, 0.0636635000, 0.1188535000", \ - "0.0189530000, 0.0199709000, 0.0222772000, 0.0273766000, 0.0385846000, 0.0634906000, 0.1186817000", \ - "0.0184004000, 0.0194268000, 0.0217637000, 0.0269118000, 0.0382178000, 0.0632343000, 0.1185121000", \ - "0.0180913000, 0.0190348000, 0.0213417000, 0.0264599000, 0.0377573000, 0.0628260000, 0.1182536000", \ - "0.0180212000, 0.0190018000, 0.0212440000, 0.0262704000, 0.0374829000, 0.0623764000, 0.1178192000", \ - "0.0187764000, 0.0197710000, 0.0219433000, 0.0268591000, 0.0379264000, 0.0625931000, 0.1177619000", \ - "0.0214755000, 0.0224176000, 0.0245703000, 0.0293954000, 0.0400185000, 0.0642097000, 0.1192116000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011173350, 0.0024968740, 0.0055796880, 0.0124687600, 0.0278635600, 0.0622658400"); - values("0.0092788000, 0.0083471000, 0.0062270000, 0.0014039000, -0.009573000, -0.034180200, -0.089316700", \ - "0.0090764000, 0.0081410000, 0.0059698000, 0.0011845000, -0.009712700, -0.034198800, -0.089347100", \ - "0.0088680000, 0.0079268000, 0.0057640000, 0.0009679000, -0.009914900, -0.034340700, -0.089356600", \ - "0.0085888000, 0.0076375000, 0.0054852000, 0.0006170000, -0.010302500, -0.034720800, -0.089626000", \ - "0.0085011000, 0.0075087000, 0.0053160000, 0.0004146000, -0.010559800, -0.035043300, -0.089974200", \ - "0.0092716000, 0.0082382000, 0.0059275000, 0.0008017000, -0.010240100, -0.035056600, -0.090387200", \ - "0.0123962000, 0.0113348000, 0.0089354000, 0.0037445000, -0.007809400, -0.033392400, -0.089963300"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011173350, 0.0024968740, 0.0055796880, 0.0124687600, 0.0278635600, 0.0622658400"); - values("0.0185976000, 0.0197895000, 0.0223325000, 0.0276553000, 0.0390815000, 0.0640028000, 0.1193450000", \ - "0.0182174000, 0.0193663000, 0.0218474000, 0.0272974000, 0.0388392000, 0.0639219000, 0.1193965000", \ - "0.0179508000, 0.0190597000, 0.0214736000, 0.0268511000, 0.0383292000, 0.0635830000, 0.1193286000", \ - "0.0180251000, 0.0190175000, 0.0212963000, 0.0263174000, 0.0379678000, 0.0629729000, 0.1185354000", \ - "0.0184063000, 0.0193971000, 0.0215580000, 0.0264885000, 0.0376514000, 0.0625283000, 0.1181528000", \ - "0.0202291000, 0.0210889000, 0.0231330000, 0.0279193000, 0.0385752000, 0.0629708000, 0.1177914000", \ - "0.0244713000, 0.0253199000, 0.0272660000, 0.0327683000, 0.0415997000, 0.0658696000, 0.1215492000"); - } - } - max_capacitance : 0.0622660000; - max_transition : 1.4837760000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.0435682000, 0.0463437000, 0.0524181000, 0.0651045000, 0.0912253000, 0.1451316000, 0.2576507000", \ - "0.0482859000, 0.0510970000, 0.0570495000, 0.0696664000, 0.0958326000, 0.1495156000, 0.2621142000", \ - "0.0588895000, 0.0616394000, 0.0674564000, 0.0799545000, 0.1057869000, 0.1594179000, 0.2720232000", \ - "0.0788483000, 0.0817881000, 0.0881975000, 0.1007692000, 0.1262338000, 0.1794777000, 0.2919146000", \ - "0.1100769000, 0.1137650000, 0.1218525000, 0.1377983000, 0.1676056000, 0.2240233000, 0.3367694000", \ - "0.1488492000, 0.1543790000, 0.1659896000, 0.1882898000, 0.2296369000, 0.3036101000, 0.4341680000", \ - "0.1728060000, 0.1823630000, 0.1987294000, 0.2329437000, 0.2966524000, 0.4070848000, 0.5912605000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.2670215000, 0.2761138000, 0.3004847000, 0.3490586000, 0.4582314000, 0.6986693000, 1.2315773000", \ - "0.2706353000, 0.2809309000, 0.3032999000, 0.3534887000, 0.4619860000, 0.7027919000, 1.2360022000", \ - "0.2806670000, 0.2905228000, 0.3133372000, 0.3632538000, 0.4734463000, 0.7148649000, 1.2485331000", \ - "0.3058245000, 0.3147163000, 0.3387601000, 0.3891069000, 0.4990109000, 0.7404989000, 1.2750695000", \ - "0.3586493000, 0.3686640000, 0.3908930000, 0.4410375000, 0.5510479000, 0.7923591000, 1.3275153000", \ - "0.4597230000, 0.4708154000, 0.4935078000, 0.5475275000, 0.6568509000, 0.8979494000, 1.4328908000", \ - "0.6234902000, 0.6367819000, 0.6639899000, 0.7269311000, 0.8537733000, 1.1140318000, 1.6533099000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.0372507000, 0.0404423000, 0.0475130000, 0.0628382000, 0.0950102000, 0.1624379000, 0.3081947000", \ - "0.0367901000, 0.0400572000, 0.0471585000, 0.0623980000, 0.0946508000, 0.1619007000, 0.3082452000", \ - "0.0364779000, 0.0395791000, 0.0466381000, 0.0617746000, 0.0940368000, 0.1617069000, 0.3079173000", \ - "0.0425926000, 0.0452362000, 0.0510514000, 0.0642526000, 0.0946387000, 0.1613167000, 0.3077286000", \ - "0.0616811000, 0.0643337000, 0.0700814000, 0.0828696000, 0.1103735000, 0.1690030000, 0.3095447000", \ - "0.0994191000, 0.1027620000, 0.1102436000, 0.1255932000, 0.1562363000, 0.2162899000, 0.3426906000", \ - "0.1684117000, 0.1738833000, 0.1851487000, 0.2053329000, 0.2479606000, 0.3222781000, 0.4576859000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.1708300000, 0.1837368000, 0.2136142000, 0.2784755000, 0.4232365000, 0.7444294000, 1.4587457000", \ - "0.1710782000, 0.1837628000, 0.2130756000, 0.2789063000, 0.4235401000, 0.7445505000, 1.4588462000", \ - "0.1708102000, 0.1843895000, 0.2135105000, 0.2788327000, 0.4232251000, 0.7444458000, 1.4586672000", \ - "0.1708135000, 0.1840149000, 0.2135749000, 0.2783518000, 0.4230420000, 0.7440101000, 1.4584550000", \ - "0.1712798000, 0.1843720000, 0.2135717000, 0.2785255000, 0.4231150000, 0.7442328000, 1.4588951000", \ - "0.1891999000, 0.2019084000, 0.2295816000, 0.2903534000, 0.4306114000, 0.7452732000, 1.4587642000", \ - "0.2373961000, 0.2509949000, 0.2803544000, 0.3460442000, 0.4870421000, 0.7878138000, 1.4773424000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.0446611000, 0.0473349000, 0.0530266000, 0.0648208000, 0.0893415000, 0.1401413000, 0.2493034000", \ - "0.0495753000, 0.0522095000, 0.0579249000, 0.0697906000, 0.0941281000, 0.1451197000, 0.2542757000", \ - "0.0600410000, 0.0626755000, 0.0682636000, 0.0800600000, 0.1043527000, 0.1552520000, 0.2643517000", \ - "0.0797407000, 0.0825918000, 0.0884423000, 0.1005505000, 0.1248262000, 0.1756774000, 0.2847833000", \ - "0.1087052000, 0.1125462000, 0.1204701000, 0.1358792000, 0.1652540000, 0.2202153000, 0.3305545000", \ - "0.1402395000, 0.1460595000, 0.1572674000, 0.1809185000, 0.2226059000, 0.2971873000, 0.4275930000", \ - "0.1510378000, 0.1593405000, 0.1785221000, 0.2144312000, 0.2799615000, 0.3946140000, 0.5829141000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.2492224000, 0.2597917000, 0.2823301000, 0.3313352000, 0.4401667000, 0.6802499000, 1.2134838000", \ - "0.2513112000, 0.2617613000, 0.2829972000, 0.3345342000, 0.4437450000, 0.6840825000, 1.2172886000", \ - "0.2599914000, 0.2702679000, 0.2932664000, 0.3430850000, 0.4528191000, 0.6941507000, 1.2278050000", \ - "0.2821419000, 0.2928727000, 0.3151357000, 0.3663485000, 0.4764477000, 0.7180856000, 1.2530215000", \ - "0.3350148000, 0.3449879000, 0.3674101000, 0.4171523000, 0.5266311000, 0.7693098000, 1.3040442000", \ - "0.4366142000, 0.4482389000, 0.4728323000, 0.5271739000, 0.6389702000, 0.8797972000, 1.4146729000", \ - "0.6099861000, 0.6238154000, 0.6551100000, 0.7237849000, 0.8566305000, 1.1259179000, 1.6669001000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.0341926000, 0.0369217000, 0.0432341000, 0.0570407000, 0.0866404000, 0.1516937000, 0.2966217000", \ - "0.0340501000, 0.0367256000, 0.0431537000, 0.0569009000, 0.0866070000, 0.1517624000, 0.2961898000", \ - "0.0336913000, 0.0365619000, 0.0427801000, 0.0565937000, 0.0863125000, 0.1515539000, 0.2964793000", \ - "0.0388455000, 0.0413266000, 0.0468456000, 0.0590478000, 0.0872257000, 0.1514445000, 0.2965575000", \ - "0.0558130000, 0.0583569000, 0.0641141000, 0.0763835000, 0.1032020000, 0.1602519000, 0.2982068000", \ - "0.0916028000, 0.0947332000, 0.1026776000, 0.1178150000, 0.1489322000, 0.2076927000, 0.3318876000", \ - "0.1580161000, 0.1634492000, 0.1749964000, 0.1979068000, 0.2395184000, 0.3148320000, 0.4532927000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.1707618000, 0.1843440000, 0.2130538000, 0.2789318000, 0.4232771000, 0.7446754000, 1.4586893000", \ - "0.1709177000, 0.1842654000, 0.2129983000, 0.2783268000, 0.4231302000, 0.7444363000, 1.4588816000", \ - "0.1711933000, 0.1843720000, 0.2137266000, 0.2789257000, 0.4232705000, 0.7444504000, 1.4583564000", \ - "0.1709265000, 0.1835887000, 0.2134285000, 0.2782188000, 0.4230081000, 0.7444811000, 1.4589544000", \ - "0.1722923000, 0.1851878000, 0.2147239000, 0.2791199000, 0.4234573000, 0.7458196000, 1.4587931000", \ - "0.2003392000, 0.2127042000, 0.2404478000, 0.2994739000, 0.4359682000, 0.7470813000, 1.4610204000", \ - "0.2681970000, 0.2824629000, 0.3111356000, 0.3754830000, 0.5116112000, 0.8011812000, 1.4763102000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.0419938000, 0.0442191000, 0.0488922000, 0.0589338000, 0.0803662000, 0.1265421000, 0.2282707000", \ - "0.0468303000, 0.0491035000, 0.0538092000, 0.0638830000, 0.0853505000, 0.1315580000, 0.2333615000", \ - "0.0569834000, 0.0591902000, 0.0640273000, 0.0741906000, 0.0957025000, 0.1419893000, 0.2439307000", \ - "0.0746121000, 0.0772037000, 0.0825446000, 0.0937729000, 0.1159792000, 0.1625152000, 0.2645925000", \ - "0.0977279000, 0.1016523000, 0.1092752000, 0.1247179000, 0.1531679000, 0.2060919000, 0.3100470000", \ - "0.1193739000, 0.1244569000, 0.1366197000, 0.1595101000, 0.2035302000, 0.2782459000, 0.4044347000", \ - "0.1102192000, 0.1191219000, 0.1383975000, 0.1765333000, 0.2449005000, 0.3634688000, 0.5504077000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.2141922000, 0.2244692000, 0.2456154000, 0.2968934000, 0.4057210000, 0.6456202000, 1.1784339000", \ - "0.2150490000, 0.2251053000, 0.2493391000, 0.2982140000, 0.4078562000, 0.6485497000, 1.1818703000", \ - "0.2232953000, 0.2329558000, 0.2572581000, 0.3067049000, 0.4168193000, 0.6583388000, 1.1921691000", \ - "0.2480666000, 0.2584631000, 0.2801566000, 0.3314588000, 0.4413446000, 0.6829214000, 1.2169752000", \ - "0.3032226000, 0.3130327000, 0.3362313000, 0.3864259000, 0.4958077000, 0.7372738000, 1.2722489000", \ - "0.4166379000, 0.4287206000, 0.4547050000, 0.5112424000, 0.6260391000, 0.8673167000, 1.4021214000", \ - "0.6110388000, 0.6285708000, 0.6652520000, 0.7402409000, 0.8849326000, 1.1658021000, 1.7060506000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.0247722000, 0.0272492000, 0.0327629000, 0.0450586000, 0.0726705000, 0.1341424000, 0.2711894000", \ - "0.0247701000, 0.0272369000, 0.0327302000, 0.0450578000, 0.0726584000, 0.1341415000, 0.2716888000", \ - "0.0247754000, 0.0272073000, 0.0327315000, 0.0450793000, 0.0726391000, 0.1340983000, 0.2717790000", \ - "0.0301862000, 0.0324611000, 0.0375668000, 0.0486164000, 0.0743303000, 0.1342739000, 0.2718040000", \ - "0.0460940000, 0.0485569000, 0.0543150000, 0.0663331000, 0.0917473000, 0.1453120000, 0.2745060000", \ - "0.0794655000, 0.0831959000, 0.0909208000, 0.1065515000, 0.1374586000, 0.1955429000, 0.3123410000", \ - "0.1433022000, 0.1491906000, 0.1605566000, 0.1843076000, 0.2276678000, 0.3012941000, 0.4345485000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.1708460000, 0.1842304000, 0.2128885000, 0.2783712000, 0.4230732000, 0.7444921000, 1.4605674000", \ - "0.1708722000, 0.1840154000, 0.2137417000, 0.2784716000, 0.4231052000, 0.7443925000, 1.4612057000", \ - "0.1709095000, 0.1839916000, 0.2135467000, 0.2784738000, 0.4231974000, 0.7443734000, 1.4610978000", \ - "0.1708619000, 0.1837741000, 0.2129679000, 0.2781653000, 0.4243347000, 0.7447500000, 1.4592098000", \ - "0.1748918000, 0.1868300000, 0.2150846000, 0.2790210000, 0.4233585000, 0.7446170000, 1.4589198000", \ - "0.2112882000, 0.2247448000, 0.2506864000, 0.3070713000, 0.4395620000, 0.7498862000, 1.4585465000", \ - "0.3036634000, 0.3181883000, 0.3482069000, 0.4126213000, 0.5448100000, 0.8230111000, 1.4767729000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.0323919000, 0.0343621000, 0.0386893000, 0.0478617000, 0.0679366000, 0.1122032000, 0.2107334000", \ - "0.0368802000, 0.0389452000, 0.0433804000, 0.0527463000, 0.0730635000, 0.1175659000, 0.2160976000", \ - "0.0463223000, 0.0485689000, 0.0532392000, 0.0629485000, 0.0834530000, 0.1280448000, 0.2271223000", \ - "0.0599755000, 0.0629929000, 0.0690255000, 0.0809658000, 0.1036563000, 0.1488331000, 0.2482513000", \ - "0.0744461000, 0.0788944000, 0.0883481000, 0.1060430000, 0.1374727000, 0.1923328000, 0.2947305000", \ - "0.0782540000, 0.0848882000, 0.1006128000, 0.1291531000, 0.1786188000, 0.2589726000, 0.3884151000", \ - "0.0409084000, 0.0530932000, 0.0779059000, 0.1245536000, 0.2054975000, 0.3333436000, 0.5287991000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.1434688000, 0.1533861000, 0.1766784000, 0.2256514000, 0.3350441000, 0.5758188000, 1.1088706000", \ - "0.1438429000, 0.1541807000, 0.1770903000, 0.2272946000, 0.3371908000, 0.5778926000, 1.1115596000", \ - "0.1497107000, 0.1602581000, 0.1835286000, 0.2344843000, 0.3450110000, 0.5869307000, 1.1210332000", \ - "0.1729603000, 0.1827219000, 0.2056495000, 0.2551276000, 0.3662949000, 0.6085577000, 1.1445332000", \ - "0.2353842000, 0.2444384000, 0.2655599000, 0.3143948000, 0.4233859000, 0.6647032000, 1.2001636000", \ - "0.3621727000, 0.3746490000, 0.3999583000, 0.4564439000, 0.5644828000, 0.8001102000, 1.3325289000", \ - "0.5659168000, 0.5833746000, 0.6206044000, 0.7000014000, 0.8521641000, 1.1250708000, 1.6504381000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.0143388000, 0.0167343000, 0.0221990000, 0.0342552000, 0.0614580000, 0.1226866000, 0.2565164000", \ - "0.0144273000, 0.0167773000, 0.0221791000, 0.0343762000, 0.0613236000, 0.1225101000, 0.2565369000", \ - "0.0156013000, 0.0177145000, 0.0228016000, 0.0344118000, 0.0613532000, 0.1216185000, 0.2577362000", \ - "0.0227581000, 0.0249229000, 0.0297769000, 0.0406175000, 0.0644782000, 0.1223706000, 0.2585189000", \ - "0.0392337000, 0.0420094000, 0.0476226000, 0.0598511000, 0.0843414000, 0.1366505000, 0.2599277000", \ - "0.0722488000, 0.0765264000, 0.0842057000, 0.1007838000, 0.1311250000, 0.1882817000, 0.3030539000", \ - "0.1387271000, 0.1441691000, 0.1557800000, 0.1793846000, 0.2229836000, 0.2962862000, 0.4255201000"); - } - related_pin : "A4"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.1681662000, 0.1813889000, 0.2115807000, 0.2778613000, 0.4234040000, 0.7443108000, 1.4638086000", \ - "0.1670720000, 0.1812101000, 0.2115842000, 0.2774473000, 0.4230766000, 0.7447241000, 1.4589815000", \ - "0.1655011000, 0.1791930000, 0.2098111000, 0.2773165000, 0.4233984000, 0.7441132000, 1.4601907000", \ - "0.1607823000, 0.1748659000, 0.2062296000, 0.2752094000, 0.4226293000, 0.7443834000, 1.4597586000", \ - "0.1686735000, 0.1808355000, 0.2080538000, 0.2718662000, 0.4179155000, 0.7443803000, 1.4594108000", \ - "0.2106625000, 0.2254803000, 0.2569849000, 0.3171737000, 0.4429604000, 0.7437337000, 1.4591222000", \ - "0.2900059000, 0.3085730000, 0.3494771000, 0.4272372000, 0.5728739000, 0.8447615000, 1.4837760000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.0304651000, 0.0333375000, 0.0395232000, 0.0522744000, 0.0783842000, 0.1320141000, 0.2445576000", \ - "0.0345394000, 0.0374201000, 0.0434756000, 0.0562986000, 0.0825138000, 0.1362366000, 0.2488141000", \ - "0.0467764000, 0.0491673000, 0.0547196000, 0.0669925000, 0.0926379000, 0.1464438000, 0.2591965000", \ - "0.0715593000, 0.0748986000, 0.0815037000, 0.0940549000, 0.1179393000, 0.1710515000, 0.2835331000", \ - "0.1065585000, 0.1110837000, 0.1203815000, 0.1386804000, 0.1722329000, 0.2297007000, 0.3404142000", \ - "0.1483230000, 0.1547596000, 0.1681310000, 0.1949067000, 0.2440937000, 0.3298191000, 0.4706961000", \ - "0.1854450000, 0.1945855000, 0.2137841000, 0.2513820000, 0.3246683000, 0.4537426000, 0.6661133000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.0217858000, 0.0241133000, 0.0291018000, 0.0395796000, 0.0618274000, 0.1106603000, 0.2188746000", \ - "0.0270020000, 0.0292379000, 0.0341279000, 0.0446821000, 0.0672185000, 0.1162221000, 0.2268534000", \ - "0.0394037000, 0.0421580000, 0.0472779000, 0.0575494000, 0.0800054000, 0.1292726000, 0.2378181000", \ - "0.0584983000, 0.0628023000, 0.0711746000, 0.0863126000, 0.1107755000, 0.1600386000, 0.2673105000", \ - "0.0873192000, 0.0942795000, 0.1078723000, 0.1320256000, 0.1720097000, 0.2324017000, 0.3406694000", \ - "0.1335040000, 0.1439314000, 0.1646368000, 0.2022817000, 0.2663232000, 0.3638640000, 0.5082657000", \ - "0.2139516000, 0.2288519000, 0.2589016000, 0.3142798000, 0.4109871000, 0.5666537000, 0.7982673000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.0353865000, 0.0389034000, 0.0457540000, 0.0609745000, 0.0930194000, 0.1607494000, 0.3076238000", \ - "0.0345348000, 0.0379639000, 0.0454695000, 0.0608925000, 0.0931571000, 0.1609912000, 0.3075462000", \ - "0.0353204000, 0.0385322000, 0.0448283000, 0.0597629000, 0.0924647000, 0.1607053000, 0.3075828000", \ - "0.0469064000, 0.0498177000, 0.0558201000, 0.0671517000, 0.0948278000, 0.1600038000, 0.3072393000", \ - "0.0689833000, 0.0732683000, 0.0807411000, 0.0958119000, 0.1233219000, 0.1758369000, 0.3092174000", \ - "0.1091997000, 0.1149265000, 0.1263469000, 0.1475684000, 0.1854061000, 0.2507400000, 0.3654808000", \ - "0.1752892000, 0.1837823000, 0.2014253000, 0.2353336000, 0.2922688000, 0.3862786000, 0.5441435000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011173300, 0.0024968700, 0.0055796900, 0.0124688000, 0.0278636000, 0.0622658000"); - values("0.0211534000, 0.0242293000, 0.0315416000, 0.0470944000, 0.0817850000, 0.1564495000, 0.3187726000", \ - "0.0212907000, 0.0243454000, 0.0315353000, 0.0472970000, 0.0818091000, 0.1564122000, 0.3194576000", \ - "0.0268558000, 0.0290962000, 0.0343151000, 0.0480175000, 0.0818868000, 0.1563561000, 0.3189890000", \ - "0.0473608000, 0.0492428000, 0.0533405000, 0.0618764000, 0.0880740000, 0.1567718000, 0.3189948000", \ - "0.0868585000, 0.0884833000, 0.0926782000, 0.1035726000, 0.1257457000, 0.1770864000, 0.3210621000", \ - "0.1578728000, 0.1598804000, 0.1653128000, 0.1796124000, 0.2102217000, 0.2657995000, 0.3765106000", \ - "0.2921765000, 0.2934500000, 0.2982515000, 0.3152623000, 0.3582425000, 0.4413671000, 0.5781713000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__o41ai_4") { - leakage_power () { - value : 0.0056372000; - when : "!A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0170682000; - when : "!A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0115471000; - when : "!A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0015165000; - when : "!A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0100833000; - when : "!A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0015152000; - when : "!A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0077004000; - when : "!A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0015183000; - when : "!A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0099986000; - when : "!A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0015165000; - when : "!A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0077019000; - when : "!A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0015208000; - when : "!A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0077149000; - when : "!A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0015176000; - when : "!A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0373424000; - when : "!A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0015071000; - when : "!A1&A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0098375000; - when : "A1&!A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0015168000; - when : "A1&!A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0076912000; - when : "A1&!A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0015126000; - when : "A1&!A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0081314000; - when : "A1&!A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0015284000; - when : "A1&!A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0078103000; - when : "A1&!A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0015149000; - when : "A1&!A2&A3&A4&!B1"; - } - leakage_power () { - value : 0.0083207000; - when : "A1&A2&!A3&!A4&B1"; - } - leakage_power () { - value : 0.0015105000; - when : "A1&A2&!A3&!A4&!B1"; - } - leakage_power () { - value : 0.0376818000; - when : "A1&A2&!A3&A4&B1"; - } - leakage_power () { - value : 0.0015146000; - when : "A1&A2&!A3&A4&!B1"; - } - leakage_power () { - value : 0.0577513000; - when : "A1&A2&A3&!A4&B1"; - } - leakage_power () { - value : 0.0015115000; - when : "A1&A2&A3&!A4&!B1"; - } - leakage_power () { - value : 0.0373388000; - when : "A1&A2&A3&A4&B1"; - } - leakage_power () { - value : 0.0015165000; - when : "A1&A2&A3&A4&!B1"; - } - area : 27.526400000; - cell_footprint : "sky130_fd_sc_hd__o41ai"; - cell_leakage_power : 0.0097529590; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A1") { - capacitance : 0.0086400000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0082090000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0158266000, 0.0158195000, 0.0158030000, 0.0157976000, 0.0157852000, 0.0157569000, 0.0156916000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015751600, -0.015747800, -0.015739100, -0.015740600, -0.015744100, -0.015752300, -0.015770900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090710000; - } - pin ("A2") { - capacitance : 0.0084130000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078460000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0158447000, 0.0158326000, 0.0158048000, 0.0158012000, 0.0157932000, 0.0157747000, 0.0157320000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015802800, -0.015788600, -0.015755900, -0.015751400, -0.015741000, -0.015717000, -0.015661700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0089800000; - } - pin ("A3") { - capacitance : 0.0083630000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0077500000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0158071000, 0.0157967000, 0.0157727000, 0.0157667000, 0.0157528000, 0.0157210000, 0.0156478000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015781400, -0.015766400, -0.015731700, -0.015723100, -0.015703300, -0.015657800, -0.015552600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0089770000; - } - pin ("A4") { - capacitance : 0.0084050000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0077110000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0158524000, 0.0158443000, 0.0158256000, 0.0158204000, 0.0158083000, 0.0157806000, 0.0157167000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.015811800, -0.015801500, -0.015778000, -0.015772300, -0.015759400, -0.015729700, -0.015661000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090990000; - } - pin ("B1") { - capacitance : 0.0086500000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0084760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0184853000, 0.0184942000, 0.0185147000, 0.0185125000, 0.0185074000, 0.0184955000, 0.0184682000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002287600, -0.002356700, -0.002515900, -0.002417300, -0.002189900, -0.001665700, -0.000457400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0088240000; - } - pin ("Y") { - direction : "output"; - function : "(!A1&!A2&!A3&!A4) | (!B1)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000"); - values("0.0335820000, 0.0324435000, 0.0295460000, 0.0224904000, 0.0052049000, -0.037058000, -0.140648200", \ - "0.0330832000, 0.0319430000, 0.0290717000, 0.0220002000, 0.0046753000, -0.037554100, -0.141212400", \ - "0.0325117000, 0.0313173000, 0.0285060000, 0.0214064000, 0.0040939000, -0.038163400, -0.141722400", \ - "0.0319710000, 0.0307878000, 0.0279193000, 0.0208373000, 0.0035437000, -0.038664400, -0.142242900", \ - "0.0314140000, 0.0302145000, 0.0274018000, 0.0205042000, 0.0033479000, -0.038836100, -0.142515800", \ - "0.0309295000, 0.0297733000, 0.0269733000, 0.0200110000, 0.0026365000, -0.039372800, -0.142856700", \ - "0.0334235000, 0.0323313000, 0.0294552000, 0.0222344000, 0.0049136000, -0.037904700, -0.142515000"); - } - related_pin : "A1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000"); - values("0.0650367000, 0.0661575000, 0.0691201000, 0.0761574000, 0.0934381000, 0.1358574000, 0.2393663000", \ - "0.0644364000, 0.0655722000, 0.0685828000, 0.0756386000, 0.0929762000, 0.1354170000, 0.2388989000", \ - "0.0637364000, 0.0649043000, 0.0679057000, 0.0750446000, 0.0925173000, 0.1350812000, 0.2387580000", \ - "0.0631451000, 0.0642617000, 0.0673229000, 0.0744255000, 0.0919035000, 0.1346335000, 0.2383338000", \ - "0.0626666000, 0.0638319000, 0.0668468000, 0.0738342000, 0.0913011000, 0.1340561000, 0.2378856000", \ - "0.0623043000, 0.0634736000, 0.0664677000, 0.0735471000, 0.0909527000, 0.1335741000, 0.2373883000", \ - "0.0624146000, 0.0635159000, 0.0664394000, 0.0736058000, 0.0910954000, 0.1334086000, 0.2373376000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000"); - values("0.0271840000, 0.0260238000, 0.0231744000, 0.0160986000, -0.001123100, -0.043286400, -0.147317600", \ - "0.0270906000, 0.0259134000, 0.0230745000, 0.0160083000, -0.001237300, -0.043422900, -0.147418600", \ - "0.0267595000, 0.0256135000, 0.0227492000, 0.0157037000, -0.001503900, -0.043685300, -0.147618000", \ - "0.0261862000, 0.0250665000, 0.0222073000, 0.0152184000, -0.001970000, -0.044074200, -0.148026500", \ - "0.0252255000, 0.0241158000, 0.0212779000, 0.0144184000, -0.002495500, -0.044368000, -0.148312300", \ - "0.0254154000, 0.0242050000, 0.0214534000, 0.0144165000, -0.003078300, -0.045308100, -0.148707400", \ - "0.0270820000, 0.0258100000, 0.0229209000, 0.0159603000, -0.001635000, -0.044271100, -0.148968000"); - } - related_pin : "A2"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000"); - values("0.0558578000, 0.0570403000, 0.0599761000, 0.0670868000, 0.0844170000, 0.1268955000, 0.2304720000", \ - "0.0553655000, 0.0563907000, 0.0592948000, 0.0665066000, 0.0838841000, 0.1263247000, 0.2298458000", \ - "0.0545584000, 0.0557316000, 0.0585388000, 0.0657728000, 0.0833295000, 0.1259327000, 0.2296187000", \ - "0.0537219000, 0.0549009000, 0.0579246000, 0.0650859000, 0.0825951000, 0.1254036000, 0.2290576000", \ - "0.0531547000, 0.0544072000, 0.0572275000, 0.0645619000, 0.0818380000, 0.1245811000, 0.2285115000", \ - "0.0528763000, 0.0540616000, 0.0569890000, 0.0641297000, 0.0815995000, 0.1241540000, 0.2279855000", \ - "0.0530971000, 0.0541906000, 0.0571569000, 0.0643182000, 0.0818878000, 0.1243254000, 0.2281407000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000"); - values("0.0215374000, 0.0203738000, 0.0175434000, 0.0105294000, -0.006693300, -0.049160400, -0.153367900", \ - "0.0214295000, 0.0202891000, 0.0174680000, 0.0105139000, -0.006704200, -0.049129000, -0.153472600", \ - "0.0211045000, 0.0199938000, 0.0171904000, 0.0103153000, -0.006761500, -0.049056900, -0.153290800", \ - "0.0202962000, 0.0191982000, 0.0164434000, 0.0097559000, -0.007159400, -0.049150900, -0.153211300", \ - "0.0192500000, 0.0181344000, 0.0153643000, 0.0087022000, -0.008016700, -0.049627200, -0.153434900", \ - "0.0192099000, 0.0180596000, 0.0152112000, 0.0083484000, -0.008616200, -0.050846900, -0.154152800", \ - "0.0205341000, 0.0194331000, 0.0164513000, 0.0093695000, -0.008049600, -0.050408400, -0.154741900"); - } - related_pin : "A3"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000"); - values("0.0475283000, 0.0487276000, 0.0516955000, 0.0587332000, 0.0761266000, 0.1184928000, 0.2219116000", \ - "0.0468133000, 0.0480872000, 0.0510015000, 0.0580845000, 0.0755721000, 0.1180353000, 0.2216737000", \ - "0.0459089000, 0.0472069000, 0.0501152000, 0.0572717000, 0.0749028000, 0.1175727000, 0.2212160000", \ - "0.0450701000, 0.0462602000, 0.0492323000, 0.0565835000, 0.0740867000, 0.1169028000, 0.2208559000", \ - "0.0445660000, 0.0457841000, 0.0486648000, 0.0557806000, 0.0733014000, 0.1161179000, 0.2201500000", \ - "0.0444623000, 0.0456160000, 0.0485402000, 0.0557393000, 0.0732287000, 0.1156640000, 0.2194421000", \ - "0.0451312000, 0.0463307000, 0.0491173000, 0.0561444000, 0.0734796000, 0.1161463000, 0.2198492000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000"); - values("0.0142543000, 0.0131060000, 0.0103101000, 0.0032949000, -0.014005400, -0.056534900, -0.160995800", \ - "0.0136497000, 0.0125911000, 0.0098835000, 0.0030893000, -0.013939200, -0.056230400, -0.160619300", \ - "0.0126907000, 0.0116535000, 0.0090657000, 0.0025350000, -0.014123900, -0.056127000, -0.160286200", \ - "0.0116297000, 0.0105943000, 0.0080611000, 0.0016132000, -0.014733800, -0.056299500, -0.160115000", \ - "0.0112440000, 0.0102436000, 0.0074503000, 0.0006120000, -0.015707600, -0.056961400, -0.160370600", \ - "0.0112679000, 0.0101296000, 0.0073202000, 0.0004801000, -0.016225400, -0.058225100, -0.161189000", \ - "0.0136027000, 0.0123589000, 0.0094226000, 0.0020481000, -0.015491300, -0.057858100, -0.161795400"); - } - related_pin : "A4"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000"); - values("0.0382044000, 0.0393983000, 0.0424063000, 0.0495168000, 0.0668340000, 0.1092937000, 0.2129387000", \ - "0.0371609000, 0.0383179000, 0.0413915000, 0.0485635000, 0.0661583000, 0.1088135000, 0.2125104000", \ - "0.0357904000, 0.0370750000, 0.0400302000, 0.0476132000, 0.0651539000, 0.1081262000, 0.2120318000", \ - "0.0349840000, 0.0361442000, 0.0389856000, 0.0465519000, 0.0642280000, 0.1074463000, 0.2114504000", \ - "0.0347589000, 0.0359183000, 0.0388442000, 0.0459874000, 0.0632012000, 0.1059796000, 0.2105561000", \ - "0.0367211000, 0.0377362000, 0.0405825000, 0.0477389000, 0.0652333000, 0.1065435000, 0.2103172000", \ - "0.0412427000, 0.0425878000, 0.0459413000, 0.0528042000, 0.0691260000, 0.1099306000, 0.2121374000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000"); - values("0.0194462000, 0.0183427000, 0.0156466000, 0.0089152000, -0.008061700, -0.050084500, -0.153642700", \ - "0.0189340000, 0.0178404000, 0.0151883000, 0.0084428000, -0.008421900, -0.050227500, -0.153604900", \ - "0.0185334000, 0.0174224000, 0.0146848000, 0.0079166000, -0.008874100, -0.050544700, -0.153712300", \ - "0.0178789000, 0.0167820000, 0.0140818000, 0.0072318000, -0.009686200, -0.051367100, -0.154172700", \ - "0.0180242000, 0.0168514000, 0.0139951000, 0.0070528000, -0.009894500, -0.052037000, -0.155008800", \ - "0.0186839000, 0.0174866000, 0.0145726000, 0.0074201000, -0.009932200, -0.051979700, -0.155753000", \ - "0.0240363000, 0.0227711000, 0.0196540000, 0.0122375000, -0.005496300, -0.048353000, -0.155740100"); - } - related_pin : "B1"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012265480, 0.0030088420, 0.0073809800, 0.0181062600, 0.0444164000, 0.1089577000"); - values("0.0367253000, 0.0381901000, 0.0416180000, 0.0495376000, 0.0675671000, 0.1104151000, 0.2144057000", \ - "0.0359024000, 0.0372744000, 0.0406761000, 0.0487778000, 0.0670774000, 0.1100779000, 0.2145769000", \ - "0.0351943000, 0.0365940000, 0.0398264000, 0.0475050000, 0.0659873000, 0.1096563000, 0.2136787000", \ - "0.0350505000, 0.0362855000, 0.0392302000, 0.0468243000, 0.0647865000, 0.1082682000, 0.2130774000", \ - "0.0357339000, 0.0368471000, 0.0396455000, 0.0466875000, 0.0641756000, 0.1072048000, 0.2119991000", \ - "0.0386914000, 0.0398449000, 0.0424580000, 0.0492047000, 0.0659899000, 0.1086017000, 0.2118225000", \ - "0.0460743000, 0.0470550000, 0.0503741000, 0.0560320000, 0.0710716000, 0.1124830000, 0.2167992000"); - } - } - max_capacitance : 0.1089580000; - max_transition : 1.4948920000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0490083000, 0.0511526000, 0.0560846000, 0.0676698000, 0.0937063000, 0.1519649000, 0.2835788000", \ - "0.0536368000, 0.0557651000, 0.0606135000, 0.0721033000, 0.0980456000, 0.1561501000, 0.2880506000", \ - "0.0630271000, 0.0649874000, 0.0699070000, 0.0812085000, 0.1069333000, 0.1648506000, 0.2965582000", \ - "0.0800484000, 0.0822212000, 0.0872914000, 0.0985812000, 0.1240464000, 0.1814922000, 0.3130041000", \ - "0.1069422000, 0.1094968000, 0.1153147000, 0.1289086000, 0.1570291000, 0.2169911000, 0.3487538000", \ - "0.1397497000, 0.1431039000, 0.1511453000, 0.1700394000, 0.2073803000, 0.2811576000, 0.4267670000", \ - "0.1533173000, 0.1582672000, 0.1708541000, 0.1974145000, 0.2545746000, 0.3614823000, 0.5563700000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.2869563000, 0.2928388000, 0.3113121000, 0.3515894000, 0.4487748000, 0.6829688000, 1.2534348000", \ - "0.2900632000, 0.2960218000, 0.3146005000, 0.3549624000, 0.4525935000, 0.6868908000, 1.2574926000", \ - "0.3008525000, 0.3065675000, 0.3251295000, 0.3659378000, 0.4632137000, 0.6987697000, 1.2700392000", \ - "0.3260999000, 0.3319910000, 0.3505796000, 0.3911735000, 0.4894476000, 0.7250353000, 1.2970159000", \ - "0.3799177000, 0.3878055000, 0.4044325000, 0.4442618000, 0.5420152000, 0.7780919000, 1.3514118000", \ - "0.4851879000, 0.4921095000, 0.5097973000, 0.5533713000, 0.6510785000, 0.8862163000, 1.4585120000", \ - "0.6606019000, 0.6672498000, 0.6900283000, 0.7381128000, 0.8518970000, 1.1052359000, 1.6832666000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0482631000, 0.0505753000, 0.0562608000, 0.0699239000, 0.1016926000, 0.1745265000, 0.3476280000", \ - "0.0476068000, 0.0499514000, 0.0556194000, 0.0692252000, 0.1010610000, 0.1741124000, 0.3472198000", \ - "0.0469605000, 0.0491572000, 0.0549130000, 0.0684395000, 0.1004222000, 0.1734823000, 0.3469059000", \ - "0.0509562000, 0.0529424000, 0.0581727000, 0.0703212000, 0.1008020000, 0.1729656000, 0.3470362000", \ - "0.0662301000, 0.0680428000, 0.0728795000, 0.0847975000, 0.1129618000, 0.1791733000, 0.3477794000", \ - "0.1026806000, 0.1048377000, 0.1096522000, 0.1222225000, 0.1510881000, 0.2164035000, 0.3718094000", \ - "0.1698206000, 0.1735158000, 0.1816030000, 0.1968595000, 0.2352873000, 0.3116289000, 0.4706067000"); - } - related_pin : "A1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.1864820000, 0.1947768000, 0.2170005000, 0.2692028000, 0.3978421000, 0.7109061000, 1.4776961000", \ - "0.1864812000, 0.1947891000, 0.2170494000, 0.2692639000, 0.3976831000, 0.7106363000, 1.4737536000", \ - "0.1864935000, 0.1950739000, 0.2170403000, 0.2692662000, 0.3979665000, 0.7109464000, 1.4744587000", \ - "0.1865171000, 0.1950474000, 0.2171293000, 0.2692656000, 0.3974930000, 0.7106837000, 1.4737628000", \ - "0.1868338000, 0.1959517000, 0.2171957000, 0.2698220000, 0.3981984000, 0.7112498000, 1.4739823000", \ - "0.2030238000, 0.2116545000, 0.2311655000, 0.2807555000, 0.4049114000, 0.7123855000, 1.4741923000", \ - "0.2479497000, 0.2564829000, 0.2787927000, 0.3309706000, 0.4569943000, 0.7518285000, 1.4873726000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0510660000, 0.0529704000, 0.0575844000, 0.0681593000, 0.0921550000, 0.1461061000, 0.2722466000", \ - "0.0557837000, 0.0576590000, 0.0622711000, 0.0728504000, 0.0967531000, 0.1509027000, 0.2771068000", \ - "0.0654992000, 0.0674587000, 0.0719601000, 0.0824859000, 0.1063885000, 0.1605037000, 0.2865176000", \ - "0.0828810000, 0.0849953000, 0.0898961000, 0.1003802000, 0.1241868000, 0.1782309000, 0.3045288000", \ - "0.1096114000, 0.1118590000, 0.1174996000, 0.1307000000, 0.1580190000, 0.2156865000, 0.3429299000", \ - "0.1374388000, 0.1408656000, 0.1493646000, 0.1684950000, 0.2068076000, 0.2808742000, 0.4260592000", \ - "0.1399760000, 0.1446460000, 0.1577857000, 0.1875136000, 0.2461262000, 0.3596246000, 0.5601840000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.2715610000, 0.2786383000, 0.2953486000, 0.3345014000, 0.4320314000, 0.6663136000, 1.2370648000", \ - "0.2730878000, 0.2799412000, 0.2969436000, 0.3361289000, 0.4339776000, 0.6690949000, 1.2399712000", \ - "0.2811841000, 0.2884343000, 0.3054336000, 0.3451345000, 0.4435763000, 0.6794290000, 1.2508009000", \ - "0.3048165000, 0.3100361000, 0.3285525000, 0.3697202000, 0.4679518000, 0.7041988000, 1.2761617000", \ - "0.3579388000, 0.3648969000, 0.3808651000, 0.4221339000, 0.5202991000, 0.7559009000, 1.3289190000", \ - "0.4640342000, 0.4713980000, 0.4904427000, 0.5333780000, 0.6336264000, 0.8691236000, 1.4418947000", \ - "0.6490644000, 0.6581979000, 0.6809544000, 0.7354345000, 0.8538060000, 1.1184510000, 1.6975281000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0424779000, 0.0444354000, 0.0495138000, 0.0615777000, 0.0903970000, 0.1595512000, 0.3297732000", \ - "0.0423466000, 0.0443054000, 0.0493844000, 0.0614210000, 0.0903383000, 0.1597429000, 0.3297302000", \ - "0.0419008000, 0.0439237000, 0.0488615000, 0.0610423000, 0.0900580000, 0.1596109000, 0.3297103000", \ - "0.0457983000, 0.0475899000, 0.0521186000, 0.0630011000, 0.0908105000, 0.1591785000, 0.3296706000", \ - "0.0599945000, 0.0618172000, 0.0664700000, 0.0772544000, 0.1039106000, 0.1669812000, 0.3313562000", \ - "0.0944545000, 0.0967843000, 0.1021694000, 0.1152098000, 0.1442397000, 0.2072179000, 0.3589196000", \ - "0.1613195000, 0.1644657000, 0.1722999000, 0.1913923000, 0.2303499000, 0.3074146000, 0.4645145000"); - } - related_pin : "A2"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.1861025000, 0.1949636000, 0.2170582000, 0.2697333000, 0.3978958000, 0.7109691000, 1.4744843000", \ - "0.1864487000, 0.1951398000, 0.2162603000, 0.2695804000, 0.3979826000, 0.7109628000, 1.4744178000", \ - "0.1864236000, 0.1955827000, 0.2166183000, 0.2693269000, 0.3979531000, 0.7108997000, 1.4743297000", \ - "0.1865736000, 0.1950686000, 0.2170846000, 0.2690886000, 0.3978017000, 0.7108143000, 1.4733063000", \ - "0.1877872000, 0.1962209000, 0.2175037000, 0.2696883000, 0.3977748000, 0.7104258000, 1.4739217000", \ - "0.2134752000, 0.2217074000, 0.2418359000, 0.2898713000, 0.4108303000, 0.7137280000, 1.4786659000", \ - "0.2795046000, 0.2882462000, 0.3108082000, 0.3612736000, 0.4833170000, 0.7670845000, 1.4922815000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0483590000, 0.0499543000, 0.0536515000, 0.0625488000, 0.0829409000, 0.1316637000, 0.2485757000", \ - "0.0530040000, 0.0546411000, 0.0583621000, 0.0672699000, 0.0877483000, 0.1364259000, 0.2534928000", \ - "0.0627014000, 0.0643679000, 0.0680854000, 0.0770266000, 0.0977942000, 0.1465093000, 0.2634531000", \ - "0.0797623000, 0.0813707000, 0.0855734000, 0.0953700000, 0.1168744000, 0.1658286000, 0.2831141000", \ - "0.1027560000, 0.1050549000, 0.1103894000, 0.1237321000, 0.1501636000, 0.2054995000, 0.3250085000", \ - "0.1202724000, 0.1246656000, 0.1332449000, 0.1526536000, 0.1933606000, 0.2707146000, 0.4127784000", \ - "0.1041635000, 0.1102584000, 0.1250335000, 0.1563900000, 0.2209331000, 0.3416762000, 0.5485217000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.2343357000, 0.2394189000, 0.2580980000, 0.2984338000, 0.3957415000, 0.6301579000, 1.2005896000", \ - "0.2350792000, 0.2409597000, 0.2594951000, 0.3003714000, 0.3979097000, 0.6326941000, 1.2036107000", \ - "0.2424012000, 0.2479126000, 0.2664497000, 0.3080854000, 0.4063223000, 0.6415273000, 1.2131678000", \ - "0.2656735000, 0.2729589000, 0.2893603000, 0.3305916000, 0.4284312000, 0.6650271000, 1.2379299000", \ - "0.3213474000, 0.3276187000, 0.3452753000, 0.3854378000, 0.4837002000, 0.7201482000, 1.2931590000", \ - "0.4400340000, 0.4480021000, 0.4675478000, 0.5116249000, 0.6147227000, 0.8505037000, 1.4237053000", \ - "0.6473486000, 0.6582161000, 0.6845082000, 0.7436492000, 0.8734888000, 1.1499590000, 1.7296478000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0300066000, 0.0317989000, 0.0361961000, 0.0469716000, 0.0735679000, 0.1390243000, 0.2998936000", \ - "0.0300315000, 0.0317803000, 0.0361930000, 0.0469604000, 0.0736788000, 0.1391957000, 0.3000570000", \ - "0.0299464000, 0.0317551000, 0.0361671000, 0.0470304000, 0.0736854000, 0.1391821000, 0.3001156000", \ - "0.0347141000, 0.0363265000, 0.0404459000, 0.0502485000, 0.0754684000, 0.1392181000, 0.2999880000", \ - "0.0499704000, 0.0518859000, 0.0562984000, 0.0667690000, 0.0914832000, 0.1495834000, 0.3027523000", \ - "0.0845448000, 0.0871555000, 0.0927802000, 0.1061181000, 0.1347457000, 0.1962902000, 0.3360435000", \ - "0.1508965000, 0.1545896000, 0.1631725000, 0.1824881000, 0.2237005000, 0.3012985000, 0.4523912000"); - } - related_pin : "A3"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.1860858000, 0.1949031000, 0.2168151000, 0.2692054000, 0.3979022000, 0.7107781000, 1.4773428000", \ - "0.1859932000, 0.1951935000, 0.2169234000, 0.2690108000, 0.3977700000, 0.7108347000, 1.4736845000", \ - "0.1859763000, 0.1951674000, 0.2168084000, 0.2689977000, 0.3977796000, 0.7110261000, 1.4745091000", \ - "0.1863157000, 0.1950309000, 0.2168870000, 0.2699254000, 0.3979467000, 0.7110610000, 1.4743463000", \ - "0.1887261000, 0.1977632000, 0.2179084000, 0.2702566000, 0.3986328000, 0.7106660000, 1.4739298000", \ - "0.2254712000, 0.2334942000, 0.2519560000, 0.2984803000, 0.4152456000, 0.7167393000, 1.4743214000", \ - "0.3127773000, 0.3222217000, 0.3437242000, 0.3979010000, 0.5160199000, 0.7886937000, 1.4907872000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0354414000, 0.0367848000, 0.0401123000, 0.0478034000, 0.0659550000, 0.1097377000, 0.2175224000", \ - "0.0396798000, 0.0410764000, 0.0445390000, 0.0523398000, 0.0708026000, 0.1153219000, 0.2226897000", \ - "0.0489392000, 0.0504667000, 0.0540858000, 0.0622526000, 0.0808556000, 0.1252091000, 0.2327279000", \ - "0.0622015000, 0.0643210000, 0.0689616000, 0.0789974000, 0.1002337000, 0.1455129000, 0.2536423000", \ - "0.0750949000, 0.0783047000, 0.0857154000, 0.1006273000, 0.1302369000, 0.1856950000, 0.2976527000", \ - "0.0758268000, 0.0807743000, 0.0918401000, 0.1161558000, 0.1630993000, 0.2456146000, 0.3912635000", \ - "0.0283805000, 0.0367053000, 0.0552368000, 0.0947133000, 0.1716271000, 0.3026897000, 0.5180431000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.1605711000, 0.1678798000, 0.1855995000, 0.2251851000, 0.3234600000, 0.5578819000, 1.1293945000", \ - "0.1609387000, 0.1673463000, 0.1851659000, 0.2254542000, 0.3242768000, 0.5596480000, 1.1311697000", \ - "0.1651043000, 0.1727499000, 0.1893662000, 0.2315074000, 0.3295775000, 0.5678807000, 1.1405776000", \ - "0.1874608000, 0.1942411000, 0.2103170000, 0.2523436000, 0.3515758000, 0.5884208000, 1.1630095000", \ - "0.2499389000, 0.2562588000, 0.2719996000, 0.3114279000, 0.4065110000, 0.6435445000, 1.2174921000", \ - "0.3869520000, 0.3937796000, 0.4126456000, 0.4570042000, 0.5541857000, 0.7845396000, 1.3546475000", \ - "0.6048227000, 0.6139396000, 0.6478315000, 0.7109457000, 0.8459337000, 1.1170691000, 1.6812499000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0166804000, 0.0183641000, 0.0224932000, 0.0325987000, 0.0571481000, 0.1175230000, 0.2659324000", \ - "0.0168083000, 0.0184707000, 0.0225144000, 0.0325155000, 0.0573301000, 0.1183346000, 0.2667095000", \ - "0.0177456000, 0.0192321000, 0.0230706000, 0.0327956000, 0.0573242000, 0.1177650000, 0.2667257000", \ - "0.0249206000, 0.0264810000, 0.0302396000, 0.0392752000, 0.0608538000, 0.1184647000, 0.2662661000", \ - "0.0423043000, 0.0439598000, 0.0480689000, 0.0580795000, 0.0808581000, 0.1337222000, 0.2696960000", \ - "0.0761578000, 0.0787973000, 0.0848798000, 0.0989026000, 0.1273913000, 0.1843474000, 0.3151270000", \ - "0.1446580000, 0.1486109000, 0.1567620000, 0.1760168000, 0.2173615000, 0.2921123000, 0.4351862000"); - } - related_pin : "A4"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.1839696000, 0.1930564000, 0.2147728000, 0.2684286000, 0.3975610000, 0.7110428000, 1.4758724000", \ - "0.1830854000, 0.1923783000, 0.2141102000, 0.2681881000, 0.3976263000, 0.7111610000, 1.4740719000", \ - "0.1813644000, 0.1908562000, 0.2132685000, 0.2674165000, 0.3979352000, 0.7108771000, 1.4792979000", \ - "0.1771883000, 0.1862466000, 0.2098422000, 0.2650708000, 0.3968635000, 0.7112223000, 1.4782975000", \ - "0.1813719000, 0.1893364000, 0.2108003000, 0.2615150000, 0.3921393000, 0.7131450000, 1.4748868000", \ - "0.2241334000, 0.2332119000, 0.2573929000, 0.3054527000, 0.4177911000, 0.7121687000, 1.4731767000", \ - "0.3060802000, 0.3191779000, 0.3446383000, 0.4099493000, 0.5434029000, 0.8115849000, 1.4948923000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0370563000, 0.0391612000, 0.0442085000, 0.0559199000, 0.0819657000, 0.1399856000, 0.2717058000", \ - "0.0408029000, 0.0429254000, 0.0480197000, 0.0596914000, 0.0858164000, 0.1440249000, 0.2757860000", \ - "0.0519573000, 0.0539176000, 0.0586469000, 0.0698448000, 0.0957896000, 0.1540706000, 0.2860546000", \ - "0.0783126000, 0.0805202000, 0.0862174000, 0.0971487000, 0.1208932000, 0.1775680000, 0.3094333000", \ - "0.1158211000, 0.1189690000, 0.1262199000, 0.1420656000, 0.1743723000, 0.2352782000, 0.3648649000", \ - "0.1588487000, 0.1632659000, 0.1736054000, 0.1965714000, 0.2439495000, 0.3344858000, 0.4944769000", \ - "0.1919241000, 0.1982584000, 0.2131381000, 0.2466874000, 0.3161563000, 0.4495850000, 0.6927416000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0235669000, 0.0252406000, 0.0290999000, 0.0380026000, 0.0584247000, 0.1067409000, 0.2244512000", \ - "0.0287838000, 0.0303679000, 0.0341317000, 0.0431399000, 0.0637290000, 0.1122719000, 0.2299789000", \ - "0.0417645000, 0.0436304000, 0.0473721000, 0.0559495000, 0.0764071000, 0.1252459000, 0.2430211000", \ - "0.0625638000, 0.0653669000, 0.0717599000, 0.0845222000, 0.1074660000, 0.1565159000, 0.2741808000", \ - "0.0954236000, 0.0998000000, 0.1097624000, 0.1301592000, 0.1676247000, 0.2297207000, 0.3475898000", \ - "0.1492218000, 0.1554244000, 0.1707457000, 0.2027610000, 0.2620813000, 0.3611996000, 0.5153308000", \ - "0.2442917000, 0.2541989000, 0.2765189000, 0.3234362000, 0.4138644000, 0.5705348000, 0.8202494000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0458958000, 0.0481059000, 0.0537666000, 0.0673466000, 0.0991105000, 0.1726593000, 0.3468568000", \ - "0.0454096000, 0.0478528000, 0.0536571000, 0.0672718000, 0.0991269000, 0.1726387000, 0.3465221000", \ - "0.0448701000, 0.0468657000, 0.0523964000, 0.0657934000, 0.0985888000, 0.1724802000, 0.3464710000", \ - "0.0555826000, 0.0571310000, 0.0615009000, 0.0720981000, 0.0998507000, 0.1711039000, 0.3461871000", \ - "0.0762890000, 0.0791476000, 0.0860029000, 0.0995550000, 0.1301161000, 0.1863562000, 0.3463630000", \ - "0.1183389000, 0.1223062000, 0.1313868000, 0.1514707000, 0.1908715000, 0.2599670000, 0.4004749000", \ - "0.1870598000, 0.1931494000, 0.2069710000, 0.2363024000, 0.2937759000, 0.3989376000, 0.5750747000"); - } - related_pin : "B1"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012265500, 0.0030088400, 0.0073809800, 0.0181063000, 0.0444164000, 0.1089580000"); - values("0.0232291000, 0.0251508000, 0.0302795000, 0.0433679000, 0.0741996000, 0.1475953000, 0.3223308000", \ - "0.0231154000, 0.0251103000, 0.0306438000, 0.0433114000, 0.0741506000, 0.1476254000, 0.3222497000", \ - "0.0275460000, 0.0291921000, 0.0330501000, 0.0439991000, 0.0742823000, 0.1474957000, 0.3221733000", \ - "0.0480720000, 0.0492644000, 0.0519803000, 0.0578096000, 0.0810821000, 0.1479741000, 0.3221148000", \ - "0.0869474000, 0.0879324000, 0.0911140000, 0.0994764000, 0.1192203000, 0.1691198000, 0.3238810000", \ - "0.1583393000, 0.1591714000, 0.1630235000, 0.1737499000, 0.2002360000, 0.2566353000, 0.3774692000", \ - "0.2937083000, 0.2941882000, 0.2968440000, 0.3081085000, 0.3441219000, 0.4265306000, 0.5725317000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or2_0") { - leakage_power () { - value : 0.0012363000; - when : "!A&B"; - } - leakage_power () { - value : 0.0026049000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0004383000; - when : "A&B"; - } - leakage_power () { - value : 0.0007459000; - when : "A&!B"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__or2"; - cell_leakage_power : 0.0012563580; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0015330000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014510000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0017183000, 0.0017174000, 0.0017154000, 0.0017157000, 0.0017164000, 0.0017179000, 0.0017214000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001565400, -0.001593500, -0.001658200, -0.001659900, -0.001663800, -0.001672700, -0.001693400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016150000; - } - pin ("B") { - capacitance : 0.0014860000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013990000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0012619000, 0.0012606000, 0.0012576000, 0.0012608000, 0.0012682000, 0.0012853000, 0.0013247000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000897200, -0.000896500, -0.000894900, -0.000894800, -0.000894500, -0.000893900, -0.000892300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015730000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012105780, 0.0029309990, 0.0070964070, 0.0171815100, 0.0415991200, 0.1007180000"); - values("0.0078125000, 0.0069548000, 0.0046919000, -0.001552800, -0.017699000, -0.057256900, -0.153065200", \ - "0.0076277000, 0.0067734000, 0.0045066000, -0.001742300, -0.017870000, -0.057413400, -0.153230900", \ - "0.0074766000, 0.0066208000, 0.0042927000, -0.001931000, -0.018034200, -0.057572000, -0.153398000", \ - "0.0073300000, 0.0064324000, 0.0041689000, -0.002062300, -0.018170500, -0.057689800, -0.153512300", \ - "0.0072511000, 0.0063322000, 0.0040464000, -0.002176700, -0.018263200, -0.057751900, -0.153521600", \ - "0.0074683000, 0.0063075000, 0.0037720000, -0.002239700, -0.018277000, -0.057747800, -0.153512000", \ - "0.0086523000, 0.0075611000, 0.0047777000, -0.001859200, -0.018222400, -0.057471000, -0.153241500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012105780, 0.0029309990, 0.0070964070, 0.0171815100, 0.0415991200, 0.1007180000"); - values("0.0078077000, 0.0090223000, 0.0118674000, 0.0185794000, 0.0347034000, 0.0741248000, 0.1683972000", \ - "0.0077731000, 0.0089859000, 0.0118275000, 0.0185497000, 0.0347184000, 0.0741046000, 0.1690782000", \ - "0.0077249000, 0.0089321000, 0.0117801000, 0.0185202000, 0.0347185000, 0.0737380000, 0.1691607000", \ - "0.0075323000, 0.0087337000, 0.0115670000, 0.0183349000, 0.0346899000, 0.0736951000, 0.1682162000", \ - "0.0074818000, 0.0086137000, 0.0113845000, 0.0181343000, 0.0345470000, 0.0735848000, 0.1682023000", \ - "0.0076743000, 0.0088416000, 0.0115967000, 0.0182129000, 0.0346547000, 0.0734874000, 0.1681041000", \ - "0.0082146000, 0.0093865000, 0.0121474000, 0.0187712000, 0.0351010000, 0.0745511000, 0.1681411000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012105780, 0.0029309990, 0.0070964070, 0.0171815100, 0.0415991200, 0.1007180000"); - values("0.0071787000, 0.0063267000, 0.0040618000, -0.002158300, -0.018234400, -0.057725200, -0.153510500", \ - "0.0070349000, 0.0061502000, 0.0038910000, -0.002326100, -0.018393000, -0.057874400, -0.153661500", \ - "0.0068838000, 0.0059763000, 0.0036927000, -0.002501700, -0.018556700, -0.058039400, -0.153803600", \ - "0.0067576000, 0.0058812000, 0.0035660000, -0.002623600, -0.018662200, -0.058131600, -0.153896500", \ - "0.0067057000, 0.0057989000, 0.0035060000, -0.002697700, -0.018731300, -0.058165100, -0.153889900", \ - "0.0071255000, 0.0059742000, 0.0035973000, -0.002437700, -0.018437300, -0.057834400, -0.153591100", \ - "0.0087875000, 0.0076226000, 0.0050028000, -0.001758100, -0.018085800, -0.057429800, -0.153139500"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012105780, 0.0029309990, 0.0070964070, 0.0171815100, 0.0415991200, 0.1007180000"); - values("0.0065690000, 0.0078359000, 0.0107707000, 0.0175944000, 0.0340117000, 0.0726755000, 0.1671944000", \ - "0.0065336000, 0.0077942000, 0.0107165000, 0.0175260000, 0.0338041000, 0.0733311000, 0.1667709000", \ - "0.0063856000, 0.0076266000, 0.0105335000, 0.0173864000, 0.0338431000, 0.0732966000, 0.1677587000", \ - "0.0061678000, 0.0073825000, 0.0102688000, 0.0170660000, 0.0334470000, 0.0731036000, 0.1674316000", \ - "0.0060645000, 0.0071901000, 0.0100384000, 0.0168099000, 0.0331173000, 0.0724007000, 0.1672775000", \ - "0.0061786000, 0.0073582000, 0.0101861000, 0.0168971000, 0.0331821000, 0.0721017000, 0.1679733000", \ - "0.0068360000, 0.0079906000, 0.0108037000, 0.0174080000, 0.0337567000, 0.0731498000, 0.1677673000"); - } - } - max_capacitance : 0.1007180000; - max_transition : 1.5003870000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012105800, 0.0029310000, 0.0070964100, 0.0171815000, 0.0415991000, 0.1007180000"); - values("0.1763624000, 0.1857819000, 0.2040678000, 0.2374749000, 0.3018691000, 0.4385980000, 0.7602293000", \ - "0.1794574000, 0.1888736000, 0.2071431000, 0.2405404000, 0.3049725000, 0.4417936000, 0.7638444000", \ - "0.1900600000, 0.1993968000, 0.2176404000, 0.2510717000, 0.3155275000, 0.4523510000, 0.7739515000", \ - "0.2159359000, 0.2251389000, 0.2434132000, 0.2769908000, 0.3414956000, 0.4783965000, 0.7999852000", \ - "0.2756515000, 0.2849200000, 0.3030534000, 0.3369009000, 0.4014337000, 0.5383634000, 0.8602285000", \ - "0.3875642000, 0.3981324000, 0.4184942000, 0.4555644000, 0.5234015000, 0.6620670000, 0.9839135000", \ - "0.5788878000, 0.5916742000, 0.6160840000, 0.6585785000, 0.7333266000, 0.8768503000, 1.2004733000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012105800, 0.0029310000, 0.0070964100, 0.0171815000, 0.0415991000, 0.1007180000"); - values("0.0615815000, 0.0696529000, 0.0880524000, 0.1311480000, 0.2339230000, 0.4835950000, 1.0862010000", \ - "0.0663801000, 0.0744264000, 0.0928343000, 0.1357416000, 0.2389741000, 0.4879553000, 1.0939740000", \ - "0.0776157000, 0.0856526000, 0.1040656000, 0.1471207000, 0.2504085000, 0.4995507000, 1.1037973000", \ - "0.0995399000, 0.1077896000, 0.1262558000, 0.1694715000, 0.2733658000, 0.5224394000, 1.1256217000", \ - "0.1292263000, 0.1376430000, 0.1561423000, 0.1994893000, 0.3032599000, 0.5529776000, 1.1563200000", \ - "0.1616967000, 0.1712183000, 0.1906433000, 0.2340459000, 0.3375079000, 0.5870903000, 1.1933926000", \ - "0.1765216000, 0.1890789000, 0.2128160000, 0.2574042000, 0.3595287000, 0.6099489000, 1.2134892000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012105800, 0.0029310000, 0.0070964100, 0.0171815000, 0.0415991000, 0.1007180000"); - values("0.0346363000, 0.0417261000, 0.0556031000, 0.0859088000, 0.1529244000, 0.3205994000, 0.7472264000", \ - "0.0346614000, 0.0418305000, 0.0558739000, 0.0857550000, 0.1526035000, 0.3204740000, 0.7457841000", \ - "0.0352202000, 0.0419976000, 0.0557336000, 0.0857598000, 0.1525293000, 0.3199694000, 0.7466817000", \ - "0.0350885000, 0.0413321000, 0.0558043000, 0.0856756000, 0.1528151000, 0.3198842000, 0.7442899000", \ - "0.0355498000, 0.0418594000, 0.0557434000, 0.0859137000, 0.1526099000, 0.3201930000, 0.7485137000", \ - "0.0427327000, 0.0496295000, 0.0646527000, 0.0937253000, 0.1583874000, 0.3228762000, 0.7439691000", \ - "0.0567764000, 0.0646563000, 0.0796595000, 0.1109452000, 0.1744735000, 0.3328701000, 0.7443479000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012105800, 0.0029310000, 0.0070964100, 0.0171815000, 0.0415991000, 0.1007180000"); - values("0.0256554000, 0.0357339000, 0.0606384000, 0.1216762000, 0.2695186000, 0.6282175000, 1.4936208000", \ - "0.0256225000, 0.0357492000, 0.0606193000, 0.1213465000, 0.2696376000, 0.6267064000, 1.4961646000", \ - "0.0255567000, 0.0357461000, 0.0605693000, 0.1214480000, 0.2691340000, 0.6279040000, 1.4961473000", \ - "0.0266223000, 0.0364679000, 0.0607769000, 0.1216072000, 0.2698867000, 0.6280256000, 1.4955546000", \ - "0.0293328000, 0.0384353000, 0.0623286000, 0.1221743000, 0.2695989000, 0.6278782000, 1.4960603000", \ - "0.0367535000, 0.0448171000, 0.0659851000, 0.1233663000, 0.2717556000, 0.6275499000, 1.4919836000", \ - "0.0510133000, 0.0591959000, 0.0784519000, 0.1292410000, 0.2716280000, 0.6305519000, 1.4912306000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012105800, 0.0029310000, 0.0070964100, 0.0171815000, 0.0415991000, 0.1007180000"); - values("0.1583602000, 0.1677635000, 0.1860364000, 0.2194197000, 0.2840540000, 0.4210598000, 0.7426325000", \ - "0.1602263000, 0.1696779000, 0.1877152000, 0.2213307000, 0.2859633000, 0.4230050000, 0.7443836000", \ - "0.1696740000, 0.1789970000, 0.1971966000, 0.2309731000, 0.2951766000, 0.4319935000, 0.7543228000", \ - "0.1969222000, 0.2062553000, 0.2244328000, 0.2582043000, 0.3228565000, 0.4598782000, 0.7815092000", \ - "0.2631488000, 0.2724869000, 0.2906398000, 0.3243913000, 0.3889495000, 0.5256700000, 0.8476436000", \ - "0.3847185000, 0.3955099000, 0.4164090000, 0.4528648000, 0.5205964000, 0.6584093000, 0.9781017000", \ - "0.5747414000, 0.5889363000, 0.6145348000, 0.6579023000, 0.7305566000, 0.8718795000, 1.1973899000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012105800, 0.0029310000, 0.0070964100, 0.0171815000, 0.0415991000, 0.1007180000"); - values("0.0584886000, 0.0668205000, 0.0857139000, 0.1291611000, 0.2336054000, 0.4849012000, 1.0987015000", \ - "0.0634104000, 0.0716941000, 0.0906027000, 0.1341107000, 0.2376334000, 0.4873598000, 1.0908946000", \ - "0.0746966000, 0.0828120000, 0.1015500000, 0.1453097000, 0.2497358000, 0.5017293000, 1.1085413000", \ - "0.0953077000, 0.1035489000, 0.1223722000, 0.1660418000, 0.2698876000, 0.5226027000, 1.1227008000", \ - "0.1229487000, 0.1313810000, 0.1503067000, 0.1940595000, 0.2980931000, 0.5493236000, 1.1516578000", \ - "0.1532548000, 0.1629162000, 0.1828966000, 0.2262473000, 0.3298166000, 0.5798950000, 1.1860914000", \ - "0.1660692000, 0.1795416000, 0.2047842000, 0.2495038000, 0.3518298000, 0.6029490000, 1.2054945000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012105800, 0.0029310000, 0.0070964100, 0.0171815000, 0.0415991000, 0.1007180000"); - values("0.0346382000, 0.0417364000, 0.0556245000, 0.0859077000, 0.1525298000, 0.3198130000, 0.7458965000", \ - "0.0346914000, 0.0413645000, 0.0557343000, 0.0857075000, 0.1525404000, 0.3208855000, 0.7454358000", \ - "0.0347770000, 0.0412382000, 0.0558618000, 0.0849101000, 0.1524197000, 0.3200956000, 0.7465738000", \ - "0.0347406000, 0.0418232000, 0.0558761000, 0.0850208000, 0.1522955000, 0.3207647000, 0.7444065000", \ - "0.0354685000, 0.0419913000, 0.0558675000, 0.0859584000, 0.1529852000, 0.3194923000, 0.7436866000", \ - "0.0466818000, 0.0531776000, 0.0658282000, 0.0950568000, 0.1591891000, 0.3229934000, 0.7454049000", \ - "0.0649452000, 0.0731818000, 0.0882639000, 0.1141230000, 0.1759659000, 0.3326363000, 0.7460114000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012105800, 0.0029310000, 0.0070964100, 0.0171815000, 0.0415991000, 0.1007180000"); - values("0.0253568000, 0.0354765000, 0.0604046000, 0.1213113000, 0.2713348000, 0.6296791000, 1.4979368000", \ - "0.0253053000, 0.0354249000, 0.0604011000, 0.1213614000, 0.2697006000, 0.6288370000, 1.4925992000", \ - "0.0253961000, 0.0354268000, 0.0602482000, 0.1214230000, 0.2714136000, 0.6342415000, 1.5003868000", \ - "0.0267326000, 0.0363350000, 0.0607404000, 0.1214586000, 0.2698556000, 0.6334566000, 1.4966314000", \ - "0.0298575000, 0.0389949000, 0.0622416000, 0.1222580000, 0.2692617000, 0.6279130000, 1.4984380000", \ - "0.0384091000, 0.0468630000, 0.0670056000, 0.1237365000, 0.2710524000, 0.6261306000, 1.4979931000", \ - "0.0544421000, 0.0625981000, 0.0817651000, 0.1308056000, 0.2715929000, 0.6307330000, 1.4915926000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or2_1") { - leakage_power () { - value : 0.0011453000; - when : "!A&B"; - } - leakage_power () { - value : 0.0052491000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0003472000; - when : "A&B"; - } - leakage_power () { - value : 0.0006548000; - when : "A&!B"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__or2"; - cell_leakage_power : 0.0018491090; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013990000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0016991000, 0.0016952000, 0.0016861000, 0.0016868000, 0.0016884000, 0.0016922000, 0.0017008000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001548400, -0.001572900, -0.001629600, -0.001631700, -0.001636800, -0.001648400, -0.001675300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015430000; - } - pin ("B") { - capacitance : 0.0014600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0011586000, 0.0011593000, 0.0011609000, 0.0011638000, 0.0011705000, 0.0011861000, 0.0012219000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000794900, -0.000792200, -0.000786100, -0.000786300, -0.000786700, -0.000787600, -0.000789600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015470000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013141250, 0.0034538490, 0.0090775780, 0.0238581500, 0.0627051700, 0.1648049000"); - values("0.0087511000, 0.0076230000, 0.0047725000, -0.003439600, -0.026861700, -0.089674700, -0.255092900", \ - "0.0085787000, 0.0074807000, 0.0046086000, -0.003591600, -0.027003100, -0.089815100, -0.255225900", \ - "0.0084325000, 0.0073182000, 0.0044629000, -0.003775900, -0.027164300, -0.089944600, -0.255396300", \ - "0.0082961000, 0.0071980000, 0.0043370000, -0.003933900, -0.027315900, -0.090093500, -0.255543200", \ - "0.0082388000, 0.0071105000, 0.0042080000, -0.004058200, -0.027415800, -0.090203200, -0.255548100", \ - "0.0082789000, 0.0069264000, 0.0039122000, -0.004189500, -0.027496700, -0.090211700, -0.255567800", \ - "0.0103488000, 0.0089717000, 0.0054611000, -0.003629400, -0.027458800, -0.090058000, -0.255343200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013141250, 0.0034538490, 0.0090775780, 0.0238581500, 0.0627051700, 0.1648049000"); - values("0.0097495000, 0.0111331000, 0.0146715000, 0.0237249000, 0.0473518000, 0.1094593000, 0.2742627000", \ - "0.0097120000, 0.0110959000, 0.0146340000, 0.0237185000, 0.0473332000, 0.1094263000, 0.2728284000", \ - "0.0096763000, 0.0110587000, 0.0145988000, 0.0237014000, 0.0474033000, 0.1095175000, 0.2727934000", \ - "0.0094906000, 0.0108586000, 0.0143968000, 0.0235164000, 0.0472962000, 0.1094352000, 0.2727347000", \ - "0.0095747000, 0.0108705000, 0.0142812000, 0.0233855000, 0.0471173000, 0.1099121000, 0.2740403000", \ - "0.0097207000, 0.0110245000, 0.0144245000, 0.0234227000, 0.0472605000, 0.1091033000, 0.2737186000", \ - "0.0104283000, 0.0117204000, 0.0151231000, 0.0240760000, 0.0479287000, 0.1105528000, 0.2737275000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013141250, 0.0034538490, 0.0090775780, 0.0238581500, 0.0627051700, 0.1648049000"); - values("0.0082543000, 0.0071377000, 0.0042442000, -0.004001300, -0.027349400, -0.090080500, -0.255472300", \ - "0.0080940000, 0.0069730000, 0.0040709000, -0.004177400, -0.027471000, -0.090246600, -0.255596300", \ - "0.0078569000, 0.0067459000, 0.0039290000, -0.004339000, -0.027656200, -0.090348700, -0.255735800", \ - "0.0078059000, 0.0066803000, 0.0037939000, -0.004482100, -0.027810500, -0.090487800, -0.255847000", \ - "0.0077357000, 0.0066013000, 0.0037043000, -0.004546900, -0.027863600, -0.090558100, -0.255879400", \ - "0.0079333000, 0.0065690000, 0.0036507000, -0.004354400, -0.027679300, -0.090323400, -0.255617600", \ - "0.0107688000, 0.0093067000, 0.0057126000, -0.003410300, -0.027077000, -0.089782100, -0.255106500"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013141250, 0.0034538490, 0.0090775780, 0.0238581500, 0.0627051700, 0.1648049000"); - values("0.0084894000, 0.0099410000, 0.0136339000, 0.0229110000, 0.0467625000, 0.1090635000, 0.2728356000", \ - "0.0084722000, 0.0099175000, 0.0135897000, 0.0228785000, 0.0466963000, 0.1096809000, 0.2728079000", \ - "0.0083556000, 0.0097748000, 0.0134220000, 0.0226983000, 0.0466430000, 0.1090811000, 0.2709730000", \ - "0.0081589000, 0.0095426000, 0.0131352000, 0.0223344000, 0.0465668000, 0.1087034000, 0.2732563000", \ - "0.0080789000, 0.0093996000, 0.0128878000, 0.0220465000, 0.0458684000, 0.1084540000, 0.2723880000", \ - "0.0083005000, 0.0096276000, 0.0131391000, 0.0220997000, 0.0460528000, 0.1081643000, 0.2734369000", \ - "0.0089787000, 0.0102675000, 0.0136960000, 0.0225976000, 0.0465763000, 0.1090968000, 0.2708041000"); - } - } - max_capacitance : 0.1648050000; - max_transition : 1.5039330000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.1885570000, 0.1967329000, 0.2137609000, 0.2465727000, 0.3096559000, 0.4472908000, 0.7900760000", \ - "0.1921658000, 0.2003849000, 0.2173940000, 0.2502158000, 0.3133291000, 0.4509860000, 0.7937117000", \ - "0.2029708000, 0.2111444000, 0.2281230000, 0.2605031000, 0.3241256000, 0.4616270000, 0.8043309000", \ - "0.2288256000, 0.2369827000, 0.2537751000, 0.2864597000, 0.3498697000, 0.4875034000, 0.8303160000", \ - "0.2891534000, 0.2972978000, 0.3141017000, 0.3466933000, 0.4101576000, 0.5478143000, 0.8909676000", \ - "0.4071170000, 0.4161204000, 0.4348515000, 0.4704004000, 0.5367395000, 0.6759890000, 1.0189599000", \ - "0.6096464000, 0.6206511000, 0.6430316000, 0.6841642000, 0.7580209000, 0.9036409000, 1.2482991000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.0606584000, 0.0667303000, 0.0812065000, 0.1170958000, 0.2100643000, 0.4544231000, 1.0969585000", \ - "0.0654413000, 0.0714631000, 0.0859651000, 0.1219462000, 0.2154799000, 0.4592306000, 1.1014806000", \ - "0.0768204000, 0.0828264000, 0.0972657000, 0.1333217000, 0.2266203000, 0.4710119000, 1.1130344000", \ - "0.1000667000, 0.1061938000, 0.1209573000, 0.1571134000, 0.2505297000, 0.4949939000, 1.1370527000", \ - "0.1324917000, 0.1391732000, 0.1542614000, 0.1905411000, 0.2840900000, 0.5291869000, 1.1725140000", \ - "0.1694259000, 0.1774252000, 0.1942096000, 0.2313391000, 0.3249934000, 0.5693116000, 1.2141267000", \ - "0.1898187000, 0.2009422000, 0.2229211000, 0.2639137000, 0.3565406000, 0.6012132000, 1.2422180000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.0351217000, 0.0404132000, 0.0529538000, 0.0782329000, 0.1401990000, 0.3012652000, 0.7500821000", \ - "0.0354998000, 0.0404281000, 0.0523141000, 0.0782535000, 0.1402663000, 0.3012846000, 0.7503353000", \ - "0.0354863000, 0.0404110000, 0.0529536000, 0.0795540000, 0.1396810000, 0.3014571000, 0.7518903000", \ - "0.0351675000, 0.0404645000, 0.0531877000, 0.0783740000, 0.1400409000, 0.3012023000, 0.7526389000", \ - "0.0352480000, 0.0407390000, 0.0531678000, 0.0792204000, 0.1394218000, 0.3017965000, 0.7518703000", \ - "0.0419130000, 0.0476728000, 0.0606796000, 0.0858393000, 0.1450942000, 0.3037537000, 0.7481787000", \ - "0.0564095000, 0.0631310000, 0.0767656000, 0.1032268000, 0.1624601000, 0.3150285000, 0.7526586000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.0213339000, 0.0282554000, 0.0467501000, 0.0967826000, 0.2293902000, 0.5791351000, 1.4999162000", \ - "0.0212972000, 0.0282223000, 0.0467208000, 0.0966066000, 0.2296813000, 0.5797262000, 1.5007542000", \ - "0.0212675000, 0.0282461000, 0.0466596000, 0.0967731000, 0.2300418000, 0.5799531000, 1.4990396000", \ - "0.0225471000, 0.0291843000, 0.0472445000, 0.0967275000, 0.2301149000, 0.5798923000, 1.4986704000", \ - "0.0262805000, 0.0325062000, 0.0495763000, 0.0978610000, 0.2292355000, 0.5802497000, 1.5010759000", \ - "0.0350784000, 0.0405296000, 0.0560402000, 0.1004522000, 0.2306328000, 0.5786286000, 1.4962728000", \ - "0.0498152000, 0.0562453000, 0.0709956000, 0.1105112000, 0.2325119000, 0.5810062000, 1.4965984000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.1725024000, 0.1807214000, 0.1975789000, 0.2300190000, 0.2936742000, 0.4313658000, 0.7740153000", \ - "0.1747615000, 0.1829425000, 0.1999538000, 0.2327836000, 0.2960770000, 0.4337454000, 0.7767676000", \ - "0.1838135000, 0.1919695000, 0.2089424000, 0.2422760000, 0.3055629000, 0.4432229000, 0.7861940000", \ - "0.2113854000, 0.2195204000, 0.2364669000, 0.2690458000, 0.3325147000, 0.4702345000, 0.8134619000", \ - "0.2781289000, 0.2862367000, 0.3030397000, 0.3354551000, 0.3987513000, 0.5362347000, 0.8795527000", \ - "0.4083667000, 0.4177356000, 0.4367285000, 0.4726634000, 0.5391275000, 0.6767729000, 1.0169230000", \ - "0.6129210000, 0.6246679000, 0.6486406000, 0.6917883000, 0.7654746000, 0.9085681000, 1.2559803000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.0579326000, 0.0641358000, 0.0791173000, 0.1158307000, 0.2095437000, 0.4545883000, 1.0981663000", \ - "0.0629153000, 0.0690744000, 0.0840046000, 0.1207190000, 0.2150091000, 0.4594520000, 1.1033883000", \ - "0.0744533000, 0.0805720000, 0.0953855000, 0.1320298000, 0.2266665000, 0.4723351000, 1.1133799000", \ - "0.0968581000, 0.1030780000, 0.1179816000, 0.1543662000, 0.2483415000, 0.4933628000, 1.1421231000", \ - "0.1275228000, 0.1342806000, 0.1497385000, 0.1863415000, 0.2804573000, 0.5262141000, 1.1706767000", \ - "0.1621938000, 0.1709321000, 0.1883525000, 0.2254935000, 0.3196099000, 0.5643052000, 1.2108743000", \ - "0.1816310000, 0.1935191000, 0.2165675000, 0.2582122000, 0.3517417000, 0.5972294000, 1.2386342000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.0352641000, 0.0404086000, 0.0530873000, 0.0795520000, 0.1398433000, 0.3010925000, 0.7545841000", \ - "0.0355082000, 0.0406922000, 0.0529699000, 0.0784318000, 0.1397742000, 0.3010736000, 0.7526101000", \ - "0.0355388000, 0.0404538000, 0.0529685000, 0.0784231000, 0.1397830000, 0.3014254000, 0.7513842000", \ - "0.0350959000, 0.0404328000, 0.0522715000, 0.0784445000, 0.1396808000, 0.3008172000, 0.7521206000", \ - "0.0354430000, 0.0408218000, 0.0525358000, 0.0793372000, 0.1400921000, 0.3012807000, 0.7522023000", \ - "0.0457443000, 0.0513731000, 0.0632048000, 0.0873317000, 0.1458170000, 0.3042554000, 0.7512725000", \ - "0.0647621000, 0.0713586000, 0.0848408000, 0.1100384000, 0.1649603000, 0.3164804000, 0.7508084000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013141300, 0.0034538500, 0.0090775800, 0.0238581000, 0.0627052000, 0.1648050000"); - values("0.0210840000, 0.0278960000, 0.0464757000, 0.0964302000, 0.2300640000, 0.5814682000, 1.5017231000", \ - "0.0210332000, 0.0278695000, 0.0464918000, 0.0966799000, 0.2302872000, 0.5823967000, 1.5039329000", \ - "0.0210797000, 0.0279787000, 0.0464735000, 0.0965308000, 0.2311779000, 0.5831457000, 1.4957454000", \ - "0.0226052000, 0.0291019000, 0.0471080000, 0.0966836000, 0.2308331000, 0.5788414000, 1.5038325000", \ - "0.0269438000, 0.0329636000, 0.0497414000, 0.0978488000, 0.2291676000, 0.5811118000, 1.5037793000", \ - "0.0363459000, 0.0422262000, 0.0569303000, 0.1009877000, 0.2307311000, 0.5785094000, 1.5020215000", \ - "0.0525442000, 0.0593926000, 0.0741040000, 0.1126650000, 0.2328960000, 0.5806195000, 1.4941048000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or2_2") { - leakage_power () { - value : 0.0015006000; - when : "!A&B"; - } - leakage_power () { - value : 0.0063313000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0007598000; - when : "A&B"; - } - leakage_power () { - value : 0.0010264000; - when : "A&!B"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__or2"; - cell_leakage_power : 0.0024045480; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014920000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0016826000, 0.0016804000, 0.0016754000, 0.0016757000, 0.0016764000, 0.0016781000, 0.0016821000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001530000, -0.001556600, -0.001618000, -0.001620200, -0.001625200, -0.001636600, -0.001662900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015700000; - } - pin ("B") { - capacitance : 0.0014080000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013210000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0011417000, 0.0011424000, 0.0011438000, 0.0011466000, 0.0011531000, 0.0011680000, 0.0012024000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000797900, -0.000791200, -0.000775900, -0.000775500, -0.000774500, -0.000772300, -0.000767200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014960000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0120788000, 0.0103773000, 0.0062161000, -0.005360400, -0.041075200, -0.149829400, -0.467676200", \ - "0.0118848000, 0.0102591000, 0.0062133000, -0.005342100, -0.041272300, -0.149991700, -0.467727000", \ - "0.0118117000, 0.0101892000, 0.0061587000, -0.005629600, -0.041449500, -0.150149800, -0.467978200", \ - "0.0116374000, 0.0099893000, 0.0059842000, -0.005772700, -0.041628900, -0.150290600, -0.468028100", \ - "0.0114753000, 0.0098043000, 0.0056357000, -0.005953200, -0.041846100, -0.150463900, -0.468174300", \ - "0.0116266000, 0.0099520000, 0.0057741000, -0.005905500, -0.041950700, -0.150563900, -0.468271700", \ - "0.0159047000, 0.0140259000, 0.0091060000, -0.003893500, -0.041768500, -0.150355800, -0.468143000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0145051000, 0.0161690000, 0.0208995000, 0.0340815000, 0.0716001000, 0.1796496000, 0.4941026000", \ - "0.0144760000, 0.0161595000, 0.0208736000, 0.0340529000, 0.0716115000, 0.1796022000, 0.4941779000", \ - "0.0144614000, 0.0161238000, 0.0208301000, 0.0340291000, 0.0715785000, 0.1806068000, 0.4951681000", \ - "0.0144797000, 0.0160918000, 0.0206743000, 0.0338051000, 0.0714524000, 0.1795565000, 0.4941449000", \ - "0.0143820000, 0.0159512000, 0.0205829000, 0.0334171000, 0.0710998000, 0.1798286000, 0.4959128000", \ - "0.0148311000, 0.0163490000, 0.0208399000, 0.0337780000, 0.0710993000, 0.1788636000, 0.4935389000", \ - "0.0157354000, 0.0171985000, 0.0214592000, 0.0343727000, 0.0717702000, 0.1805627000, 0.4943540000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0114575000, 0.0097599000, 0.0057411000, -0.005797700, -0.041753700, -0.150328000, -0.468115900", \ - "0.0113466000, 0.0096656000, 0.0054710000, -0.006062100, -0.041894500, -0.150435300, -0.468207500", \ - "0.0111257000, 0.0094846000, 0.0054586000, -0.006202100, -0.042034800, -0.150579500, -0.468289500", \ - "0.0109904000, 0.0093233000, 0.0051907000, -0.006389900, -0.042256800, -0.150762700, -0.468557600", \ - "0.0109085000, 0.0093373000, 0.0051023000, -0.006504100, -0.042383700, -0.150941800, -0.468622600", \ - "0.0114038000, 0.0096909000, 0.0053268000, -0.006467100, -0.042466000, -0.151021100, -0.468587400", \ - "0.0170604000, 0.0144686000, 0.0093600000, -0.004147400, -0.042170600, -0.150007500, -0.467908100"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014516010, 0.0042142900, 0.0122349400, 0.0355204900, 0.1031232000, 0.2993873000"); - values("0.0132471000, 0.0149386000, 0.0197743000, 0.0332091000, 0.0710418000, 0.1798216000, 0.4948538000", \ - "0.0132581000, 0.0149562000, 0.0197662000, 0.0331984000, 0.0710498000, 0.1798788000, 0.4948705000", \ - "0.0131853000, 0.0148773000, 0.0196289000, 0.0330599000, 0.0709137000, 0.1805543000, 0.4944103000", \ - "0.0131051000, 0.0147492000, 0.0194289000, 0.0326314000, 0.0705013000, 0.1796326000, 0.4948835000", \ - "0.0130644000, 0.0146312000, 0.0193311000, 0.0323646000, 0.0699752000, 0.1792295000, 0.4941528000", \ - "0.0135612000, 0.0150794000, 0.0195930000, 0.0324268000, 0.0700201000, 0.1776363000, 0.4956969000", \ - "0.0143901000, 0.0158542000, 0.0201484000, 0.0330783000, 0.0704286000, 0.1789704000, 0.4908659000"); - } - } - max_capacitance : 0.2993870000; - max_transition : 1.5089130000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.2581992000, 0.2659441000, 0.2835384000, 0.3184426000, 0.3841776000, 0.5173852000, 0.8457473000", \ - "0.2621977000, 0.2700823000, 0.2877033000, 0.3224540000, 0.3876399000, 0.5215279000, 0.8500431000", \ - "0.2730849000, 0.2808617000, 0.2983238000, 0.3332686000, 0.3983937000, 0.5322251000, 0.8608260000", \ - "0.2984449000, 0.3062414000, 0.3238166000, 0.3586846000, 0.4240831000, 0.5575783000, 0.8860860000", \ - "0.3588159000, 0.3665519000, 0.3840491000, 0.4188813000, 0.4845536000, 0.6184215000, 0.9468692000", \ - "0.4933755000, 0.5013927000, 0.5191418000, 0.5549174000, 0.6211490000, 0.7553806000, 1.0843358000", \ - "0.7347123000, 0.7438896000, 0.7650136000, 0.8065323000, 0.8812446000, 1.0240232000, 1.3567509000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0713188000, 0.0763226000, 0.0885808000, 0.1185377000, 0.1998799000, 0.4325123000, 1.1095543000", \ - "0.0760580000, 0.0810677000, 0.0933266000, 0.1233507000, 0.2044914000, 0.4375657000, 1.1143780000", \ - "0.0874170000, 0.0924229000, 0.1046674000, 0.1346068000, 0.2161377000, 0.4500103000, 1.1243295000", \ - "0.1134161000, 0.1184156000, 0.1305631000, 0.1604561000, 0.2414606000, 0.4747392000, 1.1515161000", \ - "0.1544722000, 0.1603245000, 0.1735274000, 0.2041899000, 0.2855243000, 0.5191144000, 1.1972010000", \ - "0.2032259000, 0.2108271000, 0.2273748000, 0.2606658000, 0.3421095000, 0.5754826000, 1.2548600000", \ - "0.2430948000, 0.2533028000, 0.2752512000, 0.3167021000, 0.4005364000, 0.6339825000, 1.3088391000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0446078000, 0.0490963000, 0.0601314000, 0.0827737000, 0.1358420000, 0.2717052000, 0.6865765000", \ - "0.0443285000, 0.0489429000, 0.0605116000, 0.0837685000, 0.1357620000, 0.2714152000, 0.6862692000", \ - "0.0446053000, 0.0493997000, 0.0606075000, 0.0836438000, 0.1357088000, 0.2715762000, 0.6863433000", \ - "0.0446618000, 0.0491042000, 0.0604816000, 0.0828428000, 0.1346898000, 0.2713684000, 0.6859978000", \ - "0.0445019000, 0.0490085000, 0.0605136000, 0.0829688000, 0.1346150000, 0.2713987000, 0.6863458000", \ - "0.0488782000, 0.0534650000, 0.0641215000, 0.0871296000, 0.1371023000, 0.2725560000, 0.6865020000", \ - "0.0634284000, 0.0684718000, 0.0799390000, 0.1043605000, 0.1576632000, 0.2880998000, 0.6900388000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0188354000, 0.0235194000, 0.0363736000, 0.0742650000, 0.1891619000, 0.5246275000, 1.5021597000", \ - "0.0188950000, 0.0234545000, 0.0363326000, 0.0744267000, 0.1889338000, 0.5250380000, 1.5019634000", \ - "0.0188601000, 0.0234467000, 0.0363189000, 0.0744126000, 0.1890562000, 0.5261092000, 1.4990925000", \ - "0.0194112000, 0.0239216000, 0.0367769000, 0.0744993000, 0.1890201000, 0.5250791000, 1.5021121000", \ - "0.0246295000, 0.0287204000, 0.0406244000, 0.0768390000, 0.1890700000, 0.5251749000, 1.5031717000", \ - "0.0348240000, 0.0393226000, 0.0505096000, 0.0829433000, 0.1914297000, 0.5249869000, 1.5001828000", \ - "0.0493748000, 0.0558342000, 0.0691154000, 0.0997122000, 0.1970465000, 0.5267373000, 1.4966807000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.2403647000, 0.2480923000, 0.2655791000, 0.3003448000, 0.3655690000, 0.4992520000, 0.8280673000", \ - "0.2432186000, 0.2510279000, 0.2685542000, 0.3035476000, 0.3685962000, 0.5023267000, 0.8311296000", \ - "0.2524741000, 0.2603462000, 0.2780121000, 0.3127829000, 0.3781849000, 0.5116830000, 0.8405988000", \ - "0.2789861000, 0.2868830000, 0.3044273000, 0.3392457000, 0.4047797000, 0.5381772000, 0.8672019000", \ - "0.3450072000, 0.3528791000, 0.3703521000, 0.4051864000, 0.4708685000, 0.6046736000, 0.9334157000", \ - "0.4964581000, 0.5042758000, 0.5225630000, 0.5580861000, 0.6244912000, 0.7584692000, 1.0865192000", \ - "0.7503539000, 0.7594830000, 0.7820207000, 0.8262838000, 0.9035263000, 1.0446284000, 1.3757681000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0686885000, 0.0737568000, 0.0862033000, 0.1166465000, 0.1983737000, 0.4324949000, 1.1116973000", \ - "0.0736673000, 0.0787299000, 0.0911726000, 0.1216114000, 0.2032572000, 0.4376247000, 1.1161827000", \ - "0.0852846000, 0.0903478000, 0.1027225000, 0.1330805000, 0.2152232000, 0.4499492000, 1.1240961000", \ - "0.1112313000, 0.1163075000, 0.1286525000, 0.1587762000, 0.2406471000, 0.4756405000, 1.1563170000", \ - "0.1513301000, 0.1571537000, 0.1707281000, 0.2018320000, 0.2833531000, 0.5169410000, 1.1928617000", \ - "0.2000938000, 0.2078907000, 0.2249485000, 0.2584896000, 0.3403794000, 0.5738467000, 1.2544695000", \ - "0.2434090000, 0.2540873000, 0.2768175000, 0.3189637000, 0.4045482000, 0.6371429000, 1.3111180000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0447000000, 0.0489925000, 0.0604030000, 0.0836413000, 0.1361532000, 0.2715854000, 0.6862977000", \ - "0.0445846000, 0.0491364000, 0.0601028000, 0.0837654000, 0.1358941000, 0.2717921000, 0.6862448000", \ - "0.0446348000, 0.0489769000, 0.0598011000, 0.0838772000, 0.1355212000, 0.2720769000, 0.6850505000", \ - "0.0443286000, 0.0491080000, 0.0597124000, 0.0838652000, 0.1354851000, 0.2713446000, 0.6862766000", \ - "0.0443910000, 0.0494451000, 0.0597732000, 0.0838040000, 0.1359325000, 0.2708228000, 0.6864510000", \ - "0.0504558000, 0.0549936000, 0.0654204000, 0.0868267000, 0.1375533000, 0.2725012000, 0.6866572000", \ - "0.0743741000, 0.0795182000, 0.0919842000, 0.1158007000, 0.1620210000, 0.2913545000, 0.6922985000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014516000, 0.0042142900, 0.0122349000, 0.0355205000, 0.1031230000, 0.2993870000"); - values("0.0188664000, 0.0233968000, 0.0362221000, 0.0742630000, 0.1885699000, 0.5266310000, 1.5067183000", \ - "0.0188809000, 0.0234253000, 0.0362303000, 0.0742108000, 0.1886909000, 0.5268023000, 1.5070964000", \ - "0.0186979000, 0.0234071000, 0.0363190000, 0.0742256000, 0.1887504000, 0.5277248000, 1.5049226000", \ - "0.0195899000, 0.0240420000, 0.0367521000, 0.0744673000, 0.1890473000, 0.5269217000, 1.5089129000", \ - "0.0252639000, 0.0294440000, 0.0411745000, 0.0768718000, 0.1891882000, 0.5261664000, 1.5046032000", \ - "0.0355028000, 0.0404628000, 0.0514606000, 0.0837211000, 0.1912700000, 0.5248713000, 1.5059021000", \ - "0.0512317000, 0.0578231000, 0.0714642000, 0.1031087000, 0.1981585000, 0.5264952000, 1.4980674000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or2_4") { - leakage_power () { - value : 0.0039006000; - when : "!A&B"; - } - leakage_power () { - value : 0.0051014000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0019054000; - when : "A&B"; - } - leakage_power () { - value : 0.0024409000; - when : "A&!B"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__or2"; - cell_leakage_power : 0.0033370700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024100000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022750000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038017000, 0.0037975000, 0.0037879000, 0.0037856000, 0.0037805000, 0.0037685000, 0.0037411000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003393700, -0.003474400, -0.003660400, -0.003663000, -0.003669000, -0.003682800, -0.003714600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025450000; - } - pin ("B") { - capacitance : 0.0023670000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025058000, 0.0025020000, 0.0024934000, 0.0025005000, 0.0025169000, 0.0025548000, 0.0026421000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001705600, -0.001714800, -0.001735800, -0.001737300, -0.001740800, -0.001748800, -0.001767400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025440000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000"); - values("0.0232723000, 0.0214184000, 0.0162580000, 0.0003805000, -0.052333100, -0.229904000, -0.800944800", \ - "0.0230936000, 0.0212606000, 0.0157738000, 0.0002076000, -0.052466300, -0.230045500, -0.801080000", \ - "0.0228989000, 0.0210645000, 0.0157223000, 0.0002486000, -0.052504200, -0.230369400, -0.801237100", \ - "0.0226394000, 0.0209322000, 0.0153566000, -0.000267100, -0.052968100, -0.230539700, -0.801379000", \ - "0.0224637000, 0.0206198000, 0.0151345000, -0.000536500, -0.053238000, -0.230811600, -0.801492300", \ - "0.0227526000, 0.0210745000, 0.0154117000, -0.000551000, -0.053486100, -0.231058100, -0.801681200", \ - "0.0303365000, 0.0282261000, 0.0221180000, 0.0037531000, -0.051704500, -0.230395000, -0.801104500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000"); - values("0.0286235000, 0.0305126000, 0.0363910000, 0.0545969000, 0.1112136000, 0.2889002000, 0.8544917000", \ - "0.0285417000, 0.0304530000, 0.0363906000, 0.0546310000, 0.1111845000, 0.2894908000, 0.8539360000", \ - "0.0284986000, 0.0304123000, 0.0363358000, 0.0546450000, 0.1112311000, 0.2894023000, 0.8537122000", \ - "0.0284570000, 0.0302706000, 0.0361273000, 0.0543756000, 0.1109699000, 0.2891574000, 0.8533447000", \ - "0.0284386000, 0.0302695000, 0.0359359000, 0.0538503000, 0.1104723000, 0.2890010000, 0.8528260000", \ - "0.0291942000, 0.0308635000, 0.0364804000, 0.0543278000, 0.1101187000, 0.2878113000, 0.8524655000", \ - "0.0309683000, 0.0326149000, 0.0381295000, 0.0555754000, 0.1117895000, 0.2895769000, 0.8524368000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000"); - values("0.0221650000, 0.0203423000, 0.0148669000, -0.000693100, -0.053153000, -0.230833200, -0.801648600", \ - "0.0220521000, 0.0202065000, 0.0150642000, -0.000604100, -0.053263000, -0.231078000, -0.801725500", \ - "0.0219977000, 0.0201246000, 0.0146369000, -0.001035500, -0.053663400, -0.231161600, -0.802038400", \ - "0.0216519000, 0.0198016000, 0.0143119000, -0.001174700, -0.053884000, -0.231488500, -0.802248100", \ - "0.0216355000, 0.0197584000, 0.0142501000, -0.001457200, -0.053992700, -0.231630500, -0.802121300", \ - "0.0223833000, 0.0204426000, 0.0147362000, -0.001384100, -0.053886300, -0.231392700, -0.801809900", \ - "0.0324177000, 0.0302202000, 0.0241752000, 0.0056002000, -0.052254300, -0.230513900, -0.800898400"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015887090, 0.0050479920, 0.0160395800, 0.0509644400, 0.1619353000, 0.5145362000"); - values("0.0255991000, 0.0274595000, 0.0334881000, 0.0522884000, 0.1097284000, 0.2871626000, 0.8500438000", \ - "0.0256228000, 0.0275842000, 0.0335285000, 0.0523005000, 0.1097024000, 0.2887230000, 0.8551200000", \ - "0.0254512000, 0.0274014000, 0.0334704000, 0.0521393000, 0.1095297000, 0.2885820000, 0.8503954000", \ - "0.0252886000, 0.0271336000, 0.0331422000, 0.0515506000, 0.1088528000, 0.2865570000, 0.8506712000", \ - "0.0253141000, 0.0271758000, 0.0330240000, 0.0511925000, 0.1077119000, 0.2862281000, 0.8491875000", \ - "0.0262430000, 0.0280133000, 0.0337712000, 0.0515624000, 0.1072580000, 0.2858998000, 0.8528188000", \ - "0.0278329000, 0.0295232000, 0.0350044000, 0.0524900000, 0.1091695000, 0.2869061000, 0.8501583000"); - } - } - max_capacitance : 0.5145360000; - max_transition : 1.5082430000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000"); - values("0.2137088000, 0.2183824000, 0.2302343000, 0.2566178000, 0.3098437000, 0.4239624000, 0.7291177000", \ - "0.2187554000, 0.2234216000, 0.2352952000, 0.2616577000, 0.3148669000, 0.4290656000, 0.7342330000", \ - "0.2309985000, 0.2356463000, 0.2474871000, 0.2738701000, 0.3268787000, 0.4414097000, 0.7460039000", \ - "0.2581295000, 0.2627427000, 0.2745648000, 0.3008716000, 0.3541238000, 0.4684144000, 0.7734041000", \ - "0.3211711000, 0.3258127000, 0.3376285000, 0.3638801000, 0.4172704000, 0.5319142000, 0.8371943000", \ - "0.4551697000, 0.4605309000, 0.4731016000, 0.5009789000, 0.5561339000, 0.6720682000, 0.9769994000", \ - "0.6913723000, 0.6969356000, 0.7119864000, 0.7445384000, 0.8077465000, 0.9333941000, 1.2433057000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000"); - values("0.0807491000, 0.0842950000, 0.0939403000, 0.1194046000, 0.1919323000, 0.4165965000, 1.1299173000", \ - "0.0853266000, 0.0888770000, 0.0985615000, 0.1240040000, 0.1964740000, 0.4213961000, 1.1349703000", \ - "0.0961611000, 0.0997108000, 0.1093915000, 0.1348787000, 0.2074188000, 0.4330450000, 1.1449347000", \ - "0.1220859000, 0.1255382000, 0.1351539000, 0.1604682000, 0.2330520000, 0.4588213000, 1.1705484000", \ - "0.1655284000, 0.1695724000, 0.1797901000, 0.2061790000, 0.2787501000, 0.5037983000, 1.2161099000", \ - "0.2169357000, 0.2217929000, 0.2351352000, 0.2643510000, 0.3377413000, 0.5629877000, 1.2765985000", \ - "0.2575608000, 0.2645745000, 0.2821473000, 0.3190986000, 0.3965888000, 0.6204084000, 1.3318943000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000"); - values("0.0383121000, 0.0406893000, 0.0481218000, 0.0652495000, 0.1061489000, 0.2230022000, 0.6095994000", \ - "0.0383303000, 0.0407013000, 0.0476939000, 0.0652069000, 0.1060085000, 0.2230218000, 0.6096217000", \ - "0.0383184000, 0.0406621000, 0.0477649000, 0.0645976000, 0.1056722000, 0.2222116000, 0.6105005000", \ - "0.0381354000, 0.0410542000, 0.0481913000, 0.0652971000, 0.1059275000, 0.2224328000, 0.6104021000", \ - "0.0383090000, 0.0406336000, 0.0481101000, 0.0645462000, 0.1059201000, 0.2223047000, 0.6105104000", \ - "0.0441205000, 0.0463884000, 0.0541662000, 0.0701226000, 0.1100020000, 0.2239820000, 0.6115812000", \ - "0.0597725000, 0.0625045000, 0.0702132000, 0.0884335000, 0.1302642000, 0.2414170000, 0.6149622000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000"); - values("0.0205169000, 0.0236607000, 0.0331820000, 0.0631427000, 0.1625263000, 0.4828211000, 1.5039689000", \ - "0.0204463000, 0.0235243000, 0.0331120000, 0.0631037000, 0.1621676000, 0.4837327000, 1.5046411000", \ - "0.0205054000, 0.0235554000, 0.0331820000, 0.0631510000, 0.1620977000, 0.4840823000, 1.5018004000", \ - "0.0206719000, 0.0238621000, 0.0333611000, 0.0631143000, 0.1625672000, 0.4839442000, 1.5014426000", \ - "0.0255581000, 0.0284943000, 0.0377331000, 0.0658835000, 0.1628919000, 0.4828858000, 1.4991135000", \ - "0.0356656000, 0.0390992000, 0.0472201000, 0.0730005000, 0.1655779000, 0.4825906000, 1.4997319000", \ - "0.0514482000, 0.0554553000, 0.0660413000, 0.0909883000, 0.1732682000, 0.4863337000, 1.4997638000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000"); - values("0.1976790000, 0.2022558000, 0.2141532000, 0.2405277000, 0.2936560000, 0.4081665000, 0.7131666000", \ - "0.2011334000, 0.2058005000, 0.2176500000, 0.2440178000, 0.2970023000, 0.4117454000, 0.7164324000", \ - "0.2109617000, 0.2155853000, 0.2274386000, 0.2537543000, 0.3071902000, 0.4214349000, 0.7265234000", \ - "0.2377717000, 0.2424574000, 0.2543642000, 0.2805555000, 0.3333799000, 0.4480584000, 0.7529937000", \ - "0.3040399000, 0.3086079000, 0.3203694000, 0.3466420000, 0.3993192000, 0.5143097000, 0.8194251000", \ - "0.4448855000, 0.4499710000, 0.4630451000, 0.4913479000, 0.5472223000, 0.6634500000, 0.9690264000", \ - "0.6713215000, 0.6775635000, 0.6937186000, 0.7296629000, 0.7964133000, 0.9211518000, 1.2306777000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000"); - values("0.0766843000, 0.0801989000, 0.0899554000, 0.1158205000, 0.1890230000, 0.4144321000, 1.1272705000", \ - "0.0815329000, 0.0851001000, 0.0947761000, 0.1206416000, 0.1939330000, 0.4198064000, 1.1349165000", \ - "0.0926256000, 0.0961906000, 0.1059665000, 0.1317210000, 0.2047044000, 0.4308927000, 1.1442847000", \ - "0.1185782000, 0.1221283000, 0.1318177000, 0.1573357000, 0.2301930000, 0.4560837000, 1.1812580000", \ - "0.1610377000, 0.1650624000, 0.1757984000, 0.2021894000, 0.2748573000, 0.5002060000, 1.2139014000", \ - "0.2117351000, 0.2171271000, 0.2307981000, 0.2602074000, 0.3340081000, 0.5587384000, 1.2748960000", \ - "0.2556143000, 0.2628765000, 0.2811337000, 0.3187899000, 0.3978068000, 0.6220622000, 1.3330393000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000"); - values("0.0382047000, 0.0408590000, 0.0479365000, 0.0652887000, 0.1050214000, 0.2226141000, 0.6099038000", \ - "0.0383267000, 0.0406863000, 0.0481449000, 0.0653628000, 0.1058043000, 0.2230118000, 0.6105391000", \ - "0.0382338000, 0.0409847000, 0.0480260000, 0.0644885000, 0.1057845000, 0.2229854000, 0.6095013000", \ - "0.0383132000, 0.0406645000, 0.0477107000, 0.0645892000, 0.1068408000, 0.2227683000, 0.6100032000", \ - "0.0382028000, 0.0410356000, 0.0482171000, 0.0651870000, 0.1066169000, 0.2226540000, 0.6101659000", \ - "0.0477268000, 0.0505309000, 0.0576597000, 0.0734782000, 0.1115982000, 0.2247476000, 0.6100723000", \ - "0.0709782000, 0.0738544000, 0.0819397000, 0.1005786000, 0.1384347000, 0.2446590000, 0.6169061000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015887100, 0.0050479900, 0.0160396000, 0.0509644000, 0.1619350000, 0.5145360000"); - values("0.0202628000, 0.0233756000, 0.0328938000, 0.0627924000, 0.1618441000, 0.4825502000, 1.5002392000", \ - "0.0202157000, 0.0232617000, 0.0328277000, 0.0627250000, 0.1617813000, 0.4840375000, 1.5082426000", \ - "0.0203363000, 0.0233693000, 0.0328515000, 0.0628739000, 0.1621113000, 0.4858338000, 1.5028366000", \ - "0.0208050000, 0.0239239000, 0.0332998000, 0.0630843000, 0.1621112000, 0.4825753000, 1.5028541000", \ - "0.0262351000, 0.0293671000, 0.0378597000, 0.0657330000, 0.1623505000, 0.4834794000, 1.5009581000", \ - "0.0368830000, 0.0401949000, 0.0491770000, 0.0745705000, 0.1656782000, 0.4823836000, 1.5040700000", \ - "0.0538846000, 0.0577029000, 0.0684114000, 0.0939876000, 0.1748017000, 0.4845890000, 1.4987890000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or2b_1") { - leakage_power () { - value : 0.0091109000; - when : "!A&B_N"; - } - leakage_power () { - value : 0.0015600000; - when : "!A&!B_N"; - } - leakage_power () { - value : 0.0028829000; - when : "A&B_N"; - } - leakage_power () { - value : 0.0007476000; - when : "A&!B_N"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__or2b"; - cell_leakage_power : 0.0035753310; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0017300000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016620000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030993000, 0.0030804000, 0.0030368000, 0.0030355000, 0.0030325000, 0.0030255000, 0.0030093000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002890800, -0.002920100, -0.002987500, -0.002988500, -0.002990900, -0.002996300, -0.003008700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017970000; - } - pin ("B_N") { - capacitance : 0.0014180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013680000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0072271000, 0.0071449000, 0.0069554000, 0.0070284000, 0.0071967000, 0.0075847000, 0.0084790000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0005819000, 0.0005073000, 0.0003351000, 0.0004035000, 0.0005610000, 0.0009241000, 0.0017610000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014690000; - } - pin ("X") { - direction : "output"; - function : "(A) | (!B_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0076173000, 0.0065647000, 0.0036784000, -0.004731400, -0.028641300, -0.093126900, -0.263502800", \ - "0.0075463000, 0.0064512000, 0.0035633000, -0.004808000, -0.028767800, -0.093274400, -0.263646200", \ - "0.0074591000, 0.0063183000, 0.0034388000, -0.004928600, -0.028892400, -0.093352700, -0.263848900", \ - "0.0072990000, 0.0061690000, 0.0032717000, -0.005111400, -0.029031600, -0.093477700, -0.263945700", \ - "0.0072305000, 0.0060921000, 0.0031849000, -0.005237200, -0.029133800, -0.093559000, -0.263982200", \ - "0.0075143000, 0.0061452000, 0.0028687000, -0.005322000, -0.029191800, -0.093543400, -0.263977100", \ - "0.0095214000, 0.0081116000, 0.0045308000, -0.004734100, -0.029108700, -0.093313200, -0.263697300"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0095011000, 0.0109049000, 0.0144957000, 0.0237271000, 0.0478580000, 0.1115118000, 0.2813633000", \ - "0.0094719000, 0.0108764000, 0.0144646000, 0.0236948000, 0.0478741000, 0.1116309000, 0.2799474000", \ - "0.0094591000, 0.0108472000, 0.0144326000, 0.0236789000, 0.0478987000, 0.1116485000, 0.2799726000", \ - "0.0093258000, 0.0106587000, 0.0142142000, 0.0235157000, 0.0477654000, 0.1115005000, 0.2800318000", \ - "0.0093602000, 0.0106791000, 0.0140606000, 0.0233783000, 0.0475160000, 0.1114571000, 0.2797010000", \ - "0.0096202000, 0.0109860000, 0.0145123000, 0.0235842000, 0.0478358000, 0.1118080000, 0.2799272000", \ - "0.0103175000, 0.0116151000, 0.0150946000, 0.0240907000, 0.0485780000, 0.1126945000, 0.2808742000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0074100000, 0.0062851000, 0.0034080000, -0.004979100, -0.028848600, -0.093227600, -0.263624600", \ - "0.0073542000, 0.0063092000, 0.0033594000, -0.005000900, -0.028891800, -0.093271100, -0.263750600", \ - "0.0073622000, 0.0062436000, 0.0033665000, -0.005024100, -0.028915400, -0.093295200, -0.263724600", \ - "0.0071019000, 0.0059596000, 0.0030939000, -0.005271300, -0.029174200, -0.093563100, -0.264003000", \ - "0.0068946000, 0.0057567000, 0.0028706000, -0.005500400, -0.029390400, -0.093779700, -0.264198300", \ - "0.0086758000, 0.0073711000, 0.0039738000, -0.005152600, -0.029347500, -0.093736200, -0.264170100", \ - "0.0089565000, 0.0076158000, 0.0043328000, -0.004779300, -0.029089500, -0.093564800, -0.264022900"); - } - related_pin : "B_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013201390, 0.0034855360, 0.0092027850, 0.0242979200, 0.0641532700, 0.1693825000"); - values("0.0093569000, 0.0107969000, 0.0144571000, 0.0238664000, 0.0481673000, 0.1120727000, 0.2809644000", \ - "0.0092584000, 0.0107097000, 0.0144100000, 0.0238022000, 0.0481291000, 0.1121345000, 0.2806840000", \ - "0.0093121000, 0.0107614000, 0.0144082000, 0.0238338000, 0.0483838000, 0.1121566000, 0.2809388000", \ - "0.0091643000, 0.0105991000, 0.0142785000, 0.0236607000, 0.0480205000, 0.1118390000, 0.2808076000", \ - "0.0088644000, 0.0103024000, 0.0139781000, 0.0233690000, 0.0477474000, 0.1123784000, 0.2808091000", \ - "0.0086901000, 0.0100470000, 0.0136464000, 0.0231499000, 0.0475369000, 0.1112907000, 0.2788237000", \ - "0.0088639000, 0.0102576000, 0.0138017000, 0.0231718000, 0.0474092000, 0.1119592000, 0.2811987000"); - } - } - max_capacitance : 0.1693830000; - max_transition : 1.5048480000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.1827180000, 0.1911837000, 0.2085525000, 0.2416792000, 0.3054608000, 0.4450721000, 0.7958794000", \ - "0.1863619000, 0.1948622000, 0.2122374000, 0.2452735000, 0.3091268000, 0.4486197000, 0.7996051000", \ - "0.1971147000, 0.2055521000, 0.2228758000, 0.2559435000, 0.3198176000, 0.4593194000, 0.8103035000", \ - "0.2228337000, 0.2311076000, 0.2486572000, 0.2816886000, 0.3456461000, 0.4850856000, 0.8362559000", \ - "0.2828154000, 0.2912519000, 0.3084859000, 0.3416016000, 0.4056714000, 0.5452238000, 0.8954093000", \ - "0.3989799000, 0.4084349000, 0.4275697000, 0.4635753000, 0.5308650000, 0.6720228000, 1.0227220000", \ - "0.5992223000, 0.6105452000, 0.6333399000, 0.6753252000, 0.7502739000, 0.8981513000, 1.2515032000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0578322000, 0.0638824000, 0.0782266000, 0.1137338000, 0.2062527000, 0.4506279000, 1.0957903000", \ - "0.0625449000, 0.0685838000, 0.0829328000, 0.1185813000, 0.2112607000, 0.4556327000, 1.1008611000", \ - "0.0739085000, 0.0798619000, 0.0942029000, 0.1299546000, 0.2227462000, 0.4671686000, 1.1123659000", \ - "0.0964969000, 0.1024300000, 0.1170559000, 0.1528954000, 0.2458774000, 0.4898914000, 1.1342005000", \ - "0.1272864000, 0.1338853000, 0.1491282000, 0.1850322000, 0.2781473000, 0.5226386000, 1.1665411000", \ - "0.1595453000, 0.1685155000, 0.1856451000, 0.2223183000, 0.3157272000, 0.5599687000, 1.2054266000", \ - "0.1726957000, 0.1843016000, 0.2073464000, 0.2476005000, 0.3405360000, 0.5854364000, 1.2294677000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0323831000, 0.0380180000, 0.0508060000, 0.0769419000, 0.1394167000, 0.3043685000, 0.7674195000", \ - "0.0324933000, 0.0380854000, 0.0507120000, 0.0764683000, 0.1393522000, 0.3047037000, 0.7674150000", \ - "0.0323253000, 0.0382872000, 0.0507697000, 0.0764206000, 0.1393991000, 0.3047027000, 0.7671672000", \ - "0.0327773000, 0.0380178000, 0.0502601000, 0.0765604000, 0.1391618000, 0.3044445000, 0.7687020000", \ - "0.0325799000, 0.0382403000, 0.0502806000, 0.0768661000, 0.1391020000, 0.3046204000, 0.7669507000", \ - "0.0388542000, 0.0447710000, 0.0575321000, 0.0839779000, 0.1440879000, 0.3072922000, 0.7672583000", \ - "0.0516854000, 0.0584742000, 0.0727948000, 0.1000334000, 0.1614178000, 0.3175371000, 0.7682245000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0189566000, 0.0256971000, 0.0438799000, 0.0934356000, 0.2255410000, 0.5754624000, 1.5005276000", \ - "0.0188985000, 0.0256729000, 0.0439071000, 0.0935668000, 0.2262862000, 0.5765873000, 1.5014345000", \ - "0.0189151000, 0.0256481000, 0.0438207000, 0.0935714000, 0.2262833000, 0.5764975000, 1.5012548000", \ - "0.0201851000, 0.0268048000, 0.0445025000, 0.0935655000, 0.2259774000, 0.5749302000, 1.4973016000", \ - "0.0241695000, 0.0302102000, 0.0467749000, 0.0944315000, 0.2259224000, 0.5747452000, 1.4970253000", \ - "0.0324790000, 0.0384562000, 0.0530741000, 0.0972632000, 0.2270181000, 0.5743646000, 1.5012054000", \ - "0.0464964000, 0.0531090000, 0.0684884000, 0.1070998000, 0.2288082000, 0.5794235000, 1.4968244000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.1855684000, 0.1940440000, 0.2114397000, 0.2446723000, 0.3084759000, 0.4482213000, 0.7990660000", \ - "0.1901723000, 0.1986283000, 0.2159871000, 0.2487947000, 0.3129112000, 0.4526513000, 0.8030094000", \ - "0.1999226000, 0.2084380000, 0.2258486000, 0.2590097000, 0.3228521000, 0.4625804000, 0.8134342000", \ - "0.2157343000, 0.2241873000, 0.2416493000, 0.2748331000, 0.3387486000, 0.4782019000, 0.8294297000", \ - "0.2378083000, 0.2461969000, 0.2635534000, 0.2966561000, 0.3605828000, 0.5001629000, 0.8507044000", \ - "0.2611079000, 0.2695612000, 0.2868451000, 0.3199851000, 0.3841903000, 0.5239105000, 0.8751852000", \ - "0.2749168000, 0.2834090000, 0.3007492000, 0.3339575000, 0.3982461000, 0.5380756000, 0.8890910000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.1143943000, 0.1205166000, 0.1351137000, 0.1713985000, 0.2646267000, 0.5120491000, 1.1565110000", \ - "0.1192452000, 0.1254011000, 0.1400530000, 0.1763172000, 0.2696061000, 0.5150238000, 1.1585466000", \ - "0.1317689000, 0.1379350000, 0.1524714000, 0.1886706000, 0.2821711000, 0.5275436000, 1.1748059000", \ - "0.1626621000, 0.1687704000, 0.1834191000, 0.2197683000, 0.3131090000, 0.5599447000, 1.2024202000", \ - "0.2212068000, 0.2273905000, 0.2420493000, 0.2781400000, 0.3714156000, 0.6166481000, 1.2602471000", \ - "0.3122217000, 0.3184338000, 0.3331818000, 0.3692582000, 0.4625626000, 0.7070303000, 1.3536159000", \ - "0.4555848000, 0.4622518000, 0.4772961000, 0.5136137000, 0.6069095000, 0.8520264000, 1.4960136000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0323311000, 0.0378954000, 0.0506921000, 0.0766902000, 0.1392018000, 0.3043338000, 0.7691640000", \ - "0.0323730000, 0.0382520000, 0.0506393000, 0.0770532000, 0.1393479000, 0.3044017000, 0.7671165000", \ - "0.0325081000, 0.0382371000, 0.0502127000, 0.0773172000, 0.1391079000, 0.3046659000, 0.7701763000", \ - "0.0327525000, 0.0384764000, 0.0502070000, 0.0772747000, 0.1388988000, 0.3043404000, 0.7681171000", \ - "0.0322921000, 0.0381696000, 0.0499744000, 0.0767401000, 0.1390372000, 0.3047237000, 0.7727580000", \ - "0.0326636000, 0.0385186000, 0.0509296000, 0.0764201000, 0.1393235000, 0.3038036000, 0.7693133000", \ - "0.0329993000, 0.0385875000, 0.0503366000, 0.0766197000, 0.1394899000, 0.3053660000, 0.7627712000"); - } - related_pin : "B_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013201400, 0.0034855400, 0.0092027900, 0.0242979000, 0.0641533000, 0.1693830000"); - values("0.0191000000, 0.0257894000, 0.0437893000, 0.0931935000, 0.2253061000, 0.5777696000, 1.5047847000", \ - "0.0191505000, 0.0258088000, 0.0438537000, 0.0933187000, 0.2253337000, 0.5771875000, 1.4990005000", \ - "0.0191367000, 0.0257919000, 0.0437891000, 0.0931974000, 0.2259175000, 0.5764375000, 1.5009317000", \ - "0.0191586000, 0.0257638000, 0.0438823000, 0.0932930000, 0.2257052000, 0.5777857000, 1.5048477000", \ - "0.0196563000, 0.0262559000, 0.0441606000, 0.0932973000, 0.2256887000, 0.5778039000, 1.5007907000", \ - "0.0207685000, 0.0272501000, 0.0447714000, 0.0934160000, 0.2254543000, 0.5743278000, 1.4994093000", \ - "0.0234397000, 0.0294710000, 0.0461975000, 0.0941074000, 0.2255389000, 0.5748738000, 1.4997853000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or2b_2") { - leakage_power () { - value : 0.0083291000; - when : "!A&B_N"; - } - leakage_power () { - value : 0.0019370000; - when : "!A&!B_N"; - } - leakage_power () { - value : 0.0034451000; - when : "A&B_N"; - } - leakage_power () { - value : 0.0012008000; - when : "A&!B_N"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__or2b"; - cell_leakage_power : 0.0037279830; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0017060000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016380000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030821000, 0.0030665000, 0.0030305000, 0.0030299000, 0.0030284000, 0.0030249000, 0.0030170000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002872400, -0.002905800, -0.002982800, -0.002983600, -0.002985200, -0.002989000, -0.002997800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017740000; - } - pin ("B_N") { - capacitance : 0.0014220000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013710000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0072326000, 0.0071512000, 0.0069636000, 0.0070389000, 0.0072124000, 0.0076125000, 0.0085346000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0005521000, 0.0004773000, 0.0003047000, 0.0003767000, 0.0005426000, 0.0009250000, 0.0018065000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014720000; - } - pin ("X") { - direction : "output"; - function : "(A) | (!B_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0109790000, 0.0093305000, 0.0051101000, -0.006543600, -0.043737800, -0.156993900, -0.489246500", \ - "0.0109879000, 0.0092966000, 0.0050380000, -0.006809800, -0.043851600, -0.156918000, -0.489618100", \ - "0.0107830000, 0.0093092000, 0.0049807000, -0.006839400, -0.043923600, -0.157049000, -0.489695400", \ - "0.0106560000, 0.0089927000, 0.0048088000, -0.007102000, -0.044209400, -0.157131600, -0.489933000", \ - "0.0105210000, 0.0088354000, 0.0046482000, -0.007257700, -0.044424800, -0.157304500, -0.489993600", \ - "0.0107205000, 0.0089910000, 0.0047004000, -0.007285000, -0.044431600, -0.157414500, -0.489984800", \ - "0.0149851000, 0.0130955000, 0.0081006000, -0.005586300, -0.044578400, -0.156987000, -0.489620700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0144055000, 0.0160239000, 0.0207994000, 0.0343495000, 0.0730083000, 0.1854533000, 0.5165684000", \ - "0.0143676000, 0.0160473000, 0.0208196000, 0.0343133000, 0.0730009000, 0.1863389000, 0.5140555000", \ - "0.0143623000, 0.0160399000, 0.0208143000, 0.0343070000, 0.0730228000, 0.1853511000, 0.5141178000", \ - "0.0142911000, 0.0159291000, 0.0206100000, 0.0340818000, 0.0729225000, 0.1862951000, 0.5159494000", \ - "0.0143263000, 0.0158864000, 0.0205543000, 0.0339303000, 0.0726291000, 0.1854630000, 0.5144678000", \ - "0.0150110000, 0.0165556000, 0.0210788000, 0.0341732000, 0.0727257000, 0.1848374000, 0.5142181000", \ - "0.0157162000, 0.0171912000, 0.0215703000, 0.0347242000, 0.0733691000, 0.1861623000, 0.5149162000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0108339000, 0.0091819000, 0.0049152000, -0.006939900, -0.044087200, -0.156981400, -0.489603200", \ - "0.0106853000, 0.0090469000, 0.0048445000, -0.007037000, -0.044097800, -0.156986600, -0.489715900", \ - "0.0106434000, 0.0090017000, 0.0048151000, -0.006887100, -0.043989600, -0.157069200, -0.489677600", \ - "0.0105229000, 0.0088405000, 0.0046192000, -0.007214200, -0.044372600, -0.157309300, -0.490001400", \ - "0.0102657000, 0.0086518000, 0.0043955000, -0.007430000, -0.044551100, -0.157567200, -0.490178500", \ - "0.0120125000, 0.0102954000, 0.0055677000, -0.007417300, -0.044557300, -0.157546500, -0.490121700", \ - "0.0143073000, 0.0125891000, 0.0078257000, -0.005445800, -0.043915700, -0.157409100, -0.490029900"); - } - related_pin : "B_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0142936000, 0.0159911000, 0.0207852000, 0.0344437000, 0.0734860000, 0.1873066000, 0.5156121000", \ - "0.0142235000, 0.0159249000, 0.0207237000, 0.0343843000, 0.0734058000, 0.1872502000, 0.5163265000", \ - "0.0142519000, 0.0159687000, 0.0207691000, 0.0344270000, 0.0733939000, 0.1863101000, 0.5162471000", \ - "0.0141399000, 0.0158536000, 0.0206538000, 0.0343045000, 0.0732778000, 0.1861899000, 0.5160797000", \ - "0.0139041000, 0.0155914000, 0.0204066000, 0.0339674000, 0.0729937000, 0.1868109000, 0.5136227000", \ - "0.0139095000, 0.0155438000, 0.0201965000, 0.0337251000, 0.0728236000, 0.1852490000, 0.5174066000", \ - "0.0140891000, 0.0157549000, 0.0204197000, 0.0338272000, 0.0728855000, 0.1864695000, 0.5154486000"); - } - } - max_capacitance : 0.3122050000; - max_transition : 1.5049630000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.2521650000, 0.2602225000, 0.2781356000, 0.3130472000, 0.3791661000, 0.5142198000, 0.8496892000", \ - "0.2564170000, 0.2643554000, 0.2822066000, 0.3174219000, 0.3836995000, 0.5183597000, 0.8534301000", \ - "0.2672151000, 0.2751158000, 0.2931095000, 0.3283472000, 0.3942176000, 0.5291382000, 0.8643141000", \ - "0.2927391000, 0.3006695000, 0.3184939000, 0.3536094000, 0.4199133000, 0.5544440000, 0.8904374000", \ - "0.3531756000, 0.3611476000, 0.3789347000, 0.4140303000, 0.4801461000, 0.6153295000, 0.9512316000", \ - "0.4869842000, 0.4952174000, 0.5138966000, 0.5501592000, 0.6165671000, 0.7528915000, 1.0884570000", \ - "0.7276686000, 0.7369315000, 0.7585239000, 0.8001378000, 0.8759070000, 1.0191382000, 1.3590858000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0694141000, 0.0743160000, 0.0863297000, 0.1157682000, 0.1962049000, 0.4289551000, 1.1060917000", \ - "0.0741024000, 0.0790184000, 0.0910124000, 0.1204660000, 0.2006005000, 0.4328201000, 1.1102202000", \ - "0.0853600000, 0.0903222000, 0.1023370000, 0.1316772000, 0.2120268000, 0.4441849000, 1.1217701000", \ - "0.1108978000, 0.1158563000, 0.1278053000, 0.1571133000, 0.2375267000, 0.4703804000, 1.1468551000", \ - "0.1505370000, 0.1563122000, 0.1696066000, 0.1998596000, 0.2802517000, 0.5123715000, 1.1908019000", \ - "0.1961781000, 0.2037564000, 0.2202800000, 0.2532052000, 0.3338254000, 0.5661094000, 1.2439304000", \ - "0.2297914000, 0.2402958000, 0.2623183000, 0.3037839000, 0.3872274000, 0.6197051000, 1.2955390000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0418527000, 0.0467120000, 0.0577995000, 0.0816555000, 0.1342684000, 0.2733891000, 0.6975109000", \ - "0.0418383000, 0.0465245000, 0.0578531000, 0.0810220000, 0.1345262000, 0.2720985000, 0.6988603000", \ - "0.0420829000, 0.0469283000, 0.0580678000, 0.0811798000, 0.1342786000, 0.2725462000, 0.6990052000", \ - "0.0422675000, 0.0468188000, 0.0578447000, 0.0809309000, 0.1338048000, 0.2733312000, 0.6983666000", \ - "0.0420007000, 0.0466949000, 0.0577585000, 0.0821572000, 0.1341556000, 0.2725765000, 0.6986102000", \ - "0.0466204000, 0.0510070000, 0.0620331000, 0.0847821000, 0.1364878000, 0.2740216000, 0.6992358000", \ - "0.0604389000, 0.0655183000, 0.0775070000, 0.1022927000, 0.1542991000, 0.2890652000, 0.7042774000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0173418000, 0.0219153000, 0.0344097000, 0.0715777000, 0.1848656000, 0.5202993000, 1.4984340000", \ - "0.0174842000, 0.0219072000, 0.0343929000, 0.0715716000, 0.1850051000, 0.5197467000, 1.4984723000", \ - "0.0173819000, 0.0219058000, 0.0344334000, 0.0714429000, 0.1848683000, 0.5194934000, 1.4985345000", \ - "0.0180437000, 0.0224464000, 0.0349050000, 0.0716879000, 0.1852524000, 0.5207040000, 1.4952329000", \ - "0.0231181000, 0.0273063000, 0.0388149000, 0.0739484000, 0.1853907000, 0.5190761000, 1.4993325000", \ - "0.0326344000, 0.0376273000, 0.0482106000, 0.0801577000, 0.1870992000, 0.5185526000, 1.4969146000", \ - "0.0468447000, 0.0532665000, 0.0668483000, 0.0970909000, 0.1929796000, 0.5216300000, 1.4951145000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.2543825000, 0.2623779000, 0.2802334000, 0.3154456000, 0.3816814000, 0.5164583000, 0.8520423000", \ - "0.2590617000, 0.2670242000, 0.2848635000, 0.3200434000, 0.3863536000, 0.5208846000, 0.8568802000", \ - "0.2689206000, 0.2769542000, 0.2948426000, 0.3300839000, 0.3957363000, 0.5310334000, 0.8663947000", \ - "0.2849441000, 0.2929875000, 0.3108013000, 0.3459678000, 0.4121687000, 0.5467514000, 0.8827074000", \ - "0.3064738000, 0.3144215000, 0.3323002000, 0.3672088000, 0.4333651000, 0.5687705000, 0.9041262000", \ - "0.3289680000, 0.3369297000, 0.3547830000, 0.3898981000, 0.4560336000, 0.5911638000, 0.9265504000", \ - "0.3412204000, 0.3491767000, 0.3669740000, 0.4019945000, 0.4682226000, 0.6037271000, 0.9394260000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.1268182000, 0.1318151000, 0.1437868000, 0.1734501000, 0.2540151000, 0.4869235000, 1.1681931000", \ - "0.1315860000, 0.1365805000, 0.1485602000, 0.1782182000, 0.2588494000, 0.4910330000, 1.1729584000", \ - "0.1443282000, 0.1492898000, 0.1613035000, 0.1909391000, 0.2717105000, 0.5056668000, 1.1857126000", \ - "0.1750844000, 0.1800498000, 0.1920618000, 0.2216788000, 0.3024468000, 0.5367800000, 1.2161410000", \ - "0.2340860000, 0.2390856000, 0.2512120000, 0.2808155000, 0.3615288000, 0.5942740000, 1.2853524000", \ - "0.3258623000, 0.3310188000, 0.3432773000, 0.3729956000, 0.4534152000, 0.6859161000, 1.3655475000", \ - "0.4708423000, 0.4764324000, 0.4893234000, 0.5193723000, 0.6002123000, 0.8326380000, 1.5090337000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0418337000, 0.0466246000, 0.0578852000, 0.0811565000, 0.1338476000, 0.2722985000, 0.6989949000", \ - "0.0418481000, 0.0468270000, 0.0578718000, 0.0809839000, 0.1338481000, 0.2732668000, 0.6985185000", \ - "0.0418563000, 0.0467224000, 0.0577896000, 0.0821532000, 0.1347189000, 0.2729076000, 0.6996130000", \ - "0.0418447000, 0.0466629000, 0.0582242000, 0.0812661000, 0.1343052000, 0.2726076000, 0.6987688000", \ - "0.0420870000, 0.0470553000, 0.0578061000, 0.0814600000, 0.1340720000, 0.2724609000, 0.6984052000", \ - "0.0419647000, 0.0467966000, 0.0579353000, 0.0812251000, 0.1346202000, 0.2726372000, 0.6979964000", \ - "0.0424709000, 0.0470287000, 0.0578898000, 0.0822665000, 0.1337779000, 0.2723566000, 0.6960601000"); - } - related_pin : "B_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0175872000, 0.0219054000, 0.0343695000, 0.0713245000, 0.1846059000, 0.5212618000, 1.4997259000", \ - "0.0176129000, 0.0219372000, 0.0343623000, 0.0713329000, 0.1844640000, 0.5220365000, 1.5049627000", \ - "0.0176350000, 0.0219677000, 0.0343347000, 0.0714255000, 0.1843532000, 0.5199448000, 1.5047661000", \ - "0.0176523000, 0.0219484000, 0.0343287000, 0.0714545000, 0.1843073000, 0.5202243000, 1.5042340000", \ - "0.0179678000, 0.0222996000, 0.0346717000, 0.0715990000, 0.1845969000, 0.5213490000, 1.5012475000", \ - "0.0192042000, 0.0234942000, 0.0355952000, 0.0720364000, 0.1842162000, 0.5186012000, 1.5035022000", \ - "0.0216527000, 0.0260697000, 0.0374720000, 0.0729741000, 0.1846712000, 0.5187208000, 1.4969183000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or2b_4") { - leakage_power () { - value : 0.0073823000; - when : "!A&B_N"; - } - leakage_power () { - value : 0.0046341000; - when : "!A&!B_N"; - } - leakage_power () { - value : 0.0047712000; - when : "A&B_N"; - } - leakage_power () { - value : 0.0024191000; - when : "A&!B_N"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__or2b"; - cell_leakage_power : 0.0048016680; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023280000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041476000, 0.0041447000, 0.0041380000, 0.0041397000, 0.0041439000, 0.0041534000, 0.0041753000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003915400, -0.003967500, -0.004087600, -0.004089600, -0.004094300, -0.004105100, -0.004129900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026210000; - } - pin ("B_N") { - capacitance : 0.0015690000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015060000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092688000, 0.0091603000, 0.0089104000, 0.0089761000, 0.0091276000, 0.0094768000, 0.0102817000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0018585000, 0.0017966000, 0.0016539000, 0.0017138000, 0.0018521000, 0.0021709000, 0.0029055000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016330000; - } - pin ("X") { - direction : "output"; - function : "(A) | (!B_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015891800, 0.0050509840, 0.0160538400, 0.0510248800, 0.1621754000, 0.5154517000"); - values("0.0228747000, 0.0210981000, 0.0155785000, 3.140000e-05, -0.052621700, -0.230552500, -0.802690600", \ - "0.0226893000, 0.0208481000, 0.0153727000, -0.000187700, -0.052715700, -0.230722900, -0.802646300", \ - "0.0225079000, 0.0206850000, 0.0151795000, -0.000112400, -0.052992000, -0.231094200, -0.802949900", \ - "0.0223695000, 0.0205435000, 0.0150551000, -0.000607500, -0.053277400, -0.231196100, -0.803214400", \ - "0.0221180000, 0.0203248000, 0.0146763000, -0.000927700, -0.053639200, -0.231486900, -0.803538400", \ - "0.0223122000, 0.0204073000, 0.0148475000, -0.001147600, -0.053963800, -0.231742100, -0.803413700", \ - "0.0301944000, 0.0293561000, 0.0229933000, 0.0046630000, -0.052236500, -0.231294600, -0.802963200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015891800, 0.0050509840, 0.0160538400, 0.0510248800, 0.1621754000, 0.5154517000"); - values("0.0287900000, 0.0306902000, 0.0365507000, 0.0549527000, 0.1117594000, 0.2901545000, 0.8552855000", \ - "0.0287146000, 0.0306273000, 0.0364847000, 0.0549194000, 0.1117252000, 0.2901577000, 0.8557141000", \ - "0.0285986000, 0.0304867000, 0.0364939000, 0.0548920000, 0.1117174000, 0.2901534000, 0.8552656000", \ - "0.0285519000, 0.0304156000, 0.0362152000, 0.0545213000, 0.1113193000, 0.2901715000, 0.8559383000", \ - "0.0284846000, 0.0303397000, 0.0360593000, 0.0541057000, 0.1107678000, 0.2898271000, 0.8561022000", \ - "0.0292748000, 0.0310511000, 0.0365395000, 0.0544307000, 0.1104727000, 0.2883566000, 0.8552553000", \ - "0.0312118000, 0.0327899000, 0.0382836000, 0.0557388000, 0.1120543000, 0.2901595000, 0.8538671000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015891800, 0.0050509840, 0.0160538400, 0.0510248800, 0.1621754000, 0.5154517000"); - values("0.0218731000, 0.0200243000, 0.0145353000, -0.000989800, -0.053609000, -0.231350300, -0.803143300", \ - "0.0218948000, 0.0200662000, 0.0145826000, -0.000978100, -0.053662700, -0.231351100, -0.803158800", \ - "0.0218658000, 0.0200081000, 0.0145229000, -0.001033900, -0.053649000, -0.231372300, -0.803331000", \ - "0.0215470000, 0.0197000000, 0.0142010000, -0.001370000, -0.053928300, -0.231714600, -0.803651400", \ - "0.0213192000, 0.0194236000, 0.0139883000, -0.001666700, -0.054302100, -0.232098700, -0.803958800", \ - "0.0247643000, 0.0229205000, 0.0171033000, -0.000505700, -0.054345800, -0.232211900, -0.804021900", \ - "0.0280546000, 0.0261759000, 0.0204939000, 0.0030215000, -0.052705800, -0.231790700, -0.803894900"); - } - related_pin : "B_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015891800, 0.0050509840, 0.0160538400, 0.0510248800, 0.1621754000, 0.5154517000"); - values("0.0282957000, 0.0302283000, 0.0362364000, 0.0546232000, 0.1118644000, 0.2909885000, 0.8540568000", \ - "0.0282499000, 0.0301657000, 0.0362005000, 0.0546359000, 0.1117747000, 0.2910407000, 0.8543270000", \ - "0.0282241000, 0.0300668000, 0.0360951000, 0.0546796000, 0.1118545000, 0.2908495000, 0.8580318000", \ - "0.0279654000, 0.0298524000, 0.0358375000, 0.0544171000, 0.1116406000, 0.2906276000, 0.8582808000", \ - "0.0275824000, 0.0294894000, 0.0354963000, 0.0540037000, 0.1111122000, 0.2903316000, 0.8529534000", \ - "0.0275723000, 0.0293597000, 0.0349677000, 0.0535070000, 0.1104098000, 0.2896721000, 0.8568710000", \ - "0.0281971000, 0.0300362000, 0.0358263000, 0.0540450000, 0.1107416000, 0.2902447000, 0.8553993000"); - } - } - max_capacitance : 0.5154520000; - max_transition : 1.5058010000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015891800, 0.0050509800, 0.0160538000, 0.0510249000, 0.1621750000, 0.5154520000"); - values("0.2150733000, 0.2196821000, 0.2317055000, 0.2581698000, 0.3115409000, 0.4252341000, 0.7281718000", \ - "0.2199712000, 0.2246453000, 0.2366338000, 0.2631042000, 0.3160020000, 0.4301574000, 0.7329302000", \ - "0.2318925000, 0.2365071000, 0.2484968000, 0.2748177000, 0.3279230000, 0.4421953000, 0.7446763000", \ - "0.2585218000, 0.2631221000, 0.2750400000, 0.3014274000, 0.3546667000, 0.4686453000, 0.7714592000", \ - "0.3211497000, 0.3257472000, 0.3376312000, 0.3640970000, 0.4173512000, 0.5317859000, 0.8348466000", \ - "0.4542935000, 0.4592462000, 0.4723633000, 0.5003544000, 0.5555486000, 0.6710231000, 0.9738824000", \ - "0.6902798000, 0.6964538000, 0.7113207000, 0.7441016000, 0.8071825000, 0.9327169000, 1.2405211000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015891800, 0.0050509800, 0.0160538000, 0.0510249000, 0.1621750000, 0.5154520000"); - values("0.0785379000, 0.0820435000, 0.0915951000, 0.1169379000, 0.1894452000, 0.4149282000, 1.1267086000", \ - "0.0830926000, 0.0866114000, 0.0961396000, 0.1214969000, 0.1940172000, 0.4192314000, 1.1318755000", \ - "0.0939026000, 0.0974030000, 0.1070359000, 0.1323318000, 0.2048993000, 0.4305941000, 1.1420221000", \ - "0.1196070000, 0.1230851000, 0.1325453000, 0.1577139000, 0.2302020000, 0.4554164000, 1.1693967000", \ - "0.1617035000, 0.1657528000, 0.1759299000, 0.2020333000, 0.2746657000, 0.4999473000, 1.2134365000", \ - "0.2105157000, 0.2157517000, 0.2286618000, 0.2577399000, 0.3309530000, 0.5560581000, 1.2707634000", \ - "0.2463435000, 0.2533949000, 0.2709707000, 0.3077530000, 0.3849812000, 0.6086430000, 1.3206325000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015891800, 0.0050509800, 0.0160538000, 0.0510249000, 0.1621750000, 0.5154520000"); - values("0.0376592000, 0.0404665000, 0.0477727000, 0.0649275000, 0.1054325000, 0.2215231000, 0.6049372000", \ - "0.0375727000, 0.0402866000, 0.0474700000, 0.0649631000, 0.1059268000, 0.2211855000, 0.6053189000", \ - "0.0377109000, 0.0404693000, 0.0473433000, 0.0645086000, 0.1052580000, 0.2213336000, 0.6054700000", \ - "0.0378300000, 0.0405785000, 0.0474671000, 0.0641555000, 0.1055015000, 0.2211431000, 0.6046259000", \ - "0.0377939000, 0.0405516000, 0.0476067000, 0.0652423000, 0.1052442000, 0.2212052000, 0.6043385000", \ - "0.0433939000, 0.0461384000, 0.0535484000, 0.0701814000, 0.1094883000, 0.2229165000, 0.6046935000", \ - "0.0588892000, 0.0615911000, 0.0697652000, 0.0876983000, 0.1296373000, 0.2397656000, 0.6095696000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015891800, 0.0050509800, 0.0160538000, 0.0510249000, 0.1621750000, 0.5154520000"); - values("0.0196628000, 0.0228101000, 0.0323524000, 0.0623954000, 0.1615574000, 0.4833097000, 1.5000139000", \ - "0.0196916000, 0.0227849000, 0.0322742000, 0.0622727000, 0.1618145000, 0.4834614000, 1.5013043000", \ - "0.0197684000, 0.0228646000, 0.0323558000, 0.0624055000, 0.1615179000, 0.4828983000, 1.4994080000", \ - "0.0199304000, 0.0230703000, 0.0325410000, 0.0623597000, 0.1614149000, 0.4829949000, 1.5040749000", \ - "0.0249014000, 0.0278312000, 0.0369913000, 0.0648413000, 0.1619827000, 0.4827470000, 1.5027859000", \ - "0.0346343000, 0.0380110000, 0.0464033000, 0.0720410000, 0.1645052000, 0.4816576000, 1.5019337000", \ - "0.0506661000, 0.0540749000, 0.0646137000, 0.0897641000, 0.1720094000, 0.4841078000, 1.4982178000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015891800, 0.0050509800, 0.0160538000, 0.0510249000, 0.1621750000, 0.5154520000"); - values("0.2269333000, 0.2316266000, 0.2435590000, 0.2700450000, 0.3232925000, 0.4372786000, 0.7401249000", \ - "0.2317020000, 0.2363064000, 0.2482982000, 0.2748012000, 0.3281433000, 0.4420347000, 0.7446751000", \ - "0.2426999000, 0.2473730000, 0.2592725000, 0.2857651000, 0.3391000000, 0.4530794000, 0.7561148000", \ - "0.2632415000, 0.2679202000, 0.2798348000, 0.3062899000, 0.3597145000, 0.4735374000, 0.7764629000", \ - "0.2926756000, 0.2973007000, 0.3092312000, 0.3356343000, 0.3885641000, 0.5030886000, 0.8056431000", \ - "0.3275635000, 0.3321944000, 0.3441071000, 0.3705606000, 0.4239127000, 0.5383517000, 0.8412176000", \ - "0.3583850000, 0.3630470000, 0.3748853000, 0.4015538000, 0.4548724000, 0.5694821000, 0.8725736000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015891800, 0.0050509800, 0.0160538000, 0.0510249000, 0.1621750000, 0.5154520000"); - values("0.1675756000, 0.1712066000, 0.1809381000, 0.2064116000, 0.2793741000, 0.5058194000, 1.2190516000", \ - "0.1722509000, 0.1758567000, 0.1856087000, 0.2111568000, 0.2841741000, 0.5096680000, 1.2229102000", \ - "0.1846537000, 0.1882267000, 0.1980230000, 0.2236495000, 0.2966830000, 0.5228751000, 1.2385704000", \ - "0.2155175000, 0.2191262000, 0.2289049000, 0.2545372000, 0.3275533000, 0.5535188000, 1.2669671000", \ - "0.2834067000, 0.2870025000, 0.2968098000, 0.3223630000, 0.3953528000, 0.6217607000, 1.3335915000", \ - "0.3971913000, 0.4009191000, 0.4108472000, 0.4366654000, 0.5095019000, 0.7351300000, 1.4516747000", \ - "0.5745164000, 0.5787409000, 0.5893261000, 0.6155869000, 0.6881412000, 0.9137262000, 1.6271681000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015891800, 0.0050509800, 0.0160538000, 0.0510249000, 0.1621750000, 0.5154520000"); - values("0.0375636000, 0.0402741000, 0.0476177000, 0.0649874000, 0.1050389000, 0.2211483000, 0.6051870000", \ - "0.0376258000, 0.0403381000, 0.0477613000, 0.0649278000, 0.1053136000, 0.2211424000, 0.6054884000", \ - "0.0375721000, 0.0402792000, 0.0477080000, 0.0650454000, 0.1057671000, 0.2211463000, 0.6047862000", \ - "0.0375643000, 0.0402725000, 0.0475658000, 0.0649557000, 0.1052800000, 0.2210838000, 0.6047552000", \ - "0.0375911000, 0.0404161000, 0.0473427000, 0.0647203000, 0.1050254000, 0.2211880000, 0.6048949000", \ - "0.0379236000, 0.0407500000, 0.0479919000, 0.0651529000, 0.1062652000, 0.2206302000, 0.6050531000", \ - "0.0378623000, 0.0405641000, 0.0481377000, 0.0656294000, 0.1055307000, 0.2214860000, 0.6054818000"); - } - related_pin : "B_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015891800, 0.0050509800, 0.0160538000, 0.0510249000, 0.1621750000, 0.5154520000"); - values("0.0207304000, 0.0238224000, 0.0330209000, 0.0626289000, 0.1610802000, 0.4822617000, 1.5019996000", \ - "0.0207376000, 0.0238320000, 0.0329923000, 0.0625281000, 0.1612799000, 0.4821021000, 1.4996838000", \ - "0.0208881000, 0.0238943000, 0.0330923000, 0.0625818000, 0.1612943000, 0.4816480000, 1.5031353000", \ - "0.0208691000, 0.0238349000, 0.0330938000, 0.0626037000, 0.1610201000, 0.4828523000, 1.5058014000", \ - "0.0209780000, 0.0240210000, 0.0332439000, 0.0627148000, 0.1614113000, 0.4819444000, 1.5012188000", \ - "0.0224327000, 0.0254508000, 0.0345126000, 0.0634014000, 0.1611882000, 0.4819132000, 1.5021289000", \ - "0.0255030000, 0.0285312000, 0.0371497000, 0.0649820000, 0.1620118000, 0.4803948000, 1.4994734000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or3_1") { - leakage_power () { - value : 0.0010873000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0066082000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0003543000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0006162000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0003505000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0005888000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0003213000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0003500000; - when : "A&B&!C"; - } - area : 6.2560000000; - cell_footprint : "sky130_fd_sc_hd__or3"; - cell_leakage_power : 0.0012845550; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0015700000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014700000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0017211000, 0.0017041000, 0.0016649000, 0.0016653000, 0.0016665000, 0.0016690000, 0.0016749000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001437800, -0.001455400, -0.001496000, -0.001500900, -0.001512300, -0.001538400, -0.001598700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016700000; - } - pin ("B") { - capacitance : 0.0016360000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015480000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022197000, 0.0022466000, 0.0023088000, 0.0023086000, 0.0023081000, 0.0023071000, 0.0023046000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002032900, -0.002100600, -0.002256700, -0.002258500, -0.002262700, -0.002272400, -0.002294600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017230000; - } - pin ("C") { - capacitance : 0.0013960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0010973000, 0.0010956000, 0.0010919000, 0.0010952000, 0.0011027000, 0.0011202000, 0.0011605000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000750200, -0.000743100, -0.000726800, -0.000726800, -0.000726800, -0.000726700, -0.000726600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014920000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0098823000, 0.0088118000, 0.0059675000, -0.002066800, -0.025119800, -0.088714800, -0.257213200", \ - "0.0097065000, 0.0086565000, 0.0059445000, -0.002080400, -0.025306400, -0.088896800, -0.257351900", \ - "0.0095746000, 0.0084958000, 0.0056604000, -0.002391200, -0.025462000, -0.089036600, -0.257499200", \ - "0.0094021000, 0.0083387000, 0.0054968000, -0.002554100, -0.025662400, -0.089192100, -0.257660200", \ - "0.0093000000, 0.0082096000, 0.0053971000, -0.002669500, -0.025821500, -0.089326600, -0.257756700", \ - "0.0092812000, 0.0082295000, 0.0053434000, -0.002745700, -0.025899300, -0.089396700, -0.257787300", \ - "0.0123010000, 0.0108638000, 0.0072404000, -0.002033800, -0.026133700, -0.089320700, -0.257687700"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0096821000, 0.0110858000, 0.0146892000, 0.0238815000, 0.0480350000, 0.1108547000, 0.2773525000", \ - "0.0096391000, 0.0110629000, 0.0146628000, 0.0238594000, 0.0477823000, 0.1109290000, 0.2772865000", \ - "0.0096137000, 0.0110195000, 0.0146174000, 0.0238441000, 0.0480138000, 0.1108578000, 0.2773106000", \ - "0.0095553000, 0.0109380000, 0.0144815000, 0.0237310000, 0.0477661000, 0.1113568000, 0.2786548000", \ - "0.0095912000, 0.0108769000, 0.0144219000, 0.0235202000, 0.0476098000, 0.1107352000, 0.2783520000", \ - "0.0097188000, 0.0110383000, 0.0145251000, 0.0235988000, 0.0477201000, 0.1103768000, 0.2785032000", \ - "0.0104855000, 0.0118110000, 0.0152308000, 0.0243986000, 0.0481056000, 0.1117742000, 0.2765143000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0087838000, 0.0076909000, 0.0049443000, -0.003057500, -0.026244700, -0.089726500, -0.258210900", \ - "0.0087512000, 0.0076319000, 0.0047835000, -0.003287300, -0.026444500, -0.089917500, -0.258298900", \ - "0.0084175000, 0.0073120000, 0.0044890000, -0.003536400, -0.026649300, -0.090088500, -0.258469900", \ - "0.0082687000, 0.0071938000, 0.0043637000, -0.003687600, -0.026787000, -0.090244500, -0.258626700", \ - "0.0081991000, 0.0070975000, 0.0042717000, -0.003786400, -0.026901400, -0.090344600, -0.258728800", \ - "0.0082027000, 0.0070689000, 0.0041922000, -0.003869800, -0.026980800, -0.090411400, -0.258760600", \ - "0.0112942000, 0.0098255000, 0.0061776000, -0.003141000, -0.027039100, -0.089941000, -0.258451300"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0092462000, 0.0106878000, 0.0143718000, 0.0237196000, 0.0478796000, 0.1111691000, 0.2774550000", \ - "0.0092972000, 0.0107416000, 0.0144130000, 0.0237803000, 0.0479254000, 0.1110604000, 0.2789665000", \ - "0.0093960000, 0.0108184000, 0.0144784000, 0.0238136000, 0.0479944000, 0.1117737000, 0.2789556000", \ - "0.0092518000, 0.0106311000, 0.0142586000, 0.0235846000, 0.0478619000, 0.1111096000, 0.2775214000", \ - "0.0092749000, 0.0105949000, 0.0140161000, 0.0232549000, 0.0475034000, 0.1109034000, 0.2773535000", \ - "0.0094624000, 0.0107637000, 0.0143099000, 0.0233203000, 0.0475711000, 0.1110468000, 0.2773817000", \ - "0.0099683000, 0.0112714000, 0.0147380000, 0.0239219000, 0.0480169000, 0.1115599000, 0.2782684000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0081876000, 0.0071132000, 0.0042639000, -0.003751900, -0.026858700, -0.090218700, -0.258576600", \ - "0.0080865000, 0.0069694000, 0.0041468000, -0.003879500, -0.026981300, -0.090371300, -0.258708700", \ - "0.0078866000, 0.0068061000, 0.0040438000, -0.004049300, -0.027094900, -0.090512300, -0.258866800", \ - "0.0077838000, 0.0066995000, 0.0038734000, -0.004165600, -0.027265300, -0.090689000, -0.258988600", \ - "0.0077202000, 0.0066160000, 0.0038112000, -0.004230500, -0.027345200, -0.090720600, -0.259064600", \ - "0.0079593000, 0.0071216000, 0.0040796000, -0.003734800, -0.026925800, -0.090447100, -0.258802400", \ - "0.0120065000, 0.0105002000, 0.0066504000, -0.002742800, -0.026844900, -0.090168400, -0.258458600"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0080025000, 0.0094449000, 0.0131000000, 0.0224807000, 0.0465825000, 0.1098523000, 0.2777929000", \ - "0.0079951000, 0.0094326000, 0.0130814000, 0.0224456000, 0.0466143000, 0.1104737000, 0.2765044000", \ - "0.0079275000, 0.0093468000, 0.0130063000, 0.0223521000, 0.0467239000, 0.1104068000, 0.2766972000", \ - "0.0077766000, 0.0091908000, 0.0128023000, 0.0221225000, 0.0463302000, 0.1097991000, 0.2757210000", \ - "0.0077861000, 0.0090931000, 0.0126110000, 0.0217763000, 0.0459304000, 0.1100496000, 0.2760720000", \ - "0.0078619000, 0.0091694000, 0.0126981000, 0.0219365000, 0.0459903000, 0.1089091000, 0.2776209000", \ - "0.0084417000, 0.0097262000, 0.0132153000, 0.0223584000, 0.0462941000, 0.1100566000, 0.2750336000"); - } - } - max_capacitance : 0.1675510000; - max_transition : 1.5030600000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.3264345000, 0.3378679000, 0.3611040000, 0.4044878000, 0.4836080000, 0.6388017000, 0.9927376000", \ - "0.3277606000, 0.3393349000, 0.3624945000, 0.4058246000, 0.4853324000, 0.6402563000, 0.9944013000", \ - "0.3362008000, 0.3475863000, 0.3707219000, 0.4141604000, 0.4932061000, 0.6484578000, 1.0025787000", \ - "0.3593536000, 0.3708793000, 0.3940050000, 0.4374138000, 0.5162802000, 0.6717993000, 1.0257839000", \ - "0.4128218000, 0.4238392000, 0.4471677000, 0.4904061000, 0.5698413000, 0.7253060000, 1.0794400000", \ - "0.5260241000, 0.5378809000, 0.5615899000, 0.6055847000, 0.6852149000, 0.8407840000, 1.1946644000", \ - "0.7275415000, 0.7402504000, 0.7668572000, 0.8156309000, 0.9031454000, 1.0660874000, 1.4248105000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0645589000, 0.0708958000, 0.0858378000, 0.1220192000, 0.2152768000, 0.4592835000, 1.1025840000", \ - "0.0693380000, 0.0757511000, 0.0905980000, 0.1267700000, 0.2201557000, 0.4643141000, 1.1072141000", \ - "0.0808100000, 0.0871569000, 0.1019938000, 0.1382694000, 0.2316146000, 0.4754118000, 1.1189566000", \ - "0.1063220000, 0.1126995000, 0.1275296000, 0.1635000000, 0.2567074000, 0.5017362000, 1.1452134000", \ - "0.1434123000, 0.1505179000, 0.1661162000, 0.2022968000, 0.2955211000, 0.5400539000, 1.1855413000", \ - "0.1860173000, 0.1945528000, 0.2125649000, 0.2503935000, 0.3435011000, 0.5876258000, 1.2325991000", \ - "0.2150982000, 0.2271093000, 0.2503874000, 0.2931426000, 0.3854990000, 0.6306858000, 1.2723066000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0485270000, 0.0555297000, 0.0709514000, 0.1033301000, 0.1693874000, 0.3295445000, 0.7699436000", \ - "0.0481960000, 0.0555049000, 0.0711598000, 0.1037978000, 0.1687155000, 0.3291657000, 0.7675253000", \ - "0.0485018000, 0.0554978000, 0.0709810000, 0.1034093000, 0.1706424000, 0.3294845000, 0.7667782000", \ - "0.0481519000, 0.0555251000, 0.0709942000, 0.1031632000, 0.1701496000, 0.3294407000, 0.7700256000", \ - "0.0483831000, 0.0558763000, 0.0713745000, 0.1037986000, 0.1695425000, 0.3286734000, 0.7676850000", \ - "0.0513182000, 0.0589017000, 0.0741300000, 0.1047565000, 0.1711895000, 0.3292936000, 0.7701609000", \ - "0.0600186000, 0.0690232000, 0.0862664000, 0.1183540000, 0.1874641000, 0.3437082000, 0.7745051000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0207061000, 0.0275250000, 0.0456533000, 0.0950432000, 0.2271508000, 0.5751298000, 1.4983773000", \ - "0.0206814000, 0.0275139000, 0.0456249000, 0.0949108000, 0.2268922000, 0.5745153000, 1.4977413000", \ - "0.0205500000, 0.0273680000, 0.0455226000, 0.0947482000, 0.2271763000, 0.5755485000, 1.4986593000", \ - "0.0213385000, 0.0279001000, 0.0456628000, 0.0947784000, 0.2269975000, 0.5766807000, 1.4974904000", \ - "0.0255328000, 0.0316269000, 0.0482077000, 0.0959162000, 0.2269155000, 0.5759058000, 1.4979370000", \ - "0.0337016000, 0.0400930000, 0.0550482000, 0.0988671000, 0.2284589000, 0.5757025000, 1.4978054000", \ - "0.0473629000, 0.0546955000, 0.0700342000, 0.1087512000, 0.2303542000, 0.5786684000, 1.4935966000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.3029136000, 0.3142519000, 0.3373279000, 0.3807266000, 0.4602461000, 0.6152955000, 0.9695671000", \ - "0.3046330000, 0.3159071000, 0.3391235000, 0.3826522000, 0.4620017000, 0.6169314000, 0.9712084000", \ - "0.3128514000, 0.3242223000, 0.3474908000, 0.3907625000, 0.4694980000, 0.6251133000, 0.9791837000", \ - "0.3367336000, 0.3480073000, 0.3711053000, 0.4145226000, 0.4934184000, 0.6491540000, 1.0032780000", \ - "0.3944508000, 0.4057264000, 0.4290018000, 0.4721697000, 0.5516904000, 0.7073377000, 1.0615144000", \ - "0.5257325000, 0.5374934000, 0.5612545000, 0.6054703000, 0.6847906000, 0.8408353000, 1.1951482000", \ - "0.7624718000, 0.7757752000, 0.8032618000, 0.8530741000, 0.9411490000, 1.1025996000, 1.4609479000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0630979000, 0.0694892000, 0.0844567000, 0.1210394000, 0.2144733000, 0.4590875000, 1.1028457000", \ - "0.0678784000, 0.0742685000, 0.0892207000, 0.1256228000, 0.2189731000, 0.4636799000, 1.1076255000", \ - "0.0794362000, 0.0857670000, 0.1006344000, 0.1370454000, 0.2307697000, 0.4758299000, 1.1208676000", \ - "0.1036220000, 0.1098437000, 0.1248034000, 0.1612570000, 0.2549118000, 0.4997450000, 1.1434249000", \ - "0.1382692000, 0.1452847000, 0.1607608000, 0.1974052000, 0.2910849000, 0.5361040000, 1.1798220000", \ - "0.1764555000, 0.1854952000, 0.2035815000, 0.2408573000, 0.3343344000, 0.5792518000, 1.2231537000", \ - "0.1973901000, 0.2094967000, 0.2335483000, 0.2767068000, 0.3702468000, 0.6156269000, 1.2584735000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0483594000, 0.0560615000, 0.0714530000, 0.1020572000, 0.1686668000, 0.3290644000, 0.7675796000", \ - "0.0481133000, 0.0555999000, 0.0708781000, 0.1030429000, 0.1701966000, 0.3286165000, 0.7685759000", \ - "0.0483852000, 0.0559254000, 0.0715649000, 0.1022832000, 0.1708955000, 0.3296560000, 0.7688121000", \ - "0.0484919000, 0.0555381000, 0.0709642000, 0.1032451000, 0.1699738000, 0.3293903000, 0.7704059000", \ - "0.0489493000, 0.0563396000, 0.0720077000, 0.1024516000, 0.1684121000, 0.3293885000, 0.7670591000", \ - "0.0519110000, 0.0592709000, 0.0742036000, 0.1047336000, 0.1716616000, 0.3305692000, 0.7679988000", \ - "0.0650545000, 0.0735115000, 0.0899494000, 0.1219888000, 0.1894827000, 0.3439190000, 0.7747007000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0198954000, 0.0266111000, 0.0447289000, 0.0941503000, 0.2268196000, 0.5767533000, 1.4988456000", \ - "0.0198939000, 0.0265840000, 0.0447234000, 0.0940923000, 0.2261928000, 0.5760009000, 1.4984077000", \ - "0.0198779000, 0.0266438000, 0.0447100000, 0.0940832000, 0.2268359000, 0.5770358000, 1.4996634000", \ - "0.0209242000, 0.0274620000, 0.0451961000, 0.0942277000, 0.2269513000, 0.5764600000, 1.4974162000", \ - "0.0249534000, 0.0311924000, 0.0478900000, 0.0952557000, 0.2266476000, 0.5761080000, 1.4973194000", \ - "0.0338853000, 0.0397851000, 0.0549016000, 0.0983562000, 0.2275518000, 0.5751101000, 1.4929470000", \ - "0.0483891000, 0.0552858000, 0.0713600000, 0.1093377000, 0.2298673000, 0.5795051000, 1.4932523000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.2677639000, 0.2791986000, 0.3023546000, 0.3456933000, 0.4253237000, 0.5800098000, 0.9341440000", \ - "0.2686211000, 0.2799173000, 0.3032656000, 0.3466395000, 0.4262939000, 0.5809446000, 0.9350670000", \ - "0.2750779000, 0.2864559000, 0.3097434000, 0.3532890000, 0.4321853000, 0.5880040000, 0.9421557000", \ - "0.2980825000, 0.3093656000, 0.3332835000, 0.3763986000, 0.4559235000, 0.6116412000, 0.9660118000", \ - "0.3597299000, 0.3710538000, 0.3944520000, 0.4380790000, 0.5174268000, 0.6730042000, 1.0271938000", \ - "0.5025418000, 0.5148432000, 0.5386017000, 0.5817887000, 0.6615490000, 0.8174306000, 1.1720241000", \ - "0.7466158000, 0.7609506000, 0.7890505000, 0.8400151000, 0.9271641000, 1.0882005000, 1.4466746000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0597987000, 0.0662203000, 0.0811495000, 0.1175524000, 0.2108832000, 0.4558249000, 1.0997454000", \ - "0.0647744000, 0.0711894000, 0.0860967000, 0.1225489000, 0.2160843000, 0.4605728000, 1.1032207000", \ - "0.0765231000, 0.0828840000, 0.0977344000, 0.1341525000, 0.2279926000, 0.4731906000, 1.1188495000", \ - "0.1001696000, 0.1066107000, 0.1215727000, 0.1579672000, 0.2514357000, 0.4958237000, 1.1522620000", \ - "0.1336882000, 0.1409219000, 0.1567233000, 0.1934322000, 0.2868275000, 0.5316583000, 1.1746020000", \ - "0.1706563000, 0.1799753000, 0.1987144000, 0.2369725000, 0.3299701000, 0.5744027000, 1.2199144000", \ - "0.1929908000, 0.2057536000, 0.2305624000, 0.2750611000, 0.3692402000, 0.6125356000, 1.2555428000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0484085000, 0.0558667000, 0.0713184000, 0.1022434000, 0.1688065000, 0.3296941000, 0.7687290000", \ - "0.0485337000, 0.0559441000, 0.0714942000, 0.1024304000, 0.1696080000, 0.3296302000, 0.7688021000", \ - "0.0483672000, 0.0558064000, 0.0710736000, 0.1034361000, 0.1697171000, 0.3293295000, 0.7702138000", \ - "0.0483242000, 0.0556944000, 0.0709935000, 0.1037753000, 0.1702596000, 0.3289735000, 0.7669152000", \ - "0.0483759000, 0.0559742000, 0.0709411000, 0.1031832000, 0.1695713000, 0.3288881000, 0.7709720000", \ - "0.0525375000, 0.0595582000, 0.0743413000, 0.1065549000, 0.1719364000, 0.3298651000, 0.7689547000", \ - "0.0709523000, 0.0791141000, 0.0966858000, 0.1259396000, 0.1891894000, 0.3420912000, 0.7741407000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0198654000, 0.0265989000, 0.0446102000, 0.0939975000, 0.2264666000, 0.5771986000, 1.5016701000", \ - "0.0198545000, 0.0265440000, 0.0445580000, 0.0939065000, 0.2268102000, 0.5781900000, 1.4961917000", \ - "0.0198850000, 0.0266414000, 0.0445782000, 0.0939930000, 0.2266842000, 0.5787260000, 1.5030600000", \ - "0.0213031000, 0.0277716000, 0.0452906000, 0.0939638000, 0.2274172000, 0.5781913000, 1.4995352000", \ - "0.0260050000, 0.0320234000, 0.0482692000, 0.0954060000, 0.2265870000, 0.5785594000, 1.4974073000", \ - "0.0355012000, 0.0415126000, 0.0565397000, 0.0991997000, 0.2276749000, 0.5754356000, 1.5017211000", \ - "0.0508082000, 0.0584276000, 0.0740824000, 0.1128667000, 0.2308707000, 0.5797618000, 1.4933906000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or3_2") { - leakage_power () { - value : 0.0014757000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0059674000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0007819000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0010220000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0007734000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0009721000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0007502000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0007741000; - when : "A&B&!C"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__or3"; - cell_leakage_power : 0.0015646010; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0015540000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014590000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0016890000, 0.0016796000, 0.0016580000, 0.0016576000, 0.0016565000, 0.0016542000, 0.0016488000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001403200, -0.001429500, -0.001490000, -0.001494700, -0.001505500, -0.001530600, -0.001588200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016490000; - } - pin ("B") { - capacitance : 0.0016220000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015390000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022329000, 0.0022567000, 0.0023116000, 0.0023112000, 0.0023101000, 0.0023076000, 0.0023019000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002053500, -0.002115400, -0.002257900, -0.002259400, -0.002262800, -0.002270700, -0.002289000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017050000; - } - pin ("C") { - capacitance : 0.0013790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0012880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0011140000, 0.0011127000, 0.0011096000, 0.0011125000, 0.0011193000, 0.0011349000, 0.0011710000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000752300, -0.000748800, -0.000740700, -0.000740400, -0.000739600, -0.000737900, -0.000734000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014690000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0141274000, 0.0122794000, 0.0077773000, -0.004046100, -0.040754300, -0.152565300, -0.482971900", \ - "0.0140239000, 0.0121616000, 0.0076607000, -0.004500200, -0.041120000, -0.152677500, -0.483025400", \ - "0.0138247000, 0.0119996000, 0.0074667000, -0.004434000, -0.041010600, -0.152860600, -0.483271100", \ - "0.0136393000, 0.0117978000, 0.0072965000, -0.004845900, -0.041491900, -0.153075600, -0.483390100", \ - "0.0135968000, 0.0117740000, 0.0073067000, -0.004895900, -0.041566400, -0.153251000, -0.483554000", \ - "0.0135222000, 0.0116737000, 0.0072042000, -0.005081200, -0.041773800, -0.153381000, -0.483722600", \ - "0.0162772000, 0.0144411000, 0.0092312000, -0.004620700, -0.041634800, -0.153298200, -0.483564000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0145803000, 0.0162479000, 0.0210255000, 0.0345550000, 0.0730920000, 0.1845800000, 0.5112080000", \ - "0.0145941000, 0.0162744000, 0.0210096000, 0.0345396000, 0.0730562000, 0.1848497000, 0.5110587000", \ - "0.0145581000, 0.0162304000, 0.0210389000, 0.0345085000, 0.0730726000, 0.1847123000, 0.5117796000", \ - "0.0145836000, 0.0162376000, 0.0209009000, 0.0343717000, 0.0729473000, 0.1854129000, 0.5118640000", \ - "0.0145790000, 0.0161659000, 0.0208906000, 0.0339870000, 0.0726259000, 0.1847846000, 0.5135678000", \ - "0.0151314000, 0.0166532000, 0.0211926000, 0.0344794000, 0.0725919000, 0.1841775000, 0.5116183000", \ - "0.0159331000, 0.0174193000, 0.0217740000, 0.0349804000, 0.0730518000, 0.1854647000, 0.5101430000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0129791000, 0.0111188000, 0.0066115000, -0.005179400, -0.041883000, -0.153628900, -0.483836800", \ - "0.0128257000, 0.0109756000, 0.0064629000, -0.005662400, -0.042086300, -0.153791000, -0.484100600", \ - "0.0128723000, 0.0110306000, 0.0064774000, -0.005681400, -0.042330600, -0.154020000, -0.484301700", \ - "0.0125293000, 0.0106825000, 0.0061150000, -0.006031500, -0.042685000, -0.154170200, -0.484494700", \ - "0.0123948000, 0.0106299000, 0.0060846000, -0.006030800, -0.042716000, -0.154329900, -0.484588000", \ - "0.0125137000, 0.0106959000, 0.0061474000, -0.006047100, -0.042782700, -0.154429900, -0.484736100", \ - "0.0150596000, 0.0130558000, 0.0077787000, -0.005922300, -0.042690900, -0.154338800, -0.484572400"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0141981000, 0.0158571000, 0.0206714000, 0.0343615000, 0.0731739000, 0.1852347000, 0.5121401000", \ - "0.0142488000, 0.0158948000, 0.0207326000, 0.0344457000, 0.0732386000, 0.1854989000, 0.5124447000", \ - "0.0143184000, 0.0160124000, 0.0208713000, 0.0344407000, 0.0733320000, 0.1862805000, 0.5139192000", \ - "0.0143564000, 0.0160113000, 0.0206842000, 0.0342986000, 0.0731158000, 0.1855191000, 0.5143568000", \ - "0.0142398000, 0.0158254000, 0.0205586000, 0.0337965000, 0.0726644000, 0.1858920000, 0.5112064000", \ - "0.0147837000, 0.0163125000, 0.0208803000, 0.0340973000, 0.0725768000, 0.1841228000, 0.5118732000", \ - "0.0154862000, 0.0169636000, 0.0213726000, 0.0346878000, 0.0730800000, 0.1852886000, 0.5123562000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0124326000, 0.0105889000, 0.0060895000, -0.006052600, -0.042721900, -0.154244300, -0.484454000", \ - "0.0122526000, 0.0104001000, 0.0059056000, -0.006228900, -0.042799700, -0.154332800, -0.484647900", \ - "0.0121246000, 0.0102779000, 0.0057781000, -0.006375100, -0.042970500, -0.154511400, -0.484696700", \ - "0.0119744000, 0.0101414000, 0.0056128000, -0.006345200, -0.043184900, -0.154660100, -0.484947900", \ - "0.0119405000, 0.0100870000, 0.0055561000, -0.006596800, -0.043229200, -0.154765700, -0.484964700", \ - "0.0119897000, 0.0101592000, 0.0055785000, -0.006644800, -0.042729500, -0.154592900, -0.484911200", \ - "0.0156529000, 0.0136152000, 0.0082467000, -0.005659200, -0.042272900, -0.154136400, -0.484479500"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0128951000, 0.0145930000, 0.0194333000, 0.0329845000, 0.0719167000, 0.1850652000, 0.5111977000", \ - "0.0129363000, 0.0146063000, 0.0194619000, 0.0330825000, 0.0718961000, 0.1842670000, 0.5118997000", \ - "0.0128603000, 0.0145758000, 0.0194219000, 0.0330128000, 0.0718576000, 0.1841502000, 0.5114892000", \ - "0.0128122000, 0.0144668000, 0.0192147000, 0.0327251000, 0.0716220000, 0.1842032000, 0.5111292000", \ - "0.0129090000, 0.0145130000, 0.0192121000, 0.0323805000, 0.0711950000, 0.1838613000, 0.5091281000", \ - "0.0133015000, 0.0148221000, 0.0193857000, 0.0327631000, 0.0709154000, 0.1829913000, 0.5109760000", \ - "0.0139791000, 0.0154528000, 0.0197924000, 0.0332008000, 0.0718993000, 0.1839150000, 0.5087442000"); - } - } - max_capacitance : 0.3103740000; - max_transition : 1.5030850000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.4338500000, 0.4440800000, 0.4668097000, 0.5129485000, 0.5971893000, 0.7571855000, 1.1134760000", \ - "0.4362706000, 0.4467247000, 0.4697739000, 0.5155292000, 0.6003231000, 0.7600038000, 1.1160312000", \ - "0.4448948000, 0.4550838000, 0.4777498000, 0.5240904000, 0.6082255000, 0.7680812000, 1.1244663000", \ - "0.4675890000, 0.4776453000, 0.5009065000, 0.5465884000, 0.6313264000, 0.7909713000, 1.1471349000", \ - "0.5206684000, 0.5307585000, 0.5540383000, 0.5997752000, 0.6848679000, 0.8451389000, 1.2002020000", \ - "0.6395820000, 0.6500117000, 0.6728418000, 0.7186529000, 0.8032363000, 0.9640256000, 1.3198895000", \ - "0.8723260000, 0.8832674000, 0.9084193000, 0.9580376000, 1.0474487000, 1.2151629000, 1.5747327000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0763405000, 0.0815661000, 0.0940751000, 0.1242471000, 0.2051349000, 0.4373881000, 1.1173720000", \ - "0.0811601000, 0.0863599000, 0.0987878000, 0.1289155000, 0.2097969000, 0.4428415000, 1.1184886000", \ - "0.0924390000, 0.0976108000, 0.1101324000, 0.1402376000, 0.2211609000, 0.4536450000, 1.1307780000", \ - "0.1192234000, 0.1243327000, 0.1365258000, 0.1664884000, 0.2473967000, 0.4801569000, 1.1573575000", \ - "0.1638177000, 0.1697701000, 0.1832194000, 0.2139872000, 0.2951573000, 0.5274581000, 1.2073251000", \ - "0.2182672000, 0.2260601000, 0.2429404000, 0.2764824000, 0.3580700000, 0.5898040000, 1.2675413000", \ - "0.2656038000, 0.2761460000, 0.2985098000, 0.3398533000, 0.4247917000, 0.6559116000, 1.3322983000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0634663000, 0.0693407000, 0.0830443000, 0.1130323000, 0.1734377000, 0.3172773000, 0.7237825000", \ - "0.0637532000, 0.0696150000, 0.0833257000, 0.1121799000, 0.1722625000, 0.3168882000, 0.7246174000", \ - "0.0634328000, 0.0693424000, 0.0831055000, 0.1118802000, 0.1729352000, 0.3172978000, 0.7241726000", \ - "0.0640098000, 0.0695084000, 0.0831848000, 0.1121738000, 0.1724775000, 0.3171501000, 0.7252620000", \ - "0.0636012000, 0.0696678000, 0.0840516000, 0.1121376000, 0.1732731000, 0.3163904000, 0.7251028000", \ - "0.0636277000, 0.0693823000, 0.0840139000, 0.1138755000, 0.1737460000, 0.3165549000, 0.7239904000", \ - "0.0745949000, 0.0808427000, 0.0948197000, 0.1246323000, 0.1870524000, 0.3250676000, 0.7295662000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0192681000, 0.0237068000, 0.0362664000, 0.0732666000, 0.1859149000, 0.5199137000, 1.4975182000", \ - "0.0191838000, 0.0236620000, 0.0363343000, 0.0732764000, 0.1858123000, 0.5198857000, 1.4970873000", \ - "0.0190741000, 0.0237051000, 0.0362907000, 0.0733656000, 0.1858943000, 0.5191919000, 1.4957059000", \ - "0.0192654000, 0.0237146000, 0.0363350000, 0.0732843000, 0.1859714000, 0.5195288000, 1.4989924000", \ - "0.0242424000, 0.0284343000, 0.0400966000, 0.0755914000, 0.1859551000, 0.5207368000, 1.4993593000", \ - "0.0335223000, 0.0382086000, 0.0495349000, 0.0812925000, 0.1881427000, 0.5200323000, 1.4987745000", \ - "0.0475559000, 0.0541786000, 0.0674049000, 0.0986842000, 0.1944216000, 0.5222660000, 1.4957596000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.4090983000, 0.4193191000, 0.4420065000, 0.4882091000, 0.5725741000, 0.7323522000, 1.0888036000", \ - "0.4115124000, 0.4217091000, 0.4448657000, 0.4907403000, 0.5748377000, 0.7348678000, 1.0912728000", \ - "0.4202559000, 0.4302235000, 0.4534087000, 0.4992692000, 0.5840491000, 0.7432603000, 1.0997312000", \ - "0.4433934000, 0.4535828000, 0.4765933000, 0.5222506000, 0.6069100000, 0.7665779000, 1.1228005000", \ - "0.5008801000, 0.5109448000, 0.5341758000, 0.5799455000, 0.6647854000, 0.8253843000, 1.1804440000", \ - "0.6384618000, 0.6486132000, 0.6716407000, 0.7175860000, 0.8020528000, 0.9626545000, 1.3186505000", \ - "0.9126652000, 0.9237964000, 0.9488778000, 0.9987088000, 1.0891538000, 1.2561588000, 1.6150387000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0745364000, 0.0796014000, 0.0919984000, 0.1221629000, 0.2029134000, 0.4356668000, 1.1137002000", \ - "0.0793118000, 0.0843860000, 0.0967995000, 0.1269858000, 0.2078584000, 0.4408462000, 1.1177123000", \ - "0.0906438000, 0.0957454000, 0.1081482000, 0.1382160000, 0.2194471000, 0.4526409000, 1.1287046000", \ - "0.1167041000, 0.1217933000, 0.1339876000, 0.1639426000, 0.2450779000, 0.4776856000, 1.1574157000", \ - "0.1599827000, 0.1658797000, 0.1794178000, 0.2101599000, 0.2910570000, 0.5244049000, 1.2002407000", \ - "0.2113380000, 0.2190559000, 0.2361739000, 0.2698024000, 0.3515245000, 0.5840146000, 1.2621449000", \ - "0.2538334000, 0.2643794000, 0.2870186000, 0.3293119000, 0.4141368000, 0.6468841000, 1.3224352000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0634491000, 0.0693312000, 0.0830561000, 0.1124136000, 0.1742561000, 0.3173440000, 0.7241544000", \ - "0.0635088000, 0.0693583000, 0.0832117000, 0.1120277000, 0.1732642000, 0.3170862000, 0.7239777000", \ - "0.0635006000, 0.0695322000, 0.0832524000, 0.1120677000, 0.1728412000, 0.3173074000, 0.7251638000", \ - "0.0636898000, 0.0695574000, 0.0838287000, 0.1121722000, 0.1734527000, 0.3170087000, 0.7258436000", \ - "0.0633821000, 0.0696031000, 0.0831506000, 0.1121144000, 0.1736367000, 0.3160402000, 0.7249623000", \ - "0.0638095000, 0.0697314000, 0.0838431000, 0.1134089000, 0.1728177000, 0.3164120000, 0.7238716000", \ - "0.0774862000, 0.0831187000, 0.0982643000, 0.1277973000, 0.1864192000, 0.3256587000, 0.7273022000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0184879000, 0.0230851000, 0.0355800000, 0.0725281000, 0.1856715000, 0.5201696000, 1.4991134000", \ - "0.0185033000, 0.0230866000, 0.0355403000, 0.0724954000, 0.1856815000, 0.5207400000, 1.4971010000", \ - "0.0185373000, 0.0229828000, 0.0354620000, 0.0724861000, 0.1858363000, 0.5212867000, 1.4948439000", \ - "0.0189496000, 0.0233496000, 0.0358454000, 0.0726257000, 0.1853382000, 0.5207113000, 1.5001992000", \ - "0.0239827000, 0.0281632000, 0.0397590000, 0.0749255000, 0.1859729000, 0.5210815000, 1.4964502000", \ - "0.0339356000, 0.0383283000, 0.0498651000, 0.0816595000, 0.1875547000, 0.5184812000, 1.4987792000", \ - "0.0481227000, 0.0546157000, 0.0685532000, 0.1000771000, 0.1945506000, 0.5223504000, 1.4959226000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.3729392000, 0.3831500000, 0.4061339000, 0.4521973000, 0.5365873000, 0.6966708000, 1.0525814000", \ - "0.3747145000, 0.3848792000, 0.4080516000, 0.4539053000, 0.5386348000, 0.6980396000, 1.0544313000", \ - "0.3817555000, 0.3920453000, 0.4151597000, 0.4607846000, 0.5450282000, 0.7051538000, 1.0610888000", \ - "0.4038710000, 0.4140744000, 0.4371007000, 0.4828588000, 0.5673448000, 0.7272446000, 1.0835661000", \ - "0.4646518000, 0.4747898000, 0.4975503000, 0.5435041000, 0.6278532000, 0.7885907000, 1.1444655000", \ - "0.6095776000, 0.6199046000, 0.6428609000, 0.6884937000, 0.7731537000, 0.9336927000, 1.2899519000", \ - "0.9041269000, 0.9157195000, 0.9417834000, 0.9930986000, 1.0834911000, 1.2493798000, 1.6086860000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0716166000, 0.0768270000, 0.0893241000, 0.1195502000, 0.2003550000, 0.4329636000, 1.1101441000", \ - "0.0766601000, 0.0818125000, 0.0943766000, 0.1246535000, 0.2057009000, 0.4384824000, 1.1184886000", \ - "0.0881254000, 0.0932831000, 0.1058096000, 0.1360308000, 0.2171426000, 0.4509147000, 1.1265197000", \ - "0.1147676000, 0.1199003000, 0.1324141000, 0.1624900000, 0.2433578000, 0.4770036000, 1.1528677000", \ - "0.1573822000, 0.1635157000, 0.1772723000, 0.2082769000, 0.2896358000, 0.5231384000, 1.2047224000", \ - "0.2093147000, 0.2174146000, 0.2350002000, 0.2693548000, 0.3511565000, 0.5828877000, 1.2642215000", \ - "0.2555272000, 0.2664670000, 0.2898141000, 0.3334195000, 0.4198200000, 0.6507216000, 1.3267726000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0636120000, 0.0695361000, 0.0836613000, 0.1135145000, 0.1721807000, 0.3165138000, 0.7243420000", \ - "0.0635272000, 0.0694369000, 0.0832174000, 0.1120604000, 0.1731415000, 0.3172750000, 0.7246944000", \ - "0.0640136000, 0.0696721000, 0.0834284000, 0.1121368000, 0.1722224000, 0.3167666000, 0.7251256000", \ - "0.0633549000, 0.0693475000, 0.0837022000, 0.1118474000, 0.1739304000, 0.3169522000, 0.7257739000", \ - "0.0637072000, 0.0691746000, 0.0842223000, 0.1124010000, 0.1733272000, 0.3161029000, 0.7248944000", \ - "0.0636148000, 0.0695293000, 0.0831160000, 0.1135986000, 0.1732485000, 0.3166115000, 0.7237190000", \ - "0.0841603000, 0.0904797000, 0.1049007000, 0.1330133000, 0.1903753000, 0.3269512000, 0.7286899000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0186741000, 0.0232175000, 0.0357851000, 0.0726500000, 0.1856457000, 0.5227388000, 1.4995972000", \ - "0.0186683000, 0.0232807000, 0.0358332000, 0.0727553000, 0.1854096000, 0.5210087000, 1.5030854000", \ - "0.0187535000, 0.0232212000, 0.0357029000, 0.0727490000, 0.1853128000, 0.5226951000, 1.5010100000", \ - "0.0192899000, 0.0238394000, 0.0362426000, 0.0728867000, 0.1856645000, 0.5219097000, 1.4978941000", \ - "0.0246315000, 0.0292392000, 0.0405763000, 0.0754933000, 0.1859595000, 0.5214104000, 1.4991182000", \ - "0.0348934000, 0.0396640000, 0.0515502000, 0.0826274000, 0.1880539000, 0.5187359000, 1.4980710000", \ - "0.0503051000, 0.0568373000, 0.0712409000, 0.1035887000, 0.1955479000, 0.5231901000, 1.4961589000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or3_4") { - leakage_power () { - value : 0.0040967000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0046321000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0020287000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0024994000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0020171000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0023608000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0019971000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0020186000; - when : "A&B&!C"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__or3"; - cell_leakage_power : 0.0027063310; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022620000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0038554000, 0.0038459000, 0.0038240000, 0.0038266000, 0.0038327000, 0.0038468000, 0.0038794000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003301300, -0.003331300, -0.003400400, -0.003415100, -0.003448900, -0.003526800, -0.003706600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025440000; - } - pin ("B") { - capacitance : 0.0023510000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021930000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0037651000, 0.0037661000, 0.0037684000, 0.0037708000, 0.0037762000, 0.0037887000, 0.0038176000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003286600, -0.003375600, -0.003580800, -0.003587200, -0.003601900, -0.003635700, -0.003713800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025090000; - } - pin ("C") { - capacitance : 0.0023010000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0021110000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025669000, 0.0025655000, 0.0025623000, 0.0025698000, 0.0025871000, 0.0026271000, 0.0027193000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001724100, -0.001719200, -0.001708100, -0.001710800, -0.001717100, -0.001731500, -0.001764900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024920000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000"); - values("0.0255141000, 0.0235106000, 0.0176216000, 0.0013860000, -0.052050500, -0.233636200, -0.824800700", \ - "0.0254915000, 0.0234275000, 0.0175912000, 0.0013077000, -0.052137200, -0.233708400, -0.824958600", \ - "0.0251932000, 0.0235843000, 0.0172059000, 0.0008973000, -0.052526200, -0.233978500, -0.825138000", \ - "0.0249575000, 0.0228715000, 0.0170033000, 0.0007651000, -0.052754600, -0.234274100, -0.825371000", \ - "0.0247337000, 0.0226569000, 0.0168143000, 0.0007278000, -0.052906300, -0.234418400, -0.825450900", \ - "0.0247041000, 0.0226766000, 0.0168149000, 0.0006638000, -0.053054200, -0.234611300, -0.825642900", \ - "0.0317538000, 0.0295077000, 0.0230163000, 0.0040802000, -0.053828400, -0.234674400, -0.825591500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000"); - values("0.0299347000, 0.0319496000, 0.0379576000, 0.0567263000, 0.1149203000, 0.2987714000, 0.8830631000", \ - "0.0299204000, 0.0318373000, 0.0378169000, 0.0566522000, 0.1149092000, 0.2982869000, 0.8873712000", \ - "0.0297922000, 0.0316950000, 0.0377833000, 0.0565876000, 0.1148425000, 0.2982241000, 0.8840089000", \ - "0.0299190000, 0.0318942000, 0.0378799000, 0.0565631000, 0.1147243000, 0.2980506000, 0.8834338000", \ - "0.0298831000, 0.0317320000, 0.0376445000, 0.0561016000, 0.1137406000, 0.2978539000, 0.8871875000", \ - "0.0311214000, 0.0329043000, 0.0385587000, 0.0568393000, 0.1137600000, 0.2970050000, 0.8836660000", \ - "0.0326205000, 0.0342938000, 0.0398850000, 0.0578694000, 0.1148949000, 0.2985944000, 0.8820063000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000"); - values("0.0232521000, 0.0211033000, 0.0152832000, -0.000531500, -0.054069900, -0.235814800, -0.826810800", \ - "0.0230542000, 0.0209961000, 0.0151498000, -0.000677200, -0.054170000, -0.235945500, -0.826952200", \ - "0.0228477000, 0.0208783000, 0.0149655000, -0.001270800, -0.054693600, -0.236194800, -0.827243900", \ - "0.0226742000, 0.0205921000, 0.0147287000, -0.001587000, -0.054755700, -0.236406200, -0.827317200", \ - "0.0225760000, 0.0205138000, 0.0146485000, -0.001613800, -0.055145900, -0.236637500, -0.827515100", \ - "0.0226005000, 0.0205096000, 0.0146025000, -0.001741400, -0.055311000, -0.236830200, -0.827757500", \ - "0.0294169000, 0.0272438000, 0.0205255000, 0.0014032000, -0.055912000, -0.235835700, -0.827042600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000"); - values("0.0290379000, 0.0309777000, 0.0369958000, 0.0559287000, 0.1146797000, 0.2986661000, 0.8850506000", \ - "0.0290749000, 0.0310054000, 0.0369980000, 0.0560052000, 0.1147260000, 0.2991859000, 0.8883061000", \ - "0.0291216000, 0.0310460000, 0.0371236000, 0.0561110000, 0.1147119000, 0.2992451000, 0.8884353000", \ - "0.0290397000, 0.0310235000, 0.0370383000, 0.0558330000, 0.1143924000, 0.2989365000, 0.8881638000", \ - "0.0289711000, 0.0308378000, 0.0366287000, 0.0550456000, 0.1134956000, 0.2978762000, 0.8845201000", \ - "0.0297850000, 0.0315699000, 0.0371783000, 0.0554714000, 0.1129293000, 0.2966984000, 0.8827160000", \ - "0.0313511000, 0.0330393000, 0.0385785000, 0.0564306000, 0.1143053000, 0.2982789000, 0.8805977000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000"); - values("0.0222697000, 0.0202153000, 0.0144059000, -0.001967800, -0.055211900, -0.236593500, -0.827641000", \ - "0.0221959000, 0.0200996000, 0.0142032000, -0.001817500, -0.055258300, -0.236817800, -0.827724000", \ - "0.0220395000, 0.0199494000, 0.0140801000, -0.002204900, -0.055688800, -0.237121100, -0.828055200", \ - "0.0217318000, 0.0197499000, 0.0139547000, -0.002216300, -0.055810800, -0.237287200, -0.828232100", \ - "0.0215261000, 0.0194354000, 0.0135845000, -0.002592700, -0.056190800, -0.237453600, -0.828286500", \ - "0.0220775000, 0.0199806000, 0.0140027000, -0.001444300, -0.055399300, -0.237362400, -0.828300200", \ - "0.0321245000, 0.0298909000, 0.0230514000, 0.0034339000, -0.054364100, -0.235821900, -0.826997700"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015975370, 0.0051042490, 0.0163084500, 0.0521067100, 0.1664848000, 0.5319312000"); - values("0.0257994000, 0.0277347000, 0.0338848000, 0.0527346000, 0.1115687000, 0.2958618000, 0.8824956000", \ - "0.0257490000, 0.0277040000, 0.0338206000, 0.0527317000, 0.1115235000, 0.2945015000, 0.8820683000", \ - "0.0256538000, 0.0276162000, 0.0337165000, 0.0527662000, 0.1114048000, 0.2957524000, 0.8777199000", \ - "0.0256158000, 0.0275224000, 0.0336305000, 0.0524429000, 0.1109451000, 0.2953697000, 0.8823388000", \ - "0.0256737000, 0.0275188000, 0.0334146000, 0.0516441000, 0.1099686000, 0.2945569000, 0.8825168000", \ - "0.0265424000, 0.0284621000, 0.0341784000, 0.0524853000, 0.1094272000, 0.2933500000, 0.8802382000", \ - "0.0279431000, 0.0295961000, 0.0351437000, 0.0531570000, 0.1115391000, 0.2941553000, 0.8817169000"); - } - } - max_capacitance : 0.5319310000; - max_transition : 1.5070720000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.3459759000, 0.3519301000, 0.3672774000, 0.4013956000, 0.4689475000, 0.6050974000, 0.9332050000", \ - "0.3504629000, 0.3564133000, 0.3716655000, 0.4059412000, 0.4735529000, 0.6093772000, 0.9376722000", \ - "0.3619217000, 0.3678751000, 0.3831757000, 0.4172194000, 0.4849762000, 0.6210951000, 0.9491448000", \ - "0.3879018000, 0.3937147000, 0.4091606000, 0.4431471000, 0.5108351000, 0.6470487000, 0.9751912000", \ - "0.4450830000, 0.4508968000, 0.4662083000, 0.5000853000, 0.5674502000, 0.7041038000, 1.0321195000", \ - "0.5702332000, 0.5761056000, 0.5914033000, 0.6252407000, 0.6928736000, 0.8297968000, 1.1573101000", \ - "0.8066398000, 0.8132645000, 0.8303217000, 0.8678373000, 0.9427552000, 1.0862934000, 1.4184540000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0842214000, 0.0878244000, 0.0975802000, 0.1230933000, 0.1953703000, 0.4192494000, 1.1307968000", \ - "0.0887973000, 0.0924025000, 0.1020998000, 0.1276477000, 0.1998561000, 0.4244325000, 1.1389891000", \ - "0.0998479000, 0.1034357000, 0.1132139000, 0.1387216000, 0.2108926000, 0.4350826000, 1.1503253000", \ - "0.1258950000, 0.1294419000, 0.1391071000, 0.1643502000, 0.2362366000, 0.4609243000, 1.1757864000", \ - "0.1727291000, 0.1767696000, 0.1872393000, 0.2132203000, 0.2853855000, 0.5088492000, 1.2232134000", \ - "0.2290893000, 0.2343430000, 0.2472672000, 0.2764051000, 0.3492003000, 0.5730623000, 1.2861322000", \ - "0.2759523000, 0.2829448000, 0.3004853000, 0.3374520000, 0.4146551000, 0.6374002000, 1.3480580000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0554249000, 0.0586787000, 0.0673611000, 0.0880915000, 0.1366495000, 0.2580715000, 0.6385142000", \ - "0.0553418000, 0.0582554000, 0.0673455000, 0.0885551000, 0.1349636000, 0.2581423000, 0.6372824000", \ - "0.0553636000, 0.0583989000, 0.0674031000, 0.0889105000, 0.1355402000, 0.2581226000, 0.6383712000", \ - "0.0553997000, 0.0583414000, 0.0670513000, 0.0877747000, 0.1362831000, 0.2580008000, 0.6382292000", \ - "0.0551651000, 0.0583483000, 0.0673033000, 0.0882174000, 0.1357026000, 0.2577308000, 0.6389781000", \ - "0.0570047000, 0.0598744000, 0.0681769000, 0.0890612000, 0.1358157000, 0.2583084000, 0.6387924000", \ - "0.0686798000, 0.0717879000, 0.0811113000, 0.1023196000, 0.1512418000, 0.2724840000, 0.6445931000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0204332000, 0.0234761000, 0.0327853000, 0.0621686000, 0.1601252000, 0.4796596000, 1.4985264000", \ - "0.0203640000, 0.0234207000, 0.0328129000, 0.0621878000, 0.1602102000, 0.4792802000, 1.5011704000", \ - "0.0204560000, 0.0234420000, 0.0327490000, 0.0621000000, 0.1601910000, 0.4783828000, 1.5010418000", \ - "0.0203585000, 0.0233621000, 0.0326725000, 0.0619858000, 0.1601535000, 0.4793868000, 1.4991167000", \ - "0.0250410000, 0.0278217000, 0.0364804000, 0.0641209000, 0.1605244000, 0.4791870000, 1.5020035000", \ - "0.0346662000, 0.0377596000, 0.0459025000, 0.0715005000, 0.1629469000, 0.4789252000, 1.5017667000", \ - "0.0494961000, 0.0536105000, 0.0643422000, 0.0886908000, 0.1709363000, 0.4820537000, 1.4983637000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.3289307000, 0.3347550000, 0.3501545000, 0.3840742000, 0.4517262000, 0.5878463000, 0.9158468000", \ - "0.3325248000, 0.3383526000, 0.3537816000, 0.3877642000, 0.4554525000, 0.5915065000, 0.9195666000", \ - "0.3429934000, 0.3489844000, 0.3642702000, 0.3983222000, 0.4659464000, 0.6021949000, 0.9303607000", \ - "0.3684825000, 0.3742925000, 0.3896261000, 0.4237173000, 0.4913384000, 0.6280371000, 0.9554376000", \ - "0.4292613000, 0.4351625000, 0.4504693000, 0.4845531000, 0.5517768000, 0.6884379000, 1.0168567000", \ - "0.5692631000, 0.5751594000, 0.5906133000, 0.6247841000, 0.6926189000, 0.8294604000, 1.1569316000", \ - "0.8361279000, 0.8427015000, 0.8600776000, 0.8987137000, 0.9737414000, 1.1182566000, 1.4503452000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0839679000, 0.0875375000, 0.0972925000, 0.1229211000, 0.1954485000, 0.4196942000, 1.1327953000", \ - "0.0885846000, 0.0921888000, 0.1019298000, 0.1276231000, 0.2000398000, 0.4243317000, 1.1372094000", \ - "0.0995256000, 0.1031338000, 0.1129555000, 0.1386163000, 0.2109576000, 0.4352364000, 1.1480996000", \ - "0.1255216000, 0.1290965000, 0.1388406000, 0.1642785000, 0.2364704000, 0.4611993000, 1.1751685000", \ - "0.1708538000, 0.1749512000, 0.1852745000, 0.2118014000, 0.2840981000, 0.5084814000, 1.2220005000", \ - "0.2249340000, 0.2302365000, 0.2434590000, 0.2730581000, 0.3463367000, 0.5706722000, 1.2838133000", \ - "0.2686359000, 0.2757412000, 0.2936942000, 0.3308283000, 0.4091496000, 0.6320571000, 1.3436704000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0553561000, 0.0583394000, 0.0672179000, 0.0881909000, 0.1359003000, 0.2580871000, 0.6390817000", \ - "0.0554173000, 0.0583171000, 0.0671624000, 0.0880688000, 0.1353739000, 0.2580486000, 0.6391747000", \ - "0.0554389000, 0.0587904000, 0.0673590000, 0.0879570000, 0.1366249000, 0.2580341000, 0.6384760000", \ - "0.0553765000, 0.0583407000, 0.0673072000, 0.0888864000, 0.1349998000, 0.2575922000, 0.6386908000", \ - "0.0552410000, 0.0584023000, 0.0673554000, 0.0882764000, 0.1367096000, 0.2576012000, 0.6377047000", \ - "0.0569508000, 0.0601268000, 0.0685765000, 0.0887852000, 0.1357136000, 0.2577085000, 0.6389919000", \ - "0.0736922000, 0.0761565000, 0.0858827000, 0.1067121000, 0.1534132000, 0.2718409000, 0.6459367000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0201870000, 0.0232659000, 0.0325774000, 0.0618147000, 0.1599399000, 0.4795678000, 1.5026096000", \ - "0.0201994000, 0.0232222000, 0.0326083000, 0.0618511000, 0.1597870000, 0.4800760000, 1.5018807000", \ - "0.0202390000, 0.0232845000, 0.0325571000, 0.0617876000, 0.1597658000, 0.4798605000, 1.5014510000", \ - "0.0203197000, 0.0233630000, 0.0325951000, 0.0618945000, 0.1597921000, 0.4800952000, 1.5036409000", \ - "0.0250800000, 0.0280257000, 0.0370800000, 0.0645286000, 0.1600262000, 0.4794346000, 1.5025242000", \ - "0.0349193000, 0.0383346000, 0.0467592000, 0.0722114000, 0.1627270000, 0.4778110000, 1.4979511000", \ - "0.0509912000, 0.0550556000, 0.0656038000, 0.0911762000, 0.1722037000, 0.4813135000, 1.4986000000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.2949677000, 0.3008004000, 0.3159917000, 0.3503244000, 0.4181522000, 0.5539835000, 0.8822564000", \ - "0.2976156000, 0.3034358000, 0.3188829000, 0.3528645000, 0.4205396000, 0.5572641000, 0.8847942000", \ - "0.3055687000, 0.3113421000, 0.3267971000, 0.3609155000, 0.4286358000, 0.5654451000, 0.8929234000", \ - "0.3286381000, 0.3344736000, 0.3499053000, 0.3839359000, 0.4516909000, 0.5884265000, 0.9155640000", \ - "0.3888136000, 0.3946206000, 0.4100035000, 0.4440982000, 0.5116114000, 0.6481958000, 0.9764881000", \ - "0.5324228000, 0.5383110000, 0.5535152000, 0.5876579000, 0.6550555000, 0.7904822000, 1.1186873000", \ - "0.7913129000, 0.7985643000, 0.8166291000, 0.8577409000, 0.9345733000, 1.0786332000, 1.4100765000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0804629000, 0.0841171000, 0.0940829000, 0.1198769000, 0.1924399000, 0.4172655000, 1.1337414000", \ - "0.0853003000, 0.0889518000, 0.0989196000, 0.1247174000, 0.1973201000, 0.4220023000, 1.1376146000", \ - "0.0967231000, 0.1003732000, 0.1103344000, 0.1362267000, 0.2087456000, 0.4339745000, 1.1453045000", \ - "0.1228214000, 0.1264381000, 0.1363085000, 0.1619038000, 0.2343577000, 0.4603581000, 1.1704490000", \ - "0.1675030000, 0.1717195000, 0.1826026000, 0.2092410000, 0.2817608000, 0.5065109000, 1.2238187000", \ - "0.2215470000, 0.2270924000, 0.2411013000, 0.2713802000, 0.3446588000, 0.5681314000, 1.2850137000", \ - "0.2690808000, 0.2764007000, 0.2951617000, 0.3337575000, 0.4140073000, 0.6378605000, 1.3474812000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0554015000, 0.0583384000, 0.0674927000, 0.0889060000, 0.1351814000, 0.2580363000, 0.6375613000", \ - "0.0554124000, 0.0583248000, 0.0671233000, 0.0892245000, 0.1348807000, 0.2575456000, 0.6391707000", \ - "0.0552789000, 0.0582638000, 0.0673539000, 0.0878847000, 0.1350002000, 0.2576948000, 0.6385562000", \ - "0.0552144000, 0.0582066000, 0.0673036000, 0.0890417000, 0.1354705000, 0.2577838000, 0.6391940000", \ - "0.0554137000, 0.0583199000, 0.0671738000, 0.0887097000, 0.1350381000, 0.2572246000, 0.6384019000", \ - "0.0575518000, 0.0605989000, 0.0687883000, 0.0894113000, 0.1375733000, 0.2593991000, 0.6384021000", \ - "0.0826437000, 0.0855698000, 0.0947989000, 0.1174094000, 0.1607158000, 0.2763350000, 0.6467580000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015975400, 0.0051042500, 0.0163085000, 0.0521067000, 0.1664850000, 0.5319310000"); - values("0.0204222000, 0.0234652000, 0.0327905000, 0.0621264000, 0.1596693000, 0.4796953000, 1.5059775000", \ - "0.0204284000, 0.0235562000, 0.0328111000, 0.0620892000, 0.1599868000, 0.4786538000, 1.5015917000", \ - "0.0204285000, 0.0234938000, 0.0328243000, 0.0620479000, 0.1599879000, 0.4806552000, 1.4971254000", \ - "0.0207910000, 0.0237940000, 0.0330911000, 0.0622801000, 0.1599425000, 0.4807758000, 1.5010900000", \ - "0.0261740000, 0.0290487000, 0.0377731000, 0.0652784000, 0.1603542000, 0.4801560000, 1.5070716000", \ - "0.0367054000, 0.0399413000, 0.0493622000, 0.0740055000, 0.1635425000, 0.4788038000, 1.5046610000", \ - "0.0535327000, 0.0581115000, 0.0687030000, 0.0944681000, 0.1736763000, 0.4813303000, 1.4973982000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or3b_1") { - leakage_power () { - value : 0.0090986000; - when : "!A&!B&C_N"; - } - leakage_power () { - value : 0.0013082000; - when : "!A&!B&!C_N"; - } - leakage_power () { - value : 0.0029788000; - when : "!A&B&C_N"; - } - leakage_power () { - value : 0.0005740000; - when : "!A&B&!C_N"; - } - leakage_power () { - value : 0.0029519000; - when : "A&!B&C_N"; - } - leakage_power () { - value : 0.0005704000; - when : "A&!B&!C_N"; - } - leakage_power () { - value : 0.0027120000; - when : "A&B&C_N"; - } - leakage_power () { - value : 0.0005410000; - when : "A&B&!C_N"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__or3b"; - cell_leakage_power : 0.0025918680; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0015730000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0018325000, 0.0018159000, 0.0017778000, 0.0017783000, 0.0017795000, 0.0017823000, 0.0017888000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001554200, -0.001574600, -0.001621700, -0.001626200, -0.001636600, -0.001660500, -0.001715500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016740000; - } - pin ("B") { - capacitance : 0.0017330000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016450000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0028268000, 0.0028595000, 0.0029349000, 0.0029362000, 0.0029390000, 0.0029456000, 0.0029608000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002765700, -0.002805300, -0.002896800, -0.002898600, -0.002902900, -0.002912800, -0.002935500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018210000; - } - pin ("C_N") { - capacitance : 0.0013530000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0069829000, 0.0069074000, 0.0067331000, 0.0067962000, 0.0069417000, 0.0072770000, 0.0080498000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0005512000, 0.0004871000, 0.0003395000, 0.0003986000, 0.0005348000, 0.0008489000, 0.0015729000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0013940000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (!C_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0100576000, 0.0089730000, 0.0060912000, -0.001938700, -0.025083000, -0.088632000, -0.257066900", \ - "0.0097416000, 0.0087619000, 0.0059084000, -0.002123000, -0.025261800, -0.088803100, -0.257261300", \ - "0.0095840000, 0.0084881000, 0.0057859000, -0.002244300, -0.025401600, -0.088953800, -0.257409900", \ - "0.0094244000, 0.0083407000, 0.0055362000, -0.002420900, -0.025576300, -0.089124800, -0.257566700", \ - "0.0093390000, 0.0082649000, 0.0054332000, -0.002645100, -0.025743700, -0.089236500, -0.257672800", \ - "0.0092989000, 0.0081762000, 0.0053835000, -0.002698600, -0.025827800, -0.089306000, -0.257706900", \ - "0.0123534000, 0.0109026000, 0.0072770000, -0.001987300, -0.026081500, -0.089227100, -0.257623300"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0097660000, 0.0111587000, 0.0147548000, 0.0239410000, 0.0480850000, 0.1109597000, 0.2773402000", \ - "0.0097239000, 0.0111355000, 0.0147263000, 0.0239162000, 0.0478728000, 0.1115393000, 0.2772511000", \ - "0.0096946000, 0.0110988000, 0.0146965000, 0.0238958000, 0.0478593000, 0.1115404000, 0.2772259000", \ - "0.0096392000, 0.0110225000, 0.0145508000, 0.0237886000, 0.0477851000, 0.1114636000, 0.2772873000", \ - "0.0096620000, 0.0109500000, 0.0144088000, 0.0235874000, 0.0476748000, 0.1107385000, 0.2783598000", \ - "0.0097997000, 0.0111005000, 0.0145890000, 0.0236662000, 0.0477898000, 0.1104330000, 0.2785542000", \ - "0.0105596000, 0.0118798000, 0.0153092000, 0.0244704000, 0.0481426000, 0.1118700000, 0.2781656000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0087413000, 0.0076096000, 0.0047475000, -0.003330100, -0.026501400, -0.089902900, -0.258265500", \ - "0.0083791000, 0.0072704000, 0.0046082000, -0.003447900, -0.026603200, -0.090065400, -0.258441100", \ - "0.0081703000, 0.0071058000, 0.0042752000, -0.003756800, -0.026813000, -0.090276200, -0.258679900", \ - "0.0080407000, 0.0069465000, 0.0041442000, -0.003835000, -0.026966800, -0.090453500, -0.258825700", \ - "0.0079539000, 0.0068569000, 0.0040270000, -0.004031300, -0.027078100, -0.090524100, -0.258908000", \ - "0.0079474000, 0.0068124000, 0.0039681000, -0.004072800, -0.027165300, -0.090601500, -0.258944000", \ - "0.0110402000, 0.0095665000, 0.0059193000, -0.003405500, -0.027278200, -0.090197400, -0.258684900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0096553000, 0.0110923000, 0.0147633000, 0.0241036000, 0.0482821000, 0.1115302000, 0.2777328000", \ - "0.0096871000, 0.0111231000, 0.0147933000, 0.0241333000, 0.0483458000, 0.1114968000, 0.2779232000", \ - "0.0097237000, 0.0111418000, 0.0148000000, 0.0241210000, 0.0483105000, 0.1120814000, 0.2793088000", \ - "0.0095864000, 0.0109779000, 0.0145955000, 0.0239212000, 0.0483534000, 0.1118791000, 0.2793151000", \ - "0.0096312000, 0.0109402000, 0.0144522000, 0.0235877000, 0.0478473000, 0.1112604000, 0.2777492000", \ - "0.0097705000, 0.0111386000, 0.0146562000, 0.0236713000, 0.0479104000, 0.1113941000, 0.2774449000", \ - "0.0103249000, 0.0116200000, 0.0150844000, 0.0242670000, 0.0483503000, 0.1118681000, 0.2771981000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0084427000, 0.0073593000, 0.0045302000, -0.003490800, -0.026569800, -0.089900700, -0.258274600", \ - "0.0083806000, 0.0073029000, 0.0044602000, -0.003545300, -0.026614000, -0.089952600, -0.258303300", \ - "0.0083287000, 0.0072617000, 0.0044384000, -0.003521800, -0.026647600, -0.090040600, -0.258404400", \ - "0.0080974000, 0.0070007000, 0.0041790000, -0.003860800, -0.026943700, -0.090357100, -0.258696700", \ - "0.0077844000, 0.0066802000, 0.0038951000, -0.004129100, -0.027208800, -0.090574600, -0.258935000", \ - "0.0086032000, 0.0072147000, 0.0038152000, -0.004242700, -0.027278300, -0.090690600, -0.259018800", \ - "0.0114445000, 0.0100651000, 0.0065620000, -0.002585400, -0.026682000, -0.090504900, -0.258848700"); - } - related_pin : "C_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0095563000, 0.0109888000, 0.0146701000, 0.0240212000, 0.0481639000, 0.1120518000, 0.2783782000", \ - "0.0095232000, 0.0109636000, 0.0145950000, 0.0239230000, 0.0480760000, 0.1119659000, 0.2766899000", \ - "0.0095481000, 0.0109754000, 0.0146247000, 0.0239657000, 0.0481499000, 0.1120147000, 0.2783835000", \ - "0.0094300000, 0.0108711000, 0.0145012000, 0.0238350000, 0.0479768000, 0.1118146000, 0.2781694000", \ - "0.0092445000, 0.0106782000, 0.0142972000, 0.0236090000, 0.0477956000, 0.1112273000, 0.2777822000", \ - "0.0090661000, 0.0104572000, 0.0139975000, 0.0234197000, 0.0476233000, 0.1107460000, 0.2792615000", \ - "0.0092073000, 0.0105763000, 0.0141573000, 0.0233775000, 0.0474458000, 0.1114165000, 0.2780812000"); - } - } - max_capacitance : 0.1675510000; - max_transition : 1.5027860000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.3305424000, 0.3419813000, 0.3654609000, 0.4093217000, 0.4892520000, 0.6447866000, 1.0000789000", \ - "0.3318817000, 0.3434490000, 0.3669739000, 0.4108993000, 0.4907295000, 0.6462906000, 1.0016041000", \ - "0.3401537000, 0.3515735000, 0.3748814000, 0.4186122000, 0.4987026000, 0.6544583000, 1.0097693000", \ - "0.3634895000, 0.3747739000, 0.3983518000, 0.4419078000, 0.5218656000, 0.6775749000, 1.0329076000", \ - "0.4164326000, 0.4278974000, 0.4513467000, 0.4948538000, 0.5746801000, 0.7310642000, 1.0862773000", \ - "0.5298516000, 0.5416027000, 0.5652294000, 0.6092166000, 0.6897398000, 0.8464147000, 1.2016618000", \ - "0.7312957000, 0.7442102000, 0.7710498000, 0.8200256000, 0.9079016000, 1.0716805000, 1.4318764000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0651026000, 0.0714384000, 0.0863634000, 0.1223128000, 0.2155968000, 0.4593619000, 1.1012183000", \ - "0.0698468000, 0.0762740000, 0.0911232000, 0.1272152000, 0.2204005000, 0.4643833000, 1.1052291000", \ - "0.0813008000, 0.0876599000, 0.1025053000, 0.1386161000, 0.2318758000, 0.4758322000, 1.1165999000", \ - "0.1068812000, 0.1132754000, 0.1280931000, 0.1640376000, 0.2574037000, 0.5014758000, 1.1433181000", \ - "0.1442567000, 0.1513683000, 0.1668888000, 0.2031560000, 0.2962066000, 0.5411394000, 1.1851726000", \ - "0.1872879000, 0.1957812000, 0.2139156000, 0.2517490000, 0.3447105000, 0.5884182000, 1.2324922000", \ - "0.2170282000, 0.2291036000, 0.2524860000, 0.2953550000, 0.3876235000, 0.6324362000, 1.2730095000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0484987000, 0.0561232000, 0.0714613000, 0.1039525000, 0.1713615000, 0.3309080000, 0.7696087000", \ - "0.0489230000, 0.0560278000, 0.0714934000, 0.1038773000, 0.1713692000, 0.3307306000, 0.7699508000", \ - "0.0487553000, 0.0565470000, 0.0718669000, 0.1028186000, 0.1697737000, 0.3310216000, 0.7698535000", \ - "0.0486951000, 0.0566190000, 0.0723495000, 0.1042016000, 0.1698316000, 0.3305712000, 0.7700182000", \ - "0.0486532000, 0.0566718000, 0.0723792000, 0.1032537000, 0.1705221000, 0.3311325000, 0.7702685000", \ - "0.0519377000, 0.0587969000, 0.0743895000, 0.1068512000, 0.1727946000, 0.3319628000, 0.7727883000", \ - "0.0606087000, 0.0695004000, 0.0865040000, 0.1189534000, 0.1880310000, 0.3446312000, 0.7780057000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0207146000, 0.0275521000, 0.0455080000, 0.0947113000, 0.2266335000, 0.5737969000, 1.4954398000", \ - "0.0207136000, 0.0275323000, 0.0455084000, 0.0946994000, 0.2262611000, 0.5746721000, 1.4935203000", \ - "0.0206004000, 0.0273641000, 0.0454594000, 0.0944515000, 0.2263304000, 0.5747925000, 1.4928534000", \ - "0.0213295000, 0.0279258000, 0.0456772000, 0.0945519000, 0.2261682000, 0.5756470000, 1.4923645000", \ - "0.0255262000, 0.0316636000, 0.0483357000, 0.0957027000, 0.2265777000, 0.5751903000, 1.4953503000", \ - "0.0337029000, 0.0403375000, 0.0552204000, 0.0987726000, 0.2279701000, 0.5748091000, 1.4957807000", \ - "0.0474074000, 0.0547745000, 0.0702050000, 0.1088637000, 0.2299608000, 0.5772880000, 1.4927564000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.3068071000, 0.3182184000, 0.3416013000, 0.3853776000, 0.4654677000, 0.6211771000, 0.9766152000", \ - "0.3083168000, 0.3197729000, 0.3430881000, 0.3868379000, 0.4670097000, 0.6228800000, 0.9782967000", \ - "0.3165871000, 0.3280796000, 0.3514080000, 0.3952030000, 0.4747533000, 0.6311592000, 0.9863876000", \ - "0.3400761000, 0.3512468000, 0.3748999000, 0.4185970000, 0.4984330000, 0.6545105000, 1.0099293000", \ - "0.3978824000, 0.4093919000, 0.4326948000, 0.4762464000, 0.5562934000, 0.7128355000, 1.0681283000", \ - "0.5293033000, 0.5411216000, 0.5649699000, 0.6094302000, 0.6894256000, 0.8461698000, 1.2016711000", \ - "0.7665830000, 0.7798816000, 0.8076818000, 0.8578067000, 0.9463992000, 1.1096124000, 1.4687648000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0636142000, 0.0699817000, 0.0849876000, 0.1214513000, 0.2147446000, 0.4589128000, 1.1013233000", \ - "0.0684252000, 0.0748159000, 0.0897987000, 0.1262516000, 0.2195256000, 0.4637773000, 1.1064081000", \ - "0.0799588000, 0.0863123000, 0.1011607000, 0.1375546000, 0.2309031000, 0.4757210000, 1.1196318000", \ - "0.1042556000, 0.1106709000, 0.1255607000, 0.1618446000, 0.2556433000, 0.5008243000, 1.1420123000", \ - "0.1391538000, 0.1461767000, 0.1619013000, 0.1984136000, 0.2918418000, 0.5366855000, 1.1795801000", \ - "0.1778103000, 0.1871174000, 0.2049858000, 0.2424975000, 0.3358505000, 0.5803138000, 1.2229012000", \ - "0.1997005000, 0.2118849000, 0.2360707000, 0.2793445000, 0.3728226000, 0.6178595000, 1.2592969000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0486621000, 0.0561085000, 0.0717510000, 0.1042583000, 0.1713085000, 0.3306716000, 0.7697233000", \ - "0.0487708000, 0.0565623000, 0.0721809000, 0.1033679000, 0.1709231000, 0.3310202000, 0.7697737000", \ - "0.0489832000, 0.0560071000, 0.0716109000, 0.1040265000, 0.1710852000, 0.3308492000, 0.7724914000", \ - "0.0488020000, 0.0558911000, 0.0716004000, 0.1041923000, 0.1696000000, 0.3308049000, 0.7694451000", \ - "0.0487644000, 0.0563433000, 0.0717927000, 0.1033486000, 0.1698576000, 0.3309581000, 0.7692619000", \ - "0.0523187000, 0.0594947000, 0.0744455000, 0.1064287000, 0.1731204000, 0.3309446000, 0.7703247000", \ - "0.0651099000, 0.0732203000, 0.0901650000, 0.1225009000, 0.1896306000, 0.3448562000, 0.7769101000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0199971000, 0.0266860000, 0.0447384000, 0.0939822000, 0.2265618000, 0.5754011000, 1.4946797000", \ - "0.0199470000, 0.0266442000, 0.0446611000, 0.0940204000, 0.2265686000, 0.5755825000, 1.4951254000", \ - "0.0199514000, 0.0267113000, 0.0446447000, 0.0939310000, 0.2260086000, 0.5761644000, 1.4976814000", \ - "0.0209435000, 0.0274148000, 0.0451440000, 0.0940163000, 0.2267498000, 0.5746733000, 1.4948255000", \ - "0.0251231000, 0.0312570000, 0.0476500000, 0.0950848000, 0.2261006000, 0.5757991000, 1.4963538000", \ - "0.0337980000, 0.0403387000, 0.0548097000, 0.0984457000, 0.2272836000, 0.5737981000, 1.4918040000", \ - "0.0484728000, 0.0554593000, 0.0716072000, 0.1094143000, 0.2296137000, 0.5785161000, 1.4920566000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.2982002000, 0.3096548000, 0.3330279000, 0.3769172000, 0.4572802000, 0.6123964000, 0.9678289000", \ - "0.3023878000, 0.3138690000, 0.3372565000, 0.3811665000, 0.4614492000, 0.6165450000, 0.9720509000", \ - "0.3108164000, 0.3223385000, 0.3457083000, 0.3892304000, 0.4695271000, 0.6256679000, 0.9810857000", \ - "0.3260670000, 0.3375491000, 0.3609613000, 0.4049575000, 0.4848161000, 0.6405776000, 0.9960509000", \ - "0.3488172000, 0.3602714000, 0.3836957000, 0.4276546000, 0.5079239000, 0.6642689000, 1.0195388000", \ - "0.3767281000, 0.3880523000, 0.4114163000, 0.4552068000, 0.5351365000, 0.6914234000, 1.0461717000", \ - "0.4010101000, 0.4123880000, 0.4357529000, 0.4797002000, 0.5596783000, 0.7162168000, 1.0714314000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.1228046000, 0.1293107000, 0.1444559000, 0.1810644000, 0.2742474000, 0.5180461000, 1.1638608000", \ - "0.1277553000, 0.1342667000, 0.1493261000, 0.1859209000, 0.2790590000, 0.5247818000, 1.1668686000", \ - "0.1405178000, 0.1470131000, 0.1621150000, 0.1986966000, 0.2919436000, 0.5361234000, 1.1818601000", \ - "0.1712156000, 0.1777148000, 0.1927562000, 0.2293137000, 0.3225314000, 0.5689033000, 1.2117394000", \ - "0.2291518000, 0.2356730000, 0.2508366000, 0.2872868000, 0.3808273000, 0.6258450000, 1.2674670000", \ - "0.3187400000, 0.3254500000, 0.3406911000, 0.3771190000, 0.4706293000, 0.7143745000, 1.3584840000", \ - "0.4567011000, 0.4639109000, 0.4796962000, 0.5160563000, 0.6096273000, 0.8547134000, 1.4954723000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0487074000, 0.0561095000, 0.0716134000, 0.1030206000, 0.1702623000, 0.3312943000, 0.7715000000", \ - "0.0486939000, 0.0561429000, 0.0716374000, 0.1028306000, 0.1697015000, 0.3312440000, 0.7716902000", \ - "0.0488978000, 0.0560529000, 0.0716343000, 0.1036146000, 0.1710523000, 0.3311616000, 0.7716864000", \ - "0.0486073000, 0.0558897000, 0.0715541000, 0.1038969000, 0.1712447000, 0.3302759000, 0.7711926000", \ - "0.0487397000, 0.0563735000, 0.0715173000, 0.1034149000, 0.1700228000, 0.3301849000, 0.7730171000", \ - "0.0488186000, 0.0561308000, 0.0717073000, 0.1032198000, 0.1695353000, 0.3309940000, 0.7711933000", \ - "0.0492178000, 0.0563055000, 0.0718326000, 0.1038045000, 0.1712739000, 0.3308177000, 0.7699369000"); - } - related_pin : "C_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0208649000, 0.0274273000, 0.0452183000, 0.0938506000, 0.2261101000, 0.5777290000, 1.5006113000", \ - "0.0207778000, 0.0274063000, 0.0451772000, 0.0941082000, 0.2260055000, 0.5772142000, 1.4956998000", \ - "0.0207899000, 0.0274784000, 0.0451514000, 0.0939520000, 0.2261406000, 0.5778263000, 1.5027855000", \ - "0.0207759000, 0.0274003000, 0.0451903000, 0.0938908000, 0.2259585000, 0.5771925000, 1.5005415000", \ - "0.0212409000, 0.0277963000, 0.0455146000, 0.0940247000, 0.2255490000, 0.5765038000, 1.4914070000", \ - "0.0224037000, 0.0288473000, 0.0462044000, 0.0943810000, 0.2256020000, 0.5739504000, 1.5011697000", \ - "0.0252160000, 0.0312942000, 0.0478426000, 0.0953696000, 0.2260104000, 0.5749118000, 1.4933946000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or3b_2") { - leakage_power () { - value : 0.0062632000; - when : "!A&!B&C_N"; - } - leakage_power () { - value : 0.0019541000; - when : "!A&!B&!C_N"; - } - leakage_power () { - value : 0.0019497000; - when : "!A&B&C_N"; - } - leakage_power () { - value : 0.0012591000; - when : "!A&B&!C_N"; - } - leakage_power () { - value : 0.0019113000; - when : "A&!B&C_N"; - } - leakage_power () { - value : 0.0012528000; - when : "A&!B&!C_N"; - } - leakage_power () { - value : 0.0017152000; - when : "A&B&C_N"; - } - leakage_power () { - value : 0.0012293000; - when : "A&B&!C_N"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__or3b"; - cell_leakage_power : 0.0021918340; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013940000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0019029000, 0.0019079000, 0.0019195000, 0.0019203000, 0.0019223000, 0.0019268000, 0.0019372000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001719900, -0.001731300, -0.001757500, -0.001763200, -0.001776300, -0.001806600, -0.001876300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015340000; - } - pin ("B") { - capacitance : 0.0015510000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014860000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027468000, 0.0027432000, 0.0027350000, 0.0027364000, 0.0027397000, 0.0027473000, 0.0027649000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002660500, -0.002675400, -0.002709800, -0.002711500, -0.002715500, -0.002724800, -0.002746100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016150000; - } - pin ("C_N") { - capacitance : 0.0015090000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014460000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079107000, 0.0078134000, 0.0075892000, 0.0076372000, 0.0077477000, 0.0080025000, 0.0085899000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0013068000, 0.0012407000, 0.0010884000, 0.0011307000, 0.0012282000, 0.0014531000, 0.0019713000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015720000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (!C_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014260920, 0.0040674750, 0.0116011800, 0.0330887100, 0.0943750500, 0.2691750000"); - values("0.0129957000, 0.0112103000, 0.0069188000, -0.004184800, -0.037135100, -0.134812000, -0.417779100", \ - "0.0128855000, 0.0111125000, 0.0068223000, -0.004377600, -0.037260500, -0.134898300, -0.417912500", \ - "0.0126655000, 0.0108878000, 0.0066598000, -0.004476700, -0.037435900, -0.135077000, -0.418065700", \ - "0.0125744000, 0.0107609000, 0.0065363000, -0.004675100, -0.037360300, -0.135269000, -0.418193500", \ - "0.0124346000, 0.0107775000, 0.0065523000, -0.004688700, -0.037612300, -0.135453000, -0.418303700", \ - "0.0124975000, 0.0107366000, 0.0064994000, -0.004801700, -0.037780800, -0.135531400, -0.418371800", \ - "0.0152040000, 0.0133145000, 0.0084165000, -0.004363700, -0.037595400, -0.135373300, -0.418248100"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014260920, 0.0040674750, 0.0116011800, 0.0330887100, 0.0943750500, 0.2691750000"); - values("0.0151084000, 0.0167142000, 0.0212008000, 0.0335895000, 0.0682007000, 0.1662493000, 0.4457676000", \ - "0.0150553000, 0.0166939000, 0.0211962000, 0.0335608000, 0.0682158000, 0.1662083000, 0.4459229000", \ - "0.0150165000, 0.0166287000, 0.0211573000, 0.0335095000, 0.0681561000, 0.1662242000, 0.4461004000", \ - "0.0149791000, 0.0165643000, 0.0210326000, 0.0334135000, 0.0680816000, 0.1661816000, 0.4457237000", \ - "0.0149919000, 0.0165493000, 0.0209262000, 0.0331458000, 0.0677866000, 0.1661437000, 0.4456176000", \ - "0.0156167000, 0.0170825000, 0.0213557000, 0.0332255000, 0.0678382000, 0.1658358000, 0.4457411000", \ - "0.0164527000, 0.0179073000, 0.0220087000, 0.0343955000, 0.0685073000, 0.1665791000, 0.4453040000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014260920, 0.0040674750, 0.0116011800, 0.0330887100, 0.0943750500, 0.2691750000"); - values("0.0115160000, 0.0097450000, 0.0054707000, -0.005712700, -0.038580200, -0.136288100, -0.419110300", \ - "0.0116805000, 0.0099013000, 0.0053792000, -0.005663100, -0.038492200, -0.136368300, -0.419172000", \ - "0.0112620000, 0.0094888000, 0.0052703000, -0.005941200, -0.038618800, -0.136447400, -0.419265800", \ - "0.0111451000, 0.0093792000, 0.0051190000, -0.006049500, -0.038704900, -0.136625700, -0.419394000", \ - "0.0111020000, 0.0093103000, 0.0050303000, -0.006187800, -0.039035200, -0.136722900, -0.419442100", \ - "0.0112583000, 0.0094846000, 0.0052088000, -0.006069500, -0.039044200, -0.136764900, -0.419556500", \ - "0.0135323000, 0.0116358000, 0.0066800000, -0.006213800, -0.039071700, -0.136765700, -0.419488800"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014260920, 0.0040674750, 0.0116011800, 0.0330887100, 0.0943750500, 0.2691750000"); - values("0.0150360000, 0.0166542000, 0.0212488000, 0.0338238000, 0.0687951000, 0.1672149000, 0.4467358000", \ - "0.0150380000, 0.0166630000, 0.0212163000, 0.0338481000, 0.0688112000, 0.1671745000, 0.4472807000", \ - "0.0150296000, 0.0166736000, 0.0212498000, 0.0338018000, 0.0687879000, 0.1673398000, 0.4469359000", \ - "0.0150787000, 0.0166713000, 0.0210644000, 0.0335422000, 0.0685190000, 0.1668656000, 0.4467900000", \ - "0.0149873000, 0.0165163000, 0.0209755000, 0.0331391000, 0.0681037000, 0.1667317000, 0.4466843000", \ - "0.0154694000, 0.0170451000, 0.0213539000, 0.0335229000, 0.0680359000, 0.1663508000, 0.4464475000", \ - "0.0162063000, 0.0176538000, 0.0217518000, 0.0340478000, 0.0684800000, 0.1669203000, 0.4458680000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014260920, 0.0040674750, 0.0116011800, 0.0330887100, 0.0943750500, 0.2691750000"); - values("0.0117759000, 0.0100100000, 0.0057442000, -0.005459300, -0.038360500, -0.135978400, -0.418817300", \ - "0.0117913000, 0.0099963000, 0.0057989000, -0.005412500, -0.038362700, -0.136026500, -0.418807900", \ - "0.0116746000, 0.0099091000, 0.0056412000, -0.005557300, -0.038454400, -0.136017500, -0.418898200", \ - "0.0113519000, 0.0095743000, 0.0052922000, -0.005924800, -0.038765400, -0.136380700, -0.419204000", \ - "0.0110596000, 0.0092832000, 0.0050369000, -0.006142900, -0.038994600, -0.136718200, -0.419470400", \ - "0.0109519000, 0.0091936000, 0.0048776000, -0.006108000, -0.039055500, -0.136809800, -0.419648800", \ - "0.0164658000, 0.0147026000, 0.0099522000, -0.002566600, -0.037444200, -0.136688800, -0.419512400"); - } - related_pin : "C_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014260920, 0.0040674750, 0.0116011800, 0.0330887100, 0.0943750500, 0.2691750000"); - values("0.0147176000, 0.0162917000, 0.0208056000, 0.0333190000, 0.0682480000, 0.1667441000, 0.4472277000", \ - "0.0145881000, 0.0162363000, 0.0207706000, 0.0332905000, 0.0681511000, 0.1666114000, 0.4468183000", \ - "0.0146969000, 0.0163063000, 0.0207661000, 0.0333640000, 0.0682267000, 0.1666726000, 0.4468134000", \ - "0.0145726000, 0.0161467000, 0.0206590000, 0.0331710000, 0.0681479000, 0.1665860000, 0.4472523000", \ - "0.0143657000, 0.0159853000, 0.0205228000, 0.0330042000, 0.0679064000, 0.1665138000, 0.4470841000", \ - "0.0143460000, 0.0158868000, 0.0203058000, 0.0328101000, 0.0675925000, 0.1659526000, 0.4465890000", \ - "0.0146738000, 0.0162218000, 0.0205956000, 0.0329812000, 0.0674100000, 0.1664987000, 0.4456512000"); - } - } - max_capacitance : 0.2691750000; - max_transition : 1.5041460000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014260900, 0.0040674800, 0.0116012000, 0.0330887000, 0.0943750000, 0.2691750000"); - values("0.4233013000, 0.4333099000, 0.4551437000, 0.4991850000, 0.5783755000, 0.7248681000, 1.0397053000", \ - "0.4264182000, 0.4364918000, 0.4586889000, 0.5022006000, 0.5815515000, 0.7280774000, 1.0430252000", \ - "0.4358371000, 0.4457187000, 0.4679451000, 0.5115672000, 0.5908670000, 0.7373840000, 1.0523041000", \ - "0.4595837000, 0.4693560000, 0.4915909000, 0.5350098000, 0.6139237000, 0.7609258000, 1.0758054000", \ - "0.5129731000, 0.5229235000, 0.5452619000, 0.5887391000, 0.6680922000, 0.8155834000, 1.1297510000", \ - "0.6321961000, 0.6420969000, 0.6642631000, 0.7077200000, 0.7869497000, 0.9344651000, 1.2494352000", \ - "0.8651272000, 0.8758355000, 0.8992188000, 0.9465712000, 1.0315881000, 1.1849404000, 1.5041284000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014260900, 0.0040674800, 0.0116012000, 0.0330887000, 0.0943750000, 0.2691750000"); - values("0.0760396000, 0.0813894000, 0.0942192000, 0.1251586000, 0.2070926000, 0.4370092000, 1.0919966000", \ - "0.0807637000, 0.0861414000, 0.0989985000, 0.1298192000, 0.2119086000, 0.4416733000, 1.0966347000", \ - "0.0921291000, 0.0974817000, 0.1103475000, 0.1411614000, 0.2232433000, 0.4528271000, 1.1078974000", \ - "0.1186053000, 0.1239247000, 0.1365832000, 0.1672978000, 0.2492830000, 0.4793334000, 1.1345558000", \ - "0.1627769000, 0.1687669000, 0.1822266000, 0.2137191000, 0.2958769000, 0.5258921000, 1.1813300000", \ - "0.2163288000, 0.2240947000, 0.2409195000, 0.2744321000, 0.3563337000, 0.5863420000, 1.2429850000", \ - "0.2613452000, 0.2717191000, 0.2938498000, 0.3356568000, 0.4197714000, 0.6496168000, 1.3029634000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014260900, 0.0040674800, 0.0116012000, 0.0330887000, 0.0943750000, 0.2691750000"); - values("0.0631837000, 0.0690114000, 0.0822351000, 0.1110561000, 0.1660835000, 0.2954362000, 0.6438052000", \ - "0.0634660000, 0.0692982000, 0.0825785000, 0.1097507000, 0.1664622000, 0.2952816000, 0.6440825000", \ - "0.0633931000, 0.0692480000, 0.0822934000, 0.1110559000, 0.1660124000, 0.2954668000, 0.6440735000", \ - "0.0637969000, 0.0692204000, 0.0823080000, 0.1097497000, 0.1667530000, 0.2953011000, 0.6437546000", \ - "0.0632049000, 0.0692395000, 0.0831833000, 0.1096928000, 0.1655713000, 0.2942663000, 0.6446588000", \ - "0.0635269000, 0.0695548000, 0.0828040000, 0.1100221000, 0.1665087000, 0.2941261000, 0.6437791000", \ - "0.0744582000, 0.0803872000, 0.0937426000, 0.1224766000, 0.1783518000, 0.3031606000, 0.6487577000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014260900, 0.0040674800, 0.0116012000, 0.0330887000, 0.0943750000, 0.2691750000"); - values("0.0202422000, 0.0251910000, 0.0389038000, 0.0786107000, 0.1959205000, 0.5346699000, 1.5002801000", \ - "0.0201499000, 0.0251766000, 0.0389414000, 0.0787129000, 0.1960137000, 0.5346283000, 1.5008451000", \ - "0.0200814000, 0.0251186000, 0.0388991000, 0.0785934000, 0.1958811000, 0.5343157000, 1.5012469000", \ - "0.0203681000, 0.0253147000, 0.0389887000, 0.0785983000, 0.1956477000, 0.5347249000, 1.4998413000", \ - "0.0249638000, 0.0296299000, 0.0426520000, 0.0806526000, 0.1958036000, 0.5348736000, 1.4983733000", \ - "0.0341095000, 0.0389798000, 0.0514675000, 0.0865344000, 0.1980503000, 0.5347801000, 1.4986477000", \ - "0.0481111000, 0.0551791000, 0.0688902000, 0.1019402000, 0.2033408000, 0.5361140000, 1.4950936000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014260900, 0.0040674800, 0.0116012000, 0.0330887000, 0.0943750000, 0.2691750000"); - values("0.4003292000, 0.4103024000, 0.4323696000, 0.4761112000, 0.5552104000, 0.7021106000, 1.0172486000", \ - "0.4032079000, 0.4129076000, 0.4351971000, 0.4788486000, 0.5575875000, 0.7046882000, 1.0196423000", \ - "0.4122502000, 0.4221552000, 0.4443970000, 0.4878281000, 0.5667875000, 0.7138640000, 1.0288435000", \ - "0.4363810000, 0.4461868000, 0.4679190000, 0.5117900000, 0.5907761000, 0.7378027000, 1.0528425000", \ - "0.4946077000, 0.5044559000, 0.5266685000, 0.5700201000, 0.6493380000, 0.7963816000, 1.1119021000", \ - "0.6325266000, 0.6424248000, 0.6645319000, 0.7079972000, 0.7871755000, 0.9346977000, 1.2498220000", \ - "0.9062378000, 0.9171899000, 0.9413514000, 0.9886429000, 1.0736045000, 1.2269522000, 1.5458821000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014260900, 0.0040674800, 0.0116012000, 0.0330887000, 0.0943750000, 0.2691750000"); - values("0.0743896000, 0.0796954000, 0.0925047000, 0.1234391000, 0.2057727000, 0.4366404000, 1.0906468000", \ - "0.0791422000, 0.0844737000, 0.0972778000, 0.1282865000, 0.2107407000, 0.4411693000, 1.0957889000", \ - "0.0906901000, 0.0960053000, 0.1088107000, 0.1397175000, 0.2220403000, 0.4532823000, 1.1080646000", \ - "0.1168393000, 0.1220912000, 0.1346304000, 0.1653769000, 0.2475703000, 0.4785882000, 1.1342711000", \ - "0.1592984000, 0.1653038000, 0.1790121000, 0.2101668000, 0.2924442000, 0.5234576000, 1.1794483000", \ - "0.2093567000, 0.2169764000, 0.2340032000, 0.2680147000, 0.3505291000, 0.5801946000, 1.2365414000", \ - "0.2508449000, 0.2614360000, 0.2837212000, 0.3261887000, 0.4115697000, 0.6410968000, 1.2947758000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014260900, 0.0040674800, 0.0116012000, 0.0330887000, 0.0943750000, 0.2691750000"); - values("0.0635623000, 0.0692673000, 0.0828353000, 0.1110741000, 0.1652981000, 0.2949714000, 0.6445709000", \ - "0.0634655000, 0.0694445000, 0.0823549000, 0.1115315000, 0.1667549000, 0.2951389000, 0.6437518000", \ - "0.0633988000, 0.0692433000, 0.0823537000, 0.1097735000, 0.1664650000, 0.2951700000, 0.6437708000", \ - "0.0634459000, 0.0690589000, 0.0821281000, 0.1097765000, 0.1678566000, 0.2940699000, 0.6439811000", \ - "0.0635365000, 0.0689998000, 0.0826392000, 0.1097700000, 0.1673761000, 0.2950072000, 0.6428124000", \ - "0.0635633000, 0.0692091000, 0.0826786000, 0.1114480000, 0.1655859000, 0.2946089000, 0.6433763000", \ - "0.0770880000, 0.0834398000, 0.0974594000, 0.1251926000, 0.1797342000, 0.3035001000, 0.6492211000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014260900, 0.0040674800, 0.0116012000, 0.0330887000, 0.0943750000, 0.2691750000"); - values("0.0195877000, 0.0245304000, 0.0382000000, 0.0779624000, 0.1955316000, 0.5339006000, 1.5017652000", \ - "0.0195744000, 0.0245195000, 0.0381926000, 0.0777333000, 0.1954298000, 0.5339991000, 1.5013915000", \ - "0.0195428000, 0.0244981000, 0.0381958000, 0.0778851000, 0.1954279000, 0.5346798000, 1.4996916000", \ - "0.0199140000, 0.0248201000, 0.0385640000, 0.0779229000, 0.1954795000, 0.5337494000, 1.4967371000", \ - "0.0247531000, 0.0294182000, 0.0422076000, 0.0800713000, 0.1959226000, 0.5336119000, 1.4991101000", \ - "0.0341886000, 0.0397715000, 0.0519418000, 0.0863609000, 0.1977074000, 0.5330602000, 1.4981667000", \ - "0.0488244000, 0.0554938000, 0.0700494000, 0.1024742000, 0.2032094000, 0.5349721000, 1.4981170000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014260900, 0.0040674800, 0.0116012000, 0.0330887000, 0.0943750000, 0.2691750000"); - values("0.3985092000, 0.4087021000, 0.4309520000, 0.4744105000, 0.5537318000, 0.7002655000, 1.0154020000", \ - "0.4027128000, 0.4128106000, 0.4350010000, 0.4787984000, 0.5579454000, 0.7048888000, 1.0200296000", \ - "0.4113749000, 0.4214818000, 0.4437221000, 0.4873533000, 0.5667809000, 0.7133410000, 1.0284291000", \ - "0.4263331000, 0.4364088000, 0.4587127000, 0.5022043000, 0.5817177000, 0.7283517000, 1.0435155000", \ - "0.4479692000, 0.4579474000, 0.4800815000, 0.5236623000, 0.6032299000, 0.7510210000, 1.0658228000", \ - "0.4720880000, 0.4820437000, 0.5041192000, 0.5475454000, 0.6263155000, 0.7739704000, 1.0881774000", \ - "0.4840692000, 0.4939717000, 0.5160624000, 0.5597952000, 0.6389879000, 0.7864687000, 1.1004574000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014260900, 0.0040674800, 0.0116012000, 0.0330887000, 0.0943750000, 0.2691750000"); - values("0.1556845000, 0.1611163000, 0.1742217000, 0.2054693000, 0.2878104000, 0.5179178000, 1.1770964000", \ - "0.1600826000, 0.1656300000, 0.1787397000, 0.2099740000, 0.2920276000, 0.5229185000, 1.1794510000", \ - "0.1730054000, 0.1785585000, 0.1915656000, 0.2228657000, 0.3049143000, 0.5357649000, 1.1922090000", \ - "0.2050425000, 0.2104797000, 0.2235904000, 0.2548292000, 0.3372025000, 0.5672371000, 1.2230434000", \ - "0.2730887000, 0.2786290000, 0.2917767000, 0.3229772000, 0.4054462000, 0.6357160000, 1.2958207000", \ - "0.3857036000, 0.3913849000, 0.4047856000, 0.4361661000, 0.5183641000, 0.7482008000, 1.4055619000", \ - "0.5658517000, 0.5720637000, 0.5860881000, 0.6180150000, 0.7004880000, 0.9309666000, 1.5850488000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014260900, 0.0040674800, 0.0116012000, 0.0330887000, 0.0943750000, 0.2691750000"); - values("0.0635900000, 0.0692998000, 0.0828173000, 0.1097224000, 0.1665287000, 0.2953980000, 0.6440091000", \ - "0.0635333000, 0.0692780000, 0.0826990000, 0.1110613000, 0.1650799000, 0.2942804000, 0.6440053000", \ - "0.0635988000, 0.0693055000, 0.0823620000, 0.1096979000, 0.1660262000, 0.2953802000, 0.6441108000", \ - "0.0635244000, 0.0692828000, 0.0827644000, 0.1098471000, 0.1671276000, 0.2953656000, 0.6440196000", \ - "0.0632365000, 0.0689928000, 0.0831716000, 0.1096659000, 0.1660342000, 0.2935150000, 0.6447364000", \ - "0.0629414000, 0.0688331000, 0.0819915000, 0.1090378000, 0.1644825000, 0.2947634000, 0.6437816000", \ - "0.0633393000, 0.0690627000, 0.0825592000, 0.1106705000, 0.1656066000, 0.2934285000, 0.6417212000"); - } - related_pin : "C_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014260900, 0.0040674800, 0.0116012000, 0.0330887000, 0.0943750000, 0.2691750000"); - values("0.0208910000, 0.0258767000, 0.0393966000, 0.0787673000, 0.1955400000, 0.5348011000, 1.5007642000", \ - "0.0208631000, 0.0258299000, 0.0394003000, 0.0785341000, 0.1951568000, 0.5355629000, 1.4999018000", \ - "0.0208249000, 0.0257160000, 0.0393845000, 0.0785430000, 0.1950995000, 0.5353256000, 1.4986760000", \ - "0.0208906000, 0.0258690000, 0.0393950000, 0.0787665000, 0.1956566000, 0.5347473000, 1.5041464000", \ - "0.0210772000, 0.0259981000, 0.0395522000, 0.0788407000, 0.1957770000, 0.5348642000, 1.5008197000", \ - "0.0221920000, 0.0271394000, 0.0404999000, 0.0794316000, 0.1958477000, 0.5325662000, 1.5032084000", \ - "0.0249702000, 0.0298861000, 0.0428399000, 0.0808874000, 0.1957915000, 0.5332608000, 1.4988284000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or3b_4") { - leakage_power () { - value : 0.0053899000; - when : "!A&!B&C_N"; - } - leakage_power () { - value : 0.0046117000; - when : "!A&!B&!C_N"; - } - leakage_power () { - value : 0.0032644000; - when : "!A&B&C_N"; - } - leakage_power () { - value : 0.0024951000; - when : "!A&B&!C_N"; - } - leakage_power () { - value : 0.0031463000; - when : "A&!B&C_N"; - } - leakage_power () { - value : 0.0024858000; - when : "A&!B&!C_N"; - } - leakage_power () { - value : 0.0027968000; - when : "A&B&C_N"; - } - leakage_power () { - value : 0.0024648000; - when : "A&B&!C_N"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__or3b"; - cell_leakage_power : 0.0033318510; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024290000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040168000, 0.0040102000, 0.0039950000, 0.0039964000, 0.0039994000, 0.0040064000, 0.0040225000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003482000, -0.003515800, -0.003593800, -0.003604900, -0.003630600, -0.003689700, -0.003826000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025620000; - } - pin ("B") { - capacitance : 0.0023740000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022240000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0043522000, 0.0043488000, 0.0043410000, 0.0043382000, 0.0043319000, 0.0043173000, 0.0042836000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004074000, -0.004135000, -0.004275600, -0.004279300, -0.004287600, -0.004306900, -0.004351400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025240000; - } - pin ("C_N") { - capacitance : 0.0014920000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014260000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0090681000, 0.0089661000, 0.0087310000, 0.0087725000, 0.0088681000, 0.0090886000, 0.0095967000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0031976000, 0.0031437000, 0.0030193000, 0.0030563000, 0.0031416000, 0.0033382000, 0.0037914000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015570000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (!C_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000"); - values("0.0246152000, 0.0226482000, 0.0170047000, 0.0019364000, -0.046796400, -0.208967500, -0.726187500", \ - "0.0245057000, 0.0225152000, 0.0173584000, 0.0020890000, -0.046658900, -0.209031300, -0.726351800", \ - "0.0242879000, 0.0223095000, 0.0172356000, 0.0014802000, -0.046771200, -0.209223700, -0.726530200", \ - "0.0240041000, 0.0220507000, 0.0165197000, 0.0013004000, -0.047414800, -0.209525000, -0.726718600", \ - "0.0239445000, 0.0220082000, 0.0163301000, 0.0012111000, -0.047477600, -0.209693400, -0.726904600", \ - "0.0239106000, 0.0218967000, 0.0163961000, 0.0011287000, -0.047714500, -0.209775000, -0.726932700", \ - "0.0304134000, 0.0282844000, 0.0221002000, 0.0046812000, -0.048277300, -0.209683500, -0.726652400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000"); - values("0.0299791000, 0.0318403000, 0.0374606000, 0.0548826000, 0.1077281000, 0.2714925000, 0.7828965000", \ - "0.0299268000, 0.0317753000, 0.0374116000, 0.0548269000, 0.1077368000, 0.2714519000, 0.7832381000", \ - "0.0297939000, 0.0316427000, 0.0373534000, 0.0547873000, 0.1076368000, 0.2715232000, 0.7833878000", \ - "0.0298683000, 0.0317007000, 0.0373898000, 0.0547269000, 0.1075702000, 0.2712969000, 0.7828822000", \ - "0.0298552000, 0.0316561000, 0.0372419000, 0.0540279000, 0.1070214000, 0.2712628000, 0.7825997000", \ - "0.0309467000, 0.0326395000, 0.0380484000, 0.0549796000, 0.1070637000, 0.2705166000, 0.7825327000", \ - "0.0324280000, 0.0340839000, 0.0393746000, 0.0558521000, 0.1083966000, 0.2718207000, 0.7818669000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000"); - values("0.0221167000, 0.0201394000, 0.0144889000, -0.000652600, -0.049505800, -0.211596300, -0.728643600", \ - "0.0219180000, 0.0198900000, 0.0141635000, -0.000928600, -0.049636300, -0.211792000, -0.728759500", \ - "0.0214684000, 0.0194576000, 0.0138102000, -0.001241800, -0.049939300, -0.211907600, -0.728860100", \ - "0.0214872000, 0.0195747000, 0.0140561000, -0.001120700, -0.050150200, -0.212020700, -0.729071200", \ - "0.0211080000, 0.0191869000, 0.0135878000, -0.001646500, -0.050287900, -0.212181100, -0.729165600", \ - "0.0213668000, 0.0195421000, 0.0135604000, -0.001705400, -0.050339400, -0.212307300, -0.729218600", \ - "0.0277056000, 0.0255409000, 0.0204962000, 0.0023207000, -0.048797100, -0.211864800, -0.728833000"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000"); - values("0.0295141000, 0.0312973000, 0.0371072000, 0.0547546000, 0.1082594000, 0.2728366000, 0.7843946000", \ - "0.0295501000, 0.0314250000, 0.0371354000, 0.0548513000, 0.1082854000, 0.2728950000, 0.7846348000", \ - "0.0295459000, 0.0313975000, 0.0372612000, 0.0548896000, 0.1083687000, 0.2728710000, 0.7848073000", \ - "0.0294495000, 0.0313477000, 0.0370827000, 0.0546204000, 0.1079429000, 0.2727076000, 0.7841998000", \ - "0.0294385000, 0.0312367000, 0.0368727000, 0.0538801000, 0.1071304000, 0.2721492000, 0.7840638000", \ - "0.0301777000, 0.0319199000, 0.0372093000, 0.0541989000, 0.1066965000, 0.2706968000, 0.7833191000", \ - "0.0317339000, 0.0333621000, 0.0386026000, 0.0553443000, 0.1079765000, 0.2721887000, 0.7818958000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000"); - values("0.0216480000, 0.0196613000, 0.0140170000, -0.001108100, -0.049905200, -0.211756600, -0.728756500", \ - "0.0214174000, 0.0194493000, 0.0139654000, -0.001256700, -0.049872300, -0.211718900, -0.728715800", \ - "0.0214686000, 0.0194462000, 0.0138792000, -0.001336300, -0.049952300, -0.211734700, -0.728745500", \ - "0.0210938000, 0.0191176000, 0.0134615000, -0.001373300, -0.050184900, -0.212169500, -0.729155500", \ - "0.0206922000, 0.0187774000, 0.0131412000, -0.002050100, -0.050695900, -0.212537100, -0.729421500", \ - "0.0206775000, 0.0186869000, 0.0130329000, -0.001834400, -0.050644700, -0.212692200, -0.729629800", \ - "0.0302091000, 0.0281970000, 0.0222979000, 0.0051044000, -0.047551800, -0.212587600, -0.729599300"); - } - related_pin : "C_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015647370, 0.0048968030, 0.0153244200, 0.0479573500, 0.1500813000, 0.4696754000"); - values("0.0284090000, 0.0302770000, 0.0359766000, 0.0535586000, 0.1068081000, 0.2710182000, 0.7838679000", \ - "0.0284059000, 0.0302542000, 0.0359957000, 0.0535194000, 0.1068232000, 0.2710564000, 0.7848658000", \ - "0.0284558000, 0.0302888000, 0.0360384000, 0.0535713000, 0.1068438000, 0.2712376000, 0.7842507000", \ - "0.0282252000, 0.0300944000, 0.0358434000, 0.0533750000, 0.1066336000, 0.2707443000, 0.7842648000", \ - "0.0280105000, 0.0298608000, 0.0355992000, 0.0529457000, 0.1063716000, 0.2707313000, 0.7832111000", \ - "0.0277820000, 0.0296097000, 0.0352000000, 0.0526852000, 0.1058137000, 0.2701532000, 0.7802186000", \ - "0.0285567000, 0.0303247000, 0.0358315000, 0.0527961000, 0.1054494000, 0.2704752000, 0.7822757000"); - } - } - max_capacitance : 0.4696750000; - max_transition : 1.5076340000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.3540623000, 0.3599510000, 0.3752742000, 0.4089528000, 0.4750836000, 0.6047603000, 0.9061105000", \ - "0.3584454000, 0.3644727000, 0.3798000000, 0.4134047000, 0.4792793000, 0.6096763000, 0.9107041000", \ - "0.3698933000, 0.3757562000, 0.3911866000, 0.4245824000, 0.4904405000, 0.6210565000, 0.9220935000", \ - "0.3957793000, 0.4016373000, 0.4169279000, 0.4504742000, 0.5168650000, 0.6466119000, 0.9480476000", \ - "0.4526578000, 0.4587217000, 0.4739282000, 0.5073722000, 0.5731879000, 0.7037145000, 1.0052240000", \ - "0.5772892000, 0.5832727000, 0.5984298000, 0.6319303000, 0.6981941000, 0.8287229000, 1.1301647000", \ - "0.8133039000, 0.8197731000, 0.8363008000, 0.8749487000, 0.9467584000, 1.0844181000, 1.3912773000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0870782000, 0.0909273000, 0.1011337000, 0.1276647000, 0.2010622000, 0.4248073000, 1.1240081000", \ - "0.0916340000, 0.0954553000, 0.1056981000, 0.1321928000, 0.2055719000, 0.4299305000, 1.1260006000", \ - "0.1024289000, 0.1062593000, 0.1165346000, 0.1430345000, 0.2164595000, 0.4406798000, 1.1370449000", \ - "0.1287063000, 0.1324852000, 0.1426709000, 0.1689088000, 0.2423726000, 0.4658678000, 1.1653998000", \ - "0.1753846000, 0.1795992000, 0.1904298000, 0.2172682000, 0.2908657000, 0.5146915000, 1.2113366000", \ - "0.2321183000, 0.2374702000, 0.2509198000, 0.2803278000, 0.3547197000, 0.5772017000, 1.2743783000", \ - "0.2794887000, 0.2865617000, 0.3042274000, 0.3412081000, 0.4193370000, 0.6415857000, 1.3369185000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0558550000, 0.0588947000, 0.0679129000, 0.0883809000, 0.1350495000, 0.2525989000, 0.6011208000", \ - "0.0557690000, 0.0588804000, 0.0684245000, 0.0893436000, 0.1351127000, 0.2522063000, 0.6018273000", \ - "0.0558807000, 0.0588958000, 0.0683475000, 0.0884345000, 0.1358314000, 0.2521656000, 0.6017971000", \ - "0.0556668000, 0.0588763000, 0.0681846000, 0.0894147000, 0.1353092000, 0.2525194000, 0.6012226000", \ - "0.0558189000, 0.0588301000, 0.0678168000, 0.0885497000, 0.1356936000, 0.2518933000, 0.6018597000", \ - "0.0572460000, 0.0605441000, 0.0693381000, 0.0895200000, 0.1358165000, 0.2525504000, 0.6015796000", \ - "0.0686804000, 0.0720586000, 0.0810129000, 0.1024778000, 0.1499316000, 0.2663872000, 0.6086189000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0220283000, 0.0253677000, 0.0355234000, 0.0666643000, 0.1676387000, 0.4898717000, 1.5014705000", \ - "0.0220367000, 0.0253845000, 0.0355660000, 0.0666408000, 0.1676583000, 0.4904729000, 1.4990994000", \ - "0.0220404000, 0.0254095000, 0.0355064000, 0.0666425000, 0.1676202000, 0.4900303000, 1.4974357000", \ - "0.0218578000, 0.0252308000, 0.0353015000, 0.0665512000, 0.1678867000, 0.4902256000, 1.5010562000", \ - "0.0260896000, 0.0292245000, 0.0387970000, 0.0686201000, 0.1680500000, 0.4892808000, 1.4995180000", \ - "0.0356863000, 0.0388490000, 0.0482548000, 0.0752537000, 0.1702107000, 0.4899172000, 1.5001475000", \ - "0.0502321000, 0.0544200000, 0.0655840000, 0.0922725000, 0.1781448000, 0.4917696000, 1.4982672000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.3339928000, 0.3399032000, 0.3551026000, 0.3887269000, 0.4548951000, 0.5854432000, 0.8866092000", \ - "0.3376929000, 0.3435798000, 0.3589065000, 0.3924808000, 0.4585287000, 0.5892872000, 0.8902963000", \ - "0.3480632000, 0.3539165000, 0.3691836000, 0.4028290000, 0.4689931000, 0.5988839000, 0.9004401000", \ - "0.3735754000, 0.3794508000, 0.3946740000, 0.4281181000, 0.4942324000, 0.6249818000, 0.9261798000", \ - "0.4340337000, 0.4398907000, 0.4550714000, 0.4886230000, 0.5545225000, 0.6851701000, 0.9873564000", \ - "0.5734022000, 0.5795640000, 0.5949928000, 0.6285298000, 0.6943627000, 0.8256020000, 1.1271870000", \ - "0.8409997000, 0.8475585000, 0.8653011000, 0.9029321000, 0.9761244000, 1.1140757000, 1.4210193000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0836767000, 0.0873944000, 0.0975874000, 0.1240198000, 0.1976676000, 0.4218199000, 1.1196065000", \ - "0.0883799000, 0.0921558000, 0.1022658000, 0.1287265000, 0.2025641000, 0.4264231000, 1.1242318000", \ - "0.0993519000, 0.1031208000, 0.1132911000, 0.1396625000, 0.2132682000, 0.4377765000, 1.1367590000", \ - "0.1252852000, 0.1290164000, 0.1390813000, 0.1653007000, 0.2390277000, 0.4631757000, 1.1598881000", \ - "0.1699099000, 0.1741026000, 0.1849428000, 0.2117680000, 0.2851810000, 0.5095759000, 1.2057478000", \ - "0.2229642000, 0.2283811000, 0.2415789000, 0.2714403000, 0.3457045000, 0.5694853000, 1.2682044000", \ - "0.2647057000, 0.2719754000, 0.2898560000, 0.3271928000, 0.4057689000, 0.6287440000, 1.3248834000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0556090000, 0.0589621000, 0.0679130000, 0.0893673000, 0.1350325000, 0.2517793000, 0.6016577000", \ - "0.0556383000, 0.0590197000, 0.0676789000, 0.0892645000, 0.1352441000, 0.2518444000, 0.6024696000", \ - "0.0558721000, 0.0588912000, 0.0678902000, 0.0883599000, 0.1350573000, 0.2525686000, 0.6012157000", \ - "0.0556263000, 0.0589223000, 0.0683692000, 0.0891402000, 0.1350061000, 0.2517127000, 0.6018711000", \ - "0.0556763000, 0.0589471000, 0.0683245000, 0.0893882000, 0.1347961000, 0.2520070000, 0.6015144000", \ - "0.0571234000, 0.0605009000, 0.0690502000, 0.0893040000, 0.1358046000, 0.2517308000, 0.6016118000", \ - "0.0737973000, 0.0771851000, 0.0857633000, 0.1080955000, 0.1534076000, 0.2670660000, 0.6090907000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0212734000, 0.0246004000, 0.0346237000, 0.0657724000, 0.1668533000, 0.4889945000, 1.5014845000", \ - "0.0212021000, 0.0245339000, 0.0345827000, 0.0657442000, 0.1671080000, 0.4898842000, 1.4996115000", \ - "0.0212103000, 0.0246207000, 0.0345458000, 0.0658547000, 0.1670176000, 0.4897645000, 1.5025906000", \ - "0.0213005000, 0.0246757000, 0.0346967000, 0.0658021000, 0.1672460000, 0.4905151000, 1.4984478000", \ - "0.0258377000, 0.0290504000, 0.0384418000, 0.0681099000, 0.1674747000, 0.4906666000, 1.5008140000", \ - "0.0353571000, 0.0389888000, 0.0479726000, 0.0752106000, 0.1699104000, 0.4890157000, 1.4972487000", \ - "0.0512795000, 0.0549632000, 0.0664836000, 0.0924440000, 0.1787293000, 0.4921999000, 1.4972720000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.3338033000, 0.3396801000, 0.3551963000, 0.3888257000, 0.4549280000, 0.5857149000, 0.8867071000", \ - "0.3381819000, 0.3440677000, 0.3594870000, 0.3932050000, 0.4594171000, 0.5895107000, 0.8912210000", \ - "0.3489289000, 0.3548434000, 0.3700568000, 0.4037734000, 0.4701175000, 0.6000997000, 0.9017767000", \ - "0.3688691000, 0.3748889000, 0.3901258000, 0.4237661000, 0.4899225000, 0.6200733000, 0.9217527000", \ - "0.3971349000, 0.4031235000, 0.4183392000, 0.4518074000, 0.5180873000, 0.6488851000, 0.9507880000", \ - "0.4321297000, 0.4381015000, 0.4532736000, 0.4866880000, 0.5524597000, 0.6830740000, 0.9848865000", \ - "0.4609961000, 0.4669650000, 0.4820726000, 0.5156897000, 0.5816125000, 0.7127063000, 1.0143395000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.1955713000, 0.1995678000, 0.2101520000, 0.2371553000, 0.3109254000, 0.5348747000, 1.2317843000", \ - "0.2006645000, 0.2046869000, 0.2152701000, 0.2421885000, 0.3160885000, 0.5397159000, 1.2413012000", \ - "0.2132724000, 0.2172698000, 0.2278437000, 0.2548513000, 0.3286350000, 0.5529573000, 1.2541084000", \ - "0.2448324000, 0.2488338000, 0.2594122000, 0.2864170000, 0.3602134000, 0.5844991000, 1.2832064000", \ - "0.3179963000, 0.3219985000, 0.3326232000, 0.3594769000, 0.4335165000, 0.6571266000, 1.3547273000", \ - "0.4517121000, 0.4558154000, 0.4665299000, 0.4937498000, 0.5674982000, 0.7920969000, 1.4916821000", \ - "0.6660635000, 0.6705307000, 0.6820485000, 0.7097727000, 0.7835763000, 1.0076877000, 1.7052785000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0556684000, 0.0588476000, 0.0678996000, 0.0885461000, 0.1347848000, 0.2516721000, 0.6021459000", \ - "0.0556234000, 0.0589238000, 0.0682683000, 0.0894164000, 0.1350626000, 0.2522484000, 0.6013962000", \ - "0.0559697000, 0.0593814000, 0.0683517000, 0.0896010000, 0.1349071000, 0.2522909000, 0.6012837000", \ - "0.0558550000, 0.0588859000, 0.0677374000, 0.0892118000, 0.1353513000, 0.2521374000, 0.6017858000", \ - "0.0559004000, 0.0592978000, 0.0680739000, 0.0884746000, 0.1349564000, 0.2518280000, 0.6016521000", \ - "0.0558388000, 0.0588592000, 0.0678063000, 0.0894076000, 0.1352474000, 0.2517876000, 0.6018213000", \ - "0.0557938000, 0.0591386000, 0.0682675000, 0.0889096000, 0.1361124000, 0.2505807000, 0.6011784000"); - } - related_pin : "C_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015647400, 0.0048968000, 0.0153244000, 0.0479573000, 0.1500810000, 0.4696750000"); - values("0.0232550000, 0.0265402000, 0.0365821000, 0.0672271000, 0.1673563000, 0.4900380000, 1.5058039000", \ - "0.0232316000, 0.0265052000, 0.0365769000, 0.0672327000, 0.1675931000, 0.4900735000, 1.5071957000", \ - "0.0232069000, 0.0265119000, 0.0365625000, 0.0672723000, 0.1676337000, 0.4899932000, 1.5058778000", \ - "0.0232223000, 0.0265313000, 0.0365790000, 0.0672684000, 0.1674700000, 0.4889963000, 1.5041239000", \ - "0.0233751000, 0.0266215000, 0.0365459000, 0.0671727000, 0.1675546000, 0.4897006000, 1.5076341000", \ - "0.0246066000, 0.0279835000, 0.0377929000, 0.0680833000, 0.1676664000, 0.4898038000, 1.5030110000", \ - "0.0275474000, 0.0309616000, 0.0405131000, 0.0700989000, 0.1684560000, 0.4887248000, 1.4967588000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or4_1") { - leakage_power () { - value : 0.0003074000; - when : "A&B&C&D"; - } - leakage_power () { - value : 0.0003203000; - when : "A&B&C&!D"; - } - leakage_power () { - value : 0.0010416000; - when : "!A&!B&!C&D"; - } - leakage_power () { - value : 0.0073078000; - when : "!A&!B&!C&!D"; - } - leakage_power () { - value : 0.0003447000; - when : "!A&!B&C&D"; - } - leakage_power () { - value : 0.0005666000; - when : "!A&!B&C&!D"; - } - leakage_power () { - value : 0.0003402000; - when : "!A&B&!C&D"; - } - leakage_power () { - value : 0.0005377000; - when : "!A&B&!C&!D"; - } - leakage_power () { - value : 0.0003162000; - when : "!A&B&C&D"; - } - leakage_power () { - value : 0.0003404000; - when : "!A&B&C&!D"; - } - leakage_power () { - value : 0.0003453000; - when : "A&!B&!C&D"; - } - leakage_power () { - value : 0.0005602000; - when : "A&!B&!C&!D"; - } - leakage_power () { - value : 0.0003175000; - when : "A&!B&C&D"; - } - leakage_power () { - value : 0.0003445000; - when : "A&!B&C&!D"; - } - leakage_power () { - value : 0.0003166000; - when : "A&B&!C&D"; - } - leakage_power () { - value : 0.0003486000; - when : "A&B&!C&!D"; - } - area : 7.5072000000; - cell_footprint : "sky130_fd_sc_hd__or4"; - cell_leakage_power : 0.0008534742; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014380000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013510000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0016553000, 0.0016540000, 0.0016510000, 0.0016517000, 0.0016533000, 0.0016571000, 0.0016658000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001399300, -0.001416600, -0.001456400, -0.001461600, -0.001473500, -0.001500900, -0.001564000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015260000; - } - pin ("B") { - capacitance : 0.0017270000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016300000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025635000, 0.0025374000, 0.0024770000, 0.0024780000, 0.0024801000, 0.0024850000, 0.0024962000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002027700, -0.002106600, -0.002288400, -0.002294500, -0.002308600, -0.002341200, -0.002416200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018250000; - } - pin ("C") { - capacitance : 0.0015200000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0016168000, 0.0016165000, 0.0016158000, 0.0016161000, 0.0016170000, 0.0016188000, 0.0016232000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001425700, -0.001457400, -0.001530500, -0.001533700, -0.001541100, -0.001558200, -0.001597700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016250000; - } - pin ("D") { - capacitance : 0.0013590000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0012670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0011941000, 0.0011938000, 0.0011930000, 0.0011967000, 0.0012054000, 0.0012254000, 0.0012714000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000765100, -0.000760100, -0.000748800, -0.000748500, -0.000747700, -0.000745900, -0.000741800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014510000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (C) | (D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0109121000, 0.0097099000, 0.0067262000, -0.001278000, -0.024013500, -0.087173000, -0.255611500", \ - "0.0107541000, 0.0095472000, 0.0065842000, -0.001334600, -0.024162600, -0.087348400, -0.255760300", \ - "0.0106113000, 0.0093825000, 0.0064042000, -0.001472100, -0.024340900, -0.087508000, -0.255922500", \ - "0.0104335000, 0.0092542000, 0.0063073000, -0.001776900, -0.024525000, -0.087646900, -0.256063500", \ - "0.0103208000, 0.0091210000, 0.0061993000, -0.001805200, -0.024636400, -0.087813900, -0.256167300", \ - "0.0103372000, 0.0090971000, 0.0061569000, -0.001962200, -0.024749300, -0.087870100, -0.256245400", \ - "0.0124956000, 0.0109744000, 0.0072789000, -0.002135500, -0.024789700, -0.087842800, -0.256173500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0099395000, 0.0113885000, 0.0150928000, 0.0244033000, 0.0484065000, 0.1115206000, 0.2779743000", \ - "0.0099176000, 0.0113836000, 0.0150650000, 0.0243900000, 0.0484420000, 0.1114813000, 0.2792889000", \ - "0.0099138000, 0.0113662000, 0.0150595000, 0.0243634000, 0.0484181000, 0.1115233000, 0.2778842000", \ - "0.0098976000, 0.0113274000, 0.0149461000, 0.0242410000, 0.0485605000, 0.1114817000, 0.2792228000", \ - "0.0099319000, 0.0112788000, 0.0148875000, 0.0241156000, 0.0481971000, 0.1120004000, 0.2777790000", \ - "0.0105441000, 0.0118740000, 0.0153305000, 0.0241664000, 0.0483993000, 0.1117121000, 0.2776985000", \ - "0.0111034000, 0.0123899000, 0.0158532000, 0.0250001000, 0.0489617000, 0.1124185000, 0.2776323000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0098588000, 0.0086217000, 0.0057389000, -0.002352100, -0.025110900, -0.088164900, -0.256544500", \ - "0.0098628000, 0.0085825000, 0.0055990000, -0.002460700, -0.025230000, -0.088325000, -0.256646100", \ - "0.0096335000, 0.0084307000, 0.0055274000, -0.002432100, -0.025249900, -0.088427100, -0.256782100", \ - "0.0095058000, 0.0082805000, 0.0053716000, -0.002707300, -0.025421100, -0.088561800, -0.256904200", \ - "0.0093865000, 0.0082849000, 0.0053039000, -0.002793200, -0.025579000, -0.088692500, -0.256999700", \ - "0.0093656000, 0.0081604000, 0.0052091000, -0.002887600, -0.025702100, -0.088763300, -0.257068500", \ - "0.0111882000, 0.0096743000, 0.0059739000, -0.003214700, -0.025687000, -0.088688300, -0.256996400"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0095931000, 0.0110569000, 0.0147811000, 0.0241877000, 0.0483574000, 0.1115156000, 0.2782006000", \ - "0.0096688000, 0.0111251000, 0.0148458000, 0.0242313000, 0.0484265000, 0.1116265000, 0.2781977000", \ - "0.0098073000, 0.0112610000, 0.0149641000, 0.0243482000, 0.0485518000, 0.1122670000, 0.2796013000", \ - "0.0097587000, 0.0111771000, 0.0147789000, 0.0241672000, 0.0484318000, 0.1122213000, 0.2795959000", \ - "0.0098198000, 0.0111098000, 0.0146443000, 0.0238836000, 0.0481962000, 0.1120207000, 0.2794095000", \ - "0.0100493000, 0.0113580000, 0.0148604000, 0.0239512000, 0.0481966000, 0.1116972000, 0.2777901000", \ - "0.0104915000, 0.0118435000, 0.0153293000, 0.0244337000, 0.0485818000, 0.1122526000, 0.2773596000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0089864000, 0.0077970000, 0.0048678000, -0.003236800, -0.025994500, -0.088972900, -0.257288900", \ - "0.0089899000, 0.0077367000, 0.0047551000, -0.003269300, -0.026087500, -0.089130600, -0.257420000", \ - "0.0086922000, 0.0074914000, 0.0045088000, -0.003347900, -0.026200900, -0.089299400, -0.257600200", \ - "0.0086415000, 0.0074490000, 0.0044888000, -0.003613400, -0.026395100, -0.089432500, -0.257708700", \ - "0.0084629000, 0.0072549000, 0.0043296000, -0.003746500, -0.026528500, -0.089532700, -0.257811100", \ - "0.0085810000, 0.0073565000, 0.0043955000, -0.003705400, -0.026555500, -0.089615900, -0.257882600", \ - "0.0103210000, 0.0088037000, 0.0050605000, -0.003914200, -0.026125900, -0.089274800, -0.257689300"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0093976000, 0.0108371000, 0.0145102000, 0.0238771000, 0.0480721000, 0.1112239000, 0.2776094000", \ - "0.0094176000, 0.0108487000, 0.0145461000, 0.0239152000, 0.0482706000, 0.1113038000, 0.2776614000", \ - "0.0094602000, 0.0108861000, 0.0145580000, 0.0239263000, 0.0481316000, 0.1113254000, 0.2777022000", \ - "0.0094138000, 0.0108035000, 0.0143889000, 0.0237053000, 0.0479913000, 0.1112193000, 0.2776328000", \ - "0.0093349000, 0.0106599000, 0.0141530000, 0.0233612000, 0.0476202000, 0.1110129000, 0.2774472000", \ - "0.0094789000, 0.0107813000, 0.0143743000, 0.0234144000, 0.0475582000, 0.1104745000, 0.2787351000", \ - "0.0099638000, 0.0112485000, 0.0147254000, 0.0238174000, 0.0479692000, 0.1116219000, 0.2779458000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0082634000, 0.0070315000, 0.0040641000, -0.003910900, -0.026684200, -0.089696400, -0.257920100", \ - "0.0081330000, 0.0069239000, 0.0039973000, -0.004054300, -0.026814000, -0.089782000, -0.258014300", \ - "0.0080025000, 0.0067745000, 0.0037906000, -0.004169800, -0.026951400, -0.089935300, -0.258178800", \ - "0.0078799000, 0.0066499000, 0.0036812000, -0.004317800, -0.027085500, -0.090048600, -0.258292100", \ - "0.0078086000, 0.0066058000, 0.0036917000, -0.004368100, -0.027152000, -0.090134100, -0.258344100", \ - "0.0079574000, 0.0067656000, 0.0038346000, -0.004278100, -0.027041400, -0.090068600, -0.258289300", \ - "0.0110289000, 0.0094784000, 0.0056959000, -0.003790000, -0.026563900, -0.089614300, -0.257783100"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0079863000, 0.0094211000, 0.0130753000, 0.0224664000, 0.0465616000, 0.1103718000, 0.2779002000", \ - "0.0079831000, 0.0093971000, 0.0130945000, 0.0224406000, 0.0466140000, 0.1099076000, 0.2764399000", \ - "0.0079387000, 0.0093519000, 0.0130136000, 0.0223812000, 0.0465124000, 0.1098043000, 0.2767194000", \ - "0.0078408000, 0.0092294000, 0.0128558000, 0.0221859000, 0.0464391000, 0.1097963000, 0.2765130000", \ - "0.0078338000, 0.0091462000, 0.0127132000, 0.0219700000, 0.0459715000, 0.1095323000, 0.2767062000", \ - "0.0079724000, 0.0092831000, 0.0128207000, 0.0218805000, 0.0461068000, 0.1094574000, 0.2775397000", \ - "0.0086061000, 0.0099093000, 0.0133494000, 0.0225514000, 0.0463540000, 0.1100679000, 0.2750760000"); - } - } - max_capacitance : 0.1675510000; - max_transition : 1.5027270000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.4726730000, 0.4860969000, 0.5144944000, 0.5668270000, 0.6603589000, 0.8347756000, 1.2054368000", \ - "0.4731878000, 0.4865178000, 0.5149508000, 0.5670734000, 0.6612325000, 0.8352419000, 1.2059057000", \ - "0.4799254000, 0.4934159000, 0.5217802000, 0.5739773000, 0.6677743000, 0.8421424000, 1.2128379000", \ - "0.5021505000, 0.5160060000, 0.5439473000, 0.5961621000, 0.6890144000, 0.8643232000, 1.2349182000", \ - "0.5536240000, 0.5670847000, 0.5953994000, 0.6475578000, 0.7416793000, 0.9157337000, 1.2859803000", \ - "0.6621217000, 0.6760217000, 0.7040203000, 0.7561669000, 0.8504459000, 1.0250878000, 1.3953025000", \ - "0.8612859000, 0.8753001000, 0.9055435000, 0.9612016000, 1.0602438000, 1.2406074000, 1.6142505000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0658787000, 0.0724224000, 0.0877671000, 0.1245557000, 0.2183952000, 0.4622810000, 1.1061813000", \ - "0.0707742000, 0.0773918000, 0.0926502000, 0.1295238000, 0.2231349000, 0.4677346000, 1.1121329000", \ - "0.0825856000, 0.0891462000, 0.1043677000, 0.1411873000, 0.2350589000, 0.4788260000, 1.1228893000", \ - "0.1089161000, 0.1154404000, 0.1305770000, 0.1671259000, 0.2610614000, 0.5050214000, 1.1495807000", \ - "0.1488495000, 0.1561574000, 0.1720841000, 0.2089287000, 0.3026257000, 0.5475091000, 1.1895709000", \ - "0.1965089000, 0.2058067000, 0.2239498000, 0.2617335000, 0.3548631000, 0.5988772000, 1.2417719000", \ - "0.2323927000, 0.2447400000, 0.2685707000, 0.3119899000, 0.4049207000, 0.6486774000, 1.2903334000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0631159000, 0.0714255000, 0.0898447000, 0.1258577000, 0.1999014000, 0.3648442000, 0.7912239000", \ - "0.0629149000, 0.0712425000, 0.0898786000, 0.1271235000, 0.2010562000, 0.3658112000, 0.7914592000", \ - "0.0628362000, 0.0713554000, 0.0898239000, 0.1278355000, 0.1995943000, 0.3648547000, 0.7911852000", \ - "0.0630256000, 0.0718592000, 0.0899076000, 0.1260170000, 0.2003173000, 0.3650884000, 0.7905551000", \ - "0.0629804000, 0.0712309000, 0.0900649000, 0.1256091000, 0.1996365000, 0.3652282000, 0.7928180000", \ - "0.0629952000, 0.0713979000, 0.0908436000, 0.1263029000, 0.1995622000, 0.3643767000, 0.7914164000", \ - "0.0705707000, 0.0797828000, 0.0991547000, 0.1359732000, 0.2108696000, 0.3723945000, 0.7941947000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0213622000, 0.0283150000, 0.0465264000, 0.0957653000, 0.2277330000, 0.5751616000, 1.4910949000", \ - "0.0213086000, 0.0282551000, 0.0464469000, 0.0957086000, 0.2277345000, 0.5757583000, 1.4964849000", \ - "0.0211944000, 0.0280677000, 0.0463092000, 0.0957962000, 0.2277656000, 0.5753127000, 1.4921252000", \ - "0.0218199000, 0.0284290000, 0.0462968000, 0.0955213000, 0.2276533000, 0.5759886000, 1.4964773000", \ - "0.0259940000, 0.0322215000, 0.0488830000, 0.0962472000, 0.2273246000, 0.5751993000, 1.4962789000", \ - "0.0343285000, 0.0409871000, 0.0559705000, 0.0996494000, 0.2287448000, 0.5758818000, 1.4957000000", \ - "0.0480652000, 0.0554814000, 0.0707120000, 0.1098503000, 0.2304743000, 0.5784789000, 1.4911021000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.4567774000, 0.4700480000, 0.4984272000, 0.5507855000, 0.6435268000, 0.8190271000, 1.1896241000", \ - "0.4564226000, 0.4702800000, 0.4983343000, 0.5508825000, 0.6447427000, 0.8188428000, 1.1893909000", \ - "0.4627141000, 0.4760562000, 0.5043495000, 0.5565524000, 0.6505488000, 0.8247769000, 1.1956113000", \ - "0.4842677000, 0.4976758000, 0.5260670000, 0.5783070000, 0.6721179000, 0.8463995000, 1.2172336000", \ - "0.5373787000, 0.5510730000, 0.5789655000, 0.6312051000, 0.7253236000, 0.8997581000, 1.2699582000", \ - "0.6556221000, 0.6693049000, 0.6971472000, 0.7494081000, 0.8434062000, 1.0182943000, 1.3886372000", \ - "0.8835213000, 0.8980600000, 0.9288542000, 0.9852806000, 1.0846278000, 1.2662716000, 1.6404754000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0668237000, 0.0734190000, 0.0888489000, 0.1257756000, 0.2197084000, 0.4635823000, 1.1070513000", \ - "0.0716419000, 0.0782223000, 0.0936284000, 0.1306407000, 0.2245845000, 0.4684024000, 1.1119098000", \ - "0.0833561000, 0.0899043000, 0.1051904000, 0.1420550000, 0.2357237000, 0.4811355000, 1.1249912000", \ - "0.1087821000, 0.1152942000, 0.1303865000, 0.1669548000, 0.2608182000, 0.5062338000, 1.1493553000", \ - "0.1467089000, 0.1539570000, 0.1696748000, 0.2062158000, 0.3000125000, 0.5458202000, 1.1896906000", \ - "0.1896427000, 0.1986852000, 0.2164145000, 0.2547068000, 0.3481518000, 0.5926159000, 1.2383123000", \ - "0.2180841000, 0.2301691000, 0.2542155000, 0.2970366000, 0.3905684000, 0.6357956000, 1.2775593000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0625655000, 0.0715542000, 0.0898115000, 0.1259807000, 0.2001267000, 0.3650329000, 0.7899366000", \ - "0.0629277000, 0.0722305000, 0.0908735000, 0.1267352000, 0.1989230000, 0.3643550000, 0.7922509000", \ - "0.0630755000, 0.0713387000, 0.0899767000, 0.1276211000, 0.2018684000, 0.3654038000, 0.7913293000", \ - "0.0627940000, 0.0712923000, 0.0898754000, 0.1257608000, 0.1994135000, 0.3651323000, 0.7912547000", \ - "0.0628580000, 0.0714754000, 0.0898862000, 0.1280190000, 0.1994860000, 0.3651864000, 0.7925526000", \ - "0.0627387000, 0.0715597000, 0.0898916000, 0.1257030000, 0.1985624000, 0.3643743000, 0.7934214000", \ - "0.0734440000, 0.0821532000, 0.1014195000, 0.1385250000, 0.2150887000, 0.3743993000, 0.7958844000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0207814000, 0.0275704000, 0.0454758000, 0.0947263000, 0.2266214000, 0.5753555000, 1.4970062000", \ - "0.0207483000, 0.0275433000, 0.0454603000, 0.0947326000, 0.2266783000, 0.5754126000, 1.4970509000", \ - "0.0206390000, 0.0274225000, 0.0454471000, 0.0945778000, 0.2265818000, 0.5748760000, 1.4974293000", \ - "0.0212644000, 0.0278817000, 0.0456947000, 0.0944065000, 0.2265361000, 0.5760589000, 1.4959046000", \ - "0.0255547000, 0.0316844000, 0.0484554000, 0.0960309000, 0.2265055000, 0.5753978000, 1.4978601000", \ - "0.0341794000, 0.0401490000, 0.0555853000, 0.0987062000, 0.2274265000, 0.5747408000, 1.4963301000", \ - "0.0476063000, 0.0551006000, 0.0703130000, 0.1099337000, 0.2295217000, 0.5786433000, 1.4935005000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.4238629000, 0.4375488000, 0.4657061000, 0.5180901000, 0.6122409000, 0.7862722000, 1.1569754000", \ - "0.4235784000, 0.4375451000, 0.4655476000, 0.5179506000, 0.6118621000, 0.7860427000, 1.1564454000", \ - "0.4290886000, 0.4424305000, 0.4707661000, 0.5230626000, 0.6169329000, 0.7912443000, 1.1622131000", \ - "0.4503129000, 0.4641815000, 0.4920979000, 0.5444207000, 0.6383218000, 0.8125410000, 1.1830278000", \ - "0.5054264000, 0.5190255000, 0.5474279000, 0.5995212000, 0.6928431000, 0.8680400000, 1.2389196000", \ - "0.6386507000, 0.6522430000, 0.6801945000, 0.7322093000, 0.8261096000, 1.0010672000, 1.3715986000", \ - "0.8991583000, 0.9140655000, 0.9453786000, 1.0017737000, 1.1011629000, 1.2824330000, 1.6557832000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0647952000, 0.0712622000, 0.0863619000, 0.1228843000, 0.2163595000, 0.4604274000, 1.1029913000", \ - "0.0698068000, 0.0762816000, 0.0913648000, 0.1278234000, 0.2216531000, 0.4655327000, 1.1085235000", \ - "0.0812950000, 0.0877273000, 0.1027569000, 0.1392841000, 0.2328338000, 0.4771212000, 1.1200422000", \ - "0.1059465000, 0.1123937000, 0.1274355000, 0.1637590000, 0.2573841000, 0.5018231000, 1.1446610000", \ - "0.1417150000, 0.1489318000, 0.1645892000, 0.2013062000, 0.2949930000, 0.5392538000, 1.1816379000", \ - "0.1811483000, 0.1902262000, 0.2086800000, 0.2465822000, 0.3402993000, 0.5848142000, 1.2302234000", \ - "0.2029356000, 0.2153114000, 0.2399983000, 0.2831569000, 0.3771306000, 0.6229523000, 1.2634904000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0631833000, 0.0720334000, 0.0898148000, 0.1262685000, 0.1992465000, 0.3643239000, 0.7921721000", \ - "0.0629338000, 0.0712850000, 0.0895350000, 0.1259679000, 0.1988285000, 0.3656017000, 0.7933391000", \ - "0.0631030000, 0.0714010000, 0.0898261000, 0.1286055000, 0.1995660000, 0.3652628000, 0.7912584000", \ - "0.0628981000, 0.0712808000, 0.0896969000, 0.1282104000, 0.1988848000, 0.3654197000, 0.7936790000", \ - "0.0626790000, 0.0716889000, 0.0901619000, 0.1260839000, 0.2012482000, 0.3646076000, 0.7911129000", \ - "0.0627482000, 0.0716246000, 0.0901642000, 0.1262599000, 0.1987146000, 0.3649272000, 0.7935625000", \ - "0.0758988000, 0.0851562000, 0.1047571000, 0.1409895000, 0.2167464000, 0.3758848000, 0.7942733000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0201634000, 0.0268604000, 0.0448942000, 0.0938907000, 0.2265874000, 0.5751788000, 1.4940099000", \ - "0.0201988000, 0.0269204000, 0.0448303000, 0.0940292000, 0.2267101000, 0.5759435000, 1.4961700000", \ - "0.0201720000, 0.0268597000, 0.0448406000, 0.0939486000, 0.2266075000, 0.5755951000, 1.4955173000", \ - "0.0210744000, 0.0276131000, 0.0452381000, 0.0941564000, 0.2266458000, 0.5755120000, 1.4946913000", \ - "0.0252621000, 0.0315206000, 0.0481956000, 0.0955981000, 0.2263694000, 0.5743502000, 1.4920479000", \ - "0.0341470000, 0.0401648000, 0.0554344000, 0.0989596000, 0.2271946000, 0.5748194000, 1.4970949000", \ - "0.0485616000, 0.0558568000, 0.0723777000, 0.1112689000, 0.2299353000, 0.5780830000, 1.4931965000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.3557542000, 0.3692957000, 0.3976655000, 0.4493567000, 0.5436664000, 0.7185493000, 1.0896849000", \ - "0.3558227000, 0.3692745000, 0.3976951000, 0.4499343000, 0.5433270000, 0.7186198000, 1.0897122000", \ - "0.3605304000, 0.3740356000, 0.4024228000, 0.4543305000, 0.5484526000, 0.7235229000, 1.0943405000", \ - "0.3813418000, 0.3948054000, 0.4230688000, 0.4751386000, 0.5691252000, 0.7444074000, 1.1150709000", \ - "0.4394758000, 0.4529444000, 0.4811297000, 0.5334734000, 0.6274549000, 0.8028276000, 1.1735385000", \ - "0.5806550000, 0.5941488000, 0.6218170000, 0.6741616000, 0.7662939000, 0.9408934000, 1.3115511000", \ - "0.8562462000, 0.8721317000, 0.9035543000, 0.9603593000, 1.0583679000, 1.2361536000, 1.6092613000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0612598000, 0.0677348000, 0.0828055000, 0.1193049000, 0.2128774000, 0.4576683000, 1.0988201000", \ - "0.0662437000, 0.0727273000, 0.0877929000, 0.1241584000, 0.2175829000, 0.4616641000, 1.1055460000", \ - "0.0780638000, 0.0845042000, 0.0995020000, 0.1359717000, 0.2298834000, 0.4737702000, 1.1194047000", \ - "0.1022661000, 0.1087617000, 0.1238426000, 0.1602351000, 0.2538013000, 0.4983717000, 1.1406840000", \ - "0.1371470000, 0.1444952000, 0.1605591000, 0.1974787000, 0.2907397000, 0.5351454000, 1.1773650000", \ - "0.1762305000, 0.1857450000, 0.2048215000, 0.2430194000, 0.3359884000, 0.5808841000, 1.2269719000", \ - "0.2001462000, 0.2130026000, 0.2385675000, 0.2842709000, 0.3771138000, 0.6212686000, 1.2641729000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0627098000, 0.0711806000, 0.0898557000, 0.1270831000, 0.2005324000, 0.3654436000, 0.7910205000", \ - "0.0630155000, 0.0717256000, 0.0900693000, 0.1260878000, 0.2010815000, 0.3645366000, 0.7910081000", \ - "0.0628790000, 0.0714326000, 0.0898180000, 0.1266573000, 0.1996792000, 0.3651397000, 0.7913026000", \ - "0.0627754000, 0.0712621000, 0.0898894000, 0.1262786000, 0.1991415000, 0.3648647000, 0.7910773000", \ - "0.0631209000, 0.0718995000, 0.0900253000, 0.1257887000, 0.1988344000, 0.3638974000, 0.7910587000", \ - "0.0619843000, 0.0705084000, 0.0901852000, 0.1263930000, 0.2013271000, 0.3652770000, 0.7937666000", \ - "0.0800619000, 0.0893090000, 0.1077542000, 0.1422176000, 0.2126539000, 0.3722060000, 0.7975808000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0200298000, 0.0266796000, 0.0445581000, 0.0936230000, 0.2264972000, 0.5751192000, 1.5027269000", \ - "0.0200562000, 0.0268008000, 0.0446634000, 0.0936984000, 0.2262583000, 0.5752820000, 1.4995486000", \ - "0.0200667000, 0.0267806000, 0.0446695000, 0.0937580000, 0.2259287000, 0.5762614000, 1.4985303000", \ - "0.0213960000, 0.0278603000, 0.0452695000, 0.0939787000, 0.2264840000, 0.5746850000, 1.5002883000", \ - "0.0261223000, 0.0322562000, 0.0484846000, 0.0952536000, 0.2262415000, 0.5761561000, 1.4995029000", \ - "0.0354230000, 0.0416070000, 0.0568654000, 0.0995057000, 0.2273588000, 0.5746003000, 1.4987397000", \ - "0.0511954000, 0.0590217000, 0.0752102000, 0.1131782000, 0.2305751000, 0.5766709000, 1.4913401000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or4_2") { - leakage_power () { - value : 0.0014720000; - when : "!A&!B&!C&D"; - } - leakage_power () { - value : 0.0066049000; - when : "!A&!B&!C&!D"; - } - leakage_power () { - value : 0.0007992000; - when : "!A&!B&C&D"; - } - leakage_power () { - value : 0.0010088000; - when : "!A&!B&C&!D"; - } - leakage_power () { - value : 0.0007936000; - when : "!A&B&!C&D"; - } - leakage_power () { - value : 0.0009749000; - when : "!A&B&!C&!D"; - } - leakage_power () { - value : 0.0007719000; - when : "!A&B&C&D"; - } - leakage_power () { - value : 0.0007943000; - when : "!A&B&C&!D"; - } - leakage_power () { - value : 0.0007936000; - when : "A&!B&!C&D"; - } - leakage_power () { - value : 0.0009732000; - when : "A&!B&!C&!D"; - } - leakage_power () { - value : 0.0007719000; - when : "A&!B&C&D"; - } - leakage_power () { - value : 0.0007942000; - when : "A&!B&C&!D"; - } - leakage_power () { - value : 0.0007708000; - when : "A&B&!C&D"; - } - leakage_power () { - value : 0.0007985000; - when : "A&B&!C&!D"; - } - leakage_power () { - value : 0.0007631000; - when : "A&B&C&D"; - } - leakage_power () { - value : 0.0007748000; - when : "A&B&C&!D"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__or4"; - cell_leakage_power : 0.0012287270; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013440000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0016668000, 0.0016616000, 0.0016495000, 0.0016502000, 0.0016518000, 0.0016555000, 0.0016641000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001406500, -0.001420700, -0.001453300, -0.001457500, -0.001467300, -0.001489800, -0.001541600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015060000; - } - pin ("B") { - capacitance : 0.0017160000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016250000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0025479000, 0.0025273000, 0.0024796000, 0.0024798000, 0.0024803000, 0.0024813000, 0.0024838000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002200900, -0.002227700, -0.002289400, -0.002295200, -0.002308600, -0.002339400, -0.002410500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018080000; - } - pin ("C") { - capacitance : 0.0015080000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014090000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0016196000, 0.0016183000, 0.0016153000, 0.0016147000, 0.0016133000, 0.0016100000, 0.0016025000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001423800, -0.001454600, -0.001525600, -0.001528600, -0.001535600, -0.001551700, -0.001588800"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016070000; - } - pin ("D") { - capacitance : 0.0013490000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0012610000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0011990000, 0.0011991000, 0.0011994000, 0.0012029000, 0.0012108000, 0.0012290000, 0.0012711000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000741300, -0.000743400, -0.000748400, -0.000747600, -0.000745600, -0.000741100, -0.000730700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014360000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (C) | (D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0162834000, 0.0142774000, 0.0092716000, -0.003424500, -0.040264500, -0.151390300, -0.481449200", \ - "0.0161418000, 0.0141217000, 0.0091035000, -0.003558800, -0.040398800, -0.151314600, -0.481519600", \ - "0.0160642000, 0.0140457000, 0.0090277000, -0.003679400, -0.040514200, -0.151639500, -0.481670700", \ - "0.0158609000, 0.0138536000, 0.0088231000, -0.003897000, -0.040681800, -0.151818400, -0.481867800", \ - "0.0156719000, 0.0136589000, 0.0086593000, -0.004020800, -0.040825700, -0.151830500, -0.481993400", \ - "0.0156016000, 0.0136184000, 0.0085704000, -0.004102900, -0.040987800, -0.152028500, -0.482070400", \ - "0.0159005000, 0.0138446000, 0.0087447000, -0.003681500, -0.040794800, -0.152055800, -0.482084000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0149538000, 0.0166110000, 0.0214573000, 0.0350905000, 0.0737483000, 0.1853823000, 0.5114438000", \ - "0.0149304000, 0.0166190000, 0.0214212000, 0.0350332000, 0.0737205000, 0.1853555000, 0.5113994000", \ - "0.0149009000, 0.0165958000, 0.0214247000, 0.0349959000, 0.0736887000, 0.1851364000, 0.5137689000", \ - "0.0149513000, 0.0165757000, 0.0213536000, 0.0349253000, 0.0735552000, 0.1854553000, 0.5118325000", \ - "0.0149244000, 0.0165872000, 0.0213213000, 0.0346123000, 0.0732808000, 0.1852562000, 0.5123218000", \ - "0.0157636000, 0.0172788000, 0.0218383000, 0.0348721000, 0.0733523000, 0.1849570000, 0.5122961000", \ - "0.0166436000, 0.0181186000, 0.0224635000, 0.0357534000, 0.0737414000, 0.1864251000, 0.5114364000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0152376000, 0.0133436000, 0.0082816000, -0.004438700, -0.041211000, -0.152425800, -0.482649900", \ - "0.0152678000, 0.0132804000, 0.0082942000, -0.004415800, -0.041315600, -0.152354300, -0.482348500", \ - "0.0150314000, 0.0130149000, 0.0079920000, -0.004665000, -0.041516200, -0.152411800, -0.482522600", \ - "0.0148449000, 0.0128539000, 0.0078219000, -0.004906500, -0.041241500, -0.152582200, -0.482686000", \ - "0.0147354000, 0.0127298000, 0.0077239000, -0.004759500, -0.041603200, -0.152848800, -0.482800000", \ - "0.0146468000, 0.0126385000, 0.0076620000, -0.005065500, -0.041897300, -0.153012600, -0.482909700", \ - "0.0151319000, 0.0130908000, 0.0079300000, -0.004384500, -0.041552700, -0.152862800, -0.482871100"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0147264000, 0.0164198000, 0.0212783000, 0.0349446000, 0.0738148000, 0.1866882000, 0.5132006000", \ - "0.0147308000, 0.0164184000, 0.0212936000, 0.0350055000, 0.0738745000, 0.1867534000, 0.5131483000", \ - "0.0147725000, 0.0164661000, 0.0213261000, 0.0349356000, 0.0738738000, 0.1857905000, 0.5128559000", \ - "0.0148409000, 0.0164979000, 0.0212686000, 0.0348816000, 0.0737036000, 0.1857501000, 0.5122391000", \ - "0.0147878000, 0.0163987000, 0.0210591000, 0.0345061000, 0.0732123000, 0.1858221000, 0.5148790000", \ - "0.0155086000, 0.0170328000, 0.0215739000, 0.0348479000, 0.0731084000, 0.1846225000, 0.5120470000", \ - "0.0162020000, 0.0176779000, 0.0220764000, 0.0351440000, 0.0737161000, 0.1862097000, 0.5130144000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0143000000, 0.0123149000, 0.0073037000, -0.005434700, -0.042217400, -0.153041900, -0.483105800", \ - "0.0142261000, 0.0122255000, 0.0072136000, -0.005487100, -0.041809900, -0.153185700, -0.483192000", \ - "0.0141011000, 0.0120795000, 0.0070553000, -0.005607700, -0.042451500, -0.153310700, -0.483405300", \ - "0.0138758000, 0.0119003000, 0.0068722000, -0.005827100, -0.042199400, -0.153507800, -0.483488200", \ - "0.0138921000, 0.0118571000, 0.0068651000, -0.005876600, -0.042573200, -0.153721100, -0.483717200", \ - "0.0137054000, 0.0117147000, 0.0066938000, -0.005984800, -0.042828700, -0.153894300, -0.483813800", \ - "0.0144385000, 0.0123623000, 0.0071888000, -0.005667800, -0.042600900, -0.153838100, -0.483649600"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0143754000, 0.0160719000, 0.0208416000, 0.0344482000, 0.0733585000, 0.1853477000, 0.5145791000", \ - "0.0143559000, 0.0160395000, 0.0208829000, 0.0345492000, 0.0733687000, 0.1856930000, 0.5145618000", \ - "0.0144167000, 0.0161077000, 0.0209284000, 0.0345431000, 0.0734155000, 0.1857538000, 0.5145214000", \ - "0.0144562000, 0.0161238000, 0.0208762000, 0.0343048000, 0.0732292000, 0.1856026000, 0.5145080000", \ - "0.0144291000, 0.0160306000, 0.0207556000, 0.0339810000, 0.0727973000, 0.1860674000, 0.5117642000", \ - "0.0147844000, 0.0164080000, 0.0209384000, 0.0342199000, 0.0726547000, 0.1843745000, 0.5120438000", \ - "0.0155853000, 0.0170750000, 0.0214068000, 0.0346256000, 0.0730990000, 0.1855052000, 0.5101303000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0135979000, 0.0115770000, 0.0065641000, -0.006187500, -0.042958400, -0.153711800, -0.483776600", \ - "0.0134744000, 0.0114723000, 0.0064711000, -0.006203600, -0.042994800, -0.154009700, -0.483884400", \ - "0.0135196000, 0.0115163000, 0.0065832000, -0.006198200, -0.043036300, -0.154117300, -0.484034500", \ - "0.0132703000, 0.0112470000, 0.0062675000, -0.006431400, -0.043263200, -0.154307000, -0.484216600", \ - "0.0131523000, 0.0111333000, 0.0061025000, -0.006470400, -0.043330600, -0.154352000, -0.484267500", \ - "0.0131962000, 0.0111917000, 0.0061674000, -0.006544900, -0.043372300, -0.154390600, -0.484241000", \ - "0.0148003000, 0.0127214000, 0.0074908000, -0.005437600, -0.042686900, -0.153943700, -0.483768300"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014603460, 0.0042652220, 0.0124574000, 0.0363842400, 0.1062672000, 0.3103737000"); - values("0.0128871000, 0.0145715000, 0.0194134000, 0.0330324000, 0.0719639000, 0.1841811000, 0.5139629000", \ - "0.0129336000, 0.0146347000, 0.0194373000, 0.0330971000, 0.0719398000, 0.1849872000, 0.5113630000", \ - "0.0128948000, 0.0145743000, 0.0194104000, 0.0330993000, 0.0719241000, 0.1839949000, 0.5117389000", \ - "0.0129386000, 0.0145229000, 0.0192954000, 0.0328888000, 0.0717427000, 0.1841817000, 0.5138360000", \ - "0.0130238000, 0.0145995000, 0.0193414000, 0.0324562000, 0.0712201000, 0.1846752000, 0.5114542000", \ - "0.0134274000, 0.0149622000, 0.0194875000, 0.0328302000, 0.0709512000, 0.1824250000, 0.5110884000", \ - "0.0142772000, 0.0157404000, 0.0201522000, 0.0333818000, 0.0716157000, 0.1835997000, 0.5089819000"); - } - } - max_capacitance : 0.3103740000; - max_transition : 1.5064190000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.6186276000, 0.6307866000, 0.6579690000, 0.7127239000, 0.8134548000, 0.9994997000, 1.3816612000", \ - "0.6202702000, 0.6323742000, 0.6592485000, 0.7143839000, 0.8150930000, 0.9994554000, 1.3835183000", \ - "0.6277924000, 0.6398426000, 0.6669832000, 0.7216990000, 0.8225328000, 1.0085327000, 1.3908121000", \ - "0.6492636000, 0.6612692000, 0.6883527000, 0.7430954000, 0.8435809000, 1.0298812000, 1.4121345000", \ - "0.7005506000, 0.7124036000, 0.7397644000, 0.7945740000, 0.8948494000, 1.0795899000, 1.4635257000", \ - "0.8105868000, 0.8228128000, 0.8495023000, 0.9043566000, 1.0048103000, 1.1907594000, 1.5746988000", \ - "1.0341429000, 1.0464608000, 1.0741739000, 1.1314146000, 1.2345710000, 1.4215702000, 1.8070693000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0779247000, 0.0832849000, 0.0961643000, 0.1269952000, 0.2085218000, 0.4422037000, 1.1212551000", \ - "0.0827645000, 0.0881569000, 0.1009652000, 0.1317550000, 0.2133479000, 0.4469931000, 1.1260817000", \ - "0.0943151000, 0.0996328000, 0.1124662000, 0.1432012000, 0.2246954000, 0.4584488000, 1.1378969000", \ - "0.1214924000, 0.1266574000, 0.1393162000, 0.1697768000, 0.2513911000, 0.4850548000, 1.1656459000", \ - "0.1684006000, 0.1744212000, 0.1882914000, 0.2195459000, 0.3008719000, 0.5349534000, 1.2129361000", \ - "0.2269288000, 0.2347754000, 0.2518814000, 0.2858533000, 0.3677383000, 0.6010295000, 1.2807054000", \ - "0.2798168000, 0.2904408000, 0.3129098000, 0.3547621000, 0.4402641000, 0.6720634000, 1.3498820000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0845714000, 0.0911852000, 0.1075676000, 0.1425953000, 0.2096679000, 0.3614143000, 0.7670944000", \ - "0.0846415000, 0.0912068000, 0.1072345000, 0.1408358000, 0.2088565000, 0.3635215000, 0.7656444000", \ - "0.0846330000, 0.0911814000, 0.1075832000, 0.1425501000, 0.2097246000, 0.3612680000, 0.7670550000", \ - "0.0846391000, 0.0911895000, 0.1073763000, 0.1425400000, 0.2094026000, 0.3614534000, 0.7667909000", \ - "0.0845926000, 0.0908022000, 0.1077887000, 0.1417616000, 0.2089922000, 0.3628290000, 0.7659923000", \ - "0.0846065000, 0.0910819000, 0.1077022000, 0.1413241000, 0.2083099000, 0.3629135000, 0.7663026000", \ - "0.0916499000, 0.0983091000, 0.1140036000, 0.1502936000, 0.2162322000, 0.3680322000, 0.7686423000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0199036000, 0.0245855000, 0.0373516000, 0.0746266000, 0.1876706000, 0.5218462000, 1.4995571000", \ - "0.0198383000, 0.0244546000, 0.0373458000, 0.0744549000, 0.1876436000, 0.5218213000, 1.4994437000", \ - "0.0198539000, 0.0244731000, 0.0371163000, 0.0743909000, 0.1874575000, 0.5213878000, 1.4973524000", \ - "0.0198268000, 0.0244728000, 0.0371492000, 0.0742708000, 0.1869811000, 0.5214044000, 1.5000835000", \ - "0.0246072000, 0.0290422000, 0.0409375000, 0.0760515000, 0.1873653000, 0.5222356000, 1.5012606000", \ - "0.0338586000, 0.0383647000, 0.0506630000, 0.0824617000, 0.1893871000, 0.5213059000, 1.4999949000", \ - "0.0479140000, 0.0543439000, 0.0679684000, 0.0998314000, 0.1952615000, 0.5238426000, 1.4973957000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.6023272000, 0.6143631000, 0.6415718000, 0.6963189000, 0.7969320000, 0.9831358000, 1.3654189000", \ - "0.6032181000, 0.6151118000, 0.6426096000, 0.6973035000, 0.7984760000, 0.9838539000, 1.3663779000", \ - "0.6097936000, 0.6218853000, 0.6486433000, 0.7036855000, 0.8043747000, 0.9889025000, 1.3729514000", \ - "0.6308412000, 0.6426461000, 0.6696088000, 0.7244393000, 0.8247610000, 1.0103491000, 1.3935958000", \ - "0.6830973000, 0.6949885000, 0.7217330000, 0.7766663000, 0.8772543000, 1.0627879000, 1.4460996000", \ - "0.8015580000, 0.8135300000, 0.8408452000, 0.8953057000, 0.9957016000, 1.1816367000, 1.5657978000", \ - "1.0577155000, 1.0700384000, 1.0980941000, 1.1552118000, 1.2588310000, 1.4460314000, 1.8319104000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0786446000, 0.0839762000, 0.0967304000, 0.1274317000, 0.2088128000, 0.4430097000, 1.1210847000", \ - "0.0833718000, 0.0887008000, 0.1014557000, 0.1321728000, 0.2136144000, 0.4476662000, 1.1262700000", \ - "0.0949475000, 0.1002323000, 0.1129680000, 0.1435977000, 0.2249367000, 0.4592424000, 1.1374005000", \ - "0.1212455000, 0.1264400000, 0.1390205000, 0.1694019000, 0.2506672000, 0.4851100000, 1.1621747000", \ - "0.1671868000, 0.1731918000, 0.1867803000, 0.2180511000, 0.2994207000, 0.5332232000, 1.2134385000", \ - "0.2226528000, 0.2304230000, 0.2473931000, 0.2813894000, 0.3634277000, 0.5968103000, 1.2788863000", \ - "0.2701259000, 0.2806477000, 0.3032862000, 0.3458204000, 0.4313128000, 0.6635259000, 1.3416619000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0846325000, 0.0911515000, 0.1075567000, 0.1426066000, 0.2095410000, 0.3613906000, 0.7670736000", \ - "0.0844722000, 0.0911750000, 0.1080761000, 0.1428028000, 0.2118736000, 0.3627225000, 0.7669133000", \ - "0.0846965000, 0.0912864000, 0.1071908000, 0.1408524000, 0.2089952000, 0.3630831000, 0.7660724000", \ - "0.0843637000, 0.0907379000, 0.1072677000, 0.1409464000, 0.2099470000, 0.3634115000, 0.7651519000", \ - "0.0844687000, 0.0911244000, 0.1066939000, 0.1413192000, 0.2082465000, 0.3608406000, 0.7665406000", \ - "0.0846289000, 0.0907888000, 0.1079917000, 0.1430469000, 0.2091804000, 0.3604649000, 0.7650127000", \ - "0.0929271000, 0.0994584000, 0.1156677000, 0.1497231000, 0.2170282000, 0.3675806000, 0.7692144000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0194300000, 0.0240337000, 0.0365564000, 0.0736360000, 0.1865543000, 0.5217106000, 1.5015590000", \ - "0.0194970000, 0.0239321000, 0.0364757000, 0.0734456000, 0.1865230000, 0.5213106000, 1.5017994000", \ - "0.0193857000, 0.0239228000, 0.0364137000, 0.0735855000, 0.1865576000, 0.5217816000, 1.5016509000", \ - "0.0195257000, 0.0240052000, 0.0365112000, 0.0734667000, 0.1865063000, 0.5217495000, 1.5003113000", \ - "0.0242521000, 0.0284527000, 0.0406188000, 0.0753162000, 0.1864774000, 0.5218225000, 1.5013470000", \ - "0.0334411000, 0.0381278000, 0.0497443000, 0.0820652000, 0.1882567000, 0.5211814000, 1.5014371000", \ - "0.0479120000, 0.0541711000, 0.0677227000, 0.0987903000, 0.1953338000, 0.5239947000, 1.4959505000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.5688825000, 0.5807188000, 0.6077020000, 0.6627494000, 0.7634907000, 0.9486566000, 1.3318574000", \ - "0.5697300000, 0.5816732000, 0.6084957000, 0.6636301000, 0.7639057000, 0.9498571000, 1.3328678000", \ - "0.5756284000, 0.5876742000, 0.6145029000, 0.6695483000, 0.7700791000, 0.9546858000, 1.3387954000", \ - "0.5961242000, 0.6080211000, 0.6348515000, 0.6898777000, 0.7902178000, 0.9759809000, 1.3590346000", \ - "0.6504206000, 0.6623903000, 0.6895954000, 0.7444286000, 0.8452687000, 1.0308150000, 1.4140461000", \ - "0.7841435000, 0.7961425000, 0.8231895000, 0.8777043000, 0.9786965000, 1.1649134000, 1.5483052000", \ - "1.0761819000, 1.0887005000, 1.1171395000, 1.1743515000, 1.2783902000, 1.4647522000, 1.8492053000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0763993000, 0.0816067000, 0.0941465000, 0.1245801000, 0.2059260000, 0.4397752000, 1.1177052000", \ - "0.0812147000, 0.0864281000, 0.0990825000, 0.1295132000, 0.2109117000, 0.4437293000, 1.1241044000", \ - "0.0925989000, 0.0977866000, 0.1103903000, 0.1407451000, 0.2221616000, 0.4551493000, 1.1362302000", \ - "0.1191722000, 0.1243744000, 0.1368727000, 0.1669577000, 0.2483571000, 0.4813439000, 1.1622329000", \ - "0.1628343000, 0.1689390000, 0.1825181000, 0.2136603000, 0.2950066000, 0.5284553000, 1.2068698000", \ - "0.2158111000, 0.2235327000, 0.2404876000, 0.2749121000, 0.3569465000, 0.5895314000, 1.2690068000", \ - "0.2589391000, 0.2695378000, 0.2926025000, 0.3356868000, 0.4211865000, 0.6533522000, 1.3316053000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0850784000, 0.0913760000, 0.1071729000, 0.1408234000, 0.2082470000, 0.3630679000, 0.7642466000", \ - "0.0844239000, 0.0910566000, 0.1066998000, 0.1409808000, 0.2099857000, 0.3608229000, 0.7656740000", \ - "0.0846302000, 0.0911714000, 0.1072755000, 0.1408134000, 0.2090879000, 0.3632389000, 0.7659230000", \ - "0.0847711000, 0.0914343000, 0.1067066000, 0.1408428000, 0.2094906000, 0.3608192000, 0.7657784000", \ - "0.0846047000, 0.0913287000, 0.1072923000, 0.1423296000, 0.2119815000, 0.3620824000, 0.7652480000", \ - "0.0846522000, 0.0911515000, 0.1079547000, 0.1428762000, 0.2097882000, 0.3613823000, 0.7653500000", \ - "0.0939118000, 0.1006146000, 0.1167009000, 0.1510091000, 0.2161931000, 0.3659997000, 0.7689521000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0190097000, 0.0234812000, 0.0360472000, 0.0729868000, 0.1862020000, 0.5220817000, 1.5001772000", \ - "0.0189427000, 0.0235685000, 0.0361093000, 0.0730879000, 0.1857265000, 0.5211583000, 1.5025796000", \ - "0.0189946000, 0.0235140000, 0.0360773000, 0.0728746000, 0.1857633000, 0.5216966000, 1.5031121000", \ - "0.0192691000, 0.0237525000, 0.0362066000, 0.0731679000, 0.1862148000, 0.5210777000, 1.5031738000", \ - "0.0243307000, 0.0284182000, 0.0403183000, 0.0754802000, 0.1865001000, 0.5214852000, 1.5005117000", \ - "0.0336657000, 0.0390757000, 0.0501936000, 0.0824611000, 0.1886703000, 0.5203006000, 1.5017166000", \ - "0.0481005000, 0.0551891000, 0.0687345000, 0.1001551000, 0.1954795000, 0.5223004000, 1.4988848000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.5001209000, 0.5117475000, 0.5388029000, 0.5938098000, 0.6944599000, 0.8788348000, 1.2631423000", \ - "0.5009386000, 0.5128171000, 0.5399459000, 0.5950898000, 0.6954036000, 0.8814997000, 1.2640278000", \ - "0.5064815000, 0.5182493000, 0.5452714000, 0.6003998000, 0.7013159000, 0.8870554000, 1.2695034000", \ - "0.5262513000, 0.5382198000, 0.5655061000, 0.6204084000, 0.7206608000, 0.9070378000, 1.2899094000", \ - "0.5831129000, 0.5947612000, 0.6216605000, 0.6765813000, 0.7772115000, 0.9630238000, 1.3468070000", \ - "0.7210740000, 0.7332806000, 0.7605163000, 0.8148549000, 0.9161220000, 1.1016876000, 1.4857104000", \ - "1.0345811000, 1.0467511000, 1.0757191000, 1.1324991000, 1.2349565000, 1.4216786000, 1.8040512000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0731398000, 0.0784008000, 0.0911020000, 0.1215096000, 0.2026464000, 0.4359106000, 1.1148878000", \ - "0.0782044000, 0.0834944000, 0.0961257000, 0.1266560000, 0.2079903000, 0.4412471000, 1.1227778000", \ - "0.0896822000, 0.0949675000, 0.1076296000, 0.1380521000, 0.2193099000, 0.4522260000, 1.1339046000", \ - "0.1166247000, 0.1216692000, 0.1343102000, 0.1646138000, 0.2459447000, 0.4788442000, 1.1626023000", \ - "0.1604275000, 0.1665555000, 0.1805731000, 0.2117771000, 0.2927504000, 0.5264977000, 1.2043436000", \ - "0.2139148000, 0.2220839000, 0.2395973000, 0.2746470000, 0.3561632000, 0.5894715000, 1.2726370000", \ - "0.2615347000, 0.2727028000, 0.2962759000, 0.3409857000, 0.4264255000, 0.6595839000, 1.3364284000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0846339000, 0.0912426000, 0.1071771000, 0.1408681000, 0.2081606000, 0.3634627000, 0.7653722000", \ - "0.0846527000, 0.0908239000, 0.1074928000, 0.1416860000, 0.2090974000, 0.3621708000, 0.7657995000", \ - "0.0849214000, 0.0912772000, 0.1067564000, 0.1404894000, 0.2121623000, 0.3620492000, 0.7668154000", \ - "0.0846143000, 0.0910686000, 0.1074356000, 0.1418264000, 0.2092521000, 0.3610991000, 0.7655083000", \ - "0.0843992000, 0.0912815000, 0.1066043000, 0.1415817000, 0.2090089000, 0.3628946000, 0.7648804000", \ - "0.0847410000, 0.0913283000, 0.1069718000, 0.1406786000, 0.2106587000, 0.3619519000, 0.7650645000", \ - "0.0970531000, 0.1035805000, 0.1187924000, 0.1513640000, 0.2165376000, 0.3665896000, 0.7684619000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014603500, 0.0042652200, 0.0124574000, 0.0363842000, 0.1062670000, 0.3103740000"); - values("0.0190465000, 0.0236335000, 0.0360982000, 0.0729718000, 0.1858464000, 0.5217967000, 1.5064192000", \ - "0.0189946000, 0.0235541000, 0.0361350000, 0.0731094000, 0.1860093000, 0.5227526000, 1.5012688000", \ - "0.0191026000, 0.0235734000, 0.0361488000, 0.0729785000, 0.1857656000, 0.5210387000, 1.5049638000", \ - "0.0194390000, 0.0241224000, 0.0365688000, 0.0732499000, 0.1857884000, 0.5217244000, 1.5055095000", \ - "0.0248404000, 0.0291216000, 0.0408753000, 0.0761487000, 0.1862563000, 0.5227545000, 1.5050001000", \ - "0.0349460000, 0.0396576000, 0.0512664000, 0.0829859000, 0.1884607000, 0.5208740000, 1.5049454000", \ - "0.0507602000, 0.0573384000, 0.0715114000, 0.1029222000, 0.1963184000, 0.5221428000, 1.4997051000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or4_4") { - leakage_power () { - value : 0.0040669000; - when : "!A&!B&!C&D"; - } - leakage_power () { - value : 0.0059004000; - when : "!A&!B&!C&!D"; - } - leakage_power () { - value : 0.0019956000; - when : "!A&!B&C&D"; - } - leakage_power () { - value : 0.0024239000; - when : "!A&!B&C&!D"; - } - leakage_power () { - value : 0.0019875000; - when : "!A&B&!C&D"; - } - leakage_power () { - value : 0.0023181000; - when : "!A&B&!C&!D"; - } - leakage_power () { - value : 0.0019676000; - when : "!A&B&C&D"; - } - leakage_power () { - value : 0.0019889000; - when : "!A&B&C&!D"; - } - leakage_power () { - value : 0.0019855000; - when : "A&!B&!C&D"; - } - leakage_power () { - value : 0.0022894000; - when : "A&!B&!C&!D"; - } - leakage_power () { - value : 0.0019669000; - when : "A&!B&C&D"; - } - leakage_power () { - value : 0.0019870000; - when : "A&!B&C&!D"; - } - leakage_power () { - value : 0.0019657000; - when : "A&B&!C&D"; - } - leakage_power () { - value : 0.0019915000; - when : "A&B&!C&!D"; - } - leakage_power () { - value : 0.0019594000; - when : "A&B&C&D"; - } - leakage_power () { - value : 0.0019700000; - when : "A&B&C&!D"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__or4"; - cell_leakage_power : 0.0024227670; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023670000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022400000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039518000, 0.0039475000, 0.0039376000, 0.0039377000, 0.0039379000, 0.0039382000, 0.0039390000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003309400, -0.003343200, -0.003421000, -0.003436800, -0.003473200, -0.003557100, -0.003750600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024930000; - } - pin ("B") { - capacitance : 0.0024510000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023070000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040186000, 0.0040153000, 0.0040077000, 0.0040091000, 0.0040126000, 0.0040205000, 0.0040387000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003348100, -0.003388100, -0.003480200, -0.003498100, -0.003539300, -0.003634300, -0.003853300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025950000; - } - pin ("C") { - capacitance : 0.0024460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039025000, 0.0039009000, 0.0038971000, 0.0038971000, 0.0038971000, 0.0038970000, 0.0038968000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003453500, -0.003528400, -0.003701000, -0.003710500, -0.003732600, -0.003783600, -0.003900900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026250000; - } - pin ("D") { - capacitance : 0.0023000000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0020960000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027252000, 0.0027229000, 0.0027176000, 0.0027245000, 0.0027405000, 0.0027774000, 0.0028624000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001701400, -0.001707500, -0.001721600, -0.001719700, -0.001715100, -0.001704700, -0.001680600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025030000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (C) | (D)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000"); - values("0.0281561000, 0.0258964000, 0.0194889000, 0.0028612000, -0.051366200, -0.233357500, -0.827457000", \ - "0.0279853000, 0.0260372000, 0.0199057000, 0.0028668000, -0.051556900, -0.233500000, -0.827545200", \ - "0.0277519000, 0.0255106000, 0.0191379000, 0.0019609000, -0.052106300, -0.233580300, -0.827619500", \ - "0.0275254000, 0.0253560000, 0.0189731000, 0.0017489000, -0.052336500, -0.233867100, -0.827809400", \ - "0.0273720000, 0.0251337000, 0.0187386000, 0.0016520000, -0.052571800, -0.234077900, -0.827979900", \ - "0.0272004000, 0.0250030000, 0.0185773000, 0.0016318000, -0.052675300, -0.234254000, -0.828176400", \ - "0.0300004000, 0.0276878000, 0.0207812000, 0.0014401000, -0.052550200, -0.234194200, -0.828165600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000"); - values("0.0301260000, 0.0320327000, 0.0382112000, 0.0570218000, 0.1155306000, 0.2997719000, 0.8919592000", \ - "0.0300449000, 0.0319652000, 0.0381315000, 0.0569621000, 0.1154608000, 0.2995145000, 0.8918918000", \ - "0.0299583000, 0.0319142000, 0.0380548000, 0.0569785000, 0.1154684000, 0.2996341000, 0.8878506000", \ - "0.0300454000, 0.0319525000, 0.0380916000, 0.0569593000, 0.1154251000, 0.2993898000, 0.8907827000", \ - "0.0303407000, 0.0322191000, 0.0381150000, 0.0567990000, 0.1147463000, 0.2991823000, 0.8870623000", \ - "0.0316833000, 0.0334555000, 0.0391418000, 0.0572759000, 0.1149322000, 0.2988419000, 0.8917020000", \ - "0.0339688000, 0.0356818000, 0.0412768000, 0.0590525000, 0.1162392000, 0.3005466000, 0.8868471000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000"); - values("0.0257908000, 0.0235337000, 0.0171833000, 2.360000e-05, -0.053803800, -0.235620700, -0.829523200", \ - "0.0256845000, 0.0234661000, 0.0170554000, 3.930000e-05, -0.054147800, -0.235634300, -0.829490900", \ - "0.0254924000, 0.0232151000, 0.0168632000, -0.000264000, -0.054450400, -0.235855800, -0.829637500", \ - "0.0254946000, 0.0232718000, 0.0166856000, -0.000532400, -0.054283600, -0.236089800, -0.829846700", \ - "0.0251463000, 0.0229334000, 0.0167290000, -0.000646100, -0.054688600, -0.236263300, -0.830120600", \ - "0.0250672000, 0.0228831000, 0.0165079000, -0.000657800, -0.054976900, -0.236561800, -0.830304500", \ - "0.0272180000, 0.0248852000, 0.0180480000, -0.000665500, -0.055003700, -0.236213800, -0.830103700"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000"); - values("0.0295149000, 0.0314358000, 0.0375994000, 0.0565027000, 0.1155496000, 0.3006517000, 0.8879528000", \ - "0.0294803000, 0.0314377000, 0.0374899000, 0.0566443000, 0.1156075000, 0.3003705000, 0.8930446000", \ - "0.0294624000, 0.0313724000, 0.0375183000, 0.0565743000, 0.1155166000, 0.3002252000, 0.8898807000", \ - "0.0296273000, 0.0315587000, 0.0376343000, 0.0565269000, 0.1152537000, 0.2998312000, 0.8929266000", \ - "0.0294528000, 0.0313574000, 0.0372796000, 0.0558767000, 0.1143629000, 0.3000185000, 0.8926754000", \ - "0.0309538000, 0.0327268000, 0.0384004000, 0.0567040000, 0.1140024000, 0.2986259000, 0.8881437000", \ - "0.0323164000, 0.0339692000, 0.0395959000, 0.0574653000, 0.1153879000, 0.3001500000, 0.8873850000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000"); - values("0.0238491000, 0.0216851000, 0.0152488000, -0.001934300, -0.055986100, -0.237295400, -0.831018200", \ - "0.0237788000, 0.0215888000, 0.0151598000, -0.001926300, -0.056094400, -0.237394500, -0.831122900", \ - "0.0236100000, 0.0213929000, 0.0149644000, -0.002120600, -0.056287900, -0.237583100, -0.831299000", \ - "0.0233569000, 0.0210661000, 0.0147333000, -0.002230100, -0.056396900, -0.237870200, -0.831531100", \ - "0.0232301000, 0.0209007000, 0.0145104000, -0.002119600, -0.056449900, -0.238140000, -0.831841900", \ - "0.0233786000, 0.0210886000, 0.0147123000, -0.002468800, -0.056737600, -0.238339100, -0.832064700", \ - "0.0254079000, 0.0230868000, 0.0161443000, -0.002347600, -0.056792900, -0.238374200, -0.831431900"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000"); - values("0.0284754000, 0.0304019000, 0.0365329000, 0.0554128000, 0.1143389000, 0.2993861000, 0.8916833000", \ - "0.0285399000, 0.0304594000, 0.0366037000, 0.0554966000, 0.1143525000, 0.2992063000, 0.8885622000", \ - "0.0285983000, 0.0305269000, 0.0366003000, 0.0556402000, 0.1145254000, 0.2996357000, 0.8920419000", \ - "0.0286762000, 0.0305737000, 0.0366257000, 0.0554808000, 0.1141634000, 0.2992072000, 0.8869599000", \ - "0.0287702000, 0.0306153000, 0.0365412000, 0.0548548000, 0.1134861000, 0.2985847000, 0.8883737000", \ - "0.0298474000, 0.0316160000, 0.0372719000, 0.0555087000, 0.1132057000, 0.2971369000, 0.8911575000", \ - "0.0309319000, 0.0326170000, 0.0381467000, 0.0560190000, 0.1139959000, 0.2981307000, 0.8888828000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000"); - values("0.0228270000, 0.0205962000, 0.0143258000, -0.002766500, -0.056954800, -0.238389800, -0.832015800", \ - "0.0226854000, 0.0204672000, 0.0140755000, -0.003051700, -0.057203100, -0.238515400, -0.832005900", \ - "0.0223535000, 0.0201483000, 0.0138138000, -0.003379000, -0.057331200, -0.238736000, -0.832406300", \ - "0.0222538000, 0.0200129000, 0.0136475000, -0.003483200, -0.057655200, -0.239001300, -0.832454400", \ - "0.0219723000, 0.0197989000, 0.0133941000, -0.003687400, -0.057869600, -0.239219300, -0.832807900", \ - "0.0223065000, 0.0200925000, 0.0136969000, -0.003145800, -0.057488100, -0.238996700, -0.832662200", \ - "0.0290203000, 0.0265881000, 0.0194381000, -0.000584800, -0.056388500, -0.238071000, -0.831623100"); - } - related_pin : "D"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015989090, 0.0051130190, 0.0163505000, 0.0522859200, 0.1672008000, 0.5346778000"); - values("0.0250182000, 0.0269475000, 0.0329997000, 0.0520989000, 0.1112281000, 0.2963141000, 0.8897799000", \ - "0.0249971000, 0.0269450000, 0.0330643000, 0.0522537000, 0.1111654000, 0.2964007000, 0.8827494000", \ - "0.0249411000, 0.0268849000, 0.0329996000, 0.0521537000, 0.1111267000, 0.2958377000, 0.8866489000", \ - "0.0249543000, 0.0268575000, 0.0329618000, 0.0518477000, 0.1106497000, 0.2957795000, 0.8830836000", \ - "0.0249898000, 0.0268378000, 0.0326264000, 0.0510261000, 0.1096715000, 0.2947796000, 0.8862220000", \ - "0.0257524000, 0.0275208000, 0.0332088000, 0.0518321000, 0.1096442000, 0.2929823000, 0.8850457000", \ - "0.0274773000, 0.0289880000, 0.0345559000, 0.0522320000, 0.1103551000, 0.2947956000, 0.8820250000"); - } - } - max_capacitance : 0.5346780000; - max_transition : 1.5078210000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.4914132000, 0.4982088000, 0.5162480000, 0.5564371000, 0.6363137000, 0.7936776000, 1.1470217000", \ - "0.4954120000, 0.5022173000, 0.5203575000, 0.5605744000, 0.6407498000, 0.7976570000, 1.1511713000", \ - "0.5061124000, 0.5130667000, 0.5305983000, 0.5711051000, 0.6511199000, 0.8078365000, 1.1621484000", \ - "0.5314365000, 0.5384135000, 0.5563630000, 0.5962234000, 0.6761890000, 0.8328743000, 1.1872786000", \ - "0.5873011000, 0.5941201000, 0.6115942000, 0.6520635000, 0.7318983000, 0.8887763000, 1.2432230000", \ - "0.7038614000, 0.7106193000, 0.7283524000, 0.7692376000, 0.8495202000, 1.0071419000, 1.3610394000", \ - "0.9354281000, 0.9425728000, 0.9609944000, 1.0037359000, 1.0865630000, 1.2485422000, 1.6055099000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0876665000, 0.0914850000, 0.1018409000, 0.1282909000, 0.2016966000, 0.4268333000, 1.1413070000", \ - "0.0922595000, 0.0960948000, 0.1064385000, 0.1328672000, 0.2062900000, 0.4314621000, 1.1452620000", \ - "0.1032796000, 0.1071288000, 0.1174100000, 0.1438671000, 0.2173836000, 0.4424535000, 1.1548764000", \ - "0.1300736000, 0.1338521000, 0.1440402000, 0.1701876000, 0.2427525000, 0.4678474000, 1.1817560000", \ - "0.1793437000, 0.1835504000, 0.1943527000, 0.2211278000, 0.2937061000, 0.5187229000, 1.2318099000", \ - "0.2397252000, 0.2451299000, 0.2585070000, 0.2876349000, 0.3612992000, 0.5851170000, 1.3005148000", \ - "0.2947162000, 0.3017531000, 0.3194419000, 0.3567017000, 0.4349419000, 0.6586778000, 1.3688634000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0721265000, 0.0752971000, 0.0854764000, 0.1097659000, 0.1646583000, 0.2931584000, 0.6781201000", \ - "0.0721038000, 0.0756131000, 0.0860699000, 0.1107579000, 0.1636138000, 0.2946543000, 0.6782400000", \ - "0.0722579000, 0.0759717000, 0.0854235000, 0.1094144000, 0.1644540000, 0.2957461000, 0.6784009000", \ - "0.0722971000, 0.0760519000, 0.0858136000, 0.1093954000, 0.1648248000, 0.2958235000, 0.6776397000", \ - "0.0723350000, 0.0760569000, 0.0856061000, 0.1094786000, 0.1631982000, 0.2956620000, 0.6769875000", \ - "0.0720267000, 0.0757059000, 0.0854246000, 0.1106935000, 0.1647953000, 0.2943387000, 0.6768753000", \ - "0.0808025000, 0.0844916000, 0.0944700000, 0.1190932000, 0.1755423000, 0.3006082000, 0.6797883000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0218532000, 0.0249930000, 0.0345172000, 0.0642888000, 0.1619624000, 0.4801233000, 1.5016410000", \ - "0.0217560000, 0.0248926000, 0.0344779000, 0.0642158000, 0.1619003000, 0.4800937000, 1.4986263000", \ - "0.0216805000, 0.0248891000, 0.0343955000, 0.0640968000, 0.1615609000, 0.4791532000, 1.5002369000", \ - "0.0213129000, 0.0245416000, 0.0341004000, 0.0637475000, 0.1613394000, 0.4800055000, 1.4996466000", \ - "0.0258693000, 0.0289207000, 0.0377112000, 0.0654402000, 0.1612808000, 0.4803584000, 1.4972457000", \ - "0.0351003000, 0.0382410000, 0.0469625000, 0.0731255000, 0.1638551000, 0.4787382000, 1.5029858000", \ - "0.0497124000, 0.0535986000, 0.0648038000, 0.0889062000, 0.1716188000, 0.4818649000, 1.4988587000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.4724322000, 0.4792221000, 0.4972090000, 0.5375278000, 0.6171499000, 0.7747223000, 1.1281616000", \ - "0.4756401000, 0.4825544000, 0.5004768000, 0.5409160000, 0.6206838000, 0.7776795000, 1.1319068000", \ - "0.4855485000, 0.4924666000, 0.5100228000, 0.5505307000, 0.6303847000, 0.7872357000, 1.1417696000", \ - "0.5102955000, 0.5170872000, 0.5353725000, 0.5754005000, 0.6554365000, 0.8129576000, 1.1666070000", \ - "0.5669324000, 0.5736043000, 0.5914942000, 0.6315348000, 0.7109291000, 0.8684277000, 1.2226873000", \ - "0.6922470000, 0.6991123000, 0.7170506000, 0.7572592000, 0.8371817000, 0.9949090000, 1.3491634000", \ - "0.9485567000, 0.9557439000, 0.9757921000, 1.0186871000, 1.1026913000, 1.2646244000, 1.6222537000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0867381000, 0.0904582000, 0.1005953000, 0.1266934000, 0.1997952000, 0.4241539000, 1.1369080000", \ - "0.0913392000, 0.0950548000, 0.1051577000, 0.1313640000, 0.2043104000, 0.4293156000, 1.1444630000", \ - "0.1022697000, 0.1059879000, 0.1161163000, 0.1422317000, 0.2152844000, 0.4404405000, 1.1532491000", \ - "0.1282260000, 0.1318990000, 0.1419221000, 0.1678094000, 0.2404795000, 0.4651073000, 1.1803655000", \ - "0.1759205000, 0.1800426000, 0.1905849000, 0.2171874000, 0.2898969000, 0.5145707000, 1.2290472000", \ - "0.2333694000, 0.2387717000, 0.2519710000, 0.2816094000, 0.3550474000, 0.5785067000, 1.2949590000", \ - "0.2806537000, 0.2877081000, 0.3055773000, 0.3429197000, 0.4210527000, 0.6447772000, 1.3562133000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0721369000, 0.0752950000, 0.0855465000, 0.1094088000, 0.1654661000, 0.2934692000, 0.6776344000", \ - "0.0720191000, 0.0757554000, 0.0856911000, 0.1101784000, 0.1629585000, 0.2956226000, 0.6774825000", \ - "0.0721390000, 0.0759190000, 0.0859097000, 0.1095660000, 0.1633346000, 0.2957425000, 0.6770353000", \ - "0.0719774000, 0.0755703000, 0.0857557000, 0.1093914000, 0.1635911000, 0.2951396000, 0.6775303000", \ - "0.0720147000, 0.0757951000, 0.0855107000, 0.1095179000, 0.1637763000, 0.2947341000, 0.6777080000", \ - "0.0719245000, 0.0756796000, 0.0861399000, 0.1105288000, 0.1628068000, 0.2927503000, 0.6773420000", \ - "0.0828268000, 0.0864246000, 0.0963311000, 0.1217217000, 0.1741559000, 0.3042412000, 0.6807104000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0209719000, 0.0239567000, 0.0333849000, 0.0627871000, 0.1601547000, 0.4794958000, 1.4974526000", \ - "0.0208369000, 0.0239364000, 0.0334700000, 0.0627568000, 0.1603059000, 0.4787872000, 1.5026667000", \ - "0.0209663000, 0.0239782000, 0.0334066000, 0.0627015000, 0.1600573000, 0.4795613000, 1.5024837000", \ - "0.0206374000, 0.0237975000, 0.0332682000, 0.0625345000, 0.1600028000, 0.4780420000, 1.5031244000", \ - "0.0250284000, 0.0282485000, 0.0371115000, 0.0647583000, 0.1604680000, 0.4793601000, 1.5011046000", \ - "0.0345356000, 0.0377455000, 0.0462755000, 0.0720021000, 0.1631732000, 0.4781063000, 1.4988718000", \ - "0.0490917000, 0.0533878000, 0.0643977000, 0.0899277000, 0.1714147000, 0.4821970000, 1.4969771000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.4418807000, 0.4488891000, 0.4664235000, 0.5069158000, 0.5868996000, 0.7435859000, 1.0980891000", \ - "0.4445943000, 0.4514996000, 0.4694387000, 0.5099116000, 0.5896360000, 0.7465351000, 1.1009655000", \ - "0.4533574000, 0.4602407000, 0.4781372000, 0.5186041000, 0.5982890000, 0.7552363000, 1.1096412000", \ - "0.4769170000, 0.4838238000, 0.5017543000, 0.5420148000, 0.6219139000, 0.7786352000, 1.1330378000", \ - "0.5346943000, 0.5412298000, 0.5589479000, 0.5998075000, 0.6798167000, 0.8374552000, 1.1908099000", \ - "0.6730183000, 0.6797946000, 0.6978303000, 0.7374207000, 0.8180894000, 0.9756824000, 1.3297131000", \ - "0.9572609000, 0.9644725000, 0.9835041000, 1.0267118000, 1.1113941000, 1.2745849000, 1.6318085000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0844826000, 0.0881436000, 0.0981324000, 0.1239143000, 0.1964714000, 0.4208459000, 1.1357778000", \ - "0.0891848000, 0.0928407000, 0.1028475000, 0.1286490000, 0.2012214000, 0.4251366000, 1.1387719000", \ - "0.1001732000, 0.1038482000, 0.1138331000, 0.1397212000, 0.2121873000, 0.4365779000, 1.1509407000", \ - "0.1262631000, 0.1298458000, 0.1397633000, 0.1654756000, 0.2378105000, 0.4621777000, 1.1744404000", \ - "0.1715578000, 0.1756967000, 0.1864958000, 0.2130282000, 0.2850936000, 0.5091467000, 1.2229444000", \ - "0.2244281000, 0.2297898000, 0.2430995000, 0.2728755000, 0.3467281000, 0.5700772000, 1.2857582000", \ - "0.2664264000, 0.2736608000, 0.2916754000, 0.3294091000, 0.4085970000, 0.6320247000, 1.3437592000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0720153000, 0.0760472000, 0.0854036000, 0.1094325000, 0.1641890000, 0.2958080000, 0.6780149000", \ - "0.0719680000, 0.0757111000, 0.0856800000, 0.1100532000, 0.1627886000, 0.2950385000, 0.6773994000", \ - "0.0721150000, 0.0757134000, 0.0856817000, 0.1101191000, 0.1628393000, 0.2952348000, 0.6773969000", \ - "0.0721861000, 0.0754861000, 0.0857704000, 0.1102934000, 0.1632075000, 0.2957077000, 0.6781329000", \ - "0.0723980000, 0.0759748000, 0.0854999000, 0.1106629000, 0.1634653000, 0.2947326000, 0.6777718000", \ - "0.0719725000, 0.0754802000, 0.0854916000, 0.1094973000, 0.1636278000, 0.2949587000, 0.6775374000", \ - "0.0863607000, 0.0898707000, 0.0993048000, 0.1231514000, 0.1759940000, 0.3034848000, 0.6820781000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0203705000, 0.0234009000, 0.0327803000, 0.0620360000, 0.1596959000, 0.4794524000, 1.5032330000", \ - "0.0203720000, 0.0233747000, 0.0327970000, 0.0620747000, 0.1598071000, 0.4789732000, 1.5027922000", \ - "0.0203728000, 0.0234620000, 0.0328390000, 0.0621247000, 0.1597114000, 0.4793249000, 1.5036045000", \ - "0.0204061000, 0.0234869000, 0.0328737000, 0.0620540000, 0.1594867000, 0.4794112000, 1.4980129000", \ - "0.0251062000, 0.0280091000, 0.0369603000, 0.0648657000, 0.1601632000, 0.4782964000, 1.5024892000", \ - "0.0349741000, 0.0383373000, 0.0469247000, 0.0728376000, 0.1631816000, 0.4781155000, 1.5031505000", \ - "0.0504795000, 0.0547223000, 0.0655039000, 0.0903282000, 0.1719642000, 0.4805662000, 1.4974287000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.3813238000, 0.3881033000, 0.4062667000, 0.4465796000, 0.5267912000, 0.6843936000, 1.0382832000", \ - "0.3833307000, 0.3902084000, 0.4081976000, 0.4485751000, 0.5284352000, 0.6866759000, 1.0398002000", \ - "0.3897890000, 0.3965730000, 0.4148154000, 0.4549935000, 0.5345916000, 0.6926517000, 1.0466294000", \ - "0.4108263000, 0.4178054000, 0.4357883000, 0.4760966000, 0.5558218000, 0.7130652000, 1.0677543000", \ - "0.4670594000, 0.4739400000, 0.4917197000, 0.5320892000, 0.6117828000, 0.7698807000, 1.1239542000", \ - "0.6041318000, 0.6108469000, 0.6288502000, 0.6684924000, 0.7482291000, 0.9060322000, 1.2601723000", \ - "0.8876311000, 0.8950318000, 0.9146594000, 0.9596105000, 1.0445348000, 1.2050720000, 1.5598055000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0799526000, 0.0836222000, 0.0935958000, 0.1196012000, 0.1922097000, 0.4164080000, 1.1306661000", \ - "0.0847993000, 0.0884987000, 0.0985258000, 0.1245399000, 0.1970194000, 0.4220985000, 1.1367322000", \ - "0.0962979000, 0.0999738000, 0.1100090000, 0.1360098000, 0.2085645000, 0.4326670000, 1.1480622000", \ - "0.1224688000, 0.1261138000, 0.1360443000, 0.1617455000, 0.2342534000, 0.4584973000, 1.1803181000", \ - "0.1670537000, 0.1713336000, 0.1820017000, 0.2090197000, 0.2815883000, 0.5066764000, 1.2233594000", \ - "0.2205325000, 0.2260931000, 0.2398993000, 0.2706881000, 0.3446589000, 0.5676691000, 1.2816701000", \ - "0.2666100000, 0.2739894000, 0.2928676000, 0.3316845000, 0.4118550000, 0.6346407000, 1.3460347000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0721094000, 0.0757485000, 0.0853991000, 0.1102182000, 0.1636287000, 0.2949277000, 0.6774472000", \ - "0.0720850000, 0.0756534000, 0.0856010000, 0.1097430000, 0.1629011000, 0.2942364000, 0.6774201000", \ - "0.0720454000, 0.0757922000, 0.0857076000, 0.1095061000, 0.1657032000, 0.2948487000, 0.6779049000", \ - "0.0720805000, 0.0756803000, 0.0855245000, 0.1099386000, 0.1628697000, 0.2951759000, 0.6769116000", \ - "0.0719738000, 0.0756657000, 0.0857907000, 0.1104909000, 0.1646554000, 0.2944696000, 0.6776833000", \ - "0.0710425000, 0.0747836000, 0.0849714000, 0.1097349000, 0.1630538000, 0.2951388000, 0.6774531000", \ - "0.0926359000, 0.0962525000, 0.1067757000, 0.1293265000, 0.1788368000, 0.3021770000, 0.6827988000"); - } - related_pin : "D"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015989100, 0.0051130200, 0.0163505000, 0.0522859000, 0.1672010000, 0.5346780000"); - values("0.0203235000, 0.0233212000, 0.0327923000, 0.0619983000, 0.1594258000, 0.4793169000, 1.5078210000", \ - "0.0202687000, 0.0233601000, 0.0326964000, 0.0619609000, 0.1595193000, 0.4795909000, 1.5009630000", \ - "0.0202888000, 0.0233818000, 0.0327412000, 0.0619775000, 0.1594910000, 0.4779732000, 1.5072819000", \ - "0.0206923000, 0.0237199000, 0.0330189000, 0.0622141000, 0.1595768000, 0.4800960000, 1.5008944000", \ - "0.0258873000, 0.0287249000, 0.0379360000, 0.0652505000, 0.1599714000, 0.4802740000, 1.5057989000", \ - "0.0364676000, 0.0397831000, 0.0485324000, 0.0737051000, 0.1636386000, 0.4781420000, 1.5047640000", \ - "0.0533065000, 0.0569287000, 0.0680990000, 0.0941629000, 0.1736471000, 0.4812970000, 1.4984752000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or4b_1") { - leakage_power () { - value : 0.0095460000; - when : "!A&!B&!C&D_N"; - } - leakage_power () { - value : 0.0012685000; - when : "!A&!B&!C&!D_N"; - } - leakage_power () { - value : 0.0029357000; - when : "!A&!B&C&D_N"; - } - leakage_power () { - value : 0.0005703000; - when : "!A&!B&C&!D_N"; - } - leakage_power () { - value : 0.0029065000; - when : "!A&B&!C&D_N"; - } - leakage_power () { - value : 0.0005659000; - when : "!A&B&!C&!D_N"; - } - leakage_power () { - value : 0.0027082000; - when : "!A&B&C&D_N"; - } - leakage_power () { - value : 0.0005417000; - when : "!A&B&C&!D_N"; - } - leakage_power () { - value : 0.0029279000; - when : "A&!B&!C&D_N"; - } - leakage_power () { - value : 0.0005707000; - when : "A&!B&!C&!D_N"; - } - leakage_power () { - value : 0.0027120000; - when : "A&!B&C&D_N"; - } - leakage_power () { - value : 0.0005430000; - when : "A&!B&C&!D_N"; - } - leakage_power () { - value : 0.0027162000; - when : "A&B&!C&D_N"; - } - leakage_power () { - value : 0.0005421000; - when : "A&B&!C&!D_N"; - } - leakage_power () { - value : 0.0026879000; - when : "A&B&C&D_N"; - } - leakage_power () { - value : 0.0005328000; - when : "A&B&C&!D_N"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__or4b"; - cell_leakage_power : 0.0021422130; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014450000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0016514000, 0.0016500000, 0.0016469000, 0.0016477000, 0.0016495000, 0.0016536000, 0.0016631000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001411700, -0.001426800, -0.001461600, -0.001466600, -0.001478300, -0.001505200, -0.001567200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015330000; - } - pin ("B") { - capacitance : 0.0018110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017130000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0028640000, 0.0028318000, 0.0027577000, 0.0027584000, 0.0027601000, 0.0027639000, 0.0027728000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002314700, -0.002399300, -0.002594300, -0.002599800, -0.002612300, -0.002641300, -0.002708000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019090000; - } - pin ("C") { - capacitance : 0.0015030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0019312000, 0.0019309000, 0.0019301000, 0.0019307000, 0.0019320000, 0.0019350000, 0.0019418000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001815800, -0.001840000, -0.001895800, -0.001897100, -0.001900200, -0.001907400, -0.001923900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016060000; - } - pin ("D_N") { - capacitance : 0.0014190000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0069398000, 0.0068582000, 0.0066702000, 0.0067334000, 0.0068791000, 0.0072148000, 0.0079886000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0006976000, 0.0006293000, 0.0004720000, 0.0005311000, 0.0006672000, 0.0009811000, 0.0017045000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014610000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (C) | (!D_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0097509000, 0.0085782000, 0.0056509000, -0.002444400, -0.025211200, -0.088236000, -0.256671000", \ - "0.0096668000, 0.0084296000, 0.0055455000, -0.002544600, -0.025287200, -0.088355300, -0.256723200", \ - "0.0095457000, 0.0083445000, 0.0055821000, -0.002539300, -0.025364300, -0.088464500, -0.256840300", \ - "0.0094291000, 0.0082008000, 0.0052345000, -0.002781400, -0.025523400, -0.088625200, -0.256971700", \ - "0.0093199000, 0.0082083000, 0.0052308000, -0.002877900, -0.025678500, -0.088735000, -0.257066300", \ - "0.0092909000, 0.0080926000, 0.0051350000, -0.002951100, -0.025771300, -0.088831100, -0.257138600", \ - "0.0111410000, 0.0096255000, 0.0059051000, -0.003300900, -0.025751200, -0.088757000, -0.257064400"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0096854000, 0.0111173000, 0.0148358000, 0.0242698000, 0.0483520000, 0.1121350000, 0.2779576000", \ - "0.0097431000, 0.0111933000, 0.0149064000, 0.0242895000, 0.0485118000, 0.1123244000, 0.2783010000", \ - "0.0098929000, 0.0113473000, 0.0150276000, 0.0244111000, 0.0486181000, 0.1119113000, 0.2795640000", \ - "0.0098378000, 0.0112543000, 0.0148480000, 0.0242324000, 0.0484991000, 0.1122642000, 0.2796025000", \ - "0.0099029000, 0.0111876000, 0.0147467000, 0.0239204000, 0.0482273000, 0.1120451000, 0.2794393000", \ - "0.0101291000, 0.0114370000, 0.0149695000, 0.0240196000, 0.0482246000, 0.1115013000, 0.2780538000", \ - "0.0105590000, 0.0119132000, 0.0154005000, 0.0245893000, 0.0486119000, 0.1123574000, 0.2775801000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0086452000, 0.0074591000, 0.0045419000, -0.003567200, -0.026325800, -0.089307400, -0.257629600", \ - "0.0085179000, 0.0073146000, 0.0045549000, -0.003562200, -0.026376100, -0.089449000, -0.257765000", \ - "0.0083473000, 0.0071473000, 0.0042360000, -0.003843300, -0.026574500, -0.089606800, -0.257900900", \ - "0.0083021000, 0.0071073000, 0.0041435000, -0.003899900, -0.026720500, -0.089756400, -0.258033800", \ - "0.0081142000, 0.0069280000, 0.0040194000, -0.004064300, -0.026810300, -0.089884200, -0.258143100", \ - "0.0081988000, 0.0070157000, 0.0040390000, -0.004034200, -0.026870300, -0.089934600, -0.258205300", \ - "0.0101629000, 0.0086313000, 0.0048729000, -0.004210700, -0.026853700, -0.089879600, -0.258125300"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0097399000, 0.0111854000, 0.0148595000, 0.0242183000, 0.0483786000, 0.1115611000, 0.2778957000", \ - "0.0097719000, 0.0112094000, 0.0148784000, 0.0242345000, 0.0484327000, 0.1115717000, 0.2781753000", \ - "0.0097925000, 0.0112150000, 0.0148778000, 0.0242384000, 0.0484056000, 0.1116787000, 0.2781109000", \ - "0.0097516000, 0.0111397000, 0.0147157000, 0.0240335000, 0.0483069000, 0.1115216000, 0.2781889000", \ - "0.0096654000, 0.0109849000, 0.0145540000, 0.0237625000, 0.0479744000, 0.1114080000, 0.2792347000", \ - "0.0098010000, 0.0111063000, 0.0146979000, 0.0237367000, 0.0478796000, 0.1113809000, 0.2790598000", \ - "0.0102731000, 0.0115446000, 0.0150291000, 0.0242089000, 0.0482778000, 0.1118214000, 0.2783198000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0083927000, 0.0072012000, 0.0042708000, -0.003816100, -0.026625000, -0.089633500, -0.257846400", \ - "0.0083328000, 0.0071217000, 0.0041538000, -0.003916600, -0.026675600, -0.089686800, -0.257900900", \ - "0.0082374000, 0.0070647000, 0.0041090000, -0.003923600, -0.026715600, -0.089723300, -0.257930500", \ - "0.0079355000, 0.0067196000, 0.0037596000, -0.004211000, -0.026983700, -0.089967300, -0.258231000", \ - "0.0077185000, 0.0064915000, 0.0035842000, -0.004481300, -0.027242100, -0.090238000, -0.258452400", \ - "0.0076542000, 0.0064535000, 0.0034860000, -0.004571000, -0.027318900, -0.090298000, -0.258554400", \ - "0.0120153000, 0.0105652000, 0.0069330000, -0.002322500, -0.026512100, -0.090077500, -0.258343400"); - } - related_pin : "D_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0094148000, 0.0108495000, 0.0144711000, 0.0238254000, 0.0480012000, 0.1118777000, 0.2763108000", \ - "0.0093529000, 0.0107907000, 0.0144096000, 0.0237599000, 0.0479371000, 0.1118149000, 0.2792661000", \ - "0.0094002000, 0.0108154000, 0.0144589000, 0.0237979000, 0.0479457000, 0.1118596000, 0.2795435000", \ - "0.0093094000, 0.0107474000, 0.0143603000, 0.0236923000, 0.0478579000, 0.1118188000, 0.2792343000", \ - "0.0090967000, 0.0105226000, 0.0141471000, 0.0234634000, 0.0476324000, 0.1116257000, 0.2791760000", \ - "0.0089859000, 0.0103682000, 0.0139692000, 0.0232949000, 0.0474629000, 0.1106351000, 0.2791788000", \ - "0.0091409000, 0.0105199000, 0.0141090000, 0.0233132000, 0.0474080000, 0.1113477000, 0.2784777000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0109131000, 0.0097004000, 0.0067424000, -0.001127400, -0.023953000, -0.087177100, -0.255607600", \ - "0.0107574000, 0.0095546000, 0.0065969000, -0.001338200, -0.024149500, -0.087336500, -0.255748500", \ - "0.0105897000, 0.0093747000, 0.0064172000, -0.001522000, -0.024335700, -0.087518400, -0.255919400", \ - "0.0104418000, 0.0092423000, 0.0063157000, -0.001756700, -0.024524600, -0.087639100, -0.256044500", \ - "0.0104294000, 0.0091945000, 0.0062342000, -0.001848600, -0.024638300, -0.087781100, -0.256156200", \ - "0.0103197000, 0.0091251000, 0.0061726000, -0.001953700, -0.024743000, -0.087859100, -0.256232900", \ - "0.0125220000, 0.0109960000, 0.0073001000, -0.002108400, -0.024799100, -0.087832000, -0.256164400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0099302000, 0.0113880000, 0.0150830000, 0.0243794000, 0.0484199000, 0.1114544000, 0.2791738000", \ - "0.0099020000, 0.0113649000, 0.0150458000, 0.0243664000, 0.0484144000, 0.1114541000, 0.2792227000", \ - "0.0099040000, 0.0113524000, 0.0150364000, 0.0243337000, 0.0483775000, 0.1114888000, 0.2793430000", \ - "0.0098883000, 0.0112883000, 0.0149270000, 0.0242175000, 0.0483255000, 0.1113773000, 0.2792647000", \ - "0.0099118000, 0.0112571000, 0.0148615000, 0.0240838000, 0.0481580000, 0.1119611000, 0.2777584000", \ - "0.0105154000, 0.0118447000, 0.0153024000, 0.0241347000, 0.0483421000, 0.1116625000, 0.2790625000", \ - "0.0110364000, 0.0123417000, 0.0158145000, 0.0249616000, 0.0489172000, 0.1123718000, 0.2771786000"); - } - } - max_capacitance : 0.1675510000; - max_transition : 1.5091190000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.4718907000, 0.4854270000, 0.5137856000, 0.5658814000, 0.6597188000, 0.8335803000, 1.2040973000", \ - "0.4725623000, 0.4858527000, 0.5142303000, 0.5662467000, 0.6602721000, 0.8341288000, 1.2046297000", \ - "0.4793601000, 0.4926410000, 0.5209538000, 0.5729156000, 0.6669149000, 0.8408061000, 1.2113123000", \ - "0.5013762000, 0.5147281000, 0.5430028000, 0.5952056000, 0.6878968000, 0.8629869000, 1.2334845000", \ - "0.5528041000, 0.5666662000, 0.5946408000, 0.6468613000, 0.7405088000, 0.9145272000, 1.2847307000", \ - "0.6607575000, 0.6746483000, 0.7025534000, 0.7546628000, 0.8488263000, 1.0232479000, 1.3932924000", \ - "0.8597210000, 0.8736699000, 0.9038549000, 0.9594341000, 1.0583400000, 1.2386002000, 1.6120943000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0660491000, 0.0726418000, 0.0879868000, 0.1248249000, 0.2185244000, 0.4639946000, 1.1099944000", \ - "0.0709536000, 0.0775838000, 0.0928660000, 0.1297665000, 0.2235416000, 0.4687985000, 1.1148682000", \ - "0.0827623000, 0.0893263000, 0.1045623000, 0.1414323000, 0.2355006000, 0.4799212000, 1.1257956000", \ - "0.1090613000, 0.1155857000, 0.1307391000, 0.1673752000, 0.2614068000, 0.5062440000, 1.1517454000", \ - "0.1489874000, 0.1562883000, 0.1722217000, 0.2091258000, 0.3030273000, 0.5485159000, 1.1920653000", \ - "0.1966171000, 0.2058896000, 0.2240161000, 0.2618618000, 0.3557926000, 0.6006441000, 1.2470943000", \ - "0.2325433000, 0.2448210000, 0.2685587000, 0.3119766000, 0.4062184000, 0.6496290000, 1.2934965000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0626496000, 0.0711780000, 0.0897781000, 0.1275130000, 0.2013946000, 0.3654110000, 0.7912316000", \ - "0.0628705000, 0.0712209000, 0.0898077000, 0.1267748000, 0.2007890000, 0.3655532000, 0.7912628000", \ - "0.0631508000, 0.0711666000, 0.0897741000, 0.1268692000, 0.2008265000, 0.3655780000, 0.7912346000", \ - "0.0630277000, 0.0715543000, 0.0897078000, 0.1257974000, 0.2003760000, 0.3649054000, 0.7894982000", \ - "0.0630436000, 0.0713249000, 0.0895060000, 0.1280349000, 0.1985825000, 0.3642558000, 0.7927481000", \ - "0.0624278000, 0.0714264000, 0.0909668000, 0.1262950000, 0.1996888000, 0.3640388000, 0.7912008000", \ - "0.0706245000, 0.0797967000, 0.0991062000, 0.1357953000, 0.2106336000, 0.3724284000, 0.7945095000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0214630000, 0.0284090000, 0.0466784000, 0.0960453000, 0.2281694000, 0.5764239000, 1.4999761000", \ - "0.0214205000, 0.0283676000, 0.0466267000, 0.0958897000, 0.2282846000, 0.5768945000, 1.5003012000", \ - "0.0213119000, 0.0281888000, 0.0464520000, 0.0960170000, 0.2283383000, 0.5770954000, 1.4973425000", \ - "0.0218938000, 0.0286322000, 0.0464939000, 0.0956426000, 0.2280017000, 0.5760941000, 1.4983050000", \ - "0.0260608000, 0.0323165000, 0.0490194000, 0.0964624000, 0.2279119000, 0.5767654000, 1.5001099000", \ - "0.0343853000, 0.0410189000, 0.0560484000, 0.0998601000, 0.2289538000, 0.5773008000, 1.5010394000", \ - "0.0480888000, 0.0555254000, 0.0707603000, 0.1099785000, 0.2309431000, 0.5790542000, 1.4976211000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.4562166000, 0.4700023000, 0.4979041000, 0.5501087000, 0.6426813000, 0.8179502000, 1.1884064000", \ - "0.4560060000, 0.4693225000, 0.4975941000, 0.5498532000, 0.6434212000, 0.8176460000, 1.1883102000", \ - "0.4622092000, 0.4754389000, 0.5037106000, 0.5558676000, 0.6499984000, 0.8236791000, 1.1942042000", \ - "0.4836092000, 0.4970618000, 0.5252858000, 0.5775557000, 0.6710348000, 0.8453953000, 1.2160641000", \ - "0.5367045000, 0.5503399000, 0.5781626000, 0.6303443000, 0.7235253000, 0.8984500000, 1.2690873000", \ - "0.6546932000, 0.6684092000, 0.6962175000, 0.7483477000, 0.8421853000, 1.0168720000, 1.3870773000", \ - "0.8820576000, 0.8966661000, 0.9273401000, 0.9836973000, 1.0828940000, 1.2643223000, 1.6383870000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0671890000, 0.0737360000, 0.0891735000, 0.1261269000, 0.2202564000, 0.4658107000, 1.1098684000", \ - "0.0719351000, 0.0785240000, 0.0939414000, 0.1308841000, 0.2251187000, 0.4704322000, 1.1144330000", \ - "0.0836417000, 0.0902095000, 0.1054802000, 0.1423827000, 0.2364914000, 0.4814088000, 1.1284670000", \ - "0.1090651000, 0.1155859000, 0.1307065000, 0.1672981000, 0.2613876000, 0.5074103000, 1.1526360000", \ - "0.1470485000, 0.1542782000, 0.1701108000, 0.2068505000, 0.3009279000, 0.5472689000, 1.1928346000", \ - "0.1900682000, 0.1991075000, 0.2174316000, 0.2554957000, 0.3492484000, 0.5943190000, 1.2396493000", \ - "0.2186965000, 0.2307494000, 0.2542529000, 0.2978314000, 0.3919959000, 0.6371797000, 1.2805424000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0626156000, 0.0718395000, 0.0897335000, 0.1258613000, 0.2001612000, 0.3649159000, 0.7897487000", \ - "0.0629510000, 0.0715331000, 0.0898083000, 0.1256684000, 0.1994207000, 0.3646421000, 0.7909874000", \ - "0.0628605000, 0.0712497000, 0.0901667000, 0.1261443000, 0.2001295000, 0.3655277000, 0.7910545000", \ - "0.0627933000, 0.0712740000, 0.0898534000, 0.1256315000, 0.1994845000, 0.3645158000, 0.7909589000", \ - "0.0630010000, 0.0714498000, 0.0898185000, 0.1259195000, 0.2008707000, 0.3643931000, 0.7909559000", \ - "0.0627325000, 0.0716247000, 0.0898223000, 0.1257603000, 0.1982359000, 0.3641286000, 0.7931456000", \ - "0.0733840000, 0.0824939000, 0.1013252000, 0.1384903000, 0.2147619000, 0.3741103000, 0.7957125000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0208817000, 0.0277215000, 0.0456135000, 0.0949105000, 0.2270251000, 0.5774112000, 1.4972878000", \ - "0.0208875000, 0.0276895000, 0.0455872000, 0.0949092000, 0.2269085000, 0.5769275000, 1.4966783000", \ - "0.0207544000, 0.0275083000, 0.0457160000, 0.0948621000, 0.2267077000, 0.5772983000, 1.4981192000", \ - "0.0213998000, 0.0280226000, 0.0458741000, 0.0947422000, 0.2272878000, 0.5773916000, 1.5006073000", \ - "0.0256279000, 0.0318000000, 0.0483287000, 0.0963121000, 0.2268209000, 0.5761381000, 1.5014695000", \ - "0.0341803000, 0.0402302000, 0.0554078000, 0.0991811000, 0.2286147000, 0.5757777000, 1.5007682000", \ - "0.0477090000, 0.0551811000, 0.0722816000, 0.1099884000, 0.2303977000, 0.5802418000, 1.4948998000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.4220565000, 0.4357035000, 0.4640247000, 0.5161043000, 0.6101073000, 0.7839442000, 1.1544968000", \ - "0.4219461000, 0.4352194000, 0.4635864000, 0.5156700000, 0.6098858000, 0.7836090000, 1.1542691000", \ - "0.4273442000, 0.4408222000, 0.4690808000, 0.5213218000, 0.6140532000, 0.7894125000, 1.1600690000", \ - "0.4486881000, 0.4624454000, 0.4904008000, 0.5426243000, 0.6363740000, 0.8104007000, 1.1807920000", \ - "0.5037634000, 0.5172167000, 0.5454985000, 0.5976761000, 0.6910454000, 0.8658084000, 1.2366188000", \ - "0.6368152000, 0.6503991000, 0.6782322000, 0.7301803000, 0.8239161000, 0.9987082000, 1.3690870000", \ - "0.8975917000, 0.9126429000, 0.9432476000, 0.9998145000, 1.0998050000, 1.2813378000, 1.6549332000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0648972000, 0.0713950000, 0.0864617000, 0.1230505000, 0.2167852000, 0.4613503000, 1.1048420000", \ - "0.0697482000, 0.0762256000, 0.0913361000, 0.1279362000, 0.2216348000, 0.4661081000, 1.1096338000", \ - "0.0813152000, 0.0877617000, 0.1028025000, 0.1393977000, 0.2336869000, 0.4781249000, 1.1228890000", \ - "0.1060273000, 0.1124806000, 0.1274193000, 0.1639232000, 0.2578149000, 0.5025310000, 1.1460318000", \ - "0.1417275000, 0.1489284000, 0.1648801000, 0.2015123000, 0.2954291000, 0.5408710000, 1.1853885000", \ - "0.1814114000, 0.1905048000, 0.2089461000, 0.2469339000, 0.3408645000, 0.5859679000, 1.2328545000", \ - "0.2035455000, 0.2158933000, 0.2405703000, 0.2842368000, 0.3782378000, 0.6241808000, 1.2675017000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0631873000, 0.0720103000, 0.0903868000, 0.1261267000, 0.1990104000, 0.3640587000, 0.7920369000", \ - "0.0628682000, 0.0712620000, 0.0902738000, 0.1262746000, 0.2001371000, 0.3655159000, 0.7910568000", \ - "0.0628219000, 0.0714402000, 0.0897506000, 0.1257507000, 0.2002010000, 0.3648485000, 0.7895307000", \ - "0.0628880000, 0.0714260000, 0.0895368000, 0.1258595000, 0.1987453000, 0.3650327000, 0.7934776000", \ - "0.0628789000, 0.0714863000, 0.0898136000, 0.1259012000, 0.1996674000, 0.3651817000, 0.7909972000", \ - "0.0628971000, 0.0716117000, 0.0900370000, 0.1264749000, 0.1984808000, 0.3646803000, 0.7934748000", \ - "0.0755829000, 0.0847483000, 0.1038949000, 0.1406880000, 0.2153943000, 0.3741356000, 0.7947110000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0203288000, 0.0270714000, 0.0450123000, 0.0942344000, 0.2270255000, 0.5758567000, 1.4956602000", \ - "0.0202876000, 0.0269946000, 0.0450576000, 0.0942815000, 0.2272148000, 0.5759549000, 1.4965830000", \ - "0.0203062000, 0.0270280000, 0.0450059000, 0.0941023000, 0.2270138000, 0.5776419000, 1.5009810000", \ - "0.0211922000, 0.0277557000, 0.0453971000, 0.0944078000, 0.2272166000, 0.5760592000, 1.4963720000", \ - "0.0254175000, 0.0316453000, 0.0482109000, 0.0955633000, 0.2270043000, 0.5778032000, 1.5006314000", \ - "0.0342642000, 0.0403224000, 0.0555841000, 0.0992228000, 0.2277899000, 0.5764399000, 1.5005814000", \ - "0.0487500000, 0.0560012000, 0.0724832000, 0.1101230000, 0.2305561000, 0.5797735000, 1.4964414000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.3819712000, 0.3956749000, 0.4236608000, 0.4760855000, 0.5698443000, 0.7447167000, 1.1138702000", \ - "0.3856944000, 0.3996360000, 0.4276152000, 0.4799942000, 0.5737618000, 0.7487183000, 1.1179743000", \ - "0.3936008000, 0.4072743000, 0.4353575000, 0.4878887000, 0.5815727000, 0.7561704000, 1.1258301000", \ - "0.4076983000, 0.4211900000, 0.4494891000, 0.5011855000, 0.5952369000, 0.7701028000, 1.1409348000", \ - "0.4291727000, 0.4426398000, 0.4708323000, 0.5231313000, 0.6169803000, 0.7921147000, 1.1623628000", \ - "0.4554121000, 0.4689606000, 0.4972394000, 0.5493258000, 0.6418914000, 0.8179279000, 1.1881919000", \ - "0.4774724000, 0.4911097000, 0.5191461000, 0.5714184000, 0.6650666000, 0.8398383000, 1.2104014000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.1236038000, 0.1301510000, 0.1453087000, 0.1820219000, 0.2756530000, 0.5211596000, 1.1671503000", \ - "0.1284140000, 0.1349760000, 0.1501241000, 0.1868450000, 0.2804915000, 0.5261696000, 1.1753722000", \ - "0.1412305000, 0.1477716000, 0.1629787000, 0.1996785000, 0.2932207000, 0.5385110000, 1.1845529000", \ - "0.1718526000, 0.1784149000, 0.1935440000, 0.2302175000, 0.3237113000, 0.5693785000, 1.2192369000", \ - "0.2297691000, 0.2363650000, 0.2516627000, 0.2883058000, 0.3820279000, 0.6277753000, 1.2759961000", \ - "0.3186844000, 0.3253767000, 0.3407792000, 0.3773656000, 0.4713742000, 0.7160659000, 1.3620552000", \ - "0.4555471000, 0.4627622000, 0.4785665000, 0.5150230000, 0.6089035000, 0.8547092000, 1.4975859000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0631362000, 0.0721148000, 0.0910253000, 0.1258072000, 0.1983666000, 0.3645483000, 0.7920012000", \ - "0.0630295000, 0.0712388000, 0.0895362000, 0.1280464000, 0.1982671000, 0.3645368000, 0.7915235000", \ - "0.0630142000, 0.0717926000, 0.0906353000, 0.1271241000, 0.1987147000, 0.3637713000, 0.7932279000", \ - "0.0626395000, 0.0711545000, 0.0897534000, 0.1265833000, 0.1996280000, 0.3650982000, 0.7910256000", \ - "0.0628582000, 0.0717457000, 0.0900629000, 0.1259057000, 0.1988425000, 0.3634445000, 0.7930397000", \ - "0.0630494000, 0.0712531000, 0.0897065000, 0.1264388000, 0.2006412000, 0.3659988000, 0.7897942000", \ - "0.0627272000, 0.0717699000, 0.0906085000, 0.1264533000, 0.1993625000, 0.3643295000, 0.7908987000"); - } - related_pin : "D_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0209412000, 0.0275726000, 0.0453180000, 0.0943379000, 0.2262213000, 0.5779422000, 1.4991389000", \ - "0.0209384000, 0.0275766000, 0.0453207000, 0.0943341000, 0.2263890000, 0.5780805000, 1.5069870000", \ - "0.0209672000, 0.0276957000, 0.0453898000, 0.0943610000, 0.2262932000, 0.5783879000, 1.5091195000", \ - "0.0209693000, 0.0276085000, 0.0453526000, 0.0942870000, 0.2264315000, 0.5780529000, 1.5071112000", \ - "0.0213928000, 0.0279823000, 0.0456621000, 0.0944718000, 0.2262641000, 0.5782348000, 1.5073428000", \ - "0.0225080000, 0.0289255000, 0.0462874000, 0.0946949000, 0.2259118000, 0.5752634000, 1.5052406000", \ - "0.0250035000, 0.0311699000, 0.0478550000, 0.0954865000, 0.2261545000, 0.5762287000, 1.4988429000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or4b_2") { - leakage_power () { - value : 0.0062219000; - when : "!A&!B&!C&D_N"; - } - leakage_power () { - value : 0.0019329000; - when : "!A&!B&!C&!D_N"; - } - leakage_power () { - value : 0.0017965000; - when : "!A&!B&C&D_N"; - } - leakage_power () { - value : 0.0012708000; - when : "!A&!B&C&!D_N"; - } - leakage_power () { - value : 0.0017610000; - when : "!A&B&!C&D_N"; - } - leakage_power () { - value : 0.0012648000; - when : "!A&B&!C&!D_N"; - } - leakage_power () { - value : 0.0015893000; - when : "!A&B&C&D_N"; - } - leakage_power () { - value : 0.0012443000; - when : "!A&B&C&!D_N"; - } - leakage_power () { - value : 0.0017522000; - when : "A&!B&!C&D_N"; - } - leakage_power () { - value : 0.0012632000; - when : "A&!B&!C&!D_N"; - } - leakage_power () { - value : 0.0015879000; - when : "A&!B&C&D_N"; - } - leakage_power () { - value : 0.0012437000; - when : "A&!B&C&!D_N"; - } - leakage_power () { - value : 0.0015922000; - when : "A&B&!C&D_N"; - } - leakage_power () { - value : 0.0012426000; - when : "A&B&!C&!D_N"; - } - leakage_power () { - value : 0.0015703000; - when : "A&B&C&D_N"; - } - leakage_power () { - value : 0.0012356000; - when : "A&B&C&!D_N"; - } - area : 10.009600000; - cell_footprint : "sky130_fd_sc_hd__or4b"; - cell_leakage_power : 0.0017855800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014520000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013620000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0016876000, 0.0016833000, 0.0016732000, 0.0016739000, 0.0016755000, 0.0016793000, 0.0016879000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001453000, -0.001461800, -0.001482100, -0.001486200, -0.001495800, -0.001517800, -0.001568600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015410000; - } - pin ("B") { - capacitance : 0.0014960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014350000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0023657000, 0.0023605000, 0.0023485000, 0.0023482000, 0.0023475000, 0.0023458000, 0.0023419000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002118300, -0.002125900, -0.002143400, -0.002150200, -0.002165900, -0.002202100, -0.002285600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015570000; - } - pin ("C") { - capacitance : 0.0014920000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013930000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0019281000, 0.0019264000, 0.0019227000, 0.0019219000, 0.0019201000, 0.0019160000, 0.0019066000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001824300, -0.001842400, -0.001883900, -0.001885500, -0.001889100, -0.001897300, -0.001916300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015910000; - } - pin ("D_N") { - capacitance : 0.0014390000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0076846000, 0.0075894000, 0.0073702000, 0.0074148000, 0.0075175000, 0.0077544000, 0.0083003000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0019235000, 0.0018623000, 0.0017211000, 0.0017599000, 0.0018494000, 0.0020556000, 0.0025309000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015020000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (C) | (!D_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489450, 0.0115220000, 0.0327879100, 0.0933038600, 0.2655128000"); - values("0.0155530000, 0.0136410000, 0.0089681000, -0.002602700, -0.035279400, -0.131266700, -0.409532200", \ - "0.0153821000, 0.0134904000, 0.0088293000, -0.002705500, -0.035396500, -0.131243900, -0.409626600", \ - "0.0152250000, 0.0134178000, 0.0087600000, -0.002835400, -0.035478200, -0.131483300, -0.409883900", \ - "0.0150557000, 0.0131571000, 0.0084926000, -0.003091600, -0.035767300, -0.131504200, -0.410020800", \ - "0.0148984000, 0.0132481000, 0.0085309000, -0.002987800, -0.035724600, -0.131816100, -0.410200700", \ - "0.0148412000, 0.0129175000, 0.0082645000, -0.003318600, -0.036032600, -0.131959600, -0.410287800", \ - "0.0152561000, 0.0133593000, 0.0086498000, -0.002997700, -0.035928600, -0.131948900, -0.410224600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489450, 0.0115220000, 0.0327879100, 0.0933038600, 0.2655128000"); - values("0.0151412000, 0.0167946000, 0.0213425000, 0.0337353000, 0.0680645000, 0.1648242000, 0.4400718000", \ - "0.0151057000, 0.0167378000, 0.0212514000, 0.0336855000, 0.0680218000, 0.1650377000, 0.4401394000", \ - "0.0150836000, 0.0167042000, 0.0212274000, 0.0336576000, 0.0680083000, 0.1647372000, 0.4401546000", \ - "0.0151400000, 0.0167416000, 0.0211272000, 0.0335409000, 0.0679189000, 0.1648061000, 0.4401263000", \ - "0.0151089000, 0.0167505000, 0.0212609000, 0.0333465000, 0.0677357000, 0.1647409000, 0.4398848000", \ - "0.0158149000, 0.0172793000, 0.0215582000, 0.0333643000, 0.0677262000, 0.1642975000, 0.4398177000", \ - "0.0166403000, 0.0180794000, 0.0221949000, 0.0343081000, 0.0682354000, 0.1654609000, 0.4398490000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489450, 0.0115220000, 0.0327879100, 0.0933038600, 0.2655128000"); - values("0.0140043000, 0.0121182000, 0.0074842000, -0.004131900, -0.036841100, -0.132878700, -0.411071000", \ - "0.0137669000, 0.0118480000, 0.0072077000, -0.004324500, -0.037004400, -0.132843400, -0.411111000", \ - "0.0136726000, 0.0117282000, 0.0070622000, -0.004479500, -0.036795400, -0.132896800, -0.411305200", \ - "0.0135343000, 0.0116412000, 0.0069976000, -0.004575800, -0.037259500, -0.132986100, -0.411386400", \ - "0.0134456000, 0.0115692000, 0.0068777000, -0.004661000, -0.037130600, -0.133254900, -0.411519500", \ - "0.0134064000, 0.0115099000, 0.0068207000, -0.004751400, -0.037451600, -0.133352100, -0.411562800", \ - "0.0138330000, 0.0122048000, 0.0072969000, -0.004273800, -0.037183000, -0.133170400, -0.411438800"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489450, 0.0115220000, 0.0327879100, 0.0933038600, 0.2655128000"); - values("0.0151985000, 0.0168336000, 0.0213636000, 0.0338695000, 0.0685030000, 0.1656665000, 0.4427567000", \ - "0.0151983000, 0.0168350000, 0.0213892000, 0.0338648000, 0.0684953000, 0.1656595000, 0.4428201000", \ - "0.0151590000, 0.0167920000, 0.0213527000, 0.0338498000, 0.0684436000, 0.1656797000, 0.4411050000", \ - "0.0152274000, 0.0168145000, 0.0213096000, 0.0337300000, 0.0682882000, 0.1656388000, 0.4409544000", \ - "0.0151594000, 0.0167288000, 0.0211184000, 0.0333881000, 0.0678756000, 0.1653164000, 0.4411125000", \ - "0.0158963000, 0.0173778000, 0.0216223000, 0.0336700000, 0.0677536000, 0.1647627000, 0.4411819000", \ - "0.0165362000, 0.0179697000, 0.0221187000, 0.0341095000, 0.0680679000, 0.1653816000, 0.4404004000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489450, 0.0115220000, 0.0327879100, 0.0933038600, 0.2655128000"); - values("0.0132191000, 0.0113286000, 0.0066675000, -0.004883300, -0.037119500, -0.133200200, -0.411557500", \ - "0.0131830000, 0.0112665000, 0.0066047000, -0.004930000, -0.037623400, -0.133385800, -0.411587300", \ - "0.0130207000, 0.0111148000, 0.0064785000, -0.005085200, -0.037757600, -0.133537800, -0.411725800", \ - "0.0128388000, 0.0109433000, 0.0062686000, -0.005262400, -0.037581500, -0.133709600, -0.411980500", \ - "0.0127511000, 0.0108455000, 0.0061665000, -0.005384900, -0.037878700, -0.133927700, -0.412015300", \ - "0.0126290000, 0.0107336000, 0.0061110000, -0.005460100, -0.038167100, -0.134081700, -0.412173900", \ - "0.0135087000, 0.0115120000, 0.0067051000, -0.005082000, -0.037967500, -0.133958700, -0.412134000"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489450, 0.0115220000, 0.0327879100, 0.0933038600, 0.2655128000"); - values("0.0147777000, 0.0164335000, 0.0209642000, 0.0334668000, 0.0680788000, 0.1653878000, 0.4412165000", \ - "0.0147995000, 0.0164217000, 0.0209451000, 0.0334810000, 0.0679984000, 0.1652460000, 0.4409076000", \ - "0.0148524000, 0.0164609000, 0.0209773000, 0.0334415000, 0.0680528000, 0.1652654000, 0.4411417000", \ - "0.0148797000, 0.0164103000, 0.0208758000, 0.0333130000, 0.0678594000, 0.1651545000, 0.4404442000", \ - "0.0147455000, 0.0163251000, 0.0207454000, 0.0329493000, 0.0674915000, 0.1649024000, 0.4405543000", \ - "0.0153566000, 0.0168320000, 0.0210832000, 0.0329307000, 0.0672535000, 0.1641494000, 0.4406027000", \ - "0.0158547000, 0.0172964000, 0.0214186000, 0.0335451000, 0.0676275000, 0.1651512000, 0.4396200000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489450, 0.0115220000, 0.0327879100, 0.0933038600, 0.2655128000"); - values("0.0131094000, 0.0112153000, 0.0065487000, -0.005007900, -0.037703200, -0.133627400, -0.411683900", \ - "0.0130590000, 0.0111491000, 0.0065738000, -0.005001100, -0.037713200, -0.133641400, -0.411705600", \ - "0.0130028000, 0.0110964000, 0.0064655000, -0.005087900, -0.037774900, -0.133702000, -0.411738000", \ - "0.0126898000, 0.0107752000, 0.0061300000, -0.005491900, -0.038096000, -0.133863300, -0.412108400", \ - "0.0125571000, 0.0105873000, 0.0059332000, -0.005617000, -0.038335300, -0.134258500, -0.412397400", \ - "0.0122422000, 0.0103375000, 0.0056901000, -0.005844400, -0.038458000, -0.134252200, -0.412539000", \ - "0.0171646000, 0.0152103000, 0.0102083000, -0.002648900, -0.037521200, -0.134198600, -0.412370900"); - } - related_pin : "D_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489450, 0.0115220000, 0.0327879100, 0.0933038600, 0.2655128000"); - values("0.0147312000, 0.0163041000, 0.0208149000, 0.0332509000, 0.0678279000, 0.1650310000, 0.4396309000", \ - "0.0146586000, 0.0162650000, 0.0207165000, 0.0331479000, 0.0677371000, 0.1649138000, 0.4415360000", \ - "0.0147252000, 0.0162950000, 0.0208034000, 0.0332416000, 0.0678157000, 0.1649751000, 0.4412622000", \ - "0.0145778000, 0.0161778000, 0.0206588000, 0.0330674000, 0.0676765000, 0.1648005000, 0.4412205000", \ - "0.0144073000, 0.0159833000, 0.0204624000, 0.0329312000, 0.0674535000, 0.1648745000, 0.4410685000", \ - "0.0143537000, 0.0158535000, 0.0203847000, 0.0327857000, 0.0672078000, 0.1643672000, 0.4407937000", \ - "0.0148080000, 0.0163326000, 0.0206849000, 0.0329538000, 0.0670773000, 0.1648548000, 0.4394150000"); - } - } - max_capacitance : 0.2655130000; - max_transition : 1.5020670000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.6232652000, 0.6353839000, 0.6617035000, 0.7139674000, 0.8085632000, 0.9789146000, 1.3165902000", \ - "0.6245277000, 0.6365203000, 0.6626938000, 0.7153653000, 0.8099046000, 0.9785210000, 1.3183931000", \ - "0.6316945000, 0.6436173000, 0.6700440000, 0.7222876000, 0.8172276000, 0.9872931000, 1.3251055000", \ - "0.6530319000, 0.6647199000, 0.6908621000, 0.7433692000, 0.8377591000, 1.0073675000, 1.3466087000", \ - "0.7044550000, 0.7162148000, 0.7424102000, 0.7949506000, 0.8893819000, 1.0596810000, 1.3977154000", \ - "0.8151052000, 0.8269302000, 0.8531406000, 0.9054832000, 0.9998872000, 1.1703439000, 1.5095176000", \ - "1.0402225000, 1.0522960000, 1.0799877000, 1.1338789000, 1.2310563000, 1.4019750000, 1.7436397000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.0794758000, 0.0850975000, 0.0984017000, 0.1300609000, 0.2127930000, 0.4435312000, 1.0981585000", \ - "0.0842868000, 0.0898711000, 0.1031506000, 0.1348081000, 0.2177890000, 0.4491192000, 1.1050558000", \ - "0.0957886000, 0.1013906000, 0.1146201000, 0.1462356000, 0.2291754000, 0.4600199000, 1.1140160000", \ - "0.1229171000, 0.1283822000, 0.1413616000, 0.1727031000, 0.2553818000, 0.4868861000, 1.1405333000", \ - "0.1696177000, 0.1759225000, 0.1899117000, 0.2218899000, 0.3041769000, 0.5360019000, 1.1889602000", \ - "0.2277230000, 0.2356840000, 0.2528258000, 0.2871193000, 0.3697328000, 0.6006085000, 1.2550455000", \ - "0.2795170000, 0.2901476000, 0.3124704000, 0.3541897000, 0.4398139000, 0.6690900000, 1.3225675000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.0845668000, 0.0910595000, 0.1070117000, 0.1402562000, 0.2017732000, 0.3379534000, 0.6788873000", \ - "0.0844836000, 0.0910201000, 0.1066235000, 0.1383098000, 0.2008987000, 0.3398654000, 0.6800603000", \ - "0.0842303000, 0.0913423000, 0.1069873000, 0.1399928000, 0.2043452000, 0.3390448000, 0.6785259000", \ - "0.0849769000, 0.0912398000, 0.1064507000, 0.1384641000, 0.2039733000, 0.3388261000, 0.6810109000", \ - "0.0841456000, 0.0913596000, 0.1060588000, 0.1384603000, 0.2040441000, 0.3360476000, 0.6781006000", \ - "0.0844986000, 0.0911961000, 0.1070267000, 0.1384228000, 0.2043830000, 0.3364164000, 0.6791302000", \ - "0.0918975000, 0.0982512000, 0.1142697000, 0.1476829000, 0.2084853000, 0.3427383000, 0.6813072000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.0210490000, 0.0261739000, 0.0401628000, 0.0800178000, 0.1974212000, 0.5343022000, 1.4982182000", \ - "0.0210940000, 0.0261883000, 0.0400700000, 0.0801628000, 0.1976324000, 0.5346741000, 1.4981100000", \ - "0.0209365000, 0.0260258000, 0.0400133000, 0.0799083000, 0.1973774000, 0.5348942000, 1.4941254000", \ - "0.0208561000, 0.0259745000, 0.0400126000, 0.0796570000, 0.1974969000, 0.5353839000, 1.4936909000", \ - "0.0258385000, 0.0303253000, 0.0433982000, 0.0814731000, 0.1972784000, 0.5354443000, 1.4947897000", \ - "0.0341963000, 0.0394555000, 0.0521656000, 0.0871568000, 0.1991752000, 0.5351827000, 1.4958405000", \ - "0.0484327000, 0.0550866000, 0.0693199000, 0.1034169000, 0.2050920000, 0.5377372000, 1.4973559000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.5891930000, 0.6010030000, 0.6276938000, 0.6797823000, 0.7747366000, 0.9447869000, 1.2825705000", \ - "0.5909989000, 0.6028112000, 0.6293733000, 0.6819636000, 0.7762084000, 0.9449013000, 1.2848610000", \ - "0.5992447000, 0.6110291000, 0.6370562000, 0.6896840000, 0.7837662000, 0.9541617000, 1.2929513000", \ - "0.6218376000, 0.6335202000, 0.6596311000, 0.7121169000, 0.8065856000, 0.9765553000, 1.3155029000", \ - "0.6753013000, 0.6869534000, 0.7130785000, 0.7656099000, 0.8598902000, 1.0301631000, 1.3689861000", \ - "0.7942760000, 0.8062804000, 0.8322111000, 0.8846134000, 0.9793037000, 1.1497311000, 1.4890994000", \ - "1.0528354000, 1.0646586000, 1.0923918000, 1.1463688000, 1.2434356000, 1.4150972000, 1.7561995000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.0791212000, 0.0846270000, 0.0978327000, 0.1294302000, 0.2123077000, 0.4440761000, 1.0977265000", \ - "0.0837929000, 0.0893441000, 0.1025494000, 0.1341215000, 0.2173021000, 0.4488668000, 1.1023938000", \ - "0.0953454000, 0.1008736000, 0.1141040000, 0.1456776000, 0.2284883000, 0.4600950000, 1.1144758000", \ - "0.1218356000, 0.1272774000, 0.1402982000, 0.1715817000, 0.2542888000, 0.4864608000, 1.1394499000", \ - "0.1672312000, 0.1733599000, 0.1871204000, 0.2192800000, 0.3018794000, 0.5332549000, 1.1892954000", \ - "0.2223687000, 0.2301558000, 0.2471361000, 0.2818067000, 0.3644471000, 0.5952745000, 1.2506912000", \ - "0.2696134000, 0.2803749000, 0.3028403000, 0.3445259000, 0.4304616000, 0.6600746000, 1.3135876000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.0843159000, 0.0909244000, 0.1072557000, 0.1400504000, 0.2039822000, 0.3391517000, 0.6789054000", \ - "0.0843810000, 0.0906210000, 0.1070712000, 0.1389492000, 0.2011148000, 0.3401285000, 0.6797845000", \ - "0.0844230000, 0.0911788000, 0.1060131000, 0.1383622000, 0.2026163000, 0.3401078000, 0.6803366000", \ - "0.0845054000, 0.0906154000, 0.1064025000, 0.1384925000, 0.2039160000, 0.3397812000, 0.6797840000", \ - "0.0844038000, 0.0916736000, 0.1061181000, 0.1384888000, 0.2017073000, 0.3378161000, 0.6801341000", \ - "0.0844427000, 0.0910674000, 0.1067886000, 0.1385697000, 0.2046856000, 0.3390402000, 0.6798588000", \ - "0.0922520000, 0.0995135000, 0.1142128000, 0.1461871000, 0.2079535000, 0.3409423000, 0.6823061000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.0205819000, 0.0255996000, 0.0393503000, 0.0791792000, 0.1964172000, 0.5334149000, 1.4967726000", \ - "0.0205004000, 0.0255664000, 0.0393589000, 0.0791476000, 0.1966236000, 0.5341685000, 1.4970181000", \ - "0.0204373000, 0.0255429000, 0.0393122000, 0.0790952000, 0.1963985000, 0.5341890000, 1.4946192000", \ - "0.0206520000, 0.0256493000, 0.0394294000, 0.0789174000, 0.1965576000, 0.5346977000, 1.4989762000", \ - "0.0251220000, 0.0298433000, 0.0429834000, 0.0813586000, 0.1968111000, 0.5343878000, 1.4944567000", \ - "0.0342148000, 0.0394252000, 0.0515317000, 0.0865487000, 0.1984756000, 0.5346118000, 1.4986640000", \ - "0.0482502000, 0.0550335000, 0.0690328000, 0.1032583000, 0.2045805000, 0.5369775000, 1.4974005000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.5683657000, 0.5801989000, 0.6062708000, 0.6588687000, 0.7532116000, 0.9233704000, 1.2621783000", \ - "0.5690058000, 0.5810210000, 0.6070863000, 0.6597282000, 0.7542484000, 0.9230228000, 1.2630914000", \ - "0.5748848000, 0.5868355000, 0.6133686000, 0.6657093000, 0.7600446000, 0.9287305000, 1.2688076000", \ - "0.5953681000, 0.6071643000, 0.6331248000, 0.6857042000, 0.7798455000, 0.9505795000, 1.2890464000", \ - "0.6496619000, 0.6613498000, 0.6875046000, 0.7400396000, 0.8343637000, 1.0049769000, 1.3438404000", \ - "0.7831831000, 0.7952326000, 0.8217050000, 0.8737857000, 0.9682610000, 1.1391386000, 1.4785159000", \ - "1.0769381000, 1.0890819000, 1.1161053000, 1.1703116000, 1.2675601000, 1.4401910000, 1.7804394000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.0776151000, 0.0830804000, 0.0961287000, 0.1275111000, 0.2103168000, 0.4409557000, 1.0973111000", \ - "0.0825042000, 0.0879637000, 0.1010565000, 0.1324584000, 0.2152085000, 0.4462122000, 1.1005730000", \ - "0.0938911000, 0.0993479000, 0.1123692000, 0.1437571000, 0.2264981000, 0.4572574000, 1.1111739000", \ - "0.1201582000, 0.1254511000, 0.1384102000, 0.1695655000, 0.2519017000, 0.4830795000, 1.1368578000", \ - "0.1644200000, 0.1705623000, 0.1846021000, 0.2165470000, 0.2990855000, 0.5307021000, 1.1847412000", \ - "0.2174107000, 0.2252724000, 0.2423804000, 0.2767659000, 0.3598341000, 0.5904677000, 1.2487916000", \ - "0.2608728000, 0.2717181000, 0.2943862000, 0.3368722000, 0.4228964000, 0.6529393000, 1.3062808000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.0845031000, 0.0913242000, 0.1061406000, 0.1383595000, 0.2042975000, 0.3399837000, 0.6800348000", \ - "0.0845738000, 0.0911443000, 0.1065981000, 0.1383334000, 0.2008504000, 0.3396542000, 0.6799519000", \ - "0.0844278000, 0.0908675000, 0.1067893000, 0.1389442000, 0.2010930000, 0.3405178000, 0.6794634000", \ - "0.0843290000, 0.0909456000, 0.1060039000, 0.1384895000, 0.2022193000, 0.3375097000, 0.6802424000", \ - "0.0849457000, 0.0911609000, 0.1063018000, 0.1384332000, 0.2012645000, 0.3368348000, 0.6800729000", \ - "0.0845322000, 0.0910391000, 0.1072692000, 0.1403256000, 0.2017030000, 0.3360809000, 0.6795435000", \ - "0.0936166000, 0.1004312000, 0.1164614000, 0.1481031000, 0.2103756000, 0.3417597000, 0.6821826000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.0200983000, 0.0251471000, 0.0388711000, 0.0784567000, 0.1961384000, 0.5352059000, 1.4983144000", \ - "0.0200868000, 0.0251862000, 0.0389444000, 0.0786172000, 0.1961730000, 0.5332048000, 1.4979374000", \ - "0.0201423000, 0.0251113000, 0.0388840000, 0.0785616000, 0.1960774000, 0.5346515000, 1.4989117000", \ - "0.0203440000, 0.0254712000, 0.0391767000, 0.0787057000, 0.1959662000, 0.5347526000, 1.4992343000", \ - "0.0250741000, 0.0299980000, 0.0428202000, 0.0808080000, 0.1962063000, 0.5342448000, 1.4987104000", \ - "0.0343552000, 0.0396688000, 0.0519930000, 0.0869978000, 0.1985628000, 0.5336712000, 1.4991319000", \ - "0.0488290000, 0.0557015000, 0.0702657000, 0.1043217000, 0.2052871000, 0.5367084000, 1.4966690000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.5371650000, 0.5494524000, 0.5759496000, 0.6285991000, 0.7225897000, 0.8934351000, 1.2313316000", \ - "0.5416149000, 0.5535603000, 0.5808666000, 0.6334334000, 0.7277980000, 0.8984242000, 1.2362025000", \ - "0.5497449000, 0.5616452000, 0.5884672000, 0.6411844000, 0.7352771000, 0.9061402000, 1.2439979000", \ - "0.5633715000, 0.5753452000, 0.6015200000, 0.6542716000, 0.7489237000, 0.9185633000, 1.2581243000", \ - "0.5838028000, 0.5957287000, 0.6216566000, 0.6747942000, 0.7691079000, 0.9400153000, 1.2786839000", \ - "0.6068666000, 0.6186933000, 0.6452475000, 0.6976466000, 0.7921727000, 0.9611695000, 1.3008354000", \ - "0.6190062000, 0.6309245000, 0.6574386000, 0.7096739000, 0.8037890000, 0.9742528000, 1.3122969000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.1636881000, 0.1693016000, 0.1826857000, 0.2143513000, 0.2967843000, 0.5276066000, 1.1815308000", \ - "0.1683852000, 0.1740406000, 0.1873505000, 0.2190328000, 0.3018962000, 0.5324473000, 1.1914141000", \ - "0.1810794000, 0.1866869000, 0.2000717000, 0.2317362000, 0.3141600000, 0.5451635000, 1.2018984000", \ - "0.2132398000, 0.2189458000, 0.2322863000, 0.2639013000, 0.3463491000, 0.5775649000, 1.2327076000", \ - "0.2827855000, 0.2884761000, 0.3018880000, 0.3335135000, 0.4162055000, 0.6468424000, 1.3014068000", \ - "0.3998473000, 0.4057248000, 0.4193940000, 0.4511218000, 0.5339308000, 0.7641514000, 1.4220232000", \ - "0.5881018000, 0.5944171000, 0.6087070000, 0.6411211000, 0.7241555000, 0.9547918000, 1.6077254000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.0843630000, 0.0907747000, 0.1069903000, 0.1394901000, 0.2014090000, 0.3367163000, 0.6800699000", \ - "0.0842693000, 0.0907422000, 0.1066742000, 0.1399839000, 0.2016836000, 0.3374992000, 0.6794898000", \ - "0.0843962000, 0.0906415000, 0.1070355000, 0.1394099000, 0.2014053000, 0.3365822000, 0.6800994000", \ - "0.0844315000, 0.0911999000, 0.1065574000, 0.1383476000, 0.2010961000, 0.3386000000, 0.6811190000", \ - "0.0845087000, 0.0905895000, 0.1067430000, 0.1392031000, 0.2016344000, 0.3392188000, 0.6791138000", \ - "0.0846142000, 0.0909324000, 0.1066391000, 0.1387126000, 0.2023429000, 0.3396775000, 0.6801126000", \ - "0.0842537000, 0.0904931000, 0.1067426000, 0.1388201000, 0.2010488000, 0.3369035000, 0.6780100000"); - } - related_pin : "D_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014228400, 0.0040489400, 0.0115220000, 0.0327879000, 0.0933039000, 0.2655130000"); - values("0.0214529000, 0.0264867000, 0.0400793000, 0.0792340000, 0.1962978000, 0.5347836000, 1.4964695000", \ - "0.0214831000, 0.0264246000, 0.0401054000, 0.0791853000, 0.1963405000, 0.5341462000, 1.4991053000", \ - "0.0214641000, 0.0264877000, 0.0400634000, 0.0792029000, 0.1962275000, 0.5340411000, 1.5020670000", \ - "0.0214070000, 0.0264094000, 0.0400745000, 0.0791930000, 0.1960501000, 0.5333776000, 1.5002216000", \ - "0.0216227000, 0.0266532000, 0.0402077000, 0.0793690000, 0.1961465000, 0.5349908000, 1.5016364000", \ - "0.0227449000, 0.0278432000, 0.0412310000, 0.0800711000, 0.1964046000, 0.5331572000, 1.5017606000", \ - "0.0256172000, 0.0305225000, 0.0435504000, 0.0815523000, 0.1970517000, 0.5333576000, 1.4949229000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or4b_4") { - leakage_power () { - value : 0.0083785000; - when : "!A&!B&!C&D_N"; - } - leakage_power () { - value : 0.0042898000; - when : "!A&!B&!C&!D_N"; - } - leakage_power () { - value : 0.0047891000; - when : "!A&!B&C&D_N"; - } - leakage_power () { - value : 0.0022170000; - when : "!A&!B&C&!D_N"; - } - leakage_power () { - value : 0.0046833000; - when : "!A&B&!C&D_N"; - } - leakage_power () { - value : 0.0022089000; - when : "!A&B&!C&!D_N"; - } - leakage_power () { - value : 0.0043538000; - when : "!A&B&C&D_N"; - } - leakage_power () { - value : 0.0021889000; - when : "!A&B&C&!D_N"; - } - leakage_power () { - value : 0.0046547000; - when : "A&!B&!C&D_N"; - } - leakage_power () { - value : 0.0022069000; - when : "A&!B&!C&!D_N"; - } - leakage_power () { - value : 0.0043520000; - when : "A&!B&C&D_N"; - } - leakage_power () { - value : 0.0021883000; - when : "A&!B&C&!D_N"; - } - leakage_power () { - value : 0.0043564000; - when : "A&B&!C&D_N"; - } - leakage_power () { - value : 0.0021871000; - when : "A&B&!C&!D_N"; - } - leakage_power () { - value : 0.0043349000; - when : "A&B&C&D_N"; - } - leakage_power () { - value : 0.0021808000; - when : "A&B&C&!D_N"; - } - area : 13.763200000; - cell_footprint : "sky130_fd_sc_hd__or4b"; - cell_leakage_power : 0.0037231330; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022390000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039470000, 0.0039437000, 0.0039363000, 0.0039363000, 0.0039362000, 0.0039360000, 0.0039356000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003321700, -0.003356600, -0.003437300, -0.003452600, -0.003487900, -0.003569400, -0.003757300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024920000; - } - pin ("B") { - capacitance : 0.0024460000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023020000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0040111000, 0.0040087000, 0.0040032000, 0.0040028000, 0.0040019000, 0.0039998000, 0.0039951000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003378900, -0.003418500, -0.003509900, -0.003526500, -0.003564700, -0.003652900, -0.003856200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025890000; - } - pin ("C") { - capacitance : 0.0024470000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0041590000, 0.0041571000, 0.0041527000, 0.0041498000, 0.0041432000, 0.0041280000, 0.0040930000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003874800, -0.003935500, -0.004075500, -0.004078800, -0.004086600, -0.004104400, -0.004145400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026260000; - } - pin ("D_N") { - capacitance : 0.0014850000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014350000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0084738000, 0.0083827000, 0.0081728000, 0.0082292000, 0.0083593000, 0.0086592000, 0.0093505000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0020737000, 0.0020162000, 0.0018837000, 0.0019371000, 0.0020601000, 0.0023437000, 0.0029973000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015360000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (C) | (!D_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015984520, 0.0051100990, 0.0163365000, 0.0522262200, 0.1669622000, 0.5337623000"); - values("0.0285043000, 0.0262302000, 0.0198497000, 0.0027475000, -0.051352300, -0.232755200, -0.825731700", \ - "0.0281746000, 0.0259087000, 0.0195117000, 0.0024192000, -0.051672600, -0.232817300, -0.825782700", \ - "0.0279615000, 0.0256559000, 0.0192768000, 0.0026475000, -0.051544700, -0.233191800, -0.826187200", \ - "0.0276536000, 0.0254213000, 0.0190961000, 0.0019345000, -0.052118700, -0.233351100, -0.826246200", \ - "0.0274673000, 0.0252679000, 0.0189261000, 0.0017777000, -0.052266300, -0.233552400, -0.826441100", \ - "0.0274162000, 0.0251474000, 0.0187735000, 0.0016022000, -0.052490100, -0.233747800, -0.826594100", \ - "0.0301058000, 0.0275227000, 0.0206424000, 0.0017278000, -0.052318200, -0.233676100, -0.826573600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015984520, 0.0051100990, 0.0163365000, 0.0522262200, 0.1669622000, 0.5337623000"); - values("0.0301012000, 0.0320047000, 0.0380490000, 0.0569411000, 0.1152675000, 0.2990506000, 0.8902896000", \ - "0.0300337000, 0.0319344000, 0.0379855000, 0.0569426000, 0.1152575000, 0.2988949000, 0.8858072000", \ - "0.0299276000, 0.0318296000, 0.0379499000, 0.0568555000, 0.1152108000, 0.2989165000, 0.8891177000", \ - "0.0300377000, 0.0319332000, 0.0380239000, 0.0568357000, 0.1150291000, 0.2989099000, 0.8862320000", \ - "0.0302202000, 0.0320831000, 0.0380295000, 0.0563739000, 0.1145012000, 0.2986500000, 0.8899393000", \ - "0.0317959000, 0.0335413000, 0.0391496000, 0.0575086000, 0.1147015000, 0.2984026000, 0.8857960000", \ - "0.0333963000, 0.0350350000, 0.0405383000, 0.0586540000, 0.1161629000, 0.3002260000, 0.8856623000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015984520, 0.0051100990, 0.0163365000, 0.0522262200, 0.1669622000, 0.5337623000"); - values("0.0260957000, 0.0238906000, 0.0175093000, 0.0003759000, -0.053727700, -0.235043800, -0.827800700", \ - "0.0257992000, 0.0235157000, 0.0171885000, 3.940000e-05, -0.054103200, -0.235151500, -0.827863700", \ - "0.0256160000, 0.0233516000, 0.0170314000, -0.000131200, -0.053859300, -0.235304300, -0.828186300", \ - "0.0253979000, 0.0231548000, 0.0168392000, -0.000321600, -0.054432500, -0.235460300, -0.828288000", \ - "0.0253656000, 0.0231857000, 0.0167484000, -0.000346100, -0.054503500, -0.235689400, -0.828377700", \ - "0.0251816000, 0.0229642000, 0.0165650000, -0.000568400, -0.054842000, -0.236025200, -0.828640800", \ - "0.0273367000, 0.0249918000, 0.0180697000, -0.000554500, -0.054352900, -0.235810800, -0.828467900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015984520, 0.0051100990, 0.0163365000, 0.0522262200, 0.1669622000, 0.5337623000"); - values("0.0294705000, 0.0313830000, 0.0373805000, 0.0563960000, 0.1152041000, 0.2996376000, 0.8879919000", \ - "0.0294451000, 0.0312999000, 0.0374167000, 0.0564707000, 0.1152077000, 0.2998490000, 0.8911955000", \ - "0.0294165000, 0.0313123000, 0.0374458000, 0.0564993000, 0.1151945000, 0.2996999000, 0.8912096000", \ - "0.0295785000, 0.0314944000, 0.0375491000, 0.0563793000, 0.1149155000, 0.2994613000, 0.8911404000", \ - "0.0294038000, 0.0313118000, 0.0372094000, 0.0557535000, 0.1141431000, 0.2992505000, 0.8908758000", \ - "0.0308865000, 0.0326578000, 0.0383203000, 0.0566397000, 0.1137555000, 0.2980141000, 0.8862318000", \ - "0.0322382000, 0.0338897000, 0.0395005000, 0.0573526000, 0.1151574000, 0.2995375000, 0.8852669000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015984520, 0.0051100990, 0.0163365000, 0.0522262200, 0.1669622000, 0.5337623000"); - values("0.0237993000, 0.0215420000, 0.0151716000, -0.002032900, -0.056011600, -0.237012600, -0.829625700", \ - "0.0239310000, 0.0216665000, 0.0152813000, -0.001847100, -0.055960000, -0.237180400, -0.829816100", \ - "0.0234685000, 0.0212270000, 0.0148251000, -0.002258300, -0.056381200, -0.237277700, -0.829913500", \ - "0.0232594000, 0.0210320000, 0.0146289000, -0.002459900, -0.056558500, -0.237492900, -0.830129100", \ - "0.0231196000, 0.0208494000, 0.0143981000, -0.002682000, -0.056598600, -0.237849400, -0.830510500", \ - "0.0231180000, 0.0209000000, 0.0145312000, -0.002555200, -0.056757200, -0.237973000, -0.830551700", \ - "0.0268566000, 0.0245077000, 0.0175618000, -0.000946300, -0.055915100, -0.237361600, -0.830304000"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015984520, 0.0051100990, 0.0163365000, 0.0522262200, 0.1669622000, 0.5337623000"); - values("0.0287862000, 0.0307048000, 0.0366997000, 0.0556053000, 0.1143905000, 0.2992222000, 0.8902769000", \ - "0.0288354000, 0.0307425000, 0.0368583000, 0.0557046000, 0.1144692000, 0.2992990000, 0.8904024000", \ - "0.0288748000, 0.0307671000, 0.0368764000, 0.0558906000, 0.1145215000, 0.3003936000, 0.8863031000", \ - "0.0288612000, 0.0307661000, 0.0368680000, 0.0556777000, 0.1142374000, 0.2992661000, 0.8905527000", \ - "0.0287393000, 0.0306326000, 0.0365413000, 0.0551075000, 0.1134081000, 0.2982472000, 0.8900434000", \ - "0.0296175000, 0.0314046000, 0.0370861000, 0.0552223000, 0.1128749000, 0.2971996000, 0.8856027000", \ - "0.0310195000, 0.0327173000, 0.0382544000, 0.0561986000, 0.1142935000, 0.2982137000, 0.8828295000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015984520, 0.0051100990, 0.0163365000, 0.0522262200, 0.1669622000, 0.5337623000"); - values("0.0229693000, 0.0207175000, 0.0143760000, -0.002791700, -0.056698700, -0.237838600, -0.830395200", \ - "0.0229935000, 0.0207202000, 0.0143426000, -0.002777100, -0.056877200, -0.237812600, -0.830210400", \ - "0.0228591000, 0.0206143000, 0.0142467000, -0.002599600, -0.056753900, -0.237923800, -0.830499800", \ - "0.0225357000, 0.0202661000, 0.0138667000, -0.003284400, -0.057165200, -0.238255600, -0.830774900", \ - "0.0222009000, 0.0199440000, 0.0136034000, -0.003540500, -0.057665300, -0.238667700, -0.831039200", \ - "0.0221691000, 0.0199350000, 0.0135175000, -0.003601800, -0.057688500, -0.238787900, -0.831313200", \ - "0.0321190000, 0.0298175000, 0.0231576000, 0.0039517000, -0.054364700, -0.238620800, -0.831175800"); - } - related_pin : "D_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015984520, 0.0051100990, 0.0163365000, 0.0522262200, 0.1669622000, 0.5337623000"); - values("0.0280020000, 0.0298898000, 0.0360184000, 0.0547966000, 0.1136235000, 0.2985900000, 0.8865346000", \ - "0.0279639000, 0.0298722000, 0.0359847000, 0.0547916000, 0.1134096000, 0.2983892000, 0.8865323000", \ - "0.0279890000, 0.0298761000, 0.0359867000, 0.0547763000, 0.1136062000, 0.2983992000, 0.8915754000", \ - "0.0277661000, 0.0296996000, 0.0357363000, 0.0546536000, 0.1134040000, 0.2983195000, 0.8832521000", \ - "0.0274838000, 0.0294063000, 0.0354805000, 0.0543931000, 0.1130925000, 0.2976497000, 0.8873156000", \ - "0.0274799000, 0.0292784000, 0.0351018000, 0.0541097000, 0.1123622000, 0.2974489000, 0.8864930000", \ - "0.0282972000, 0.0301186000, 0.0360173000, 0.0546217000, 0.1130210000, 0.2984952000, 0.8846706000"); - } - } - max_capacitance : 0.5337620000; - max_transition : 1.5084920000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.4936968000, 0.5004875000, 0.5186150000, 0.5590405000, 0.6395225000, 0.7976068000, 1.1511498000", \ - "0.4975882000, 0.5046622000, 0.5225768000, 0.5631222000, 0.6431436000, 0.8003518000, 1.1554978000", \ - "0.5083011000, 0.5150833000, 0.5331226000, 0.5734387000, 0.6536884000, 0.8113574000, 1.1655829000", \ - "0.5334658000, 0.5402773000, 0.5580104000, 0.5985937000, 0.6788077000, 0.8359073000, 1.1910254000", \ - "0.5891512000, 0.5961623000, 0.6141586000, 0.6542480000, 0.7343173000, 0.8917491000, 1.2468441000", \ - "0.7060700000, 0.7128476000, 0.7310728000, 0.7712326000, 0.8517798000, 1.0099089000, 1.3646433000", \ - "0.9368344000, 0.9441296000, 0.9629677000, 1.0053063000, 1.0892046000, 1.2510186000, 1.6087450000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.0883416000, 0.0921584000, 0.1024384000, 0.1289561000, 0.2022040000, 0.4270160000, 1.1397030000", \ - "0.0929292000, 0.0967642000, 0.1070460000, 0.1335375000, 0.2067705000, 0.4314367000, 1.1430492000", \ - "0.1039301000, 0.1077341000, 0.1180487000, 0.1444713000, 0.2176340000, 0.4422956000, 1.1550205000", \ - "0.1306815000, 0.1344395000, 0.1446277000, 0.1707778000, 0.2433777000, 0.4677562000, 1.1787799000", \ - "0.1799710000, 0.1841628000, 0.1950653000, 0.2218018000, 0.2946030000, 0.5188106000, 1.2318987000", \ - "0.2411795000, 0.2465808000, 0.2599085000, 0.2896139000, 0.3627045000, 0.5862612000, 1.3009055000", \ - "0.2954524000, 0.3025167000, 0.3200002000, 0.3571245000, 0.4352573000, 0.6581417000, 1.3681666000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.0720138000, 0.0757991000, 0.0859065000, 0.1107175000, 0.1641400000, 0.2952718000, 0.6800288000", \ - "0.0723698000, 0.0759246000, 0.0859666000, 0.1103197000, 0.1632723000, 0.2960076000, 0.6793754000", \ - "0.0722733000, 0.0758201000, 0.0857188000, 0.1100818000, 0.1648780000, 0.2956595000, 0.6801482000", \ - "0.0722166000, 0.0756134000, 0.0860353000, 0.1098160000, 0.1646085000, 0.2952242000, 0.6804032000", \ - "0.0722642000, 0.0762380000, 0.0860022000, 0.1098009000, 0.1654555000, 0.2948884000, 0.6805493000", \ - "0.0723239000, 0.0759788000, 0.0860093000, 0.1097808000, 0.1647731000, 0.2954393000, 0.6790282000", \ - "0.0808141000, 0.0842771000, 0.0944396000, 0.1186640000, 0.1748512000, 0.3016909000, 0.6818142000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.0219390000, 0.0251111000, 0.0346869000, 0.0642632000, 0.1616608000, 0.4795372000, 1.4970430000", \ - "0.0219048000, 0.0250438000, 0.0346052000, 0.0641798000, 0.1614971000, 0.4785493000, 1.4973823000", \ - "0.0217880000, 0.0250395000, 0.0344919000, 0.0640544000, 0.1613486000, 0.4794327000, 1.4971450000", \ - "0.0214789000, 0.0246733000, 0.0341857000, 0.0638010000, 0.1613047000, 0.4792797000, 1.4990413000", \ - "0.0257663000, 0.0286969000, 0.0377078000, 0.0655315000, 0.1610587000, 0.4796712000, 1.4996356000", \ - "0.0356135000, 0.0388818000, 0.0472552000, 0.0729664000, 0.1640587000, 0.4789990000, 1.4977698000", \ - "0.0497180000, 0.0539851000, 0.0644735000, 0.0901158000, 0.1720604000, 0.4823730000, 1.4944207000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.4747116000, 0.4815519000, 0.4996625000, 0.5400534000, 0.6202716000, 0.7787545000, 1.1324334000", \ - "0.4778349000, 0.4848056000, 0.5028771000, 0.5431723000, 0.6233739000, 0.7804280000, 1.1358112000", \ - "0.4877588000, 0.4945445000, 0.5122978000, 0.5529315000, 0.6328148000, 0.7915968000, 1.1452163000", \ - "0.5123806000, 0.5193501000, 0.5373874000, 0.5775770000, 0.6575908000, 0.8148975000, 1.1699810000", \ - "0.5688311000, 0.5757183000, 0.5937101000, 0.6342296000, 0.7141249000, 0.8716143000, 1.2268757000", \ - "0.6938605000, 0.7007258000, 0.7187620000, 0.7591395000, 0.8390839000, 0.9972739000, 1.3524100000", \ - "0.9500249000, 0.9572595000, 0.9758818000, 1.0191058000, 1.1039233000, 1.2667257000, 1.6251379000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.0876465000, 0.0913898000, 0.1014720000, 0.1276485000, 0.2005455000, 0.4255520000, 1.1370999000", \ - "0.0922239000, 0.0959301000, 0.1061084000, 0.1323117000, 0.2050891000, 0.4291174000, 1.1418531000", \ - "0.1031534000, 0.1068830000, 0.1170537000, 0.1432222000, 0.2158643000, 0.4403672000, 1.1546705000", \ - "0.1290514000, 0.1327411000, 0.1427974000, 0.1687179000, 0.2412912000, 0.4651882000, 1.1790565000", \ - "0.1769349000, 0.1810663000, 0.1916249000, 0.2182619000, 0.2908494000, 0.5151557000, 1.2285220000", \ - "0.2347345000, 0.2401354000, 0.2533549000, 0.2830442000, 0.3564654000, 0.5795712000, 1.2948298000", \ - "0.2825208000, 0.2895829000, 0.3074811000, 0.3448975000, 0.4230339000, 0.6464124000, 1.3567888000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.0723011000, 0.0757926000, 0.0859065000, 0.1106428000, 0.1638098000, 0.2951592000, 0.6798832000", \ - "0.0723584000, 0.0760764000, 0.0860350000, 0.1100403000, 0.1636627000, 0.2966213000, 0.6790610000", \ - "0.0722912000, 0.0755396000, 0.0860714000, 0.1098344000, 0.1641655000, 0.2958761000, 0.6800672000", \ - "0.0724428000, 0.0761753000, 0.0860542000, 0.1100193000, 0.1637201000, 0.2964112000, 0.6798011000", \ - "0.0720873000, 0.0756155000, 0.0857726000, 0.1103933000, 0.1634232000, 0.2958873000, 0.6792607000", \ - "0.0720638000, 0.0757831000, 0.0857276000, 0.1102056000, 0.1637356000, 0.2935489000, 0.6789972000", \ - "0.0829700000, 0.0865637000, 0.0962422000, 0.1211605000, 0.1774321000, 0.3039683000, 0.6831748000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.0211255000, 0.0241286000, 0.0336494000, 0.0627833000, 0.1601044000, 0.4790793000, 1.5003006000", \ - "0.0209868000, 0.0242278000, 0.0336638000, 0.0628712000, 0.1601768000, 0.4788352000, 1.4975513000", \ - "0.0211454000, 0.0242061000, 0.0335933000, 0.0628110000, 0.1601262000, 0.4779870000, 1.5004199000", \ - "0.0208794000, 0.0239668000, 0.0334224000, 0.0626602000, 0.1601627000, 0.4784708000, 1.5001206000", \ - "0.0251391000, 0.0283769000, 0.0372378000, 0.0649030000, 0.1601330000, 0.4789947000, 1.4994100000", \ - "0.0346768000, 0.0378822000, 0.0464242000, 0.0721686000, 0.1631770000, 0.4775801000, 1.4981244000", \ - "0.0492238000, 0.0535689000, 0.0645513000, 0.0900946000, 0.1714632000, 0.4817071000, 1.4963455000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.4444743000, 0.4510501000, 0.4688882000, 0.5094964000, 0.5897018000, 0.7467542000, 1.1021758000", \ - "0.4470158000, 0.4537636000, 0.4719344000, 0.5123085000, 0.5928601000, 0.7510421000, 1.1047933000", \ - "0.4555913000, 0.4623691000, 0.4804755000, 0.5209347000, 0.6010897000, 0.7581948000, 1.1135959000", \ - "0.4790197000, 0.4859450000, 0.5038274000, 0.5443528000, 0.6242223000, 0.7812269000, 1.1366799000", \ - "0.5367463000, 0.5432919000, 0.5610748000, 0.6016054000, 0.6809784000, 0.8388786000, 1.1940333000", \ - "0.6743545000, 0.6811603000, 0.6993054000, 0.7391641000, 0.8198929000, 0.9784048000, 1.3332073000", \ - "0.9599498000, 0.9672350000, 0.9866763000, 1.0298407000, 1.1142625000, 1.2776797000, 1.6358261000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.0851714000, 0.0888485000, 0.0987734000, 0.1246928000, 0.1970747000, 0.4211876000, 1.1348720000", \ - "0.0898764000, 0.0935432000, 0.1035724000, 0.1293992000, 0.2017567000, 0.4257433000, 1.1389064000", \ - "0.1008689000, 0.1045401000, 0.1145677000, 0.1404978000, 0.2128579000, 0.4374780000, 1.1484609000", \ - "0.1268865000, 0.1305498000, 0.1405203000, 0.1662535000, 0.2383908000, 0.4625081000, 1.1755317000", \ - "0.1723045000, 0.1764325000, 0.1870650000, 0.2138133000, 0.2860973000, 0.5101564000, 1.2245425000", \ - "0.2263461000, 0.2317409000, 0.2451098000, 0.2748958000, 0.3483609000, 0.5721348000, 1.2842536000", \ - "0.2684583000, 0.2757343000, 0.2938366000, 0.3317253000, 0.4108181000, 0.6339563000, 1.3447757000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.0725438000, 0.0761249000, 0.0862189000, 0.1097840000, 0.1646690000, 0.2968128000, 0.6790563000", \ - "0.0720406000, 0.0758087000, 0.0860373000, 0.1107725000, 0.1642239000, 0.2951723000, 0.6800603000", \ - "0.0723986000, 0.0759555000, 0.0859993000, 0.1099279000, 0.1633802000, 0.2959837000, 0.6793264000", \ - "0.0722233000, 0.0759997000, 0.0859276000, 0.1106056000, 0.1647588000, 0.2968626000, 0.6790007000", \ - "0.0725759000, 0.0761808000, 0.0857457000, 0.1098351000, 0.1642901000, 0.2956455000, 0.6797150000", \ - "0.0721179000, 0.0757494000, 0.0857337000, 0.1098352000, 0.1647217000, 0.2957449000, 0.6791684000", \ - "0.0858630000, 0.0889171000, 0.0992593000, 0.1248928000, 0.1770296000, 0.3043376000, 0.6834069000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.0205002000, 0.0236516000, 0.0330502000, 0.0622989000, 0.1596436000, 0.4792601000, 1.5017864000", \ - "0.0205554000, 0.0235648000, 0.0329949000, 0.0621934000, 0.1597045000, 0.4793804000, 1.5017723000", \ - "0.0206139000, 0.0236856000, 0.0330368000, 0.0622585000, 0.1594327000, 0.4797661000, 1.4978164000", \ - "0.0206688000, 0.0237138000, 0.0330728000, 0.0623296000, 0.1597123000, 0.4795082000, 1.5020183000", \ - "0.0252413000, 0.0284582000, 0.0372124000, 0.0647248000, 0.1601520000, 0.4782104000, 1.5008048000", \ - "0.0350354000, 0.0381281000, 0.0466684000, 0.0726086000, 0.1633454000, 0.4769207000, 1.4988223000", \ - "0.0506068000, 0.0547437000, 0.0655165000, 0.0904701000, 0.1720120000, 0.4808182000, 1.4968710000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.4152474000, 0.4220775000, 0.4398694000, 0.4806187000, 0.5603020000, 0.7182878000, 1.0734441000", \ - "0.4197378000, 0.4267399000, 0.4447191000, 0.4851716000, 0.5653605000, 0.7233376000, 1.0782658000", \ - "0.4301478000, 0.4369481000, 0.4547278000, 0.4954472000, 0.5752184000, 0.7334461000, 1.0881589000", \ - "0.4495315000, 0.4560808000, 0.4738846000, 0.5144784000, 0.5941940000, 0.7522976000, 1.1075789000", \ - "0.4779095000, 0.4846847000, 0.5029289000, 0.5430988000, 0.6232069000, 0.7812304000, 1.1367149000", \ - "0.5159079000, 0.5227834000, 0.5406976000, 0.5808037000, 0.6609283000, 0.8191581000, 1.1730531000", \ - "0.5555250000, 0.5624319000, 0.5804121000, 0.6207848000, 0.7008243000, 0.8583330000, 1.2130902000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.1700449000, 0.1738613000, 0.1841600000, 0.2103680000, 0.2830481000, 0.5067988000, 1.2216164000", \ - "0.1749888000, 0.1788015000, 0.1891014000, 0.2153353000, 0.2878280000, 0.5118811000, 1.2228746000", \ - "0.1874206000, 0.1912176000, 0.2015304000, 0.2277576000, 0.3004729000, 0.5250018000, 1.2392469000", \ - "0.2183196000, 0.2221640000, 0.2323957000, 0.2587101000, 0.3312557000, 0.5550460000, 1.2673882000", \ - "0.2849994000, 0.2888244000, 0.2991291000, 0.3254337000, 0.3979139000, 0.6218931000, 1.3361194000", \ - "0.3941192000, 0.3980840000, 0.4086277000, 0.4352088000, 0.5078543000, 0.7321697000, 1.4432776000", \ - "0.5610354000, 0.5653062000, 0.5763521000, 0.6034162000, 0.6761395000, 0.8998299000, 1.6115641000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.0721447000, 0.0757495000, 0.0862541000, 0.1097592000, 0.1642330000, 0.2956331000, 0.6798232000", \ - "0.0722942000, 0.0758877000, 0.0859502000, 0.1099791000, 0.1632278000, 0.2962976000, 0.6792288000", \ - "0.0720700000, 0.0755982000, 0.0861088000, 0.1111803000, 0.1640879000, 0.2957515000, 0.6799263000", \ - "0.0725873000, 0.0761675000, 0.0856988000, 0.1098429000, 0.1642735000, 0.2955282000, 0.6795159000", \ - "0.0723233000, 0.0759995000, 0.0859800000, 0.1098619000, 0.1636170000, 0.2940721000, 0.6802431000", \ - "0.0720992000, 0.0756965000, 0.0858723000, 0.1106025000, 0.1632219000, 0.2956093000, 0.6801796000", \ - "0.0722414000, 0.0760371000, 0.0860457000, 0.1099458000, 0.1634108000, 0.2960299000, 0.6769589000"); - } - related_pin : "D_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015984500, 0.0051101000, 0.0163365000, 0.0522262000, 0.1669620000, 0.5337620000"); - values("0.0218215000, 0.0249378000, 0.0340752000, 0.0630559000, 0.1598068000, 0.4787307000, 1.4985793000", \ - "0.0217491000, 0.0249006000, 0.0341320000, 0.0629738000, 0.1594258000, 0.4785863000, 1.5004709000", \ - "0.0218305000, 0.0248995000, 0.0341064000, 0.0631065000, 0.1598600000, 0.4783540000, 1.5084924000", \ - "0.0217427000, 0.0248334000, 0.0341706000, 0.0630379000, 0.1597944000, 0.4792057000, 1.4968037000", \ - "0.0220013000, 0.0250794000, 0.0343001000, 0.0631284000, 0.1595014000, 0.4778561000, 1.5056112000", \ - "0.0233620000, 0.0263389000, 0.0354317000, 0.0638200000, 0.1602354000, 0.4779399000, 1.5032392000", \ - "0.0259265000, 0.0288041000, 0.0378393000, 0.0653288000, 0.1604928000, 0.4777511000, 1.4941208000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or4bb_1") { - leakage_power () { - value : 0.0034490000; - when : "!A&!B&!C_N&D_N"; - } - leakage_power () { - value : 0.0010638000; - when : "!A&!B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0119259000; - when : "!A&!B&C_N&D_N"; - } - leakage_power () { - value : 0.0053829000; - when : "!A&!B&C_N&!D_N"; - } - leakage_power () { - value : 0.0028767000; - when : "!A&B&!C_N&D_N"; - } - leakage_power () { - value : 0.0009774000; - when : "!A&B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0051864000; - when : "!A&B&C_N&D_N"; - } - leakage_power () { - value : 0.0030486000; - when : "!A&B&C_N&!D_N"; - } - leakage_power () { - value : 0.0028731000; - when : "A&!B&!C_N&D_N"; - } - leakage_power () { - value : 0.0009755000; - when : "A&!B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0051619000; - when : "A&!B&C_N&D_N"; - } - leakage_power () { - value : 0.0030445000; - when : "A&!B&C_N&!D_N"; - } - leakage_power () { - value : 0.0028441000; - when : "A&B&!C_N&D_N"; - } - leakage_power () { - value : 0.0009577000; - when : "A&B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0049196000; - when : "A&B&C_N&D_N"; - } - leakage_power () { - value : 0.0030116000; - when : "A&B&C_N&!D_N"; - } - area : 11.260800000; - cell_footprint : "sky130_fd_sc_hd__or4bb"; - cell_leakage_power : 0.0036061660; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0015030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014080000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0017556000, 0.0017456000, 0.0017225000, 0.0017232000, 0.0017248000, 0.0017284000, 0.0017368000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001513200, -0.001531100, -0.001572300, -0.001577100, -0.001588000, -0.001613300, -0.001671600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015980000; - } - pin ("B") { - capacitance : 0.0015440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014620000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0024116000, 0.0024245000, 0.0024545000, 0.0024548000, 0.0024556000, 0.0024574000, 0.0024615000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002404600, -0.002402100, -0.002396400, -0.002398500, -0.002403100, -0.002413900, -0.002438700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016270000; - } - pin ("C_N") { - capacitance : 0.0015160000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014650000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0072541000, 0.0071588000, 0.0069390000, 0.0070021000, 0.0071477000, 0.0074832000, 0.0082564000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022077000, 0.0021395000, 0.0019822000, 0.0020384000, 0.0021679000, 0.0024665000, 0.0031546000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015680000; - } - pin ("D_N") { - capacitance : 0.0014080000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013610000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0063042000, 0.0062235000, 0.0060375000, 0.0061054000, 0.0062618000, 0.0066225000, 0.0074539000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0019074000, 0.0018420000, 0.0016910000, 0.0017579000, 0.0019121000, 0.0022674000, 0.0030864000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014550000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (!C_N) | (!D_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0106952000, 0.0095117000, 0.0065744000, -0.001320700, -0.024177600, -0.087449400, -0.255896100", \ - "0.0106199000, 0.0094935000, 0.0065726000, -0.001481000, -0.024364500, -0.087592600, -0.256032100", \ - "0.0103757000, 0.0091935000, 0.0062809000, -0.001689800, -0.024561500, -0.087718500, -0.256191300", \ - "0.0103148000, 0.0091373000, 0.0062044000, -0.001945900, -0.024710100, -0.087898800, -0.256333300", \ - "0.0101998000, 0.0090169000, 0.0060846000, -0.001988000, -0.024862200, -0.088044300, -0.256440100", \ - "0.0100766000, 0.0088937000, 0.0059834000, -0.002090100, -0.024936900, -0.088129800, -0.256509200", \ - "0.0123691000, 0.0108832000, 0.0072202000, -0.002134700, -0.025026500, -0.088094000, -0.256440400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0105448000, 0.0119978000, 0.0157061000, 0.0250161000, 0.0490519000, 0.1121156000, 0.2799051000", \ - "0.0105177000, 0.0119851000, 0.0156693000, 0.0249962000, 0.0490682000, 0.1121716000, 0.2797806000", \ - "0.0105113000, 0.0119593000, 0.0156649000, 0.0249743000, 0.0490518000, 0.1126419000, 0.2784085000", \ - "0.0104660000, 0.0119050000, 0.0155512000, 0.0248661000, 0.0489585000, 0.1120186000, 0.2796420000", \ - "0.0105086000, 0.0118662000, 0.0154934000, 0.0247084000, 0.0488653000, 0.1125516000, 0.2782974000", \ - "0.0109719000, 0.0122695000, 0.0157815000, 0.0247418000, 0.0489988000, 0.1123082000, 0.2782181000", \ - "0.0117433000, 0.0130931000, 0.0164229000, 0.0255582000, 0.0494801000, 0.1130607000, 0.2782174000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0092875000, 0.0082453000, 0.0052648000, -0.002716400, -0.025614700, -0.088781500, -0.257165000", \ - "0.0091595000, 0.0079955000, 0.0050819000, -0.003002000, -0.025761400, -0.088901500, -0.257280600", \ - "0.0089946000, 0.0078267000, 0.0049087000, -0.003175700, -0.025943000, -0.089082200, -0.257453600", \ - "0.0089212000, 0.0077679000, 0.0048221000, -0.003248900, -0.026065900, -0.089241300, -0.257579200", \ - "0.0087965000, 0.0075861000, 0.0046727000, -0.003337600, -0.026176100, -0.089290600, -0.257663100", \ - "0.0088284000, 0.0076003000, 0.0046074000, -0.003384900, -0.026277700, -0.089379400, -0.257712600", \ - "0.0109290000, 0.0094431000, 0.0057257000, -0.003655700, -0.026343400, -0.089311000, -0.257591700"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0107988000, 0.0122629000, 0.0159864000, 0.0254246000, 0.0496067000, 0.1133319000, 0.2807509000", \ - "0.0107777000, 0.0122405000, 0.0159664000, 0.0253882000, 0.0495760000, 0.1127938000, 0.2792867000", \ - "0.0107386000, 0.0121780000, 0.0158946000, 0.0252901000, 0.0494926000, 0.1133221000, 0.2793555000", \ - "0.0107014000, 0.0121235000, 0.0157289000, 0.0251159000, 0.0495551000, 0.1132381000, 0.2792710000", \ - "0.0107582000, 0.0120731000, 0.0156503000, 0.0248318000, 0.0491603000, 0.1124133000, 0.2803472000", \ - "0.0109934000, 0.0123011000, 0.0158755000, 0.0248452000, 0.0490863000, 0.1122594000, 0.2787451000", \ - "0.0115552000, 0.0128295000, 0.0163288000, 0.0253391000, 0.0493341000, 0.1131435000, 0.2781586000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0080596000, 0.0068924000, 0.0041243000, -0.003939800, -0.026816300, -0.089954400, -0.258262500", \ - "0.0080484000, 0.0068459000, 0.0039138000, -0.004109200, -0.026818300, -0.089986800, -0.258305000", \ - "0.0079776000, 0.0067891000, 0.0038783000, -0.004080400, -0.026934400, -0.090015400, -0.258362500", \ - "0.0076851000, 0.0064329000, 0.0035882000, -0.004346800, -0.027207600, -0.090341000, -0.258638500", \ - "0.0074797000, 0.0063050000, 0.0033800000, -0.004688700, -0.027474600, -0.090553100, -0.258872500", \ - "0.0073805000, 0.0061781000, 0.0032370000, -0.004780700, -0.027559000, -0.090656500, -0.258955500", \ - "0.0117697000, 0.0103321000, 0.0067683000, -0.002439400, -0.026640400, -0.090260600, -0.258591400"); - } - related_pin : "C_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0113520000, 0.0127889000, 0.0164785000, 0.0258543000, 0.0500149000, 0.1133673000, 0.2796993000", \ - "0.0113085000, 0.0127268000, 0.0164027000, 0.0257815000, 0.0499643000, 0.1132574000, 0.2796492000", \ - "0.0113752000, 0.0128006000, 0.0164740000, 0.0258398000, 0.0502284000, 0.1137835000, 0.2808854000", \ - "0.0112128000, 0.0126609000, 0.0163267000, 0.0257012000, 0.0498572000, 0.1131857000, 0.2796921000", \ - "0.0110339000, 0.0124435000, 0.0160921000, 0.0254746000, 0.0498867000, 0.1134588000, 0.2807560000", \ - "0.0109549000, 0.0123301000, 0.0158907000, 0.0253482000, 0.0494828000, 0.1127609000, 0.2808141000", \ - "0.0112420000, 0.0126133000, 0.0161832000, 0.0253985000, 0.0495689000, 0.1134066000, 0.2803091000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0069989000, 0.0058252000, 0.0029060000, -0.005166600, -0.027927100, -0.090948700, -0.259185600", \ - "0.0069158000, 0.0056693000, 0.0028901000, -0.005160800, -0.027992300, -0.091029500, -0.259244500", \ - "0.0068996000, 0.0057737000, 0.0028344000, -0.005252700, -0.028037600, -0.091063600, -0.259274400", \ - "0.0066480000, 0.0054800000, 0.0025626000, -0.005495000, -0.028287300, -0.091319800, -0.259542000", \ - "0.0063512000, 0.0051569000, 0.0022116000, -0.005808200, -0.028561500, -0.091571700, -0.259813600", \ - "0.0063321000, 0.0051438000, 0.0021882000, -0.005870300, -0.028644600, -0.091650700, -0.259897300", \ - "0.0106709000, 0.0092238000, 0.0056361000, -0.003600700, -0.027757900, -0.091434100, -0.259672200"); - } - related_pin : "D_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0101230000, 0.0115346000, 0.0151751000, 0.0245015000, 0.0486478000, 0.1125533000, 0.2788215000", \ - "0.0100555000, 0.0114666000, 0.0151067000, 0.0244392000, 0.0485791000, 0.1124847000, 0.2787432000", \ - "0.0100851000, 0.0115084000, 0.0151551000, 0.0244930000, 0.0486595000, 0.1125197000, 0.2801147000", \ - "0.0099578000, 0.0113785000, 0.0150446000, 0.0244017000, 0.0485572000, 0.1124253000, 0.2797928000", \ - "0.0097681000, 0.0111956000, 0.0148374000, 0.0241979000, 0.0483741000, 0.1117400000, 0.2786604000", \ - "0.0097059000, 0.0110894000, 0.0146170000, 0.0241051000, 0.0482164000, 0.1120134000, 0.2782679000", \ - "0.0098816000, 0.0112478000, 0.0148489000, 0.0240501000, 0.0479968000, 0.1120886000, 0.2774187000"); - } - } - max_capacitance : 0.1675510000; - max_transition : 1.5025640000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.4517506000, 0.4647662000, 0.4924383000, 0.5435645000, 0.6362035000, 0.8085139000, 1.1776774000", \ - "0.4521638000, 0.4656955000, 0.4929241000, 0.5440980000, 0.6368675000, 0.8091663000, 1.1781244000", \ - "0.4592914000, 0.4722337000, 0.4998257000, 0.5507766000, 0.6434979000, 0.8159373000, 1.1850743000", \ - "0.4810715000, 0.4945503000, 0.5218049000, 0.5727884000, 0.6643457000, 0.8377988000, 1.2070008000", \ - "0.5326428000, 0.5458072000, 0.5730487000, 0.6242810000, 0.7165705000, 0.8893052000, 1.2584036000", \ - "0.6408341000, 0.6543641000, 0.6816460000, 0.7330847000, 0.8253869000, 0.9986243000, 1.3673030000", \ - "0.8381702000, 0.8523094000, 0.8820110000, 0.9371236000, 1.0343083000, 1.2144126000, 1.5872126000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0668820000, 0.0734623000, 0.0888355000, 0.1255970000, 0.2191707000, 0.4636564000, 1.1078052000", \ - "0.0717538000, 0.0783922000, 0.0936931000, 0.1305232000, 0.2240037000, 0.4687297000, 1.1127682000", \ - "0.0835365000, 0.0901180000, 0.1053852000, 0.1422217000, 0.2360282000, 0.4802632000, 1.1225511000", \ - "0.1100707000, 0.1165717000, 0.1317651000, 0.1682902000, 0.2621665000, 0.5063818000, 1.1504933000", \ - "0.1506444000, 0.1579298000, 0.1738935000, 0.2103793000, 0.3038823000, 0.5489447000, 1.1899812000", \ - "0.1994985000, 0.2084361000, 0.2265177000, 0.2644759000, 0.3582591000, 0.6022183000, 1.2448480000", \ - "0.2377070000, 0.2503045000, 0.2739066000, 0.3164126000, 0.4102237000, 0.6549692000, 1.2957949000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0599446000, 0.0681249000, 0.0864196000, 0.1247599000, 0.1965322000, 0.3620513000, 0.7889970000", \ - "0.0594206000, 0.0682513000, 0.0865663000, 0.1224659000, 0.1969722000, 0.3617669000, 0.7911258000", \ - "0.0599684000, 0.0680195000, 0.0864292000, 0.1231977000, 0.1954965000, 0.3622055000, 0.7894708000", \ - "0.0593747000, 0.0682381000, 0.0863650000, 0.1227623000, 0.1961747000, 0.3617046000, 0.7896437000", \ - "0.0595713000, 0.0682578000, 0.0863854000, 0.1240551000, 0.1949790000, 0.3610073000, 0.7903423000", \ - "0.0595507000, 0.0681587000, 0.0873416000, 0.1236175000, 0.1975857000, 0.3613900000, 0.7893094000", \ - "0.0685412000, 0.0776538000, 0.0963219000, 0.1345007000, 0.2088096000, 0.3703010000, 0.7923162000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0215519000, 0.0285202000, 0.0467451000, 0.0959982000, 0.2273333000, 0.5756305000, 1.4959906000", \ - "0.0215291000, 0.0284840000, 0.0466624000, 0.0959289000, 0.2276265000, 0.5746258000, 1.4950403000", \ - "0.0214136000, 0.0282701000, 0.0464821000, 0.0958965000, 0.2275162000, 0.5751002000, 1.4926422000", \ - "0.0219111000, 0.0286251000, 0.0465022000, 0.0954627000, 0.2269868000, 0.5748558000, 1.4941073000", \ - "0.0260429000, 0.0323010000, 0.0490164000, 0.0964479000, 0.2271027000, 0.5760836000, 1.4935095000", \ - "0.0344674000, 0.0403240000, 0.0557918000, 0.0993340000, 0.2283945000, 0.5756281000, 1.4918259000", \ - "0.0479027000, 0.0556089000, 0.0708563000, 0.1094963000, 0.2305398000, 0.5787902000, 1.4912378000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.4275895000, 0.4409726000, 0.4680662000, 0.5191536000, 0.6118763000, 0.7843488000, 1.1534336000", \ - "0.4283027000, 0.4416063000, 0.4690748000, 0.5201943000, 0.6116660000, 0.7852495000, 1.1545983000", \ - "0.4356783000, 0.4487848000, 0.4761969000, 0.5272472000, 0.6187488000, 0.7923273000, 1.1616493000", \ - "0.4577133000, 0.4711825000, 0.4984539000, 0.5494216000, 0.6421579000, 0.8147004000, 1.1838653000", \ - "0.5111902000, 0.5242768000, 0.5517723000, 0.6024953000, 0.6950203000, 0.8682514000, 1.2374171000", \ - "0.6303205000, 0.6435416000, 0.6707353000, 0.7220524000, 0.8143974000, 0.9877339000, 1.3567525000", \ - "0.8558170000, 0.8701776000, 0.9004022000, 0.9558183000, 1.0547023000, 1.2341342000, 1.6069264000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0670854000, 0.0737184000, 0.0891209000, 0.1260391000, 0.2196663000, 0.4649225000, 1.1077936000", \ - "0.0718848000, 0.0784932000, 0.0939325000, 0.1308513000, 0.2247580000, 0.4685431000, 1.1116539000", \ - "0.0836156000, 0.0901639000, 0.1054839000, 0.1424360000, 0.2363853000, 0.4811005000, 1.1226736000", \ - "0.1092047000, 0.1157401000, 0.1308581000, 0.1674499000, 0.2615907000, 0.5062105000, 1.1480757000", \ - "0.1477119000, 0.1549003000, 0.1707115000, 0.2072949000, 0.3010119000, 0.5466423000, 1.1905744000", \ - "0.1923254000, 0.2012571000, 0.2195288000, 0.2573836000, 0.3507077000, 0.5950977000, 1.2403365000", \ - "0.2230454000, 0.2353327000, 0.2589984000, 0.3011843000, 0.3947689000, 0.6390781000, 1.2811435000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0597296000, 0.0683959000, 0.0868040000, 0.1224801000, 0.1961176000, 0.3616126000, 0.7912848000", \ - "0.0598278000, 0.0687416000, 0.0870869000, 0.1225678000, 0.1963214000, 0.3616433000, 0.7895841000", \ - "0.0594521000, 0.0686603000, 0.0871483000, 0.1228619000, 0.1962479000, 0.3616775000, 0.7896270000", \ - "0.0593548000, 0.0682420000, 0.0862540000, 0.1243408000, 0.1966003000, 0.3615384000, 0.7921778000", \ - "0.0597381000, 0.0679776000, 0.0865621000, 0.1231406000, 0.1960488000, 0.3618052000, 0.7903625000", \ - "0.0603743000, 0.0685498000, 0.0868612000, 0.1237686000, 0.1960695000, 0.3615417000, 0.7894183000", \ - "0.0707408000, 0.0800491000, 0.0997533000, 0.1361834000, 0.2094301000, 0.3714634000, 0.7945085000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0209955000, 0.0277196000, 0.0457887000, 0.0948693000, 0.2267880000, 0.5757402000, 1.4955829000", \ - "0.0209576000, 0.0277517000, 0.0456404000, 0.0948171000, 0.2266259000, 0.5751233000, 1.4965269000", \ - "0.0208641000, 0.0276026000, 0.0456511000, 0.0947930000, 0.2262654000, 0.5754721000, 1.4906365000", \ - "0.0214325000, 0.0280232000, 0.0458522000, 0.0947492000, 0.2267051000, 0.5752310000, 1.4908401000", \ - "0.0256172000, 0.0317722000, 0.0482829000, 0.0960890000, 0.2266129000, 0.5748205000, 1.4969208000", \ - "0.0339706000, 0.0399070000, 0.0551975000, 0.0989639000, 0.2273466000, 0.5742778000, 1.4959893000", \ - "0.0475316000, 0.0550033000, 0.0701892000, 0.1097434000, 0.2299046000, 0.5773814000, 1.4925636000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.4240375000, 0.4369979000, 0.4645868000, 0.5157807000, 0.6086137000, 0.7810667000, 1.1502864000", \ - "0.4277635000, 0.4409089000, 0.4685030000, 0.5197340000, 0.6121231000, 0.7849270000, 1.1543855000", \ - "0.4362915000, 0.4490963000, 0.4767153000, 0.5276901000, 0.6204010000, 0.7930722000, 1.1624135000", \ - "0.4524693000, 0.4654131000, 0.4929592000, 0.5440392000, 0.6366715000, 0.8093296000, 1.1787642000", \ - "0.4755845000, 0.4889431000, 0.5160442000, 0.5671462000, 0.6597313000, 0.8322162000, 1.2015069000", \ - "0.5028668000, 0.5156795000, 0.5431900000, 0.5941949000, 0.6856417000, 0.8592717000, 1.2285141000", \ - "0.5226560000, 0.5357676000, 0.5633191000, 0.6144162000, 0.7066098000, 0.8798256000, 1.2491742000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.1381805000, 0.1447263000, 0.1599372000, 0.1965488000, 0.2900346000, 0.5344269000, 1.1770470000", \ - "0.1427009000, 0.1492245000, 0.1643840000, 0.2009671000, 0.2943909000, 0.5389157000, 1.1814205000", \ - "0.1553050000, 0.1618076000, 0.1769628000, 0.2134114000, 0.3071960000, 0.5523782000, 1.1964736000", \ - "0.1863758000, 0.1929500000, 0.2081162000, 0.2447784000, 0.3382742000, 0.5823440000, 1.2250028000", \ - "0.2482171000, 0.2547471000, 0.2700137000, 0.3065150000, 0.4002977000, 0.6456738000, 1.2900262000", \ - "0.3452328000, 0.3519214000, 0.3673971000, 0.4038513000, 0.4974790000, 0.7416280000, 1.3850706000", \ - "0.4960086000, 0.5031622000, 0.5188027000, 0.5552493000, 0.6487914000, 0.8937344000, 1.5345811000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0597344000, 0.0680855000, 0.0868983000, 0.1227790000, 0.1967662000, 0.3616996000, 0.7906664000", \ - "0.0596541000, 0.0681038000, 0.0864937000, 0.1221378000, 0.1962091000, 0.3617416000, 0.7889626000", \ - "0.0598801000, 0.0680365000, 0.0864378000, 0.1230789000, 0.1970736000, 0.3620057000, 0.7898966000", \ - "0.0600217000, 0.0680246000, 0.0865437000, 0.1238881000, 0.1956478000, 0.3622284000, 0.7889571000", \ - "0.0598578000, 0.0686221000, 0.0865314000, 0.1225746000, 0.1976084000, 0.3613237000, 0.7891599000", \ - "0.0598973000, 0.0681934000, 0.0861729000, 0.1229018000, 0.1967698000, 0.3620634000, 0.7898019000", \ - "0.0596744000, 0.0680563000, 0.0865375000, 0.1245003000, 0.1971123000, 0.3622197000, 0.7895917000"); - } - related_pin : "C_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0212264000, 0.0278371000, 0.0454536000, 0.0941840000, 0.2261526000, 0.5760367000, 1.4962466000", \ - "0.0211341000, 0.0278324000, 0.0454664000, 0.0942760000, 0.2263332000, 0.5756273000, 1.4953484000", \ - "0.0211085000, 0.0278066000, 0.0455183000, 0.0942995000, 0.2263812000, 0.5746851000, 1.4929202000", \ - "0.0212391000, 0.0277778000, 0.0455330000, 0.0943751000, 0.2260683000, 0.5748927000, 1.4943301000", \ - "0.0214869000, 0.0282093000, 0.0458124000, 0.0943827000, 0.2263201000, 0.5749732000, 1.4949285000", \ - "0.0225046000, 0.0289369000, 0.0463047000, 0.0946048000, 0.2252279000, 0.5746030000, 1.4964728000", \ - "0.0246198000, 0.0309538000, 0.0476631000, 0.0952225000, 0.2258493000, 0.5753403000, 1.4899428000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.3431825000, 0.3566114000, 0.3837249000, 0.4349600000, 0.5273196000, 0.7006290000, 1.0700250000", \ - "0.3468749000, 0.3598181000, 0.3874248000, 0.4384194000, 0.5308795000, 0.7044923000, 1.0738266000", \ - "0.3546609000, 0.3682075000, 0.3954668000, 0.4468913000, 0.5390848000, 0.7121956000, 1.0816961000", \ - "0.3689236000, 0.3821668000, 0.4095068000, 0.4609550000, 0.5531701000, 0.7262706000, 1.0956710000", \ - "0.3887768000, 0.4017336000, 0.4292484000, 0.4805378000, 0.5731400000, 0.7469612000, 1.1161144000", \ - "0.4115109000, 0.4247057000, 0.4520036000, 0.5034850000, 0.5949428000, 0.7685069000, 1.1381214000", \ - "0.4247997000, 0.4375375000, 0.4650184000, 0.5161368000, 0.6086921000, 0.7824845000, 1.1516849000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.1256906000, 0.1322144000, 0.1473637000, 0.1839432000, 0.2772193000, 0.5215378000, 1.1666856000", \ - "0.1304852000, 0.1370085000, 0.1521599000, 0.1887173000, 0.2820053000, 0.5262604000, 1.1716706000", \ - "0.1430530000, 0.1496232000, 0.1647770000, 0.2013511000, 0.2947275000, 0.5397791000, 1.1857195000", \ - "0.1740716000, 0.1805931000, 0.1957914000, 0.2323651000, 0.3256889000, 0.5696159000, 1.2183765000", \ - "0.2332215000, 0.2397734000, 0.2550357000, 0.2914179000, 0.3849369000, 0.6291774000, 1.2702016000", \ - "0.3249812000, 0.3316982000, 0.3469531000, 0.3834510000, 0.4767177000, 0.7209934000, 1.3645010000", \ - "0.4684569000, 0.4755405000, 0.4912627000, 0.5275610000, 0.6218371000, 0.8661686000, 1.5073028000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0598450000, 0.0685957000, 0.0864625000, 0.1223146000, 0.1968092000, 0.3617649000, 0.7894932000", \ - "0.0598603000, 0.0680674000, 0.0875101000, 0.1226448000, 0.1955781000, 0.3612564000, 0.7887958000", \ - "0.0596663000, 0.0688270000, 0.0875677000, 0.1244240000, 0.1952801000, 0.3611755000, 0.7884852000", \ - "0.0596086000, 0.0685009000, 0.0871862000, 0.1232589000, 0.1954939000, 0.3610356000, 0.7890713000", \ - "0.0599171000, 0.0684107000, 0.0864855000, 0.1240252000, 0.1948833000, 0.3612581000, 0.7915828000", \ - "0.0595434000, 0.0684909000, 0.0872457000, 0.1221483000, 0.1973797000, 0.3615881000, 0.7913775000", \ - "0.0596521000, 0.0683500000, 0.0870026000, 0.1221702000, 0.1949872000, 0.3613652000, 0.7869729000"); - } - related_pin : "D_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0209105000, 0.0276113000, 0.0452474000, 0.0940569000, 0.2257893000, 0.5772713000, 1.4989171000", \ - "0.0209093000, 0.0276119000, 0.0452464000, 0.0940659000, 0.2257885000, 0.5772339000, 1.4998910000", \ - "0.0209475000, 0.0275998000, 0.0452561000, 0.0939778000, 0.2262247000, 0.5765499000, 1.5025637000", \ - "0.0210101000, 0.0275441000, 0.0452717000, 0.0938521000, 0.2259432000, 0.5764234000, 1.5019611000", \ - "0.0213837000, 0.0279085000, 0.0455602000, 0.0941671000, 0.2260881000, 0.5754115000, 1.5008343000", \ - "0.0223365000, 0.0287823000, 0.0461444000, 0.0942451000, 0.2252516000, 0.5749509000, 1.4932936000", \ - "0.0246117000, 0.0308062000, 0.0475339000, 0.0950702000, 0.2259259000, 0.5758864000, 1.4902669000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or4bb_2") { - leakage_power () { - value : 0.0038555000; - when : "!A&!B&!C_N&D_N"; - } - leakage_power () { - value : 0.0014983000; - when : "!A&!B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0115768000; - when : "!A&!B&C_N&D_N"; - } - leakage_power () { - value : 0.0058241000; - when : "!A&!B&C_N&!D_N"; - } - leakage_power () { - value : 0.0033129000; - when : "!A&B&!C_N&D_N"; - } - leakage_power () { - value : 0.0014156000; - when : "!A&B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0056001000; - when : "!A&B&C_N&D_N"; - } - leakage_power () { - value : 0.0034843000; - when : "!A&B&C_N&!D_N"; - } - leakage_power () { - value : 0.0033048000; - when : "A&!B&!C_N&D_N"; - } - leakage_power () { - value : 0.0014112000; - when : "A&!B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0055543000; - when : "A&!B&C_N&D_N"; - } - leakage_power () { - value : 0.0034753000; - when : "A&!B&C_N&!D_N"; - } - leakage_power () { - value : 0.0032815000; - when : "A&B&!C_N&D_N"; - } - leakage_power () { - value : 0.0013962000; - when : "A&B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0053523000; - when : "A&B&C_N&D_N"; - } - leakage_power () { - value : 0.0034488000; - when : "A&B&C_N&!D_N"; - } - area : 12.512000000; - cell_footprint : "sky130_fd_sc_hd__or4bb"; - cell_leakage_power : 0.0039869950; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0014890000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014010000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0017290000, 0.0017245000, 0.0017140000, 0.0017139000, 0.0017137000, 0.0017132000, 0.0017121000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.001512400, -0.001527900, -0.001563700, -0.001568400, -0.001579300, -0.001604400, -0.001662200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015770000; - } - pin ("B") { - capacitance : 0.0015340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014570000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0024241000, 0.0024331000, 0.0024539000, 0.0024543000, 0.0024553000, 0.0024577000, 0.0024631000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002408100, -0.002404600, -0.002396700, -0.002398500, -0.002402800, -0.002412600, -0.002435100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016110000; - } - pin ("C_N") { - capacitance : 0.0015170000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0072625000, 0.0071669000, 0.0069465000, 0.0070090000, 0.0071531000, 0.0074853000, 0.0082511000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022165000, 0.0021473000, 0.0019878000, 0.0020434000, 0.0021717000, 0.0024675000, 0.0031493000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015680000; - } - pin ("D_N") { - capacitance : 0.0014070000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013610000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0063095000, 0.0062287000, 0.0060424000, 0.0061103000, 0.0062668000, 0.0066276000, 0.0074593000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0019092000, 0.0018436000, 0.0016924000, 0.0017597000, 0.0019149000, 0.0022727000, 0.0030974000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014540000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (!C_N) | (!D_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0158585000, 0.0138720000, 0.0089532000, -0.003691100, -0.040613200, -0.152133600, -0.484514400", \ - "0.0157421000, 0.0137443000, 0.0088336000, -0.003477000, -0.040504000, -0.152381300, -0.484652300", \ - "0.0155467000, 0.0135684000, 0.0086594000, -0.003932100, -0.040548900, -0.152584700, -0.484805200", \ - "0.0154137000, 0.0134359000, 0.0085029000, -0.004101900, -0.041032800, -0.152738300, -0.485060000", \ - "0.0152496000, 0.0132670000, 0.0083725000, -0.004254500, -0.041190400, -0.152871400, -0.485205100", \ - "0.0151263000, 0.0131610000, 0.0082626000, -0.004342200, -0.041313300, -0.153081300, -0.485250600", \ - "0.0155550000, 0.0135498000, 0.0085642000, -0.004217700, -0.041212800, -0.153041800, -0.485244500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0155528000, 0.0172489000, 0.0220569000, 0.0357076000, 0.0745672000, 0.1867494000, 0.5172126000", \ - "0.0155289000, 0.0172362000, 0.0220502000, 0.0357053000, 0.0744708000, 0.1868702000, 0.5154831000", \ - "0.0154782000, 0.0171816000, 0.0220194000, 0.0356389000, 0.0744483000, 0.1869165000, 0.5155794000", \ - "0.0155406000, 0.0171980000, 0.0220249000, 0.0356046000, 0.0744117000, 0.1876790000, 0.5177346000", \ - "0.0155200000, 0.0173233000, 0.0221054000, 0.0353145000, 0.0742441000, 0.1867057000, 0.5159319000", \ - "0.0164095000, 0.0179296000, 0.0224401000, 0.0355050000, 0.0742132000, 0.1867491000, 0.5154920000", \ - "0.0172875000, 0.0187662000, 0.0231137000, 0.0364305000, 0.0745795000, 0.1878362000, 0.5150170000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0144088000, 0.0124481000, 0.0075046000, -0.005121000, -0.041996300, -0.153627500, -0.485848400", \ - "0.0143165000, 0.0123250000, 0.0074065000, -0.005283800, -0.042100200, -0.153797900, -0.486052200", \ - "0.0141390000, 0.0121764000, 0.0072492000, -0.005360100, -0.042313700, -0.153912200, -0.486213500", \ - "0.0140057000, 0.0120086000, 0.0073666000, -0.005314200, -0.042126100, -0.154182600, -0.486347400", \ - "0.0138852000, 0.0119016000, 0.0069963000, -0.005595300, -0.042381300, -0.154313200, -0.486403500", \ - "0.0138322000, 0.0118664000, 0.0069128000, -0.005711900, -0.042711100, -0.154419500, -0.486514200", \ - "0.0144925000, 0.0125047000, 0.0074667000, -0.005342200, -0.042343400, -0.154235500, -0.486446300"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0157449000, 0.0174255000, 0.0223142000, 0.0360494000, 0.0751031000, 0.1877424000, 0.5166799000", \ - "0.0157613000, 0.0174190000, 0.0222762000, 0.0360565000, 0.0751028000, 0.1885853000, 0.5169143000", \ - "0.0156823000, 0.0174002000, 0.0222691000, 0.0359533000, 0.0750268000, 0.1876537000, 0.5165585000", \ - "0.0157381000, 0.0173990000, 0.0221844000, 0.0358596000, 0.0748670000, 0.1875807000, 0.5157174000", \ - "0.0156984000, 0.0173250000, 0.0220080000, 0.0354619000, 0.0744272000, 0.1876126000, 0.5187795000", \ - "0.0164233000, 0.0179427000, 0.0225841000, 0.0358612000, 0.0742035000, 0.1866166000, 0.5185531000", \ - "0.0172159000, 0.0186564000, 0.0230876000, 0.0363443000, 0.0748624000, 0.1879915000, 0.5156056000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0132144000, 0.0112598000, 0.0063206000, -0.006298100, -0.043177800, -0.154760400, -0.486950400", \ - "0.0131841000, 0.0112021000, 0.0063221000, -0.006314500, -0.043266400, -0.154834300, -0.487073400", \ - "0.0131452000, 0.0111600000, 0.0062333000, -0.006417200, -0.043325500, -0.154820200, -0.487108000", \ - "0.0128689000, 0.0108781000, 0.0059576000, -0.006714000, -0.043595900, -0.155155900, -0.487387000", \ - "0.0126031000, 0.0106353000, 0.0060073000, -0.006612200, -0.043649000, -0.155422100, -0.487619800", \ - "0.0126816000, 0.0107119000, 0.0058218000, -0.006829900, -0.043793900, -0.155526800, -0.487698800", \ - "0.0171914000, 0.0151912000, 0.0098427000, -0.004137800, -0.043435100, -0.155353100, -0.487444900"); - } - related_pin : "C_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0163379000, 0.0180534000, 0.0228341000, 0.0364915000, 0.0755303000, 0.1885202000, 0.5194776000", \ - "0.0162598000, 0.0179215000, 0.0227439000, 0.0364165000, 0.0754741000, 0.1884086000, 0.5193086000", \ - "0.0163202000, 0.0179911000, 0.0228529000, 0.0365060000, 0.0755360000, 0.1884728000, 0.5170281000", \ - "0.0161597000, 0.0178541000, 0.0226778000, 0.0363417000, 0.0753767000, 0.1883416000, 0.5193405000", \ - "0.0160649000, 0.0177579000, 0.0225960000, 0.0362093000, 0.0752016000, 0.1881160000, 0.5173108000", \ - "0.0161723000, 0.0177859000, 0.0223569000, 0.0359442000, 0.0748720000, 0.1873749000, 0.5160770000", \ - "0.0165868000, 0.0181911000, 0.0228387000, 0.0361319000, 0.0752206000, 0.1885486000, 0.5159074000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0120833000, 0.0101073000, 0.0051954000, -0.007438800, -0.044267200, -0.155944600, -0.487948900", \ - "0.0120583000, 0.0100865000, 0.0053297000, -0.007232200, -0.044239300, -0.156029500, -0.488060800", \ - "0.0121698000, 0.0102004000, 0.0052829000, -0.007368300, -0.044332300, -0.156066500, -0.488115200", \ - "0.0117907000, 0.0098058000, 0.0049137000, -0.007712600, -0.044653100, -0.156326000, -0.488344800", \ - "0.0115628000, 0.0095703000, 0.0046731000, -0.007964800, -0.044903200, -0.156574200, -0.488645700", \ - "0.0115430000, 0.0095523000, 0.0046576000, -0.007993200, -0.044927100, -0.156580400, -0.488612900", \ - "0.0166362000, 0.0146292000, 0.0092782000, -0.004622600, -0.043846400, -0.156462800, -0.488534200"); - } - related_pin : "D_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014617790, 0.0042735930, 0.0124940900, 0.0365271900, 0.1067893000, 0.3122047000"); - values("0.0150770000, 0.0167285000, 0.0215470000, 0.0352515000, 0.0742314000, 0.1870417000, 0.5189911000", \ - "0.0150082000, 0.0166607000, 0.0214798000, 0.0351850000, 0.0741637000, 0.1869637000, 0.5192723000", \ - "0.0150731000, 0.0167721000, 0.0215466000, 0.0352188000, 0.0741713000, 0.1871218000, 0.5167291000", \ - "0.0149466000, 0.0166414000, 0.0214301000, 0.0350816000, 0.0741092000, 0.1868170000, 0.5169243000", \ - "0.0148102000, 0.0164814000, 0.0213094000, 0.0348534000, 0.0739017000, 0.1865643000, 0.5187717000", \ - "0.0149586000, 0.0166224000, 0.0213129000, 0.0347628000, 0.0737850000, 0.1864816000, 0.5188238000", \ - "0.0152573000, 0.0168419000, 0.0214693000, 0.0349305000, 0.0739827000, 0.1874795000, 0.5166128000"); - } - } - max_capacitance : 0.3122050000; - max_transition : 1.5056150000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.5873182000, 0.5988535000, 0.6251118000, 0.6787189000, 0.7774612000, 0.9599838000, 1.3439329000", \ - "0.5890237000, 0.6006513000, 0.6267151000, 0.6805438000, 0.7794551000, 0.9626736000, 1.3458223000", \ - "0.5965249000, 0.6080569000, 0.6340442000, 0.6877537000, 0.7862656000, 0.9697773000, 1.3531949000", \ - "0.6178473000, 0.6295852000, 0.6557960000, 0.7092593000, 0.8075886000, 0.9903302000, 1.3742587000", \ - "0.6688953000, 0.6805311000, 0.7069709000, 0.7602148000, 0.8586978000, 1.0414231000, 1.4254985000", \ - "0.7789069000, 0.7904773000, 0.8167395000, 0.8699862000, 0.9686317000, 1.1525376000, 1.5364009000", \ - "1.0021539000, 1.0142066000, 1.0417193000, 1.0973743000, 1.1986064000, 1.3848397000, 1.7707684000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0789428000, 0.0843531000, 0.0971827000, 0.1279556000, 0.2093505000, 0.4426058000, 1.1215266000", \ - "0.0837952000, 0.0891580000, 0.1019776000, 0.1327828000, 0.2143969000, 0.4476080000, 1.1274681000", \ - "0.0952168000, 0.1005753000, 0.1134395000, 0.1441554000, 0.2257515000, 0.4588477000, 1.1385483000", \ - "0.1224605000, 0.1277045000, 0.1404000000, 0.1708364000, 0.2520280000, 0.4856063000, 1.1654868000", \ - "0.1699949000, 0.1760681000, 0.1898738000, 0.2209377000, 0.3019514000, 0.5356183000, 1.2131856000", \ - "0.2296274000, 0.2373813000, 0.2542389000, 0.2881958000, 0.3699563000, 0.6029977000, 1.2839572000", \ - "0.2842673000, 0.2948250000, 0.3172186000, 0.3587636000, 0.4440887000, 0.6753598000, 1.3526862000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0793073000, 0.0858239000, 0.1014647000, 0.1351200000, 0.2026660000, 0.3585110000, 0.7653354000", \ - "0.0793129000, 0.0855022000, 0.1011512000, 0.1351442000, 0.2057065000, 0.3575410000, 0.7672517000", \ - "0.0790694000, 0.0856157000, 0.1011345000, 0.1350810000, 0.2036323000, 0.3586282000, 0.7673038000", \ - "0.0790950000, 0.0855539000, 0.1019909000, 0.1363999000, 0.2034252000, 0.3585584000, 0.7668062000", \ - "0.0791980000, 0.0853663000, 0.1020942000, 0.1356321000, 0.2031706000, 0.3583553000, 0.7667182000", \ - "0.0791231000, 0.0854610000, 0.1022739000, 0.1352450000, 0.2058277000, 0.3570886000, 0.7660618000", \ - "0.0862253000, 0.0934455000, 0.1098680000, 0.1443439000, 0.2130316000, 0.3638354000, 0.7676110000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0200387000, 0.0246196000, 0.0374171000, 0.0743582000, 0.1871648000, 0.5207431000, 1.4964029000", \ - "0.0200635000, 0.0245651000, 0.0373142000, 0.0743520000, 0.1872584000, 0.5210989000, 1.4979322000", \ - "0.0199978000, 0.0245610000, 0.0372439000, 0.0742544000, 0.1871959000, 0.5206448000, 1.4968132000", \ - "0.0199708000, 0.0244784000, 0.0371043000, 0.0740020000, 0.1868886000, 0.5203810000, 1.5005781000", \ - "0.0246296000, 0.0290364000, 0.0407545000, 0.0759432000, 0.1869288000, 0.5212560000, 1.4994204000", \ - "0.0338688000, 0.0385813000, 0.0496776000, 0.0822892000, 0.1888370000, 0.5195021000, 1.4972447000", \ - "0.0475776000, 0.0541025000, 0.0677289000, 0.0994207000, 0.1946406000, 0.5221004000, 1.4954775000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.5622689000, 0.5737390000, 0.5999307000, 0.6535203000, 0.7522373000, 0.9347796000, 1.3188594000", \ - "0.5639567000, 0.5756670000, 0.6017259000, 0.6553583000, 0.7540074000, 0.9366600000, 1.3207184000", \ - "0.5715431000, 0.5829658000, 0.6091046000, 0.6628191000, 0.7615812000, 0.9441259000, 1.3282645000", \ - "0.5932614000, 0.6047622000, 0.6308349000, 0.6844681000, 0.7827772000, 0.9674981000, 1.3496336000", \ - "0.6463042000, 0.6578248000, 0.6837761000, 0.7374406000, 0.8358850000, 1.0194438000, 1.4030334000", \ - "0.7659832000, 0.7777065000, 0.8036460000, 0.8571262000, 0.9556983000, 1.1394093000, 1.5236800000", \ - "1.0203088000, 1.0324932000, 1.0609758000, 1.1163414000, 1.2174494000, 1.4038446000, 1.7903561000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0789268000, 0.0842404000, 0.0970522000, 0.1277291000, 0.2089188000, 0.4427781000, 1.1196727000", \ - "0.0836901000, 0.0889676000, 0.1017609000, 0.1323955000, 0.2136838000, 0.4473971000, 1.1252730000", \ - "0.0949966000, 0.1003364000, 0.1130940000, 0.1436999000, 0.2248648000, 0.4587893000, 1.1359728000", \ - "0.1215572000, 0.1267912000, 0.1394062000, 0.1697706000, 0.2508587000, 0.4849277000, 1.1616841000", \ - "0.1679773000, 0.1739797000, 0.1872601000, 0.2186714000, 0.2998020000, 0.5330524000, 1.2124266000", \ - "0.2244563000, 0.2322732000, 0.2493713000, 0.2832948000, 0.3650526000, 0.5971119000, 1.2769216000", \ - "0.2743338000, 0.2847179000, 0.3072922000, 0.3496334000, 0.4352469000, 0.6666033000, 1.3442337000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0796592000, 0.0858519000, 0.1013533000, 0.1351221000, 0.2057799000, 0.3592064000, 0.7655395000", \ - "0.0792609000, 0.0857387000, 0.1016047000, 0.1350623000, 0.2034509000, 0.3585970000, 0.7667665000", \ - "0.0796781000, 0.0858421000, 0.1012814000, 0.1350445000, 0.2032903000, 0.3584060000, 0.7667794000", \ - "0.0791513000, 0.0855880000, 0.1011424000, 0.1370257000, 0.2038023000, 0.3572269000, 0.7668439000", \ - "0.0791064000, 0.0856737000, 0.1010993000, 0.1350509000, 0.2026261000, 0.3559336000, 0.7671846000", \ - "0.0790802000, 0.0855817000, 0.1019029000, 0.1351550000, 0.2036597000, 0.3573637000, 0.7666023000", \ - "0.0876160000, 0.0947083000, 0.1111046000, 0.1465747000, 0.2139332000, 0.3654706000, 0.7684214000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0196535000, 0.0240730000, 0.0366376000, 0.0733719000, 0.1861382000, 0.5208213000, 1.4998359000", \ - "0.0194739000, 0.0241190000, 0.0366531000, 0.0734296000, 0.1860582000, 0.5205639000, 1.5004287000", \ - "0.0194972000, 0.0240681000, 0.0365860000, 0.0735116000, 0.1861234000, 0.5207700000, 1.5000540000", \ - "0.0196736000, 0.0241385000, 0.0366330000, 0.0733091000, 0.1860762000, 0.5205449000, 1.4980198000", \ - "0.0243362000, 0.0284868000, 0.0404814000, 0.0753695000, 0.1862620000, 0.5206549000, 1.4991031000", \ - "0.0335385000, 0.0381801000, 0.0497497000, 0.0815421000, 0.1879774000, 0.5201218000, 1.4972694000", \ - "0.0477077000, 0.0542305000, 0.0675239000, 0.0987904000, 0.1941834000, 0.5219502000, 1.4942507000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.5590363000, 0.5705144000, 0.5967265000, 0.6503299000, 0.7491070000, 0.9317459000, 1.3158810000", \ - "0.5630654000, 0.5746121000, 0.6013833000, 0.6546025000, 0.7533607000, 0.9359946000, 1.3201377000", \ - "0.5716708000, 0.5831491000, 0.6093554000, 0.6629718000, 0.7617458000, 0.9442201000, 1.3284409000", \ - "0.5880874000, 0.5995469000, 0.6257406000, 0.6793311000, 0.7781064000, 0.9609066000, 1.3450026000", \ - "0.6109074000, 0.6224489000, 0.6485321000, 0.7022101000, 0.8010380000, 0.9834876000, 1.3676571000", \ - "0.6379511000, 0.6496113000, 0.6760460000, 0.7291664000, 0.8278989000, 1.0116440000, 1.3960851000", \ - "0.6572679000, 0.6689089000, 0.6949845000, 0.7484917000, 0.8472673000, 1.0307454000, 1.4138118000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.1509440000, 0.1563203000, 0.1690152000, 0.1995181000, 0.2803626000, 0.5134443000, 1.1928655000", \ - "0.1555238000, 0.1608522000, 0.1736084000, 0.2040905000, 0.2850331000, 0.5180283000, 1.1985284000", \ - "0.1681427000, 0.1734802000, 0.1862311000, 0.2167236000, 0.2977436000, 0.5308218000, 1.2118815000", \ - "0.1993213000, 0.2046738000, 0.2174092000, 0.2479220000, 0.3287703000, 0.5615940000, 1.2412056000", \ - "0.2617835000, 0.2671369000, 0.2799697000, 0.3104051000, 0.3915310000, 0.6245574000, 1.3026425000", \ - "0.3601990000, 0.3656744000, 0.3785602000, 0.4092405000, 0.4904889000, 0.7231797000, 1.4007020000", \ - "0.5135389000, 0.5194158000, 0.5328251000, 0.5637860000, 0.6450752000, 0.8776212000, 1.5554710000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0796773000, 0.0858804000, 0.1014082000, 0.1351810000, 0.2057760000, 0.3605572000, 0.7662607000", \ - "0.0791313000, 0.0853232000, 0.1018597000, 0.1350285000, 0.2030672000, 0.3583158000, 0.7668569000", \ - "0.0793070000, 0.0858283000, 0.1014312000, 0.1350890000, 0.2026459000, 0.3585634000, 0.7662476000", \ - "0.0793281000, 0.0857913000, 0.1014861000, 0.1350715000, 0.2025382000, 0.3582272000, 0.7667473000", \ - "0.0788523000, 0.0854634000, 0.1011599000, 0.1350064000, 0.2055060000, 0.3589219000, 0.7662004000", \ - "0.0792071000, 0.0855558000, 0.1016824000, 0.1354373000, 0.2035546000, 0.3594271000, 0.7666495000", \ - "0.0792623000, 0.0859501000, 0.1019176000, 0.1351328000, 0.2035443000, 0.3578823000, 0.7674959000"); - } - related_pin : "C_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0198271000, 0.0243530000, 0.0367531000, 0.0733774000, 0.1857654000, 0.5208004000, 1.5006733000", \ - "0.0198556000, 0.0244809000, 0.0367995000, 0.0733123000, 0.1857035000, 0.5206529000, 1.5016797000", \ - "0.0199387000, 0.0243803000, 0.0367728000, 0.0733335000, 0.1856832000, 0.5209262000, 1.4982372000", \ - "0.0199416000, 0.0243178000, 0.0367383000, 0.0732920000, 0.1857524000, 0.5202227000, 1.5006442000", \ - "0.0201393000, 0.0245146000, 0.0369614000, 0.0732994000, 0.1854270000, 0.5209062000, 1.4994940000", \ - "0.0209504000, 0.0255520000, 0.0378933000, 0.0738524000, 0.1853126000, 0.5195645000, 1.4982311000", \ - "0.0233344000, 0.0275292000, 0.0393841000, 0.0749849000, 0.1856475000, 0.5196497000, 1.4981691000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.4764685000, 0.4878309000, 0.5140063000, 0.5676571000, 0.6663516000, 0.8492707000, 1.2336627000", \ - "0.4806653000, 0.4922338000, 0.5188627000, 0.5721172000, 0.6711355000, 0.8540906000, 1.2376065000", \ - "0.4893334000, 0.5010150000, 0.5274608000, 0.5807388000, 0.6800758000, 0.8631544000, 1.2458317000", \ - "0.5034123000, 0.5150806000, 0.5416105000, 0.5948729000, 0.6934481000, 0.8779532000, 1.2598638000", \ - "0.5236190000, 0.5351725000, 0.5617148000, 0.6148364000, 0.7134907000, 0.8976961000, 1.2805641000", \ - "0.5455116000, 0.5570249000, 0.5831343000, 0.6365317000, 0.7355776000, 0.9188156000, 1.3017705000", \ - "0.5580866000, 0.5694918000, 0.5956055000, 0.6491060000, 0.7476365000, 0.9315299000, 1.3151688000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.1389158000, 0.1442559000, 0.1570578000, 0.1875591000, 0.2685247000, 0.5015951000, 1.1805421000", \ - "0.1437357000, 0.1490756000, 0.1618791000, 0.1923803000, 0.2733469000, 0.5064100000, 1.1856043000", \ - "0.1565083000, 0.1618530000, 0.1745895000, 0.2051715000, 0.2863230000, 0.5198091000, 1.2012967000", \ - "0.1875356000, 0.1928755000, 0.2056307000, 0.2361722000, 0.3169635000, 0.5500374000, 1.2308178000", \ - "0.2472789000, 0.2527018000, 0.2655548000, 0.2960248000, 0.3770750000, 0.6093754000, 1.2918111000", \ - "0.3403498000, 0.3458454000, 0.3588499000, 0.3895807000, 0.4706284000, 0.7028063000, 1.3818420000", \ - "0.4869776000, 0.4927975000, 0.5063027000, 0.5372662000, 0.6181267000, 0.8513071000, 1.5280704000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0792421000, 0.0858677000, 0.1013454000, 0.1350277000, 0.2058389000, 0.3564489000, 0.7668188000", \ - "0.0789180000, 0.0854101000, 0.1013393000, 0.1348887000, 0.2052270000, 0.3574950000, 0.7667044000", \ - "0.0791829000, 0.0859791000, 0.1018055000, 0.1366039000, 0.2053281000, 0.3573409000, 0.7667004000", \ - "0.0792083000, 0.0853647000, 0.1020171000, 0.1355245000, 0.2033145000, 0.3572269000, 0.7667168000", \ - "0.0793166000, 0.0855190000, 0.1016758000, 0.1352062000, 0.2033097000, 0.3570521000, 0.7652591000", \ - "0.0793177000, 0.0854635000, 0.1023730000, 0.1352699000, 0.2050418000, 0.3577448000, 0.7663066000", \ - "0.0797931000, 0.0859052000, 0.1011119000, 0.1355157000, 0.2045207000, 0.3573760000, 0.7666280000"); - } - related_pin : "D_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014617800, 0.0042735900, 0.0124941000, 0.0365272000, 0.1067890000, 0.3122050000"); - values("0.0197127000, 0.0243020000, 0.0367533000, 0.0732065000, 0.1855854000, 0.5207570000, 1.5033262000", \ - "0.0197144000, 0.0243024000, 0.0367530000, 0.0732069000, 0.1855852000, 0.5207150000, 1.5020395000", \ - "0.0198088000, 0.0242209000, 0.0367089000, 0.0731476000, 0.1856115000, 0.5206414000, 1.5004387000", \ - "0.0197456000, 0.0242681000, 0.0367723000, 0.0731582000, 0.1856155000, 0.5204754000, 1.5056151000", \ - "0.0200626000, 0.0246072000, 0.0369983000, 0.0732689000, 0.1852583000, 0.5202097000, 1.5031745000", \ - "0.0211388000, 0.0254913000, 0.0377066000, 0.0738592000, 0.1853528000, 0.5188249000, 1.5054071000", \ - "0.0229575000, 0.0276321000, 0.0393531000, 0.0747969000, 0.1855922000, 0.5206382000, 1.4969728000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__or4bb_4") { - leakage_power () { - value : 0.0050278000; - when : "!A&!B&!C_N&D_N"; - } - leakage_power () { - value : 0.0026199000; - when : "!A&!B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0106797000; - when : "!A&!B&C_N&D_N"; - } - leakage_power () { - value : 0.0067646000; - when : "!A&!B&C_N&!D_N"; - } - leakage_power () { - value : 0.0045839000; - when : "!A&B&!C_N&D_N"; - } - leakage_power () { - value : 0.0025913000; - when : "!A&B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0069627000; - when : "!A&B&C_N&D_N"; - } - leakage_power () { - value : 0.0046576000; - when : "!A&B&C_N&!D_N"; - } - leakage_power () { - value : 0.0045819000; - when : "A&!B&!C_N&D_N"; - } - leakage_power () { - value : 0.0025905000; - when : "A&!B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0069324000; - when : "A&!B&C_N&D_N"; - } - leakage_power () { - value : 0.0046554000; - when : "A&!B&C_N&!D_N"; - } - leakage_power () { - value : 0.0045648000; - when : "A&B&!C_N&D_N"; - } - leakage_power () { - value : 0.0025829000; - when : "A&B&!C_N&!D_N"; - } - leakage_power () { - value : 0.0066326000; - when : "A&B&C_N&D_N"; - } - leakage_power () { - value : 0.0046356000; - when : "A&B&C_N&!D_N"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__or4bb"; - cell_leakage_power : 0.0050664790; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0023650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022390000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0039014000, 0.0038949000, 0.0038798000, 0.0038807000, 0.0038828000, 0.0038876000, 0.0038988000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003387500, -0.003424800, -0.003510600, -0.003521300, -0.003545900, -0.003602600, -0.003733300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0024920000; - } - pin ("B") { - capacitance : 0.0024190000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045625000, 0.0045536000, 0.0045332000, 0.0045341000, 0.0045361000, 0.0045408000, 0.0045515000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004358700, -0.004386700, -0.004451100, -0.004455100, -0.004464400, -0.004485800, -0.004535200"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025620000; - } - pin ("C_N") { - capacitance : 0.0015030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014490000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0085658000, 0.0084743000, 0.0082636000, 0.0083179000, 0.0084432000, 0.0087321000, 0.0093978000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0045527000, 0.0044966000, 0.0043672000, 0.0044135000, 0.0045203000, 0.0047664000, 0.0053338000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015570000; - } - pin ("D_N") { - capacitance : 0.0013970000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0013470000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0076561000, 0.0075711000, 0.0073754000, 0.0074398000, 0.0075882000, 0.0079304000, 0.0087190000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0028334000, 0.0027751000, 0.0026408000, 0.0027040000, 0.0028497000, 0.0031856000, 0.0039599000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0014470000; - } - pin ("X") { - direction : "output"; - function : "(A) | (B) | (!C_N) | (!D_N)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0283800000, 0.0261549000, 0.0199139000, 0.0027890000, -0.051213800, -0.232312000, -0.824139900", \ - "0.0282493000, 0.0260210000, 0.0196116000, 0.0025536000, -0.051484700, -0.232356700, -0.824210600", \ - "0.0284189000, 0.0261491000, 0.0199608000, 0.0028015000, -0.051390000, -0.232728900, -0.824568900", \ - "0.0280505000, 0.0258305000, 0.0194547000, 0.0023362000, -0.051748000, -0.232879700, -0.824669700", \ - "0.0275932000, 0.0253189000, 0.0189433000, 0.0018956000, -0.052043700, -0.233115900, -0.824905000", \ - "0.0274960000, 0.0252308000, 0.0188622000, 0.0017073000, -0.052317300, -0.233286400, -0.825017200", \ - "0.0301742000, 0.0278144000, 0.0206961000, 0.0019733000, -0.052142600, -0.233323800, -0.825029800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0299993000, 0.0319153000, 0.0380268000, 0.0568091000, 0.1150784000, 0.2985222000, 0.8887446000", \ - "0.0299414000, 0.0318378000, 0.0378761000, 0.0567555000, 0.1149873000, 0.2984097000, 0.8886331000", \ - "0.0298242000, 0.0317425000, 0.0378535000, 0.0567306000, 0.1150065000, 0.2984504000, 0.8875363000", \ - "0.0299271000, 0.0318359000, 0.0379162000, 0.0567017000, 0.1148905000, 0.2982264000, 0.8842240000", \ - "0.0301039000, 0.0319805000, 0.0379131000, 0.0562027000, 0.1143303000, 0.2981439000, 0.8883255000", \ - "0.0313033000, 0.0333798000, 0.0389879000, 0.0571022000, 0.1144231000, 0.2978776000, 0.8843374000", \ - "0.0331925000, 0.0348446000, 0.0403485000, 0.0584592000, 0.1158661000, 0.2995166000, 0.8838132000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0257555000, 0.0231283000, 0.0168168000, -0.000295300, -0.053959100, -0.235194700, -0.826851300", \ - "0.0253275000, 0.0231132000, 0.0166918000, -0.000362600, -0.054411900, -0.235210500, -0.826875700", \ - "0.0251810000, 0.0229661000, 0.0164912000, -0.000289900, -0.054603400, -0.235409600, -0.827060200", \ - "0.0249184000, 0.0227300000, 0.0163262000, -0.000863200, -0.054802200, -0.235655100, -0.827260500", \ - "0.0248807000, 0.0225994000, 0.0162362000, -0.000880400, -0.055030500, -0.235879300, -0.827410700", \ - "0.0247116000, 0.0224595000, 0.0161246000, -0.000995900, -0.055230600, -0.236160600, -0.827669600", \ - "0.0268265000, 0.0245322000, 0.0176107000, -0.001039900, -0.055214700, -0.235745700, -0.827449600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0302203000, 0.0321341000, 0.0381323000, 0.0571484000, 0.1158982000, 0.2999916000, 0.8903419000", \ - "0.0302113000, 0.0321460000, 0.0381512000, 0.0572057000, 0.1158874000, 0.2997514000, 0.8904661000", \ - "0.0301405000, 0.0320353000, 0.0381651000, 0.0571989000, 0.1158063000, 0.2997265000, 0.8904666000", \ - "0.0302527000, 0.0321506000, 0.0382296000, 0.0570537000, 0.1154988000, 0.2995469000, 0.8864830000", \ - "0.0301126000, 0.0320143000, 0.0379283000, 0.0566123000, 0.1147302000, 0.2996728000, 0.8860337000", \ - "0.0315920000, 0.0333559000, 0.0390235000, 0.0572419000, 0.1143466000, 0.2982743000, 0.8896530000", \ - "0.0327361000, 0.0343877000, 0.0399980000, 0.0578176000, 0.1155479000, 0.2994306000, 0.8844067000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0237871000, 0.0215312000, 0.0151691000, -0.002026500, -0.055929000, -0.236618200, -0.828153500", \ - "0.0239555000, 0.0217273000, 0.0153536000, -0.001735300, -0.055790200, -0.236707700, -0.828212100", \ - "0.0237008000, 0.0214572000, 0.0151019000, -0.001994800, -0.055641100, -0.236812900, -0.828337200", \ - "0.0233686000, 0.0211825000, 0.0147686000, -0.002380800, -0.056319600, -0.236963000, -0.828500000", \ - "0.0233941000, 0.0211648000, 0.0147863000, -0.002340300, -0.056504700, -0.237437800, -0.828934000", \ - "0.0229488000, 0.0207124000, 0.0143596000, -0.002716900, -0.056334800, -0.237449300, -0.829104500", \ - "0.0323832000, 0.0301256000, 0.0233927000, 0.0046462000, -0.053807300, -0.237307300, -0.828881200"); - } - related_pin : "C_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0300152000, 0.0318500000, 0.0379223000, 0.0568296000, 0.1153178000, 0.2998583000, 0.8899763000", \ - "0.0300052000, 0.0319085000, 0.0378849000, 0.0567229000, 0.1152933000, 0.2993275000, 0.8857363000", \ - "0.0299760000, 0.0318823000, 0.0379173000, 0.0568065000, 0.1152279000, 0.2997162000, 0.8867785000", \ - "0.0297679000, 0.0316753000, 0.0377180000, 0.0566049000, 0.1150280000, 0.2995323000, 0.8863754000", \ - "0.0296099000, 0.0315095000, 0.0374825000, 0.0563069000, 0.1148981000, 0.2992133000, 0.8860521000", \ - "0.0292807000, 0.0312277000, 0.0371598000, 0.0560927000, 0.1142932000, 0.2986041000, 0.8863917000", \ - "0.0305535000, 0.0323678000, 0.0382695000, 0.0566743000, 0.1149372000, 0.2997890000, 0.8894969000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0225768000, 0.0203453000, 0.0139329000, -0.003145800, -0.057169400, -0.237990900, -0.829395000", \ - "0.0223224000, 0.0201638000, 0.0138218000, -0.003377800, -0.057319000, -0.238005600, -0.829335500", \ - "0.0224681000, 0.0202398000, 0.0138436000, -0.003175700, -0.057292500, -0.238066100, -0.829473200", \ - "0.0221076000, 0.0198827000, 0.0135961000, -0.003522900, -0.057577400, -0.238386900, -0.829778100", \ - "0.0215889000, 0.0193683000, 0.0129967000, -0.004009300, -0.058027000, -0.238738600, -0.830066700", \ - "0.0215130000, 0.0192727000, 0.0128703000, -0.004244900, -0.058094200, -0.238864600, -0.830346000", \ - "0.0316439000, 0.0293988000, 0.0227500000, 0.0036180000, -0.054576800, -0.238813400, -0.830231900"); - } - related_pin : "D_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0281144000, 0.0300455000, 0.0360301000, 0.0549029000, 0.1134982000, 0.2979013000, 0.8861002000", \ - "0.0280657000, 0.0299483000, 0.0360619000, 0.0548160000, 0.1134917000, 0.2981345000, 0.8810098000", \ - "0.0280434000, 0.0300168000, 0.0360074000, 0.0548798000, 0.1134757000, 0.2993994000, 0.8860673000", \ - "0.0279211000, 0.0297167000, 0.0357886000, 0.0547524000, 0.1132877000, 0.2975374000, 0.8859470000", \ - "0.0276355000, 0.0295472000, 0.0356132000, 0.0544550000, 0.1130768000, 0.2990621000, 0.8855321000", \ - "0.0277136000, 0.0294023000, 0.0351860000, 0.0542119000, 0.1124083000, 0.2970859000, 0.8800827000", \ - "0.0283406000, 0.0301502000, 0.0360765000, 0.0543275000, 0.1129356000, 0.2978892000, 0.8829537000"); - } - } - max_capacitance : 0.5328470000; - max_transition : 1.5029550000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.4948267000, 0.5017723000, 0.5198403000, 0.5604309000, 0.6410213000, 0.7995994000, 1.1545590000", \ - "0.4988088000, 0.5057432000, 0.5237514000, 0.5645288000, 0.6448428000, 0.8027807000, 1.1588220000", \ - "0.5096256000, 0.5162861000, 0.5344828000, 0.5747247000, 0.6555936000, 0.8136278000, 1.1689235000", \ - "0.5345844000, 0.5413193000, 0.5594985000, 0.5998885000, 0.6807423000, 0.8391010000, 1.1941187000", \ - "0.5900045000, 0.5966273000, 0.6144250000, 0.6551340000, 0.7349360000, 0.8935263000, 1.2495807000", \ - "0.7062285000, 0.7130128000, 0.7312679000, 0.7715426000, 0.8524508000, 1.0112888000, 1.3669335000", \ - "0.9363860000, 0.9434760000, 0.9622889000, 1.0051232000, 1.0890124000, 1.2517893000, 1.6104590000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0879975000, 0.0918276000, 0.1021887000, 0.1287328000, 0.2019663000, 0.4264983000, 1.1388901000", \ - "0.0926114000, 0.0964376000, 0.1067375000, 0.1333059000, 0.2065560000, 0.4312201000, 1.1435084000", \ - "0.1036220000, 0.1074270000, 0.1177595000, 0.1442437000, 0.2174410000, 0.4419441000, 1.1539761000", \ - "0.1303944000, 0.1341568000, 0.1443611000, 0.1705284000, 0.2432921000, 0.4676259000, 1.1782413000", \ - "0.1796689000, 0.1838664000, 0.1948285000, 0.2215884000, 0.2940191000, 0.5184407000, 1.2308087000", \ - "0.2409929000, 0.2461842000, 0.2595622000, 0.2893393000, 0.3624534000, 0.5858100000, 1.2996898000", \ - "0.2951224000, 0.3021677000, 0.3196842000, 0.3567812000, 0.4349343000, 0.6575867000, 1.3667312000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0721818000, 0.0760134000, 0.0860486000, 0.1109194000, 0.1646437000, 0.2971370000, 0.6819727000", \ - "0.0722045000, 0.0760333000, 0.0861103000, 0.1107316000, 0.1641141000, 0.2973393000, 0.6814210000", \ - "0.0725064000, 0.0759535000, 0.0864825000, 0.1104968000, 0.1650256000, 0.2968897000, 0.6820514000", \ - "0.0721375000, 0.0759345000, 0.0862455000, 0.1111074000, 0.1650235000, 0.2972494000, 0.6818410000", \ - "0.0726478000, 0.0763410000, 0.0859579000, 0.1101225000, 0.1649125000, 0.2956294000, 0.6823750000", \ - "0.0723835000, 0.0761023000, 0.0862042000, 0.1101440000, 0.1653880000, 0.2968176000, 0.6811654000", \ - "0.0809390000, 0.0843577000, 0.0947417000, 0.1204249000, 0.1740983000, 0.3033192000, 0.6837570000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0219760000, 0.0252007000, 0.0347755000, 0.0644203000, 0.1618407000, 0.4795075000, 1.4976064000", \ - "0.0219764000, 0.0251752000, 0.0347725000, 0.0643719000, 0.1617911000, 0.4794793000, 1.4974669000", \ - "0.0218989000, 0.0251316000, 0.0346071000, 0.0642051000, 0.1614890000, 0.4791337000, 1.4961596000", \ - "0.0215006000, 0.0247693000, 0.0343088000, 0.0639053000, 0.1614190000, 0.4785781000, 1.4969977000", \ - "0.0257233000, 0.0288600000, 0.0378109000, 0.0656202000, 0.1612776000, 0.4794182000, 1.4984721000", \ - "0.0351928000, 0.0390709000, 0.0474087000, 0.0730500000, 0.1641968000, 0.4787810000, 1.4953786000", \ - "0.0499226000, 0.0541216000, 0.0645852000, 0.0902703000, 0.1721079000, 0.4817732000, 1.4943839000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.4758244000, 0.4826234000, 0.5004320000, 0.5411769000, 0.6213577000, 0.7801000000, 1.1355702000", \ - "0.4789643000, 0.4858919000, 0.5039531000, 0.5446211000, 0.6249234000, 0.7829081000, 1.1390731000", \ - "0.4886239000, 0.4955289000, 0.5134765000, 0.5540711000, 0.6345207000, 0.7923673000, 1.1486398000", \ - "0.5131485000, 0.5199887000, 0.5375623000, 0.5782526000, 0.6588148000, 0.8166997000, 1.1728922000", \ - "0.5688390000, 0.5756268000, 0.5938428000, 0.6342042000, 0.7146213000, 0.8727657000, 1.2291282000", \ - "0.6935936000, 0.7003230000, 0.7185210000, 0.7589622000, 0.8393608000, 0.9981896000, 1.3543242000", \ - "0.9496677000, 0.9571645000, 0.9761300000, 1.0191080000, 1.1038258000, 1.2668570000, 1.6262431000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0872873000, 0.0910522000, 0.1011782000, 0.1274202000, 0.2002542000, 0.4246939000, 1.1380700000", \ - "0.0919103000, 0.0956802000, 0.1058217000, 0.1320545000, 0.2047806000, 0.4290281000, 1.1421770000", \ - "0.1028485000, 0.1065916000, 0.1167954000, 0.1430160000, 0.2156725000, 0.4398849000, 1.1530851000", \ - "0.1288411000, 0.1325376000, 0.1426006000, 0.1685209000, 0.2409834000, 0.4658522000, 1.1794211000", \ - "0.1767251000, 0.1808655000, 0.1914388000, 0.2181095000, 0.2906819000, 0.5147681000, 1.2259516000", \ - "0.2346214000, 0.2399576000, 0.2533968000, 0.2830184000, 0.3563840000, 0.5792780000, 1.2926596000", \ - "0.2823219000, 0.2893978000, 0.3073120000, 0.3447599000, 0.4229005000, 0.6459429000, 1.3557474000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0723477000, 0.0758046000, 0.0862066000, 0.1101891000, 0.1650525000, 0.2961679000, 0.6826540000", \ - "0.0721921000, 0.0759898000, 0.0861461000, 0.1107348000, 0.1640805000, 0.2973078000, 0.6813830000", \ - "0.0722473000, 0.0759325000, 0.0858935000, 0.1112385000, 0.1655736000, 0.2979811000, 0.6816818000", \ - "0.0726098000, 0.0763839000, 0.0864694000, 0.1101277000, 0.1653147000, 0.2964189000, 0.6822315000", \ - "0.0724539000, 0.0760328000, 0.0861431000, 0.1101825000, 0.1644874000, 0.2978086000, 0.6808268000", \ - "0.0722021000, 0.0759947000, 0.0864148000, 0.1110386000, 0.1645083000, 0.2948745000, 0.6812536000", \ - "0.0832020000, 0.0867414000, 0.0969233000, 0.1215535000, 0.1752480000, 0.3064942000, 0.6853863000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0212124000, 0.0242440000, 0.0337873000, 0.0629488000, 0.1602957000, 0.4782437000, 1.4988512000", \ - "0.0211156000, 0.0242569000, 0.0337401000, 0.0629747000, 0.1602273000, 0.4772479000, 1.4998170000", \ - "0.0212447000, 0.0243103000, 0.0337221000, 0.0629523000, 0.1601761000, 0.4773742000, 1.4998318000", \ - "0.0209483000, 0.0241264000, 0.0335486000, 0.0628635000, 0.1601849000, 0.4790999000, 1.4979566000", \ - "0.0255908000, 0.0284669000, 0.0372831000, 0.0650258000, 0.1602263000, 0.4785836000, 1.4977234000", \ - "0.0346748000, 0.0379735000, 0.0468573000, 0.0722360000, 0.1632121000, 0.4779467000, 1.4987923000", \ - "0.0493639000, 0.0537031000, 0.0647179000, 0.0902249000, 0.1715210000, 0.4812257000, 1.4947582000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.4814524000, 0.4880512000, 0.5059398000, 0.5467170000, 0.6273247000, 0.7850618000, 1.1414849000", \ - "0.4858808000, 0.4926592000, 0.5108335000, 0.5513621000, 0.6321368000, 0.7912473000, 1.1458303000", \ - "0.4962235000, 0.5030342000, 0.5210695000, 0.5616417000, 0.6419189000, 0.8006844000, 1.1561125000", \ - "0.5170325000, 0.5240232000, 0.5416307000, 0.5823564000, 0.6629320000, 0.8207062000, 1.1771996000", \ - "0.5480766000, 0.5549017000, 0.5730827000, 0.6134828000, 0.6944323000, 0.8527376000, 1.2078681000", \ - "0.5875326000, 0.5942907000, 0.6123804000, 0.6528563000, 0.7330578000, 0.8922023000, 1.2478244000", \ - "0.6265203000, 0.6333198000, 0.6510911000, 0.6918228000, 0.7719056000, 0.9307675000, 1.2863484000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.1859920000, 0.1897927000, 0.2000394000, 0.2261569000, 0.2985564000, 0.5224918000, 1.2362693000", \ - "0.1908767000, 0.1946669000, 0.2047788000, 0.2309817000, 0.3035386000, 0.5277499000, 1.2379502000", \ - "0.2033538000, 0.2071181000, 0.2173884000, 0.2435227000, 0.3158154000, 0.5396535000, 1.2506183000", \ - "0.2341668000, 0.2379358000, 0.2481810000, 0.2743086000, 0.3466070000, 0.5704756000, 1.2813031000", \ - "0.3027610000, 0.3065543000, 0.3167191000, 0.3429244000, 0.4153952000, 0.6391624000, 1.3508556000", \ - "0.4193662000, 0.4232671000, 0.4336488000, 0.4600224000, 0.5323806000, 0.7561197000, 1.4680581000", \ - "0.5998941000, 0.6041087000, 0.6149200000, 0.6417163000, 0.7143559000, 0.9382359000, 1.6497397000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0726490000, 0.0762534000, 0.0864120000, 0.1101529000, 0.1653603000, 0.2981440000, 0.6810053000", \ - "0.0721360000, 0.0759507000, 0.0861542000, 0.1110741000, 0.1647326000, 0.2964697000, 0.6820527000", \ - "0.0721923000, 0.0757546000, 0.0860047000, 0.1101167000, 0.1646731000, 0.2960906000, 0.6824164000", \ - "0.0723078000, 0.0763712000, 0.0858980000, 0.1101017000, 0.1659597000, 0.2979474000, 0.6812405000", \ - "0.0722932000, 0.0758911000, 0.0862489000, 0.1111356000, 0.1656000000, 0.2972973000, 0.6816234000", \ - "0.0727507000, 0.0763317000, 0.0861100000, 0.1109075000, 0.1642556000, 0.2972553000, 0.6818789000", \ - "0.0722904000, 0.0758540000, 0.0863673000, 0.1117178000, 0.1649278000, 0.2972239000, 0.6809148000"); - } - related_pin : "C_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0218087000, 0.0250004000, 0.0341824000, 0.0629972000, 0.1598815000, 0.4785127000, 1.4991428000", \ - "0.0217802000, 0.0249161000, 0.0342487000, 0.0631507000, 0.1598189000, 0.4790498000, 1.4963811000", \ - "0.0219267000, 0.0250543000, 0.0341753000, 0.0630822000, 0.1597060000, 0.4783973000, 1.4976236000", \ - "0.0219193000, 0.0250534000, 0.0341565000, 0.0630774000, 0.1597167000, 0.4784175000, 1.4965738000", \ - "0.0218762000, 0.0250224000, 0.0343203000, 0.0631943000, 0.1596866000, 0.4788153000, 1.4982212000", \ - "0.0230201000, 0.0260905000, 0.0354149000, 0.0638265000, 0.1597345000, 0.4779325000, 1.4998026000", \ - "0.0253622000, 0.0282164000, 0.0372846000, 0.0652145000, 0.1606083000, 0.4780438000, 1.4983639000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.4113687000, 0.4182398000, 0.4364133000, 0.4770586000, 0.5577417000, 0.7165714000, 1.0722918000", \ - "0.4160480000, 0.4230944000, 0.4411795000, 0.4814968000, 0.5620606000, 0.7203069000, 1.0766676000", \ - "0.4259706000, 0.4328013000, 0.4508303000, 0.4914631000, 0.5725275000, 0.7310863000, 1.0868790000", \ - "0.4440391000, 0.4509019000, 0.4690742000, 0.5095431000, 0.5905090000, 0.7491668000, 1.1049271000", \ - "0.4705696000, 0.4773755000, 0.4954152000, 0.5357402000, 0.6162443000, 0.7754628000, 1.1312568000", \ - "0.5029040000, 0.5096907000, 0.5274756000, 0.5680610000, 0.6482844000, 0.8070239000, 1.1626887000", \ - "0.5293653000, 0.5361326000, 0.5539668000, 0.5945870000, 0.6752491000, 0.8343517000, 1.1900146000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.1698024000, 0.1736492000, 0.1838699000, 0.2101942000, 0.2826311000, 0.5067004000, 1.2186760000", \ - "0.1745785000, 0.1783878000, 0.1886952000, 0.2149130000, 0.2875008000, 0.5112176000, 1.2232115000", \ - "0.1871268000, 0.1909729000, 0.2012173000, 0.2275355000, 0.2999719000, 0.5241123000, 1.2355625000", \ - "0.2180333000, 0.2218225000, 0.2321404000, 0.2584232000, 0.3309350000, 0.5553011000, 1.2663230000", \ - "0.2848574000, 0.2886782000, 0.2989908000, 0.3252992000, 0.3977560000, 0.6218513000, 1.3361262000", \ - "0.3947393000, 0.3985365000, 0.4091761000, 0.4356923000, 0.5083052000, 0.7319019000, 1.4424935000", \ - "0.5656547000, 0.5698811000, 0.5808828000, 0.6077744000, 0.6805674000, 0.9039648000, 1.6146382000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0723854000, 0.0758300000, 0.0858290000, 0.1107917000, 0.1647137000, 0.2970560000, 0.6814306000", \ - "0.0723610000, 0.0763776000, 0.0861466000, 0.1101401000, 0.1658108000, 0.2957355000, 0.6824168000", \ - "0.0722451000, 0.0758705000, 0.0860827000, 0.1111258000, 0.1655060000, 0.2971102000, 0.6814723000", \ - "0.0723541000, 0.0758285000, 0.0861063000, 0.1109310000, 0.1651843000, 0.2970415000, 0.6814411000", \ - "0.0721648000, 0.0756769000, 0.0861227000, 0.1115823000, 0.1644966000, 0.2967940000, 0.6812094000", \ - "0.0723374000, 0.0756896000, 0.0860888000, 0.1102542000, 0.1639874000, 0.2977416000, 0.6811717000", \ - "0.0728397000, 0.0764906000, 0.0863225000, 0.1106766000, 0.1667904000, 0.2972221000, 0.6794208000"); - } - related_pin : "D_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0217570000, 0.0248610000, 0.0342149000, 0.0630831000, 0.1597693000, 0.4794522000, 1.5029549000", \ - "0.0218142000, 0.0249549000, 0.0341084000, 0.0631206000, 0.1597120000, 0.4785745000, 1.4963969000", \ - "0.0217495000, 0.0248525000, 0.0342109000, 0.0630831000, 0.1597633000, 0.4794579000, 1.5022675000", \ - "0.0217714000, 0.0250244000, 0.0342766000, 0.0630864000, 0.1597606000, 0.4790419000, 1.5020313000", \ - "0.0220228000, 0.0251270000, 0.0343131000, 0.0632025000, 0.1594542000, 0.4795755000, 1.5006705000", \ - "0.0231872000, 0.0263526000, 0.0354924000, 0.0638338000, 0.1594683000, 0.4779163000, 1.4982195000", \ - "0.0258885000, 0.0289159000, 0.0376788000, 0.0656430000, 0.1603951000, 0.4780669000, 1.4933494000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__probe_p_8") { - leakage_power () { - value : 0.0076474000; - when : "A"; - } - leakage_power () { - value : 0.0071930000; - when : "!A"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__probe"; - cell_leakage_power : 0.0074202000; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0068580000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0064810000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0072350000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017611720, 0.0062034510, 0.0218506800, 0.0769656000, 0.2710993000, 0.9549047000"); - values("0.0622895000, 0.0605814000, 0.0544048000, 0.0324054000, -0.052368100, -0.365511200, -1.473029600", \ - "0.0618556000, 0.0600657000, 0.0538991000, 0.0317923000, -0.052813700, -0.366054700, -1.473527600", \ - "0.0610432000, 0.0592876000, 0.0531525000, 0.0310888000, -0.053696100, -0.366735400, -1.474185800", \ - "0.0606309000, 0.0588453000, 0.0524092000, 0.0300072000, -0.054636200, -0.367296500, -1.474523300", \ - "0.0602371000, 0.0582274000, 0.0516387000, 0.0288377000, -0.055825900, -0.367943600, -1.474959500", \ - "0.0656617000, 0.0634970000, 0.0561417000, 0.0311548000, -0.054832900, -0.366409300, -1.473002300", \ - "0.0734927000, 0.0711778000, 0.0634754000, 0.0375790000, -0.051368800, -0.363269900, -1.468732800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017611720, 0.0062034510, 0.0218506800, 0.0769656000, 0.2710993000, 0.9549047000"); - values("0.0451391000, 0.0474162000, 0.0554099000, 0.0824942000, 0.1724581000, 0.4842208000, 1.5728390000", \ - "0.0447396000, 0.0470680000, 0.0550859000, 0.0821114000, 0.1721294000, 0.4839237000, 1.5726384000", \ - "0.0440728000, 0.0463563000, 0.0542942000, 0.0812141000, 0.1713500000, 0.4836590000, 1.5730391000", \ - "0.0432236000, 0.0453292000, 0.0530304000, 0.0795258000, 0.1697947000, 0.4823883000, 1.5757843000", \ - "0.0432728000, 0.0453501000, 0.0526315000, 0.0788219000, 0.1677390000, 0.4812552000, 1.5803099000", \ - "0.0459963000, 0.0480276000, 0.0552657000, 0.0810059000, 0.1683220000, 0.4803318000, 1.5779272000", \ - "0.0502955000, 0.0523045000, 0.0592626000, 0.0843225000, 0.1740387000, 0.4829633000, 1.5762310000"); - } - } - max_capacitance : 0.9549050000; - max_transition : 1.5044370000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017611700, 0.0062034500, 0.0218507000, 0.0769656000, 0.2710990000, 0.9549050000"); - values("0.0924138000, 0.0942745000, 0.0998980000, 0.1144363000, 0.1489349000, 0.2437060000, 0.5619730000", \ - "0.0976607000, 0.0995028000, 0.1050784000, 0.1196035000, 0.1542219000, 0.2490145000, 0.5680530000", \ - "0.1107395000, 0.1125685000, 0.1181300000, 0.1325987000, 0.1672496000, 0.2620608000, 0.5791334000", \ - "0.1426086000, 0.1444290000, 0.1499999000, 0.1644296000, 0.1991952000, 0.2939871000, 0.6117148000", \ - "0.2123448000, 0.2143543000, 0.2203816000, 0.2356629000, 0.2711181000, 0.3664452000, 0.6837273000", \ - "0.3276238000, 0.3302272000, 0.3380289000, 0.3575314000, 0.3988462000, 0.4979497000, 0.8154331000", \ - "0.5136958000, 0.5170795000, 0.5268898000, 0.5523799000, 0.6050651000, 0.7134495000, 1.0317767000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017611700, 0.0062034500, 0.0218507000, 0.0769656000, 0.2710990000, 0.9549050000"); - values("0.0635825000, 0.0657178000, 0.0724726000, 0.0925350000, 0.1540215000, 0.3624511000, 1.0953717000", \ - "0.0680506000, 0.0701895000, 0.0769417000, 0.0969815000, 0.1585103000, 0.3670883000, 1.0999232000", \ - "0.0790158000, 0.0811445000, 0.0878815000, 0.1077841000, 0.1692221000, 0.3788083000, 1.1117396000", \ - "0.1014748000, 0.1035378000, 0.1103380000, 0.1303697000, 0.1920434000, 0.4021067000, 1.1465204000", \ - "0.1323746000, 0.1347792000, 0.1420951000, 0.1628644000, 0.2248242000, 0.4347874000, 1.1709790000", \ - "0.1642623000, 0.1673212000, 0.1764079000, 0.1997093000, 0.2623169000, 0.4721299000, 1.2075360000", \ - "0.1760921000, 0.1803185000, 0.1924803000, 0.2220296000, 0.2899175000, 0.4991161000, 1.2307121000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017611700, 0.0062034500, 0.0218507000, 0.0769656000, 0.2710990000, 0.9549050000"); - values("0.0189957000, 0.0201414000, 0.0237213000, 0.0344482000, 0.0693112000, 0.1913538000, 0.6407144000", \ - "0.0189328000, 0.0199887000, 0.0237180000, 0.0345681000, 0.0692889000, 0.1914760000, 0.6409532000", \ - "0.0189702000, 0.0201096000, 0.0236086000, 0.0347341000, 0.0691484000, 0.1913769000, 0.6415924000", \ - "0.0188566000, 0.0199720000, 0.0237530000, 0.0347965000, 0.0691623000, 0.1913519000, 0.6414224000", \ - "0.0229019000, 0.0240010000, 0.0276087000, 0.0376960000, 0.0707743000, 0.1915525000, 0.6406566000", \ - "0.0343393000, 0.0357048000, 0.0404984000, 0.0506358000, 0.0817337000, 0.1967624000, 0.6414947000", \ - "0.0529024000, 0.0542052000, 0.0595578000, 0.0735878000, 0.1040412000, 0.2094993000, 0.6441095000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017611700, 0.0062034500, 0.0218507000, 0.0769656000, 0.2710990000, 0.9549050000"); - values("0.0189956000, 0.0209287000, 0.0275318000, 0.0509672000, 0.1354251000, 0.4388659000, 1.5022044000", \ - "0.0189951000, 0.0209063000, 0.0275563000, 0.0509779000, 0.1354216000, 0.4388667000, 1.5028147000", \ - "0.0188858000, 0.0208364000, 0.0274939000, 0.0508846000, 0.1354411000, 0.4377557000, 1.5013618000", \ - "0.0200985000, 0.0220609000, 0.0286084000, 0.0515271000, 0.1354846000, 0.4387426000, 1.5040995000", \ - "0.0242878000, 0.0262493000, 0.0323006000, 0.0541901000, 0.1364057000, 0.4381334000, 1.5030882000", \ - "0.0338962000, 0.0356078000, 0.0414634000, 0.0613044000, 0.1387830000, 0.4370559000, 1.5044372000", \ - "0.0491830000, 0.0517168000, 0.0587880000, 0.0787191000, 0.1469583000, 0.4390466000, 1.4978067000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__probec_p_8") { - leakage_power () { - value : 0.0076474000; - when : "A"; - } - leakage_power () { - value : 0.0071930000; - when : "!A"; - } - area : 15.014400000; - cell_footprint : "sky130_fd_sc_hd__probe"; - cell_leakage_power : 0.0074202010; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0068760000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0064970000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0072550000; - } - pin ("X") { - direction : "output"; - function : "(A)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017603260, 0.0061974980, 0.0218192400, 0.0768179500, 0.2704493000, 0.9521582000"); - values("0.0634374000, 0.0617386000, 0.0555443000, 0.0335947000, -0.051078400, -0.363421900, -1.467559700", \ - "0.0629690000, 0.0612538000, 0.0550243000, 0.0329447000, -0.051547500, -0.363975000, -1.468032300", \ - "0.0623317000, 0.0604586000, 0.0542703000, 0.0322609000, -0.052446800, -0.364650700, -1.468692700", \ - "0.0617739000, 0.0598936000, 0.0536563000, 0.0312277000, -0.053371600, -0.365221100, -1.469075100", \ - "0.0611810000, 0.0592690000, 0.0526855000, 0.0299773000, -0.054556700, -0.365879600, -1.469503800", \ - "0.0665203000, 0.0643923000, 0.0570864000, 0.0321664000, -0.053507500, -0.364326800, -1.467515000", \ - "0.0743038000, 0.0721085000, 0.0644661000, 0.0386015000, -0.050121100, -0.361191700, -1.463284600"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0017603260, 0.0061974980, 0.0218192400, 0.0768179500, 0.2704493000, 0.9521582000"); - values("0.0476144000, 0.0499127000, 0.0578641000, 0.0848404000, 0.1746167000, 0.4855519000, 1.5709645000", \ - "0.0472654000, 0.0495588000, 0.0575478000, 0.0844608000, 0.1742746000, 0.4852779000, 1.5715530000", \ - "0.0465471000, 0.0488400000, 0.0567293000, 0.0834580000, 0.1734183000, 0.4849768000, 1.5794954000", \ - "0.0455640000, 0.0477477000, 0.0554152000, 0.0818461000, 0.1719253000, 0.4837568000, 1.5741857000", \ - "0.0456239000, 0.0476954000, 0.0549609000, 0.0811519000, 0.1698602000, 0.4825533000, 1.5781935000", \ - "0.0483403000, 0.0504220000, 0.0576189000, 0.0833383000, 0.1704524000, 0.4817652000, 1.5760331000", \ - "0.0525851000, 0.0546115000, 0.0615615000, 0.0865869000, 0.1761390000, 0.4843847000, 1.5745184000"); - } - } - max_capacitance : 0.9521580000; - max_transition : 1.5040770000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017603300, 0.0061975000, 0.0218192000, 0.0768180000, 0.2704490000, 0.9521580000"); - values("0.0942042000, 0.0960177000, 0.1014240000, 0.1157263000, 0.1500981000, 0.2447823000, 0.5624339000", \ - "0.0994468000, 0.1012048000, 0.1066015000, 0.1209511000, 0.1553909000, 0.2500795000, 0.5684906000", \ - "0.1125246000, 0.1142509000, 0.1196411000, 0.1338919000, 0.1684253000, 0.2631732000, 0.5797342000", \ - "0.1443640000, 0.1461613000, 0.1515220000, 0.1656828000, 0.2003530000, 0.2950457000, 0.6121476000", \ - "0.2143684000, 0.2162786000, 0.2220858000, 0.2370737000, 0.2724138000, 0.3676189000, 0.6842659000", \ - "0.3304008000, 0.3328838000, 0.3403942000, 0.3594773000, 0.4005324000, 0.4995078000, 0.8171905000", \ - "0.5174970000, 0.5207534000, 0.5302278000, 0.5551175000, 0.6074435000, 0.7156757000, 1.0333764000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017603300, 0.0061975000, 0.0218192000, 0.0768180000, 0.2704490000, 0.9521580000"); - values("0.0653439000, 0.0674101000, 0.0740063000, 0.0938897000, 0.1552510000, 0.3632559000, 1.0940907000", \ - "0.0698149000, 0.0718824000, 0.0784769000, 0.0983340000, 0.1597374000, 0.3679069000, 1.0985428000", \ - "0.0807709000, 0.0828353000, 0.0894415000, 0.1091205000, 0.1703654000, 0.3794295000, 1.1135501000", \ - "0.1032375000, 0.1053225000, 0.1120587000, 0.1318377000, 0.1933392000, 0.4020118000, 1.1464060000", \ - "0.1345890000, 0.1368917000, 0.1439765000, 0.1645344000, 0.2263341000, 0.4358948000, 1.1706597000", \ - "0.1671966000, 0.1702742000, 0.1788294000, 0.2017326000, 0.2641400000, 0.4737720000, 1.2053614000", \ - "0.1802034000, 0.1842061000, 0.1958933000, 0.2247511000, 0.2922562000, 0.5010486000, 1.2307643000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017603300, 0.0061975000, 0.0218192000, 0.0768180000, 0.2704490000, 0.9521580000"); - values("0.0198471000, 0.0209313000, 0.0245007000, 0.0351507000, 0.0700021000, 0.1921730000, 0.6411099000", \ - "0.0199004000, 0.0208283000, 0.0244517000, 0.0352580000, 0.0700214000, 0.1923177000, 0.6416255000", \ - "0.0197385000, 0.0208729000, 0.0243874000, 0.0354456000, 0.0699904000, 0.1922434000, 0.6421572000", \ - "0.0197353000, 0.0208278000, 0.0244204000, 0.0352618000, 0.0698508000, 0.1922412000, 0.6424374000", \ - "0.0237299000, 0.0247815000, 0.0281189000, 0.0383310000, 0.0713657000, 0.1924181000, 0.6419282000", \ - "0.0353967000, 0.0367335000, 0.0413891000, 0.0512931000, 0.0824393000, 0.1976763000, 0.6426835000", \ - "0.0543778000, 0.0553964000, 0.0605942000, 0.0743314000, 0.1048237000, 0.2103882000, 0.6454478000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0017603300, 0.0061975000, 0.0218192000, 0.0768180000, 0.2704490000, 0.9521580000"); - values("0.0203276000, 0.0222889000, 0.0288060000, 0.0522811000, 0.1367363000, 0.4397571000, 1.5009517000", \ - "0.0202727000, 0.0222907000, 0.0288357000, 0.0522811000, 0.1367262000, 0.4398756000, 1.4970136000", \ - "0.0202322000, 0.0221403000, 0.0288670000, 0.0522361000, 0.1364673000, 0.4379042000, 1.5018451000", \ - "0.0214578000, 0.0233496000, 0.0298924000, 0.0527878000, 0.1367721000, 0.4400098000, 1.5032039000", \ - "0.0257139000, 0.0274525000, 0.0335479000, 0.0554898000, 0.1377086000, 0.4390920000, 1.5027904000", \ - "0.0351108000, 0.0371674000, 0.0426504000, 0.0624961000, 0.1400769000, 0.4380077000, 1.5040770000", \ - "0.0511390000, 0.0533120000, 0.0601438000, 0.0798873000, 0.1481365000, 0.4401725000, 1.4965561000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfbbn_1") { - leakage_power () { - value : 0.0172358000; - when : "SET_B&RESET_B&!CLK_N&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0146049000; - when : "SET_B&RESET_B&!CLK_N&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0149422000; - when : "SET_B&RESET_B&!CLK_N&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0143071000; - when : "SET_B&RESET_B&CLK_N&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0215746000; - when : "!SET_B&RESET_B&!CLK_N&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0123004000; - when : "SET_B&!RESET_B&!CLK_N&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0167092000; - when : "SET_B&RESET_B&!CLK_N&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0170489000; - when : "SET_B&RESET_B&!CLK_N&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0158918000; - when : "SET_B&RESET_B&!CLK_N&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0177373000; - when : "SET_B&RESET_B&CLK_N&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0155880000; - when : "!SET_B&RESET_B&!CLK_N&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0148924000; - when : "SET_B&!RESET_B&!CLK_N&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0149835000; - when : "SET_B&RESET_B&!CLK_N&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0146438000; - when : "SET_B&RESET_B&!CLK_N&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0167733000; - when : "SET_B&RESET_B&!CLK_N&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0142682000; - when : "SET_B&RESET_B&CLK_N&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0220577000; - when : "!SET_B&RESET_B&!CLK_N&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0122615000; - when : "SET_B&!RESET_B&!CLK_N&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0165870000; - when : "SET_B&RESET_B&!CLK_N&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0146468000; - when : "SET_B&RESET_B&CLK_N&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0229399000; - when : "!SET_B&RESET_B&!CLK_N&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0126401000; - when : "SET_B&!RESET_B&!CLK_N&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0152003000; - when : "SET_B&RESET_B&!CLK_N&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0146056000; - when : "SET_B&RESET_B&CLK_N&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0218549000; - when : "!SET_B&RESET_B&!CLK_N&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0125989000; - when : "SET_B&!RESET_B&!CLK_N&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0170077000; - when : "SET_B&RESET_B&!CLK_N&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0172786000; - when : "SET_B&RESET_B&!CLK_N&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0179273000; - when : "SET_B&RESET_B&!CLK_N&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0157018000; - when : "SET_B&RESET_B&CLK_N&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0135525000; - when : "!SET_B&RESET_B&!CLK_N&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0128569000; - when : "SET_B&!RESET_B&!CLK_N&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0166703000; - when : "SET_B&RESET_B&!CLK_N&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0172749000; - when : "SET_B&RESET_B&CLK_N&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0151245000; - when : "!SET_B&RESET_B&!CLK_N&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0144300000; - when : "SET_B&!RESET_B&!CLK_N&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0174649000; - when : "SET_B&RESET_B&!CLK_N&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0170885000; - when : "SET_B&RESET_B&CLK_N&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0149393000; - when : "!SET_B&RESET_B&!CLK_N&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0142436000; - when : "SET_B&!RESET_B&!CLK_N&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0143587000; - when : "!SET_B&RESET_B&CLK_N&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0135134000; - when : "!SET_B&!RESET_B&!CLK_N&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0221050000; - when : "!SET_B&RESET_B&CLK_N&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0143198000; - when : "!SET_B&RESET_B&CLK_N&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0146571000; - when : "!SET_B&RESET_B&CLK_N&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0157466000; - when : "SET_B&RESET_B&CLK_N&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0130924000; - when : "!SET_B&!RESET_B&CLK_N&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0138118000; - when : "!SET_B&!RESET_B&!CLK_N&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0200891000; - when : "!SET_B&RESET_B&CLK_N&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0226642000; - when : "!SET_B&RESET_B&CLK_N&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0160450000; - when : "SET_B&RESET_B&CLK_N&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0133908000; - when : "!SET_B&!RESET_B&CLK_N&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0134745000; - when : "!SET_B&!RESET_B&!CLK_N&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0146984000; - when : "!SET_B&RESET_B&CLK_N&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0157077000; - when : "SET_B&RESET_B&CLK_N&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0130535000; - when : "!SET_B&!RESET_B&CLK_N&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0142690000; - when : "!SET_B&!RESET_B&!CLK_N&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0219799000; - when : "!SET_B&RESET_B&CLK_N&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0140791000; - when : "!SET_B&!RESET_B&CLK_N&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0147315000; - when : "!SET_B&!RESET_B&!CLK_N&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0145416000; - when : "!SET_B&!RESET_B&CLK_N&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0126960000; - when : "!SET_B&!RESET_B&!CLK_N&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0125061000; - when : "!SET_B&!RESET_B&CLK_N&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0138531000; - when : "!SET_B&!RESET_B&!CLK_N&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0160863000; - when : "SET_B&RESET_B&CLK_N&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0134321000; - when : "!SET_B&!RESET_B&CLK_N&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0140827000; - when : "!SET_B&!RESET_B&!CLK_N&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0138928000; - when : "!SET_B&!RESET_B&CLK_N&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0119638000; - when : "SET_B&!RESET_B&CLK_N&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0136625000; - when : "SET_B&!RESET_B&CLK_N&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0119249000; - when : "SET_B&!RESET_B&CLK_N&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0122622000; - when : "SET_B&!RESET_B&CLK_N&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0116271000; - when : "SET_B&!RESET_B&CLK_N&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0132001000; - when : "SET_B&!RESET_B&CLK_N&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0123035000; - when : "SET_B&!RESET_B&CLK_N&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0130138000; - when : "SET_B&!RESET_B&CLK_N&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0163970000; - when : "SET_B&RESET_B&CLK_N&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0168594000; - when : "SET_B&RESET_B&CLK_N&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0148239000; - when : "SET_B&RESET_B&CLK_N&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0162106000; - when : "SET_B&RESET_B&CLK_N&D&SCD&SCE&!Q&Q_N"; - } - area : 38.787200000; - cell_footprint : "sky130_fd_sc_hd__sdfbbn"; - cell_leakage_power : 0.0153947700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clear_preset_var1 : "H"; - clear_preset_var2 : "L"; - clocked_on : "!CLK_N"; - next_state : "(D&!SCE) | (SCD&SCE)"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK_N") { - capacitance : 0.0017800000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016980000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0352985000, 0.0351707000, 0.0348760000, 0.0349577000, 0.0351461000, 0.0355805000, 0.0365816000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0171088000, 0.0170354000, 0.0168662000, 0.0168729000, 0.0168886000, 0.0169248000, 0.0170082000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018610000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2763402000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK_N"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2554685000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0015380000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014770000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0077526000, 0.0076310000, 0.0073507000, 0.0073814000, 0.0074524000, 0.0076161000, 0.0079935000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0024391000, 0.0024142000, 0.0023569000, 0.0023653000, 0.0023851000, 0.0024307000, 0.0025357000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016000000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3645488000, 0.5653464000, 0.9539369000", \ - "0.2321107000, 0.4316875000, 0.8153952000", \ - "0.0803366000, 0.2799134000, 0.6489726000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1265117000, 0.2552878000, 0.3594544000", \ - "-0.088934200, 0.0410625000, 0.1452292000", \ - "-0.332261100, -0.202264300, -0.095656300"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.337355500, -0.536932300, -0.920640000", \ - "-0.203696600, -0.405714800, -0.778436200", \ - "-0.051922500, -0.249058000, -0.613234400"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0105449000, -0.121893200, -0.224839200", \ - "0.2076803000, 0.0813457000, -0.021600300", \ - "0.4400208000, 0.3197897000, 0.2241680000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.002198500, 0.0037534000, 0.0149741000, 0.0280046000, 0.0262565000, -0.022179900, -0.184126900", \ - "-0.002162100, 0.0037859000, 0.0149829000, 0.0279875000, 0.0262240000, -0.022237900, -0.184193200", \ - "-0.002067700, 0.0038642000, 0.0150567000, 0.0280515000, 0.0262139000, -0.022270500, -0.184250500", \ - "-0.002099800, 0.0038251000, 0.0150034000, 0.0279769000, 0.0261192000, -0.022378500, -0.184336800", \ - "-0.002177900, 0.0037263000, 0.0148614000, 0.0277705000, 0.0258456000, -0.022683000, -0.184708800", \ - "-0.002318700, 0.0035910000, 0.0147253000, 0.0276510000, 0.0257518000, -0.022812300, -0.184831400", \ - "-0.002595200, 0.0033983000, 0.0147082000, 0.0278629000, 0.0258811000, -0.022954000, -0.184924500"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("0.0058975000, 0.0106990000, 0.0195756000, 0.0290990000, 0.0238519000, -0.026856600, -0.189977200", \ - "0.0059261000, 0.0107291000, 0.0195943000, 0.0291190000, 0.0238438000, -0.026824300, -0.189958600", \ - "0.0059964000, 0.0107843000, 0.0196536000, 0.0291607000, 0.0238544000, -0.026829800, -0.189929400", \ - "0.0059691000, 0.0107229000, 0.0195125000, 0.0288971000, 0.0234970000, -0.027329800, -0.190454600", \ - "0.0059451000, 0.0106509000, 0.0193564000, 0.0286146000, 0.0230464000, -0.027891400, -0.191095200", \ - "0.0059325000, 0.0106100000, 0.0192495000, 0.0284130000, 0.0227373000, -0.028427000, -0.191648800", \ - "0.0060279000, 0.0108054000, 0.0196563000, 0.0291209000, 0.0234945000, -0.028478000, -0.191723500"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-2.38500e-05, 0.0037460000, 0.0121705000, 0.0299111000, 0.0626484000, 0.1315236000, 0.3016503000", \ - "-2.81500e-05, 0.0037192000, 0.0121021000, 0.0298094000, 0.0623686000, 0.1317485000, 0.3029338000", \ - "-3.17500e-05, 0.0036949000, 0.0120390000, 0.0296431000, 0.0621678000, 0.1311216000, 0.3018503000", \ - "-4.85500e-05, 0.0036639000, 0.0119808000, 0.0295362000, 0.0620187000, 0.1306450000, 0.3010041000", \ - "-8.30000e-05, 0.0036026000, 0.0118631000, 0.0293451000, 0.0617420000, 0.1303252000, 0.3006715000", \ - "-0.000144200, 0.0035069000, 0.0117038000, 0.0290739000, 0.0613879000, 0.1302169000, 0.3003291000", \ - "-0.000225800, 0.0034349000, 0.0116261000, 0.0292045000, 0.0618841000, 0.1305960000, 0.3021346000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.013849200, -0.008560200, 0.0013205000, 0.0123290000, 0.0082481000, -0.042467900, -0.205210700", \ - "-0.013786400, -0.008506100, 0.0013674000, 0.0123644000, 0.0082888000, -0.042443400, -0.205208600", \ - "-0.013655000, -0.008386100, 0.0014485000, 0.0124050000, 0.0082636000, -0.042495300, -0.205270700", \ - "-0.013706800, -0.008453300, 0.0013475000, 0.0122435000, 0.0080546000, -0.042715300, -0.205504600", \ - "-0.013810500, -0.008574000, 0.0011991000, 0.0120582000, 0.0078425000, -0.042961400, -0.205764600", \ - "-0.014024500, -0.008793100, 0.0009638000, 0.0118285000, 0.0076084000, -0.043221000, -0.206021400", \ - "-0.014474000, -0.009156800, 0.0007641000, 0.0118691000, 0.0079140000, -0.042713400, -0.205426400"); - } - related_pin : "CLK_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.015326800, -0.011828900, -0.003889800, 0.0124071000, 0.0435541000, 0.1119006000, 0.2833293000", \ - "-0.015271300, -0.011782800, -0.003863300, 0.0124251000, 0.0434770000, 0.1113227000, 0.2830338000", \ - "-0.015135300, -0.011667600, -0.003791200, 0.0124476000, 0.0435020000, 0.1118844000, 0.2810133000", \ - "-0.015186800, -0.011733800, -0.003885500, 0.0123234000, 0.0433342000, 0.1117192000, 0.2826652000", \ - "-0.015295500, -0.011860300, -0.004057500, 0.0120711000, 0.0430492000, 0.1114190000, 0.2810114000", \ - "-0.015510200, -0.012069300, -0.004253400, 0.0118812000, 0.0429003000, 0.1112798000, 0.2808841000", \ - "-0.015915200, -0.012341500, -0.004318300, 0.0117309000, 0.0431955000, 0.1115013000, 0.2813659000"); - } - } - max_capacitance : 0.1684670000; - max_transition : 1.5046930000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.5271879000, 0.5325163000, 0.5436975000, 0.5669758000, 0.6193985000, 0.7530651000, 1.1059061000", \ - "0.5321922000, 0.5375186000, 0.5487018000, 0.5719820000, 0.6243989000, 0.7581668000, 1.1114801000", \ - "0.5447750000, 0.5500922000, 0.5612845000, 0.5845679000, 0.6370309000, 0.7707437000, 1.1234895000", \ - "0.5756007000, 0.5808761000, 0.5920943000, 0.6153251000, 0.6678277000, 0.8015105000, 1.1539816000", \ - "0.6465475000, 0.6518199000, 0.6630405000, 0.6862705000, 0.7387712000, 0.8723186000, 1.2249257000", \ - "0.7761560000, 0.7814284000, 0.7926571000, 0.8159200000, 0.8682440000, 1.0019224000, 1.3543053000", \ - "0.9773080000, 0.9825852000, 0.9938341000, 1.0170612000, 1.0695298000, 1.2031574000, 1.5562360000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.4235908000, 0.4303007000, 0.4454420000, 0.4812081000, 0.5742897000, 0.8187677000, 1.4644064000", \ - "0.4286662000, 0.4353461000, 0.4504404000, 0.4864014000, 0.5793037000, 0.8235565000, 1.4722401000", \ - "0.4412278000, 0.4479510000, 0.4630744000, 0.4988842000, 0.5921220000, 0.8368643000, 1.4852174000", \ - "0.4722497000, 0.4788488000, 0.4940149000, 0.5298627000, 0.6232085000, 0.8678444000, 1.5114003000", \ - "0.5428700000, 0.5495233000, 0.5646549000, 0.6004853000, 0.6938104000, 0.9384565000, 1.5834956000", \ - "0.6692115000, 0.6759086000, 0.6910800000, 0.7269587000, 0.8202475000, 1.0649750000, 1.7099312000", \ - "0.8641887000, 0.8708219000, 0.8858400000, 0.9218897000, 1.0149989000, 1.2589693000, 1.9048541000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0178546000, 0.0216661000, 0.0311660000, 0.0544873000, 0.1185079000, 0.2937173000, 0.7617093000", \ - "0.0176061000, 0.0216885000, 0.0311673000, 0.0544927000, 0.1182158000, 0.2937795000, 0.7640682000", \ - "0.0176528000, 0.0217984000, 0.0311894000, 0.0545059000, 0.1181383000, 0.2936824000, 0.7617804000", \ - "0.0176188000, 0.0217079000, 0.0312586000, 0.0546161000, 0.1180002000, 0.2936952000, 0.7659091000", \ - "0.0176137000, 0.0216634000, 0.0312416000, 0.0545019000, 0.1181276000, 0.2939358000, 0.7656695000", \ - "0.0175430000, 0.0217129000, 0.0312011000, 0.0545378000, 0.1182536000, 0.2938302000, 0.7650140000", \ - "0.0176337000, 0.0216594000, 0.0309653000, 0.0544278000, 0.1183953000, 0.2938934000, 0.7685171000"); - } - related_pin : "CLK_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0232978000, 0.0295765000, 0.0465064000, 0.0946410000, 0.2265447000, 0.5754117000, 1.5026856000", \ - "0.0234848000, 0.0296225000, 0.0464747000, 0.0944869000, 0.2264113000, 0.5750624000, 1.5045718000", \ - "0.0233643000, 0.0295607000, 0.0464747000, 0.0945168000, 0.2263061000, 0.5753233000, 1.5028371000", \ - "0.0235687000, 0.0297767000, 0.0466145000, 0.0944561000, 0.2259604000, 0.5746931000, 1.5046934000", \ - "0.0235661000, 0.0295917000, 0.0466156000, 0.0945248000, 0.2261588000, 0.5747037000, 1.5006447000", \ - "0.0236305000, 0.0297841000, 0.0466114000, 0.0943436000, 0.2264104000, 0.5749078000, 1.5007792000", \ - "0.0234834000, 0.0296178000, 0.0466203000, 0.0946091000, 0.2266867000, 0.5748321000, 1.5022009000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.2978866000, 0.3032184000, 0.3145875000, 0.3380233000, 0.3905632000, 0.5243886000, 0.8767521000", \ - "0.3029783000, 0.3083079000, 0.3196848000, 0.3431185000, 0.3956774000, 0.5294780000, 0.8818143000", \ - "0.3160603000, 0.3214318000, 0.3327819000, 0.3562264000, 0.4087826000, 0.5424808000, 0.8948634000", \ - "0.3467882000, 0.3521592000, 0.3635149000, 0.3869538000, 0.4395163000, 0.5732105000, 0.9256036000", \ - "0.4169701000, 0.4223417000, 0.4336925000, 0.4571388000, 0.5096978000, 0.6434018000, 0.9957870000", \ - "0.5433883000, 0.5487142000, 0.5601166000, 0.5835254000, 0.6361917000, 0.7699676000, 1.1222163000", \ - "0.7431421000, 0.7485184000, 0.7599286000, 0.7834417000, 0.8360832000, 0.9700245000, 1.3221513000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0180065000, 0.0221851000, 0.0315205000, 0.0549911000, 0.1184127000, 0.2935674000, 0.7632898000", \ - "0.0179834000, 0.0222108000, 0.0315259000, 0.0549930000, 0.1182627000, 0.2936079000, 0.7632289000", \ - "0.0181622000, 0.0219577000, 0.0316984000, 0.0549649000, 0.1184239000, 0.2936101000, 0.7626175000", \ - "0.0181545000, 0.0219614000, 0.0317114000, 0.0549256000, 0.1184201000, 0.2936374000, 0.7618690000", \ - "0.0181680000, 0.0219578000, 0.0316970000, 0.0549741000, 0.1184334000, 0.2936175000, 0.7639508000", \ - "0.0181473000, 0.0219826000, 0.0316739000, 0.0551408000, 0.1182856000, 0.2937706000, 0.7630832000", \ - "0.0182479000, 0.0222445000, 0.0319002000, 0.0551875000, 0.1185495000, 0.2938148000, 0.7620821000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.2008971000, 0.2062183000, 0.2175801000, 0.2409528000, 0.2936371000, 0.4273710000, 0.7797606000", \ - "0.2056842000, 0.2110098000, 0.2223933000, 0.2458121000, 0.2983324000, 0.4322345000, 0.7845532000", \ - "0.2156088000, 0.2209357000, 0.2323121000, 0.2556763000, 0.3082510000, 0.4421422000, 0.7946762000", \ - "0.2369373000, 0.2422815000, 0.2535799000, 0.2770516000, 0.3296282000, 0.4635398000, 0.8159630000", \ - "0.2838564000, 0.2892594000, 0.3005884000, 0.3240041000, 0.3766918000, 0.5104800000, 0.8628802000", \ - "0.3620937000, 0.3676259000, 0.3792121000, 0.4029241000, 0.4557809000, 0.5895894000, 0.9420499000", \ - "0.4603350000, 0.4663055000, 0.4783611000, 0.5028545000, 0.5564444000, 0.6901255000, 1.0423862000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3276546000, 0.3350237000, 0.3508033000, 0.3871102000, 0.4802264000, 0.7247404000, 1.3700544000", \ - "0.3327727000, 0.3401441000, 0.3559149000, 0.3922503000, 0.4853675000, 0.7299890000, 1.3756537000", \ - "0.3457020000, 0.3530688000, 0.3688942000, 0.4052462000, 0.4983043000, 0.7427454000, 1.3876793000", \ - "0.3774573000, 0.3848171000, 0.4006462000, 0.4369908000, 0.5300570000, 0.7745041000, 1.4194902000", \ - "0.4535958000, 0.4609656000, 0.4767878000, 0.5131386000, 0.6061973000, 0.8506365000, 1.4955793000", \ - "0.6319373000, 0.6393049000, 0.6550989000, 0.6914371000, 0.7845074000, 1.0290599000, 1.6730474000", \ - "0.9833981000, 0.9915960000, 1.0085460000, 1.0454266000, 1.1383583000, 1.3830861000, 2.0274944000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0179513000, 0.0222611000, 0.0316068000, 0.0549379000, 0.1180480000, 0.2938566000, 0.7631972000", \ - "0.0179637000, 0.0222272000, 0.0315288000, 0.0550420000, 0.1181498000, 0.2936155000, 0.7624963000", \ - "0.0180001000, 0.0222417000, 0.0315453000, 0.0549772000, 0.1181535000, 0.2936585000, 0.7631875000", \ - "0.0180395000, 0.0221524000, 0.0314967000, 0.0550079000, 0.1182615000, 0.2937229000, 0.7636483000", \ - "0.0183453000, 0.0223186000, 0.0317214000, 0.0550778000, 0.1181630000, 0.2937464000, 0.7631251000", \ - "0.0188829000, 0.0228684000, 0.0324639000, 0.0558038000, 0.1189934000, 0.2938345000, 0.7634449000", \ - "0.0212837000, 0.0252278000, 0.0350584000, 0.0573914000, 0.1198547000, 0.2940320000, 0.7596007000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0264957000, 0.0325905000, 0.0486926000, 0.0955319000, 0.2264795000, 0.5744523000, 1.4998791000", \ - "0.0264818000, 0.0325764000, 0.0486082000, 0.0954426000, 0.2265792000, 0.5751422000, 1.5012643000", \ - "0.0265474000, 0.0325487000, 0.0486207000, 0.0955235000, 0.2265272000, 0.5751812000, 1.4988311000", \ - "0.0265216000, 0.0325185000, 0.0486181000, 0.0954852000, 0.2265340000, 0.5751048000, 1.4964784000", \ - "0.0265718000, 0.0325629000, 0.0486251000, 0.0955246000, 0.2265282000, 0.5751745000, 1.4960945000", \ - "0.0265926000, 0.0326350000, 0.0486670000, 0.0954848000, 0.2262206000, 0.5758743000, 1.5004143000", \ - "0.0315405000, 0.0370296000, 0.0520560000, 0.0966625000, 0.2266153000, 0.5747501000, 1.4992759000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("-0.013700500, -0.008366300, 0.0014268000, 0.0123958000, 0.0094852000, -0.035799700, -0.182198200", \ - "-0.013639600, -0.008306800, 0.0014759000, 0.0124207000, 0.0095453000, -0.035756300, -0.182166400", \ - "-0.013501700, -0.008184600, 0.0015672000, 0.0124929000, 0.0095252000, -0.035759000, -0.182167700", \ - "-0.013557600, -0.008263100, 0.0014573000, 0.0123177000, 0.0092990000, -0.036079900, -0.182517300", \ - "-0.013667100, -0.008396200, 0.0012831000, 0.0120785000, 0.0090005000, -0.036415200, -0.182865700", \ - "-0.013885800, -0.008616400, 0.0010529000, 0.0118212000, 0.0087437000, -0.036662300, -0.183125100", \ - "-0.014360700, -0.009057300, 0.0006958000, 0.0116031000, 0.0086405000, -0.036459500, -0.182900100"); - } - related_pin : "CLK_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("-0.015158400, -0.011522300, -0.003505100, 0.0122976000, 0.0419219000, 0.1050987000, 0.2589431000", \ - "-0.015103000, -0.011478700, -0.003483000, 0.0122815000, 0.0417945000, 0.1049681000, 0.2602484000", \ - "-0.014959600, -0.011339500, -0.003363500, 0.0123957000, 0.0419601000, 0.1050958000, 0.2606984000", \ - "-0.015013200, -0.011413200, -0.003469400, 0.0122411000, 0.0416835000, 0.1047414000, 0.2599440000", \ - "-0.015120600, -0.011537800, -0.003627600, 0.0120282000, 0.0414993000, 0.1043150000, 0.2585738000", \ - "-0.015334400, -0.011747800, -0.003840500, 0.0118158000, 0.0412609000, 0.1043085000, 0.2598836000", \ - "-0.015795400, -0.012151400, -0.004114900, 0.0117427000, 0.0415114000, 0.1045514000, 0.2600109000"); - } - } - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("-0.003720000, 0.0002704000, 0.0089500000, 0.0279402000, 0.0607214000, 0.1251723000, 0.2799313000", \ - "-0.003680500, 0.0002933000, 0.0089604000, 0.0279178000, 0.0606435000, 0.1253091000, 0.2796396000", \ - "-0.003586400, 0.0003807000, 0.0090208000, 0.0279331000, 0.0606416000, 0.1250917000, 0.2796304000", \ - "-0.003615500, 0.0003468000, 0.0089920000, 0.0278884000, 0.0605570000, 0.1250149000, 0.2798141000", \ - "-0.003694800, 0.0002473000, 0.0088400000, 0.0276805000, 0.0602793000, 0.1245504000, 0.2801464000", \ - "-0.003846100, 8.940000e-05, 0.0086618000, 0.0274681000, 0.0599808000, 0.1245764000, 0.2789771000", \ - "-0.003874400, 0.0004970000, 0.0099627000, 0.0278195000, 0.0599029000, 0.1242938000, 0.2790424000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0016800000, 0.0073741000, 0.0178923000, 0.0299155000, 0.0283001000, -0.015833900, -0.161657900", \ - "0.0016728000, 0.0073502000, 0.0178359000, 0.0297869000, 0.0281657000, -0.016039100, -0.161854400", \ - "0.0016707000, 0.0073205000, 0.0177576000, 0.0296525000, 0.0279217000, -0.016301000, -0.162146900", \ - "0.0016528000, 0.0072927000, 0.0176982000, 0.0295530000, 0.0277690000, -0.016464900, -0.162306900", \ - "0.0016189000, 0.0072370000, 0.0175884000, 0.0293583000, 0.0274997000, -0.016786300, -0.162624900", \ - "0.0015636000, 0.0071503000, 0.0174443000, 0.0290613000, 0.0270925000, -0.017225000, -0.163066200", \ - "0.0015330000, 0.0071825000, 0.0175424000, 0.0291889000, 0.0274545000, -0.016853000, -0.162624300"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0043342000, 0.0071465000, 0.0134777000, 0.0289925000, 0.0582714000, 0.1203860000, 0.2733447000", \ - "0.0043672000, 0.0071751000, 0.0135009000, 0.0290171000, 0.0582681000, 0.1206330000, 0.2735521000", \ - "0.0044366000, 0.0072355000, 0.0135504000, 0.0290381000, 0.0583151000, 0.1203438000, 0.2735045000", \ - "0.0044145000, 0.0071769000, 0.0134291000, 0.0287988000, 0.0579274000, 0.1199752000, 0.2732547000", \ - "0.0043984000, 0.0071423000, 0.0133129000, 0.0285235000, 0.0574444000, 0.1194048000, 0.2731651000", \ - "0.0044225000, 0.0071782000, 0.0133972000, 0.0283537000, 0.0570046000, 0.1188446000, 0.2719380000", \ - "0.0047241000, 0.0078712000, 0.0149114000, 0.0291142000, 0.0569928000, 0.1188543000, 0.2715301000"); - } - } - max_capacitance : 0.1538190000; - max_transition : 1.5002650000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.3517387000, 0.3609024000, 0.3797161000, 0.4154216000, 0.4828508000, 0.6175260000, 0.9364789000", \ - "0.3565532000, 0.3656962000, 0.3844292000, 0.4202846000, 0.4877092000, 0.6223922000, 0.9417621000", \ - "0.3693676000, 0.3785480000, 0.3973271000, 0.4330688000, 0.5005016000, 0.6351786000, 0.9544885000", \ - "0.4001593000, 0.4092690000, 0.4281023000, 0.4638173000, 0.5312444000, 0.6659410000, 0.9851043000", \ - "0.4708477000, 0.4799824000, 0.4987982000, 0.5345201000, 0.6019352000, 0.7366459000, 1.0557714000", \ - "0.5974803000, 0.6066481000, 0.6254894000, 0.6612018000, 0.7286421000, 0.8633609000, 1.1826538000", \ - "0.7915169000, 0.8006567000, 0.8194389000, 0.8552360000, 0.9226961000, 1.0574631000, 1.3764446000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.4693792000, 0.4784995000, 0.4982610000, 0.5408797000, 0.6402691000, 0.8873887000, 1.5269979000", \ - "0.4743018000, 0.4834222000, 0.5031843000, 0.5458029000, 0.6451923000, 0.8923198000, 1.5333260000", \ - "0.4871127000, 0.4962133000, 0.5159759000, 0.5585575000, 0.6579548000, 0.9051698000, 1.5442230000", \ - "0.5177545000, 0.5268702000, 0.5466360000, 0.5892150000, 0.6886246000, 0.9358727000, 1.5776457000", \ - "0.5886954000, 0.5978166000, 0.6175793000, 0.6601743000, 0.7595753000, 1.0064085000, 1.6456493000", \ - "0.7184535000, 0.7275222000, 0.7472881000, 0.7898825000, 0.8892672000, 1.1360067000, 1.7760126000", \ - "0.9193072000, 0.9284281000, 0.9481975000, 0.9907966000, 1.0904004000, 1.3368975000, 1.9781357000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0356646000, 0.0419868000, 0.0550999000, 0.0825495000, 0.1418751000, 0.2887193000, 0.7012038000", \ - "0.0356351000, 0.0416602000, 0.0546866000, 0.0824044000, 0.1420271000, 0.2888356000, 0.7004691000", \ - "0.0356294000, 0.0418478000, 0.0550243000, 0.0826034000, 0.1419858000, 0.2887888000, 0.6992079000", \ - "0.0356586000, 0.0422232000, 0.0551570000, 0.0825824000, 0.1420583000, 0.2886995000, 0.7005067000", \ - "0.0356738000, 0.0417599000, 0.0551530000, 0.0825582000, 0.1421377000, 0.2888600000, 0.6994154000", \ - "0.0359571000, 0.0416376000, 0.0551346000, 0.0826079000, 0.1421146000, 0.2892685000, 0.7000548000", \ - "0.0357416000, 0.0418065000, 0.0548335000, 0.0823637000, 0.1421953000, 0.2895557000, 0.7017417000"); - } - related_pin : "CLK_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0332758000, 0.0411358000, 0.0599538000, 0.1095016000, 0.2392312000, 0.5850109000, 1.4937289000", \ - "0.0332810000, 0.0411412000, 0.0599619000, 0.1095036000, 0.2392324000, 0.5852703000, 1.4993435000", \ - "0.0331420000, 0.0409414000, 0.0598470000, 0.1094234000, 0.2399717000, 0.5854568000, 1.5002650000", \ - "0.0332053000, 0.0410020000, 0.0599646000, 0.1094089000, 0.2394846000, 0.5858367000, 1.4996957000", \ - "0.0332822000, 0.0411423000, 0.0599617000, 0.1094757000, 0.2391910000, 0.5857937000, 1.4986681000", \ - "0.0331642000, 0.0409440000, 0.0599625000, 0.1094869000, 0.2391828000, 0.5856249000, 1.4973737000", \ - "0.0332195000, 0.0410054000, 0.0599683000, 0.1094224000, 0.2392251000, 0.5846107000, 1.4979306000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.2392752000, 0.2488659000, 0.2696775000, 0.3141142000, 0.4173772000, 0.6671929000, 1.3059303000", \ - "0.2444043000, 0.2540175000, 0.2747587000, 0.3192300000, 0.4225064000, 0.6724101000, 1.3110540000", \ - "0.2568780000, 0.2664900000, 0.2872545000, 0.3317359000, 0.4349948000, 0.6849697000, 1.3223557000", \ - "0.2883984000, 0.2980181000, 0.3187449000, 0.3632168000, 0.4664942000, 0.7163704000, 1.3550177000", \ - "0.3585579000, 0.3681511000, 0.3889206000, 0.4333750000, 0.5366584000, 0.7866482000, 1.4248873000", \ - "0.4856803000, 0.4953989000, 0.5163389000, 0.5610605000, 0.6645313000, 0.9142569000, 1.5523287000", \ - "0.6834838000, 0.6935297000, 0.7149458000, 0.7603801000, 0.8646765000, 1.1145549000, 1.7531450000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0351438000, 0.0435476000, 0.0631308000, 0.1144016000, 0.2475466000, 0.5882901000, 1.4910950000", \ - "0.0352245000, 0.0434274000, 0.0631592000, 0.1142970000, 0.2475100000, 0.5881651000, 1.4907786000", \ - "0.0352035000, 0.0434793000, 0.0631709000, 0.1143181000, 0.2475137000, 0.5882686000, 1.4936642000", \ - "0.0352153000, 0.0433691000, 0.0632042000, 0.1142846000, 0.2475010000, 0.5881358000, 1.4957264000", \ - "0.0351227000, 0.0434935000, 0.0631557000, 0.1143857000, 0.2472583000, 0.5874773000, 1.4953996000", \ - "0.0359138000, 0.0441151000, 0.0637837000, 0.1148966000, 0.2474199000, 0.5877983000, 1.4950114000", \ - "0.0375712000, 0.0459959000, 0.0655707000, 0.1166164000, 0.2487732000, 0.5885437000, 1.4944018000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.2442197000, 0.2556008000, 0.2790914000, 0.3239057000, 0.4051383000, 0.5505052000, 0.8737812000", \ - "0.2493081000, 0.2607178000, 0.2841929000, 0.3290410000, 0.4102731000, 0.5555876000, 0.8790830000", \ - "0.2622539000, 0.2735130000, 0.2971370000, 0.3419746000, 0.4231468000, 0.5684770000, 0.8916871000", \ - "0.2940390000, 0.3053038000, 0.3288811000, 0.3737065000, 0.4548248000, 0.6001994000, 0.9236826000", \ - "0.3702806000, 0.3814327000, 0.4050123000, 0.4497561000, 0.5309688000, 0.6763847000, 0.9996447000", \ - "0.5477085000, 0.5591863000, 0.5829654000, 0.6276858000, 0.7087805000, 0.8542407000, 1.1777198000", \ - "0.8763612000, 0.8924368000, 0.9250816000, 0.9814284000, 1.0730963000, 1.2252421000, 1.5504800000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.1421273000, 0.1517276000, 0.1724433000, 0.2168691000, 0.3198793000, 0.5695786000, 1.2074121000", \ - "0.1469168000, 0.1565021000, 0.1772446000, 0.2216627000, 0.3246980000, 0.5744019000, 1.2127337000", \ - "0.1568298000, 0.1664145000, 0.1871555000, 0.2315685000, 0.3346338000, 0.5842205000, 1.2215869000", \ - "0.1781894000, 0.1877569000, 0.2085263000, 0.2528776000, 0.3559862000, 0.6057934000, 1.2433201000", \ - "0.2243058000, 0.2341651000, 0.2551580000, 0.2997614000, 0.4030563000, 0.6527970000, 1.2901687000", \ - "0.2972803000, 0.3086364000, 0.3321053000, 0.3795685000, 0.4849912000, 0.7344660000, 1.3720337000", \ - "0.3817668000, 0.3967263000, 0.4266871000, 0.4819364000, 0.5928963000, 0.8428395000, 1.4812693000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0470404000, 0.0542523000, 0.0703042000, 0.1043262000, 0.1654835000, 0.3044873000, 0.7014106000", \ - "0.0470763000, 0.0542748000, 0.0705865000, 0.1042349000, 0.1655835000, 0.3049874000, 0.7065205000", \ - "0.0467073000, 0.0543484000, 0.0706058000, 0.1043587000, 0.1652665000, 0.3041502000, 0.7040680000", \ - "0.0467280000, 0.0543740000, 0.0705657000, 0.1043249000, 0.1651999000, 0.3047486000, 0.7064094000", \ - "0.0466521000, 0.0542987000, 0.0705592000, 0.1043785000, 0.1654726000, 0.3044541000, 0.7040866000", \ - "0.0493647000, 0.0566706000, 0.0721099000, 0.1052075000, 0.1658738000, 0.3047020000, 0.7042166000", \ - "0.0769761000, 0.0864686000, 0.1039296000, 0.1343518000, 0.1862138000, 0.3154933000, 0.7067343000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0350339000, 0.0432310000, 0.0631155000, 0.1141775000, 0.2475390000, 0.5884140000, 1.4959279000", \ - "0.0350213000, 0.0433212000, 0.0630252000, 0.1142405000, 0.2475479000, 0.5877810000, 1.4944616000", \ - "0.0350210000, 0.0433235000, 0.0630288000, 0.1142486000, 0.2475212000, 0.5881811000, 1.4954084000", \ - "0.0349510000, 0.0433762000, 0.0629993000, 0.1142851000, 0.2473151000, 0.5879772000, 1.4951971000", \ - "0.0363145000, 0.0444027000, 0.0641817000, 0.1147165000, 0.2468030000, 0.5880192000, 1.4936923000", \ - "0.0434716000, 0.0518256000, 0.0714235000, 0.1210168000, 0.2495230000, 0.5879566000, 1.4957613000", \ - "0.0596258000, 0.0696018000, 0.0899070000, 0.1372103000, 0.2578286000, 0.5891669000, 1.4944395000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0016200000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0129364000, 0.0128464000, 0.0126390000, 0.0126936000, 0.0128198000, 0.0131108000, 0.0137813000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0081153000, 0.0080591000, 0.0079297000, 0.0079572000, 0.0080208000, 0.0081674000, 0.0085054000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017060000; - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0691387000, 0.1942526000, 0.2898743000", \ - "-0.152410800, -0.026076200, 0.0695456000", \ - "-0.409165400, -0.282830700, -0.184767600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0874492000, -0.036444000, -0.123520800", \ - "0.2882467000, 0.1667949000, 0.0784974000", \ - "0.5376771000, 0.4162253000, 0.3279277000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2071340000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "SET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.106642600, -0.063315800, -0.076336600", \ - "-0.230535800, -0.168898400, -0.178257200", \ - "-0.334702500, -0.255975300, -0.250685500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "SET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1374980000, 0.1027161000, 0.1853171000", \ - "0.2699362000, 0.2156230000, 0.2493958000", \ - "0.3997376000, 0.3258932000, 0.3279277000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCD") { - capacitance : 0.0017630000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016900000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0029883000, 0.0029843000, 0.0029749000, 0.0029803000, 0.0029931000, 0.0030226000, 0.0030906000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002854400, -0.002850600, -0.002841700, -0.002852200, -0.002876000, -0.002931000, -0.003057600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018370000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2632305000, 0.4396139000, 0.7061341000", \ - "0.1344544000, 0.3083965000, 0.5736960000", \ - "-0.013657600, 0.1590638000, 0.4182598000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1594707000, 0.2943503000, 0.4436829000", \ - "-0.054754600, 0.0789043000, 0.2294577000", \ - "-0.298081400, -0.163201800, -0.012648400"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.232375000, -0.406317000, -0.658188800", \ - "-0.106040400, -0.276320300, -0.525750700", \ - "0.0457337000, -0.124546200, -0.367873000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.018752000, -0.154852200, -0.304184900", \ - "0.1759421000, 0.0459453000, -0.102166700", \ - "0.4095033000, 0.2807272000, 0.1411602000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0026290000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0025030000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0094972000, 0.0094199000, 0.0092417000, 0.0092853000, 0.0093861000, 0.0096184000, 0.0101539000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0012894000, 0.0012520000, 0.0011660000, 0.0011927000, 0.0012547000, 0.0013974000, 0.0017264000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0027550000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3706523000, 0.5494772000, 0.8758119000", \ - "0.2382142000, 0.4170391000, 0.7397116000", \ - "0.0888815000, 0.2640443000, 0.5769512000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2705547000, 0.3517233000, 0.3765443000", \ - "0.1417786000, 0.2229473000, 0.2489889000", \ - "-0.005112600, 0.0760560000, 0.1008770000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.079787100, -0.273260400, -0.511704400", \ - "0.1173483000, -0.076125000, -0.313348300", \ - "0.3521302000, 0.1574362000, -0.077345700"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.000441400, -0.145086600, -0.273667300", \ - "0.1954733000, 0.0593730000, -0.069207700", \ - "0.4302552000, 0.2990378000, 0.1777813000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SET_B") { - capacitance : 0.0034340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033470000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0050741000, 0.0050623000, 0.0050349000, 0.0050485000, 0.0050800000, 0.0051527000, 0.0053202000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004872000, -0.004936100, -0.005084000, -0.005087500, -0.005095700, -0.005114600, -0.005158100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035210000; - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.066359400, 0.0257956000, 0.1067689000", \ - "-0.254949900, -0.166457000, -0.113559900", \ - "-0.468979800, -0.378045600, -0.344679700"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0728008000, -0.016912800, -0.050278600", \ - "0.2626120000, 0.1728984000, 0.1407533000", \ - "0.4742005000, 0.3832663000, 0.3560039000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "RESET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1374980000, 0.2699362000, 0.3997376000", \ - "0.1027161000, 0.2156230000, 0.3258932000", \ - "0.1853171000, 0.2493958000, 0.3279277000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2543700000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "RESET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.106642600, -0.230535800, -0.334702500", \ - "-0.063315800, -0.168898400, -0.255975300", \ - "-0.076336600, -0.178257200, -0.250685500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clear_preset_var1 : "H"; - clear_preset_var2 : "L"; - clocked_on : "!CLK_N"; - next_state : "D"; - preset : "!SET_B"; - } - pin ("CLK_N") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - signal_type : "test_scan_out_inverted"; - } - pin ("RESET_B") { - direction : "input"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - pin ("SET_B") { - direction : "input"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfbbn_2") { - leakage_power () { - value : 0.0170289000; - when : "SET_B&RESET_B&!CLK_N&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0142610000; - when : "SET_B&RESET_B&!CLK_N&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0147241000; - when : "SET_B&RESET_B&!CLK_N&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0141111000; - when : "SET_B&RESET_B&CLK_N&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0137386000; - when : "!SET_B&RESET_B&!CLK_N&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0125244000; - when : "SET_B&!RESET_B&!CLK_N&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0161519000; - when : "SET_B&RESET_B&!CLK_N&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0163526000; - when : "SET_B&RESET_B&!CLK_N&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0153346000; - when : "SET_B&RESET_B&!CLK_N&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0171807000; - when : "SET_B&RESET_B&CLK_N&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0149568000; - when : "!SET_B&RESET_B&!CLK_N&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0151093000; - when : "SET_B&!RESET_B&!CLK_N&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0146447000; - when : "SET_B&RESET_B&!CLK_N&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0144440000; - when : "SET_B&RESET_B&!CLK_N&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0164174000; - when : "SET_B&RESET_B&!CLK_N&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0139281000; - when : "SET_B&RESET_B&CLK_N&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0135556000; - when : "!SET_B&RESET_B&!CLK_N&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0123415000; - when : "SET_B&!RESET_B&!CLK_N&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0162409000; - when : "SET_B&RESET_B&!CLK_N&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0143118000; - when : "SET_B&RESET_B&CLK_N&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0139393000; - when : "!SET_B&RESET_B&!CLK_N&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0127251000; - when : "SET_B&!RESET_B&!CLK_N&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0149934000; - when : "SET_B&RESET_B&!CLK_N&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0143912000; - when : "SET_B&RESET_B&CLK_N&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0140187000; - when : "!SET_B&RESET_B&!CLK_N&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0128045000; - when : "SET_B&!RESET_B&!CLK_N&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0164320000; - when : "SET_B&RESET_B&!CLK_N&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0165821000; - when : "SET_B&RESET_B&!CLK_N&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0173701000; - when : "SET_B&RESET_B&!CLK_N&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0151452000; - when : "SET_B&RESET_B&CLK_N&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0129213000; - when : "!SET_B&RESET_B&!CLK_N&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0130738000; - when : "SET_B&!RESET_B&!CLK_N&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0159690000; - when : "SET_B&RESET_B&!CLK_N&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0165692000; - when : "SET_B&RESET_B&CLK_N&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0143453000; - when : "!SET_B&RESET_B&!CLK_N&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0144979000; - when : "SET_B&!RESET_B&!CLK_N&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0167586000; - when : "SET_B&RESET_B&!CLK_N&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0163927000; - when : "SET_B&RESET_B&CLK_N&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0141688000; - when : "!SET_B&RESET_B&!CLK_N&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0143214000; - when : "SET_B&!RESET_B&!CLK_N&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0137845000; - when : "!SET_B&RESET_B&CLK_N&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0133591000; - when : "!SET_B&!RESET_B&!CLK_N&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0147673000; - when : "!SET_B&RESET_B&CLK_N&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0136015000; - when : "!SET_B&RESET_B&CLK_N&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0140646000; - when : "!SET_B&RESET_B&CLK_N&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0151929000; - when : "SET_B&RESET_B&CLK_N&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0129410000; - when : "!SET_B&!RESET_B&CLK_N&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0136392000; - when : "!SET_B&!RESET_B&!CLK_N&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0127319000; - when : "!SET_B&RESET_B&CLK_N&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0141559000; - when : "!SET_B&RESET_B&CLK_N&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0154730000; - when : "SET_B&RESET_B&CLK_N&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0132211000; - when : "!SET_B&!RESET_B&CLK_N&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0131761000; - when : "!SET_B&!RESET_B&!CLK_N&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0139852000; - when : "!SET_B&RESET_B&CLK_N&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0150099000; - when : "SET_B&RESET_B&CLK_N&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0127581000; - when : "!SET_B&!RESET_B&CLK_N&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0139658000; - when : "!SET_B&!RESET_B&!CLK_N&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0139794000; - when : "!SET_B&RESET_B&CLK_N&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0137763000; - when : "!SET_B&!RESET_B&CLK_N&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0145773000; - when : "!SET_B&!RESET_B&!CLK_N&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0143878000; - when : "!SET_B&!RESET_B&CLK_N&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0125418000; - when : "!SET_B&!RESET_B&!CLK_N&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0123523000; - when : "!SET_B&!RESET_B&CLK_N&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0135598000; - when : "!SET_B&!RESET_B&!CLK_N&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0153936000; - when : "SET_B&RESET_B&CLK_N&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0131418000; - when : "!SET_B&!RESET_B&CLK_N&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0137893000; - when : "!SET_B&!RESET_B&!CLK_N&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0135998000; - when : "!SET_B&!RESET_B&CLK_N&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0121915000; - when : "SET_B&!RESET_B&CLK_N&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0138855000; - when : "SET_B&!RESET_B&CLK_N&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0120086000; - when : "SET_B&!RESET_B&CLK_N&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0124716000; - when : "SET_B&!RESET_B&CLK_N&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0118500000; - when : "SET_B&!RESET_B&CLK_N&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0132741000; - when : "SET_B&!RESET_B&CLK_N&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0123922000; - when : "SET_B&!RESET_B&CLK_N&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0130976000; - when : "SET_B&!RESET_B&CLK_N&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0160462000; - when : "SET_B&RESET_B&CLK_N&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0166576000; - when : "SET_B&RESET_B&CLK_N&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0146221000; - when : "SET_B&RESET_B&CLK_N&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0158697000; - when : "SET_B&RESET_B&CLK_N&D&SCD&SCE&!Q&Q_N"; - } - area : 41.289600000; - cell_footprint : "sky130_fd_sc_hd__sdfbbn"; - cell_leakage_power : 0.0143258800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clear_preset_var1 : "H"; - clear_preset_var2 : "L"; - clocked_on : "!CLK_N"; - next_state : "(D&!SCE) | (SCD&SCE)"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK_N") { - capacitance : 0.0017700000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016930000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0353142000, 0.0351881000, 0.0348973000, 0.0349800000, 0.0351711000, 0.0356114000, 0.0366263000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0170516000, 0.0169792000, 0.0168124000, 0.0168195000, 0.0168361000, 0.0168743000, 0.0169625000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018460000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2917193000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK_N"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2554685000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0015420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0076487000, 0.0075290000, 0.0072531000, 0.0072850000, 0.0073587000, 0.0075287000, 0.0079204000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022434000, 0.0022150000, 0.0021494000, 0.0021580000, 0.0021780000, 0.0022242000, 0.0023305000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0015940000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3608867000, 0.5616842000, 0.9490540000", \ - "0.2272279000, 0.4280254000, 0.8105124000", \ - "0.0778952000, 0.2762513000, 0.6453106000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1289531000, 0.2577292000, 0.3594544000", \ - "-0.085272100, 0.0435039000, 0.1464499000", \ - "-0.326157600, -0.197381500, -0.093214800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.332472600, -0.533270200, -0.915757100", \ - "-0.198813800, -0.402052700, -0.774774100", \ - "-0.048260400, -0.245395800, -0.609572300"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0117656000, -0.120672500, -0.222397800", \ - "0.2089010000, 0.0825664000, -0.019158900", \ - "0.4400208000, 0.3222311000, 0.2266094000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000"); - values("-0.014251900, -0.008538000, 0.0034356000, 0.0172322000, 0.0058485000, -0.091254400, -0.417608400", \ - "-0.014196800, -0.008496900, 0.0034452000, 0.0171955000, 0.0057523000, -0.091430000, -0.417807600", \ - "-0.014054100, -0.008358700, 0.0035693000, 0.0172903000, 0.0058313000, -0.091347800, -0.417732400", \ - "-0.014105100, -0.008421900, 0.0034696000, 0.0171128000, 0.0056428000, -0.091609200, -0.418012600", \ - "-0.014209100, -0.008541300, 0.0033264000, 0.0169439000, 0.0054090000, -0.091870400, -0.418264000", \ - "-0.014428800, -0.008763200, 0.0031089000, 0.0167313000, 0.0051585000, -0.092092800, -0.418507100", \ - "-0.014905500, -0.009188900, 0.0028094000, 0.0166328000, 0.0053603000, -0.091744700, -0.418104000"); - } - related_pin : "CLK_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000"); - values("-0.015813900, -0.012453800, -0.003629700, 0.0171869000, 0.0636243000, 0.1805625000, 0.5148491000", \ - "-0.015757500, -0.012401000, -0.003584300, 0.0171849000, 0.0638144000, 0.1812120000, 0.5146848000", \ - "-0.015617700, -0.012278400, -0.003498000, 0.0172758000, 0.0636797000, 0.1813713000, 0.5147401000", \ - "-0.015666000, -0.012341000, -0.003573600, 0.0171297000, 0.0633948000, 0.1802349000, 0.5133699000", \ - "-0.015774400, -0.012468600, -0.003746000, 0.0168995000, 0.0630055000, 0.1805875000, 0.5143891000", \ - "-0.015990200, -0.012675400, -0.003963300, 0.0166387000, 0.0628472000, 0.1803915000, 0.5113570000", \ - "-0.016393000, -0.012917400, -0.004005000, 0.0164917000, 0.0632710000, 0.1799320000, 0.5118959000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000"); - values("-0.002754200, 0.0035026000, 0.0167307000, 0.0325098000, 0.0240116000, -0.070929900, -0.396632000", \ - "-0.002707200, 0.0035519000, 0.0167631000, 0.0325664000, 0.0240474000, -0.070886900, -0.396599300", \ - "-0.002607900, 0.0036358000, 0.0168008000, 0.0325904000, 0.0239470000, -0.070985100, -0.396629000", \ - "-0.002642300, 0.0035888000, 0.0167258000, 0.0324736000, 0.0237741000, -0.071192100, -0.396850400", \ - "-0.002713200, 0.0035093000, 0.0166243000, 0.0322777000, 0.0236023000, -0.071443400, -0.397176200", \ - "-0.002857900, 0.0033519000, 0.0164403000, 0.0320395000, 0.0233250000, -0.071834500, -0.397459100", \ - "-0.003082600, 0.0032781000, 0.0167264000, 0.0329367000, 0.0235805000, -0.071748300, -0.397383400"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000"); - values("0.0055588000, 0.0107763000, 0.0216227000, 0.0335672000, 0.0212673000, -0.075986500, -0.402576700", \ - "0.0055543000, 0.0107788000, 0.0216184000, 0.0335814000, 0.0212077000, -0.075936500, -0.402601300", \ - "0.0055414000, 0.0107488000, 0.0215923000, 0.0335500000, 0.0212199000, -0.076089700, -0.402766600", \ - "0.0055317000, 0.0107123000, 0.0214758000, 0.0333011000, 0.0207565000, -0.076484400, -0.403093100", \ - "0.0055257000, 0.0106795000, 0.0213650000, 0.0330672000, 0.0204078000, -0.077047900, -0.403790100", \ - "0.0055619000, 0.0107040000, 0.0213891000, 0.0330790000, 0.0202159000, -0.077501100, -0.404283100", \ - "0.0057418000, 0.0110417000, 0.0220649000, 0.0342681000, 0.0210359000, -0.077441000, -0.404244400"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014624920, 0.0042777660, 0.0125124000, 0.0365985700, 0.1070502000, 0.3131202000"); - values("-0.000522200, 0.0031133000, 0.0126137000, 0.0347351000, 0.0827491000, 0.2008601000, 0.5352474000", \ - "-0.000527400, 0.0030968000, 0.0125471000, 0.0346631000, 0.0828282000, 0.2007136000, 0.5320123000", \ - "-0.000530300, 0.0030728000, 0.0124903000, 0.0344764000, 0.0823364000, 0.2006819000, 0.5348923000", \ - "-0.000542800, 0.0030490000, 0.0124330000, 0.0343844000, 0.0822985000, 0.2007184000, 0.5338993000", \ - "-0.000574200, 0.0029990000, 0.0123102000, 0.0341761000, 0.0820122000, 0.2000563000, 0.5312048000", \ - "-0.000628000, 0.0029140000, 0.0121672000, 0.0338856000, 0.0817572000, 0.1994104000, 0.5332778000", \ - "-0.000691000, 0.0028842000, 0.0122267000, 0.0343144000, 0.0823130000, 0.2003489000, 0.5323206000"); - } - } - max_capacitance : 0.3131200000; - max_transition : 1.4986030000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.5827393000, 0.5877264000, 0.5987890000, 0.6209790000, 0.6679589000, 0.7849055000, 1.1194871000", \ - "0.5877272000, 0.5927386000, 0.6037296000, 0.6259623000, 0.6728245000, 0.7897016000, 1.1241083000", \ - "0.6004267000, 0.6054292000, 0.6164814000, 0.6387865000, 0.6857418000, 0.8025937000, 1.1374983000", \ - "0.6311741000, 0.6361655000, 0.6472009000, 0.6695305000, 0.7163939000, 0.8333742000, 1.1674585000", \ - "0.7020098000, 0.7070101000, 0.7180775000, 0.7403599000, 0.7872508000, 0.9041723000, 1.2384731000", \ - "0.8314207000, 0.8364118000, 0.8474617000, 0.8697710000, 0.9167354000, 1.0335409000, 1.3682591000", \ - "1.0323006000, 1.0372925000, 1.0483414000, 1.0706510000, 1.1176166000, 1.2343837000, 1.5688719000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.5010088000, 0.5073502000, 0.5215040000, 0.5526603000, 0.6330939000, 0.8643642000, 1.5418905000", \ - "0.5061216000, 0.5125742000, 0.5265593000, 0.5576661000, 0.6380424000, 0.8693802000, 1.5457390000", \ - "0.5187912000, 0.5251083000, 0.5391771000, 0.5703665000, 0.6506912000, 0.8817244000, 1.5589625000", \ - "0.5498267000, 0.5561693000, 0.5703825000, 0.6013697000, 0.6818734000, 0.9131573000, 1.5887087000", \ - "0.6200899000, 0.6264717000, 0.6406949000, 0.6717179000, 0.7517569000, 0.9831628000, 1.6583016000", \ - "0.7467192000, 0.7531364000, 0.7672304000, 0.7981366000, 0.8786281000, 1.1097575000, 1.7855479000", \ - "0.9415417000, 0.9479955000, 0.9619796000, 0.9930528000, 1.0734664000, 1.3043224000, 1.9801623000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.0202953000, 0.0235832000, 0.0310356000, 0.0484989000, 0.0966734000, 0.2437864000, 0.6883756000", \ - "0.0204132000, 0.0234475000, 0.0310697000, 0.0488753000, 0.0963078000, 0.2439111000, 0.6878571000", \ - "0.0202104000, 0.0234418000, 0.0307994000, 0.0488700000, 0.0963218000, 0.2436032000, 0.6911929000", \ - "0.0202368000, 0.0234116000, 0.0309655000, 0.0485731000, 0.0963767000, 0.2434199000, 0.6898772000", \ - "0.0204952000, 0.0237205000, 0.0310387000, 0.0485461000, 0.0963858000, 0.2432635000, 0.6927109000", \ - "0.0202262000, 0.0233558000, 0.0307970000, 0.0488617000, 0.0963722000, 0.2433572000, 0.6883815000", \ - "0.0202163000, 0.0233376000, 0.0307967000, 0.0488624000, 0.0963786000, 0.2423120000, 0.6936756000"); - } - related_pin : "CLK_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.0252964000, 0.0295069000, 0.0411235000, 0.0753266000, 0.1856185000, 0.5170688000, 1.4959872000", \ - "0.0250654000, 0.0295565000, 0.0411361000, 0.0753523000, 0.1851820000, 0.5178890000, 1.4986035000", \ - "0.0252042000, 0.0294300000, 0.0408257000, 0.0752339000, 0.1852814000, 0.5178719000, 1.4968138000", \ - "0.0249992000, 0.0292850000, 0.0410813000, 0.0752107000, 0.1855662000, 0.5173985000, 1.4940124000", \ - "0.0250879000, 0.0293273000, 0.0411306000, 0.0752465000, 0.1849268000, 0.5170854000, 1.4925912000", \ - "0.0251346000, 0.0298381000, 0.0411144000, 0.0752976000, 0.1855535000, 0.5174254000, 1.4912399000", \ - "0.0250108000, 0.0294862000, 0.0411798000, 0.0751181000, 0.1856026000, 0.5174201000, 1.4960547000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.3582452000, 0.3633529000, 0.3745904000, 0.3972700000, 0.4446186000, 0.5618158000, 0.8953941000", \ - "0.3632134000, 0.3682831000, 0.3795364000, 0.4022372000, 0.4495745000, 0.5667732000, 0.9002329000", \ - "0.3758566000, 0.3809494000, 0.3921743000, 0.4149022000, 0.4622093000, 0.5792987000, 0.9130944000", \ - "0.4068217000, 0.4119243000, 0.4231468000, 0.4459063000, 0.4931847000, 0.6102654000, 0.9440735000", \ - "0.4783872000, 0.4834609000, 0.4947097000, 0.5174109000, 0.5647502000, 0.6819509000, 1.0154293000", \ - "0.6087965000, 0.6139718000, 0.6252038000, 0.6479152000, 0.6952060000, 0.8124371000, 1.1461675000", \ - "0.8167897000, 0.8219465000, 0.8331734000, 0.8558599000, 0.9032650000, 1.0205263000, 1.3539998000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.0211653000, 0.0245105000, 0.0318213000, 0.0494767000, 0.0974534000, 0.2430236000, 0.6879892000", \ - "0.0210080000, 0.0241435000, 0.0317284000, 0.0496437000, 0.0973863000, 0.2432308000, 0.6886677000", \ - "0.0210541000, 0.0243975000, 0.0317854000, 0.0497605000, 0.0973216000, 0.2430252000, 0.6885866000", \ - "0.0211228000, 0.0244557000, 0.0317717000, 0.0497438000, 0.0973424000, 0.2429567000, 0.6884473000", \ - "0.0209976000, 0.0245644000, 0.0317231000, 0.0496581000, 0.0974120000, 0.2432541000, 0.6887003000", \ - "0.0213783000, 0.0242816000, 0.0315951000, 0.0495025000, 0.0972460000, 0.2432576000, 0.6888612000", \ - "0.0211213000, 0.0244740000, 0.0318350000, 0.0498435000, 0.0974369000, 0.2429859000, 0.6887617000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.2585272000, 0.2636539000, 0.2748366000, 0.2974997000, 0.3448117000, 0.4620760000, 0.7957483000", \ - "0.2633526000, 0.2684292000, 0.2796529000, 0.3023107000, 0.3496965000, 0.4668951000, 0.8006419000", \ - "0.2732807000, 0.2784037000, 0.2896610000, 0.3123031000, 0.3595779000, 0.4768789000, 0.8104494000", \ - "0.2947699000, 0.2998789000, 0.3110862000, 0.3337992000, 0.3811279000, 0.4983254000, 0.8320937000", \ - "0.3430221000, 0.3480783000, 0.3593296000, 0.3820256000, 0.4293890000, 0.5465972000, 0.8802938000", \ - "0.4326846000, 0.4379080000, 0.4492872000, 0.4722330000, 0.5197739000, 0.6371103000, 0.9709168000", \ - "0.5561998000, 0.5617003000, 0.5736911000, 0.5974235000, 0.6454804000, 0.7631439000, 1.0969484000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.4244340000, 0.4313001000, 0.4464045000, 0.4781794000, 0.5587708000, 0.7899069000, 1.4662310000", \ - "0.4297319000, 0.4366219000, 0.4515652000, 0.4834950000, 0.5640718000, 0.7953618000, 1.4705837000", \ - "0.4427102000, 0.4495521000, 0.4646680000, 0.4964245000, 0.5768814000, 0.8082073000, 1.4856645000", \ - "0.4744656000, 0.4814017000, 0.4963294000, 0.5282242000, 0.6085646000, 0.8396268000, 1.5172926000", \ - "0.5503748000, 0.5574020000, 0.5721759000, 0.6041607000, 0.6844791000, 0.9154070000, 1.5917567000", \ - "0.7286051000, 0.7355191000, 0.7505118000, 0.7822598000, 0.8627531000, 1.0936273000, 1.7702035000", \ - "1.1093444000, 1.1166307000, 1.1324349000, 1.1650173000, 1.2457284000, 1.4772668000, 2.1512627000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.0209051000, 0.0241477000, 0.0321004000, 0.0494765000, 0.0972577000, 0.2431837000, 0.6889629000", \ - "0.0209274000, 0.0241127000, 0.0315730000, 0.0497706000, 0.0970399000, 0.2431969000, 0.6876912000", \ - "0.0209140000, 0.0241022000, 0.0318959000, 0.0494714000, 0.0973436000, 0.2429998000, 0.6877575000", \ - "0.0210360000, 0.0242912000, 0.0316747000, 0.0497315000, 0.0971355000, 0.2432101000, 0.6892059000", \ - "0.0209472000, 0.0245830000, 0.0316411000, 0.0496696000, 0.0974807000, 0.2431924000, 0.6885365000", \ - "0.0219272000, 0.0252886000, 0.0326095000, 0.0503348000, 0.0971814000, 0.2434781000, 0.6890234000", \ - "0.0239629000, 0.0272907000, 0.0347272000, 0.0520265000, 0.0990198000, 0.2439207000, 0.6861280000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014624900, 0.0042777700, 0.0125124000, 0.0365986000, 0.1070500000, 0.3131200000"); - values("0.0280778000, 0.0324183000, 0.0439000000, 0.0770869000, 0.1861268000, 0.5177134000, 1.4934187000", \ - "0.0279989000, 0.0325903000, 0.0440709000, 0.0768913000, 0.1854292000, 0.5167999000, 1.4938416000", \ - "0.0278778000, 0.0324070000, 0.0439331000, 0.0769019000, 0.1858661000, 0.5180316000, 1.4937170000", \ - "0.0279020000, 0.0326676000, 0.0439997000, 0.0768744000, 0.1856837000, 0.5175412000, 1.4913789000", \ - "0.0280730000, 0.0324715000, 0.0441089000, 0.0769464000, 0.1858032000, 0.5169324000, 1.4922984000", \ - "0.0279422000, 0.0322040000, 0.0439416000, 0.0768962000, 0.1859437000, 0.5178293000, 1.4950925000", \ - "0.0305107000, 0.0352458000, 0.0463325000, 0.0786758000, 0.1861915000, 0.5175951000, 1.4936625000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014448650, 0.0041752680, 0.0120654000, 0.0348657300, 0.1007525000, 0.2911476000"); - values("-0.014141200, -0.008373300, 0.0035178000, 0.0172103000, 0.0067743000, -0.082284300, -0.382984400", \ - "-0.014079800, -0.008321000, 0.0035751000, 0.0171470000, 0.0068393000, -0.082164400, -0.382841100", \ - "-0.013938200, -0.008197300, 0.0036525000, 0.0173467000, 0.0068133000, -0.082295800, -0.383006100", \ - "-0.013993800, -0.008263300, 0.0035511000, 0.0171070000, 0.0065351000, -0.082518000, -0.383254300", \ - "-0.014101500, -0.008389400, 0.0034045000, 0.0169205000, 0.0063353000, -0.082782400, -0.383541600", \ - "-0.014318400, -0.008612800, 0.0031534000, 0.0166631000, 0.0059987000, -0.083177200, -0.383907900", \ - "-0.014798100, -0.009046900, 0.0028370000, 0.0165351000, 0.0060082000, -0.082851000, -0.383536700"); - } - related_pin : "CLK_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014448650, 0.0041752680, 0.0120654000, 0.0348657300, 0.1007525000, 0.2911476000"); - values("-0.015645900, -0.012089100, -0.003143800, 0.0171937000, 0.0616998000, 0.1725163000, 0.4795768000", \ - "-0.015585300, -0.012033800, -0.003090700, 0.0172440000, 0.0617937000, 0.1722373000, 0.4797921000", \ - "-0.015450200, -0.011915400, -0.003012800, 0.0172589000, 0.0618777000, 0.1719836000, 0.4794995000", \ - "-0.015497600, -0.011978000, -0.003097600, 0.0171014000, 0.0615374000, 0.1716147000, 0.4813448000", \ - "-0.015601600, -0.012092100, -0.003244100, 0.0169388000, 0.0613881000, 0.1714467000, 0.4813912000", \ - "-0.015820300, -0.012310100, -0.003453000, 0.0167389000, 0.0611712000, 0.1711745000, 0.4810561000", \ - "-0.016297700, -0.012731000, -0.003764300, 0.0166521000, 0.0613671000, 0.1715321000, 0.4791427000"); - } - } - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014448650, 0.0041752680, 0.0120654000, 0.0348657300, 0.1007525000, 0.2911476000"); - values("-0.004205400, -0.000215600, 0.0096391000, 0.0324308000, 0.0804535000, 0.1920426000, 0.5002663000", \ - "-0.004165800, -0.000195200, 0.0096694000, 0.0324098000, 0.0804101000, 0.1922794000, 0.4990719000", \ - "-0.004068300, -0.000108400, 0.0097371000, 0.0324483000, 0.0804579000, 0.1918834000, 0.4995748000", \ - "-0.004097000, -0.000134200, 0.0096804000, 0.0323992000, 0.0803201000, 0.1917804000, 0.4993921000", \ - "-0.004165200, -0.000222800, 0.0095687000, 0.0322056000, 0.0800898000, 0.1913447000, 0.4993045000", \ - "-0.004307900, -0.000370800, 0.0094122000, 0.0319559000, 0.0797280000, 0.1915906000, 0.4988648000", \ - "-0.004452100, -0.000218400, 0.0102620000, 0.0328984000, 0.0796737000, 0.1910219000, 0.4980623000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014448650, 0.0041752680, 0.0120654000, 0.0348657300, 0.1007525000, 0.2911476000"); - values("0.0012064000, 0.0073230000, 0.0199745000, 0.0347449000, 0.0256223000, -0.062258100, -0.362303600", \ - "0.0012021000, 0.0073009000, 0.0199054000, 0.0346608000, 0.0255131000, -0.062394600, -0.362551500", \ - "0.0011991000, 0.0072806000, 0.0198607000, 0.0344918000, 0.0252863000, -0.062692800, -0.362835900", \ - "0.0011840000, 0.0072549000, 0.0197928000, 0.0343862000, 0.0251356000, -0.062859000, -0.363057600", \ - "0.0011538000, 0.0071988000, 0.0196770000, 0.0341741000, 0.0248015000, -0.063203300, -0.363360000", \ - "0.0011055000, 0.0071306000, 0.0195467000, 0.0338970000, 0.0243589000, -0.063735600, -0.363846300", \ - "0.0010933000, 0.0072233000, 0.0198154000, 0.0343004000, 0.0247233000, -0.063383900, -0.363450100"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014448650, 0.0041752680, 0.0120654000, 0.0348657300, 0.1007525000, 0.2911476000"); - values("0.0040780000, 0.0070003000, 0.0145147000, 0.0334893000, 0.0777589000, 0.1865875000, 0.4930923000", \ - "0.0040729000, 0.0069986000, 0.0145170000, 0.0334903000, 0.0777436000, 0.1865862000, 0.4931192000", \ - "0.0040656000, 0.0069817000, 0.0144849000, 0.0334641000, 0.0775955000, 0.1867389000, 0.4925067000", \ - "0.0040531000, 0.0069420000, 0.0143791000, 0.0332310000, 0.0772950000, 0.1863832000, 0.4918641000", \ - "0.0040571000, 0.0069243000, 0.0143017000, 0.0329917000, 0.0768225000, 0.1858077000, 0.4937890000", \ - "0.0041090000, 0.0069970000, 0.0144014000, 0.0330201000, 0.0763948000, 0.1854104000, 0.4913911000", \ - "0.0043654000, 0.0075378000, 0.0156057000, 0.0342659000, 0.0766515000, 0.1855961000, 0.4912856000"); - } - } - max_capacitance : 0.2911480000; - max_transition : 1.5025570000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014448600, 0.0041752700, 0.0120654000, 0.0348657000, 0.1007530000, 0.2911480000"); - values("0.3798805000, 0.3881176000, 0.4063218000, 0.4420560000, 0.5095694000, 0.6464781000, 0.9788240000", \ - "0.3847670000, 0.3930645000, 0.4112738000, 0.4469231000, 0.5144855000, 0.6513966000, 0.9834442000", \ - "0.3976346000, 0.4059161000, 0.4241110000, 0.4597562000, 0.5273486000, 0.6642273000, 0.9963281000", \ - "0.4284015000, 0.4366636000, 0.4548718000, 0.4905802000, 0.5581104000, 0.6950016000, 1.0273494000", \ - "0.4987513000, 0.5070501000, 0.5251992000, 0.5608924000, 0.6284579000, 0.7653738000, 1.0975779000", \ - "0.6254749000, 0.6337245000, 0.6519996000, 0.6876484000, 0.7552218000, 0.8921234000, 1.2244706000", \ - "0.8201682000, 0.8284837000, 0.8466770000, 0.8824376000, 0.9499822000, 1.0869194000, 1.4189645000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014448600, 0.0041752700, 0.0120654000, 0.0348657000, 0.1007530000, 0.2911480000"); - values("0.4843969000, 0.4921946000, 0.5101290000, 0.5487183000, 0.6381283000, 0.8749550000, 1.5511742000", \ - "0.4893629000, 0.4971547000, 0.5150905000, 0.5537048000, 0.6431043000, 0.8799847000, 1.5551515000", \ - "0.5017308000, 0.5095445000, 0.5274701000, 0.5660402000, 0.6554883000, 0.8923057000, 1.5682804000", \ - "0.5325806000, 0.5404078000, 0.5583616000, 0.5969062000, 0.6863376000, 0.9233025000, 1.5980778000", \ - "0.6038047000, 0.6116148000, 0.6295414000, 0.6681125000, 0.7575582000, 0.9946979000, 1.6689556000", \ - "0.7332001000, 0.7410655000, 0.7590056000, 0.7976024000, 0.8870132000, 1.1238124000, 1.7983949000", \ - "0.9339428000, 0.9417566000, 0.9596907000, 0.9982626000, 1.0876909000, 1.3244702000, 1.9993578000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014448600, 0.0041752700, 0.0120654000, 0.0348657000, 0.1007530000, 0.2911480000"); - values("0.0362920000, 0.0415120000, 0.0528869000, 0.0773388000, 0.1316545000, 0.2691155000, 0.6882844000", \ - "0.0363040000, 0.0415224000, 0.0529135000, 0.0779500000, 0.1315109000, 0.2690481000, 0.6867207000", \ - "0.0364506000, 0.0414282000, 0.0533212000, 0.0771894000, 0.1316126000, 0.2689650000, 0.6866837000", \ - "0.0363084000, 0.0414152000, 0.0532283000, 0.0770371000, 0.1316529000, 0.2697220000, 0.6851598000", \ - "0.0364348000, 0.0414976000, 0.0529648000, 0.0773385000, 0.1315269000, 0.2688735000, 0.6869025000", \ - "0.0363350000, 0.0414930000, 0.0532762000, 0.0770430000, 0.1316258000, 0.2691408000, 0.6885393000", \ - "0.0365283000, 0.0416371000, 0.0529480000, 0.0771231000, 0.1317434000, 0.2692437000, 0.6866089000"); - } - related_pin : "CLK_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014448600, 0.0041752700, 0.0120654000, 0.0348657000, 0.1007530000, 0.2911480000"); - values("0.0297674000, 0.0356612000, 0.0507457000, 0.0889723000, 0.1995015000, 0.5278451000, 1.4984469000", \ - "0.0297528000, 0.0357644000, 0.0506853000, 0.0889644000, 0.1991719000, 0.5285885000, 1.4972049000", \ - "0.0297082000, 0.0357318000, 0.0506912000, 0.0888542000, 0.1995067000, 0.5273472000, 1.4967881000", \ - "0.0299216000, 0.0355556000, 0.0505874000, 0.0888957000, 0.1996931000, 0.5278014000, 1.5011509000", \ - "0.0297174000, 0.0357313000, 0.0506970000, 0.0888511000, 0.1995205000, 0.5269646000, 1.4964882000", \ - "0.0299953000, 0.0358255000, 0.0507002000, 0.0889887000, 0.1993029000, 0.5266570000, 1.5025567000", \ - "0.0297526000, 0.0357208000, 0.0506857000, 0.0888730000, 0.1996490000, 0.5269256000, 1.4978503000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014448600, 0.0041752700, 0.0120654000, 0.0348657000, 0.1007530000, 0.2911480000"); - values("0.2560610000, 0.2644816000, 0.2834866000, 0.3238716000, 0.4170123000, 0.6574960000, 1.3320270000", \ - "0.2613305000, 0.2696827000, 0.2886753000, 0.3290767000, 0.4222545000, 0.6629125000, 1.3364142000", \ - "0.2738448000, 0.2821995000, 0.3011844000, 0.3415994000, 0.4347900000, 0.6753786000, 1.3497533000", \ - "0.3054830000, 0.3139338000, 0.3328617000, 0.3732419000, 0.4664698000, 0.7070619000, 1.3809336000", \ - "0.3764577000, 0.3848044000, 0.4038314000, 0.4442291000, 0.5373876000, 0.7778895000, 1.4521049000", \ - "0.5068844000, 0.5153731000, 0.5343728000, 0.5748829000, 0.6683199000, 0.9087542000, 1.5833475000", \ - "0.7130490000, 0.7219740000, 0.7414545000, 0.7822776000, 0.8761743000, 1.1164648000, 1.7899771000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014448600, 0.0041752700, 0.0120654000, 0.0348657000, 0.1007530000, 0.2911480000"); - values("0.0317594000, 0.0381757000, 0.0539603000, 0.0933855000, 0.2065637000, 0.5308766000, 1.4920496000", \ - "0.0319426000, 0.0381652000, 0.0538075000, 0.0934847000, 0.2066752000, 0.5309428000, 1.4954019000", \ - "0.0320099000, 0.0381345000, 0.0537617000, 0.0935001000, 0.2068823000, 0.5303996000, 1.4958300000", \ - "0.0317462000, 0.0383137000, 0.0539687000, 0.0934327000, 0.2070081000, 0.5302882000, 1.4917972000", \ - "0.0319513000, 0.0381313000, 0.0539298000, 0.0933935000, 0.2068364000, 0.5307937000, 1.4924794000", \ - "0.0322950000, 0.0388725000, 0.0539444000, 0.0935645000, 0.2069609000, 0.5306255000, 1.4913788000", \ - "0.0341289000, 0.0404781000, 0.0561166000, 0.0952410000, 0.2073914000, 0.5327097000, 1.4946779000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014448600, 0.0041752700, 0.0120654000, 0.0348657000, 0.1007530000, 0.2911480000"); - values("0.2843291000, 0.2946700000, 0.3168419000, 0.3587085000, 0.4364139000, 0.5837503000, 0.9219608000", \ - "0.2896441000, 0.2999714000, 0.3221295000, 0.3639888000, 0.4416645000, 0.5889707000, 0.9271319000", \ - "0.3028245000, 0.3131375000, 0.3352026000, 0.3770064000, 0.4546838000, 0.6019961000, 0.9401963000", \ - "0.3348340000, 0.3451450000, 0.3671755000, 0.4089485000, 0.4866251000, 0.6339281000, 0.9718368000", \ - "0.4104572000, 0.4207912000, 0.4428172000, 0.4846063000, 0.5622643000, 0.7096347000, 1.0478032000", \ - "0.5894729000, 0.5996688000, 0.6214305000, 0.6628577000, 0.7402836000, 0.8876342000, 1.2259487000", \ - "0.9507510000, 0.9623449000, 0.9872708000, 1.0352551000, 1.1210742000, 1.2749291000, 1.6147667000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014448600, 0.0041752700, 0.0120654000, 0.0348657000, 0.1007530000, 0.2911480000"); - values("0.1565306000, 0.1648702000, 0.1838601000, 0.2242637000, 0.3172635000, 0.5576785000, 1.2313475000", \ - "0.1613077000, 0.1696676000, 0.1886112000, 0.2290480000, 0.3220918000, 0.5624872000, 1.2360124000", \ - "0.1713368000, 0.1796618000, 0.1986541000, 0.2390497000, 0.3320690000, 0.5724466000, 1.2455177000", \ - "0.1927860000, 0.2011525000, 0.2200934000, 0.2604675000, 0.3535621000, 0.5939971000, 1.2670508000", \ - "0.2407836000, 0.2492460000, 0.2683346000, 0.3087257000, 0.4019324000, 0.6423194000, 1.3152600000", \ - "0.3222285000, 0.3319845000, 0.3536248000, 0.3975344000, 0.4931865000, 0.7331512000, 1.4072613000", \ - "0.4249358000, 0.4374525000, 0.4652633000, 0.5178693000, 0.6204331000, 0.8611518000, 1.5342279000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014448600, 0.0041752700, 0.0120654000, 0.0348657000, 0.1007530000, 0.2911480000"); - values("0.0514522000, 0.0566663000, 0.0681113000, 0.0936107000, 0.1492802000, 0.2842053000, 0.6926391000", \ - "0.0513944000, 0.0566144000, 0.0679187000, 0.0935125000, 0.1492949000, 0.2839302000, 0.6941762000", \ - "0.0513512000, 0.0565015000, 0.0679842000, 0.0934549000, 0.1491606000, 0.2841026000, 0.6930122000", \ - "0.0513409000, 0.0564713000, 0.0679897000, 0.0934281000, 0.1490692000, 0.2839638000, 0.6921030000", \ - "0.0512350000, 0.0565330000, 0.0680099000, 0.0935379000, 0.1493448000, 0.2840032000, 0.6923243000", \ - "0.0511381000, 0.0562024000, 0.0677531000, 0.0934324000, 0.1491719000, 0.2839900000, 0.6905082000", \ - "0.0695584000, 0.0744573000, 0.0855997000, 0.1125900000, 0.1662030000, 0.2934026000, 0.6945541000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014448600, 0.0041752700, 0.0120654000, 0.0348657000, 0.1007530000, 0.2911480000"); - values("0.0317464000, 0.0380564000, 0.0537845000, 0.0932695000, 0.2068581000, 0.5309150000, 1.4953065000", \ - "0.0317829000, 0.0382779000, 0.0537678000, 0.0934199000, 0.2066765000, 0.5310651000, 1.4940287000", \ - "0.0317341000, 0.0380564000, 0.0537797000, 0.0932629000, 0.2071204000, 0.5314029000, 1.4944382000", \ - "0.0317335000, 0.0381935000, 0.0538891000, 0.0933758000, 0.2069857000, 0.5307507000, 1.4946150000", \ - "0.0325294000, 0.0387980000, 0.0542246000, 0.0936818000, 0.2068113000, 0.5306924000, 1.4934696000", \ - "0.0390722000, 0.0459454000, 0.0615096000, 0.1002998000, 0.2096930000, 0.5299378000, 1.4923844000", \ - "0.0540669000, 0.0627592000, 0.0805973000, 0.1184426000, 0.2194466000, 0.5316072000, 1.4915655000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0016250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015470000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0129427000, 0.0128525000, 0.0126446000, 0.0126966000, 0.0128169000, 0.0130940000, 0.0137328000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0081090000, 0.0080587000, 0.0079428000, 0.0079717000, 0.0080385000, 0.0081926000, 0.0085477000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017040000; - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0740215000, 0.1979147000, 0.2898743000", \ - "-0.147528000, -0.022414100, 0.0695456000", \ - "-0.403061800, -0.277947900, -0.184767600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0850078000, -0.036444000, -0.119858700", \ - "0.2870260000, 0.1655742000, 0.0821595000", \ - "0.5352357000, 0.4162253000, 0.3315899000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2400893000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "SET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.105421900, -0.060874400, -0.076336600", \ - "-0.229315100, -0.168898400, -0.179477900", \ - "-0.334702500, -0.255975300, -0.253126900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "SET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1594707000, 0.1429994000, 0.2451315000", \ - "0.2980124000, 0.2546855000, 0.3092103000", \ - "0.4510072000, 0.3771628000, 0.3999492000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCD") { - capacitance : 0.0017590000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016820000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0029783000, 0.0029774000, 0.0029751000, 0.0029810000, 0.0029949000, 0.0030269000, 0.0031006000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002850600, -0.002847900, -0.002841900, -0.002852300, -0.002876200, -0.002931300, -0.003058300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018350000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2595684000, 0.4359518000, 0.7012513000", \ - "0.1320130000, 0.3059551000, 0.5688132000", \ - "-0.016099000, 0.1566224000, 0.4145977000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1643535000, 0.2980124000, 0.4473450000", \ - "-0.051092400, 0.0825664000, 0.2331198000", \ - "-0.291977900, -0.155877600, -0.006544900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.229933600, -0.402654900, -0.654526700", \ - "-0.103599000, -0.273878900, -0.522088600", \ - "0.0457337000, -0.123325500, -0.366652300"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.018752000, -0.154852200, -0.304184900", \ - "0.1759421000, 0.0459453000, -0.100946000", \ - "0.4107240000, 0.2819479000, 0.1423809000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0026180000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0024880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0095050000, 0.0094293000, 0.0092549000, 0.0092986000, 0.0093995000, 0.0096323000, 0.0101687000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0014667000, 0.0014233000, 0.0013234000, 0.0013460000, 0.0013984000, 0.0015191000, 0.0017974000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0027470000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3694316000, 0.5494772000, 0.8758119000", \ - "0.2357728000, 0.4158184000, 0.7409323000", \ - "0.0876608000, 0.2652650000, 0.5781719000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2681133000, 0.3505026000, 0.3753236000", \ - "0.1405579000, 0.2217266000, 0.2477682000", \ - "-0.006333300, 0.0748353000, 0.0996563000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.082228500, -0.279363900, -0.525132200", \ - "0.1149069000, -0.083449200, -0.326776000", \ - "0.3484681000, 0.1513327000, -0.093214800"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.000441400, -0.145086600, -0.276108700", \ - "0.1954733000, 0.0593730000, -0.071649100", \ - "0.4290345000, 0.2978171000, 0.1741191000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SET_B") { - capacitance : 0.0034250000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033390000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0050563000, 0.0050478000, 0.0050281000, 0.0050420000, 0.0050744000, 0.0051490000, 0.0053209000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004873800, -0.004868200, -0.004855100, -0.004869400, -0.004902000, -0.004977100, -0.005150300"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035100000; - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.065138700, 0.0270163000, 0.1397279000", \ - "-0.254949900, -0.164015600, -0.097690800", \ - "-0.466538400, -0.375604200, -0.338576200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0715801000, -0.018133500, -0.051499400", \ - "0.2613913000, 0.1716777000, 0.1383119000", \ - "0.4717591000, 0.3820456000, 0.3535625000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "RESET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1594707000, 0.2980124000, 0.4510072000", \ - "0.1429994000, 0.2546855000, 0.3771628000", \ - "0.2451315000, 0.3092103000, 0.3999492000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3092955000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "RESET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.105421900, -0.229315100, -0.334702500", \ - "-0.060874400, -0.168898400, -0.255975300", \ - "-0.076336600, -0.179477900, -0.253126900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clear_preset_var1 : "H"; - clear_preset_var2 : "L"; - clocked_on : "!CLK_N"; - next_state : "D"; - preset : "!SET_B"; - } - pin ("CLK_N") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - signal_type : "test_scan_out_inverted"; - } - pin ("RESET_B") { - direction : "input"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - pin ("SET_B") { - direction : "input"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfbbp_1") { - leakage_power () { - value : 0.0137049000; - when : "!SET_B&!RESET_B&CLK&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0137414000; - when : "!SET_B&!RESET_B&!CLK&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0139309000; - when : "!SET_B&!RESET_B&CLK&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0136533000; - when : "SET_B&!RESET_B&!CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0117800000; - when : "SET_B&!RESET_B&!CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0122461000; - when : "SET_B&!RESET_B&!CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0119597000; - when : "SET_B&!RESET_B&!CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0116178000; - when : "SET_B&!RESET_B&!CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0130419000; - when : "SET_B&!RESET_B&!CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0121636000; - when : "SET_B&!RESET_B&!CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0128654000; - when : "SET_B&!RESET_B&!CLK&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0162376000; - when : "SET_B&RESET_B&!CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0168490000; - when : "SET_B&RESET_B&!CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0148135000; - when : "SET_B&RESET_B&!CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0160611000; - when : "SET_B&RESET_B&!CLK&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0172201000; - when : "SET_B&RESET_B&CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0144559000; - when : "SET_B&RESET_B&CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0149221000; - when : "SET_B&RESET_B&CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0143025000; - when : "SET_B&RESET_B&!CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0142535000; - when : "!SET_B&RESET_B&CLK&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0122928000; - when : "SET_B&!RESET_B&CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0167011000; - when : "SET_B&RESET_B&CLK&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0169050000; - when : "SET_B&RESET_B&CLK&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0158834000; - when : "SET_B&RESET_B&CLK&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0177296000; - when : "SET_B&RESET_B&!CLK&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0154713000; - when : "!SET_B&RESET_B&CLK&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0148773000; - when : "SET_B&!RESET_B&CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0148396000; - when : "SET_B&RESET_B&CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0146357000; - when : "SET_B&RESET_B&CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0166087000; - when : "SET_B&RESET_B&CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0152819000; - when : "!SET_B&RESET_B&!CLK&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0141193000; - when : "!SET_B&RESET_B&!CLK&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0145854000; - when : "!SET_B&RESET_B&!CLK&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0157422000; - when : "SET_B&RESET_B&!CLK&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0130829000; - when : "!SET_B&!RESET_B&!CLK&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0142990000; - when : "!SET_B&RESET_B&!CLK&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0135010000; - when : "!SET_B&!RESET_B&CLK&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0132464000; - when : "!SET_B&RESET_B&!CLK&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0146705000; - when : "!SET_B&RESET_B&!CLK&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0160287000; - when : "SET_B&RESET_B&!CLK&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0133694000; - when : "!SET_B&!RESET_B&!CLK&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0137874000; - when : "!SET_B&!RESET_B&CLK&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0145029000; - when : "!SET_B&RESET_B&!CLK&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0155625000; - when : "SET_B&RESET_B&!CLK&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0129032000; - when : "!SET_B&!RESET_B&!CLK&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0133212000; - when : "!SET_B&!RESET_B&CLK&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0144940000; - when : "!SET_B&RESET_B&!CLK&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0139179000; - when : "!SET_B&!RESET_B&!CLK&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0141074000; - when : "!SET_B&!RESET_B&CLK&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0145293000; - when : "!SET_B&!RESET_B&!CLK&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0147188000; - when : "!SET_B&!RESET_B&CLK&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0124938000; - when : "!SET_B&!RESET_B&!CLK&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0126832000; - when : "!SET_B&!RESET_B&CLK&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0159461000; - when : "SET_B&RESET_B&!CLK&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0132868000; - when : "!SET_B&!RESET_B&!CLK&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0141228000; - when : "SET_B&RESET_B&!CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0140738000; - when : "!SET_B&RESET_B&CLK&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0121131000; - when : "SET_B&!RESET_B&CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0164322000; - when : "SET_B&RESET_B&CLK&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0145065000; - when : "SET_B&RESET_B&!CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0144574000; - when : "!SET_B&RESET_B&CLK&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0124967000; - when : "SET_B&!RESET_B&CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0151846000; - when : "SET_B&RESET_B&CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0145890000; - when : "SET_B&RESET_B&!CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0145399000; - when : "!SET_B&RESET_B&CLK&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0125793000; - when : "SET_B&!RESET_B&CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0169876000; - when : "SET_B&RESET_B&CLK&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0171310000; - when : "SET_B&RESET_B&CLK&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0179190000; - when : "SET_B&RESET_B&CLK&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0156941000; - when : "SET_B&RESET_B&!CLK&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0134358000; - when : "!SET_B&RESET_B&CLK&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0128418000; - when : "SET_B&!RESET_B&CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0165214000; - when : "SET_B&RESET_B&CLK&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0171181000; - when : "SET_B&RESET_B&!CLK&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0148599000; - when : "!SET_B&RESET_B&CLK&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0142659000; - when : "SET_B&!RESET_B&CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0173075000; - when : "SET_B&RESET_B&CLK&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0169416000; - when : "SET_B&RESET_B&!CLK&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0146834000; - when : "!SET_B&RESET_B&CLK&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0140893000; - when : "SET_B&!RESET_B&CLK&D&SCD&SCE&!Q&Q_N"; - } - area : 38.787200000; - cell_footprint : "sky130_fd_sc_hd__sdfbbp"; - cell_leakage_power : 0.0145604700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clear_preset_var1 : "H"; - clear_preset_var2 : "L"; - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017630000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0231894000, 0.0230648000, 0.0227777000, 0.0228484000, 0.0230117000, 0.0233880000, 0.0242555000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0295745000, 0.0294864000, 0.0292834000, 0.0293179000, 0.0293979000, 0.0295822000, 0.0300071000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018510000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3817972000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2093310000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0015420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0014610000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0076939000, 0.0075739000, 0.0072972000, 0.0073293000, 0.0074037000, 0.0075750000, 0.0079699000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022453000, 0.0022167000, 0.0021506000, 0.0021598000, 0.0021812000, 0.0022306000, 0.0023445000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016240000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3950664000, 0.5958640000, 0.9868958000", \ - "0.2638490000, 0.4646465000, 0.8593405000", \ - "0.1694479000, 0.3714662000, 0.7686016000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1167461000, 0.2479636000, 0.3509095000", \ - "0.0429017000, 0.1643535000, 0.2563132000", \ - "0.0217428000, 0.1407533000, 0.2229473000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.300734400, -0.501531900, -0.892563800", \ - "-0.191489600, -0.393507800, -0.788201800", \ - "-0.104412800, -0.307651700, -0.704787100"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.089552700, -0.219549500, -0.313950500", \ - "-0.025474000, -0.146925800, -0.230340500", \ - "-0.001873700, -0.120884100, -0.201857400"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.002185900, 0.0037584000, 0.0149671000, 0.0280103000, 0.0262155000, -0.022273400, -0.184252100", \ - "-0.002143500, 0.0038022000, 0.0150028000, 0.0280182000, 0.0261940000, -0.022271200, -0.184281700", \ - "-0.002046900, 0.0038862000, 0.0150584000, 0.0280244000, 0.0262129000, -0.022321300, -0.184307300", \ - "-0.002084600, 0.0038339000, 0.0149766000, 0.0278986000, 0.0260422000, -0.022523100, -0.184523000", \ - "-0.002161400, 0.0037431000, 0.0148595000, 0.0277469000, 0.0257918000, -0.022761200, -0.184796200", \ - "-0.002317000, 0.0035709000, 0.0146562000, 0.0275048000, 0.0254836000, -0.023120700, -0.185171600", \ - "-0.002587000, 0.0034012000, 0.0146891000, 0.0278359000, 0.0258212000, -0.023037700, -0.185040900"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("0.0002105000, 0.0050050000, 0.0138679000, 0.0233749000, 0.0180995000, -0.032659800, -0.195793700", \ - "0.0002282000, 0.0050245000, 0.0138780000, 0.0233823000, 0.0180774000, -0.032636300, -0.195773300", \ - "0.0002727000, 0.0050537000, 0.0138998000, 0.0233834000, 0.0180474000, -0.032727800, -0.195811300", \ - "0.0002500000, 0.0049976000, 0.0137722000, 0.0231402000, 0.0177144000, -0.033153800, -0.196300500", \ - "0.0002347000, 0.0049339000, 0.0136293000, 0.0228685000, 0.0172817000, -0.033707300, -0.196929300", \ - "0.0002395000, 0.0049126000, 0.0135399000, 0.0226968000, 0.0169757000, -0.034223200, -0.197465000", \ - "0.0003712000, 0.0051411000, 0.0139739000, 0.0234207000, 0.0177832000, -0.034219700, -0.197487800"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-2.01000e-05, 0.0037454000, 0.0121662000, 0.0299049000, 0.0625720000, 0.1317912000, 0.3029082000", \ - "-2.90500e-05, 0.0037198000, 0.0121002000, 0.0297661000, 0.0624925000, 0.1311230000, 0.3015769000", \ - "-2.62500e-05, 0.0036980000, 0.0120360000, 0.0296322000, 0.0621806000, 0.1309388000, 0.3026448000", \ - "-4.31500e-05, 0.0036669000, 0.0119748000, 0.0295363000, 0.0619994000, 0.1309146000, 0.3020715000", \ - "-7.83000e-05, 0.0036034000, 0.0118599000, 0.0293149000, 0.0617376000, 0.1302923000, 0.3005069000", \ - "-0.000140100, 0.0035039000, 0.0116966000, 0.0290554000, 0.0613457000, 0.1302109000, 0.3001253000", \ - "-0.000222700, 0.0034260000, 0.0116309000, 0.0291979000, 0.0618894000, 0.1305163000, 0.3006773000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.011363600, -0.006621100, 0.0021676000, 0.0115376000, 0.0058356000, -0.045938600, -0.209233600", \ - "-0.011323300, -0.006583600, 0.0021923000, 0.0115424000, 0.0058241000, -0.045956900, -0.209252800", \ - "-0.011232600, -0.006503100, 0.0022413000, 0.0115621000, 0.0058197000, -0.046037000, -0.209338600", \ - "-0.011275600, -0.006579800, 0.0020946000, 0.0112974000, 0.0054216000, -0.046487600, -0.209786700", \ - "-0.011332300, -0.006659900, 0.0019723000, 0.0111127000, 0.0051687000, -0.046797400, -0.210162000", \ - "-0.011422200, -0.006747000, 0.0018911000, 0.0110122000, 0.0050746000, -0.046856600, -0.210212100", \ - "-0.011575500, -0.006820400, 0.0019784000, 0.0113802000, 0.0056375000, -0.046307600, -0.209598400"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.012769100, -0.009706500, -0.002656400, 0.0123924000, 0.0421867000, 0.1097745000, 0.2790940000", \ - "-0.012728800, -0.009671500, -0.002622500, 0.0123438000, 0.0421082000, 0.1093509000, 0.2799585000", \ - "-0.012635900, -0.009595400, -0.002568700, 0.0123733000, 0.0421341000, 0.1095786000, 0.2799924000", \ - "-0.012675300, -0.009660000, -0.002706000, 0.0121430000, 0.0418117000, 0.1092406000, 0.2780106000", \ - "-0.012730000, -0.009742400, -0.002830600, 0.0119457000, 0.0417042000, 0.1090527000, 0.2783847000", \ - "-0.012814900, -0.009814700, -0.002887000, 0.0119201000, 0.0417356000, 0.1084014000, 0.2796341000", \ - "-0.012917400, -0.009754900, -0.002541700, 0.0122429000, 0.0418587000, 0.1086848000, 0.2801479000"); - } - } - max_capacitance : 0.1684670000; - max_transition : 1.5058740000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.4057611000, 0.4110451000, 0.4223340000, 0.4455158000, 0.4980242000, 0.6315779000, 0.9844120000", \ - "0.4104582000, 0.4157397000, 0.4269695000, 0.4502101000, 0.5027181000, 0.6362760000, 0.9885864000", \ - "0.4215281000, 0.4268461000, 0.4380520000, 0.4612968000, 0.5138236000, 0.6474514000, 1.0004164000", \ - "0.4473537000, 0.4526923000, 0.4638843000, 0.4871704000, 0.5395910000, 0.6733190000, 1.0261880000", \ - "0.4961527000, 0.5014805000, 0.5126819000, 0.5359727000, 0.5883929000, 0.7220239000, 1.0748822000", \ - "0.5690775000, 0.5743822000, 0.5855996000, 0.6088482000, 0.6612359000, 0.7949837000, 1.1475925000", \ - "0.6632337000, 0.6685207000, 0.6797279000, 0.7030017000, 0.7555078000, 0.8889721000, 1.2413668000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.4725688000, 0.4793689000, 0.4944147000, 0.5303303000, 0.6234395000, 0.8678445000, 1.5132776000", \ - "0.4775719000, 0.4842275000, 0.4992754000, 0.5352934000, 0.6279773000, 0.8726809000, 1.5198580000", \ - "0.4885734000, 0.4952183000, 0.5103741000, 0.5462946000, 0.6394743000, 0.8841744000, 1.5275680000", \ - "0.5146099000, 0.5212665000, 0.5363933000, 0.5723228000, 0.6653932000, 0.9098279000, 1.5572293000", \ - "0.5635254000, 0.5702616000, 0.5853001000, 0.6212817000, 0.7140630000, 0.9586371000, 1.6039186000", \ - "0.6330040000, 0.6396558000, 0.6547830000, 0.6907066000, 0.7836719000, 1.0279072000, 1.6764293000", \ - "0.7197742000, 0.7264688000, 0.7415710000, 0.7773034000, 0.8703407000, 1.1147357000, 1.7603142000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0175871000, 0.0216583000, 0.0312186000, 0.0546053000, 0.1180342000, 0.2939858000, 0.7656052000", \ - "0.0175876000, 0.0216630000, 0.0312299000, 0.0546025000, 0.1180273000, 0.2942394000, 0.7646436000", \ - "0.0175763000, 0.0217897000, 0.0311709000, 0.0544993000, 0.1179061000, 0.2947292000, 0.7707338000", \ - "0.0178205000, 0.0216313000, 0.0311500000, 0.0544534000, 0.1181744000, 0.2943240000, 0.7689290000", \ - "0.0176458000, 0.0217434000, 0.0311640000, 0.0544734000, 0.1181909000, 0.2938781000, 0.7615542000", \ - "0.0175957000, 0.0216139000, 0.0310161000, 0.0546123000, 0.1181548000, 0.2942712000, 0.7723858000", \ - "0.0175429000, 0.0215399000, 0.0310409000, 0.0547833000, 0.1181393000, 0.2938730000, 0.7620788000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0235002000, 0.0297287000, 0.0464912000, 0.0945361000, 0.2261325000, 0.5747860000, 1.4978501000", \ - "0.0234027000, 0.0295987000, 0.0463714000, 0.0945178000, 0.2258670000, 0.5754476000, 1.5002817000", \ - "0.0234712000, 0.0296298000, 0.0464827000, 0.0945746000, 0.2262124000, 0.5757703000, 1.5058735000", \ - "0.0234449000, 0.0295542000, 0.0463576000, 0.0945561000, 0.2265509000, 0.5757896000, 1.5031943000", \ - "0.0235206000, 0.0295412000, 0.0465003000, 0.0945017000, 0.2264533000, 0.5743722000, 1.5003868000", \ - "0.0234411000, 0.0295509000, 0.0463672000, 0.0945562000, 0.2263161000, 0.5748684000, 1.5040739000", \ - "0.0234922000, 0.0295914000, 0.0464713000, 0.0944974000, 0.2262714000, 0.5739247000, 1.5034012000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.2981591000, 0.3035300000, 0.3149160000, 0.3382795000, 0.3909912000, 0.5246280000, 0.8769932000", \ - "0.3035162000, 0.3088942000, 0.3202744000, 0.3437089000, 0.3963164000, 0.5298990000, 0.8822217000", \ - "0.3162129000, 0.3215528000, 0.3329325000, 0.3563800000, 0.4089404000, 0.5427420000, 0.8950808000", \ - "0.3474394000, 0.3527760000, 0.3641600000, 0.3876073000, 0.4401695000, 0.5739692000, 0.9263000000", \ - "0.4170925000, 0.4224706000, 0.4338516000, 0.4572862000, 0.5098971000, 0.6435170000, 0.9958363000", \ - "0.5443980000, 0.5497023000, 0.5610653000, 0.5845091000, 0.6371058000, 0.7709193000, 1.1233796000", \ - "0.7434935000, 0.7488884000, 0.7603377000, 0.7838391000, 0.8365482000, 0.9701560000, 1.3224792000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0180720000, 0.0222796000, 0.0316100000, 0.0549285000, 0.1183733000, 0.2936159000, 0.7637931000", \ - "0.0180898000, 0.0220043000, 0.0316561000, 0.0550806000, 0.1181654000, 0.2935739000, 0.7629167000", \ - "0.0179524000, 0.0221622000, 0.0314995000, 0.0549569000, 0.1182591000, 0.2935701000, 0.7629345000", \ - "0.0179501000, 0.0221757000, 0.0315025000, 0.0549578000, 0.1183135000, 0.2936178000, 0.7629951000", \ - "0.0180980000, 0.0220033000, 0.0316625000, 0.0550682000, 0.1181613000, 0.2933244000, 0.7629991000", \ - "0.0180168000, 0.0220131000, 0.0316969000, 0.0551137000, 0.1182990000, 0.2934097000, 0.7627739000", \ - "0.0183368000, 0.0222128000, 0.0318616000, 0.0553041000, 0.1186344000, 0.2940414000, 0.7631281000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.2012305000, 0.2065591000, 0.2179315000, 0.2413129000, 0.2940049000, 0.4277394000, 0.7801251000", \ - "0.2060059000, 0.2113376000, 0.2227355000, 0.2461621000, 0.2987605000, 0.4325814000, 0.7849182000", \ - "0.2158958000, 0.2212309000, 0.2326316000, 0.2560533000, 0.3086367000, 0.4425136000, 0.7948180000", \ - "0.2372958000, 0.2426413000, 0.2540031000, 0.2774375000, 0.3300197000, 0.4639189000, 0.8163273000", \ - "0.2843827000, 0.2897910000, 0.3011262000, 0.3245652000, 0.3772419000, 0.5110192000, 0.8634124000", \ - "0.3629777000, 0.3685166000, 0.3801119000, 0.4038490000, 0.4567554000, 0.5905102000, 0.9429616000", \ - "0.4618213000, 0.4677986000, 0.4799049000, 0.5043219000, 0.5579296000, 0.6915916000, 1.0439278000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3267877000, 0.3341569000, 0.3499636000, 0.3862777000, 0.4793586000, 0.7236445000, 1.3681393000", \ - "0.3319673000, 0.3393318000, 0.3550735000, 0.3914375000, 0.4845227000, 0.7287403000, 1.3733545000", \ - "0.3448856000, 0.3522589000, 0.3680545000, 0.4044063000, 0.4974405000, 0.7418506000, 1.3874546000", \ - "0.3766912000, 0.3840649000, 0.3998657000, 0.4361617000, 0.5292620000, 0.7737184000, 1.4187885000", \ - "0.4527959000, 0.4601403000, 0.4759630000, 0.5122957000, 0.6053645000, 0.8496537000, 1.4940676000", \ - "0.6310801000, 0.6384626000, 0.6542896000, 0.6906110000, 0.7836540000, 1.0279236000, 1.6726308000", \ - "0.9819657000, 0.9902480000, 1.0072613000, 1.0441242000, 1.1370773000, 1.3817052000, 2.0258937000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0179202000, 0.0222361000, 0.0315792000, 0.0549187000, 0.1180368000, 0.2940250000, 0.7631481000", \ - "0.0179309000, 0.0221854000, 0.0314941000, 0.0550041000, 0.1182141000, 0.2936530000, 0.7624338000", \ - "0.0179278000, 0.0221751000, 0.0314760000, 0.0549954000, 0.1182708000, 0.2936598000, 0.7622921000", \ - "0.0179949000, 0.0221310000, 0.0314788000, 0.0549757000, 0.1182478000, 0.2936622000, 0.7632134000", \ - "0.0182949000, 0.0223013000, 0.0317002000, 0.0550502000, 0.1180370000, 0.2936747000, 0.7632575000", \ - "0.0188389000, 0.0227688000, 0.0324158000, 0.0557771000, 0.1189526000, 0.2938550000, 0.7641361000", \ - "0.0214103000, 0.0251932000, 0.0349509000, 0.0575713000, 0.1196900000, 0.2942083000, 0.7604974000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0264253000, 0.0326359000, 0.0485605000, 0.0952417000, 0.2266163000, 0.5754300000, 1.4986059000", \ - "0.0263934000, 0.0324789000, 0.0485252000, 0.0954307000, 0.2267257000, 0.5756376000, 1.4948627000", \ - "0.0264400000, 0.0325389000, 0.0486156000, 0.0954566000, 0.2263621000, 0.5740877000, 1.4994909000", \ - "0.0264366000, 0.0325369000, 0.0485607000, 0.0954160000, 0.2262112000, 0.5741592000, 1.4982033000", \ - "0.0265358000, 0.0325423000, 0.0485607000, 0.0952717000, 0.2266458000, 0.5754325000, 1.4963829000", \ - "0.0265710000, 0.0327162000, 0.0486467000, 0.0953691000, 0.2266884000, 0.5747322000, 1.4982976000", \ - "0.0314164000, 0.0371842000, 0.0521451000, 0.0965228000, 0.2264824000, 0.5742984000, 1.4969843000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("-0.011151200, -0.006264400, 0.0026647000, 0.0123320000, 0.0081387000, -0.038052500, -0.184871400", \ - "-0.011120400, -0.006247900, 0.0026484000, 0.0122477000, 0.0080250000, -0.038168100, -0.185009600", \ - "-0.011031600, -0.006171200, 0.0026943000, 0.0122681000, 0.0079821000, -0.038273600, -0.185147100", \ - "-0.011063600, -0.006223600, 0.0025877000, 0.0121004000, 0.0077517000, -0.038520200, -0.185427300", \ - "-0.011119900, -0.006306900, 0.0024682000, 0.0118921000, 0.0074804000, -0.038817000, -0.185733200", \ - "-0.011210500, -0.006395400, 0.0023784000, 0.0118115000, 0.0073774000, -0.038940300, -0.185875100", \ - "-0.011360100, -0.006454100, 0.0024934000, 0.0122160000, 0.0080741000, -0.038450900, -0.185319700"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("-0.012687400, -0.009595800, -0.002666900, 0.0115432000, 0.0395128000, 0.1019562000, 0.2551899000", \ - "-0.012648300, -0.009563100, -0.002642700, 0.0115445000, 0.0395986000, 0.1015567000, 0.2550686000", \ - "-0.012563300, -0.009502700, -0.002629000, 0.0114765000, 0.0393304000, 0.1017103000, 0.2546387000", \ - "-0.012597400, -0.009559800, -0.002733100, 0.0113074000, 0.0391178000, 0.1012936000, 0.2549009000", \ - "-0.012658600, -0.009651600, -0.002884700, 0.0110618000, 0.0388068000, 0.1011211000, 0.2556463000", \ - "-0.012753300, -0.009748900, -0.002991100, 0.0109661000, 0.0386892000, 0.1005361000, 0.2540358000", \ - "-0.012900600, -0.009802200, -0.002843900, 0.0113031000, 0.0390948000, 0.1010364000, 0.2556507000"); - } - } - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("-0.003704800, 0.0002781000, 0.0089555000, 0.0279385000, 0.0605810000, 0.1250491000, 0.2798717000", \ - "-0.003661800, 0.0003202000, 0.0089929000, 0.0279233000, 0.0606085000, 0.1250628000, 0.2804078000", \ - "-0.003564400, 0.0004024000, 0.0090493000, 0.0279522000, 0.0606060000, 0.1250718000, 0.2799683000", \ - "-0.003602300, 0.0003479000, 0.0089684000, 0.0278275000, 0.0604370000, 0.1248692000, 0.2802276000", \ - "-0.003678900, 0.0002627000, 0.0088509000, 0.0276435000, 0.0601965000, 0.1247533000, 0.2790861000", \ - "-0.003832800, 9.580000e-05, 0.0086580000, 0.0274229000, 0.0598698000, 0.1241985000, 0.2787426000", \ - "-0.003861300, 0.0005058000, 0.0099748000, 0.0278338000, 0.0598458000, 0.1242756000, 0.2789911000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("0.0016784000, 0.0073635000, 0.0178561000, 0.0298441000, 0.0282120000, -0.015932200, -0.161731200", \ - "0.0016712000, 0.0073375000, 0.0177816000, 0.0297121000, 0.0280414000, -0.016156300, -0.161989300", \ - "0.0016685000, 0.0073117000, 0.0177247000, 0.0295962000, 0.0278302000, -0.016416900, -0.162278700", \ - "0.0016539000, 0.0072886000, 0.0176638000, 0.0294821000, 0.0277037000, -0.016574400, -0.162415400", \ - "0.0016182000, 0.0072253000, 0.0175494000, 0.0292891000, 0.0274041000, -0.016902200, -0.162755100", \ - "0.0015624000, 0.0071386000, 0.0174098000, 0.0290067000, 0.0270020000, -0.017344600, -0.163200100", \ - "0.0015304000, 0.0071674000, 0.0174894000, 0.0291224000, 0.0273138000, -0.016942500, -0.162720400"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012991020, 0.0033753300, 0.0087697930, 0.0227857000, 0.0592018900, 0.1538185000"); - values("-0.001353800, 0.0014512000, 0.0077684000, 0.0232478000, 0.0524869000, 0.1147575000, 0.2675251000", \ - "-0.001331900, 0.0014689000, 0.0077957000, 0.0232620000, 0.0524698000, 0.1148162000, 0.2677387000", \ - "-0.001287100, 0.0015040000, 0.0078056000, 0.0232719000, 0.0524693000, 0.1147856000, 0.2676767000", \ - "-0.001305600, 0.0014495000, 0.0076878000, 0.0230356000, 0.0521184000, 0.1141278000, 0.2674056000", \ - "-0.001313800, 0.0014181000, 0.0075801000, 0.0227707000, 0.0516534000, 0.1134643000, 0.2663911000", \ - "-0.001271800, 0.0014774000, 0.0076831000, 0.0226297000, 0.0512159000, 0.1130365000, 0.2661062000", \ - "-0.000931200, 0.0022067000, 0.0092313000, 0.0234161000, 0.0512291000, 0.1131411000, 0.2657982000"); - } - } - max_capacitance : 0.1538190000; - max_transition : 1.5028750000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.4006315000, 0.4097979000, 0.4286320000, 0.4643859000, 0.5318443000, 0.6666013000, 0.9856519000", \ - "0.4056050000, 0.4147671000, 0.4335270000, 0.4694011000, 0.5368597000, 0.6715475000, 0.9904362000", \ - "0.4165918000, 0.4257544000, 0.4445221000, 0.4803837000, 0.5478488000, 0.6825694000, 1.0017931000", \ - "0.4423612000, 0.4515281000, 0.4703555000, 0.5060976000, 0.5735519000, 0.7083004000, 1.0275852000", \ - "0.4915193000, 0.5006798000, 0.5194247000, 0.5552996000, 0.6227747000, 0.7574654000, 1.0767008000", \ - "0.5611687000, 0.5702963000, 0.5890387000, 0.6249301000, 0.6923817000, 0.8271068000, 1.1463800000", \ - "0.6479053000, 0.6571008000, 0.6758582000, 0.7116948000, 0.7791437000, 0.9138699000, 1.2330132000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.3480837000, 0.3572028000, 0.3770055000, 0.4196214000, 0.5190410000, 0.7660415000, 1.4052803000", \ - "0.3527565000, 0.3618942000, 0.3816859000, 0.4243113000, 0.5237379000, 0.7711534000, 1.4101257000", \ - "0.3638184000, 0.3729461000, 0.3927415000, 0.4353584000, 0.5347899000, 0.7815367000, 1.4188968000", \ - "0.3896910000, 0.3988221000, 0.4186204000, 0.4612383000, 0.5606599000, 0.8077379000, 1.4474503000", \ - "0.4388618000, 0.4479911000, 0.4677886000, 0.5104066000, 0.6098378000, 0.8563922000, 1.4949419000", \ - "0.5113804000, 0.5205217000, 0.5403105000, 0.5829338000, 0.6823769000, 0.9293059000, 1.5692089000", \ - "0.6056466000, 0.6147911000, 0.6345983000, 0.6772419000, 0.7766920000, 1.0236113000, 1.6646720000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0358379000, 0.0421830000, 0.0551374000, 0.0825959000, 0.1421615000, 0.2888361000, 0.6981334000", \ - "0.0358013000, 0.0416315000, 0.0548193000, 0.0824704000, 0.1418475000, 0.2884752000, 0.7002786000", \ - "0.0357964000, 0.0416308000, 0.0549153000, 0.0824776000, 0.1420897000, 0.2893863000, 0.6992660000", \ - "0.0356796000, 0.0419939000, 0.0551397000, 0.0825942000, 0.1422499000, 0.2894359000, 0.7002107000", \ - "0.0357799000, 0.0416074000, 0.0549004000, 0.0824601000, 0.1420390000, 0.2886561000, 0.6992218000", \ - "0.0358971000, 0.0416581000, 0.0549239000, 0.0824953000, 0.1418589000, 0.2888211000, 0.6987059000", \ - "0.0358968000, 0.0419332000, 0.0550085000, 0.0825729000, 0.1421063000, 0.2895545000, 0.6968472000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0333419000, 0.0411325000, 0.0602126000, 0.1095140000, 0.2394602000, 0.5853492000, 1.4992254000", \ - "0.0334061000, 0.0412638000, 0.0600870000, 0.1095935000, 0.2392886000, 0.5852037000, 1.4958143000", \ - "0.0333202000, 0.0411286000, 0.0601004000, 0.1095295000, 0.2389708000, 0.5855385000, 1.4956416000", \ - "0.0333401000, 0.0411335000, 0.0602122000, 0.1095119000, 0.2393440000, 0.5852807000, 1.5028746000", \ - "0.0333292000, 0.0411322000, 0.0601026000, 0.1095474000, 0.2394699000, 0.5860407000, 1.4993992000", \ - "0.0334289000, 0.0412336000, 0.0600606000, 0.1096149000, 0.2393091000, 0.5858514000, 1.4956146000", \ - "0.0333433000, 0.0411036000, 0.0601505000, 0.1095902000, 0.2390359000, 0.5853831000, 1.4949126000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.2396027000, 0.2492037000, 0.2699727000, 0.3144510000, 0.4177388000, 0.6676737000, 1.3083092000", \ - "0.2449741000, 0.2545791000, 0.2753351000, 0.3198254000, 0.4231173000, 0.6730410000, 1.3116327000", \ - "0.2575893000, 0.2672154000, 0.2879776000, 0.3324728000, 0.4357698000, 0.6855779000, 1.3241118000", \ - "0.2888066000, 0.2984313000, 0.3192000000, 0.3636871000, 0.4669824000, 0.7167778000, 1.3552968000", \ - "0.3585457000, 0.3681595000, 0.3889193000, 0.4333526000, 0.5366451000, 0.7865626000, 1.4250052000", \ - "0.4859430000, 0.4956379000, 0.5165927000, 0.5613445000, 0.6648481000, 0.9145251000, 1.5519467000", \ - "0.6838170000, 0.6938758000, 0.7152943000, 0.7606817000, 0.8654567000, 1.1152809000, 1.7534342000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0351897000, 0.0435629000, 0.0632180000, 0.1144593000, 0.2466528000, 0.5875197000, 1.4962500000", \ - "0.0351489000, 0.0435373000, 0.0631869000, 0.1144703000, 0.2474793000, 0.5881708000, 1.4906887000", \ - "0.0353380000, 0.0434882000, 0.0632522000, 0.1143749000, 0.2475057000, 0.5879467000, 1.4962694000", \ - "0.0353534000, 0.0435045000, 0.0632592000, 0.1143836000, 0.2475053000, 0.5879480000, 1.4962995000", \ - "0.0351802000, 0.0435624000, 0.0632183000, 0.1144793000, 0.2473239000, 0.5877904000, 1.4946336000", \ - "0.0360190000, 0.0442087000, 0.0638477000, 0.1149593000, 0.2473283000, 0.5879475000, 1.4961550000", \ - "0.0379348000, 0.0461593000, 0.0657266000, 0.1167774000, 0.2477945000, 0.5881308000, 1.4956965000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.2435210000, 0.2547189000, 0.2782951000, 0.3231330000, 0.4044971000, 0.5499269000, 0.8734229000", \ - "0.2486042000, 0.2599133000, 0.2834115000, 0.3282846000, 0.4095990000, 0.5550207000, 0.8786349000", \ - "0.2615281000, 0.2727445000, 0.2963730000, 0.3412197000, 0.4225041000, 0.5679121000, 0.8911646000", \ - "0.2934790000, 0.3048391000, 0.3283040000, 0.3731421000, 0.4544183000, 0.5998420000, 0.9231577000", \ - "0.3695722000, 0.3807027000, 0.4042535000, 0.4490001000, 0.5303136000, 0.6758078000, 0.9990376000", \ - "0.5469448000, 0.5584042000, 0.5821456000, 0.6269011000, 0.7080923000, 0.8536575000, 1.1771641000", \ - "0.8749467000, 0.8909553000, 0.9236221000, 0.9801640000, 1.0720869000, 1.2243860000, 1.5495475000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.1424171000, 0.1520309000, 0.1727711000, 0.2172282000, 0.3202705000, 0.5699197000, 1.2077892000", \ - "0.1471941000, 0.1567955000, 0.1775616000, 0.2220117000, 0.3250785000, 0.5747366000, 1.2132207000", \ - "0.1570862000, 0.1666827000, 0.1874566000, 0.2319134000, 0.3349982000, 0.5846807000, 1.2227053000", \ - "0.1784983000, 0.1880824000, 0.2088776000, 0.2532593000, 0.3563983000, 0.6061553000, 1.2437388000", \ - "0.2248104000, 0.2346885000, 0.2556910000, 0.3003211000, 0.4036331000, 0.6533584000, 1.2910840000", \ - "0.2981366000, 0.3095049000, 0.3329923000, 0.3804817000, 0.4859220000, 0.7353171000, 1.3730181000", \ - "0.3832358000, 0.3981856000, 0.4281977000, 0.4834551000, 0.5944101000, 0.8443717000, 1.4825788000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0470673000, 0.0541740000, 0.0703757000, 0.1044671000, 0.1658177000, 0.3048007000, 0.7056092000", \ - "0.0469262000, 0.0541500000, 0.0704163000, 0.1043357000, 0.1659549000, 0.3046728000, 0.7045852000", \ - "0.0468543000, 0.0542910000, 0.0705451000, 0.1044727000, 0.1655242000, 0.3043977000, 0.7041788000", \ - "0.0471197000, 0.0543769000, 0.0704768000, 0.1044144000, 0.1658164000, 0.3046787000, 0.7043597000", \ - "0.0466048000, 0.0542496000, 0.0705041000, 0.1044576000, 0.1656089000, 0.3045261000, 0.7038999000", \ - "0.0493810000, 0.0566784000, 0.0721379000, 0.1053305000, 0.1661726000, 0.3049385000, 0.7048401000", \ - "0.0768440000, 0.0864175000, 0.1040370000, 0.1348532000, 0.1868016000, 0.3159617000, 0.7063551000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012991000, 0.0033753300, 0.0087697900, 0.0227857000, 0.0592019000, 0.1538190000"); - values("0.0351447000, 0.0433397000, 0.0632023000, 0.1142635000, 0.2474989000, 0.5880697000, 1.4957597000", \ - "0.0351250000, 0.0434212000, 0.0631327000, 0.1143306000, 0.2475200000, 0.5876890000, 1.4934473000", \ - "0.0351291000, 0.0434227000, 0.0631252000, 0.1143579000, 0.2473324000, 0.5879922000, 1.4954458000", \ - "0.0350673000, 0.0434756000, 0.0631006000, 0.1143746000, 0.2472552000, 0.5879687000, 1.4956583000", \ - "0.0364469000, 0.0446097000, 0.0642647000, 0.1148046000, 0.2470327000, 0.5878046000, 1.4957299000", \ - "0.0435905000, 0.0519372000, 0.0715278000, 0.1211163000, 0.2493180000, 0.5879196000, 1.4958491000", \ - "0.0597566000, 0.0697363000, 0.0899106000, 0.1372406000, 0.2580121000, 0.5892659000, 1.4953904000"); - } - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0016390000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015590000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0128997000, 0.0128093000, 0.0126009000, 0.0126561000, 0.0127834000, 0.0130768000, 0.0137532000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079937000, 0.0079397000, 0.0078152000, 0.0078445000, 0.0079124000, 0.0080689000, 0.0084296000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017180000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0581523000, 0.1832663000, 0.2752259000", \ - "-0.064520200, 0.0581523000, 0.1464499000", \ - "-0.154038400, -0.031365900, 0.0532695000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.030959000, -0.153631500, -0.240708300", \ - "0.0892721000, -0.032179700, -0.116815100", \ - "0.1800111000, 0.0573385000, -0.027296900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2203161000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "SET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.106642600, -0.062095000, -0.076336600", \ - "-0.229315100, -0.168898400, -0.178257200", \ - "-0.334702500, -0.255975300, -0.250685500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "SET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1374980000, 0.1027161000, 0.1840964000", \ - "0.2711569000, 0.2156230000, 0.2493958000", \ - "0.4021790000, 0.3271139000, 0.3291484000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCD") { - capacitance : 0.0017440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017040000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0031006000, 0.0030973000, 0.0030897000, 0.0030960000, 0.0031106000, 0.0031442000, 0.0032218000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002971600, -0.002966900, -0.002956000, -0.002966200, -0.002989600, -0.003043600, -0.003168000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017830000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2876445000, 0.4628073000, 0.7317689000", \ - "0.1539857000, 0.3303691000, 0.6005514000", \ - "0.0571432000, 0.2335267000, 0.5061504000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1521465000, 0.2870260000, 0.4363587000", \ - "0.0770814000, 0.2082988000, 0.3478659000", \ - "0.0571432000, 0.1871400000, 0.3242656000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.188429700, -0.362371800, -0.620347000", \ - "-0.079184900, -0.254347700, -0.517205700", \ - "0.0054505000, -0.168491500, -0.433791000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.122511700, -0.254949900, -0.398179000", \ - "-0.060874400, -0.188429700, -0.324334600", \ - "-0.039715500, -0.168491500, -0.304396500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0026210000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0024860000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0096099000, 0.0095306000, 0.0093478000, 0.0094067000, 0.0095428000, 0.0098564000, 0.0105794000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0014231000, 0.0013803000, 0.0012817000, 0.0013039000, 0.0013554000, 0.0014740000, 0.0017475000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0027560000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4036113000, 0.5836569000, 0.9148743000", \ - "0.2736146000, 0.4524395000, 0.7860983000", \ - "0.1767721000, 0.3580384000, 0.6953594000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2961895000, 0.3773581000, 0.4021790000", \ - "0.1625306000, 0.2436992000, 0.2709616000", \ - "0.0656882000, 0.1468568000, 0.1728984000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.185988300, -0.383123700, -0.627671200", \ - "-0.121909500, -0.319044900, -0.563592500", \ - "-0.098309200, -0.295444700, -0.541212900"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.102980500, -0.243963600, -0.366440800", \ - "-0.041343100, -0.172560500, -0.284051400", \ - "-0.020184200, -0.150181000, -0.256789100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SET_B") { - capacitance : 0.0034300000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033430000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0050650000, 0.0050511000, 0.0050191000, 0.0050331000, 0.0050658000, 0.0051410000, 0.0053143000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0064941000, 0.0064514000, 0.0063529000, 0.0063426000, 0.0063191000, 0.0062649000, 0.0061400000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035170000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.039503900, 0.0746237000, 0.2422669000", \ - "-0.193914700, -0.077345700, 0.0707663000", \ - "-0.318833300, -0.201043600, -0.061476600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1240703000, 0.0392396000, 0.0083151000", \ - "0.2601706000, 0.1753398000, 0.1444154000", \ - "0.3704408000, 0.2831686000, 0.2522441000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "RESET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1374980000, 0.2711569000, 0.4021790000", \ - "0.1027161000, 0.2156230000, 0.3271139000", \ - "0.1840964000, 0.2493958000, 0.3291484000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2532714000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "RESET_B"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.106642600, -0.229315100, -0.334702500", \ - "-0.062095000, -0.168898400, -0.255975300", \ - "-0.076336600, -0.178257200, -0.250685500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "non_seq_hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clear_preset_var1 : "H"; - clear_preset_var2 : "L"; - clocked_on : "CLK"; - next_state : "D"; - preset : "!SET_B"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - signal_type : "test_scan_out_inverted"; - } - pin ("RESET_B") { - direction : "input"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - pin ("SET_B") { - direction : "input"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfrbp_1") { - leakage_power () { - value : 0.0188441000; - when : "!RESET_B&!CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0185804000; - when : "!RESET_B&!CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0183437000; - when : "!RESET_B&!CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0189362000; - when : "!RESET_B&!CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0186853000; - when : "!RESET_B&!CLK&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0201140000; - when : "RESET_B&CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0193965000; - when : "RESET_B&CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0193002000; - when : "RESET_B&CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0188558000; - when : "RESET_B&!CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0184036000; - when : "!RESET_B&CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0097048000; - when : "RESET_B&CLK&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0101687000; - when : "RESET_B&CLK&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0086776000; - when : "RESET_B&CLK&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0085515000; - when : "RESET_B&!CLK&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0193508000; - when : "!RESET_B&CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0196307000; - when : "RESET_B&CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0191668000; - when : "RESET_B&CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0203777000; - when : "RESET_B&CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0190855000; - when : "RESET_B&!CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0186332000; - when : "!RESET_B&CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0202189000; - when : "RESET_B&CLK&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0193197000; - when : "RESET_B&!CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0188675000; - when : "!RESET_B&CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0198772000; - when : "RESET_B&CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0189892000; - when : "RESET_B&!CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0185369000; - when : "!RESET_B&CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0098381000; - when : "RESET_B&CLK&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0090192000; - when : "RESET_B&CLK&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0089143000; - when : "RESET_B&CLK&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0083147000; - when : "RESET_B&!CLK&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0191140000; - when : "!RESET_B&CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0099344000; - when : "RESET_B&CLK&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0088151000; - when : "RESET_B&!CLK&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0196144000; - when : "!RESET_B&CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0091780000; - when : "RESET_B&CLK&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0086564000; - when : "RESET_B&!CLK&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0194557000; - when : "!RESET_B&CLK&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0187211000; - when : "RESET_B&!CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0184723000; - when : "!RESET_B&!CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0105662000; - when : "RESET_B&!CLK&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0104699000; - when : "RESET_B&!CLK&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0103365000; - when : "RESET_B&!CLK&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0184844000; - when : "RESET_B&!CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0189848000; - when : "RESET_B&!CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0186056000; - when : "!RESET_B&!CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0187019000; - when : "!RESET_B&!CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0108004000; - when : "RESET_B&!CLK&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0188261000; - when : "RESET_B&!CLK&D&SCD&SCE&!Q&Q_N"; - } - area : 35.033600000; - cell_footprint : "sky130_fd_sc_hd__sdfrbp"; - cell_leakage_power : 0.0158841600; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0024510000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0023220000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0296423000, 0.0294645000, 0.0290547000, 0.0291850000, 0.0294855000, 0.0301783000, 0.0317751000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0184353000, 0.0183165000, 0.0180427000, 0.0181136000, 0.0182771000, 0.0186541000, 0.0195230000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025790000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2653551000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1533070000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016140000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015510000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079880000, 0.0079024000, 0.0077050000, 0.0077455000, 0.0078392000, 0.0080551000, 0.0085528000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022782000, 0.0022455000, 0.0021701000, 0.0021796000, 0.0022017000, 0.0022527000, 0.0023703000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016760000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2974102000, 0.4957663000, 0.8489564000", \ - "0.2028138000, 0.4023906000, 0.7555808000", \ - "0.1560202000, 0.3568177000, 0.7087871000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1228496000, 0.2613913000, 0.3826478000", \ - "0.0721986000, 0.2021953000, 0.3112448000", \ - "0.0803366000, 0.2054505000, 0.3083965000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.178664100, -0.377020200, -0.677720100", \ - "-0.109702500, -0.309279300, -0.636834700", \ - "-0.073895200, -0.274692700, -0.608351500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.084669900, -0.215887400, -0.309067700", \ - "-0.049888000, -0.176222700, -0.274285800", \ - "-0.062908900, -0.186802100, -0.284865200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013351650, 0.0035653330, 0.0095206180, 0.0254232000, 0.0678883500, 0.1812843000"); - values("-0.006039200, -0.001549100, 0.0068139000, 0.0154940000, 0.0081728000, -0.048287800, -0.225966100", \ - "-0.005984400, -0.001502600, 0.0068208000, 0.0155260000, 0.0081607000, -0.048271300, -0.225989500", \ - "-0.005863200, -0.001398600, 0.0068828000, 0.0154602000, 0.0080559000, -0.048434500, -0.226127800", \ - "-0.005926300, -0.001505000, 0.0066989000, 0.0151535000, 0.0076088000, -0.048921900, -0.226697700", \ - "-0.006016600, -0.001614200, 0.0065460000, 0.0149789000, 0.0073793000, -0.049266900, -0.227036000", \ - "-0.006189300, -0.001756500, 0.0064656000, 0.0149570000, 0.0074180000, -0.049149700, -0.226856500", \ - "-0.006524100, -0.001955200, 0.0065626000, 0.0155048000, 0.0084707000, -0.048106600, -0.225722900"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013351650, 0.0035653330, 0.0095206180, 0.0254232000, 0.0678883500, 0.1812843000"); - values("-0.007563800, -0.004971800, 0.0011886000, 0.0147369000, 0.0442359000, 0.1149229000, 0.2994720000", \ - "-0.007512600, -0.004931700, 0.0012013000, 0.0147062000, 0.0442012000, 0.1147338000, 0.2991629000", \ - "-0.007390300, -0.004828000, 0.0012641000, 0.0147270000, 0.0440695000, 0.1153207000, 0.2981773000", \ - "-0.007456100, -0.004940500, 0.0010533000, 0.0143756000, 0.0435792000, 0.1147250000, 0.2975461000", \ - "-0.007551000, -0.005055400, 0.0008988000, 0.0141584000, 0.0432958000, 0.1143984000, 0.2971947000", \ - "-0.007729700, -0.005220300, 0.0007656000, 0.0140596000, 0.0433059000, 0.1143746000, 0.2971635000", \ - "-0.008062100, -0.005402900, 0.0008970000, 0.0146881000, 0.0442232000, 0.1151473000, 0.2972498000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013351650, 0.0035653330, 0.0095206180, 0.0254232000, 0.0678883500, 0.1812843000"); - values("0.0001856000, 0.0038666000, 0.0105670000, 0.0167152000, 0.0068561000, -0.051263700, -0.229763300", \ - "0.0001753000, 0.0038423000, 0.0105129000, 0.0166310000, 0.0067233000, -0.051405100, -0.229891600", \ - "0.0001584000, 0.0038143000, 0.0104558000, 0.0165268000, 0.0065865000, -0.051565200, -0.230060200", \ - "0.0001530000, 0.0038110000, 0.0104441000, 0.0165171000, 0.0065656000, -0.051577900, -0.230079400", \ - "0.0001313000, 0.0037681000, 0.0103733000, 0.0163690000, 0.0063494000, -0.051824000, -0.230319800", \ - "0.0001073000, 0.0037422000, 0.0103169000, 0.0162458000, 0.0061328000, -0.052062000, -0.230538600", \ - "9.250000e-05, 0.0037753000, 0.0104280000, 0.0164036000, 0.0062945000, -0.051784300, -0.230219700"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.1812840000; - max_transition : 1.5033780000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013351700, 0.0035653300, 0.0095206200, 0.0254232000, 0.0678883000, 0.1812840000"); - values("0.3247635000, 0.3346597000, 0.3553386000, 0.3950618000, 0.4686480000, 0.6274670000, 1.0327250000", \ - "0.3296543000, 0.3395421000, 0.3601436000, 0.3998749000, 0.4735487000, 0.6322570000, 1.0377993000", \ - "0.3405129000, 0.3504010000, 0.3709897000, 0.4107573000, 0.4843568000, 0.6431345000, 1.0487387000", \ - "0.3648889000, 0.3747771000, 0.3953667000, 0.4351229000, 0.5087202000, 0.6675103000, 1.0730112000", \ - "0.4027206000, 0.4126031000, 0.4332833000, 0.4730101000, 0.5466034000, 0.7053931000, 1.1109798000", \ - "0.4522781000, 0.4621833000, 0.4827536000, 0.5225048000, 0.5959901000, 0.7548974000, 1.1602626000", \ - "0.5027690000, 0.5127047000, 0.5332946000, 0.5730754000, 0.6466868000, 0.8054827000, 1.2108012000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013351700, 0.0035653300, 0.0095206200, 0.0254232000, 0.0678883000, 0.1812840000"); - values("0.2834343000, 0.2928033000, 0.3128607000, 0.3554859000, 0.4520326000, 0.6948017000, 1.3412207000", \ - "0.2880410000, 0.2973637000, 0.3174691000, 0.3600849000, 0.4566289000, 0.6993550000, 1.3451702000", \ - "0.2987139000, 0.3080604000, 0.3281816000, 0.3707469000, 0.4672910000, 0.7103235000, 1.3561906000", \ - "0.3227867000, 0.3321267000, 0.3522719000, 0.3948472000, 0.4913957000, 0.7344733000, 1.3802458000", \ - "0.3620904000, 0.3714266000, 0.3915640000, 0.4341265000, 0.5306658000, 0.7737498000, 1.4194504000", \ - "0.4144469000, 0.4238044000, 0.4439096000, 0.4865012000, 0.5830665000, 0.8264605000, 1.4730140000", \ - "0.4730137000, 0.4823975000, 0.5025040000, 0.5451640000, 0.6417502000, 0.8842382000, 1.5289032000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013351700, 0.0035653300, 0.0095206200, 0.0254232000, 0.0678883000, 0.1812840000"); - values("0.0356090000, 0.0419623000, 0.0565913000, 0.0866655000, 0.1540417000, 0.3400220000, 0.8771956000", \ - "0.0353706000, 0.0421867000, 0.0566559000, 0.0867480000, 0.1542202000, 0.3402868000, 0.8743807000", \ - "0.0353408000, 0.0419630000, 0.0566246000, 0.0866442000, 0.1532010000, 0.3400186000, 0.8798076000", \ - "0.0353404000, 0.0419540000, 0.0566759000, 0.0865957000, 0.1536118000, 0.3405697000, 0.8746692000", \ - "0.0354308000, 0.0419351000, 0.0565980000, 0.0867078000, 0.1539699000, 0.3401296000, 0.8794770000", \ - "0.0353143000, 0.0420099000, 0.0564985000, 0.0861886000, 0.1539382000, 0.3406732000, 0.8737516000", \ - "0.0353578000, 0.0424790000, 0.0566190000, 0.0866560000, 0.1541012000, 0.3405857000, 0.8736518000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013351700, 0.0035653300, 0.0095206200, 0.0254232000, 0.0678883000, 0.1812840000"); - values("0.0320810000, 0.0396026000, 0.0577491000, 0.1039555000, 0.2267948000, 0.5697173000, 1.5031376000", \ - "0.0321952000, 0.0394641000, 0.0574604000, 0.1039908000, 0.2269644000, 0.5697581000, 1.5033781000", \ - "0.0320669000, 0.0395818000, 0.0576375000, 0.1039320000, 0.2266885000, 0.5699550000, 1.5021887000", \ - "0.0320738000, 0.0395198000, 0.0576106000, 0.1039083000, 0.2267039000, 0.5700315000, 1.5021379000", \ - "0.0321412000, 0.0396033000, 0.0576008000, 0.1039060000, 0.2267141000, 0.5700425000, 1.5020881000", \ - "0.0323164000, 0.0395063000, 0.0576697000, 0.1039252000, 0.2267079000, 0.5697432000, 1.4999034000", \ - "0.0321471000, 0.0396824000, 0.0576157000, 0.1040607000, 0.2267508000, 0.5702507000, 1.4965584000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013351700, 0.0035653300, 0.0095206200, 0.0254232000, 0.0678883000, 0.1812840000"); - values("0.1992423000, 0.2099929000, 0.2325602000, 0.2769413000, 0.3500325000, 0.5041134000, 0.9098806000", \ - "0.2041813000, 0.2149500000, 0.2375298000, 0.2819667000, 0.3550463000, 0.5091046000, 0.9138524000", \ - "0.2167353000, 0.2275058000, 0.2501377000, 0.2945187000, 0.3676489000, 0.5217615000, 0.9266227000", \ - "0.2481861000, 0.2589453000, 0.2815536000, 0.3259103000, 0.3990704000, 0.5531584000, 0.9578822000", \ - "0.3238136000, 0.3345415000, 0.3570412000, 0.4013490000, 0.4744182000, 0.6285642000, 1.0334114000", \ - "0.4924262000, 0.5041448000, 0.5283617000, 0.5741538000, 0.6471451000, 0.8012403000, 1.2055635000", \ - "0.7785891000, 0.7940782000, 0.8262703000, 0.8855346000, 0.9633027000, 1.1179427000, 1.5230158000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013351700, 0.0035653300, 0.0095206200, 0.0254232000, 0.0678883000, 0.1812840000"); - values("0.0389770000, 0.0465243000, 0.0633553000, 0.0935468000, 0.1503293000, 0.3339339000, 0.8770263000", \ - "0.0391456000, 0.0468416000, 0.0632810000, 0.0935501000, 0.1507283000, 0.3340275000, 0.8713182000", \ - "0.0389479000, 0.0463595000, 0.0633989000, 0.0935889000, 0.1507556000, 0.3337886000, 0.8721172000", \ - "0.0388685000, 0.0463813000, 0.0631595000, 0.0936418000, 0.1507540000, 0.3339836000, 0.8810019000", \ - "0.0393047000, 0.0468083000, 0.0633109000, 0.0936302000, 0.1507656000, 0.3338638000, 0.8764089000", \ - "0.0457433000, 0.0529116000, 0.0693368000, 0.0972076000, 0.1511646000, 0.3341070000, 0.8696741000", \ - "0.0675278000, 0.0775030000, 0.0983968000, 0.1224456000, 0.1608884000, 0.3357057000, 0.8781891000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("-0.005732400, -0.001287800, 0.0065548000, 0.0147053000, 0.0109513000, -0.028338700, -0.149798400", \ - "-0.005683200, -0.001245800, 0.0065543000, 0.0146688000, 0.0108572000, -0.028427300, -0.149901300", \ - "-0.005562200, -0.001143400, 0.0066211000, 0.0146818000, 0.0108194000, -0.028523800, -0.150046100", \ - "-0.005630800, -0.001261500, 0.0063983000, 0.0143289000, 0.0103296000, -0.029105100, -0.150653500", \ - "-0.005728300, -0.001380000, 0.0062402000, 0.0141122000, 0.0100552000, -0.029420300, -0.150987000", \ - "-0.005907900, -0.001550500, 0.0061066000, 0.0140256000, 0.0100419000, -0.029383100, -0.150924800", \ - "-0.006220000, -0.001702100, 0.0062841000, 0.0146498000, 0.0109254000, -0.028423000, -0.149841000"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("-0.007105000, -0.004143000, 0.0023762000, 0.0154409000, 0.0403553000, 0.0934007000, 0.2210922000", \ - "-0.007060300, -0.004119400, 0.0023672000, 0.0153423000, 0.0401796000, 0.0934812000, 0.2218000000", \ - "-0.006941700, -0.004024900, 0.0024169000, 0.0153215000, 0.0400454000, 0.0929955000, 0.2215633000", \ - "-0.007000600, -0.004115000, 0.0022549000, 0.0151015000, 0.0397356000, 0.0930065000, 0.2215825000", \ - "-0.007100900, -0.004234700, 0.0020949000, 0.0148959000, 0.0393999000, 0.0928458000, 0.2208835000", \ - "-0.007253600, -0.004347900, 0.0020608000, 0.0149846000, 0.0396573000, 0.0929235000, 0.2202589000", \ - "-0.007535300, -0.004422900, 0.0023110000, 0.0154936000, 0.0403491000, 0.0937060000, 0.2209957000"); - } - } - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("-0.000953500, 0.0011794000, 0.0060973000, 0.0167166000, 0.0391598000, 0.0907596000, 0.2174380000", \ - "-0.000966700, 0.0011573000, 0.0060486000, 0.0166096000, 0.0391093000, 0.0906142000, 0.2183125000", \ - "-0.000975300, 0.0011475000, 0.0060345000, 0.0166195000, 0.0389986000, 0.0910791000, 0.2171833000", \ - "-0.000993100, 0.0011142000, 0.0059676000, 0.0165011000, 0.0388450000, 0.0908428000, 0.2181205000", \ - "-0.001018600, 0.0010689000, 0.0058726000, 0.0163613000, 0.0386609000, 0.0902431000, 0.2168188000", \ - "-0.001053400, 0.0010213000, 0.0057925000, 0.0162352000, 0.0384593000, 0.0903801000, 0.2174235000", \ - "-0.001066100, 0.0010684000, 0.0059238000, 0.0163186000, 0.0389487000, 0.0907335000, 0.2176849000"); - } - } - max_capacitance : 0.1300150000; - max_transition : 1.5032920000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.3357136000, 0.3411624000, 0.3524427000, 0.3758404000, 0.4284862000, 0.5589084000, 0.8875734000", \ - "0.3402794000, 0.3457671000, 0.3570118000, 0.3803897000, 0.4329640000, 0.5633627000, 0.8933721000", \ - "0.3510029000, 0.3564810000, 0.3677282000, 0.3910602000, 0.4438370000, 0.5741752000, 0.9029254000", \ - "0.3750683000, 0.3805358000, 0.3917891000, 0.4151253000, 0.4679015000, 0.5982180000, 0.9281597000", \ - "0.4143761000, 0.4198440000, 0.4310964000, 0.4544330000, 0.5072062000, 0.6375073000, 0.9674248000", \ - "0.4667771000, 0.4722217000, 0.4835038000, 0.5068402000, 0.5596209000, 0.6900395000, 1.0198612000", \ - "0.5253097000, 0.5307555000, 0.5420465000, 0.5654232000, 0.6180723000, 0.7483825000, 1.0773369000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.3767716000, 0.3838780000, 0.4000796000, 0.4392662000, 0.5363630000, 0.7806772000, 1.4003133000", \ - "0.3815299000, 0.3887075000, 0.4049684000, 0.4438045000, 0.5412382000, 0.7859526000, 1.4051582000", \ - "0.3926584000, 0.3997768000, 0.4160679000, 0.4548903000, 0.5522590000, 0.7970272000, 1.4172097000", \ - "0.4163598000, 0.4234698000, 0.4397902000, 0.4787930000, 0.5763196000, 0.8209657000, 1.4377263000", \ - "0.4546322000, 0.4617545000, 0.4780599000, 0.5170510000, 0.6146189000, 0.8588717000, 1.4797147000", \ - "0.5041570000, 0.5112016000, 0.5273431000, 0.5665402000, 0.6638627000, 0.9084910000, 1.5279910000", \ - "0.5547893000, 0.5619284000, 0.5781061000, 0.6170442000, 0.7143993000, 0.9587374000, 1.5771547000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0169376000, 0.0215055000, 0.0316348000, 0.0568781000, 0.1226368000, 0.2957257000, 0.7377707000", \ - "0.0170292000, 0.0214480000, 0.0317612000, 0.0568627000, 0.1228349000, 0.2951767000, 0.7374035000", \ - "0.0171639000, 0.0214318000, 0.0316541000, 0.0569451000, 0.1228840000, 0.2962631000, 0.7377453000", \ - "0.0171467000, 0.0214445000, 0.0316563000, 0.0569541000, 0.1228552000, 0.2957871000, 0.7401916000", \ - "0.0171582000, 0.0214447000, 0.0316574000, 0.0569665000, 0.1228207000, 0.2957574000, 0.7402158000", \ - "0.0170380000, 0.0214673000, 0.0314502000, 0.0568326000, 0.1227931000, 0.2959806000, 0.7379357000", \ - "0.0169724000, 0.0215192000, 0.0315192000, 0.0569104000, 0.1227524000, 0.2964277000, 0.7309376000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0232177000, 0.0308848000, 0.0516166000, 0.1059431000, 0.2456861000, 0.5989281000, 1.4978651000", \ - "0.0231710000, 0.0308749000, 0.0516179000, 0.1059644000, 0.2457098000, 0.5995721000, 1.4990906000", \ - "0.0232290000, 0.0308938000, 0.0516106000, 0.1059459000, 0.2455049000, 0.5997938000, 1.4968463000", \ - "0.0232896000, 0.0310168000, 0.0514842000, 0.1059035000, 0.2452792000, 0.5992775000, 1.5032923000", \ - "0.0232816000, 0.0310267000, 0.0515154000, 0.1058394000, 0.2454805000, 0.5991403000, 1.4970353000", \ - "0.0231889000, 0.0309199000, 0.0515303000, 0.1059472000, 0.2456894000, 0.5985384000, 1.4969160000", \ - "0.0231826000, 0.0309442000, 0.0516082000, 0.1061753000, 0.2452863000, 0.5980715000, 1.4961814000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.2535619000, 0.2607549000, 0.2771595000, 0.3161478000, 0.4135647000, 0.6592561000, 1.2763054000", \ - "0.2585393000, 0.2658171000, 0.2821395000, 0.3211605000, 0.4186735000, 0.6634487000, 1.2839046000", \ - "0.2710702000, 0.2783357000, 0.2947415000, 0.3340100000, 0.4313965000, 0.6763397000, 1.2941008000", \ - "0.3023334000, 0.3096258000, 0.3260350000, 0.3652685000, 0.4627341000, 0.7077363000, 1.3270588000", \ - "0.3780065000, 0.3852462000, 0.4014474000, 0.4408659000, 0.5383523000, 0.7828642000, 1.4012161000", \ - "0.5487085000, 0.5560692000, 0.5723484000, 0.6118221000, 0.7093036000, 0.9542852000, 1.5731013000", \ - "0.8446698000, 0.8526219000, 0.8697563000, 0.9089173000, 1.0064999000, 1.2509190000, 1.8710113000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0241253000, 0.0316418000, 0.0520958000, 0.1062601000, 0.2461305000, 0.5997061000, 1.4972008000", \ - "0.0240383000, 0.0316472000, 0.0519641000, 0.1062341000, 0.2457393000, 0.5999607000, 1.4984973000", \ - "0.0241805000, 0.0317253000, 0.0520684000, 0.1062105000, 0.2455093000, 0.5989486000, 1.4921570000", \ - "0.0241591000, 0.0317152000, 0.0520887000, 0.1062806000, 0.2453361000, 0.5990214000, 1.4977904000", \ - "0.0240354000, 0.0316034000, 0.0520411000, 0.1063251000, 0.2453279000, 0.5999958000, 1.4972248000", \ - "0.0247447000, 0.0322457000, 0.0524711000, 0.1064221000, 0.2454138000, 0.5985037000, 1.4967257000", \ - "0.0294526000, 0.0366827000, 0.0549218000, 0.1076049000, 0.2460239000, 0.5982217000, 1.4983382000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("RESET_B") { - capacitance : 0.0035110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034820000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048847000, 0.0048906000, 0.0049042000, 0.0049142000, 0.0049371000, 0.0049899000, 0.0051116000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.005473700, -0.005522100, -0.005633800, -0.005635100, -0.005638100, -0.005645000, -0.005660900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035410000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.203078100, -0.063315800, 0.2532533000", \ - "-0.326971400, -0.195753900, 0.0964010000", \ - "-0.407944700, -0.284051400, -0.010207000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2778789000, 0.4164368000, 0.6845847000", \ - "0.3883444000, 0.5244610000, 0.7865052000", \ - "0.4632142000, 0.5956686000, 0.8491679000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2323997000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCD") { - capacitance : 0.0018710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030995000, 0.0031032000, 0.0031117000, 0.0031194000, 0.0031375000, 0.0031792000, 0.0032752000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003017000, -0.003021100, -0.003030700, -0.003038600, -0.003056500, -0.003097900, -0.003193300"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019630000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3950664000, 0.5690084000, 0.8831361000", \ - "0.3004701000, 0.4744121000, 0.7897604000", \ - "0.2548971000, 0.4300599000, 0.7466289000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2473613000, 0.3590475000, 0.4961732000", \ - "0.1893861000, 0.2998515000, 0.4333151000", \ - "0.2024069000, 0.3128724000, 0.4463359000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.245802700, -0.418524100, -0.694809900", \ - "-0.193931000, -0.367873000, -0.661248700", \ - "-0.167889300, -0.341831400, -0.644972600"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.182326200, -0.289129600, -0.406724000", \ - "-0.157309900, -0.264113300, -0.386590500", \ - "-0.180096400, -0.288120500, -0.414259800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0036860000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0127120000, 0.0125639000, 0.0122225000, 0.0122742000, 0.0123937000, 0.0126690000, 0.0133036000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000299800, -0.000379100, -0.000561800, -0.000543600, -0.000501600, -0.000404600, -0.000181100"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0039730000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3328105000, 0.5030905000, 0.8123353000", \ - "0.2382142000, 0.4072734000, 0.7189597000", \ - "0.1901999000, 0.3604798000, 0.6746074000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3694316000, 0.4737936000, 0.5279115000", \ - "0.2748353000, 0.3791973000, 0.4333151000", \ - "0.2292624000, 0.3336243000, 0.3877422000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.215285200, -0.383123700, -0.660630200", \ - "-0.145102900, -0.315382800, -0.608758400", \ - "-0.109295600, -0.277134100, -0.579054700"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.233595700, -0.346502600, -0.407944700", \ - "-0.171958300, -0.281203100, -0.341424500", \ - "-0.138592500, -0.247837200, -0.306837900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "D"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - signal_type : "test_scan_out_inverted"; - } - pin ("RESET_B") { - direction : "input"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfrbp_2") { - leakage_power () { - value : 0.0112628000; - when : "!RESET_B&!CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0110260000; - when : "!RESET_B&!CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0116185000; - when : "!RESET_B&!CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0113677000; - when : "!RESET_B&!CLK&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0127964000; - when : "RESET_B&CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0120789000; - when : "RESET_B&CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0119826000; - when : "RESET_B&CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0115382000; - when : "RESET_B&!CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0110860000; - when : "!RESET_B&CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0124593000; - when : "RESET_B&CLK&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0129232000; - when : "RESET_B&CLK&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0114321000; - when : "RESET_B&CLK&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0113060000; - when : "RESET_B&!CLK&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0120332000; - when : "!RESET_B&CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0123131000; - when : "RESET_B&CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0118492000; - when : "RESET_B&CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0130600000; - when : "RESET_B&CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0117678000; - when : "RESET_B&!CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0113156000; - when : "!RESET_B&CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0129013000; - when : "RESET_B&CLK&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0120021000; - when : "RESET_B&!CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0115499000; - when : "!RESET_B&CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0125596000; - when : "RESET_B&CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0116715000; - when : "RESET_B&!CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0112193000; - when : "!RESET_B&CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0125926000; - when : "RESET_B&CLK&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0117738000; - when : "RESET_B&CLK&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0116688000; - when : "RESET_B&CLK&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0110692000; - when : "RESET_B&!CLK&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0117964000; - when : "!RESET_B&CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0126889000; - when : "RESET_B&CLK&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0115696000; - when : "RESET_B&!CLK&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0122968000; - when : "!RESET_B&CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0119325000; - when : "RESET_B&CLK&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0114109000; - when : "RESET_B&!CLK&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0121381000; - when : "!RESET_B&CLK&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0114035000; - when : "RESET_B&!CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0111546000; - when : "!RESET_B&!CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0133207000; - when : "RESET_B&!CLK&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0132244000; - when : "RESET_B&!CLK&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0130910000; - when : "RESET_B&!CLK&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0111668000; - when : "RESET_B&!CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0116672000; - when : "RESET_B&!CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0112880000; - when : "!RESET_B&!CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0113843000; - when : "!RESET_B&!CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0135549000; - when : "RESET_B&!CLK&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0115085000; - when : "RESET_B&!CLK&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0115265000; - when : "!RESET_B&!CLK&!D&SCD&SCE&!Q&Q_N"; - } - area : 36.284800000; - cell_footprint : "sky130_fd_sc_hd__sdfrbp"; - cell_leakage_power : 0.0119239200; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0024460000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0023130000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0296260000, 0.0294477000, 0.0290368000, 0.0291640000, 0.0294572000, 0.0301332000, 0.0316914000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0184360000, 0.0183173000, 0.0180437000, 0.0181137000, 0.0182749000, 0.0186468000, 0.0195041000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025790000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2675521000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1653906000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016140000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015510000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079877000, 0.0079014000, 0.0077025000, 0.0077443000, 0.0078406000, 0.0080627000, 0.0085746000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022781000, 0.0022482000, 0.0021791000, 0.0021876000, 0.0022071000, 0.0022523000, 0.0023565000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016770000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2998515000, 0.4982077000, 0.8513978000", \ - "0.2040345000, 0.4036113000, 0.7568014000", \ - "0.1572409000, 0.3580384000, 0.7100078000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1228496000, 0.2613913000, 0.3838685000", \ - "0.0721986000, 0.2021953000, 0.3112448000", \ - "0.0803366000, 0.2066712000, 0.3083965000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.177443400, -0.374578800, -0.674057900", \ - "-0.109702500, -0.308058600, -0.635613900", \ - "-0.073895200, -0.274692700, -0.607130900"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.084669900, -0.215887400, -0.306626300", \ - "-0.048667300, -0.175002000, -0.273065100", \ - "-0.062908900, -0.186802100, -0.284865200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000"); - values("-0.005922900, -0.000754000, 0.0095919000, 0.0208979000, 0.0108989000, -0.067779900, -0.326152900", \ - "-0.005865100, -0.000699300, 0.0096215000, 0.0208851000, 0.0108755000, -0.067831200, -0.326198400", \ - "-0.005740300, -0.000585400, 0.0097040000, 0.0208989000, 0.0107604000, -0.067914000, -0.326313400", \ - "-0.005803700, -0.000697900, 0.0094944000, 0.0205835000, 0.0104019000, -0.068478500, -0.326920700", \ - "-0.005893700, -0.000806100, 0.0093381000, 0.0203834000, 0.0101119000, -0.068753500, -0.327212600", \ - "-0.006063400, -0.000939700, 0.0092762000, 0.0203379000, 0.0101905000, -0.068608300, -0.327007700", \ - "-0.006396700, -0.001121300, 0.0094457000, 0.0211191000, 0.0115537000, -0.067437200, -0.325833600"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000"); - values("-0.007506700, -0.004569400, 0.0027908000, 0.0199497000, 0.0585935000, 0.1548808000, 0.4195573000", \ - "-0.007452700, -0.004525700, 0.0028140000, 0.0199379000, 0.0585375000, 0.1548240000, 0.4192092000", \ - "-0.007328300, -0.004422900, 0.0028757000, 0.0199385000, 0.0584950000, 0.1547083000, 0.4179681000", \ - "-0.007389400, -0.004518900, 0.0026832000, 0.0195989000, 0.0579819000, 0.1545539000, 0.4205179000", \ - "-0.007481800, -0.004646000, 0.0025217000, 0.0193729000, 0.0576860000, 0.1543651000, 0.4203345000", \ - "-0.007659400, -0.004802000, 0.0023879000, 0.0192716000, 0.0576451000, 0.1536792000, 0.4179634000", \ - "-0.007954500, -0.004880700, 0.0027469000, 0.0201023000, 0.0586307000, 0.1554209000, 0.4190775000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014120050, 0.0039875180, 0.0112607900, 0.0318006100, 0.0898052500, 0.2536110000"); - values("0.0004208000, 0.0048468000, 0.0135354000, 0.0221891000, 0.0096569000, -0.070890500, -0.330025400", \ - "0.0004011000, 0.0048164000, 0.0134804000, 0.0221002000, 0.0095387000, -0.071005800, -0.330181500", \ - "0.0003707000, 0.0047780000, 0.0134040000, 0.0219906000, 0.0093761000, -0.071181700, -0.330383200", \ - "0.0003658000, 0.0047691000, 0.0133985000, 0.0219781000, 0.0093681000, -0.071215300, -0.330379200", \ - "0.0003450000, 0.0047316000, 0.0133303000, 0.0218318000, 0.0091405000, -0.071460700, -0.330599100", \ - "0.0003182000, 0.0047021000, 0.0132497000, 0.0216230000, 0.0087761000, -0.071833400, -0.330938200", \ - "0.0003093000, 0.0047595000, 0.0134024000, 0.0218073000, 0.0088126000, -0.071784100, -0.330864900"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.2536110000; - max_transition : 1.5045920000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000"); - values("0.3400962000, 0.3475719000, 0.3640697000, 0.3960690000, 0.4560360000, 0.5753665000, 0.8542911000", \ - "0.3448621000, 0.3524023000, 0.3688661000, 0.4008716000, 0.4607964000, 0.5801371000, 0.8593448000", \ - "0.3557233000, 0.3632575000, 0.3797169000, 0.4117113000, 0.4716997000, 0.5910194000, 0.8698315000", \ - "0.3800900000, 0.3876302000, 0.4041139000, 0.4360673000, 0.4960281000, 0.6153860000, 0.8945770000", \ - "0.4179967000, 0.4255043000, 0.4420107000, 0.4739594000, 0.5339582000, 0.6532941000, 0.9322645000", \ - "0.4675161000, 0.4750563000, 0.4915280000, 0.5234275000, 0.5834247000, 0.7028012000, 0.9817737000", \ - "0.5180195000, 0.5255793000, 0.5420641000, 0.5740474000, 0.6340567000, 0.7533458000, 1.0322028000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000"); - values("0.3076934000, 0.3160095000, 0.3348699000, 0.3755748000, 0.4699391000, 0.7091687000, 1.3717051000", \ - "0.3121735000, 0.3205317000, 0.3393928000, 0.3800857000, 0.4744143000, 0.7136881000, 1.3727074000", \ - "0.3230021000, 0.3313086000, 0.3501279000, 0.3908871000, 0.4852302000, 0.7244981000, 1.3823691000", \ - "0.3470861000, 0.3553613000, 0.3742235000, 0.4149982000, 0.5092545000, 0.7485434000, 1.4054826000", \ - "0.3863576000, 0.3946519000, 0.4135233000, 0.4543171000, 0.5485881000, 0.7878825000, 1.4463747000", \ - "0.4387684000, 0.4470821000, 0.4659326000, 0.5067087000, 0.6010420000, 0.8402055000, 1.4980495000", \ - "0.4974182000, 0.5057323000, 0.5245896000, 0.5653466000, 0.6596786000, 0.8989419000, 1.5580588000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000"); - values("0.0348595000, 0.0395518000, 0.0499555000, 0.0714031000, 0.1200657000, 0.2375627000, 0.5863237000", \ - "0.0348392000, 0.0395047000, 0.0497267000, 0.0715324000, 0.1201809000, 0.2374637000, 0.5859071000", \ - "0.0348718000, 0.0393678000, 0.0499339000, 0.0714047000, 0.1200593000, 0.2379374000, 0.5858260000", \ - "0.0348274000, 0.0393826000, 0.0498623000, 0.0712843000, 0.1202686000, 0.2379029000, 0.5863389000", \ - "0.0349413000, 0.0394826000, 0.0497692000, 0.0713709000, 0.1198997000, 0.2375426000, 0.5860613000", \ - "0.0348569000, 0.0395254000, 0.0497028000, 0.0712371000, 0.1201700000, 0.2374972000, 0.5844891000", \ - "0.0347754000, 0.0396283000, 0.0499933000, 0.0712375000, 0.1199688000, 0.2381431000, 0.5843192000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000"); - values("0.0340788000, 0.0405526000, 0.0568873000, 0.0992333000, 0.2158875000, 0.5453982000, 1.5002067000", \ - "0.0341304000, 0.0406651000, 0.0569616000, 0.0992295000, 0.2159466000, 0.5446815000, 1.5013667000", \ - "0.0339483000, 0.0404879000, 0.0568040000, 0.0992010000, 0.2158453000, 0.5456227000, 1.5013831000", \ - "0.0341995000, 0.0405685000, 0.0569420000, 0.0991933000, 0.2161465000, 0.5448100000, 1.5045925000", \ - "0.0339860000, 0.0406103000, 0.0569635000, 0.0991861000, 0.2159351000, 0.5441776000, 1.5019875000", \ - "0.0340304000, 0.0405570000, 0.0568365000, 0.0991926000, 0.2161515000, 0.5456363000, 1.5016363000", \ - "0.0339796000, 0.0406541000, 0.0569386000, 0.0992474000, 0.2163883000, 0.5450967000, 1.5005092000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000"); - values("0.2147194000, 0.2227290000, 0.2405308000, 0.2752366000, 0.3399434000, 0.4523134000, 0.7256302000", \ - "0.2198128000, 0.2278578000, 0.2456506000, 0.2803751000, 0.3451008000, 0.4574502000, 0.7308252000", \ - "0.2325432000, 0.2405212000, 0.2584078000, 0.2931751000, 0.3578509000, 0.4702198000, 0.7436344000", \ - "0.2640055000, 0.2719806000, 0.2898546000, 0.3246144000, 0.3892723000, 0.5016788000, 0.7749011000", \ - "0.3392953000, 0.3473273000, 0.3650056000, 0.3997807000, 0.4643685000, 0.5768153000, 0.8502047000", \ - "0.5114055000, 0.5200257000, 0.5385502000, 0.5739509000, 0.6391849000, 0.7516354000, 1.0250502000", \ - "0.8094232000, 0.8207419000, 0.8454814000, 0.8926686000, 0.9725591000, 1.0883295000, 1.3617066000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014120100, 0.0039875200, 0.0112608000, 0.0318006000, 0.0898053000, 0.2536110000"); - values("0.0379298000, 0.0430335000, 0.0550886000, 0.0789501000, 0.1232716000, 0.2262723000, 0.5782439000", \ - "0.0379529000, 0.0434448000, 0.0549545000, 0.0790001000, 0.1232180000, 0.2267765000, 0.5783118000", \ - "0.0382517000, 0.0431077000, 0.0546906000, 0.0792233000, 0.1234140000, 0.2263408000, 0.5782740000", \ - "0.0382590000, 0.0430863000, 0.0547029000, 0.0792108000, 0.1234485000, 0.2268128000, 0.5788010000", \ - "0.0382474000, 0.0430082000, 0.0543348000, 0.0792802000, 0.1233804000, 0.2265109000, 0.5783506000", \ - "0.0434257000, 0.0480474000, 0.0587689000, 0.0819855000, 0.1244293000, 0.2269264000, 0.5770874000", \ - "0.0655099000, 0.0717832000, 0.0855329000, 0.1132374000, 0.1476038000, 0.2329703000, 0.5797786000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("-0.006152400, -0.001152000, 0.0090360000, 0.0199687000, 0.0071583000, -0.083647300, -0.382301600", \ - "-0.006100500, -0.001112500, 0.0090619000, 0.0199696000, 0.0071143000, -0.083717800, -0.382349000", \ - "-0.005975600, -0.001001600, 0.0091253000, 0.0199768000, 0.0070133000, -0.083847700, -0.382494800", \ - "-0.006036700, -0.001106200, 0.0089383000, 0.0196349000, 0.0065364000, -0.084353900, -0.383055000", \ - "-0.006129400, -0.001219200, 0.0087761000, 0.0194071000, 0.0062363000, -0.084727100, -0.383432200", \ - "-0.006303400, -0.001378100, 0.0086333000, 0.0193051000, 0.0061848000, -0.084818400, -0.383574700", \ - "-0.006638500, -0.001548700, 0.0088296000, 0.0200994000, 0.0074848000, -0.083622700, -0.382215600"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("-0.007617800, -0.004743300, 0.0028035000, 0.0208457000, 0.0624998000, 0.1696020000, 0.4748360000", \ - "-0.007567600, -0.004709800, 0.0027954000, 0.0207502000, 0.0623426000, 0.1702796000, 0.4725911000", \ - "-0.007443600, -0.004605400, 0.0028525000, 0.0207316000, 0.0622337000, 0.1693248000, 0.4723420000", \ - "-0.007495300, -0.004678700, 0.0027201000, 0.0205758000, 0.0619287000, 0.1698891000, 0.4720914000", \ - "-0.007586700, -0.004791600, 0.0025697000, 0.0203518000, 0.0616906000, 0.1688688000, 0.4740567000", \ - "-0.007748700, -0.004916900, 0.0025348000, 0.0204384000, 0.0619030000, 0.1695265000, 0.4718782000", \ - "-0.008030400, -0.004966700, 0.0028831000, 0.0211440000, 0.0627105000, 0.1701061000, 0.4727615000"); - } - } - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "RESET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014425840, 0.0041620980, 0.0120083500, 0.0346461100, 0.0999598700, 0.2884010000"); - values("-0.001234900, 0.0009410000, 0.0069027000, 0.0221979000, 0.0609832000, 0.1671982000, 0.4708897000", \ - "-0.001252000, 0.0009136000, 0.0068504000, 0.0221313000, 0.0609382000, 0.1666785000, 0.4687152000", \ - "-0.001274700, 0.0008898000, 0.0068352000, 0.0221121000, 0.0608780000, 0.1665013000, 0.4687405000", \ - "-0.001286600, 0.0008636000, 0.0067757000, 0.0220018000, 0.0607348000, 0.1664595000, 0.4702777000", \ - "-0.001309200, 0.0008247000, 0.0066892000, 0.0218307000, 0.0604684000, 0.1661166000, 0.4681915000", \ - "-0.001349000, 0.0007640000, 0.0065803000, 0.0216648000, 0.0602610000, 0.1658625000, 0.4699680000", \ - "-0.001369000, 0.0007910000, 0.0065815000, 0.0217721000, 0.0606851000, 0.1661425000, 0.4686769000"); - } - } - max_capacitance : 0.2884010000; - max_transition : 1.4944960000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.4229061000, 0.4279972000, 0.4392569000, 0.4617561000, 0.5076295000, 0.6157671000, 0.9156935000", \ - "0.4273402000, 0.4324360000, 0.4436864000, 0.4662504000, 0.5120995000, 0.6202954000, 0.9198933000", \ - "0.4381102000, 0.4432005000, 0.4545439000, 0.4771457000, 0.5230361000, 0.6311043000, 0.9299752000", \ - "0.4621777000, 0.4672719000, 0.4784887000, 0.5011155000, 0.5470319000, 0.6551443000, 0.9542487000", \ - "0.5014957000, 0.5065895000, 0.5178063000, 0.5404330000, 0.5863482000, 0.6944615000, 0.9934495000", \ - "0.5538905000, 0.5589675000, 0.5702832000, 0.5928071000, 0.6386003000, 0.7468050000, 1.0458653000", \ - "0.6125378000, 0.6176170000, 0.6288476000, 0.6513594000, 0.6973193000, 0.8055348000, 1.1055460000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.4727562000, 0.4790496000, 0.4931250000, 0.5247930000, 0.6069998000, 0.8407746000, 1.5176164000", \ - "0.4777650000, 0.4837029000, 0.4977988000, 0.5295807000, 0.6118762000, 0.8451247000, 1.5207204000", \ - "0.4888607000, 0.4948817000, 0.5088607000, 0.5406469000, 0.6229692000, 0.8562873000, 1.5319027000", \ - "0.5127426000, 0.5188588000, 0.5329356000, 0.5648069000, 0.6470824000, 0.8804124000, 1.5560194000", \ - "0.5507856000, 0.5569626000, 0.5709687000, 0.6027160000, 0.6847966000, 0.9182241000, 1.5932653000", \ - "0.6003702000, 0.6065425000, 0.6205457000, 0.6523907000, 0.7345834000, 0.9681950000, 1.6449198000", \ - "0.6510683000, 0.6571293000, 0.6712602000, 0.7030641000, 0.7852937000, 1.0190307000, 1.6974816000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0239057000, 0.0270832000, 0.0343668000, 0.0519790000, 0.0956003000, 0.2247273000, 0.6186809000", \ - "0.0238614000, 0.0269939000, 0.0347662000, 0.0518071000, 0.0957334000, 0.2254249000, 0.6222011000", \ - "0.0239567000, 0.0272206000, 0.0344245000, 0.0517417000, 0.0953560000, 0.2247763000, 0.6196633000", \ - "0.0239830000, 0.0272984000, 0.0345838000, 0.0517495000, 0.0957259000, 0.2248922000, 0.6218331000", \ - "0.0239783000, 0.0272930000, 0.0345668000, 0.0517549000, 0.0957278000, 0.2245089000, 0.6222513000", \ - "0.0239224000, 0.0270287000, 0.0349170000, 0.0517578000, 0.0956666000, 0.2256020000, 0.6226634000", \ - "0.0238377000, 0.0272473000, 0.0345528000, 0.0515098000, 0.0954400000, 0.2249756000, 0.6160502000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0267074000, 0.0311212000, 0.0433658000, 0.0796055000, 0.1918322000, 0.5237049000, 1.4941302000", \ - "0.0266957000, 0.0311926000, 0.0434638000, 0.0794368000, 0.1914147000, 0.5249191000, 1.4934581000", \ - "0.0266566000, 0.0314829000, 0.0434167000, 0.0794338000, 0.1916419000, 0.5248146000, 1.4925052000", \ - "0.0265613000, 0.0313908000, 0.0433217000, 0.0792796000, 0.1915809000, 0.5249190000, 1.4934369000", \ - "0.0266911000, 0.0311229000, 0.0434542000, 0.0793184000, 0.1916583000, 0.5239502000, 1.4941562000", \ - "0.0269340000, 0.0313399000, 0.0432472000, 0.0793012000, 0.1917475000, 0.5238466000, 1.4870287000", \ - "0.0265606000, 0.0313599000, 0.0433732000, 0.0792048000, 0.1918141000, 0.5234167000, 1.4932432000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.3577160000, 0.3639941000, 0.3780027000, 0.4096614000, 0.4916778000, 0.7255223000, 1.4023892000", \ - "0.3629306000, 0.3690135000, 0.3830177000, 0.4147711000, 0.4969503000, 0.7311660000, 1.4051530000", \ - "0.3756342000, 0.3818894000, 0.3958772000, 0.4275015000, 0.5095532000, 0.7431016000, 1.4182424000", \ - "0.4068579000, 0.4131066000, 0.4271199000, 0.4587817000, 0.5409933000, 0.7739970000, 1.4520037000", \ - "0.4820635000, 0.4883325000, 0.5023481000, 0.5339028000, 0.6158971000, 0.8496761000, 1.5244512000", \ - "0.6573434000, 0.6634326000, 0.6774361000, 0.7091225000, 0.7913393000, 1.0244805000, 1.7024068000", \ - "0.9943820000, 1.0010477000, 1.0155825000, 1.0476194000, 1.1299680000, 1.3634202000, 2.0384885000"); - } - related_pin : "RESET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014425800, 0.0041621000, 0.0120084000, 0.0346461000, 0.0999599000, 0.2884010000"); - values("0.0271116000, 0.0314989000, 0.0433659000, 0.0791094000, 0.1911642000, 0.5243217000, 1.4914519000", \ - "0.0273916000, 0.0315692000, 0.0434697000, 0.0792683000, 0.1911447000, 0.5251770000, 1.4935888000", \ - "0.0273003000, 0.0315334000, 0.0434511000, 0.0792046000, 0.1913817000, 0.5245531000, 1.4922683000", \ - "0.0272883000, 0.0315686000, 0.0434262000, 0.0790810000, 0.1911255000, 0.5253301000, 1.4937277000", \ - "0.0271977000, 0.0316530000, 0.0434221000, 0.0790200000, 0.1912865000, 0.5239674000, 1.4942797000", \ - "0.0274154000, 0.0315864000, 0.0434732000, 0.0789889000, 0.1912895000, 0.5253717000, 1.4939419000", \ - "0.0302264000, 0.0345894000, 0.0459600000, 0.0803274000, 0.1913453000, 0.5250091000, 1.4944960000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("RESET_B") { - capacitance : 0.0035140000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034750000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048338000, 0.0048542000, 0.0049012000, 0.0049111000, 0.0049339000, 0.0049865000, 0.0051079000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.005443100, -0.005426300, -0.005387500, -0.005402600, -0.005437600, -0.005517900, -0.005703100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035540000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.201857400, -0.053550100, 0.3106263000", \ - "-0.324529900, -0.184767600, 0.1501120000", \ - "-0.406724000, -0.274285800, 0.0422832000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2790996000, 0.4164368000, 0.6845847000", \ - "0.3883444000, 0.5244610000, 0.7865052000", \ - "0.4632142000, 0.5956686000, 0.8503887000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2686506000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCD") { - capacitance : 0.0018710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030958000, 0.0031005000, 0.0031115000, 0.0031192000, 0.0031367000, 0.0031773000, 0.0032710000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003018400, -0.003022000, -0.003030400, -0.003040400, -0.003063400, -0.003116300, -0.003238100"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019630000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3975078000, 0.5714499000, 0.8843568000", \ - "0.3016907000, 0.4768535000, 0.7909811000", \ - "0.2573385000, 0.4325013000, 0.7490703000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2485820000, 0.3602682000, 0.4973939000", \ - "0.1906068000, 0.3010723000, 0.4345358000", \ - "0.2036276000, 0.3128724000, 0.4463359000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.243361300, -0.416082700, -0.691147800", \ - "-0.192710300, -0.366652300, -0.660028000", \ - "-0.166668600, -0.340610700, -0.643752000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.181105500, -0.286688200, -0.405503300", \ - "-0.156089200, -0.264113300, -0.385369800", \ - "-0.180096400, -0.288120500, -0.414259800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0036860000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0127074000, 0.0126157000, 0.0124044000, 0.0124479000, 0.0125480000, 0.0127791000, 0.0133117000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000298400, -0.000378500, -0.000563000, -0.000543800, -0.000499800, -0.000398100, -0.000163600"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0039720000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3352520000, 0.5043112000, 0.8147767000", \ - "0.2394349000, 0.4084941000, 0.7214010000", \ - "0.1926413000, 0.3629212000, 0.6758281000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3706523000, 0.4762350000, 0.5303529000", \ - "0.2760560000, 0.3804180000, 0.4345358000", \ - "0.2317038000, 0.3348451000, 0.3889629000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.212843800, -0.381903000, -0.658188800", \ - "-0.143882200, -0.312941400, -0.607537800", \ - "-0.108074900, -0.277134100, -0.579054700"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.231154300, -0.344061200, -0.405503300", \ - "-0.170737600, -0.279982400, -0.340203800", \ - "-0.138592500, -0.247837200, -0.306837900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "D"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - signal_type : "test_scan_out_inverted"; - } - pin ("RESET_B") { - direction : "input"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfrtn_1") { - leakage_power () { - value : 0.0176056000; - when : "!RESET_B&CLK_N&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0097040000; - when : "RESET_B&CLK_N&D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0177297000; - when : "RESET_B&CLK_N&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0177477000; - when : "!RESET_B&CLK_N&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0174841000; - when : "!RESET_B&CLK_N&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0172473000; - when : "!RESET_B&CLK_N&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0178398000; - when : "!RESET_B&CLK_N&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0175890000; - when : "!RESET_B&CLK_N&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0188549000; - when : "RESET_B&!CLK_N&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0181373000; - when : "RESET_B&!CLK_N&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0180410000; - when : "RESET_B&!CLK_N&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0177594000; - when : "RESET_B&CLK_N&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0171444000; - when : "!RESET_B&!CLK_N&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0084456000; - when : "RESET_B&!CLK_N&!D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0089095000; - when : "RESET_B&!CLK_N&D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0074184000; - when : "RESET_B&!CLK_N&D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0074556000; - when : "RESET_B&CLK_N&D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0180916000; - when : "!RESET_B&!CLK_N&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0183716000; - when : "RESET_B&!CLK_N&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0179077000; - when : "RESET_B&!CLK_N&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0191185000; - when : "RESET_B&!CLK_N&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0179891000; - when : "RESET_B&CLK_N&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0173741000; - when : "!RESET_B&!CLK_N&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0189598000; - when : "RESET_B&!CLK_N&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0182233000; - when : "RESET_B&CLK_N&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0176083000; - when : "!RESET_B&!CLK_N&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0186181000; - when : "RESET_B&!CLK_N&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0178928000; - when : "RESET_B&CLK_N&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0172778000; - when : "!RESET_B&!CLK_N&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0085790000; - when : "RESET_B&!CLK_N&!D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0077601000; - when : "RESET_B&!CLK_N&D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0076552000; - when : "RESET_B&!CLK_N&D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0072188000; - when : "RESET_B&CLK_N&D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0178549000; - when : "!RESET_B&!CLK_N&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0086753000; - when : "RESET_B&!CLK_N&!D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0077193000; - when : "RESET_B&CLK_N&!D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0183553000; - when : "!RESET_B&!CLK_N&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0079188000; - when : "RESET_B&!CLK_N&!D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0075605000; - when : "RESET_B&CLK_N&D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0181965000; - when : "!RESET_B&!CLK_N&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0092401000; - when : "RESET_B&CLK_N&!D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0176248000; - when : "RESET_B&CLK_N&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0173759000; - when : "!RESET_B&CLK_N&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0094698000; - when : "RESET_B&CLK_N&!D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0093735000; - when : "RESET_B&CLK_N&!D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0173880000; - when : "RESET_B&CLK_N&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0178885000; - when : "RESET_B&CLK_N&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0175093000; - when : "!RESET_B&CLK_N&!D&SCD&!SCE&!Q"; - } - area : 31.280000000; - cell_footprint : "sky130_fd_sc_hd__sdfrtn"; - cell_leakage_power : 0.0147064500; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "!CLK_N"; - next_state : "(D&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK_N") { - capacitance : 0.0024530000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0023050000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0270744000, 0.0269030000, 0.0265080000, 0.0266071000, 0.0268357000, 0.0273628000, 0.0285777000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0213368000, 0.0212264000, 0.0209718000, 0.0210349000, 0.0211805000, 0.0215162000, 0.0222899000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0026010000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1434204000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK_N"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2247102000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016130000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015650000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079887000, 0.0079026000, 0.0077042000, 0.0077446000, 0.0078379000, 0.0080531000, 0.0085492000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022742000, 0.0022417000, 0.0021669000, 0.0021771000, 0.0022008000, 0.0022556000, 0.0023818000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016610000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3132793000, 0.5116354000, 0.8636048000", \ - "0.1881654000, 0.3865215000, 0.7323874000", \ - "0.0461569000, 0.2445130000, 0.5842754000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0630352000, 0.2064596000, 0.3374818000", \ - "-0.129217500, 0.0105449000, 0.1440085000", \ - "-0.342026700, -0.209588500, -0.082228500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.215285200, -0.412420600, -0.724106800", \ - "-0.084067700, -0.279982400, -0.585565100", \ - "0.0445130000, -0.150181000, -0.452101600"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0044414000, -0.123113900, -0.204087200", \ - "0.1820456000, 0.0569316000, -0.037469400", \ - "0.3814271000, 0.2599753000, 0.1631328000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013362870, 0.0035713250, 0.0095446290, 0.0255087200, 0.0681739400, 0.1821999000"); - values("0.0149419000, 0.0139138000, 0.0111575000, 0.0025391000, -0.022741800, -0.091664300, -0.276306700", \ - "0.0150084000, 0.0139710000, 0.0112227000, 0.0026018000, -0.022685500, -0.091603200, -0.276245300", \ - "0.0151043000, 0.0140518000, 0.0112994000, 0.0026931000, -0.022597000, -0.091502800, -0.276170000", \ - "0.0146914000, 0.0136384000, 0.0108859000, 0.0022808000, -0.023008500, -0.091914200, -0.276579700", \ - "0.0143752000, 0.0133378000, 0.0105796000, 0.0019875000, -0.023309300, -0.092221800, -0.276850300", \ - "0.0139373000, 0.0129213000, 0.0102068000, 0.0016426000, -0.023643400, -0.092545400, -0.277180900", \ - "0.0174897000, 0.0161967000, 0.0127346000, 0.0033387000, -0.022272200, -0.090855000, -0.275486100"); - } - related_pin : "CLK_N"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013362870, 0.0035713250, 0.0095446290, 0.0255087200, 0.0681739400, 0.1821999000"); - values("0.0205014000, 0.0220467000, 0.0260862000, 0.0361033000, 0.0618721000, 0.1309177000, 0.3143873000", \ - "0.0204193000, 0.0219761000, 0.0260058000, 0.0359841000, 0.0617625000, 0.1301425000, 0.3142766000", \ - "0.0204886000, 0.0220550000, 0.0260753000, 0.0360637000, 0.0618609000, 0.1302592000, 0.3143475000", \ - "0.0203017000, 0.0218580000, 0.0258734000, 0.0358540000, 0.0616187000, 0.1299605000, 0.3144085000", \ - "0.0203150000, 0.0218679000, 0.0258979000, 0.0358779000, 0.0616628000, 0.1300205000, 0.3142029000", \ - "0.0205708000, 0.0221334000, 0.0261443000, 0.0361316000, 0.0618901000, 0.1309866000, 0.3146191000", \ - "0.0224712000, 0.0238920000, 0.0275979000, 0.0374011000, 0.0634071000, 0.1315884000, 0.3141508000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013362870, 0.0035713250, 0.0095446290, 0.0255087200, 0.0681739400, 0.1821999000"); - values("0.0156624000, 0.0166275000, 0.0148092000, 0.0066883000, -0.018717900, -0.087622800, -0.272269900", \ - "0.0154835000, 0.0164771000, 0.0146411000, 0.0065155000, -0.018869200, -0.087783800, -0.272408100", \ - "0.0155271000, 0.0165006000, 0.0147090000, 0.0065509000, -0.018831400, -0.087746800, -0.272346000", \ - "0.0155145000, 0.0162641000, 0.0144508000, 0.0062974000, -0.019086200, -0.087982500, -0.272604300", \ - "0.0153858000, 0.0160738000, 0.0141654000, 0.0059745000, -0.019405300, -0.088255000, -0.272834900", \ - "0.0177324000, 0.0165796000, 0.0140475000, 0.0056862000, -0.019730900, -0.088544900, -0.273074100", \ - "0.0207181000, 0.0192321000, 0.0154955000, 0.0057559000, -0.020010600, -0.088500700, -0.272999600"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.1822000000; - max_transition : 1.5000970000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013362900, 0.0035713300, 0.0095446300, 0.0255087000, 0.0681739000, 0.1822000000"); - values("0.2722941000, 0.2804225000, 0.2970617000, 0.3293681000, 0.3941509000, 0.5455890000, 0.9438457000", \ - "0.2771565000, 0.2852702000, 0.3019290000, 0.3341267000, 0.3990805000, 0.5506612000, 0.9490184000", \ - "0.2896408000, 0.2977717000, 0.3144285000, 0.3466740000, 0.4115389000, 0.5631368000, 0.9602188000", \ - "0.3204443000, 0.3285753000, 0.3452321000, 0.3774783000, 0.4423429000, 0.5939412000, 0.9910065000", \ - "0.3882079000, 0.3963315000, 0.4129823000, 0.4451667000, 0.5100932000, 0.6615538000, 1.0593447000", \ - "0.5020174000, 0.5101480000, 0.5267476000, 0.5589081000, 0.6237760000, 0.7753406000, 1.1739089000", \ - "0.6781545000, 0.6862847000, 0.7030360000, 0.7353379000, 0.8002100000, 0.9518364000, 1.3484275000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013362900, 0.0035713300, 0.0095446300, 0.0255087000, 0.0681739000, 0.1822000000"); - values("0.3096794000, 0.3179767000, 0.3359300000, 0.3747000000, 0.4673713000, 0.7097806000, 1.3569544000", \ - "0.3143550000, 0.3226246000, 0.3405933000, 0.3794048000, 0.4718824000, 0.7138146000, 1.3602454000", \ - "0.3267513000, 0.3350347000, 0.3530081000, 0.3918331000, 0.4843201000, 0.7262859000, 1.3728212000", \ - "0.3579155000, 0.3661869000, 0.3841691000, 0.4229478000, 0.5156662000, 0.7572716000, 1.4016620000", \ - "0.4259787000, 0.4342533000, 0.4522209000, 0.4910317000, 0.5834939000, 0.8254565000, 1.4718837000", \ - "0.5439545000, 0.5522174000, 0.5701771000, 0.6089881000, 0.7014566000, 0.9437586000, 1.5919248000", \ - "0.7237435000, 0.7320192000, 0.7499646000, 0.7887328000, 0.8814175000, 1.1238249000, 1.7702609000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013362900, 0.0035713300, 0.0095446300, 0.0255087000, 0.0681739000, 0.1822000000"); - values("0.0276261000, 0.0328873000, 0.0445550000, 0.0719041000, 0.1390857000, 0.3288787000, 0.8540513000", \ - "0.0276111000, 0.0330603000, 0.0444388000, 0.0719342000, 0.1393612000, 0.3299350000, 0.8584273000", \ - "0.0276203000, 0.0331516000, 0.0445698000, 0.0719079000, 0.1390371000, 0.3303613000, 0.8555842000", \ - "0.0276199000, 0.0331523000, 0.0445714000, 0.0719090000, 0.1390365000, 0.3303692000, 0.8554691000", \ - "0.0276582000, 0.0330805000, 0.0445717000, 0.0719863000, 0.1390381000, 0.3293483000, 0.8547618000", \ - "0.0277269000, 0.0328675000, 0.0445833000, 0.0715885000, 0.1392404000, 0.3291196000, 0.8592372000", \ - "0.0277448000, 0.0330203000, 0.0448765000, 0.0720440000, 0.1388135000, 0.3286287000, 0.8557429000"); - } - related_pin : "CLK_N"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013362900, 0.0035713300, 0.0095446300, 0.0255087000, 0.0681739000, 0.1822000000"); - values("0.0274334000, 0.0343459000, 0.0513539000, 0.0959283000, 0.2215083000, 0.5667921000, 1.4980973000", \ - "0.0274969000, 0.0343816000, 0.0512955000, 0.0960679000, 0.2209529000, 0.5664323000, 1.5000974000", \ - "0.0274692000, 0.0342682000, 0.0512730000, 0.0960641000, 0.2209892000, 0.5664254000, 1.4999618000", \ - "0.0274818000, 0.0342837000, 0.0513436000, 0.0959953000, 0.2215011000, 0.5673911000, 1.4983176000", \ - "0.0274196000, 0.0343766000, 0.0512898000, 0.0960646000, 0.2209774000, 0.5663458000, 1.5000453000", \ - "0.0273468000, 0.0343005000, 0.0512760000, 0.0960595000, 0.2213954000, 0.5660622000, 1.4951422000", \ - "0.0273156000, 0.0342512000, 0.0512580000, 0.0959407000, 0.2211931000, 0.5645462000, 1.4960383000"); - } - timing_sense : "non_unate"; - timing_type : "falling_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013362900, 0.0035713300, 0.0095446300, 0.0255087000, 0.0681739000, 0.1822000000"); - values("0.1579051000, 0.1666980000, 0.1849817000, 0.2207944000, 0.2874856000, 0.4372035000, 0.8349988000", \ - "0.1626389000, 0.1714536000, 0.1897421000, 0.2255714000, 0.2923160000, 0.4419243000, 0.8394912000", \ - "0.1751075000, 0.1838964000, 0.2021704000, 0.2380243000, 0.3047910000, 0.4544647000, 0.8518010000", \ - "0.2065190000, 0.2153308000, 0.2335327000, 0.2693426000, 0.3361269000, 0.4858580000, 0.8823552000", \ - "0.2826012000, 0.2913522000, 0.3094733000, 0.3451631000, 0.4119029000, 0.5615962000, 0.9590155000", \ - "0.4375765000, 0.4479897000, 0.4690196000, 0.5082781000, 0.5757975000, 0.7254544000, 1.1217481000", \ - "0.6909881000, 0.7047503000, 0.7325074000, 0.7830788000, 0.8543257000, 1.0041346000, 1.4006375000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013362900, 0.0035713300, 0.0095446300, 0.0255087000, 0.0681739000, 0.1822000000"); - values("0.0301981000, 0.0362817000, 0.0495634000, 0.0778913000, 0.1395499000, 0.3258473000, 0.8576334000", \ - "0.0302141000, 0.0362407000, 0.0495690000, 0.0778356000, 0.1396005000, 0.3264971000, 0.8590907000", \ - "0.0303779000, 0.0362491000, 0.0496871000, 0.0779578000, 0.1396800000, 0.3276348000, 0.8568350000", \ - "0.0304570000, 0.0362300000, 0.0499991000, 0.0780488000, 0.1396531000, 0.3285743000, 0.8565467000", \ - "0.0305651000, 0.0363203000, 0.0497501000, 0.0779781000, 0.1396077000, 0.3266170000, 0.8533396000", \ - "0.0397271000, 0.0460162000, 0.0597181000, 0.0848143000, 0.1415912000, 0.3266010000, 0.8560856000", \ - "0.0588192000, 0.0667804000, 0.0839985000, 0.1064911000, 0.1494130000, 0.3278634000, 0.8571129000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0035030000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0049774000, 0.0049567000, 0.0049089000, 0.0049186000, 0.0049408000, 0.0049921000, 0.0051103000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.004820600, -0.004820200, -0.004819100, -0.004830800, -0.004857700, -0.004919700, -0.005062600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035140000; - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.264113300, -0.137778600, 0.1226380000", \ - "-0.475897100, -0.355666000, -0.131870400", \ - "-0.716782500, -0.606317100, -0.414259800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3657695000, 0.5043275000, 0.7797995000", \ - "0.5531393000, 0.6904766000, 0.9671693000", \ - "0.7659486000, 0.9032858000, 1.1763164000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3060000000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCD") { - capacitance : 0.0018710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0018030000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030973000, 0.0031026000, 0.0031147000, 0.0031226000, 0.0031408000, 0.0031830000, 0.0032802000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003021100, -0.003024400, -0.003032100, -0.003040200, -0.003058800, -0.003101600, -0.003200400"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019400000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4109356000, 0.5848776000, 0.8990052000", \ - "0.2846009000, 0.4585430000, 0.7726706000", \ - "0.1425925000, 0.3153138000, 0.6282207000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1985332000, 0.3102194000, 0.4510072000", \ - "0.0001771000, 0.1130840000, 0.2563132000", \ - "-0.219956400, -0.109490900, 0.0312969000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.283644500, -0.457586600, -0.739975900", \ - "-0.151206400, -0.323927700, -0.600213600", \ - "-0.023846400, -0.195347000, -0.470412100"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.085890600, -0.191473300, -0.301743500", \ - "0.0868307000, -0.017531200, -0.135125600", \ - "0.2837708000, 0.1769674000, 0.0581523000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0036840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0125718000, 0.0124289000, 0.0120994000, 0.0121507000, 0.0122691000, 0.0125420000, 0.0131711000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000196400, -0.000272500, -0.000448100, -0.000428600, -0.000383600, -0.000279700, -4.0269017e-05"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0040530000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3486797000, 0.5189596000, 0.8282044000", \ - "0.2235658000, 0.3926250000, 0.7006491000", \ - "0.0827780000, 0.2506165000, 0.5561992000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3853008000, 0.4896627000, 0.5437806000", \ - "0.2589661000, 0.3645488000, 0.4186667000", \ - "0.1169577000, 0.2213197000, 0.2766582000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.079787100, -0.264715500, -0.476304100", \ - "0.0990378000, -0.087111300, -0.297479200", \ - "0.3008607000, 0.1134909000, -0.096877000"); - } - related_pin : "CLK_N"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.065138700, -0.232977200, -0.393296200", \ - "0.1075827000, -0.057814500, -0.229119800", \ - "0.3057435000, 0.1403463000, -0.034621100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_falling"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "!CLK_N"; - next_state : "D"; - } - pin ("CLK_N") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("RESET_B") { - direction : "input"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfrtp_1") { - leakage_power () { - value : 0.0177584000; - when : "!RESET_B&!CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0175076000; - when : "!RESET_B&!CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0189362000; - when : "RESET_B&CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0182187000; - when : "RESET_B&CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0181224000; - when : "RESET_B&CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0176780000; - when : "RESET_B&!CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0172258000; - when : "!RESET_B&CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0085270000; - when : "RESET_B&CLK&!D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0089909000; - when : "RESET_B&CLK&D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0074998000; - when : "RESET_B&CLK&D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0073737000; - when : "RESET_B&!CLK&D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0181730000; - when : "!RESET_B&CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0184530000; - when : "RESET_B&CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0179891000; - when : "RESET_B&CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0191999000; - when : "RESET_B&CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0179077000; - when : "RESET_B&!CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0174555000; - when : "!RESET_B&CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0190412000; - when : "RESET_B&CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0181419000; - when : "RESET_B&!CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0176897000; - when : "!RESET_B&CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0186995000; - when : "RESET_B&CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0178114000; - when : "RESET_B&!CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0173592000; - when : "!RESET_B&CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0086604000; - when : "RESET_B&CLK&!D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0078415000; - when : "RESET_B&CLK&D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0077366000; - when : "RESET_B&CLK&D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0071369000; - when : "RESET_B&!CLK&D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0179362000; - when : "!RESET_B&CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0087567000; - when : "RESET_B&CLK&!D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0076373000; - when : "RESET_B&!CLK&!D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0184367000; - when : "!RESET_B&CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0080002000; - when : "RESET_B&CLK&!D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0074786000; - when : "RESET_B&!CLK&D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0182779000; - when : "!RESET_B&CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0175434000; - when : "RESET_B&!CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0172945000; - when : "!RESET_B&!CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0093884000; - when : "RESET_B&!CLK&!D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0092921000; - when : "RESET_B&!CLK&!D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0091587000; - when : "RESET_B&!CLK&!D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0173066000; - when : "RESET_B&!CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0178071000; - when : "RESET_B&!CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0174279000; - when : "!RESET_B&!CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0175242000; - when : "!RESET_B&!CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0096227000; - when : "RESET_B&!CLK&D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0176483000; - when : "RESET_B&!CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0176663000; - when : "!RESET_B&!CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0174027000; - when : "!RESET_B&!CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0171659000; - when : "!RESET_B&!CLK&D&SCD&!SCE&!Q"; - } - area : 31.280000000; - cell_footprint : "sky130_fd_sc_hd__sdfrtp"; - cell_leakage_power : 0.0147064000; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0024400000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0023250000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0296567000, 0.0294825000, 0.0290808000, 0.0292117000, 0.0295137000, 0.0302097000, 0.0318140000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0184375000, 0.0183180000, 0.0180425000, 0.0181136000, 0.0182778000, 0.0186563000, 0.0195287000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025540000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2675521000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1390263000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016140000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015510000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079870000, 0.0079014000, 0.0077041000, 0.0077446000, 0.0078381000, 0.0080537000, 0.0085508000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022788000, 0.0022461000, 0.0021709000, 0.0021808000, 0.0022038000, 0.0022569000, 0.0023792000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016770000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2998515000, 0.4982077000, 0.8501771000", \ - "0.2040345000, 0.4036113000, 0.7568014000", \ - "0.1572409000, 0.3580384000, 0.7100078000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1216289000, 0.2613913000, 0.3826478000", \ - "0.0709779000, 0.2021953000, 0.3112448000", \ - "0.0803366000, 0.2054505000, 0.3083965000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.179884800, -0.377020200, -0.680161400", \ - "-0.110923200, -0.310500000, -0.638055300", \ - "-0.073895200, -0.275913400, -0.609572300"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.084669900, -0.215887400, -0.309067700", \ - "-0.048667300, -0.175002000, -0.273065100", \ - "-0.062908900, -0.186802100, -0.284865200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0165237000, 0.0154481000, 0.0126946000, 0.0040038000, -0.021406500, -0.090645100, -0.276296400", \ - "0.0163407000, 0.0152834000, 0.0125081000, 0.0038111000, -0.021593600, -0.090822800, -0.276486600", \ - "0.0162971000, 0.0152353000, 0.0124640000, 0.0037735000, -0.021636200, -0.090864700, -0.276513900", \ - "0.0158343000, 0.0147569000, 0.0120054000, 0.0033142000, -0.022087400, -0.091332000, -0.276981800", \ - "0.0155643000, 0.0145144000, 0.0117312000, 0.0030294000, -0.022374000, -0.091617600, -0.277274900", \ - "0.0162386000, 0.0149137000, 0.0116492000, 0.0029011000, -0.022515200, -0.091747700, -0.277415100", \ - "0.0184665000, 0.0171727000, 0.0137142000, 0.0042961000, -0.021409400, -0.090816200, -0.276476000"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0178455000, 0.0194166000, 0.0234297000, 0.0334558000, 0.0594197000, 0.1285854000, 0.3120663000", \ - "0.0178005000, 0.0193684000, 0.0234007000, 0.0334207000, 0.0593238000, 0.1286152000, 0.3129135000", \ - "0.0178166000, 0.0193763000, 0.0234095000, 0.0334288000, 0.0593228000, 0.1286264000, 0.3127237000", \ - "0.0171189000, 0.0186632000, 0.0227227000, 0.0327414000, 0.0588074000, 0.1271457000, 0.3112289000", \ - "0.0166777000, 0.0182283000, 0.0222703000, 0.0322886000, 0.0582334000, 0.1274036000, 0.3112701000", \ - "0.0169578000, 0.0183263000, 0.0221174000, 0.0321852000, 0.0579698000, 0.1265366000, 0.3109205000", \ - "0.0183481000, 0.0197431000, 0.0234689000, 0.0333754000, 0.0590513000, 0.1280903000, 0.3118762000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013374040, 0.0035772970, 0.0095685790, 0.0255941000, 0.0684592900, 0.1831154000"); - values("0.0149976000, 0.0141495000, 0.0124884000, 0.0098245000, -0.005015200, -0.073949300, -0.259581300", \ - "0.0149305000, 0.0140900000, 0.0124871000, 0.0098485000, -0.005066500, -0.073979000, -0.259619500", \ - "0.0146811000, 0.0138483000, 0.0122776000, 0.0098100000, -0.005300600, -0.074261000, -0.259863000", \ - "0.0145422000, 0.0137100000, 0.0122945000, 0.0100884000, -0.005601900, -0.074559800, -0.260194800", \ - "0.0151653000, 0.0144446000, 0.0133776000, 0.0120672000, -0.005703100, -0.074695400, -0.260353700", \ - "0.0174074000, 0.0167294000, 0.0166252000, 0.0164717000, -0.005763100, -0.074843400, -0.260486500", \ - "0.0349893000, 0.0335237000, 0.0297692000, 0.0204466000, -0.005471300, -0.074390200, -0.259979400"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.1831150000; - max_transition : 1.5067330000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.2864168000, 0.2945175000, 0.3112487000, 0.3434244000, 0.4084776000, 0.5607380000, 0.9598370000", \ - "0.2910464000, 0.2991517000, 0.3158711000, 0.3480377000, 0.4132388000, 0.5653651000, 0.9650263000", \ - "0.3019043000, 0.3100192000, 0.3267564000, 0.3589135000, 0.4240789000, 0.5762754000, 0.9751762000", \ - "0.3262228000, 0.3343247000, 0.3510525000, 0.3832322000, 0.4482852000, 0.6005517000, 0.9996409000", \ - "0.3639934000, 0.3721200000, 0.3887972000, 0.4209528000, 0.4861169000, 0.6382530000, 1.0389978000", \ - "0.4136017000, 0.4217035000, 0.4384330000, 0.4705875000, 0.5356487000, 0.6879008000, 1.0886176000", \ - "0.4641336000, 0.4722338000, 0.4889272000, 0.5212670000, 0.5863201000, 0.7386082000, 1.1383068000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.2575737000, 0.2658508000, 0.2838263000, 0.3227726000, 0.4155464000, 0.6587624000, 1.3075183000", \ - "0.2621257000, 0.2704030000, 0.2883933000, 0.3273155000, 0.4201718000, 0.6632481000, 1.3147980000", \ - "0.2728632000, 0.2811450000, 0.2991128000, 0.3379697000, 0.4309875000, 0.6745251000, 1.3227388000", \ - "0.2968811000, 0.3051724000, 0.3231357000, 0.3620319000, 0.4551027000, 0.6985277000, 1.3475220000", \ - "0.3362201000, 0.3445085000, 0.3624883000, 0.4013796000, 0.4944355000, 0.7379818000, 1.3872327000", \ - "0.3885322000, 0.3968030000, 0.4148132000, 0.4537204000, 0.5464838000, 0.7903669000, 1.4393551000", \ - "0.4470237000, 0.4553047000, 0.4733078000, 0.5122147000, 0.6049939000, 0.8478743000, 1.4961569000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0277881000, 0.0329047000, 0.0446060000, 0.0715622000, 0.1397049000, 0.3311216000, 0.8705519000", \ - "0.0277887000, 0.0328198000, 0.0446489000, 0.0721933000, 0.1396596000, 0.3305597000, 0.8612713000", \ - "0.0277870000, 0.0329005000, 0.0451453000, 0.0722691000, 0.1396455000, 0.3310327000, 0.8700347000", \ - "0.0277880000, 0.0329007000, 0.0445773000, 0.0715658000, 0.1397048000, 0.3311975000, 0.8702486000", \ - "0.0276909000, 0.0328718000, 0.0446311000, 0.0721988000, 0.1392860000, 0.3307593000, 0.8585371000", \ - "0.0277890000, 0.0329056000, 0.0446097000, 0.0720505000, 0.1389800000, 0.3299241000, 0.8665349000", \ - "0.0275912000, 0.0328152000, 0.0446904000, 0.0719465000, 0.1399282000, 0.3318678000, 0.8577236000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0273928000, 0.0342369000, 0.0512802000, 0.0961506000, 0.2217646000, 0.5685910000, 1.5022555000", \ - "0.0273699000, 0.0341692000, 0.0512582000, 0.0961933000, 0.2216328000, 0.5682250000, 1.5031253000", \ - "0.0273763000, 0.0342878000, 0.0513016000, 0.0960726000, 0.2220110000, 0.5698796000, 1.5067334000", \ - "0.0274443000, 0.0343715000, 0.0514248000, 0.0961086000, 0.2219170000, 0.5679956000, 1.5061018000", \ - "0.0273939000, 0.0343299000, 0.0512651000, 0.0961276000, 0.2215175000, 0.5695203000, 1.5048566000", \ - "0.0273212000, 0.0342928000, 0.0513455000, 0.0962186000, 0.2216349000, 0.5686332000, 1.5053635000", \ - "0.0274658000, 0.0344024000, 0.0513840000, 0.0962429000, 0.2221802000, 0.5687331000, 1.5037505000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.1570489000, 0.1653962000, 0.1825480000, 0.2157203000, 0.2821298000, 0.4416047000, 0.8406065000", \ - "0.1618561000, 0.1702335000, 0.1875122000, 0.2207400000, 0.2871221000, 0.4466112000, 0.8456599000", \ - "0.1744565000, 0.1828276000, 0.1998917000, 0.2330674000, 0.2995322000, 0.4590292000, 0.8578846000", \ - "0.2057991000, 0.2141699000, 0.2313286000, 0.2644388000, 0.3310568000, 0.4906064000, 0.8895192000", \ - "0.2820288000, 0.2903218000, 0.3073956000, 0.3405197000, 0.4073665000, 0.5666734000, 0.9654345000", \ - "0.4363175000, 0.4462214000, 0.4659055000, 0.5022316000, 0.5724052000, 0.7304440000, 1.1290026000", \ - "0.6880869000, 0.7012180000, 0.7274898000, 0.7738736000, 0.8567887000, 1.0121078000, 1.4103722000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013374000, 0.0035773000, 0.0095685800, 0.0255941000, 0.0684593000, 0.1831150000"); - values("0.0291038000, 0.0345047000, 0.0464704000, 0.0738548000, 0.1423594000, 0.3359421000, 0.8584448000", \ - "0.0289082000, 0.0343221000, 0.0466570000, 0.0737482000, 0.1424730000, 0.3356361000, 0.8594668000", \ - "0.0292674000, 0.0344331000, 0.0465791000, 0.0741185000, 0.1424065000, 0.3365145000, 0.8632436000", \ - "0.0289577000, 0.0345533000, 0.0466440000, 0.0737616000, 0.1429820000, 0.3355751000, 0.8584261000", \ - "0.0290300000, 0.0346021000, 0.0472546000, 0.0742835000, 0.1440821000, 0.3352476000, 0.8618475000", \ - "0.0379202000, 0.0439279000, 0.0560582000, 0.0825935000, 0.1507915000, 0.3342929000, 0.8616092000", \ - "0.0560879000, 0.0636705000, 0.0784569000, 0.1080497000, 0.1670839000, 0.3342772000, 0.8602875000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0035390000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0035500000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0049712000, 0.0049514000, 0.0049055000, 0.0049153000, 0.0049378000, 0.0049896000, 0.0051091000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.005495800, -0.005461300, -0.005381800, -0.005395000, -0.005425300, -0.005495100, -0.005656100"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035280000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.204298800, -0.074302100, 0.2044251000", \ - "-0.326971400, -0.205519500, 0.0463522000", \ - "-0.407944700, -0.292596300, -0.057814500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2778789000, 0.4152162000, 0.6845847000", \ - "0.3871237000, 0.5232402000, 0.7852845000", \ - "0.4632142000, 0.5944479000, 0.8491679000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1785727000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCD") { - capacitance : 0.0018710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0031000000, 0.0031038000, 0.0031125000, 0.0031202000, 0.0031383000, 0.0031799000, 0.0032758000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003022700, -0.003024800, -0.003029600, -0.003037800, -0.003056400, -0.003099400, -0.003198600"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019640000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3962871000, 0.5702292000, 0.8855775000", \ - "0.3016907000, 0.4756328000, 0.7909811000", \ - "0.2561178000, 0.4312806000, 0.7478496000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2461406000, 0.3578268000, 0.4949525000", \ - "0.1893861000, 0.2998515000, 0.4333151000", \ - "0.2024069000, 0.3128724000, 0.4451152000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.245802700, -0.419744800, -0.696030600", \ - "-0.195151700, -0.369093700, -0.662469400", \ - "-0.166668600, -0.341831400, -0.643752000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.181105500, -0.286688200, -0.405503300", \ - "-0.156089200, -0.262892600, -0.385369800", \ - "-0.180096400, -0.288120500, -0.413039100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0036860000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0127239000, 0.0125722000, 0.0122225000, 0.0122744000, 0.0123941000, 0.0126702000, 0.0133064000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000327200, -0.000401400, -0.000572500, -0.000553500, -0.000509400, -0.000407800, -0.000173600"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0039730000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3352520000, 0.5043112000, 0.8135560000", \ - "0.2394349000, 0.4084941000, 0.7214010000", \ - "0.1914206000, 0.3617005000, 0.6758281000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3706523000, 0.4750143000, 0.5291322000", \ - "0.2760560000, 0.3804180000, 0.4345358000", \ - "0.2304831000, 0.3348451000, 0.3889629000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.215285200, -0.384344400, -0.661850900", \ - "-0.146323600, -0.315382800, -0.611199900", \ - "-0.109295600, -0.278354800, -0.580275400"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.233595700, -0.346502600, -0.407944700", \ - "-0.173179000, -0.281203100, -0.341424500", \ - "-0.141033800, -0.249058000, -0.308058600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "D"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("RESET_B") { - direction : "input"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfrtp_2") { - leakage_power () { - value : 0.0148932000; - when : "RESET_B&!CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0149111000; - when : "!RESET_B&!CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0146475000; - when : "!RESET_B&!CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0144107000; - when : "!RESET_B&!CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0150032000; - when : "!RESET_B&!CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0147524000; - when : "!RESET_B&!CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0161811000; - when : "RESET_B&CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0154636000; - when : "RESET_B&CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0153673000; - when : "RESET_B&CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0149229000; - when : "RESET_B&!CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0144706000; - when : "!RESET_B&CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0088909000; - when : "RESET_B&CLK&!D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0093548000; - when : "RESET_B&CLK&D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0078637000; - when : "RESET_B&CLK&D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0077376000; - when : "RESET_B&!CLK&D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0154178000; - when : "!RESET_B&CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0156978000; - when : "RESET_B&CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0152339000; - when : "RESET_B&CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0164447000; - when : "RESET_B&CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0151525000; - when : "RESET_B&!CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0147003000; - when : "!RESET_B&CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0162860000; - when : "RESET_B&CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0153868000; - when : "RESET_B&!CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0149345000; - when : "!RESET_B&CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0159443000; - when : "RESET_B&CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0150562000; - when : "RESET_B&!CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0146040000; - when : "!RESET_B&CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0090243000; - when : "RESET_B&CLK&!D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0082054000; - when : "RESET_B&CLK&D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0081005000; - when : "RESET_B&CLK&D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0075008000; - when : "RESET_B&!CLK&D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0151811000; - when : "!RESET_B&CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0091206000; - when : "RESET_B&CLK&!D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0080012000; - when : "RESET_B&!CLK&!D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0156815000; - when : "!RESET_B&CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0083641000; - when : "RESET_B&CLK&!D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0078425000; - when : "RESET_B&!CLK&D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0155227000; - when : "!RESET_B&CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0147882000; - when : "RESET_B&!CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0145393000; - when : "!RESET_B&!CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0097523000; - when : "RESET_B&!CLK&!D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0096560000; - when : "RESET_B&!CLK&!D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0095226000; - when : "RESET_B&!CLK&!D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0145514000; - when : "RESET_B&!CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0150519000; - when : "RESET_B&!CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0146727000; - when : "!RESET_B&!CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0147690000; - when : "!RESET_B&!CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0099866000; - when : "RESET_B&!CLK&D&!SCD&SCE&Q"; - } - area : 32.531200000; - cell_footprint : "sky130_fd_sc_hd__sdfrtp"; - cell_leakage_power : 0.0129909200; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0024370000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0023210000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0296385000, 0.0294603000, 0.0290494000, 0.0291767000, 0.0294704000, 0.0301474000, 0.0317079000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0184362000, 0.0183172000, 0.0180429000, 0.0181187000, 0.0182936000, 0.0186968000, 0.0196261000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025540000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2686506000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1555040000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016140000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015510000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079874000, 0.0079015000, 0.0077036000, 0.0077443000, 0.0078384000, 0.0080554000, 0.0085556000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022775000, 0.0022470000, 0.0021768000, 0.0021860000, 0.0022074000, 0.0022569000, 0.0023709000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016770000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3010723000, 0.4994284000, 0.8526185000", \ - "0.2052552000, 0.4048320000, 0.7580221000", \ - "0.1584616000, 0.3592591000, 0.7112285000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1289531000, 0.2674948000, 0.3911927000", \ - "0.0734193000, 0.2046367000, 0.3149069000", \ - "0.0815573000, 0.2078919000, 0.3108379000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.171339800, -0.367254600, -0.659409500", \ - "-0.103599000, -0.303175800, -0.627069000", \ - "-0.069012400, -0.268589200, -0.601027400"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.083449200, -0.214666700, -0.305405600", \ - "-0.048667300, -0.175002000, -0.273065100", \ - "-0.062908900, -0.186802100, -0.283644500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014743640, 0.0043475000, 0.0128196000, 0.0378015200, 0.1114664000, 0.3286842000"); - values("0.0209218000, 0.0194676000, 0.0164444000, 0.0101944000, -0.019368000, -0.137740200, -0.489408300", \ - "0.0209317000, 0.0194395000, 0.0163971000, 0.0101762000, -0.019361500, -0.137728400, -0.489370000", \ - "0.0206679000, 0.0192126000, 0.0162590000, 0.0100428000, -0.019696100, -0.138050300, -0.489733800", \ - "0.0208017000, 0.0194172000, 0.0164422000, 0.0103151000, -0.019987200, -0.138358300, -0.490001000", \ - "0.0221648000, 0.0207088000, 0.0178583000, 0.0123127000, -0.020160600, -0.138631300, -0.490252800", \ - "0.0270583000, 0.0255377000, 0.0225971000, 0.0173374000, -0.020092200, -0.138903400, -0.490438500", \ - "0.0418124000, 0.0399187000, 0.0349035000, 0.0208029000, -0.019794500, -0.138371700, -0.489866400"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014743640, 0.0043475000, 0.0128196000, 0.0378015200, 0.1114664000, 0.3286842000"); - values("0.0199564000, 0.0184239000, 0.0144533000, 0.0026888000, -0.036005100, -0.154680700, -0.506375200", \ - "0.0197922000, 0.0182451000, 0.0142741000, 0.0024967000, -0.036192800, -0.154870800, -0.506549100", \ - "0.0197762000, 0.0182103000, 0.0142497000, 0.0024623000, -0.036237800, -0.154923700, -0.506601600", \ - "0.0192712000, 0.0177287000, 0.0137585000, 0.0019643000, -0.036695900, -0.155374400, -0.507066000", \ - "0.0190384000, 0.0174833000, 0.0135043000, 0.0017450000, -0.036983400, -0.155666100, -0.507332300", \ - "0.0188597000, 0.0173215000, 0.0133354000, 0.0015465000, -0.037151500, -0.155821600, -0.507515100", \ - "0.0239544000, 0.0223612000, 0.0177839000, 0.0044765000, -0.035391600, -0.154491100, -0.506156600"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014743640, 0.0043475000, 0.0128196000, 0.0378015200, 0.1114664000, 0.3286842000"); - values("0.0229281000, 0.0245931000, 0.0295821000, 0.0439403000, 0.0845160000, 0.2032140000, 0.5526059000", \ - "0.0228763000, 0.0245317000, 0.0295727000, 0.0439031000, 0.0844536000, 0.2026603000, 0.5509988000", \ - "0.0229052000, 0.0245709000, 0.0295544000, 0.0439452000, 0.0844881000, 0.2023935000, 0.5521871000", \ - "0.0221320000, 0.0238425000, 0.0288744000, 0.0432436000, 0.0837875000, 0.2023641000, 0.5511635000", \ - "0.0217407000, 0.0233914000, 0.0283891000, 0.0427561000, 0.0833106000, 0.2013606000, 0.5520582000", \ - "0.0215911000, 0.0232558000, 0.0282065000, 0.0426795000, 0.0831013000, 0.2013286000, 0.5523059000", \ - "0.0235562000, 0.0251542000, 0.0299023000, 0.0439227000, 0.0843830000, 0.2028898000, 0.5517966000"); - } - } - max_capacitance : 0.3286840000; - max_transition : 1.5082220000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014743600, 0.0043475000, 0.0128196000, 0.0378015000, 0.1114660000, 0.3286840000"); - values("0.3173535000, 0.3244202000, 0.3400406000, 0.3710359000, 0.4314851000, 0.5665676000, 0.9324913000", \ - "0.3219905000, 0.3290564000, 0.3446801000, 0.3758370000, 0.4364797000, 0.5711299000, 0.9378363000", \ - "0.3328675000, 0.3398923000, 0.3555267000, 0.3866853000, 0.4474058000, 0.5820117000, 0.9489096000", \ - "0.3571633000, 0.3642292000, 0.3798539000, 0.4108327000, 0.4712967000, 0.6063799000, 0.9723975000", \ - "0.3950522000, 0.4020561000, 0.4177539000, 0.4488486000, 0.5095054000, 0.6441814000, 1.0109232000", \ - "0.4446077000, 0.4516716000, 0.4672928000, 0.4984410000, 0.5586830000, 0.6935164000, 1.0602285000", \ - "0.4952528000, 0.5022614000, 0.5179465000, 0.5490582000, 0.6096577000, 0.7443772000, 1.1109209000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014743600, 0.0043475000, 0.0128196000, 0.0378015000, 0.1114660000, 0.3286840000"); - values("0.2811046000, 0.2882758000, 0.3048016000, 0.3405699000, 0.4247428000, 0.6562980000, 1.3373419000", \ - "0.2856214000, 0.2928427000, 0.3093995000, 0.3451636000, 0.4291632000, 0.6615368000, 1.3405005000", \ - "0.2963799000, 0.3035459000, 0.3200845000, 0.3558931000, 0.4399804000, 0.6716923000, 1.3523637000", \ - "0.3203853000, 0.3276102000, 0.3441732000, 0.3799632000, 0.4640439000, 0.6964834000, 1.3782389000", \ - "0.3597367000, 0.3668993000, 0.3834570000, 0.4192250000, 0.5034125000, 0.7356064000, 1.4158643000", \ - "0.4121413000, 0.4193144000, 0.4358513000, 0.4716600000, 0.5555920000, 0.7875560000, 1.4677494000", \ - "0.4706365000, 0.4778623000, 0.4944160000, 0.5302210000, 0.6144033000, 0.8461982000, 1.5249811000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014743600, 0.0043475000, 0.0128196000, 0.0378015000, 0.1114660000, 0.3286840000"); - values("0.0308198000, 0.0349495000, 0.0448368000, 0.0661470000, 0.1204888000, 0.2737713000, 0.7618249000", \ - "0.0308664000, 0.0349425000, 0.0449372000, 0.0665173000, 0.1201767000, 0.2730044000, 0.7547906000", \ - "0.0306721000, 0.0350256000, 0.0449992000, 0.0665293000, 0.1201448000, 0.2732029000, 0.7599959000", \ - "0.0308620000, 0.0349637000, 0.0448313000, 0.0661468000, 0.1204870000, 0.2737644000, 0.7617307000", \ - "0.0305803000, 0.0352108000, 0.0449717000, 0.0663003000, 0.1204334000, 0.2734414000, 0.7598797000", \ - "0.0308240000, 0.0349566000, 0.0449627000, 0.0662781000, 0.1197217000, 0.2730590000, 0.7595751000", \ - "0.0308173000, 0.0350806000, 0.0448020000, 0.0664233000, 0.1203348000, 0.2732882000, 0.7519681000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014743600, 0.0043475000, 0.0128196000, 0.0378015000, 0.1114660000, 0.3286840000"); - values("0.0278656000, 0.0330783000, 0.0466392000, 0.0821669000, 0.1880854000, 0.5175312000, 1.5006870000", \ - "0.0278885000, 0.0331005000, 0.0466038000, 0.0820784000, 0.1878425000, 0.5169322000, 1.5044379000", \ - "0.0279019000, 0.0331222000, 0.0467134000, 0.0820647000, 0.1880368000, 0.5178939000, 1.5043948000", \ - "0.0278855000, 0.0331161000, 0.0466480000, 0.0821174000, 0.1881386000, 0.5179829000, 1.5082222000", \ - "0.0279739000, 0.0330692000, 0.0467094000, 0.0821576000, 0.1876752000, 0.5172936000, 1.5065886000", \ - "0.0277739000, 0.0331915000, 0.0467016000, 0.0821865000, 0.1878502000, 0.5172381000, 1.4986513000", \ - "0.0278984000, 0.0331987000, 0.0466528000, 0.0821959000, 0.1882193000, 0.5170811000, 1.5018439000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014743600, 0.0043475000, 0.0128196000, 0.0378015000, 0.1114660000, 0.3286840000"); - values("0.1902268000, 0.1974955000, 0.2138465000, 0.2459455000, 0.3084193000, 0.4495408000, 0.8145155000", \ - "0.1952992000, 0.2025827000, 0.2188995000, 0.2508948000, 0.3134855000, 0.4546087000, 0.8193559000", \ - "0.2081487000, 0.2154303000, 0.2317473000, 0.2638018000, 0.3263679000, 0.4674285000, 0.8322187000", \ - "0.2394369000, 0.2467374000, 0.2631120000, 0.2952994000, 0.3579478000, 0.4987925000, 0.8636086000", \ - "0.3151180000, 0.3223845000, 0.3387100000, 0.3709807000, 0.4339619000, 0.5738079000, 0.9381529000", \ - "0.4830200000, 0.4911704000, 0.5090541000, 0.5433529000, 0.6090352000, 0.7438374000, 1.1084024000", \ - "0.7700738000, 0.7805064000, 0.8039681000, 0.8506375000, 0.9152106000, 1.0448895000, 1.4093605000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014743600, 0.0043475000, 0.0128196000, 0.0378015000, 0.1114660000, 0.3286840000"); - values("0.0326077000, 0.0372766000, 0.0477941000, 0.0695329000, 0.1238942000, 0.2773629000, 0.7496851000", \ - "0.0329268000, 0.0372494000, 0.0473633000, 0.0705467000, 0.1238582000, 0.2774742000, 0.7525490000", \ - "0.0326794000, 0.0373189000, 0.0479330000, 0.0704788000, 0.1242125000, 0.2772739000, 0.7529803000", \ - "0.0326523000, 0.0374616000, 0.0479134000, 0.0702722000, 0.1243082000, 0.2768076000, 0.7522084000", \ - "0.0327517000, 0.0372854000, 0.0475500000, 0.0706101000, 0.1252267000, 0.2753914000, 0.7524773000", \ - "0.0400050000, 0.0443845000, 0.0550422000, 0.0765967000, 0.1274812000, 0.2700405000, 0.7528096000", \ - "0.0623667000, 0.0684103000, 0.0820111000, 0.0986313000, 0.1263737000, 0.2668371000, 0.7530944000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0035310000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0035330000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048630000, 0.0048763000, 0.0049070000, 0.0049166000, 0.0049391000, 0.0049908000, 0.0051101000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.005487400, -0.005456700, -0.005386000, -0.005399200, -0.005429500, -0.005499200, -0.005659900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035300000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.194533200, -0.043784500, 0.3179505000", \ - "-0.318426400, -0.178664100, 0.1501120000", \ - "-0.400620400, -0.266961600, 0.0386211000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2778789000, 0.4164368000, 0.6845847000", \ - "0.3883444000, 0.5244610000, 0.7852845000", \ - "0.4632142000, 0.5956686000, 0.8491679000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2302027000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCD") { - capacitance : 0.0018710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030992000, 0.0031032000, 0.0031124000, 0.0031201000, 0.0031381000, 0.0031794000, 0.0032749000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003019100, -0.003022600, -0.003030600, -0.003039700, -0.003060500, -0.003108300, -0.003218500"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019640000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3987285000, 0.5726706000, 0.8867982000", \ - "0.3029115000, 0.4768535000, 0.7922018000", \ - "0.2573385000, 0.4325013000, 0.7490703000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2546855000, 0.3675924000, 0.5059388000", \ - "0.1930482000, 0.3035137000, 0.4369772000", \ - "0.2048483000, 0.3153138000, 0.4475566000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.233595700, -0.406317000, -0.676499400", \ - "-0.186606800, -0.362990200, -0.652703800", \ - "-0.160565100, -0.335727900, -0.636427800"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.179884800, -0.286688200, -0.404282600", \ - "-0.156089200, -0.262892600, -0.385369800", \ - "-0.180096400, -0.286899700, -0.413039100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0036860000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0127243000, 0.0125724000, 0.0122224000, 0.0122741000, 0.0123936000, 0.0126692000, 0.0133043000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000300900, -0.000380200, -0.000562800, -0.000544700, -0.000502700, -0.000406000, -0.000183000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0039720000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3364726000, 0.5055319000, 0.8159974000", \ - "0.2406556000, 0.4097148000, 0.7214010000", \ - "0.1926413000, 0.3629212000, 0.6770489000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3718730000, 0.4762350000, 0.5303529000", \ - "0.2772767000, 0.3816387000, 0.4357565000", \ - "0.2317038000, 0.3360657000, 0.3901836000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.206740200, -0.374578800, -0.645981800", \ - "-0.138999400, -0.308058600, -0.601434200", \ - "-0.103192100, -0.272251300, -0.571730500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.221388700, -0.335516300, -0.398179000", \ - "-0.165854800, -0.273878900, -0.335321000", \ - "-0.132488900, -0.242954400, -0.301955100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "D"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("RESET_B") { - direction : "input"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfrtp_4") { - leakage_power () { - value : 0.0158659000; - when : "RESET_B&CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0151484000; - when : "RESET_B&CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0150521000; - when : "RESET_B&CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0146077000; - when : "RESET_B&!CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0141555000; - when : "!RESET_B&CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0098495000; - when : "RESET_B&CLK&!D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0103134000; - when : "RESET_B&CLK&D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0088223000; - when : "RESET_B&CLK&D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0086962000; - when : "RESET_B&!CLK&D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0151027000; - when : "!RESET_B&CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0153826000; - when : "RESET_B&CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0149187000; - when : "RESET_B&CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0161296000; - when : "RESET_B&CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0148374000; - when : "RESET_B&!CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0143852000; - when : "!RESET_B&CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0159708000; - when : "RESET_B&CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0150716000; - when : "RESET_B&!CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0146194000; - when : "!RESET_B&CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0156291000; - when : "RESET_B&CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0147411000; - when : "RESET_B&!CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0142889000; - when : "!RESET_B&CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0099828000; - when : "RESET_B&CLK&!D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0091639000; - when : "RESET_B&CLK&D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0090590000; - when : "RESET_B&CLK&D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0084594000; - when : "RESET_B&!CLK&D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0148659000; - when : "!RESET_B&CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0100791000; - when : "RESET_B&CLK&!D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0089598000; - when : "RESET_B&!CLK&!D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0153663000; - when : "!RESET_B&CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0093227000; - when : "RESET_B&CLK&!D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0088011000; - when : "RESET_B&!CLK&D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0152076000; - when : "!RESET_B&CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0144731000; - when : "RESET_B&!CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0142242000; - when : "!RESET_B&!CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0107109000; - when : "RESET_B&!CLK&!D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0106146000; - when : "RESET_B&!CLK&!D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0104812000; - when : "RESET_B&!CLK&!D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0142363000; - when : "RESET_B&!CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0147367000; - when : "RESET_B&!CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0143575000; - when : "!RESET_B&!CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0144538000; - when : "!RESET_B&!CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0109451000; - when : "RESET_B&!CLK&D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0145780000; - when : "RESET_B&!CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0145960000; - when : "!RESET_B&!CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0143323000; - when : "!RESET_B&!CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0140956000; - when : "!RESET_B&!CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0146881000; - when : "!RESET_B&!CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0144373000; - when : "!RESET_B&!CLK&D&SCD&SCE&!Q"; - } - area : 35.033600000; - cell_footprint : "sky130_fd_sc_hd__sdfrtp"; - cell_leakage_power : 0.0131003400; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0024390000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0023250000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0296101000, 0.0294318000, 0.0290207000, 0.0291561000, 0.0294685000, 0.0301885000, 0.0318482000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0184385000, 0.0183201000, 0.0180471000, 0.0181196000, 0.0182868000, 0.0186723000, 0.0195609000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025540000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2675521000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1851638000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016140000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0015510000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079872000, 0.0079013000, 0.0077034000, 0.0077444000, 0.0078392000, 0.0080577000, 0.0085612000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0022786000, 0.0022485000, 0.0021792000, 0.0021872000, 0.0022061000, 0.0022494000, 0.0023494000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0016770000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2998515000, 0.4982077000, 0.8513978000", \ - "0.2040345000, 0.4036113000, 0.7568014000", \ - "0.1572409000, 0.3580384000, 0.7100078000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1289531000, 0.2687155000, 0.3924134000", \ - "0.0746400000, 0.2058574000, 0.3161276000", \ - "0.0815573000, 0.2078919000, 0.3108379000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.170119100, -0.367254600, -0.656968100", \ - "-0.103599000, -0.303175800, -0.625848300", \ - "-0.069012400, -0.268589200, -0.601027400"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.084669900, -0.215887400, -0.307847000", \ - "-0.048667300, -0.175002000, -0.273065100", \ - "-0.062908900, -0.186802100, -0.284865200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016213760, 0.0052577200, 0.0170494800, 0.0552872400, 0.1792828000, 0.5813697000"); - values("0.0288094000, 0.0267757000, 0.0207246000, 0.0036523000, -0.054361700, -0.252813800, -0.903506600", \ - "0.0287390000, 0.0267216000, 0.0207355000, 0.0036153000, -0.054358200, -0.252767100, -0.903504000", \ - "0.0285488000, 0.0264791000, 0.0204674000, 0.0034098000, -0.054556300, -0.253002700, -0.903702300", \ - "0.0280807000, 0.0260624000, 0.0199867000, 0.0029320000, -0.055027300, -0.253447600, -0.904179700", \ - "0.0276735000, 0.0256338000, 0.0196157000, 0.0025439000, -0.055483900, -0.253858500, -0.904590100", \ - "0.0275751000, 0.0256033000, 0.0195568000, 0.0024732000, -0.055489500, -0.253925300, -0.904645700", \ - "0.0354988000, 0.0334393000, 0.0272212000, 0.0081159000, -0.052935300, -0.253007400, -0.903700400"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016213760, 0.0052577200, 0.0170494800, 0.0552872400, 0.1792828000, 0.5813697000"); - values("0.0337927000, 0.0355560000, 0.0415159000, 0.0616073000, 0.1241405000, 0.3242578000, 0.9668205000", \ - "0.0336730000, 0.0354876000, 0.0414770000, 0.0614597000, 0.1241048000, 0.3230986000, 0.9682215000", \ - "0.0337148000, 0.0355734000, 0.0415378000, 0.0615056000, 0.1241133000, 0.3230146000, 0.9691025000", \ - "0.0329735000, 0.0347645000, 0.0408115000, 0.0607819000, 0.1234041000, 0.3228711000, 0.9702853000", \ - "0.0325902000, 0.0343809000, 0.0403968000, 0.0603661000, 0.1229700000, 0.3233673000, 0.9730843000", \ - "0.0324500000, 0.0342425000, 0.0402940000, 0.0600996000, 0.1228147000, 0.3216298000, 0.9666003000", \ - "0.0354569000, 0.0372279000, 0.0430718000, 0.0624049000, 0.1245626000, 0.3235582000, 0.9711847000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016213760, 0.0052577200, 0.0170494800, 0.0552872400, 0.1792828000, 0.5813697000"); - values("0.0468098000, 0.0447949000, 0.0387021000, 0.0207640000, -0.036412800, -0.235499000, -0.886291700", \ - "0.0469196000, 0.0448597000, 0.0387699000, 0.0208054000, -0.036427400, -0.235530000, -0.886370800", \ - "0.0466488000, 0.0446193000, 0.0385890000, 0.0205540000, -0.036750000, -0.235836700, -0.886655600", \ - "0.0464855000, 0.0444437000, 0.0382779000, 0.0205177000, -0.036926100, -0.236052600, -0.886877000", \ - "0.0464380000, 0.0444276000, 0.0382981000, 0.0205398000, -0.037352100, -0.236456300, -0.887204500", \ - "0.0468818000, 0.0448260000, 0.0385893000, 0.0209115000, -0.037823700, -0.237085800, -0.887652500", \ - "0.0514826000, 0.0494462000, 0.0430981000, 0.0235031000, -0.038368600, -0.237541700, -0.887943400"); - } - related_pin : "RESET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.5813700000; - max_transition : 1.5056020000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016213800, 0.0052577200, 0.0170495000, 0.0552872000, 0.1792830000, 0.5813700000"); - values("0.3901281000, 0.3958398000, 0.4106792000, 0.4436763000, 0.5092843000, 0.6475117000, 1.0136127000", \ - "0.3948863000, 0.4005636000, 0.4154541000, 0.4483063000, 0.5138800000, 0.6522616000, 1.0184116000", \ - "0.4057713000, 0.4114671000, 0.4263985000, 0.4593194000, 0.5252258000, 0.6634578000, 1.0289031000", \ - "0.4300650000, 0.4357409000, 0.4505892000, 0.4834451000, 0.5491983000, 0.6876535000, 1.0532728000", \ - "0.4679246000, 0.4736104000, 0.4885036000, 0.5214986000, 0.5872464000, 0.7252702000, 1.0911558000", \ - "0.5174333000, 0.5231480000, 0.5380046000, 0.5709117000, 0.6366549000, 0.7750351000, 1.1409792000", \ - "0.5680836000, 0.5737468000, 0.5886383000, 0.6213350000, 0.6875383000, 0.8256271000, 1.1916240000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016213800, 0.0052577200, 0.0170495000, 0.0552872000, 0.1792830000, 0.5813700000"); - values("0.3323132000, 0.3383121000, 0.3539462000, 0.3897704000, 0.4719656000, 0.6965135000, 1.4089480000", \ - "0.3368570000, 0.3428741000, 0.3585094000, 0.3942995000, 0.4765552000, 0.7012156000, 1.4166517000", \ - "0.3476173000, 0.3536530000, 0.3692699000, 0.4050565000, 0.4872268000, 0.7117915000, 1.4247172000", \ - "0.3716711000, 0.3776409000, 0.3932605000, 0.4291047000, 0.5113134000, 0.7358788000, 1.4494281000", \ - "0.4109862000, 0.4169926000, 0.4326552000, 0.4684181000, 0.5506294000, 0.7752375000, 1.4891033000", \ - "0.4634304000, 0.4694392000, 0.4851221000, 0.5208675000, 0.6030140000, 0.8277407000, 1.5413451000", \ - "0.5221711000, 0.5281573000, 0.5437951000, 0.5795995000, 0.6616000000, 0.8862714000, 1.5987609000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016213800, 0.0052577200, 0.0170495000, 0.0552872000, 0.1792830000, 0.5813700000"); - values("0.0446502000, 0.0480295000, 0.0569452000, 0.0774417000, 0.1255327000, 0.2621085000, 0.7244109000", \ - "0.0447934000, 0.0479530000, 0.0573512000, 0.0775154000, 0.1255172000, 0.2622881000, 0.7242724000", \ - "0.0448275000, 0.0480873000, 0.0568971000, 0.0773837000, 0.1267920000, 0.2622649000, 0.7231288000", \ - "0.0448909000, 0.0480854000, 0.0570552000, 0.0780088000, 0.1256855000, 0.2623355000, 0.7234199000", \ - "0.0448135000, 0.0480010000, 0.0569483000, 0.0774845000, 0.1258769000, 0.2627427000, 0.7225704000", \ - "0.0448090000, 0.0481050000, 0.0568418000, 0.0773311000, 0.1266347000, 0.2619218000, 0.7241389000", \ - "0.0447482000, 0.0480083000, 0.0575012000, 0.0776018000, 0.1259599000, 0.2619042000, 0.7206885000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016213800, 0.0052577200, 0.0170495000, 0.0552872000, 0.1792830000, 0.5813700000"); - values("0.0366210000, 0.0406195000, 0.0523375000, 0.0822456000, 0.1718130000, 0.4774408000, 1.4990924000", \ - "0.0364434000, 0.0405836000, 0.0519887000, 0.0822648000, 0.1717187000, 0.4768957000, 1.5050636000", \ - "0.0366229000, 0.0408036000, 0.0520833000, 0.0821618000, 0.1717337000, 0.4774451000, 1.5056018000", \ - "0.0365571000, 0.0407445000, 0.0523081000, 0.0821653000, 0.1718162000, 0.4774375000, 1.5032198000", \ - "0.0365108000, 0.0405748000, 0.0521502000, 0.0820149000, 0.1717200000, 0.4774150000, 1.5052259000", \ - "0.0364785000, 0.0408090000, 0.0520225000, 0.0818364000, 0.1716566000, 0.4773623000, 1.5051363000", \ - "0.0363790000, 0.0405327000, 0.0520578000, 0.0822180000, 0.1716336000, 0.4774484000, 1.5035408000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016213800, 0.0052577200, 0.0170495000, 0.0552872000, 0.1792830000, 0.5813700000"); - values("0.2685655000, 0.2746004000, 0.2902154000, 0.3251862000, 0.3952750000, 0.5272707000, 0.8853202000", \ - "0.2739322000, 0.2799478000, 0.2956684000, 0.3306764000, 0.4007712000, 0.5324687000, 0.8905094000", \ - "0.2871848000, 0.2931772000, 0.3089975000, 0.3439482000, 0.4141735000, 0.5453731000, 0.9031730000", \ - "0.3189715000, 0.3249867000, 0.3407216000, 0.3756055000, 0.4456161000, 0.5756158000, 0.9336423000", \ - "0.3948775000, 0.4009089000, 0.4166621000, 0.4516926000, 0.5201345000, 0.6471863000, 1.0048598000", \ - "0.5746006000, 0.5807019000, 0.5969934000, 0.6308145000, 0.6874795000, 0.8104518000, 1.1682652000", \ - "0.8920772000, 0.8966852000, 0.9084919000, 0.9347831000, 0.9884309000, 1.1116744000, 1.4704001000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016213800, 0.0052577200, 0.0170495000, 0.0552872000, 0.1792830000, 0.5813700000"); - values("0.0489580000, 0.0528486000, 0.0623579000, 0.0839381000, 0.1297833000, 0.2483798000, 0.7108660000", \ - "0.0490029000, 0.0525618000, 0.0628423000, 0.0840337000, 0.1295397000, 0.2480499000, 0.7128751000", \ - "0.0488054000, 0.0523089000, 0.0623232000, 0.0839618000, 0.1292984000, 0.2477117000, 0.7096141000", \ - "0.0494347000, 0.0530491000, 0.0623286000, 0.0845531000, 0.1279111000, 0.2464930000, 0.7128353000", \ - "0.0496944000, 0.0529791000, 0.0625869000, 0.0851369000, 0.1240485000, 0.2433092000, 0.7145204000", \ - "0.0516074000, 0.0551078000, 0.0634752000, 0.0771422000, 0.1089153000, 0.2389570000, 0.7128094000", \ - "0.0370419000, 0.0393769000, 0.0460518000, 0.0622205000, 0.1049506000, 0.2399752000, 0.7125964000"); - } - related_pin : "RESET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("RESET_B") { - capacitance : 0.0035230000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0035160000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0048412000, 0.0048606000, 0.0049052000, 0.0049151000, 0.0049380000, 0.0049907000, 0.0051124000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.005479300, -0.005451200, -0.005386500, -0.005401600, -0.005436200, -0.005515800, -0.005699400"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035310000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.193312500, -0.030356800, 0.4033997000", \ - "-0.317205700, -0.165236300, 0.2355612000", \ - "-0.399399700, -0.253533800, 0.1216289000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2778789000, 0.4164368000, 0.6845847000", \ - "0.3883444000, 0.5244610000, 0.7865052000", \ - "0.4632142000, 0.5956686000, 0.8491679000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3180836000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "RESET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCD") { - capacitance : 0.0018710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0030957000, 0.0031005000, 0.0031118000, 0.0031194000, 0.0031371000, 0.0031780000, 0.0032723000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003017600, -0.003022300, -0.003033300, -0.003043200, -0.003065800, -0.003118000, -0.003238200"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019630000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3975078000, 0.5714499000, 0.8867982000", \ - "0.3016907000, 0.4756328000, 0.7909811000", \ - "0.2573385000, 0.4325013000, 0.7490703000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2559063000, 0.3675924000, 0.5071595000", \ - "0.1930482000, 0.3035137000, 0.4381979000", \ - "0.2048483000, 0.3153138000, 0.4475566000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.232375000, -0.406317000, -0.675278600", \ - "-0.186606800, -0.362990200, -0.652703800", \ - "-0.160565100, -0.334507200, -0.636427800"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.181105500, -0.287908800, -0.405503300", \ - "-0.156089200, -0.262892600, -0.385369800", \ - "-0.180096400, -0.288120500, -0.414259800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0036860000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0127204000, 0.0125695000, 0.0122218000, 0.0122738000, 0.0123939000, 0.0126707000, 0.0133088000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000300800, -0.000378800, -0.000558600, -0.000540000, -0.000497100, -0.000398100, -0.000170000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0039730000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3352520000, 0.5043112000, 0.8147767000", \ - "0.2394349000, 0.4084941000, 0.7214010000", \ - "0.1926413000, 0.3629212000, 0.6758281000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3706523000, 0.4750143000, 0.5291322000", \ - "0.2760560000, 0.3804180000, 0.4345358000", \ - "0.2317038000, 0.3348451000, 0.3889629000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.204298800, -0.373358100, -0.643540300", \ - "-0.138999400, -0.308058600, -0.600213600", \ - "-0.103192100, -0.271030600, -0.571730500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.220168000, -0.334295600, -0.396958300", \ - "-0.165854800, -0.273878900, -0.335321000", \ - "-0.132488900, -0.241733700, -0.301955100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clear : "!RESET_B"; - clocked_on : "CLK"; - next_state : "D"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("RESET_B") { - direction : "input"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfsbp_1") { - leakage_power () { - value : 0.0140654000; - when : "CLK&D&!SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0160134000; - when : "CLK&!D&!SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0118528000; - when : "CLK&!D&SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0115851000; - when : "!CLK&!D&!SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0201707000; - when : "CLK&!D&!SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0205478000; - when : "CLK&!D&!SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0254264000; - when : "CLK&D&!SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0198493000; - when : "CLK&D&SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0209374000; - when : "!CLK&D&!SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0208134000; - when : "CLK&D&!SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0162812000; - when : "CLK&D&!SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0114027000; - when : "CLK&!D&!SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0181911000; - when : "CLK&!D&SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0161959000; - when : "!CLK&!D&!SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0247815000; - when : "CLK&!D&!SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0177828000; - when : "CLK&D&SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0164637000; - when : "!CLK&D&!SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0250493000; - when : "CLK&D&!SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0127243000; - when : "CLK&D&SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0120353000; - when : "!CLK&!D&SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0206209000; - when : "CLK&!D&SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0209980000; - when : "CLK&!D&SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0249078000; - when : "CLK&D&SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0211904000; - when : "CLK&D&!SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0195962000; - when : "!CLK&D&SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0194722000; - when : "CLK&D&SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0251585000; - when : "CLK&!D&!SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0250630000; - when : "!CLK&!D&SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0249390000; - when : "CLK&!D&SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0253161000; - when : "CLK&!D&SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0246547000; - when : "!CLK&D&SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0245307000; - when : "CLK&D&SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0135524000; - when : "!CLK&D&!SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0200012000; - when : "!CLK&!D&!SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0246735000; - when : "!CLK&!D&!SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0205130000; - when : "!CLK&!D&SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0200628000; - when : "!CLK&!D&!SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0122113000; - when : "!CLK&D&SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0176781000; - when : "!CLK&!D&SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0204514000; - when : "!CLK&!D&SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0246120000; - when : "!CLK&!D&!SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0249414000; - when : "!CLK&D&!SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0172697000; - when : "!CLK&D&SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0242736000; - when : "!CLK&!D&SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0201479000; - when : "!CLK&D&!SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0188068000; - when : "!CLK&D&SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0248798000; - when : "!CLK&D&!SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0238653000; - when : "!CLK&D&SCD&SCE&!SET_B&Q&!Q_N"; - } - area : 36.284800000; - cell_footprint : "sky130_fd_sc_hd__sdfsbp"; - cell_leakage_power : 0.0199282800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0019500000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0018620000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0232574000, 0.0231262000, 0.0228238000, 0.0228734000, 0.0229878000, 0.0232515000, 0.0238593000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0184434000, 0.0183813000, 0.0182380000, 0.0182564000, 0.0182988000, 0.0183965000, 0.0186216000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020370000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3202806000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2313012000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0018640000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0090623000, 0.0089674000, 0.0087486000, 0.0087897000, 0.0088845000, 0.0091028000, 0.0096061000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027206000, 0.0026761000, 0.0025732000, 0.0025952000, 0.0026460000, 0.0027629000, 0.0030325000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019560000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2900859000, 0.4884421000, 0.8330873000", \ - "0.1600892000, 0.3596660000, 0.7030905000", \ - "0.0656882000, 0.2652650000, 0.6062480000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1423809000, 0.2821432000, 0.4204896000", \ - "0.0795228000, 0.2107402000, 0.3319968000", \ - "0.0644674000, 0.1920228000, 0.3022930000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.123732400, -0.317205700, -0.575181000", \ - "-0.025474000, -0.221388700, -0.525750700", \ - "0.0530579000, -0.145298200, -0.461867200"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.101759800, -0.236639300, -0.344468100", \ - "-0.057212200, -0.184767600, -0.292596300", \ - "-0.050701800, -0.177036500, -0.282423800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("0.0001485000, 0.0043546000, 0.0137457000, 0.0320753000, 0.0651692000, 0.1351231000, 0.3065467000", \ - "0.0001169000, 0.0043086000, 0.0136568000, 0.0319175000, 0.0649605000, 0.1348921000, 0.3054570000", \ - "6.910000e-05, 0.0042539000, 0.0136007000, 0.0318331000, 0.0648421000, 0.1348134000, 0.3053460000", \ - "6.400000e-05, 0.0042469000, 0.0135790000, 0.0317964000, 0.0650354000, 0.1341334000, 0.3047772000", \ - "6.545000e-05, 0.0042515000, 0.0135977000, 0.0318520000, 0.0648778000, 0.1349983000, 0.3068073000", \ - "2.530000e-05, 0.0041685000, 0.0134259000, 0.0315386000, 0.0644517000, 0.1336959000, 0.3060169000", \ - "5.160000e-05, 0.0042709000, 0.0136193000, 0.0317422000, 0.0650552000, 0.1341936000, 0.3053200000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.005864500, -0.001208200, 0.0073918000, 0.0164657000, 0.0105290000, -0.041417500, -0.204781600", \ - "-0.005843700, -0.001203500, 0.0073744000, 0.0163865000, 0.0103928000, -0.041580600, -0.204959800", \ - "-0.005781000, -0.001153400, 0.0074024000, 0.0163751000, 0.0103340000, -0.041658300, -0.205053800", \ - "-0.005802600, -0.001193100, 0.0073260000, 0.0162437000, 0.0101492000, -0.041876800, -0.205305000", \ - "-0.005835100, -0.001242000, 0.0072438000, 0.0161275000, 0.0099783000, -0.042089600, -0.205513300", \ - "-0.005878700, -0.001280900, 0.0072113000, 0.0161135000, 0.0100092000, -0.042065400, -0.205456200", \ - "-0.005924700, -0.001230900, 0.0074557000, 0.0166338000, 0.0106825000, -0.041417500, -0.204734300"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.007407900, -0.004638100, 0.0017781000, 0.0160308000, 0.0451221000, 0.1116142000, 0.2826518000", \ - "-0.007376700, -0.004607400, 0.0017912000, 0.0160501000, 0.0451635000, 0.1115322000, 0.2807557000", \ - "-0.007314500, -0.004559800, 0.0018194000, 0.0160317000, 0.0450321000, 0.1120548000, 0.2817799000", \ - "-0.007344200, -0.004619300, 0.0017016000, 0.0158229000, 0.0447821000, 0.1116135000, 0.2805975000", \ - "-0.007382600, -0.004683300, 0.0015819000, 0.0156208000, 0.0444718000, 0.1113037000, 0.2813108000", \ - "-0.007430300, -0.004729400, 0.0015397000, 0.0155960000, 0.0444406000, 0.1113433000, 0.2801045000", \ - "-0.007416900, -0.004533400, 0.0021174000, 0.0161418000, 0.0448848000, 0.1114138000, 0.2803617000"); - } - } - max_capacitance : 0.1684670000; - max_transition : 1.5041860000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3221056000, 0.3273334000, 0.3384400000, 0.3615858000, 0.4141442000, 0.5482237000, 0.9009296000", \ - "0.3268066000, 0.3319766000, 0.3430802000, 0.3662285000, 0.4188180000, 0.5528713000, 0.9064015000", \ - "0.3377874000, 0.3430415000, 0.3541227000, 0.3772756000, 0.4298216000, 0.5638746000, 0.9170268000", \ - "0.3636872000, 0.3689430000, 0.3800204000, 0.4031730000, 0.4557212000, 0.5897576000, 0.9424236000", \ - "0.4137853000, 0.4189686000, 0.4300563000, 0.4532474000, 0.5058075000, 0.6398153000, 0.9936261000", \ - "0.4856276000, 0.4909019000, 0.5019695000, 0.5251292000, 0.5776712000, 0.7116404000, 1.0651966000", \ - "0.5756677000, 0.5808885000, 0.5919865000, 0.6151615000, 0.6677168000, 0.8017558000, 1.1552854000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3575708000, 0.3645821000, 0.3801614000, 0.4164617000, 0.5099483000, 0.7553813000, 1.4032795000", \ - "0.3622567000, 0.3693262000, 0.3848463000, 0.4211591000, 0.5145758000, 0.7597665000, 1.4065600000", \ - "0.3734030000, 0.3804672000, 0.3960255000, 0.4323287000, 0.5257834000, 0.7710842000, 1.4195991000", \ - "0.3991031000, 0.4061718000, 0.4217280000, 0.4580268000, 0.5514922000, 0.7968209000, 1.4428535000", \ - "0.4493225000, 0.4563216000, 0.4718580000, 0.5081934000, 0.6016100000, 0.8466892000, 1.4961298000", \ - "0.5231266000, 0.5302019000, 0.5456751000, 0.5820447000, 0.6754312000, 0.9205385000, 1.5694583000", \ - "0.6172222000, 0.6242297000, 0.6398452000, 0.6761512000, 0.7695809000, 1.0149797000, 1.6633757000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0175477000, 0.0213462000, 0.0308251000, 0.0543088000, 0.1180498000, 0.2942845000, 0.7655355000", \ - "0.0174797000, 0.0214368000, 0.0308604000, 0.0543407000, 0.1180888000, 0.2937200000, 0.7691432000", \ - "0.0175178000, 0.0213372000, 0.0308465000, 0.0544129000, 0.1180615000, 0.2942640000, 0.7695307000", \ - "0.0175273000, 0.0213474000, 0.0308373000, 0.0543955000, 0.1179828000, 0.2943172000, 0.7588457000", \ - "0.0174420000, 0.0214545000, 0.0308585000, 0.0545802000, 0.1179472000, 0.2933465000, 0.7676458000", \ - "0.0175637000, 0.0213869000, 0.0308530000, 0.0540566000, 0.1180695000, 0.2945031000, 0.7679721000", \ - "0.0174135000, 0.0215066000, 0.0308875000, 0.0544097000, 0.1177775000, 0.2949646000, 0.7596286000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0254391000, 0.0314374000, 0.0479480000, 0.0951357000, 0.2270047000, 0.5751614000, 1.4995383000", \ - "0.0253759000, 0.0314830000, 0.0477773000, 0.0951790000, 0.2262840000, 0.5745424000, 1.5007312000", \ - "0.0255350000, 0.0314266000, 0.0478760000, 0.0951934000, 0.2262589000, 0.5752333000, 1.4987013000", \ - "0.0255300000, 0.0314394000, 0.0479030000, 0.0951787000, 0.2264541000, 0.5749490000, 1.4968877000", \ - "0.0254384000, 0.0313944000, 0.0478837000, 0.0951979000, 0.2266149000, 0.5739441000, 1.4999001000", \ - "0.0254655000, 0.0315121000, 0.0477164000, 0.0950437000, 0.2265568000, 0.5745761000, 1.5016123000", \ - "0.0255357000, 0.0315048000, 0.0479903000, 0.0950640000, 0.2266009000, 0.5750517000, 1.4960729000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.3560826000, 0.3625168000, 0.3773875000, 0.4134062000, 0.5066214000, 0.7520786000, 1.4026509000", \ - "0.3612758000, 0.3677248000, 0.3825765000, 0.4186487000, 0.5118853000, 0.7572352000, 1.4042925000", \ - "0.3744307000, 0.3808590000, 0.3957360000, 0.4317466000, 0.5250947000, 0.7703811000, 1.4174172000", \ - "0.4074661000, 0.4138957000, 0.4287659000, 0.4648156000, 0.5579901000, 0.8031698000, 1.4499554000", \ - "0.4833072000, 0.4897336000, 0.5045985000, 0.5406230000, 0.6338420000, 0.8792987000, 1.5298803000", \ - "0.6381821000, 0.6446370000, 0.6594982000, 0.6955199000, 0.7887419000, 1.0340829000, 1.6833749000", \ - "0.9165092000, 0.9230179000, 0.9379651000, 0.9740603000, 1.0674662000, 1.3120960000, 1.9606472000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0221567000, 0.0284437000, 0.0459288000, 0.0943658000, 0.2259771000, 0.5759664000, 1.5037959000", \ - "0.0220323000, 0.0284319000, 0.0458259000, 0.0943659000, 0.2260810000, 0.5761794000, 1.4997794000", \ - "0.0219784000, 0.0283478000, 0.0458149000, 0.0942234000, 0.2263485000, 0.5758808000, 1.4997021000", \ - "0.0219737000, 0.0283736000, 0.0457850000, 0.0943136000, 0.2262207000, 0.5757372000, 1.5041860000", \ - "0.0221282000, 0.0284200000, 0.0459275000, 0.0943532000, 0.2259539000, 0.5759693000, 1.5037063000", \ - "0.0220341000, 0.0284037000, 0.0457884000, 0.0942843000, 0.2256836000, 0.5749799000, 1.5015041000", \ - "0.0227272000, 0.0289327000, 0.0462452000, 0.0943996000, 0.2261764000, 0.5753354000, 1.4978289000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013340390, 0.0035593210, 0.0094965470, 0.0253375300, 0.0676025200, 0.1803688000"); - values("-0.005973700, -0.001386100, 0.0071393000, 0.0160649000, 0.0091202000, -0.046691300, -0.223159700", \ - "-0.005943400, -0.001363900, 0.0071578000, 0.0160612000, 0.0091215000, -0.046679200, -0.223164300", \ - "-0.005881000, -0.001315800, 0.0071821000, 0.0160495000, 0.0090489000, -0.046757600, -0.223282500", \ - "-0.005910200, -0.001373000, 0.0070644000, 0.0158410000, 0.0087528000, -0.047122500, -0.223665700", \ - "-0.005948100, -0.001434500, 0.0069523000, 0.0156487000, 0.0084991000, -0.047432100, -0.223991400", \ - "-0.005996200, -0.001478600, 0.0069072000, 0.0156114000, 0.0084514000, -0.047460200, -0.223988400", \ - "-0.006041400, -0.001432200, 0.0071408000, 0.0161445000, 0.0091446000, -0.046942500, -0.223442400"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013340390, 0.0035593210, 0.0094965470, 0.0253375300, 0.0676025200, 0.1803688000"); - values("-0.007397600, -0.004558700, 0.0020933000, 0.0164721000, 0.0466919000, 0.1174911000, 0.3009176000", \ - "-0.007376500, -0.004549900, 0.0020724000, 0.0163811000, 0.0465587000, 0.1179495000, 0.2984284000", \ - "-0.007313700, -0.004500000, 0.0021019000, 0.0163645000, 0.0465195000, 0.1172567000, 0.3014393000", \ - "-0.007333500, -0.004531200, 0.0020236000, 0.0162333000, 0.0463261000, 0.1177534000, 0.3011530000", \ - "-0.007366600, -0.004597300, 0.0019241000, 0.0160888000, 0.0460861000, 0.1167694000, 0.3005851000", \ - "-0.007409100, -0.004619600, 0.0019135000, 0.0160947000, 0.0461524000, 0.1173525000, 0.2991821000", \ - "-0.007452000, -0.004568400, 0.0021861000, 0.0166535000, 0.0467285000, 0.1179900000, 0.3012490000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013340390, 0.0035593210, 0.0094965470, 0.0253375300, 0.0676025200, 0.1803688000"); - values("0.0015184000, 0.0074927000, 0.0188834000, 0.0320662000, 0.0292961000, -0.023850300, -0.198992200", \ - "0.0014839000, 0.0074254000, 0.0187644000, 0.0318976000, 0.0290313000, -0.024165000, -0.199391900", \ - "0.0014382000, 0.0073714000, 0.0186807000, 0.0317622000, 0.0289259000, -0.024306900, -0.199491800", \ - "0.0014372000, 0.0073900000, 0.0187078000, 0.0318183000, 0.0289228000, -0.024287400, -0.199478500", \ - "0.0014289000, 0.0073660000, 0.0186748000, 0.0317889000, 0.0288846000, -0.024345100, -0.199555500", \ - "0.0013969000, 0.0073039000, 0.0185652000, 0.0315695000, 0.0286040000, -0.024707900, -0.199942900", \ - "0.0013877000, 0.0073043000, 0.0185838000, 0.0316912000, 0.0288308000, -0.024314700, -0.199571900"); - } - related_pin : "SET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.1803690000; - max_transition : 1.5066880000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013340400, 0.0035593200, 0.0094965500, 0.0253375000, 0.0676025000, 0.1803690000"); - values("0.2801939000, 0.2913679000, 0.3149178000, 0.3609425000, 0.4430541000, 0.6042685000, 0.9950075000", \ - "0.2850269000, 0.2960587000, 0.3196169000, 0.3656083000, 0.4477326000, 0.6089556000, 1.0001733000", \ - "0.2960709000, 0.3072132000, 0.3307795000, 0.3767820000, 0.4589130000, 0.6201117000, 1.0112823000", \ - "0.3217772000, 0.3329191000, 0.3564801000, 0.4024831000, 0.4846150000, 0.6458243000, 1.0369494000", \ - "0.3720550000, 0.3831412000, 0.4066584000, 0.4526522000, 0.5347903000, 0.6960258000, 1.0869641000", \ - "0.4457553000, 0.4569475000, 0.4805260000, 0.5265827000, 0.6087826000, 0.7700820000, 1.1610845000", \ - "0.5397547000, 0.5506977000, 0.5744831000, 0.6205961000, 0.7028345000, 0.8641552000, 1.2549326000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013340400, 0.0035593200, 0.0094965500, 0.0253375000, 0.0676025000, 0.1803690000"); - values("0.2621668000, 0.2705042000, 0.2887195000, 0.3293932000, 0.4269258000, 0.6725829000, 1.3229010000", \ - "0.2669938000, 0.2753321000, 0.2935389000, 0.3342146000, 0.4317502000, 0.6769861000, 1.3312409000", \ - "0.2779885000, 0.2863356000, 0.3045379000, 0.3452123000, 0.4427489000, 0.6879392000, 1.3390505000", \ - "0.3042192000, 0.3124491000, 0.3307187000, 0.3713582000, 0.4688910000, 0.7141418000, 1.3650834000", \ - "0.3544241000, 0.3625481000, 0.3808329000, 0.4214999000, 0.5190356000, 0.7640359000, 1.4136463000", \ - "0.4265178000, 0.4347550000, 0.4530190000, 0.4936721000, 0.5912384000, 0.8364932000, 1.4869393000", \ - "0.5162356000, 0.5244432000, 0.5427063000, 0.5834131000, 0.6810116000, 0.9264775000, 1.5771109000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013340400, 0.0035593200, 0.0094965500, 0.0253375000, 0.0676025000, 0.1803690000"); - values("0.0421445000, 0.0499999000, 0.0670100000, 0.1008243000, 0.1663329000, 0.3369760000, 0.8388116000", \ - "0.0426694000, 0.0500898000, 0.0669857000, 0.1010925000, 0.1661103000, 0.3381653000, 0.8405711000", \ - "0.0427111000, 0.0500388000, 0.0669775000, 0.1007115000, 0.1662680000, 0.3375670000, 0.8365355000", \ - "0.0427221000, 0.0500238000, 0.0669933000, 0.1007455000, 0.1662450000, 0.3375202000, 0.8413555000", \ - "0.0424386000, 0.0500185000, 0.0670607000, 0.1011343000, 0.1663555000, 0.3372504000, 0.8424421000", \ - "0.0423474000, 0.0502175000, 0.0672550000, 0.1010150000, 0.1664328000, 0.3375063000, 0.8433959000", \ - "0.0435522000, 0.0505703000, 0.0675121000, 0.1012656000, 0.1664641000, 0.3387712000, 0.8378194000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013340400, 0.0035593200, 0.0094965500, 0.0253375000, 0.0676025000, 0.1803690000"); - values("0.0286096000, 0.0356563000, 0.0532276000, 0.1016473000, 0.2280863000, 0.5728754000, 1.5066877000", \ - "0.0286093000, 0.0356565000, 0.0532245000, 0.1016455000, 0.2281485000, 0.5723984000, 1.4995378000", \ - "0.0286165000, 0.0356731000, 0.0533971000, 0.1016505000, 0.2281803000, 0.5725642000, 1.4997060000", \ - "0.0284546000, 0.0356605000, 0.0532773000, 0.1017352000, 0.2278721000, 0.5723976000, 1.5006036000", \ - "0.0284471000, 0.0355717000, 0.0532645000, 0.1017246000, 0.2281778000, 0.5726177000, 1.5052786000", \ - "0.0285003000, 0.0356979000, 0.0533096000, 0.1018004000, 0.2280056000, 0.5725336000, 1.5062677000", \ - "0.0285711000, 0.0357418000, 0.0534065000, 0.1017679000, 0.2282069000, 0.5719134000, 1.5036947000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013340400, 0.0035593200, 0.0094965500, 0.0253375000, 0.0676025000, 0.1803690000"); - values("0.2951353000, 0.3030398000, 0.3195708000, 0.3532448000, 0.4203455000, 0.5703255000, 0.9592946000", \ - "0.3002088000, 0.3079456000, 0.3245522000, 0.3581761000, 0.4251658000, 0.5749955000, 0.9633012000", \ - "0.3132475000, 0.3210696000, 0.3376679000, 0.3713086000, 0.4381734000, 0.5879793000, 0.9769053000", \ - "0.3462942000, 0.3541308000, 0.3706729000, 0.4042820000, 0.4711012000, 0.6208702000, 1.0099076000", \ - "0.4221628000, 0.4300042000, 0.4465405000, 0.4801460000, 0.5469264000, 0.6966486000, 1.0855538000", \ - "0.5774249000, 0.5851892000, 0.6017459000, 0.6353336000, 0.7020343000, 0.8516946000, 1.2401883000", \ - "0.8515358000, 0.8602754000, 0.8785801000, 0.9146466000, 0.9835961000, 1.1343705000, 1.5232372000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013340400, 0.0035593200, 0.0094965500, 0.0253375000, 0.0676025000, 0.1803690000"); - values("0.0270731000, 0.0328078000, 0.0459467000, 0.0751492000, 0.1412375000, 0.3215436000, 0.8327689000", \ - "0.0272714000, 0.0327402000, 0.0459856000, 0.0750930000, 0.1406074000, 0.3214468000, 0.8361533000", \ - "0.0275337000, 0.0327806000, 0.0458927000, 0.0749928000, 0.1407491000, 0.3223243000, 0.8332232000", \ - "0.0269539000, 0.0328355000, 0.0459472000, 0.0749257000, 0.1404206000, 0.3223579000, 0.8388603000", \ - "0.0269474000, 0.0328278000, 0.0457437000, 0.0749248000, 0.1405119000, 0.3213798000, 0.8327052000", \ - "0.0267804000, 0.0327456000, 0.0457247000, 0.0745378000, 0.1404555000, 0.3210391000, 0.8363680000", \ - "0.0316989000, 0.0373255000, 0.0508724000, 0.0797710000, 0.1439258000, 0.3220610000, 0.8408956000"); - } - related_pin : "SET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("SCD") { - capacitance : 0.0017350000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027510000, 0.0027452000, 0.0027315000, 0.0027377000, 0.0027520000, 0.0027848000, 0.0028604000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002570200, -0.002572000, -0.002576200, -0.002585900, -0.002608200, -0.002659600, -0.002778000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018010000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3535625000, 0.5311667000, 0.8306458000", \ - "0.2247865000, 0.4023906000, 0.7018698000", \ - "0.1303854000, 0.3092103000, 0.6099102000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1692363000, 0.3089987000, 0.4729798000", \ - "0.1075990000, 0.2436992000, 0.3954733000", \ - "0.0962057000, 0.2286439000, 0.3755351000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.156691400, -0.330633500, -0.564194600", \ - "-0.073081400, -0.249464800, -0.517205700", \ - "-0.005535800, -0.181919300, -0.463087900"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.123732400, -0.257391300, -0.398179000", \ - "-0.082847000, -0.214064500, -0.354852200", \ - "-0.079998700, -0.211216100, -0.349562500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0035260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033880000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0112379000, 0.0111860000, 0.0110664000, 0.0111544000, 0.0113571000, 0.0118245000, 0.0129017000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000149600, -0.000218400, -0.000377200, -0.000311900, -0.000161500, 0.0001853000, 0.0009847000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0036640000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2949688000, 0.4774557000, 0.7854798000", \ - "0.1649720000, 0.3474590000, 0.6567038000", \ - "0.0705710000, 0.2530580000, 0.5623027000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3535625000, 0.4469381000, 0.5071595000", \ - "0.2247865000, 0.3181621000, 0.3796042000", \ - "0.1291647000, 0.2237611000, 0.2852031000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.127394500, -0.303778000, -0.548325500", \ - "-0.030356800, -0.209181600, -0.489129600", \ - "0.0481751000, -0.134311900, -0.421584000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.168898400, -0.269598300, -0.333481800", \ - "-0.075522800, -0.175002000, -0.238885400", \ - "0.0017884000, -0.097690800, -0.164015600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SET_B") { - capacitance : 0.0033440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033180000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0053416000, 0.0053792000, 0.0054658000, 0.0054698000, 0.0054791000, 0.0055004000, 0.0055494000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-7.339774e-05, -0.000178800, -0.000421800, -0.000411400, -0.000387700, -0.000332900, -0.000206600"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0033710000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.149367200, -0.098716100, -0.115399100", \ - "-0.292791700, -0.242140600, -0.260044300", \ - "-0.409165400, -0.362176400, -0.377638700"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1692363000, 0.1210267000, 0.1401510000", \ - "0.3089987000, 0.2620098000, 0.2786927000", \ - "0.4229310000, 0.3783835000, 0.3926250000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2214146000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - preset : "!SET_B"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - signal_type : "test_scan_out_inverted"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - pin ("SET_B") { - direction : "input"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfsbp_2") { - leakage_power () { - value : 0.0202315000; - when : "!CLK&D&SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0212735000; - when : "CLK&D&SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0174230000; - when : "CLK&D&!SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0157971000; - when : "!CLK&D&SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0156835000; - when : "CLK&D&SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0215369000; - when : "CLK&!D&!SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0214103000; - when : "!CLK&!D&SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0212967000; - when : "CLK&!D&SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0216724000; - when : "CLK&!D&SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0210113000; - when : "!CLK&D&SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0208977000; - when : "CLK&D&SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0129736000; - when : "!CLK&D&!SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0162449000; - when : "!CLK&!D&!SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0210424000; - when : "!CLK&!D&!SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0167518000; - when : "!CLK&!D&SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0163006000; - when : "!CLK&!D&!SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0116098000; - when : "!CLK&D&SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0172230000; - when : "!CLK&!D&SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0166961000; - when : "!CLK&!D&SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0209867000; - when : "!CLK&!D&!SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0212982000; - when : "!CLK&D&!SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0168241000; - when : "!CLK&D&SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0206305000; - when : "!CLK&!D&SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0163811000; - when : "!CLK&D&!SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0150173000; - when : "!CLK&D&SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0212425000; - when : "!CLK&D&!SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0134806000; - when : "CLK&D&!SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0155723000; - when : "CLK&!D&!SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0112816000; - when : "CLK&!D&SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0110194000; - when : "!CLK&!D&!SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0164194000; - when : "CLK&!D&!SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0167951000; - when : "CLK&!D&!SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0217927000; - when : "CLK&D&!SCD&SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0160593000; - when : "CLK&D&SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0171609000; - when : "!CLK&D&!SCD&!SCE&SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0170473000; - when : "CLK&D&!SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0158280000; - when : "CLK&D&!SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0108305000; - when : "CLK&!D&!SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0177300000; - when : "CLK&!D&SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0157612000; - when : "!CLK&!D&!SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0211612000; - when : "CLK&!D&!SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0173311000; - when : "CLK&D&SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0160169000; - when : "!CLK&D&!SCD&SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0214169000; - when : "CLK&D&!SCD&SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0121168000; - when : "CLK&D&SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0114705000; - when : "!CLK&!D&SCD&!SCE&SET_B&!Q&Q_N"; - } - leakage_power () { - value : 0.0168705000; - when : "CLK&!D&SCD&!SCE&!SET_B&Q&!Q_N"; - } - leakage_power () { - value : 0.0172463000; - when : "CLK&!D&SCD&!SCE&SET_B&Q&!Q_N"; - } - area : 38.787200000; - cell_footprint : "sky130_fd_sc_hd__sdfsbp"; - cell_leakage_power : 0.0172888500; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0019810000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0018940000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0232941000, 0.0231591000, 0.0228478000, 0.0228994000, 0.0230186000, 0.0232933000, 0.0239265000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0184940000, 0.0183811000, 0.0181208000, 0.0181370000, 0.0181748000, 0.0182617000, 0.0184622000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020680000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3235761000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2972119000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0018570000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017650000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091227000, 0.0089921000, 0.0086912000, 0.0087563000, 0.0089065000, 0.0092529000, 0.0100513000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026723000, 0.0026254000, 0.0025175000, 0.0025386000, 0.0025873000, 0.0026998000, 0.0029590000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019490000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2949688000, 0.4933249000, 0.8391908000", \ - "0.1637513000, 0.3633281000, 0.7091940000", \ - "0.0693503000, 0.2689271000, 0.6123515000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1448223000, 0.2858053000, 0.4253724000", \ - "0.0807435000, 0.2119609000, 0.3332174000", \ - "0.0656882000, 0.1932435000, 0.3035137000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.116408200, -0.307440100, -0.555649800", \ - "-0.019370400, -0.215285200, -0.517205700", \ - "0.0579408000, -0.139194700, -0.458205100"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.099318400, -0.232977200, -0.339585300", \ - "-0.055991500, -0.182326200, -0.290154900", \ - "-0.050701800, -0.175815800, -0.281203100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("-0.006149000, -0.000985300, 0.0097224000, 0.0214318000, 0.0083352000, -0.088849500, -0.411526300", \ - "-0.006095500, -0.000933400, 0.0097602000, 0.0214433000, 0.0083331000, -0.088854000, -0.411491700", \ - "-0.005968800, -0.000812400, 0.0098648000, 0.0215434000, 0.0083972000, -0.088789800, -0.411443800", \ - "-0.005992100, -0.000864200, 0.0097568000, 0.0213303000, 0.0080833000, -0.089159300, -0.411846100", \ - "-0.006016500, -0.000897200, 0.0097027000, 0.0212400000, 0.0079571000, -0.089306300, -0.412018300", \ - "-0.006056100, -0.000931500, 0.0096860000, 0.0212382000, 0.0079897000, -0.089260400, -0.411952400", \ - "-0.006085200, -0.000839700, 0.0100474000, 0.0220942000, 0.0088481000, -0.088506300, -0.411117200"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("-0.007753700, -0.005006200, 0.0024306000, 0.0209792000, 0.0649797000, 0.1796027000, 0.5090404000", \ - "-0.007698600, -0.004950800, 0.0024805000, 0.0210545000, 0.0650551000, 0.1795599000, 0.5087377000", \ - "-0.007576200, -0.004846500, 0.0025591000, 0.0210535000, 0.0649999000, 0.1796219000, 0.5087998000", \ - "-0.007600900, -0.004893000, 0.0024326000, 0.0208530000, 0.0646686000, 0.1790592000, 0.5064816000", \ - "-0.007631000, -0.004945600, 0.0023282000, 0.0206657000, 0.0644801000, 0.1788178000, 0.5077396000", \ - "-0.007676900, -0.004997500, 0.0022842000, 0.0206082000, 0.0643227000, 0.1787847000, 0.5079870000", \ - "-0.007611900, -0.004662800, 0.0030365000, 0.0214655000, 0.0648279000, 0.1792304000, 0.5089901000"); - } - } - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014596270, 0.0042610240, 0.0124390100, 0.0363126500, 0.1060059000, 0.3094581000"); - values("-0.000413600, 0.0036477000, 0.0140242000, 0.0370548000, 0.0853329000, 0.2024456000, 0.5317523000", \ - "-0.000433700, 0.0036122000, 0.0139319000, 0.0368823000, 0.0849533000, 0.2024712000, 0.5303035000", \ - "-0.000459500, 0.0035652000, 0.0138600000, 0.0367632000, 0.0847039000, 0.2027017000, 0.5327611000", \ - "-0.000456200, 0.0035797000, 0.0138734000, 0.0368228000, 0.0848559000, 0.2021718000, 0.5302617000", \ - "-0.000453300, 0.0035920000, 0.0139302000, 0.0369097000, 0.0849587000, 0.2025141000, 0.5322592000", \ - "-0.000476900, 0.0035436000, 0.0138146000, 0.0367234000, 0.0846802000, 0.2017079000, 0.5330266000", \ - "-0.000428900, 0.0036890000, 0.0140432000, 0.0369596000, 0.0854061000, 0.2034250000, 0.5314218000"); - } - } - max_capacitance : 0.3094580000; - max_transition : 1.5022430000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.3707684000, 0.3756260000, 0.3864742000, 0.4084978000, 0.4548853000, 0.5700559000, 0.8987404000", \ - "0.3756024000, 0.3804449000, 0.3912821000, 0.4133035000, 0.4597237000, 0.5750369000, 0.9048849000", \ - "0.3865785000, 0.3914406000, 0.4022683000, 0.4243154000, 0.4706756000, 0.5858739000, 0.9151486000", \ - "0.4127607000, 0.4176258000, 0.4284839000, 0.4505058000, 0.4968671000, 0.6120546000, 0.9404817000", \ - "0.4626890000, 0.4675492000, 0.4784034000, 0.5004240000, 0.5467929000, 0.6619958000, 0.9903768000", \ - "0.5350819000, 0.5399000000, 0.5506960000, 0.5728127000, 0.6191735000, 0.7344895000, 1.0638065000", \ - "0.6250409000, 0.6298829000, 0.6407156000, 0.6627316000, 0.7091505000, 0.8243808000, 1.1530454000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.4427834000, 0.4494803000, 0.4640714000, 0.4956755000, 0.5763754000, 0.8082816000, 1.4870424000", \ - "0.4474427000, 0.4541535000, 0.4687331000, 0.5003051000, 0.5809753000, 0.8127577000, 1.4894902000", \ - "0.4586203000, 0.4653191000, 0.4799099000, 0.5115416000, 0.5922798000, 0.8242347000, 1.5033089000", \ - "0.4843775000, 0.4909335000, 0.5055416000, 0.5371806000, 0.6181170000, 0.8502170000, 1.5281356000", \ - "0.5345122000, 0.5410459000, 0.5556525000, 0.5873237000, 0.6682406000, 0.9004188000, 1.5781499000", \ - "0.6085155000, 0.6151096000, 0.6297913000, 0.6614722000, 0.7422747000, 0.9743312000, 1.6517395000", \ - "0.7018938000, 0.7086086000, 0.7232049000, 0.7547297000, 0.8356958000, 1.0679192000, 1.7441931000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0208241000, 0.0239367000, 0.0311027000, 0.0487692000, 0.0961903000, 0.2407647000, 0.6833335000", \ - "0.0208411000, 0.0236066000, 0.0307909000, 0.0488795000, 0.0959097000, 0.2414171000, 0.6826898000", \ - "0.0207982000, 0.0238701000, 0.0309366000, 0.0484505000, 0.0957008000, 0.2404534000, 0.6816617000", \ - "0.0208445000, 0.0239229000, 0.0310537000, 0.0484479000, 0.0957912000, 0.2404878000, 0.6853149000", \ - "0.0208288000, 0.0239062000, 0.0310613000, 0.0484823000, 0.0956913000, 0.2404359000, 0.6854896000", \ - "0.0205547000, 0.0236096000, 0.0308917000, 0.0486923000, 0.0959702000, 0.2415297000, 0.6789244000", \ - "0.0207625000, 0.0236095000, 0.0308109000, 0.0488897000, 0.0959500000, 0.2399836000, 0.6768815000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0281233000, 0.0324328000, 0.0437787000, 0.0774126000, 0.1875066000, 0.5201167000, 1.4992310000", \ - "0.0281322000, 0.0323758000, 0.0437915000, 0.0774253000, 0.1873103000, 0.5201916000, 1.4948047000", \ - "0.0280981000, 0.0325062000, 0.0437353000, 0.0773699000, 0.1874405000, 0.5205830000, 1.5003342000", \ - "0.0281276000, 0.0322406000, 0.0438386000, 0.0773650000, 0.1875341000, 0.5197388000, 1.4954021000", \ - "0.0281474000, 0.0322421000, 0.0438794000, 0.0774032000, 0.1875832000, 0.5189284000, 1.4992676000", \ - "0.0280521000, 0.0322366000, 0.0437434000, 0.0772929000, 0.1871051000, 0.5199954000, 1.4980917000", \ - "0.0280509000, 0.0326651000, 0.0435628000, 0.0773808000, 0.1877090000, 0.5202959000, 1.4951194000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.4055168000, 0.4112504000, 0.4243753000, 0.4547199000, 0.5352041000, 0.7669468000, 1.4446639000", \ - "0.4105710000, 0.4161498000, 0.4292684000, 0.4597018000, 0.5400122000, 0.7720039000, 1.4518474000", \ - "0.4237075000, 0.4293997000, 0.4425187000, 0.4729222000, 0.5532748000, 0.7852870000, 1.4639682000", \ - "0.4566297000, 0.4623620000, 0.4753950000, 0.5057672000, 0.5863809000, 0.8176123000, 1.4998965000", \ - "0.5328217000, 0.5385739000, 0.5516274000, 0.5819146000, 0.6625189000, 0.8939374000, 1.5708276000", \ - "0.6889734000, 0.6945684000, 0.7076877000, 0.7380864000, 0.8185898000, 1.0508280000, 1.7257475000", \ - "0.9756181000, 0.9814934000, 0.9946647000, 1.0251904000, 1.1058349000, 1.3373949000, 2.0158184000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014596300, 0.0042610200, 0.0124390000, 0.0363126000, 0.1060060000, 0.3094580000"); - values("0.0231955000, 0.0274766000, 0.0392178000, 0.0746576000, 0.1860730000, 0.5196598000, 1.5017352000", \ - "0.0229242000, 0.0274575000, 0.0391599000, 0.0749370000, 0.1866602000, 0.5214826000, 1.4996132000", \ - "0.0229629000, 0.0271888000, 0.0391150000, 0.0749765000, 0.1864751000, 0.5205982000, 1.5012837000", \ - "0.0230209000, 0.0273721000, 0.0391408000, 0.0746143000, 0.1864928000, 0.5204204000, 1.5020433000", \ - "0.0231428000, 0.0272883000, 0.0391835000, 0.0747688000, 0.1862869000, 0.5202759000, 1.4996998000", \ - "0.0230189000, 0.0274070000, 0.0391175000, 0.0747563000, 0.1869738000, 0.5204996000, 1.5022427000", \ - "0.0239115000, 0.0279241000, 0.0397507000, 0.0752782000, 0.1864759000, 0.5187524000, 1.4970163000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("-0.006165400, -0.001021000, 0.0095723000, 0.0210070000, 0.0067972000, -0.090992100, -0.418741300", \ - "-0.006108000, -0.000978700, 0.0096184000, 0.0210601000, 0.0068573000, -0.090988300, -0.418709700", \ - "-0.005986300, -0.000860600, 0.0096862000, 0.0210762000, 0.0068305000, -0.091018800, -0.418771000", \ - "-0.006012100, -0.000921800, 0.0095764000, 0.0208612000, 0.0065218000, -0.091391800, -0.419156200", \ - "-0.006041000, -0.000963700, 0.0094747000, 0.0206585000, 0.0062259000, -0.091699000, -0.419465100", \ - "-0.006085700, -0.001011400, 0.0094258000, 0.0206168000, 0.0061202000, -0.091757000, -0.419540700", \ - "-0.006118400, -0.000925700, 0.0097941000, 0.0214571000, 0.0075873000, -0.091188700, -0.418890400"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("-0.007646300, -0.004718400, 0.0029412000, 0.0215097000, 0.0659992000, 0.1818978000, 0.5177876000", \ - "-0.007600000, -0.004695100, 0.0029456000, 0.0214541000, 0.0658911000, 0.1819079000, 0.5158556000", \ - "-0.007475900, -0.004571400, 0.0030335000, 0.0214827000, 0.0659105000, 0.1827531000, 0.5137213000", \ - "-0.007493900, -0.004617800, 0.0029546000, 0.0213882000, 0.0657095000, 0.1816748000, 0.5149731000", \ - "-0.007521300, -0.004657000, 0.0028625000, 0.0212425000, 0.0654957000, 0.1814372000, 0.5167127000", \ - "-0.007561100, -0.004698300, 0.0028493000, 0.0212433000, 0.0655452000, 0.1823979000, 0.5133638000", \ - "-0.007587400, -0.004585400, 0.0032897000, 0.0220756000, 0.0663610000, 0.1831224000, 0.5139926000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("0.0011188000, 0.0074787000, 0.0209284000, 0.0370644000, 0.0274330000, -0.068377400, -0.395068700", \ - "0.0010961000, 0.0074453000, 0.0208256000, 0.0368837000, 0.0271571000, -0.068687500, -0.395408900", \ - "0.0010702000, 0.0073983000, 0.0207587000, 0.0367613000, 0.0270149000, -0.068871900, -0.395615400", \ - "0.0010728000, 0.0074153000, 0.0207987000, 0.0368257000, 0.0271082000, -0.068745500, -0.395477800", \ - "0.0010761000, 0.0074255000, 0.0208268000, 0.0369165000, 0.0272524000, -0.068568700, -0.395297400", \ - "0.0010505000, 0.0073732000, 0.0207343000, 0.0367261000, 0.0269969000, -0.068936300, -0.395741100", \ - "0.0010547000, 0.0074257000, 0.0208393000, 0.0369671000, 0.0274084000, -0.068231100, -0.395079700"); - } - related_pin : "SET_B"; - rise_power ("scalar") { - values("0.0000000000"); - } - } - max_capacitance : 0.3140360000; - max_transition : 1.5046670000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.3088373000, 0.3180753000, 0.3387182000, 0.3806701000, 0.4597788000, 0.6108490000, 0.9743381000", \ - "0.3136552000, 0.3227986000, 0.3434874000, 0.3853742000, 0.4644659000, 0.6155710000, 0.9791241000", \ - "0.3247607000, 0.3339821000, 0.3546248000, 0.3965845000, 0.4756973000, 0.6267620000, 0.9902273000", \ - "0.3505951000, 0.3595950000, 0.3803793000, 0.4222719000, 0.5014017000, 0.6525012000, 1.0156893000", \ - "0.4007363000, 0.4097958000, 0.4305114000, 0.4724177000, 0.5515042000, 0.7026224000, 1.0661518000", \ - "0.4746776000, 0.4838359000, 0.5045206000, 0.5464400000, 0.6255882000, 0.7767451000, 1.1403416000", \ - "0.5682847000, 0.5774355000, 0.5981638000, 0.6400416000, 0.7191435000, 0.8703632000, 1.2336836000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.2745884000, 0.2816684000, 0.2979602000, 0.3346453000, 0.4239001000, 0.6607102000, 1.3469922000", \ - "0.2793369000, 0.2861742000, 0.3026160000, 0.3393900000, 0.4284962000, 0.6653995000, 1.3478970000", \ - "0.2903916000, 0.2974385000, 0.3137880000, 0.3504537000, 0.4396739000, 0.6766091000, 1.3599838000", \ - "0.3166193000, 0.3235322000, 0.3399903000, 0.3766772000, 0.4658882000, 0.7027864000, 1.3900440000", \ - "0.3668937000, 0.3739051000, 0.3902810000, 0.4269257000, 0.5161194000, 0.7530560000, 1.4354327000", \ - "0.4388603000, 0.4457574000, 0.4622372000, 0.4989308000, 0.5881595000, 0.8251427000, 1.5084148000", \ - "0.5285951000, 0.5355787000, 0.5520903000, 0.5887705000, 0.6780107000, 0.9150834000, 1.5968425000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0434041000, 0.0492965000, 0.0626100000, 0.0915570000, 0.1489516000, 0.2902088000, 0.7366654000", \ - "0.0429307000, 0.0490593000, 0.0626955000, 0.0914958000, 0.1488482000, 0.2905568000, 0.7405128000", \ - "0.0434122000, 0.0492692000, 0.0626206000, 0.0915659000, 0.1489243000, 0.2909648000, 0.7382328000", \ - "0.0430064000, 0.0495523000, 0.0627134000, 0.0914539000, 0.1489833000, 0.2903856000, 0.7375163000", \ - "0.0431418000, 0.0494820000, 0.0626688000, 0.0914461000, 0.1489675000, 0.2902557000, 0.7405495000", \ - "0.0430581000, 0.0492388000, 0.0630178000, 0.0917444000, 0.1490492000, 0.2904061000, 0.7396129000", \ - "0.0432187000, 0.0493522000, 0.0629840000, 0.0918547000, 0.1491040000, 0.2907685000, 0.7360971000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0271834000, 0.0328036000, 0.0468913000, 0.0850450000, 0.1958978000, 0.5225115000, 1.5003901000", \ - "0.0271635000, 0.0327551000, 0.0472013000, 0.0850958000, 0.1958354000, 0.5217399000, 1.4991507000", \ - "0.0271887000, 0.0327957000, 0.0468351000, 0.0851999000, 0.1955341000, 0.5211745000, 1.4964614000", \ - "0.0270470000, 0.0329642000, 0.0471696000, 0.0850739000, 0.1955398000, 0.5215131000, 1.5046666000", \ - "0.0268851000, 0.0324994000, 0.0469447000, 0.0850929000, 0.1958365000, 0.5208795000, 1.5021542000", \ - "0.0270710000, 0.0329660000, 0.0471724000, 0.0851220000, 0.1955388000, 0.5214610000, 1.4955229000", \ - "0.0272681000, 0.0328869000, 0.0473372000, 0.0851285000, 0.1957144000, 0.5216805000, 1.4966476000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.3055482000, 0.3119931000, 0.3264204000, 0.3559724000, 0.4164454000, 0.5487778000, 0.9044215000", \ - "0.3106902000, 0.3170510000, 0.3313468000, 0.3609756000, 0.4212629000, 0.5535016000, 0.9095147000", \ - "0.3240898000, 0.3304192000, 0.3448386000, 0.3743811000, 0.4345307000, 0.5667019000, 0.9227561000", \ - "0.3569004000, 0.3632162000, 0.3776576000, 0.4072005000, 0.4673117000, 0.5994134000, 0.9552650000", \ - "0.4331004000, 0.4394735000, 0.4538663000, 0.4834432000, 0.5434888000, 0.6755371000, 1.0315070000", \ - "0.5893352000, 0.5956843000, 0.6101220000, 0.6395754000, 0.6996019000, 0.8316058000, 1.1869186000", \ - "0.8684997000, 0.8756029000, 0.8917398000, 0.9239625000, 0.9870057000, 1.1207600000, 1.4766259000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0265215000, 0.0303511000, 0.0409966000, 0.0635187000, 0.1173144000, 0.2640226000, 0.7274553000", \ - "0.0262012000, 0.0306402000, 0.0403632000, 0.0634385000, 0.1170197000, 0.2638285000, 0.7318304000", \ - "0.0261363000, 0.0303724000, 0.0410053000, 0.0635236000, 0.1168793000, 0.2637074000, 0.7296665000", \ - "0.0262224000, 0.0303821000, 0.0409977000, 0.0634887000, 0.1167722000, 0.2642192000, 0.7303945000", \ - "0.0264001000, 0.0306629000, 0.0403439000, 0.0634039000, 0.1166554000, 0.2636164000, 0.7266609000", \ - "0.0262868000, 0.0304109000, 0.0404191000, 0.0631265000, 0.1164411000, 0.2633210000, 0.7287931000", \ - "0.0305014000, 0.0351891000, 0.0459430000, 0.0690512000, 0.1211738000, 0.2654762000, 0.7306951000"); - } - related_pin : "SET_B"; - timing_sense : "positive_unate"; - timing_type : "clear"; - } - } - pin ("SCD") { - capacitance : 0.0017310000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016650000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027568000, 0.0027509000, 0.0027373000, 0.0027417000, 0.0027521000, 0.0027760000, 0.0028311000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002554400, -0.002559500, -0.002571100, -0.002581100, -0.002604000, -0.002656800, -0.002778300"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017980000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3572246000, 0.5348288000, 0.8355286000", \ - "0.2272279000, 0.4060527000, 0.7055319000", \ - "0.1340475000, 0.3128724000, 0.6135723000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1716777000, 0.3114401000, 0.4766419000", \ - "0.1088197000, 0.2449199000, 0.3966940000", \ - "0.0962057000, 0.2298646000, 0.3767559000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.146925800, -0.319647100, -0.547104800", \ - "-0.065757200, -0.242140600, -0.504998700", \ - "0.0030091000, -0.174595100, -0.452101600"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.121291000, -0.254949900, -0.394516900", \ - "-0.081626300, -0.212843800, -0.353631500", \ - "-0.079998700, -0.209995400, -0.348341800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0035350000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034030000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0113879000, 0.0112649000, 0.0109813000, 0.0110834000, 0.0113189000, 0.0118615000, 0.0131122000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000192800, -0.000280500, -0.000482800, -0.000416500, -0.000263300, 8.9748537e-05, 0.0009036000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0036670000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3010723000, 0.4823385000, 0.7928040000", \ - "0.1698548000, 0.3523418000, 0.6628073000", \ - "0.0766745000, 0.2591615000, 0.5684063000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3547832000, 0.4481589000, 0.5083802000", \ - "0.2260072000, 0.3193828000, 0.3783835000", \ - "0.1303854000, 0.2249818000, 0.2852031000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.121291000, -0.296453800, -0.534897800", \ - "-0.026694700, -0.204298800, -0.481805300", \ - "0.0518372000, -0.129429000, -0.415480500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.156691400, -0.257391300, -0.320054100", \ - "-0.068198600, -0.166457000, -0.229119800", \ - "0.0091126000, -0.089145800, -0.153029300"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SET_B") { - capacitance : 0.0033290000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0032660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0053352000, 0.0053508000, 0.0053865000, 0.0053900000, 0.0053981000, 0.0054167000, 0.0054596000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0002533000, 0.0001204000, -0.000186000, -0.000178000, -0.000159600, -0.000117200, -1.9383644e-05"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0033920000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.145705100, -0.092612600, -0.105633500", \ - "-0.290350300, -0.237257800, -0.250278600", \ - "-0.406724000, -0.356072900, -0.366652300"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1692363000, 0.1210267000, 0.1401510000", \ - "0.3089987000, 0.2607891000, 0.2786927000", \ - "0.4241517000, 0.3783835000, 0.3938457000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2741431000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - preset : "!SET_B"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - signal_type : "test_scan_out_inverted"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - pin ("SET_B") { - direction : "input"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfstp_1") { - leakage_power () { - value : 0.0138127000; - when : "!CLK&!D&SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0096871000; - when : "!CLK&D&!SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0083459000; - when : "!CLK&D&SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0144216000; - when : "!CLK&D&!SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0134044000; - when : "!CLK&D&SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0139271000; - when : "CLK&D&!SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0158753000; - when : "CLK&!D&!SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0117148000; - when : "CLK&!D&SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0114491000; - when : "!CLK&!D&!SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0097105000; - when : "CLK&!D&!SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0100873000; - when : "CLK&!D&!SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0149658000; - when : "CLK&D&!SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0093890000; - when : "CLK&D&SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0104750000; - when : "!CLK&D&!SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0103534000; - when : "CLK&D&!SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0161432000; - when : "CLK&D&!SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0112646000; - when : "CLK&!D&!SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0180528000; - when : "CLK&!D&SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0160598000; - when : "!CLK&!D&!SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0143212000; - when : "CLK&!D&!SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0176445000; - when : "CLK&D&SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0163277000; - when : "!CLK&D&!SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0145891000; - when : "CLK&D&!SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0125860000; - when : "CLK&D&SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0118993000; - when : "!CLK&!D&SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0101607000; - when : "CLK&!D&SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0105374000; - when : "CLK&!D&SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0144475000; - when : "CLK&D&SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0107302000; - when : "CLK&D&!SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0091338000; - when : "!CLK&D&SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0090122000; - when : "CLK&D&SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0146980000; - when : "CLK&!D&!SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0146006000; - when : "!CLK&!D&SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0144790000; - when : "CLK&!D&SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0148558000; - when : "CLK&!D&SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0141923000; - when : "!CLK&D&SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0140707000; - when : "CLK&D&SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0134196000; - when : "!CLK&D&!SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0095431000; - when : "!CLK&!D&!SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0142119000; - when : "!CLK&!D&!SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0100514000; - when : "!CLK&!D&SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0096012000; - when : "!CLK&!D&!SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0120785000; - when : "!CLK&D&SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0175453000; - when : "!CLK&!D&SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0099932000; - when : "!CLK&!D&SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0141538000; - when : "!CLK&!D&!SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0144798000; - when : "!CLK&D&!SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0171370000; - when : "!CLK&D&SCD&SCE&SET_B&!Q"; - } - area : 33.782400000; - cell_footprint : "sky130_fd_sc_hd__sdfstp"; - cell_leakage_power : 0.0129091700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0019500000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0018560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0233924000, 0.0233070000, 0.0231102000, 0.0231644000, 0.0232896000, 0.0235781000, 0.0242433000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0184459000, 0.0183851000, 0.0182450000, 0.0182639000, 0.0183076000, 0.0184085000, 0.0186409000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020430000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3147880000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1851638000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0018710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017780000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091714000, 0.0090421000, 0.0087439000, 0.0088145000, 0.0089775000, 0.0093533000, 0.0102194000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026856000, 0.0025983000, 0.0023971000, 0.0024333000, 0.0025171000, 0.0027100000, 0.0031548000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019640000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2839824000, 0.4823385000, 0.8269837000", \ - "0.1552064000, 0.3547832000, 0.6969870000", \ - "0.0608053000, 0.2603821000, 0.6001445000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1399395000, 0.2797018000, 0.4156068000", \ - "0.0783021000, 0.2082988000, 0.3283346000", \ - "0.0632467000, 0.1895814000, 0.2998515000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.128615200, -0.324529900, -0.592270900", \ - "-0.030356800, -0.226271500, -0.535516300", \ - "0.0493958000, -0.150181000, -0.466750000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.102980500, -0.236639300, -0.346909500", \ - "-0.057212200, -0.184767600, -0.292596300", \ - "-0.050701800, -0.177036500, -0.282423800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0239779000, 0.0230646000, 0.0204570000, 0.0120421000, -0.011900900, -0.075697900, -0.244065600", \ - "0.0239452000, 0.0230293000, 0.0204133000, 0.0119970000, -0.011949500, -0.075742600, -0.244115500", \ - "0.0239347000, 0.0230029000, 0.0203920000, 0.0119749000, -0.011970900, -0.075766400, -0.244133100", \ - "0.0235479000, 0.0226220000, 0.0200004000, 0.0115910000, -0.012362900, -0.076157700, -0.244524700", \ - "0.0233095000, 0.0223828000, 0.0197663000, 0.0113511000, -0.012608700, -0.076394600, -0.244763800", \ - "0.0233859000, 0.0224325000, 0.0198192000, 0.0113860000, -0.012571700, -0.076364200, -0.244748200", \ - "0.0258286000, 0.0246158000, 0.0212831000, 0.0122400000, -0.011928300, -0.075909200, -0.244283000"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0248860000, 0.0265941000, 0.0307605000, 0.0405312000, 0.0646806000, 0.1284417000, 0.2937075000", \ - "0.0248766000, 0.0265868000, 0.0307532000, 0.0405238000, 0.0646811000, 0.1278958000, 0.2958613000", \ - "0.0246504000, 0.0263817000, 0.0305421000, 0.0403118000, 0.0644298000, 0.1274258000, 0.2945935000", \ - "0.0244073000, 0.0261120000, 0.0302918000, 0.0400440000, 0.0642010000, 0.1278348000, 0.2939049000", \ - "0.0240448000, 0.0257538000, 0.0299304000, 0.0396806000, 0.0638589000, 0.1267919000, 0.2936501000", \ - "0.0239500000, 0.0256187000, 0.0298433000, 0.0395767000, 0.0637156000, 0.1274000000, 0.2949624000", \ - "0.0262851000, 0.0276549000, 0.0312230000, 0.0404654000, 0.0642938000, 0.1275641000, 0.2930201000"); - } - } - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529080, 0.0241224900, 0.0635748200, 0.1675515000"); - values("0.0581511000, 0.0597886000, 0.0637351000, 0.0731874000, 0.0974498000, 0.1605258000, 0.3286922000", \ - "0.0578934000, 0.0595173000, 0.0634523000, 0.0729181000, 0.0968966000, 0.1601550000, 0.3284048000", \ - "0.0576498000, 0.0592926000, 0.0632108000, 0.0726078000, 0.0969509000, 0.1605430000, 0.3268889000", \ - "0.0577398000, 0.0593811000, 0.0632899000, 0.0727095000, 0.0968017000, 0.1604515000, 0.3278875000", \ - "0.0577780000, 0.0594068000, 0.0633347000, 0.0728171000, 0.0968035000, 0.1606147000, 0.3280997000", \ - "0.0568425000, 0.0584935000, 0.0623931000, 0.0718582000, 0.0957962000, 0.1596291000, 0.3261326000", \ - "0.0577105000, 0.0590891000, 0.0626615000, 0.0718989000, 0.0964084000, 0.1597170000, 0.3277044000"); - } - } - max_capacitance : 0.1675510000; - max_transition : 1.4980020000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.3000310000, 0.3051431000, 0.3161420000, 0.3390783000, 0.3914076000, 0.5247380000, 0.8772921000", \ - "0.3046121000, 0.3097695000, 0.3207092000, 0.3437074000, 0.3960473000, 0.5294558000, 0.8807626000", \ - "0.3159082000, 0.3210704000, 0.3319959000, 0.3549922000, 0.4073305000, 0.5407800000, 0.8933567000", \ - "0.3418898000, 0.3470491000, 0.3579967000, 0.3809328000, 0.4333256000, 0.5666482000, 0.9192849000", \ - "0.3918626000, 0.3970235000, 0.4080498000, 0.4309982000, 0.4833497000, 0.6165730000, 0.9678177000", \ - "0.4641218000, 0.4692677000, 0.4802074000, 0.5031743000, 0.5554496000, 0.6887765000, 1.0402348000", \ - "0.5533069000, 0.5584593000, 0.5694102000, 0.5923537000, 0.6446645000, 0.7781387000, 1.1308199000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.3018733000, 0.3086825000, 0.3239931000, 0.3602182000, 0.4534756000, 0.6968104000, 1.3379053000", \ - "0.3065788000, 0.3133840000, 0.3286926000, 0.3649097000, 0.4581978000, 0.7014914000, 1.3438988000", \ - "0.3176374000, 0.3244529000, 0.3397226000, 0.3760527000, 0.4692936000, 0.7123364000, 1.3542584000", \ - "0.3438131000, 0.3506095000, 0.3659482000, 0.4021900000, 0.4952795000, 0.7388116000, 1.3799047000", \ - "0.3942105000, 0.4010226000, 0.4163332000, 0.4525597000, 0.5457568000, 0.7891619000, 1.4311944000", \ - "0.4670878000, 0.4739312000, 0.4891977000, 0.5255727000, 0.6187540000, 0.8621326000, 1.5024036000", \ - "0.5611722000, 0.5680091000, 0.5833314000, 0.6195555000, 0.7128219000, 0.9561443000, 1.5962475000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0170935000, 0.0209903000, 0.0305239000, 0.0542488000, 0.1180424000, 0.2951581000, 0.7701542000", \ - "0.0171064000, 0.0210511000, 0.0304588000, 0.0542391000, 0.1182238000, 0.2951641000, 0.7637370000", \ - "0.0171419000, 0.0210878000, 0.0305819000, 0.0543242000, 0.1182431000, 0.2948989000, 0.7690150000", \ - "0.0171443000, 0.0210596000, 0.0305078000, 0.0543568000, 0.1183366000, 0.2952761000, 0.7652165000", \ - "0.0171355000, 0.0210701000, 0.0306000000, 0.0544201000, 0.1181829000, 0.2950948000, 0.7629545000", \ - "0.0171196000, 0.0210108000, 0.0306581000, 0.0543545000, 0.1181788000, 0.2952377000, 0.7648827000", \ - "0.0171243000, 0.0210002000, 0.0305439000, 0.0542090000, 0.1183710000, 0.2950447000, 0.7588458000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0241617000, 0.0303726000, 0.0472315000, 0.0952354000, 0.2260285000, 0.5739112000, 1.4928319000", \ - "0.0241402000, 0.0303755000, 0.0472505000, 0.0952047000, 0.2259295000, 0.5741420000, 1.4916933000", \ - "0.0241588000, 0.0303307000, 0.0472557000, 0.0952537000, 0.2262679000, 0.5740324000, 1.4964399000", \ - "0.0242007000, 0.0303369000, 0.0472159000, 0.0952499000, 0.2263474000, 0.5727800000, 1.4958202000", \ - "0.0242082000, 0.0303900000, 0.0472312000, 0.0952450000, 0.2261799000, 0.5733997000, 1.4926982000", \ - "0.0241980000, 0.0303321000, 0.0473153000, 0.0948978000, 0.2264264000, 0.5727953000, 1.4972918000", \ - "0.0243314000, 0.0304303000, 0.0473664000, 0.0952548000, 0.2259355000, 0.5729731000, 1.4906115000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.3297399000, 0.3360833000, 0.3509119000, 0.3870598000, 0.4800230000, 0.7226136000, 1.3663757000", \ - "0.3350225000, 0.3413926000, 0.3561948000, 0.3920850000, 0.4850033000, 0.7276579000, 1.3717206000", \ - "0.3482881000, 0.3546567000, 0.3694660000, 0.4055348000, 0.4981362000, 0.7418441000, 1.3832891000", \ - "0.3810945000, 0.3874605000, 0.4022675000, 0.4382560000, 0.5311399000, 0.7748955000, 1.4184846000", \ - "0.4566498000, 0.4630147000, 0.4778183000, 0.5138560000, 0.6066133000, 0.8502705000, 1.4945523000", \ - "0.6102479000, 0.6166357000, 0.6314207000, 0.6675334000, 0.7600634000, 1.0037992000, 1.6449180000", \ - "0.8806673000, 0.8870844000, 0.9019399000, 0.9380940000, 1.0307779000, 1.2735900000, 1.9161586000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013177500, 0.0034729300, 0.0091529100, 0.0241225000, 0.0635748000, 0.1675510000"); - values("0.0215706000, 0.0280698000, 0.0457194000, 0.0949054000, 0.2263874000, 0.5735636000, 1.4939610000", \ - "0.0216156000, 0.0281101000, 0.0458034000, 0.0946274000, 0.2256515000, 0.5743401000, 1.4968886000", \ - "0.0215716000, 0.0280580000, 0.0457176000, 0.0943057000, 0.2254992000, 0.5756683000, 1.4948579000", \ - "0.0215654000, 0.0280495000, 0.0457336000, 0.0946040000, 0.2256750000, 0.5746121000, 1.4944789000", \ - "0.0215839000, 0.0280661000, 0.0457697000, 0.0944538000, 0.2256346000, 0.5764862000, 1.4980024000", \ - "0.0215317000, 0.0279863000, 0.0457935000, 0.0946880000, 0.2257249000, 0.5758513000, 1.4968867000", \ - "0.0219838000, 0.0284717000, 0.0458997000, 0.0944678000, 0.2260288000, 0.5728878000, 1.4970504000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("SCD") { - capacitance : 0.0017320000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027525000, 0.0027719000, 0.0028166000, 0.0028180000, 0.0028213000, 0.0028291000, 0.0028471000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002567400, -0.002611400, -0.002712700, -0.002715700, -0.002722200, -0.002737400, -0.002772400"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017980000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3474590000, 0.5250631000, 0.8245423000", \ - "0.2199036000, 0.3975078000, 0.6969870000", \ - "0.1255026000, 0.3043275000, 0.6038067000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1667949000, 0.3065573000, 0.4693177000", \ - "0.1063783000, 0.2412578000, 0.3930319000", \ - "0.0937643000, 0.2262025000, 0.3730937000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.165236300, -0.339178400, -0.580063800", \ - "-0.079184900, -0.256789100, -0.526971400", \ - "-0.009197900, -0.186802100, -0.469191400"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.124953100, -0.258612000, -0.400620400", \ - "-0.082847000, -0.214064500, -0.356072900", \ - "-0.079998700, -0.209995400, -0.349562500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0035400000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034030000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0112964000, 0.0112478000, 0.0111358000, 0.0112239000, 0.0114274000, 0.0118964000, 0.0129776000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000154400, -0.000313500, -0.000680100, -0.000592100, -0.000389300, 7.8424126e-05, 0.0011564000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0036780000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2888652000, 0.4701315000, 0.7781556000", \ - "0.1600892000, 0.3413555000, 0.6506003000", \ - "0.0656882000, 0.2481751000, 0.5561992000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3474590000, 0.4420553000, 0.5022767000", \ - "0.2199036000, 0.3132793000, 0.3747214000", \ - "0.1255026000, 0.2200990000, 0.2815410000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.133498000, -0.311102200, -0.562974000", \ - "-0.035239600, -0.215285200, -0.496453800", \ - "0.0432923000, -0.137974000, -0.427687500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.177443400, -0.278143200, -0.340806000", \ - "-0.082847000, -0.181105500, -0.244988900", \ - "-0.004315100, -0.102573600, -0.168898400"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SET_B") { - capacitance : 0.0033190000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0032650000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0053104000, 0.0053154000, 0.0053269000, 0.0053312000, 0.0053410000, 0.0053639000, 0.0054166000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0001333000, 3.4673291e-06, -0.000295700, -0.000284700, -0.000259400, -0.000200900, -6.6001846e-05"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0033740000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.153029300, -0.106040400, -0.127606100", \ - "-0.296453800, -0.249464800, -0.271030600", \ - "-0.412827500, -0.368279900, -0.388625000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1692363000, 0.1222474000, 0.1425925000", \ - "0.3089987000, 0.2632305000, 0.2811341000", \ - "0.4241517000, 0.3796042000, 0.3962871000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1752772000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - preset : "!SET_B"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - pin ("SET_B") { - direction : "input"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfstp_2") { - leakage_power () { - value : 0.0135655000; - when : "CLK&D&!SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0155137000; - when : "CLK&!D&!SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0113531000; - when : "CLK&!D&SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0110875000; - when : "!CLK&!D&!SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0096980000; - when : "CLK&!D&!SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0100748000; - when : "CLK&!D&!SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0149533000; - when : "CLK&D&!SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0093765000; - when : "CLK&D&SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0104625000; - when : "!CLK&D&!SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0103409000; - when : "CLK&D&!SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0157815000; - when : "CLK&D&!SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0109029000; - when : "CLK&!D&!SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0176911000; - when : "CLK&!D&SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0156982000; - when : "!CLK&!D&!SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0143087000; - when : "CLK&!D&!SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0172828000; - when : "CLK&D&SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0159660000; - when : "!CLK&D&!SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0145765000; - when : "CLK&D&!SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0122243000; - when : "CLK&D&SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0115376000; - when : "!CLK&!D&SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0101481000; - when : "CLK&!D&SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0105249000; - when : "CLK&!D&SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0144350000; - when : "CLK&D&SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0107176000; - when : "CLK&D&!SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0091213000; - when : "!CLK&D&SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0089997000; - when : "CLK&D&SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0146855000; - when : "CLK&!D&!SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0145881000; - when : "!CLK&!D&SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0144665000; - when : "CLK&!D&SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0148433000; - when : "CLK&!D&SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0141798000; - when : "!CLK&D&SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0140582000; - when : "CLK&D&SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0130580000; - when : "!CLK&D&!SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0095306000; - when : "!CLK&!D&!SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0141994000; - when : "!CLK&!D&!SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0100389000; - when : "!CLK&!D&SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0095887000; - when : "!CLK&!D&!SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0117168000; - when : "!CLK&D&SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0171836000; - when : "!CLK&!D&SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0099807000; - when : "!CLK&!D&SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0141413000; - when : "!CLK&!D&!SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0144673000; - when : "!CLK&D&!SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0167753000; - when : "!CLK&D&SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0138002000; - when : "!CLK&!D&SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0096746000; - when : "!CLK&D&!SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0083334000; - when : "!CLK&D&SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0144091000; - when : "!CLK&D&!SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0133919000; - when : "!CLK&D&SCD&SCE&!SET_B&Q"; - } - area : 35.033600000; - cell_footprint : "sky130_fd_sc_hd__sdfstp"; - cell_leakage_power : 0.0127802800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0019580000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0018730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0233955000, 0.0233109000, 0.0231157000, 0.0231609000, 0.0232648000, 0.0235047000, 0.0240577000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0186016000, 0.0184892000, 0.0182302000, 0.0182462000, 0.0182835000, 0.0183694000, 0.0185675000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020430000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3180836000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1994444000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0018710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017780000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0091705000, 0.0090415000, 0.0087440000, 0.0087865000, 0.0088848000, 0.0091114000, 0.0096336000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026582000, 0.0025851000, 0.0024166000, 0.0024450000, 0.0025106000, 0.0026617000, 0.0030102000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019640000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2876445000, 0.4860007000, 0.8306458000", \ - "0.1576478000, 0.3572246000, 0.6994284000", \ - "0.0632467000, 0.2628236000, 0.6025859000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1436016000, 0.2833639000, 0.4229310000", \ - "0.0795228000, 0.2107402000, 0.3307760000", \ - "0.0632467000, 0.1908021000, 0.3010723000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.115187500, -0.306219400, -0.554429000", \ - "-0.018149700, -0.214064500, -0.512322900", \ - "0.0603822000, -0.139194700, -0.453322300"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.100539100, -0.234197900, -0.342026700", \ - "-0.055991500, -0.183546900, -0.290154900", \ - "-0.049481100, -0.175815800, -0.281203100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000"); - values("0.0278263000, 0.0264233000, 0.0225552000, 0.0106646000, -0.027674400, -0.142402200, -0.479299200", \ - "0.0278593000, 0.0264457000, 0.0225545000, 0.0106601000, -0.027648000, -0.142385600, -0.479294500", \ - "0.0279552000, 0.0265598000, 0.0226778000, 0.0107624000, -0.027555800, -0.142291100, -0.479176500", \ - "0.0275814000, 0.0261572000, 0.0222917000, 0.0103800000, -0.027943300, -0.142688100, -0.479593700", \ - "0.0273377000, 0.0259208000, 0.0220221000, 0.0101349000, -0.028191800, -0.142903900, -0.479802200", \ - "0.0273721000, 0.0259498000, 0.0220896000, 0.0101846000, -0.028132100, -0.142867500, -0.479778500", \ - "0.0302967000, 0.0288338000, 0.0244956000, 0.0115536000, -0.027353300, -0.142347100, -0.479260900"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000"); - values("0.0299830000, 0.0317026000, 0.0368258000, 0.0508868000, 0.0901473000, 0.2037864000, 0.5372745000", \ - "0.0300268000, 0.0317859000, 0.0368636000, 0.0508944000, 0.0901025000, 0.2045856000, 0.5385029000", \ - "0.0299531000, 0.0316909000, 0.0367656000, 0.0508140000, 0.0900394000, 0.2046320000, 0.5374740000", \ - "0.0296610000, 0.0314047000, 0.0364805000, 0.0505487000, 0.0898039000, 0.2032005000, 0.5377666000", \ - "0.0292979000, 0.0310327000, 0.0361622000, 0.0502338000, 0.0895055000, 0.2032781000, 0.5366204000", \ - "0.0291958000, 0.0309345000, 0.0360845000, 0.0500834000, 0.0893325000, 0.2027104000, 0.5398425000", \ - "0.0313371000, 0.0329273000, 0.0375928000, 0.0511511000, 0.0899507000, 0.2043132000, 0.5356581000"); - } - } - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000"); - values("0.0630985000, 0.0648536000, 0.0698552000, 0.0836733000, 0.1227561000, 0.2375647000, 0.5697242000", \ - "0.0628247000, 0.0645522000, 0.0695363000, 0.0834055000, 0.1225639000, 0.2359652000, 0.5718517000", \ - "0.0626053000, 0.0643502000, 0.0693329000, 0.0831334000, 0.1225945000, 0.2373793000, 0.5726176000", \ - "0.0627287000, 0.0644442000, 0.0694238000, 0.0832350000, 0.1223476000, 0.2373562000, 0.5709243000", \ - "0.0627473000, 0.0644635000, 0.0694410000, 0.0832728000, 0.1224739000, 0.2363149000, 0.5723449000", \ - "0.0618310000, 0.0635472000, 0.0685253000, 0.0823914000, 0.1214776000, 0.2354480000, 0.5710484000", \ - "0.0626501000, 0.0642719000, 0.0689978000, 0.0824752000, 0.1221277000, 0.2350750000, 0.5718148000"); - } - } - max_capacitance : 0.3158670000; - max_transition : 1.5009430000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000"); - values("0.3221851000, 0.3268743000, 0.3373510000, 0.3588961000, 0.4048908000, 0.5212376000, 0.8552819000", \ - "0.3266866000, 0.3313708000, 0.3418778000, 0.3633402000, 0.4093694000, 0.5258259000, 0.8607881000", \ - "0.3379951000, 0.3426828000, 0.3531559000, 0.3746493000, 0.4206786000, 0.5369475000, 0.8732696000", \ - "0.3639787000, 0.3686597000, 0.3791569000, 0.4006240000, 0.4466545000, 0.5630344000, 0.8971263000", \ - "0.4140417000, 0.4187094000, 0.4291894000, 0.4506852000, 0.4967132000, 0.6129815000, 0.9477187000", \ - "0.4862223000, 0.4909229000, 0.5013753000, 0.5228876000, 0.5688697000, 0.6852263000, 1.0197088000", \ - "0.5754308000, 0.5801253000, 0.5905284000, 0.6119799000, 0.6581036000, 0.7745055000, 1.1096277000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000"); - values("0.3225691000, 0.3283226000, 0.3416315000, 0.3722389000, 0.4529200000, 0.6856941000, 1.3674499000", \ - "0.3271981000, 0.3330855000, 0.3463337000, 0.3768980000, 0.4575655000, 0.6905667000, 1.3707461000", \ - "0.3382426000, 0.3441870000, 0.3574824000, 0.3879503000, 0.4686371000, 0.7018419000, 1.3841810000", \ - "0.3644848000, 0.3703095000, 0.3835879000, 0.4141941000, 0.4951124000, 0.7280134000, 1.4098109000", \ - "0.4149432000, 0.4206850000, 0.4340148000, 0.4646288000, 0.5453982000, 0.7779370000, 1.4606001000", \ - "0.4878016000, 0.4937612000, 0.5070671000, 0.5375275000, 0.6183014000, 0.8510986000, 1.5320633000", \ - "0.5818918000, 0.5878329000, 0.6011178000, 0.6316967000, 0.7125944000, 0.9456067000, 1.6250316000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000"); - values("0.0187826000, 0.0216302000, 0.0288232000, 0.0467396000, 0.0940293000, 0.2406048000, 0.6910790000", \ - "0.0188247000, 0.0217268000, 0.0289124000, 0.0465990000, 0.0940400000, 0.2408252000, 0.6899918000", \ - "0.0185750000, 0.0216706000, 0.0291068000, 0.0466381000, 0.0941317000, 0.2397815000, 0.6875492000", \ - "0.0185762000, 0.0216936000, 0.0289034000, 0.0465902000, 0.0939533000, 0.2405010000, 0.6911069000", \ - "0.0187178000, 0.0215769000, 0.0287293000, 0.0466567000, 0.0942147000, 0.2407770000, 0.6836903000", \ - "0.0187839000, 0.0216822000, 0.0288091000, 0.0461852000, 0.0944831000, 0.2407519000, 0.6911791000", \ - "0.0186406000, 0.0217903000, 0.0286535000, 0.0465217000, 0.0944064000, 0.2408548000, 0.6795462000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000"); - values("0.0231198000, 0.0271620000, 0.0387278000, 0.0736674000, 0.1844122000, 0.5177750000, 1.4908433000", \ - "0.0229540000, 0.0275796000, 0.0387079000, 0.0735902000, 0.1845290000, 0.5169549000, 1.4935876000", \ - "0.0232093000, 0.0271804000, 0.0388772000, 0.0738166000, 0.1844821000, 0.5168411000, 1.4945407000", \ - "0.0231343000, 0.0272139000, 0.0387131000, 0.0736107000, 0.1845726000, 0.5158129000, 1.4967362000", \ - "0.0231580000, 0.0271845000, 0.0388022000, 0.0736309000, 0.1840667000, 0.5158449000, 1.5009429000", \ - "0.0232897000, 0.0272807000, 0.0389445000, 0.0736714000, 0.1843780000, 0.5166249000, 1.4999229000", \ - "0.0232758000, 0.0273074000, 0.0389744000, 0.0738256000, 0.1841029000, 0.5164443000, 1.4901100000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000"); - values("0.3461406000, 0.3514749000, 0.3640786000, 0.3940832000, 0.4745880000, 0.7076016000, 1.3921476000", \ - "0.3512839000, 0.3565875000, 0.3691551000, 0.3991507000, 0.4797595000, 0.7124351000, 1.3950409000", \ - "0.3645991000, 0.3699215000, 0.3825268000, 0.4124609000, 0.4931675000, 0.7257571000, 1.4075765000", \ - "0.3973835000, 0.4027544000, 0.4153060000, 0.4452349000, 0.5257748000, 0.7581207000, 1.4387473000", \ - "0.4728231000, 0.4781960000, 0.4907422000, 0.5206702000, 0.6012129000, 0.8336392000, 1.5182444000", \ - "0.6264619000, 0.6318235000, 0.6443367000, 0.6743152000, 0.7549517000, 0.9877744000, 1.6674323000", \ - "0.8978575000, 0.9032461000, 0.9158780000, 0.9459276000, 1.0265371000, 1.2587914000, 1.9426836000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000"); - values("0.0201819000, 0.0245587000, 0.0364753000, 0.0724021000, 0.1842036000, 0.5184347000, 1.4987506000", \ - "0.0201028000, 0.0244210000, 0.0363993000, 0.0723833000, 0.1840256000, 0.5169220000, 1.4981576000", \ - "0.0202444000, 0.0244316000, 0.0364352000, 0.0724633000, 0.1841860000, 0.5178975000, 1.4940583000", \ - "0.0202023000, 0.0244534000, 0.0364544000, 0.0724705000, 0.1837175000, 0.5164823000, 1.4928773000", \ - "0.0201868000, 0.0244499000, 0.0364467000, 0.0724660000, 0.1842255000, 0.5163596000, 1.4967696000", \ - "0.0201030000, 0.0244436000, 0.0363707000, 0.0722577000, 0.1837137000, 0.5183536000, 1.4980051000", \ - "0.0205473000, 0.0248891000, 0.0367179000, 0.0724214000, 0.1840303000, 0.5144707000, 1.4992164000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("SCD") { - capacitance : 0.0017320000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027519000, 0.0027687000, 0.0028072000, 0.0028115000, 0.0028217000, 0.0028450000, 0.0028989000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002564500, -0.002609400, -0.002712900, -0.002716200, -0.002723400, -0.002740000, -0.002778300"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017980000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3511211000, 0.5287253000, 0.8282044000", \ - "0.2211243000, 0.3999492000, 0.6994284000", \ - "0.1279440000, 0.3067689000, 0.6062480000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1704570000, 0.3089987000, 0.4742005000", \ - "0.1075990000, 0.2424785000, 0.3954733000", \ - "0.0949850000, 0.2274232000, 0.3743145000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.146925800, -0.318426400, -0.545884100", \ - "-0.065757200, -0.242140600, -0.506219400", \ - "0.0017884000, -0.174595100, -0.453322300"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.122511700, -0.256170600, -0.396958300", \ - "-0.081626300, -0.212843800, -0.353631500", \ - "-0.078778000, -0.208774700, -0.348341800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0035400000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034030000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0112950000, 0.0112469000, 0.0111361000, 0.0112244000, 0.0114281000, 0.0118977000, 0.0129800000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000154900, -0.000307700, -0.000660100, -0.000582000, -0.000402300, 1.2260024e-05, 0.0009678000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0036770000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2925274000, 0.4737936000, 0.7818177000", \ - "0.1625306000, 0.3437969000, 0.6530417000", \ - "0.0681296000, 0.2506165000, 0.5586406000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3511211000, 0.4444967000, 0.5059388000", \ - "0.2223450000, 0.3157207000, 0.3783835000", \ - "0.1279440000, 0.2225404000, 0.2852031000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.118849600, -0.294012400, -0.531235700", \ - "-0.024253300, -0.201857400, -0.478143200", \ - "0.0554994000, -0.126987600, -0.411818400"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.159132800, -0.261053400, -0.324936800", \ - "-0.069419300, -0.168898400, -0.232781900", \ - "0.0078919000, -0.091587200, -0.157912100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SET_B") { - capacitance : 0.0033190000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0032640000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0053175000, 0.0053206000, 0.0053279000, 0.0053320000, 0.0053412000, 0.0053627000, 0.0054123000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0001593000, 2.1658136e-05, -0.000295500, -0.000284500, -0.000259000, -0.000200200, -6.4519259e-05"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0033740000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.150587900, -0.102378300, -0.123944000", \ - "-0.294012400, -0.247023400, -0.267368500", \ - "-0.411606800, -0.365838500, -0.384962900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1704570000, 0.1222474000, 0.1413718000", \ - "0.3089987000, 0.2620098000, 0.2811341000", \ - "0.4241517000, 0.3796042000, 0.3962871000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1829668000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - preset : "!SET_B"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - pin ("SET_B") { - direction : "input"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfstp_4") { - leakage_power () { - value : 0.0102213000; - when : "!CLK&D&SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0162970000; - when : "!CLK&D&!SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0152798000; - when : "!CLK&D&SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0133506000; - when : "CLK&D&!SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0152988000; - when : "CLK&!D&!SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0111383000; - when : "CLK&!D&SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0108726000; - when : "!CLK&!D&!SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0115859000; - when : "CLK&!D&!SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0119627000; - when : "CLK&!D&!SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0168412000; - when : "CLK&D&!SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0112644000; - when : "CLK&D&SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0123504000; - when : "!CLK&D&!SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0122288000; - when : "CLK&D&!SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0155667000; - when : "CLK&D&!SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0106881000; - when : "CLK&!D&!SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0174763000; - when : "CLK&!D&SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0154833000; - when : "!CLK&!D&!SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0161966000; - when : "CLK&!D&!SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0170680000; - when : "CLK&D&SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0157512000; - when : "!CLK&D&!SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0164644000; - when : "CLK&D&!SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0120095000; - when : "CLK&D&SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0113228000; - when : "!CLK&!D&SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0120360000; - when : "CLK&!D&SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0124128000; - when : "CLK&!D&SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0163229000; - when : "CLK&D&SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0126055000; - when : "CLK&D&!SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0110092000; - when : "!CLK&D&SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0108876000; - when : "CLK&D&SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0165734000; - when : "CLK&!D&!SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0164760000; - when : "!CLK&!D&SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0163544000; - when : "CLK&!D&SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0167312000; - when : "CLK&!D&SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0160677000; - when : "!CLK&D&SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0159461000; - when : "CLK&D&SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0128431000; - when : "!CLK&D&!SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0114185000; - when : "!CLK&!D&!SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0160873000; - when : "!CLK&!D&!SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0119268000; - when : "!CLK&!D&SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0114766000; - when : "!CLK&!D&!SCD&!SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0115020000; - when : "!CLK&D&SCD&!SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0169688000; - when : "!CLK&!D&SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0118686000; - when : "!CLK&!D&SCD&!SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0160292000; - when : "!CLK&!D&!SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0163552000; - when : "!CLK&D&!SCD&SCE&SET_B&Q"; - } - leakage_power () { - value : 0.0165605000; - when : "!CLK&D&SCD&SCE&SET_B&!Q"; - } - leakage_power () { - value : 0.0156881000; - when : "!CLK&!D&SCD&SCE&!SET_B&Q"; - } - leakage_power () { - value : 0.0115624000; - when : "!CLK&D&!SCD&!SCE&!SET_B&Q"; - } - area : 37.536000000; - cell_footprint : "sky130_fd_sc_hd__sdfstp"; - cell_leakage_power : 0.0139672600; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - preset : "!SET_B"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0019500000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0018560000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0235084000, 0.0233907000, 0.0231196000, 0.0231612000, 0.0232576000, 0.0234795000, 0.0239913000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0184533000, 0.0183908000, 0.0182467000, 0.0182651000, 0.0183077000, 0.0184061000, 0.0186328000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020430000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3202806000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2181191000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0018710000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017780000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0090720000, 0.0089753000, 0.0087526000, 0.0087932000, 0.0088872000, 0.0091037000, 0.0096028000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0026002000, 0.0025375000, 0.0023930000, 0.0024201000, 0.0024828000, 0.0026274000, 0.0029606000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019640000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2900859000, 0.4884421000, 0.8318665000", \ - "0.1600892000, 0.3584453000, 0.7018698000", \ - "0.0656882000, 0.2652650000, 0.6050274000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1448223000, 0.2858053000, 0.4265931000", \ - "0.0795228000, 0.2107402000, 0.3319968000", \ - "0.0644674000, 0.1908021000, 0.3010723000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.109084000, -0.297674500, -0.537339200", \ - "-0.012046200, -0.207960900, -0.503778000", \ - "0.0652650000, -0.133091100, -0.445998100"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.099318400, -0.232977200, -0.339585300", \ - "-0.054770800, -0.182326200, -0.288934200", \ - "-0.049481100, -0.174595100, -0.279982400"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016087930, 0.0051764320, 0.0166556200, 0.0535909000, 0.1724334000, 0.5548194000"); - values("0.0391553000, 0.0374079000, 0.0321123000, 0.0157158000, -0.041605800, -0.233162300, -0.852407500", \ - "0.0390948000, 0.0374128000, 0.0320514000, 0.0156405000, -0.041636100, -0.233198800, -0.852417500", \ - "0.0390340000, 0.0374043000, 0.0320242000, 0.0156666000, -0.041650300, -0.233215900, -0.852447500", \ - "0.0386227000, 0.0370320000, 0.0316176000, 0.0152637000, -0.042003400, -0.233585400, -0.852816800", \ - "0.0384681000, 0.0367104000, 0.0314421000, 0.0149930000, -0.042293800, -0.233828800, -0.853075900", \ - "0.0384512000, 0.0368224000, 0.0314891000, 0.0150285000, -0.042232400, -0.233784800, -0.853025200", \ - "0.0428370000, 0.0411296000, 0.0356229000, 0.0178229000, -0.041077500, -0.233258100, -0.852568500"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016087930, 0.0051764320, 0.0166556200, 0.0535909000, 0.1724334000, 0.5548194000"); - values("0.0431551000, 0.0450236000, 0.0513037000, 0.0708460000, 0.1308216000, 0.3205517000, 0.9338531000", \ - "0.0431401000, 0.0450127000, 0.0513202000, 0.0708229000, 0.1309162000, 0.3214317000, 0.9326981000", \ - "0.0429529000, 0.0448419000, 0.0511070000, 0.0706901000, 0.1306205000, 0.3205231000, 0.9374304000", \ - "0.0426671000, 0.0445648000, 0.0508061000, 0.0703455000, 0.1302873000, 0.3206362000, 0.9330580000", \ - "0.0422936000, 0.0442649000, 0.0504563000, 0.0699294000, 0.1303957000, 0.3198301000, 0.9328955000", \ - "0.0421409000, 0.0440493000, 0.0502953000, 0.0698172000, 0.1297971000, 0.3194745000, 0.9320382000", \ - "0.0445583000, 0.0463909000, 0.0522802000, 0.0712834000, 0.1309013000, 0.3215923000, 0.9309590000"); - } - } - internal_power () { - fall_power ("scalar") { - values("0.0000000000"); - } - related_pin : "SET_B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016087930, 0.0051764320, 0.0166556200, 0.0535909000, 0.1724334000, 0.5548194000"); - values("0.0759673000, 0.0778501000, 0.0840802000, 0.1034601000, 0.1633224000, 0.3535191000, 0.9698237000", \ - "0.0756070000, 0.0775444000, 0.0837886000, 0.1030921000, 0.1628365000, 0.3530232000, 0.9656826000", \ - "0.0753045000, 0.0772368000, 0.0834804000, 0.1028208000, 0.1627154000, 0.3541308000, 0.9695067000", \ - "0.0754151000, 0.0773860000, 0.0835639000, 0.1029033000, 0.1629504000, 0.3525744000, 0.9648486000", \ - "0.0754464000, 0.0773900000, 0.0836233000, 0.1030146000, 0.1628403000, 0.3531195000, 0.9702346000", \ - "0.0746319000, 0.0765605000, 0.0827648000, 0.1020970000, 0.1619573000, 0.3523370000, 0.9663666000", \ - "0.0757551000, 0.0776199000, 0.0835893000, 0.1024438000, 0.1625347000, 0.3521929000, 0.9650645000"); - } - } - max_capacitance : 0.5548190000; - max_transition : 1.5027430000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.3436035000, 0.3469348000, 0.3555734000, 0.3748233000, 0.4161567000, 0.5192415000, 0.8329064000", \ - "0.3482234000, 0.3515548000, 0.3601676000, 0.3793796000, 0.4207618000, 0.5237520000, 0.8378001000", \ - "0.3594110000, 0.3627446000, 0.3713905000, 0.3906348000, 0.4319156000, 0.5350120000, 0.8485946000", \ - "0.3853773000, 0.3887148000, 0.3973425000, 0.4166423000, 0.4578804000, 0.5609608000, 0.8747708000", \ - "0.4354998000, 0.4388246000, 0.4474544000, 0.4666434000, 0.5080482000, 0.6110366000, 0.9243286000", \ - "0.5077380000, 0.5110628000, 0.5196972000, 0.5388669000, 0.5802138000, 0.6832573000, 0.9965947000", \ - "0.5970609000, 0.6004057000, 0.6090404000, 0.6282814000, 0.6695920000, 0.7727450000, 1.0865612000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.3459618000, 0.3501045000, 0.3606534000, 0.3866176000, 0.4574641000, 0.6780946000, 1.3876444000", \ - "0.3506852000, 0.3547837000, 0.3653938000, 0.3913178000, 0.4621797000, 0.6825895000, 1.3910163000", \ - "0.3617651000, 0.3658791000, 0.3764288000, 0.4024320000, 0.4731765000, 0.6940457000, 1.4012524000", \ - "0.3879188000, 0.3920022000, 0.4026161000, 0.4285433000, 0.4994041000, 0.7197061000, 1.4282202000", \ - "0.4383620000, 0.4423746000, 0.4530129000, 0.4789560000, 0.5497879000, 0.7700539000, 1.4779930000", \ - "0.5116592000, 0.5157530000, 0.5263772000, 0.5522020000, 0.6230475000, 0.8438056000, 1.5521652000", \ - "0.6060784000, 0.6101708000, 0.6208159000, 0.6467653000, 0.7176289000, 0.9378881000, 1.6478963000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0218030000, 0.0238781000, 0.0291405000, 0.0427740000, 0.0806824000, 0.2037323000, 0.6174800000", \ - "0.0217835000, 0.0236514000, 0.0290390000, 0.0431578000, 0.0812165000, 0.2032594000, 0.6212107000", \ - "0.0217767000, 0.0239144000, 0.0291098000, 0.0427581000, 0.0812181000, 0.2030170000, 0.6165365000", \ - "0.0217974000, 0.0239542000, 0.0290237000, 0.0427456000, 0.0813139000, 0.2032823000, 0.6196421000", \ - "0.0218400000, 0.0239036000, 0.0290555000, 0.0431373000, 0.0812088000, 0.2032328000, 0.6229811000", \ - "0.0218497000, 0.0239015000, 0.0294902000, 0.0428003000, 0.0813658000, 0.2034723000, 0.6223527000", \ - "0.0218810000, 0.0237866000, 0.0291749000, 0.0428679000, 0.0808094000, 0.2039517000, 0.6179989000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0243986000, 0.0271531000, 0.0358471000, 0.0631267000, 0.1577767000, 0.4729863000, 1.5016185000", \ - "0.0243642000, 0.0271375000, 0.0358759000, 0.0630330000, 0.1574358000, 0.4747258000, 1.4985004000", \ - "0.0243759000, 0.0271495000, 0.0357802000, 0.0631573000, 0.1573541000, 0.4736098000, 1.5020046000", \ - "0.0243583000, 0.0271544000, 0.0358704000, 0.0630308000, 0.1573214000, 0.4733879000, 1.4981130000", \ - "0.0243367000, 0.0274902000, 0.0359151000, 0.0630878000, 0.1576381000, 0.4737719000, 1.4970015000", \ - "0.0242625000, 0.0271900000, 0.0358974000, 0.0630956000, 0.1575827000, 0.4738641000, 1.4946460000", \ - "0.0244902000, 0.0272768000, 0.0359879000, 0.0630888000, 0.1573738000, 0.4736962000, 1.4982793000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - timing () { - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.3602310000, 0.3639340000, 0.3738760000, 0.3991533000, 0.4698107000, 0.6901793000, 1.3962577000", \ - "0.3652023000, 0.3689438000, 0.3788604000, 0.4039628000, 0.4743809000, 0.6953575000, 1.4022951000", \ - "0.3784353000, 0.3822018000, 0.3920928000, 0.4173714000, 0.4879527000, 0.7083186000, 1.4144693000", \ - "0.4112680000, 0.4150328000, 0.4249280000, 0.4501951000, 0.5207126000, 0.7412700000, 1.4497658000", \ - "0.4867948000, 0.4905586000, 0.5004529000, 0.5257175000, 0.5962351000, 0.8174131000, 1.5267752000", \ - "0.6407774000, 0.6444905000, 0.6544256000, 0.6796324000, 0.7499523000, 0.9706608000, 1.6790330000", \ - "0.9144934000, 0.9182429000, 0.9282754000, 0.9536065000, 1.0239734000, 1.2443918000, 1.9537976000"); - } - related_pin : "SET_B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0212685000, 0.0241923000, 0.0331410000, 0.0614139000, 0.1571299000, 0.4740391000, 1.4983477000", \ - "0.0213859000, 0.0243559000, 0.0331725000, 0.0613645000, 0.1569088000, 0.4744868000, 1.4993112000", \ - "0.0212506000, 0.0242990000, 0.0331119000, 0.0614081000, 0.1571737000, 0.4739097000, 1.4998668000", \ - "0.0212340000, 0.0242908000, 0.0330729000, 0.0612409000, 0.1571889000, 0.4741709000, 1.5027428000", \ - "0.0212231000, 0.0242795000, 0.0330620000, 0.0612462000, 0.1574449000, 0.4748245000, 1.4974093000", \ - "0.0213293000, 0.0242489000, 0.0330698000, 0.0611795000, 0.1569198000, 0.4743627000, 1.4975496000", \ - "0.0218447000, 0.0246393000, 0.0334929000, 0.0615885000, 0.1570509000, 0.4733644000, 1.5005779000"); - } - timing_sense : "negative_unate"; - timing_type : "preset"; - } - } - pin ("SCD") { - capacitance : 0.0017320000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016660000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0027563000, 0.0027495000, 0.0027340000, 0.0027413000, 0.0027586000, 0.0027982000, 0.0028897000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002671300, -0.002684700, -0.002715800, -0.002716300, -0.002717400, -0.002720000, -0.002725900"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017980000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3535625000, 0.5311667000, 0.8306458000", \ - "0.2235658000, 0.4023906000, 0.7006491000", \ - "0.1291647000, 0.3079896000, 0.6074687000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1716777000, 0.3114401000, 0.4766419000", \ - "0.1088197000, 0.2436992000, 0.3954733000", \ - "0.0949850000, 0.2274232000, 0.3743145000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.139601600, -0.309881500, -0.532456400", \ - "-0.059653600, -0.236037100, -0.496453800", \ - "0.0078919000, -0.168491500, -0.443556600"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.121291000, -0.254949900, -0.394516900", \ - "-0.080405600, -0.211623000, -0.352410800", \ - "-0.078778000, -0.208774700, -0.348341800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0035400000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0034030000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0112950000, 0.0112455000, 0.0111314000, 0.0112278000, 0.0114502000, 0.0119629000, 0.0131447000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.000361200, -0.000451900, -0.000660900, -0.000582100, -0.000400700, 1.780503e-05, 0.0009823000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0036770000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2949688000, 0.4762350000, 0.7842591000", \ - "0.1637513000, 0.3462383000, 0.6542624000", \ - "0.0693503000, 0.2518372000, 0.5598613000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3535625000, 0.4469381000, 0.5083802000", \ - "0.2247865000, 0.3181621000, 0.3796042000", \ - "0.1291647000, 0.2237611000, 0.2864238000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.112746100, -0.286688200, -0.516587200", \ - "-0.019370400, -0.196974600, -0.469598300", \ - "0.0603822000, -0.120884100, -0.404494100"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.150587900, -0.252508500, -0.317612600", \ - "-0.063315800, -0.162794900, -0.226678400", \ - "0.0127747000, -0.086704400, -0.154250000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SET_B") { - capacitance : 0.0033200000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0032610000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0052034000, 0.0052407000, 0.0053267000, 0.0053337000, 0.0053499000, 0.0053873000, 0.0054736000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("7.6237889e-06, -8.6978743e-05, -0.000305000, -0.000293900, -0.000268200, -0.000209000, -7.2394644e-05"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0033790000; - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.149367200, -0.099936800, -0.117840500", \ - "-0.292791700, -0.244582000, -0.262485700", \ - "-0.410386100, -0.363397100, -0.380080100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "recovery_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1704570000, 0.1222474000, 0.1401510000", \ - "0.3102194000, 0.2620098000, 0.2799134000", \ - "0.4241517000, 0.3796042000, 0.3950664000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "removal_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2005429000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "SET_B"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - preset : "!SET_B"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - pin ("SET_B") { - direction : "input"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfxbp_1") { - leakage_power () { - value : 0.0158737000; - when : "CLK&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0156228000; - when : "!CLK&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0121428000; - when : "!CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0156998000; - when : "!CLK&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0154402000; - when : "!CLK&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0149568000; - when : "!CLK&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0116853000; - when : "!CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0132516000; - when : "!CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0160713000; - when : "!CLK&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0129072000; - when : "!CLK&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0124048000; - when : "CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0116629000; - when : "CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0114032000; - when : "CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0108260000; - when : "!CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0152939000; - when : "CLK&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0164084000; - when : "CLK&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0143074000; - when : "CLK&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0148584000; - when : "!CLK&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0120344000; - when : "CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0109198000; - when : "CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0135135000; - when : "CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0115690000; - when : "!CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0131692000; - when : "CLK&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0119405000; - when : "!CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0119472000; - when : "CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0113093000; - when : "!CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0157773000; - when : "CLK&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0155293000; - when : "CLK&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0147649000; - when : "CLK&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0144009000; - when : "!CLK&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0160369000; - when : "CLK&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0159671000; - when : "!CLK&!D&SCD&SCE&Q&!Q_N"; - } - area : 30.028800000; - cell_footprint : "sky130_fd_sc_hd__sdfxbp"; - cell_leakage_power : 0.0137405000; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017840000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016990000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0224101000, 0.0222905000, 0.0220146000, 0.0221011000, 0.0223006000, 0.0227605000, 0.0238206000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0178913000, 0.0177689000, 0.0174867000, 0.0175025000, 0.0175393000, 0.0176240000, 0.0178192000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018690000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2389908000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1741787000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016980000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016150000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080837000, 0.0079723000, 0.0077156000, 0.0077633000, 0.0078734000, 0.0081273000, 0.0087125000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0013581000, 0.0013073000, 0.0011904000, 0.0012153000, 0.0012730000, 0.0014059000, 0.0017124000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017810000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1997539000, 0.4005514000, 0.7220033000", \ - "0.0819642000, 0.2827617000, 0.6029928000", \ - "-0.003891900, 0.1956849000, 0.5134746000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1020977000, 0.2320944000, 0.3350404000", \ - "0.0306947000, 0.1497051000, 0.2367819000", \ - "0.0058737000, 0.1212220000, 0.2021953000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.116408200, -0.312322900, -0.591050100", \ - "-0.014487600, -0.212843800, -0.511102200", \ - "0.0603822000, -0.137974000, -0.442335900"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.068800800, -0.189031900, -0.262681000", \ - "-0.010825500, -0.126173800, -0.203485000", \ - "0.0078919000, -0.105015000, -0.181105500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("-0.005518100, -0.000854100, 0.0076732000, 0.0166638000, 0.0111603000, -0.037743100, -0.191067600", \ - "-0.005465800, -0.000816100, 0.0076811000, 0.0165834000, 0.0110734000, -0.037852300, -0.191206600", \ - "-0.005337800, -0.000704400, 0.0077478000, 0.0166132000, 0.0110425000, -0.037922400, -0.191306600", \ - "-0.005357900, -0.000746300, 0.0076824000, 0.0164834000, 0.0108674000, -0.038106600, -0.191493600", \ - "-0.005388200, -0.000793600, 0.0076065000, 0.0163415000, 0.0107209000, -0.038291400, -0.191697100", \ - "-0.005422300, -0.000811100, 0.0076087000, 0.0163901000, 0.0107513000, -0.038235200, -0.191648200", \ - "-0.005458300, -0.000763700, 0.0078160000, 0.0168766000, 0.0115211000, -0.037597100, -0.190916000"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013067210, 0.0034150410, 0.0089250130, 0.0233250100, 0.0609585600, 0.1593117000"); - values("-0.007107400, -0.004352400, 0.0019538000, 0.0152533000, 0.0425309000, 0.1054743000, 0.2646694000", \ - "-0.007047800, -0.004296300, 0.0020038000, 0.0153047000, 0.0426054000, 0.1060403000, 0.2656920000", \ - "-0.006924300, -0.004197300, 0.0020524000, 0.0152758000, 0.0424988000, 0.1058736000, 0.2657790000", \ - "-0.006950700, -0.004249200, 0.0019507000, 0.0150899000, 0.0422401000, 0.1052778000, 0.2647881000", \ - "-0.006983900, -0.004300400, 0.0018554000, 0.0149418000, 0.0420425000, 0.1046866000, 0.2650112000", \ - "-0.007025700, -0.004343000, 0.0018166000, 0.0149093000, 0.0420030000, 0.1052090000, 0.2649603000", \ - "-0.007059600, -0.004291100, 0.0020589000, 0.0153360000, 0.0424681000, 0.1056165000, 0.2649230000"); - } - } - max_capacitance : 0.1593120000; - max_transition : 1.5087580000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.2798396000, 0.2856082000, 0.2977365000, 0.3226481000, 0.3767740000, 0.5066239000, 0.8433231000", \ - "0.2846124000, 0.2903765000, 0.3025050000, 0.3274051000, 0.3815377000, 0.5114051000, 0.8482074000", \ - "0.2956692000, 0.3014443000, 0.3135605000, 0.3384570000, 0.3925897000, 0.5224507000, 0.8592809000", \ - "0.3214107000, 0.3271773000, 0.3392986000, 0.3641941000, 0.4183313000, 0.5481866000, 0.8847360000", \ - "0.3689191000, 0.3746754000, 0.3868022000, 0.4117091000, 0.4658351000, 0.5957057000, 0.9325517000", \ - "0.4354520000, 0.4412171000, 0.4533799000, 0.4782414000, 0.5323998000, 0.6622574000, 0.9990168000", \ - "0.5181741000, 0.5239165000, 0.5360766000, 0.5609656000, 0.6151140000, 0.7449831000, 1.0818216000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.2844727000, 0.2914945000, 0.3072986000, 0.3443825000, 0.4393075000, 0.6845975000, 1.3273193000", \ - "0.2892453000, 0.2961769000, 0.3119477000, 0.3490520000, 0.4439858000, 0.6894634000, 1.3304308000", \ - "0.3002846000, 0.3072578000, 0.3230561000, 0.3601363000, 0.4550585000, 0.7004023000, 1.3417635000", \ - "0.3258682000, 0.3328921000, 0.3486742000, 0.3857638000, 0.4806769000, 0.7256961000, 1.3720018000", \ - "0.3749518000, 0.3819885000, 0.3977810000, 0.4348615000, 0.5297821000, 0.7748185000, 1.4152201000", \ - "0.4452202000, 0.4522374000, 0.4680355000, 0.5051192000, 0.6000453000, 0.8454656000, 1.4877103000", \ - "0.5364196000, 0.5434671000, 0.5592838000, 0.5964017000, 0.6913621000, 0.9366770000, 1.5772638000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0205074000, 0.0247200000, 0.0350510000, 0.0590069000, 0.1203987000, 0.2854998000, 0.7316607000", \ - "0.0204732000, 0.0247127000, 0.0350495000, 0.0591143000, 0.1200062000, 0.2854271000, 0.7360961000", \ - "0.0204822000, 0.0247365000, 0.0350460000, 0.0591795000, 0.1201251000, 0.2861363000, 0.7363665000", \ - "0.0204807000, 0.0247990000, 0.0350108000, 0.0591780000, 0.1202671000, 0.2853008000, 0.7323232000", \ - "0.0204713000, 0.0247448000, 0.0350902000, 0.0591300000, 0.1202476000, 0.2855107000, 0.7336694000", \ - "0.0204666000, 0.0248942000, 0.0348956000, 0.0591922000, 0.1202692000, 0.2855395000, 0.7334080000", \ - "0.0206128000, 0.0249535000, 0.0349399000, 0.0592025000, 0.1204560000, 0.2853285000, 0.7289291000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013067200, 0.0034150400, 0.0089250100, 0.0233250000, 0.0609586000, 0.1593120000"); - values("0.0260470000, 0.0326762000, 0.0502357000, 0.1000388000, 0.2328970000, 0.5832402000, 1.5041335000", \ - "0.0260823000, 0.0326263000, 0.0503165000, 0.1000553000, 0.2332747000, 0.5832358000, 1.5085558000", \ - "0.0260871000, 0.0326748000, 0.0502424000, 0.1000555000, 0.2331678000, 0.5818315000, 1.5072916000", \ - "0.0259911000, 0.0327318000, 0.0502376000, 0.0999893000, 0.2331290000, 0.5835486000, 1.5029793000", \ - "0.0260628000, 0.0326679000, 0.0502734000, 0.1000656000, 0.2338545000, 0.5836361000, 1.5087576000", \ - "0.0260692000, 0.0327036000, 0.0502439000, 0.1000463000, 0.2329459000, 0.5837543000, 1.5065502000", \ - "0.0261431000, 0.0328472000, 0.0502923000, 0.1000207000, 0.2329631000, 0.5819754000, 1.5049556000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013248540, 0.0035104760, 0.0093017370, 0.0246468900, 0.0653070500, 0.1730446000"); - values("-0.005772300, -0.001346800, 0.0068348000, 0.0152590000, 0.0082718000, -0.045726000, -0.214405800", \ - "-0.005715200, -0.001292100, 0.0068738000, 0.0153037000, 0.0083096000, -0.045696400, -0.214376900", \ - "-0.005589600, -0.001190800, 0.0069313000, 0.0152724000, 0.0081834000, -0.045865300, -0.214600000", \ - "-0.005616100, -0.001242100, 0.0068341000, 0.0150966000, 0.0079175000, -0.046189600, -0.214947800", \ - "-0.005649200, -0.001293600, 0.0067273000, 0.0149376000, 0.0077059000, -0.046438900, -0.215120700", \ - "-0.005690800, -0.001336700, 0.0066966000, 0.0149102000, 0.0076676000, -0.046496300, -0.215259100", \ - "-0.005732800, -0.001293900, 0.0068888000, 0.0153405000, 0.0082686000, -0.045890400, -0.214602900"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013248540, 0.0035104760, 0.0093017370, 0.0246468900, 0.0653070500, 0.1730446000"); - values("-0.007079800, -0.004215000, 0.0024679000, 0.0166675000, 0.0461833000, 0.1143556000, 0.2882313000", \ - "-0.007028700, -0.004174200, 0.0024824000, 0.0165826000, 0.0460013000, 0.1143137000, 0.2882711000", \ - "-0.006898200, -0.004059300, 0.0025614000, 0.0166068000, 0.0461252000, 0.1147825000, 0.2882369000", \ - "-0.006919200, -0.004101000, 0.0024805000, 0.0164822000, 0.0457470000, 0.1141358000, 0.2892434000", \ - "-0.006948900, -0.004144700, 0.0024028000, 0.0163423000, 0.0457155000, 0.1140400000, 0.2891617000", \ - "-0.006980400, -0.004164000, 0.0024165000, 0.0163945000, 0.0456804000, 0.1138058000, 0.2879806000", \ - "-0.007016600, -0.004108100, 0.0026274000, 0.0168827000, 0.0462008000, 0.1147843000, 0.2880937000"); - } - } - max_capacitance : 0.1730450000; - max_transition : 1.5041520000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.3338015000, 0.3388659000, 0.3496394000, 0.3721543000, 0.4237110000, 0.5560196000, 0.9073557000", \ - "0.3384881000, 0.3435765000, 0.3543497000, 0.3768587000, 0.4283349000, 0.5607912000, 0.9119621000", \ - "0.3495544000, 0.3546148000, 0.3653942000, 0.3879529000, 0.4394647000, 0.5717727000, 0.9228638000", \ - "0.3752133000, 0.3802859000, 0.3910414000, 0.4136072000, 0.4650889000, 0.5974290000, 0.9482906000", \ - "0.4242096000, 0.4292700000, 0.4400460000, 0.4625584000, 0.5141186000, 0.6464084000, 0.9974271000", \ - "0.4945591000, 0.4996247000, 0.5103901000, 0.5329547000, 0.5844361000, 0.7167572000, 1.0679471000", \ - "0.5858135000, 0.5908800000, 0.6016415000, 0.6242109000, 0.6756997000, 0.8080307000, 1.1589834000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.3324246000, 0.3384885000, 0.3529210000, 0.3885150000, 0.4804931000, 0.7243335000, 1.3699809000", \ - "0.3371726000, 0.3433361000, 0.3577225000, 0.3931835000, 0.4856850000, 0.7292075000, 1.3748778000", \ - "0.3482755000, 0.3544031000, 0.3687751000, 0.4041985000, 0.4965321000, 0.7403959000, 1.3867705000", \ - "0.3739919000, 0.3801370000, 0.3945094000, 0.4299174000, 0.5223744000, 0.7661192000, 1.4115840000", \ - "0.4215388000, 0.4276736000, 0.4420214000, 0.4774117000, 0.5696921000, 0.8141970000, 1.4593348000", \ - "0.4880713000, 0.4941815000, 0.5085641000, 0.5439229000, 0.6363833000, 0.8810975000, 1.5253671000", \ - "0.5707730000, 0.5769082000, 0.5912530000, 0.6266330000, 0.7189544000, 0.9629889000, 1.6101159000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0159214000, 0.0200880000, 0.0293783000, 0.0524392000, 0.1159533000, 0.2904955000, 0.7636352000", \ - "0.0161647000, 0.0198957000, 0.0294138000, 0.0525952000, 0.1153259000, 0.2906346000, 0.7578835000", \ - "0.0159256000, 0.0198950000, 0.0293507000, 0.0524314000, 0.1159885000, 0.2900186000, 0.7624429000", \ - "0.0159380000, 0.0201532000, 0.0294233000, 0.0525036000, 0.1158623000, 0.2900870000, 0.7606095000", \ - "0.0159262000, 0.0200875000, 0.0293694000, 0.0524109000, 0.1159667000, 0.2896240000, 0.7658700000", \ - "0.0159331000, 0.0201170000, 0.0294118000, 0.0523001000, 0.1159107000, 0.2899124000, 0.7630178000", \ - "0.0159122000, 0.0201386000, 0.0294259000, 0.0525004000, 0.1158895000, 0.2899715000, 0.7556511000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013248500, 0.0035104800, 0.0093017400, 0.0246469000, 0.0653071000, 0.1730450000"); - values("0.0195495000, 0.0260645000, 0.0435249000, 0.0920846000, 0.2237518000, 0.5732644000, 1.4988748000", \ - "0.0196185000, 0.0260652000, 0.0435786000, 0.0920895000, 0.2231153000, 0.5741886000, 1.5037630000", \ - "0.0195529000, 0.0260176000, 0.0436069000, 0.0921000000, 0.2237825000, 0.5755790000, 1.5031320000", \ - "0.0195007000, 0.0259392000, 0.0435483000, 0.0920099000, 0.2238051000, 0.5742245000, 1.5041516000", \ - "0.0195674000, 0.0260211000, 0.0435377000, 0.0920582000, 0.2235144000, 0.5726480000, 1.5033252000", \ - "0.0195553000, 0.0260269000, 0.0435532000, 0.0920480000, 0.2237276000, 0.5741190000, 1.5006076000", \ - "0.0195661000, 0.0260220000, 0.0435465000, 0.0920235000, 0.2237117000, 0.5727058000, 1.5003769000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("SCD") { - capacitance : 0.0018150000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017250000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0033568000, 0.0033502000, 0.0033352000, 0.0033429000, 0.0033609000, 0.0034025000, 0.0034982000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003221900, -0.003221000, -0.003219100, -0.003228000, -0.003248200, -0.003294800, -0.003402200"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019040000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2583477000, 0.4347311000, 0.7220033000", \ - "0.1405579000, 0.3169414000, 0.6042136000", \ - "0.0547018000, 0.2310853000, 0.5195781000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1362773000, 0.2687155000, 0.4082826000", \ - "0.0636536000, 0.1924297000, 0.3222311000", \ - "0.0388327000, 0.1663880000, 0.2925274000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.156691400, -0.329412800, -0.572739600", \ - "-0.065757200, -0.240919900, -0.504998700", \ - "0.0030091000, -0.172153600, -0.444777300"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.094435500, -0.218328800, -0.331040400", \ - "-0.040122400, -0.164015600, -0.281610000", \ - "-0.021404900, -0.146518900, -0.265334000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0033610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0031670000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0125776000, 0.0124318000, 0.0120958000, 0.0121673000, 0.0123322000, 0.0127125000, 0.0135889000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0001810000, 9.6771024e-05, -9.7252345e-05, -6.1919626e-05, 1.954548e-05, 0.0002073000, 0.0006403000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035550000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2217266000, 0.4029929000, 0.6939271000", \ - "0.1027161000, 0.2839824000, 0.5761373000", \ - "0.0144186000, 0.1969056000, 0.4866191000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2595684000, 0.3480612000, 0.3899720000", \ - "0.1417786000, 0.2314922000, 0.2721823000", \ - "0.0571432000, 0.1468568000, 0.1875469000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.137160200, -0.315985000, -0.573960300", \ - "-0.034018900, -0.214064500, -0.489129600", \ - "0.0445130000, -0.135532600, -0.415480500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.082228500, -0.211004600, -0.302964200", \ - "-0.026694700, -0.150587900, -0.243768200", \ - "-0.010418600, -0.133091100, -0.223830100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - signal_type : "test_scan_out_inverted"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfxbp_2") { - leakage_power () { - value : 0.0148082000; - when : "CLK&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0140573000; - when : "CLK&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0136949000; - when : "!CLK&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0153134000; - when : "CLK&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0152452000; - when : "!CLK&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0151515000; - when : "CLK&!D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0149018000; - when : "!CLK&D&SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0124466000; - when : "!CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0149901000; - when : "!CLK&!D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0147445000; - when : "!CLK&!D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0142575000; - when : "!CLK&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0119906000; - when : "!CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0135409000; - when : "!CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0153643000; - when : "!CLK&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0131975000; - when : "!CLK&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0126948000; - when : "CLK&D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0119646000; - when : "CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0117191000; - when : "CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0111382000; - when : "!CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0145808000; - when : "CLK&!D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0156876000; - when : "CLK&D&!SCD&SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0136013000; - when : "CLK&D&SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0141510000; - when : "!CLK&D&!SCD&!SCE&Q&!Q_N"; - } - leakage_power () { - value : 0.0123389000; - when : "CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0112321000; - when : "CLK&!D&!SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0137891000; - when : "CLK&!D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0118707000; - when : "!CLK&!D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0134457000; - when : "CLK&D&SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0122449000; - when : "!CLK&D&!SCD&SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0122388000; - when : "CLK&D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0116251000; - when : "!CLK&!D&SCD&!SCE&!Q&Q_N"; - } - leakage_power () { - value : 0.0150678000; - when : "CLK&!D&SCD&!SCE&Q&!Q_N"; - } - area : 32.531200000; - cell_footprint : "sky130_fd_sc_hd__sdfxbp"; - cell_leakage_power : 0.0135342200; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017850000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0017000000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0225664000, 0.0224470000, 0.0221715000, 0.0222539000, 0.0224441000, 0.0228824000, 0.0238928000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0179689000, 0.0178464000, 0.0175640000, 0.0175808000, 0.0176198000, 0.0177098000, 0.0179173000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018700000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2411878000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1829668000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016930000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0079881000, 0.0079143000, 0.0077443000, 0.0077908000, 0.0078984000, 0.0081463000, 0.0087178000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0013745000, 0.0013225000, 0.0012028000, 0.0012281000, 0.0012864000, 0.0014209000, 0.0017310000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017740000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2021953000, 0.4029929000, 0.7244447000", \ - "0.0831849000, 0.2839824000, 0.6054342000", \ - "-0.002671200, 0.1969056000, 0.5146953000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1057598000, 0.2345358000, 0.3387025000", \ - "0.0319154000, 0.1509258000, 0.2392233000", \ - "0.0058737000, 0.1212220000, 0.2021953000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.112746100, -0.308660800, -0.582505200", \ - "-0.012046200, -0.210402300, -0.507440100", \ - "0.0628236000, -0.135532600, -0.438673800"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.067580100, -0.187811200, -0.260239600", \ - "-0.009604800, -0.126173800, -0.202264300", \ - "0.0078919000, -0.105015000, -0.181105500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014441070, 0.0041708870, 0.0120464100, 0.0347926000, 0.1004885000, 0.2902321000"); - values("-0.005793100, -0.000561400, 0.0101397000, 0.0219275000, 0.0096593000, -0.081265400, -0.381803100", \ - "-0.005740700, -0.000522500, 0.0101539000, 0.0219225000, 0.0095995000, -0.081384700, -0.381944200", \ - "-0.005605700, -0.000411500, 0.0102485000, 0.0219722000, 0.0094949000, -0.081476500, -0.382047900", \ - "-0.005629200, -0.000445400, 0.0101470000, 0.0217312000, 0.0093077000, -0.081765700, -0.382354400", \ - "-0.005654300, -0.000488000, 0.0100925000, 0.0216933000, 0.0092428000, -0.081856300, -0.382442700", \ - "-0.005699200, -0.000530300, 0.0100502000, 0.0216615000, 0.0091595000, -0.081931900, -0.382472500", \ - "-0.005751300, -0.000494800, 0.0102926000, 0.0221923000, 0.0100934000, -0.081172400, -0.381736100"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014441070, 0.0041708870, 0.0120464100, 0.0347926000, 0.1004885000, 0.2902321000"); - values("-0.007403600, -0.004578200, 0.0027419000, 0.0203465000, 0.0617761000, 0.1702305000, 0.4769839000", \ - "-0.007345200, -0.004523200, 0.0027893000, 0.0203864000, 0.0619127000, 0.1692721000, 0.4777358000", \ - "-0.007215900, -0.004412100, 0.0028550000, 0.0203766000, 0.0617393000, 0.1691571000, 0.4765576000", \ - "-0.007238500, -0.004457800, 0.0027599000, 0.0201941000, 0.0614399000, 0.1688623000, 0.4745012000", \ - "-0.007268000, -0.004506600, 0.0026653000, 0.0200215000, 0.0612181000, 0.1688803000, 0.4770203000", \ - "-0.007314800, -0.004552300, 0.0026146000, 0.0199826000, 0.0611371000, 0.1687759000, 0.4745689000", \ - "-0.007357400, -0.004501800, 0.0029135000, 0.0205446000, 0.0617807000, 0.1698186000, 0.4751110000"); - } - } - max_capacitance : 0.2902320000; - max_transition : 1.5059460000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014441100, 0.0041708900, 0.0120464000, 0.0347926000, 0.1004880000, 0.2902320000"); - values("0.2937666000, 0.2987933000, 0.3100447000, 0.3331165000, 0.3818876000, 0.5001363000, 0.8290576000", \ - "0.2984669000, 0.3035411000, 0.3147808000, 0.3378185000, 0.3866311000, 0.5048912000, 0.8340645000", \ - "0.3095042000, 0.3145645000, 0.3258179000, 0.3488722000, 0.3976565000, 0.5159265000, 0.8452668000", \ - "0.3353154000, 0.3403430000, 0.3515869000, 0.3746652000, 0.4234399000, 0.5416960000, 0.8708527000", \ - "0.3828021000, 0.3878580000, 0.3991347000, 0.4221628000, 0.4709809000, 0.5892437000, 0.9182063000", \ - "0.4494770000, 0.4545520000, 0.4657786000, 0.4887983000, 0.5376242000, 0.6558872000, 0.9852997000", \ - "0.5321022000, 0.5371604000, 0.5484264000, 0.5714451000, 0.6202570000, 0.7385347000, 1.0675589000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014441100, 0.0041708900, 0.0120464000, 0.0347926000, 0.1004880000, 0.2902320000"); - values("0.2925860000, 0.2983708000, 0.3119496000, 0.3435714000, 0.4271743000, 0.6622871000, 1.3395116000", \ - "0.2973507000, 0.3031194000, 0.3166411000, 0.3483153000, 0.4318693000, 0.6669311000, 1.3466962000", \ - "0.3083807000, 0.3141738000, 0.3277881000, 0.3594607000, 0.4429958000, 0.6781480000, 1.3560115000", \ - "0.3340553000, 0.3398214000, 0.3534309000, 0.3850948000, 0.4686545000, 0.7038432000, 1.3813103000", \ - "0.3831150000, 0.3889067000, 0.4024485000, 0.4340860000, 0.5176570000, 0.7529559000, 1.4329307000", \ - "0.4535192000, 0.4592378000, 0.4728355000, 0.5045465000, 0.5880926000, 0.8233652000, 1.5028443000", \ - "0.5447159000, 0.5505399000, 0.5640889000, 0.5957662000, 0.6794020000, 0.9147127000, 1.5914622000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014441100, 0.0041708900, 0.0120464000, 0.0347926000, 0.1004880000, 0.2902320000"); - values("0.0201538000, 0.0234348000, 0.0311292000, 0.0501916000, 0.0993816000, 0.2429929000, 0.6770212000", \ - "0.0201109000, 0.0233392000, 0.0312309000, 0.0502592000, 0.0993820000, 0.2431805000, 0.6801256000", \ - "0.0200109000, 0.0232879000, 0.0310782000, 0.0502553000, 0.0993303000, 0.2431662000, 0.6784588000", \ - "0.0201515000, 0.0234330000, 0.0310740000, 0.0501965000, 0.0994445000, 0.2437321000, 0.6762719000", \ - "0.0201033000, 0.0233604000, 0.0310589000, 0.0502626000, 0.0993830000, 0.2429263000, 0.6796922000", \ - "0.0201605000, 0.0233296000, 0.0312790000, 0.0502022000, 0.0995854000, 0.2431704000, 0.6832960000", \ - "0.0201457000, 0.0233112000, 0.0312855000, 0.0500717000, 0.0997027000, 0.2431911000, 0.6763682000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014441100, 0.0041708900, 0.0120464000, 0.0347926000, 0.1004880000, 0.2902320000"); - values("0.0233294000, 0.0279782000, 0.0406879000, 0.0781943000, 0.1926886000, 0.5265478000, 1.5049484000", \ - "0.0233135000, 0.0280315000, 0.0407026000, 0.0781237000, 0.1929459000, 0.5270530000, 1.5011724000", \ - "0.0233317000, 0.0279791000, 0.0407469000, 0.0780183000, 0.1928877000, 0.5266258000, 1.4961317000", \ - "0.0231860000, 0.0277915000, 0.0406847000, 0.0780252000, 0.1927746000, 0.5266189000, 1.4958305000", \ - "0.0232635000, 0.0279623000, 0.0406942000, 0.0781597000, 0.1924202000, 0.5255146000, 1.5024940000", \ - "0.0232010000, 0.0278700000, 0.0406617000, 0.0781164000, 0.1925026000, 0.5251963000, 1.5059460000", \ - "0.0233529000, 0.0280210000, 0.0407638000, 0.0782322000, 0.1925047000, 0.5266034000, 1.5009048000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014653290, 0.0042943790, 0.0125853600, 0.0368833800, 0.1080926000, 0.3167824000"); - values("-0.006021500, -0.001045100, 0.0092818000, 0.0203851000, 0.0059558000, -0.094101800, -0.425464000", \ - "-0.005960500, -0.000988800, 0.0093225000, 0.0204255000, 0.0059966000, -0.094093200, -0.425460600", \ - "-0.005832500, -0.000882500, 0.0093882000, 0.0203995000, 0.0059013000, -0.094197700, -0.425670700", \ - "-0.005854800, -0.000927000, 0.0092879000, 0.0202189000, 0.0056448000, -0.094530800, -0.425950900", \ - "-0.005884400, -0.000971500, 0.0091988000, 0.0200595000, 0.0054271000, -0.094789100, -0.426220800", \ - "-0.005930500, -0.001021300, 0.0091475000, 0.0200120000, 0.0053697000, -0.094860600, -0.426286400", \ - "-0.005983000, -0.000990200, 0.0093796000, 0.0206093000, 0.0059968000, -0.094301000, -0.425670200"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014653290, 0.0042943790, 0.0125853600, 0.0368833800, 0.1080926000, 0.3167824000"); - values("-0.007404300, -0.004486400, 0.0032715000, 0.0219068000, 0.0663003000, 0.1839168000, 0.5204127000", \ - "-0.007352000, -0.004451700, 0.0032712000, 0.0219200000, 0.0663952000, 0.1842101000, 0.5214527000", \ - "-0.007217900, -0.004329600, 0.0033541000, 0.0219336000, 0.0661512000, 0.1840091000, 0.5191244000", \ - "-0.007237400, -0.004367000, 0.0032617000, 0.0218332000, 0.0658913000, 0.1830638000, 0.5210547000", \ - "-0.007266300, -0.004415700, 0.0031950000, 0.0216689000, 0.0658982000, 0.1825144000, 0.5184298000", \ - "-0.007306800, -0.004446900, 0.0031813000, 0.0216758000, 0.0658047000, 0.1828134000, 0.5189073000", \ - "-0.007331300, -0.004349300, 0.0034798000, 0.0223068000, 0.0666652000, 0.1840370000, 0.5187327000"); - } - } - max_capacitance : 0.3167820000; - max_transition : 1.5010030000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014653300, 0.0042943800, 0.0125854000, 0.0368834000, 0.1080930000, 0.3167820000"); - values("0.3733139000, 0.3779152000, 0.3885373000, 0.4100947000, 0.4560503000, 0.5720424000, 0.9053093000", \ - "0.3780120000, 0.3826177000, 0.3932363000, 0.4148022000, 0.4607502000, 0.5767442000, 0.9106488000", \ - "0.3891543000, 0.3938577000, 0.4043365000, 0.4258819000, 0.4719251000, 0.5879545000, 0.9215116000", \ - "0.4148038000, 0.4195118000, 0.4299882000, 0.4515330000, 0.4974942000, 0.6136575000, 0.9475229000", \ - "0.4638932000, 0.4686176000, 0.4790831000, 0.5006351000, 0.5465890000, 0.6627359000, 0.9966327000", \ - "0.5342325000, 0.5389508000, 0.5493451000, 0.5708609000, 0.6169091000, 0.7329298000, 1.0664870000", \ - "0.6255110000, 0.6301172000, 0.6407379000, 0.6623020000, 0.7082540000, 0.8242750000, 1.1574112000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014653300, 0.0042943800, 0.0125854000, 0.0368834000, 0.1080930000, 0.3167820000"); - values("0.3767049000, 0.3820466000, 0.3945481000, 0.4240105000, 0.5039939000, 0.7350623000, 1.4146289000", \ - "0.3814158000, 0.3867396000, 0.3992684000, 0.4290257000, 0.5087448000, 0.7399678000, 1.4164092000", \ - "0.3925405000, 0.3978580000, 0.4103974000, 0.4400402000, 0.5200061000, 0.7516060000, 1.4296916000", \ - "0.4182080000, 0.4235495000, 0.4360116000, 0.4657228000, 0.5456991000, 0.7775647000, 1.4548648000", \ - "0.4656477000, 0.4709502000, 0.4834844000, 0.5132382000, 0.5931887000, 0.8245615000, 1.5026715000", \ - "0.5328124000, 0.5380913000, 0.5505479000, 0.5802543000, 0.6602555000, 0.8916413000, 1.5685613000", \ - "0.6151755000, 0.6204561000, 0.6329887000, 0.6627414000, 0.7426976000, 0.9735813000, 1.6526335000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014653300, 0.0042943800, 0.0125854000, 0.0368834000, 0.1080930000, 0.3167820000"); - values("0.0185842000, 0.0215996000, 0.0288200000, 0.0469625000, 0.0948347000, 0.2424507000, 0.6876521000", \ - "0.0185634000, 0.0216065000, 0.0288629000, 0.0469721000, 0.0949004000, 0.2422803000, 0.6929557000", \ - "0.0186411000, 0.0215801000, 0.0287610000, 0.0468844000, 0.0950985000, 0.2424287000, 0.6943889000", \ - "0.0187504000, 0.0216226000, 0.0291917000, 0.0469169000, 0.0951722000, 0.2431127000, 0.6956530000", \ - "0.0187196000, 0.0216356000, 0.0291594000, 0.0468776000, 0.0951364000, 0.2429984000, 0.6896156000", \ - "0.0184851000, 0.0215665000, 0.0288472000, 0.0469464000, 0.0952126000, 0.2426097000, 0.6956474000", \ - "0.0185694000, 0.0216057000, 0.0288463000, 0.0469712000, 0.0948783000, 0.2426231000, 0.6877983000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014653300, 0.0042943800, 0.0125854000, 0.0368834000, 0.1080930000, 0.3167820000"); - values("0.0198830000, 0.0241120000, 0.0361911000, 0.0722031000, 0.1840817000, 0.5185603000, 1.4949435000", \ - "0.0197996000, 0.0242271000, 0.0363043000, 0.0722911000, 0.1838305000, 0.5179769000, 1.5001577000", \ - "0.0198810000, 0.0241551000, 0.0361138000, 0.0722080000, 0.1839670000, 0.5180887000, 1.5004826000", \ - "0.0199453000, 0.0241527000, 0.0362353000, 0.0722741000, 0.1841514000, 0.5178020000, 1.5008843000", \ - "0.0199182000, 0.0242267000, 0.0362666000, 0.0721679000, 0.1837635000, 0.5178433000, 1.4973500000", \ - "0.0197416000, 0.0241101000, 0.0361753000, 0.0722406000, 0.1836160000, 0.5189783000, 1.5010029000", \ - "0.0198766000, 0.0242991000, 0.0363115000, 0.0721539000, 0.1835873000, 0.5164310000, 1.4983625000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("SCD") { - capacitance : 0.0018240000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017340000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0033707000, 0.0033655000, 0.0033534000, 0.0033611000, 0.0033791000, 0.0034206000, 0.0035164000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003235500, -0.003234100, -0.003230600, -0.003242100, -0.003268200, -0.003328300, -0.003467000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019140000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2607891000, 0.4371725000, 0.7232240000", \ - "0.1417786000, 0.3193828000, 0.6066549000", \ - "0.0559225000, 0.2323060000, 0.5207988000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1387187000, 0.2711569000, 0.4107240000", \ - "0.0636536000, 0.1936504000, 0.3210104000", \ - "0.0388327000, 0.1663880000, 0.2913066000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.151808600, -0.323309300, -0.565415400", \ - "-0.063315800, -0.237257800, -0.501336600", \ - "0.0042298000, -0.169712200, -0.442335900"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.094435500, -0.217108100, -0.328599000", \ - "-0.038901700, -0.162794900, -0.279168600", \ - "-0.021404900, -0.145298200, -0.262892600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0033670000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0031720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0126497000, 0.0125550000, 0.0123368000, 0.0124019000, 0.0125523000, 0.0128989000, 0.0136978000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0002675000, 0.0001812000, -1.7804701e-05, 1.6899164e-05, 9.6914403e-05, 0.0002814000, 0.0007066000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035610000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2241680000, 0.4054343000, 0.6963685000", \ - "0.1039368000, 0.2864238000, 0.5773581000", \ - "0.0156393000, 0.1981263000, 0.4890606000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2620098000, 0.3505026000, 0.3924134000", \ - "0.1429994000, 0.2327129000, 0.2746237000", \ - "0.0583639000, 0.1480775000, 0.1887676000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.134718700, -0.311102200, -0.569077500", \ - "-0.030356800, -0.210402300, -0.484246800", \ - "0.0481751000, -0.134311900, -0.413039100"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.081007800, -0.209783900, -0.301743500", \ - "-0.026694700, -0.149367200, -0.242547500", \ - "-0.010418600, -0.131870400, -0.223830100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - signal_type : "test_scan_out_inverted"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfxtp_1") { - leakage_power () { - value : 0.0098196000; - when : "!CLK&!D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0095672000; - when : "!CLK&!D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0090810000; - when : "!CLK&!D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0093194000; - when : "!CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0109176000; - when : "!CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0101918000; - when : "!CLK&D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0105735000; - when : "!CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0099323000; - when : "CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0092868000; - when : "CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0090344000; - when : "CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0084540000; - when : "!CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0093118000; - when : "CLK&!D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0104226000; - when : "CLK&D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0084376000; - when : "CLK&D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0089900000; - when : "!CLK&D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0096590000; - when : "CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0085482000; - when : "CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0110736000; - when : "CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0091927000; - when : "!CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0107295000; - when : "CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0095648000; - when : "!CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0094754000; - when : "CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0089402000; - when : "!CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0097981000; - when : "CLK&!D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0096917000; - when : "CLK&D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0088945000; - when : "CLK&D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0085331000; - when : "!CLK&D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0100505000; - when : "CLK&!D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0101312000; - when : "!CLK&!D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0100358000; - when : "CLK&!D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0097871000; - when : "!CLK&D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0097763000; - when : "!CLK&D&!SCD&!SCE&!Q"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__sdfxtp"; - cell_leakage_power : 0.0096006670; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017760000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016930000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0225624000, 0.0224461000, 0.0221780000, 0.0222719000, 0.0224884000, 0.0229872000, 0.0241373000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0179364000, 0.0178135000, 0.0175302000, 0.0175663000, 0.0176494000, 0.0178408000, 0.0182822000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018580000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2400893000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1675876000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016160000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0081497000, 0.0080387000, 0.0077827000, 0.0078300000, 0.0079390000, 0.0081903000, 0.0087697000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0014510000, 0.0014026000, 0.0012909000, 0.0013192000, 0.0013846000, 0.0015350000, 0.0018821000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017760000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2021953000, 0.4029929000, 0.7244447000", \ - "0.0844056000, 0.2852031000, 0.6054342000", \ - "-0.002671200, 0.1981263000, 0.5159160000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0984355000, 0.2259909000, 0.3264954000", \ - "0.0294740000, 0.1484844000, 0.2343405000", \ - "0.0058737000, 0.1200013000, 0.2021953000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.123732400, -0.322088500, -0.606919200", \ - "-0.021811800, -0.218947300, -0.520867900", \ - "0.0554994000, -0.142856800, -0.447218700"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.068800800, -0.189031900, -0.261460300", \ - "-0.010825500, -0.126173800, -0.204705700", \ - "0.0078919000, -0.105015000, -0.182326200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621240600, 0.1629738000"); - values("0.0190738000, 0.0180871000, 0.0153116000, 0.0067702000, -0.016794500, -0.079020800, -0.242402300", \ - "0.0191380000, 0.0181544000, 0.0153587000, 0.0068344000, -0.016717400, -0.078970500, -0.242321400", \ - "0.0192046000, 0.0182246000, 0.0154252000, 0.0069018000, -0.016664100, -0.078908300, -0.242255700", \ - "0.0187478000, 0.0177727000, 0.0149535000, 0.0064329000, -0.017131300, -0.079375400, -0.242736500", \ - "0.0184468000, 0.0174846000, 0.0146700000, 0.0061557000, -0.017407300, -0.079656300, -0.243008100", \ - "0.0193274000, 0.0180682000, 0.0146658000, 0.0060427000, -0.017502900, -0.079746600, -0.243107700", \ - "0.0202709000, 0.0190222000, 0.0157113000, 0.0067584000, -0.016952200, -0.079311700, -0.242695700"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621240600, 0.1629738000"); - values("0.0187750000, 0.0204156000, 0.0243722000, 0.0337381000, 0.0571447000, 0.1190410000, 0.2814942000", \ - "0.0188715000, 0.0205039000, 0.0244768000, 0.0338346000, 0.0572700000, 0.1193277000, 0.2811437000", \ - "0.0188314000, 0.0204661000, 0.0244367000, 0.0337980000, 0.0571944000, 0.1191129000, 0.2818411000", \ - "0.0184417000, 0.0200476000, 0.0240311000, 0.0333788000, 0.0568481000, 0.1181305000, 0.2802334000", \ - "0.0180837000, 0.0197254000, 0.0236975000, 0.0330627000, 0.0564983000, 0.1185000000, 0.2808573000", \ - "0.0179647000, 0.0195449000, 0.0234810000, 0.0328816000, 0.0563375000, 0.1182036000, 0.2808859000", \ - "0.0196472000, 0.0209788000, 0.0244942000, 0.0335209000, 0.0567196000, 0.1183452000, 0.2808703000"); - } - } - max_capacitance : 0.1629740000; - max_transition : 1.5068800000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.2654880000, 0.2706495000, 0.2816042000, 0.3043740000, 0.3553866000, 0.4839117000, 0.8209675000", \ - "0.2702937000, 0.2754509000, 0.2863980000, 0.3091702000, 0.3602326000, 0.4888407000, 0.8266433000", \ - "0.2813525000, 0.2865305000, 0.2974761000, 0.3202481000, 0.3712972000, 0.4998316000, 0.8364033000", \ - "0.3072010000, 0.3123611000, 0.3233195000, 0.3460927000, 0.3971325000, 0.5256475000, 0.8629399000", \ - "0.3547759000, 0.3599327000, 0.3708859000, 0.3936661000, 0.4447162000, 0.5733936000, 0.9104473000", \ - "0.4216545000, 0.4268175000, 0.4377642000, 0.4605318000, 0.5115948000, 0.6400009000, 0.9763570000", \ - "0.5039861000, 0.5091546000, 0.5201151000, 0.5429032000, 0.5939321000, 0.7227359000, 1.0596777000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.2706827000, 0.2773430000, 0.2926541000, 0.3294854000, 0.4238017000, 0.6692137000, 1.3204026000", \ - "0.2753899000, 0.2820979000, 0.2974324000, 0.3342101000, 0.4282626000, 0.6745797000, 1.3197967000", \ - "0.2864773000, 0.2931689000, 0.3085050000, 0.3453018000, 0.4394638000, 0.6855623000, 1.3332269000", \ - "0.3121458000, 0.3187923000, 0.3341376000, 0.3708564000, 0.4652376000, 0.7111807000, 1.3587483000", \ - "0.3612618000, 0.3679389000, 0.3832797000, 0.4200746000, 0.5141771000, 0.7604161000, 1.4064127000", \ - "0.4315302000, 0.4381681000, 0.4535240000, 0.4902584000, 0.5843984000, 0.8306532000, 1.4754751000", \ - "0.5227852000, 0.5294424000, 0.5448208000, 0.5815678000, 0.6758559000, 0.9218912000, 1.5685293000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0174489000, 0.0213835000, 0.0309371000, 0.0537210000, 0.1147749000, 0.2830331000, 0.7305522000", \ - "0.0174224000, 0.0213542000, 0.0309175000, 0.0536873000, 0.1146877000, 0.2824393000, 0.7346806000", \ - "0.0174189000, 0.0213872000, 0.0309025000, 0.0536911000, 0.1149444000, 0.2833565000, 0.7295370000", \ - "0.0175106000, 0.0214948000, 0.0308416000, 0.0538008000, 0.1149338000, 0.2819813000, 0.7344941000", \ - "0.0174000000, 0.0214851000, 0.0308768000, 0.0538274000, 0.1147015000, 0.2846062000, 0.7326844000", \ - "0.0174087000, 0.0214430000, 0.0308895000, 0.0537950000, 0.1143095000, 0.2829899000, 0.7290383000", \ - "0.0174518000, 0.0214874000, 0.0309656000, 0.0538488000, 0.1148811000, 0.2826167000, 0.7243736000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013116800, 0.0034410100, 0.0090270100, 0.0236811000, 0.0621241000, 0.1629740000"); - values("0.0238404000, 0.0303886000, 0.0480351000, 0.0971888000, 0.2296390000, 0.5787751000, 1.5029812000", \ - "0.0238097000, 0.0304055000, 0.0480165000, 0.0969001000, 0.2296613000, 0.5794583000, 1.4975358000", \ - "0.0238581000, 0.0303703000, 0.0480793000, 0.0970362000, 0.2293414000, 0.5800874000, 1.5021661000", \ - "0.0238681000, 0.0303691000, 0.0480509000, 0.0971802000, 0.2291655000, 0.5798465000, 1.5017494000", \ - "0.0238496000, 0.0303473000, 0.0480909000, 0.0969752000, 0.2295930000, 0.5796550000, 1.5067325000", \ - "0.0240086000, 0.0304415000, 0.0480251000, 0.0970018000, 0.2298020000, 0.5791059000, 1.5068801000", \ - "0.0241224000, 0.0305353000, 0.0480716000, 0.0971707000, 0.2291363000, 0.5780567000, 1.5003996000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("SCD") { - capacitance : 0.0018110000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017220000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0033492000, 0.0033437000, 0.0033309000, 0.0033388000, 0.0033570000, 0.0033992000, 0.0034965000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003202900, -0.003206100, -0.003213500, -0.003223100, -0.003245100, -0.003295900, -0.003412800"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018990000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2583477000, 0.4347311000, 0.7195618000", \ - "0.1405579000, 0.3169414000, 0.6029928000", \ - "0.0559225000, 0.2310853000, 0.5171367000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1301738000, 0.2626120000, 0.3997376000", \ - "0.0612122000, 0.1899883000, 0.3173483000", \ - "0.0376120000, 0.1651673000, 0.2900859000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.164015600, -0.336737000, -0.584946600", \ - "-0.071860700, -0.244582000, -0.511102200", \ - "-0.001873700, -0.175815800, -0.447218700"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.094435500, -0.218328800, -0.329819700", \ - "-0.040122400, -0.162794900, -0.280389300", \ - "-0.021404900, -0.145298200, -0.264113300"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0033870000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0031920000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0127233000, 0.0125788000, 0.0122458000, 0.0123177000, 0.0124832000, 0.0128651000, 0.0137452000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0002743000, 0.0001876000, -1.2309258e-05, 2.318147e-05, 0.0001051000, 0.0002936000, 0.0007284000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035830000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2241680000, 0.4054343000, 0.6963685000", \ - "0.1039368000, 0.2864238000, 0.5785788000", \ - "0.0168600000, 0.1981263000, 0.4902812000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2595684000, 0.3505026000, 0.3911927000", \ - "0.1429994000, 0.2327129000, 0.2746237000", \ - "0.0583639000, 0.1492982000, 0.1899883000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.144484400, -0.324529900, -0.588608700", \ - "-0.040122400, -0.220168000, -0.498895200", \ - "0.0384095000, -0.141636100, -0.424025400"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.081007800, -0.209783900, -0.301743500", \ - "-0.026694700, -0.149367200, -0.243768200", \ - "-0.010418600, -0.133091100, -0.223830100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfxtp_2") { - leakage_power () { - value : 0.0091678000; - when : "CLK&D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0084172000; - when : "CLK&D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0080563000; - when : "!CLK&D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0095733000; - when : "CLK&!D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0096059000; - when : "!CLK&!D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0095103000; - when : "CLK&!D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0092635000; - when : "!CLK&D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0100718000; - when : "!CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0093493000; - when : "!CLK&!D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0091024000; - when : "!CLK&!D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0086156000; - when : "!CLK&!D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0096153000; - when : "!CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0111649000; - when : "!CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0097230000; - when : "!CLK&D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0108224000; - when : "!CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0102212000; - when : "CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0095894000; - when : "CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0093426000; - when : "CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0087613000; - when : "!CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0088396000; - when : "CLK&!D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0099470000; - when : "CLK&D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0079607000; - when : "CLK&D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0085128000; - when : "!CLK&D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0099631000; - when : "CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0088558000; - when : "CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0113143000; - when : "CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0094950000; - when : "!CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0109718000; - when : "CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0098687000; - when : "!CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0097646000; - when : "CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0092481000; - when : "!CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0093264000; - when : "CLK&!D&SCD&!SCE&Q"; - } - area : 27.526400000; - cell_footprint : "sky130_fd_sc_hd__sdfxtp"; - cell_leakage_power : 0.0095012970; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017840000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0017090000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0225773000, 0.0224577000, 0.0221821000, 0.0222681000, 0.0224663000, 0.0229231000, 0.0239764000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0179645000, 0.0178421000, 0.0175600000, 0.0175754000, 0.0176111000, 0.0176932000, 0.0178827000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018580000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2422863000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1774742000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016920000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080075000, 0.0079240000, 0.0077316000, 0.0077798000, 0.0078908000, 0.0081465000, 0.0087361000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0014682000, 0.0014198000, 0.0013082000, 0.0013328000, 0.0013894000, 0.0015198000, 0.0018206000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017720000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2046367000, 0.4042135000, 0.7268861000", \ - "0.0856263000, 0.2864238000, 0.6066549000", \ - "-0.001450500, 0.1993470000, 0.5171367000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1082012000, 0.2369772000, 0.3411439000", \ - "0.0331361000, 0.1521465000, 0.2404440000", \ - "0.0058737000, 0.1212220000, 0.2021953000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.111525400, -0.306219400, -0.577622400", \ - "-0.012046200, -0.209181600, -0.506219400", \ - "0.0628236000, -0.135532600, -0.438673800"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.067580100, -0.187811200, -0.260239600", \ - "-0.009604800, -0.126173800, -0.203485000", \ - "0.0078919000, -0.105015000, -0.181105500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014589070, 0.0042568180, 0.0124206000, 0.0362409900, 0.1057445000, 0.3085426000"); - values("0.0228088000, 0.0214429000, 0.0177029000, 0.0060328000, -0.031714900, -0.144097400, -0.472582500", \ - "0.0228544000, 0.0214865000, 0.0177740000, 0.0060957000, -0.031642600, -0.144026500, -0.472510200", \ - "0.0229703000, 0.0215877000, 0.0178557000, 0.0061587000, -0.031572100, -0.143948000, -0.472425700", \ - "0.0224854000, 0.0210972000, 0.0173861000, 0.0056840000, -0.032037300, -0.144419600, -0.472796100", \ - "0.0222636000, 0.0208755000, 0.0171784000, 0.0054561000, -0.032250700, -0.144640300, -0.473130600", \ - "0.0229042000, 0.0214112000, 0.0172278000, 0.0054744000, -0.032243900, -0.144625500, -0.473045800", \ - "0.0254810000, 0.0240527000, 0.0197590000, 0.0069478000, -0.031357200, -0.143886100, -0.472433900"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014589070, 0.0042568180, 0.0124206000, 0.0362409900, 0.1057445000, 0.3085426000"); - values("0.0240642000, 0.0258115000, 0.0308652000, 0.0446583000, 0.0830222000, 0.1937877000, 0.5215641000", \ - "0.0241551000, 0.0258976000, 0.0309296000, 0.0447080000, 0.0830426000, 0.1950940000, 0.5228374000", \ - "0.0241101000, 0.0258495000, 0.0308638000, 0.0446671000, 0.0830107000, 0.1938007000, 0.5193474000", \ - "0.0237281000, 0.0254690000, 0.0305099000, 0.0442928000, 0.0825951000, 0.1935291000, 0.5190680000", \ - "0.0234143000, 0.0251600000, 0.0302128000, 0.0439789000, 0.0823251000, 0.1933910000, 0.5210401000", \ - "0.0233756000, 0.0251188000, 0.0301032000, 0.0439597000, 0.0822076000, 0.1942551000, 0.5188318000", \ - "0.0253565000, 0.0269626000, 0.0315611000, 0.0449465000, 0.0832440000, 0.1946042000, 0.5199658000"); - } - } - max_capacitance : 0.3085430000; - max_transition : 1.5036360000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014589100, 0.0042568200, 0.0124206000, 0.0362410000, 0.1057440000, 0.3085430000"); - values("0.2797912000, 0.2841885000, 0.2940873000, 0.3146856000, 0.3590693000, 0.4721264000, 0.7966507000", \ - "0.2845682000, 0.2889635000, 0.2988398000, 0.3194011000, 0.3638304000, 0.4767166000, 0.8022511000", \ - "0.2956797000, 0.3000337000, 0.3099467000, 0.3304711000, 0.3748908000, 0.4877954000, 0.8122580000", \ - "0.3214815000, 0.3258690000, 0.3357848000, 0.3563428000, 0.4007586000, 0.5136590000, 0.8375693000", \ - "0.3690616000, 0.3734388000, 0.3833632000, 0.4039410000, 0.4483055000, 0.5612655000, 0.8860103000", \ - "0.4359909000, 0.4403825000, 0.4502680000, 0.4707884000, 0.5152438000, 0.6281857000, 0.9520641000", \ - "0.5184325000, 0.5228091000, 0.5326974000, 0.5533102000, 0.5976664000, 0.7106932000, 1.0351187000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014589100, 0.0042568200, 0.0124206000, 0.0362410000, 0.1057440000, 0.3085430000"); - values("0.2808465000, 0.2861328000, 0.2986853000, 0.3287805000, 0.4101699000, 0.6434921000, 1.3253142000", \ - "0.2856108000, 0.2909049000, 0.3034120000, 0.3334434000, 0.4145166000, 0.6487770000, 1.3321173000", \ - "0.2966674000, 0.3019409000, 0.3144590000, 0.3445360000, 0.4255829000, 0.6598277000, 1.3478456000", \ - "0.3222098000, 0.3274790000, 0.3400828000, 0.3701302000, 0.4512238000, 0.6852877000, 1.3723542000", \ - "0.3713042000, 0.3765914000, 0.3891400000, 0.4191480000, 0.5005568000, 0.7342576000, 1.4183633000", \ - "0.4416482000, 0.4469433000, 0.4595594000, 0.4895907000, 0.5706200000, 0.8047277000, 1.4883461000", \ - "0.5329778000, 0.5382514000, 0.5508865000, 0.5809862000, 0.6622697000, 0.8961278000, 1.5777760000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014589100, 0.0042568200, 0.0124206000, 0.0362410000, 0.1057440000, 0.3085430000"); - values("0.0174135000, 0.0202908000, 0.0274061000, 0.0444768000, 0.0910375000, 0.2332656000, 0.6642534000", \ - "0.0175241000, 0.0202429000, 0.0273268000, 0.0444429000, 0.0912898000, 0.2333829000, 0.6612438000", \ - "0.0174735000, 0.0203364000, 0.0271619000, 0.0446618000, 0.0910866000, 0.2336801000, 0.6625345000", \ - "0.0174134000, 0.0203256000, 0.0271936000, 0.0446454000, 0.0911702000, 0.2336615000, 0.6637684000", \ - "0.0174918000, 0.0203288000, 0.0272472000, 0.0445660000, 0.0908998000, 0.2332935000, 0.6695179000", \ - "0.0174965000, 0.0203534000, 0.0271971000, 0.0444441000, 0.0907657000, 0.2337690000, 0.6634959000", \ - "0.0173561000, 0.0203400000, 0.0271642000, 0.0445760000, 0.0911202000, 0.2339729000, 0.6607804000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014589100, 0.0042568200, 0.0124206000, 0.0362410000, 0.1057440000, 0.3085430000"); - values("0.0212324000, 0.0254432000, 0.0374508000, 0.0735005000, 0.1861458000, 0.5190610000, 1.5036362000", \ - "0.0212003000, 0.0254487000, 0.0374480000, 0.0735657000, 0.1860142000, 0.5184225000, 1.4989662000", \ - "0.0211982000, 0.0254892000, 0.0373662000, 0.0736920000, 0.1859444000, 0.5185766000, 1.5000047000", \ - "0.0213114000, 0.0255451000, 0.0375209000, 0.0735859000, 0.1861149000, 0.5198952000, 1.5026701000", \ - "0.0212156000, 0.0254685000, 0.0373779000, 0.0736625000, 0.1860856000, 0.5196507000, 1.4986891000", \ - "0.0213479000, 0.0255301000, 0.0375252000, 0.0735566000, 0.1860693000, 0.5197509000, 1.4968213000", \ - "0.0213571000, 0.0255082000, 0.0375756000, 0.0736999000, 0.1859666000, 0.5199862000, 1.5001785000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("SCD") { - capacitance : 0.0018140000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017250000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0033463000, 0.0033439000, 0.0033385000, 0.0033441000, 0.0033569000, 0.0033864000, 0.0034546000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003217400, -0.003217300, -0.003217100, -0.003227800, -0.003252400, -0.003309300, -0.003440300"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019030000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2620098000, 0.4383932000, 0.7256653000", \ - "0.1429994000, 0.3206035000, 0.6078756000", \ - "0.0583639000, 0.2347474000, 0.5220195000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1399395000, 0.2735983000, 0.4131654000", \ - "0.0648744000, 0.1936504000, 0.3222311000", \ - "0.0388327000, 0.1663880000, 0.2913066000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.149367200, -0.320867800, -0.561753300", \ - "-0.062095000, -0.234816400, -0.498895200", \ - "0.0054505000, -0.168491500, -0.439894500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.094435500, -0.217108100, -0.327378200", \ - "-0.038901700, -0.162794900, -0.279168600", \ - "-0.021404900, -0.145298200, -0.262892600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0033690000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0031740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0126389000, 0.0124924000, 0.0121547000, 0.0122301000, 0.0124039000, 0.0128049000, 0.0137290000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0002638000, 0.0001803000, -1.221061e-05, 2.2663229e-05, 0.0001031000, 0.0002884000, 0.0007156000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035630000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2253887000, 0.4078756000, 0.6975892000", \ - "0.1063783000, 0.2876445000, 0.5797995000", \ - "0.0180807000, 0.1993470000, 0.4915019000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2632305000, 0.3529440000, 0.3936341000", \ - "0.1454408000, 0.2339336000, 0.2758444000", \ - "0.0608053000, 0.1505189000, 0.1912090000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.131056600, -0.309881500, -0.565415400", \ - "-0.030356800, -0.210402300, -0.484246800", \ - "0.0469544000, -0.133091100, -0.413039100"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.081007800, -0.209783900, -0.300522800", \ - "-0.026694700, -0.149367200, -0.242547500", \ - "-0.010418600, -0.131870400, -0.223830100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sdfxtp_4") { - leakage_power () { - value : 0.0098373000; - when : "!CLK&!D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0095917000; - when : "!CLK&!D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0091048000; - when : "!CLK&!D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0101631000; - when : "!CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0117133000; - when : "!CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0102115000; - when : "!CLK&D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0113700000; - when : "!CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0107685000; - when : "CLK&D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0101375000; - when : "CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0098919000; - when : "CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0093105000; - when : "!CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0093288000; - when : "CLK&!D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0104355000; - when : "CLK&D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0084485000; - when : "CLK&D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0090001000; - when : "!CLK&D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0105117000; - when : "CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0094049000; - when : "CLK&!D&!SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0118627000; - when : "CLK&!D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0100430000; - when : "!CLK&!D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0115193000; - when : "CLK&D&SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0104172000; - when : "!CLK&D&!SCD&SCE&!Q"; - } - leakage_power () { - value : 0.0103124000; - when : "CLK&D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0097974000; - when : "!CLK&!D&SCD&!SCE&!Q"; - } - leakage_power () { - value : 0.0098157000; - when : "CLK&!D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0096554000; - when : "CLK&D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0089045000; - when : "CLK&D&!SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0085441000; - when : "!CLK&D&SCD&!SCE&Q"; - } - leakage_power () { - value : 0.0100613000; - when : "CLK&!D&!SCD&SCE&Q"; - } - leakage_power () { - value : 0.0100944000; - when : "!CLK&!D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0099988000; - when : "CLK&!D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0097510000; - when : "!CLK&D&SCD&SCE&Q"; - } - leakage_power () { - value : 0.0106191000; - when : "!CLK&D&!SCD&!SCE&!Q"; - } - area : 30.028800000; - cell_footprint : "sky130_fd_sc_hd__sdfxtp"; - cell_leakage_power : 0.0100195600; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017750000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016930000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0225184000, 0.0224025000, 0.0221353000, 0.0222167000, 0.0224042000, 0.0228363000, 0.0238327000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0179722000, 0.0178492000, 0.0175656000, 0.0175958000, 0.0176654000, 0.0178258000, 0.0181958000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018580000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2422863000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1928533000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0016930000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016120000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0080202000, 0.0079442000, 0.0077691000, 0.0078163000, 0.0079249000, 0.0081756000, 0.0087533000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0014629000, 0.0014147000, 0.0013036000, 0.0013276000, 0.0013828000, 0.0015104000, 0.0018043000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "data"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017740000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2046367000, 0.4042135000, 0.7268861000", \ - "0.0856263000, 0.2864238000, 0.6066549000", \ - "-0.001450500, 0.1993470000, 0.5171367000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1118633000, 0.2406393000, 0.3460267000", \ - "0.0343568000, 0.1545879000, 0.2428854000", \ - "0.0070944000, 0.1224427000, 0.2034160000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.106642600, -0.301336600, -0.566636100", \ - "-0.008384100, -0.206740200, -0.501336600", \ - "0.0652650000, -0.133091100, -0.435011700"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.067580100, -0.187811200, -0.260239600", \ - "-0.009604800, -0.126173800, -0.203485000", \ - "0.0078919000, -0.105015000, -0.181105500"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0327682000, 0.0310993000, 0.0258227000, 0.0096312000, -0.046043400, -0.232668500, -0.833238300", \ - "0.0328026000, 0.0311302000, 0.0258502000, 0.0096916000, -0.045973200, -0.232578600, -0.833178700", \ - "0.0329119000, 0.0312682000, 0.0259835000, 0.0098311000, -0.045886300, -0.232527300, -0.833088700", \ - "0.0324406000, 0.0307925000, 0.0254702000, 0.0093702000, -0.046357200, -0.232999000, -0.833568400", \ - "0.0322101000, 0.0305364000, 0.0251846000, 0.0090830000, -0.046638200, -0.233243100, -0.833823000", \ - "0.0321313000, 0.0304242000, 0.0251184000, 0.0090639000, -0.046688700, -0.233303300, -0.833892600", \ - "0.0367132000, 0.0350941000, 0.0296284000, 0.0120656000, -0.045561500, -0.232760500, -0.833392000"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016011820, 0.0051275690, 0.0164203500, 0.0525839300, 0.1683929000, 0.5392554000"); - values("0.0365310000, 0.0384472000, 0.0444620000, 0.0634191000, 0.1219700000, 0.3086621000, 0.9059697000", \ - "0.0365560000, 0.0385025000, 0.0445453000, 0.0635713000, 0.1221052000, 0.3073061000, 0.9026137000", \ - "0.0365723000, 0.0384771000, 0.0445124000, 0.0634644000, 0.1220410000, 0.3069657000, 0.9030124000", \ - "0.0361121000, 0.0380394000, 0.0440962000, 0.0631150000, 0.1217019000, 0.3068182000, 0.9061115000", \ - "0.0358260000, 0.0377430000, 0.0437478000, 0.0627888000, 0.1213875000, 0.3066331000, 0.9058484000", \ - "0.0355507000, 0.0374482000, 0.0435549000, 0.0625649000, 0.1211034000, 0.3061830000, 0.9019090000", \ - "0.0373354000, 0.0391034000, 0.0449718000, 0.0636096000, 0.1223443000, 0.3078448000, 0.9021359000"); - } - } - max_capacitance : 0.5392550000; - max_transition : 1.5037560000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.3163507000, 0.3199453000, 0.3293514000, 0.3502761000, 0.3949070000, 0.5024739000, 0.8230580000", \ - "0.3211832000, 0.3247456000, 0.3341974000, 0.3552010000, 0.3996728000, 0.5072684000, 0.8277904000", \ - "0.3321864000, 0.3358117000, 0.3452356000, 0.3661648000, 0.4106925000, 0.5183628000, 0.8390706000", \ - "0.3578880000, 0.3614659000, 0.3708759000, 0.3918109000, 0.4363267000, 0.5440397000, 0.8647548000", \ - "0.4057168000, 0.4092975000, 0.4187014000, 0.4396750000, 0.4842109000, 0.5918809000, 0.9125747000", \ - "0.4725928000, 0.4762047000, 0.4856108000, 0.5066312000, 0.5511165000, 0.6587422000, 0.9796630000", \ - "0.5551035000, 0.5587213000, 0.5681441000, 0.5890751000, 0.6336927000, 0.7412940000, 1.0620296000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.3092409000, 0.3132705000, 0.3240180000, 0.3507611000, 0.4229362000, 0.6461169000, 1.3612063000", \ - "0.3138809000, 0.3179326000, 0.3287315000, 0.3554766000, 0.4277539000, 0.6505365000, 1.3651553000", \ - "0.3250487000, 0.3290167000, 0.3398152000, 0.3665758000, 0.4387571000, 0.6619108000, 1.3757839000", \ - "0.3505496000, 0.3546360000, 0.3654202000, 0.3921210000, 0.4643492000, 0.6875344000, 1.4027717000", \ - "0.3997288000, 0.4037523000, 0.4145115000, 0.4412579000, 0.5134894000, 0.7363160000, 1.4486770000", \ - "0.4699955000, 0.4740689000, 0.4848545000, 0.5116406000, 0.5836972000, 0.8068779000, 1.5211742000", \ - "0.5612830000, 0.5653789000, 0.5761729000, 0.6028721000, 0.6751761000, 0.8979130000, 1.6094147000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0251534000, 0.0273668000, 0.0334219000, 0.0478518000, 0.0876614000, 0.2130022000, 0.6438026000", \ - "0.0251338000, 0.0274114000, 0.0333146000, 0.0478677000, 0.0875419000, 0.2135674000, 0.6386447000", \ - "0.0253890000, 0.0274107000, 0.0334362000, 0.0479880000, 0.0881498000, 0.2131530000, 0.6426913000", \ - "0.0251928000, 0.0275064000, 0.0334238000, 0.0481445000, 0.0880971000, 0.2135807000, 0.6433800000", \ - "0.0251577000, 0.0274706000, 0.0332488000, 0.0481051000, 0.0882519000, 0.2133136000, 0.6407971000", \ - "0.0253207000, 0.0275168000, 0.0331671000, 0.0479303000, 0.0878095000, 0.2135672000, 0.6405783000", \ - "0.0252805000, 0.0273689000, 0.0331782000, 0.0479635000, 0.0881357000, 0.2136746000, 0.6373455000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016011800, 0.0051275700, 0.0164203000, 0.0525839000, 0.1683930000, 0.5392550000"); - values("0.0256564000, 0.0288226000, 0.0376848000, 0.0655489000, 0.1605730000, 0.4773022000, 1.4978202000", \ - "0.0259531000, 0.0287897000, 0.0377521000, 0.0655574000, 0.1601579000, 0.4771548000, 1.4980632000", \ - "0.0256916000, 0.0286839000, 0.0376175000, 0.0655032000, 0.1605378000, 0.4773489000, 1.4998447000", \ - "0.0257946000, 0.0287297000, 0.0376101000, 0.0655891000, 0.1606670000, 0.4768005000, 1.5022437000", \ - "0.0256285000, 0.0288452000, 0.0376969000, 0.0656338000, 0.1604235000, 0.4768450000, 1.5037555000", \ - "0.0259243000, 0.0287079000, 0.0377565000, 0.0655633000, 0.1606930000, 0.4774050000, 1.4976016000", \ - "0.0259809000, 0.0288516000, 0.0378692000, 0.0656181000, 0.1606850000, 0.4760022000, 1.5003883000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("SCD") { - capacitance : 0.0018160000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017270000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0033588000, 0.0033527000, 0.0033386000, 0.0033463000, 0.0033640000, 0.0034050000, 0.0034994000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003223900, -0.003222200, -0.003218200, -0.003228900, -0.003253800, -0.003311000, -0.003442800"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_in"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019050000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2620098000, 0.4383932000, 0.7256653000", \ - "0.1429994000, 0.3206035000, 0.6078756000", \ - "0.0583639000, 0.2347474000, 0.5232402000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1448223000, 0.2772604000, 0.4180482000", \ - "0.0660950000, 0.1948711000, 0.3246725000", \ - "0.0388327000, 0.1663880000, 0.2925274000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.144484400, -0.314764300, -0.550766900", \ - "-0.059653600, -0.232375000, -0.495233100", \ - "0.0078919000, -0.166050100, -0.437453100"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.094435500, -0.217108100, -0.327378200", \ - "-0.038901700, -0.162794900, -0.279168600", \ - "-0.021404900, -0.145298200, -0.262892600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0033760000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0031910000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0126551000, 0.0125607000, 0.0123432000, 0.0124102000, 0.0125647000, 0.0129209000, 0.0137420000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0002628000, 0.0001797000, -1.201075e-05, 2.338813e-05, 0.0001050000, 0.0002931000, 0.0007268000"); - } - } - max_transition : 1.5000000000; - nextstate_type : "scan_enable"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035610000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2266094000, 0.4078756000, 0.6975892000", \ - "0.1063783000, 0.2876445000, 0.5797995000", \ - "0.0180807000, 0.2005677000, 0.4915019000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2632305000, 0.3529440000, 0.3936341000", \ - "0.1454408000, 0.2351543000, 0.2758444000", \ - "0.0608053000, 0.1505189000, 0.1912090000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.128615200, -0.304998700, -0.555649800", \ - "-0.026694700, -0.206740200, -0.478143200", \ - "0.0493958000, -0.130649700, -0.409377000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.081007800, -0.209783900, -0.300522800", \ - "-0.026694700, -0.149367200, -0.242547500", \ - "-0.010418600, -0.131870400, -0.223830100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "D"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sdlclkp_1") { - leakage_power () { - value : 0.0145267000; - when : "CLK&!GATE&!GCLK&!SCE"; - } - leakage_power () { - value : 0.0086087000; - when : "!CLK&GATE&!GCLK&!SCE"; - } - leakage_power () { - value : 0.0087652000; - when : "!CLK&!GATE&!GCLK&SCE"; - } - leakage_power () { - value : 0.0064946000; - when : "CLK&!GATE&GCLK&SCE"; - } - leakage_power () { - value : 0.0078874000; - when : "!CLK&GATE&!GCLK&SCE"; - } - leakage_power () { - value : 0.0138833000; - when : "!CLK&!GATE&!GCLK&!SCE"; - } - leakage_power () { - value : 0.0063381000; - when : "CLK&GATE&GCLK&!SCE"; - } - leakage_power () { - value : 0.0056168000; - when : "CLK&GATE&GCLK&SCE"; - } - leakage_power () { - value : 0.0152239000; - when : "CLK&GATE&!GCLK&!SCE"; - } - leakage_power () { - value : 0.0153805000; - when : "CLK&!GATE&!GCLK&SCE"; - } - leakage_power () { - value : 0.0145026000; - when : "CLK&GATE&!GCLK&SCE"; - } - leakage_power () { - value : 0.0070803000; - when : "CLK&!GATE&GCLK&!SCE"; - } - clock_gating_integrated_cell : "latch_posedge_precontrol"; - area : 18.768000000; - cell_footprint : "sky130_fd_sc_hd__sdlclkp"; - cell_leakage_power : 0.0103590100; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - clock_gate_clock_pin : "true"; - capacitance : 0.0036450000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0034960000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0189737000, 0.0188547000, 0.0185804000, 0.0186450000, 0.0187940000, 0.0191374000, 0.0199291000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0086706000, 0.0085649000, 0.0083213000, 0.0083598000, 0.0084484000, 0.0086530000, 0.0091244000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0037930000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1315825000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "GCLK"; - related_pin : "CLK"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE") { - clock_gate_enable_pin : "true"; - capacitance : 0.0018960000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017500000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0240324000, 0.0238104000, 0.0232988000, 0.0234136000, 0.0236779000, 0.0242874000, 0.0256924000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0148456000, 0.0147999000, 0.0146945000, 0.0147266000, 0.0148005000, 0.0149712000, 0.0153647000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020410000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1741191000, 0.3724753000, 0.6634095000", \ - "0.0807435000, 0.2778789000, 0.5688132000", \ - "0.0278464000, 0.2213197000, 0.5098125000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.0972148000, 0.2174460000, 0.2996400000", \ - "0.0404603000, 0.1521465000, 0.2270163000", \ - "0.0864401000, 0.1993470000, 0.2693340000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.167677700, -0.363592400, -0.641099000", \ - "-0.076743500, -0.273878900, -0.563592500", \ - "-0.023846400, -0.217319700, -0.505812500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.049269500, -0.162176400, -0.228501300", \ - "-0.001059900, -0.107863300, -0.170526000", \ - "0.0054505000, -0.100132200, -0.162794900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GCLK") { - clock_gate_out_pin : "true"; - direction : "output"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013003870, 0.0033820130, 0.0087958540, 0.0228760300, 0.0594953800, 0.1547341000"); - values("0.0075816000, 0.0067775000, 0.0044194000, -0.003603000, -0.026301200, -0.085624100, -0.239838400", \ - "0.0073402000, 0.0065157000, 0.0041453000, -0.003837100, -0.026518800, -0.085646400, -0.239915400", \ - "0.0074023000, 0.0065768000, 0.0042229000, -0.003753300, -0.026419400, -0.085722500, -0.240011400", \ - "0.0069928000, 0.0062265000, 0.0038397000, -0.004127300, -0.026727200, -0.086055900, -0.240307500", \ - "0.0069671000, 0.0059544000, 0.0035263000, -0.004399900, -0.027051500, -0.086249400, -0.240499400", \ - "0.0086378000, 0.0072882000, 0.0038825000, -0.004847400, -0.026940700, -0.085805800, -0.239885300", \ - "0.0099694000, 0.0086074000, 0.0052125000, -0.003579900, -0.026224300, -0.084176900, -0.239337300"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013003870, 0.0033820130, 0.0087958540, 0.0228760300, 0.0594953800, 0.1547341000"); - values("0.0099006000, 0.0114540000, 0.0150838000, 0.0238682000, 0.0464720000, 0.1045893000, 0.2573797000", \ - "0.0097973000, 0.0113578000, 0.0149895000, 0.0237789000, 0.0461848000, 0.1048883000, 0.2572827000", \ - "0.0096381000, 0.0112042000, 0.0148661000, 0.0236417000, 0.0461098000, 0.1045027000, 0.2583924000", \ - "0.0087090000, 0.0103215000, 0.0140128000, 0.0228271000, 0.0453460000, 0.1044791000, 0.2576758000", \ - "0.0082155000, 0.0098641000, 0.0136424000, 0.0224164000, 0.0449701000, 0.1042013000, 0.2572919000", \ - "0.0092451000, 0.0105806000, 0.0139659000, 0.0226352000, 0.0452041000, 0.1032692000, 0.2574951000", \ - "0.0102150000, 0.0117467000, 0.0150273000, 0.0238855000, 0.0464229000, 0.1051931000, 0.2561028000"); - } - } - max_capacitance : 0.1547340000; - max_transition : 1.5031300000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - state_function : "(CLK*M0)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0904573000, 0.0958718000, 0.1069883000, 0.1296244000, 0.1792769000, 0.3020517000, 0.6202690000", \ - "0.0953949000, 0.1007864000, 0.1120134000, 0.1346964000, 0.1843420000, 0.3071804000, 0.6264800000", \ - "0.1081883000, 0.1135728000, 0.1247917000, 0.1474603000, 0.1971962000, 0.3202258000, 0.6393647000", \ - "0.1400199000, 0.1452007000, 0.1564977000, 0.1792295000, 0.2291408000, 0.3521054000, 0.6714662000", \ - "0.2076482000, 0.2136295000, 0.2257383000, 0.2495084000, 0.2998355000, 0.4229016000, 0.7419865000", \ - "0.3172621000, 0.3249165000, 0.3398947000, 0.3670125000, 0.4204803000, 0.5451871000, 0.8638505000", \ - "0.4887696000, 0.4989505000, 0.5187649000, 0.5532573000, 0.6132799000, 0.7398130000, 1.0589664000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0876769000, 0.0951814000, 0.1118931000, 0.1500135000, 0.2445892000, 0.4903770000, 1.1339722000", \ - "0.0917952000, 0.0992759000, 0.1160076000, 0.1541390000, 0.2487962000, 0.4946096000, 1.1341724000", \ - "0.1000704000, 0.1075899000, 0.1242924000, 0.1624135000, 0.2570928000, 0.5031069000, 1.1443024000", \ - "0.1184132000, 0.1259366000, 0.1426900000, 0.1809356000, 0.2759943000, 0.5231279000, 1.1647300000", \ - "0.1497300000, 0.1578726000, 0.1755530000, 0.2148565000, 0.3105957000, 0.5577205000, 1.1989899000", \ - "0.1906350000, 0.2004347000, 0.2200213000, 0.2608866000, 0.3571573000, 0.6041495000, 1.2465279000", \ - "0.2230221000, 0.2354625000, 0.2604109000, 0.3060783000, 0.4032671000, 0.6505395000, 1.2899141000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0182656000, 0.0223873000, 0.0316286000, 0.0541644000, 0.1128185000, 0.2745842000, 0.6974499000", \ - "0.0181502000, 0.0224118000, 0.0317490000, 0.0540101000, 0.1128870000, 0.2736568000, 0.6992306000", \ - "0.0182668000, 0.0224230000, 0.0318042000, 0.0539549000, 0.1128988000, 0.2736047000, 0.6993383000", \ - "0.0183615000, 0.0225042000, 0.0316612000, 0.0540726000, 0.1128860000, 0.2737082000, 0.6985477000", \ - "0.0217847000, 0.0256415000, 0.0345802000, 0.0560264000, 0.1134718000, 0.2740607000, 0.7025360000", \ - "0.0312087000, 0.0356566000, 0.0443388000, 0.0643633000, 0.1196907000, 0.2753231000, 0.7007764000", \ - "0.0458103000, 0.0512494000, 0.0619576000, 0.0821835000, 0.1318380000, 0.2798085000, 0.6966104000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0249400000, 0.0323252000, 0.0509220000, 0.1000677000, 0.2338733000, 0.5836971000, 1.5031296000", \ - "0.0249835000, 0.0323374000, 0.0509422000, 0.1000457000, 0.2338718000, 0.5855380000, 1.4965559000", \ - "0.0250394000, 0.0323839000, 0.0510707000, 0.1001307000, 0.2335614000, 0.5862402000, 1.5024878000", \ - "0.0255129000, 0.0327897000, 0.0511718000, 0.1003044000, 0.2333942000, 0.5850431000, 1.4995201000", \ - "0.0286794000, 0.0358542000, 0.0538375000, 0.1027475000, 0.2336763000, 0.5860035000, 1.5001902000", \ - "0.0355252000, 0.0428206000, 0.0597996000, 0.1054300000, 0.2358087000, 0.5850207000, 1.5025636000", \ - "0.0493273000, 0.0579469000, 0.0760430000, 0.1162765000, 0.2383337000, 0.5873906000, 1.4975616000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - pin ("M0") { - direction : "internal"; - internal_node : "M0"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - } - pin ("SCE") { - clock_gate_test_pin : "true"; - capacitance : 0.0018290000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017460000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0251342000, 0.0249509000, 0.0245284000, 0.0246196000, 0.0248295000, 0.0253137000, 0.0264298000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0159511000, 0.0158870000, 0.0157393000, 0.0157680000, 0.0158340000, 0.0159865000, 0.0163379000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019130000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1851055000, 0.3578268000, 0.6072572000", \ - "0.0905091000, 0.2644512000, 0.5163229000", \ - "0.0339499000, 0.2066712000, 0.4597637000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1094219000, 0.2394186000, 0.3472474000", \ - "0.0538880000, 0.1765605000, 0.2746237000", \ - "0.1010885000, 0.2237611000, 0.3206035000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.178664100, -0.350164700, -0.589829400", \ - "-0.086509100, -0.260451200, -0.511102200", \ - "-0.029949900, -0.202671200, -0.455763700"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.059035200, -0.184149100, -0.278550100", \ - "-0.015708300, -0.132277300, -0.219354200", \ - "-0.014080700, -0.128208300, -0.211623000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - statetable ("CLK GATE SCE","M0") { - table : "L L L : - : L,L L H : - : H,L H L : - : H,L H H : - : H,H - - : - : N"; - } - } - - cell ("sky130_fd_sc_hd__sdlclkp_2") { - leakage_power () { - value : 0.0143531000; - when : "CLK&!GATE&!GCLK&!SCE"; - } - leakage_power () { - value : 0.0085959000; - when : "!CLK&GATE&!GCLK&!SCE"; - } - leakage_power () { - value : 0.0087561000; - when : "!CLK&!GATE&!GCLK&SCE"; - } - leakage_power () { - value : 0.0065667000; - when : "CLK&!GATE&GCLK&SCE"; - } - leakage_power () { - value : 0.0078800000; - when : "!CLK&GATE&!GCLK&SCE"; - } - leakage_power () { - value : 0.0136527000; - when : "!CLK&!GATE&!GCLK&!SCE"; - } - leakage_power () { - value : 0.0064065000; - when : "CLK&GATE&GCLK&!SCE"; - } - leakage_power () { - value : 0.0056907000; - when : "CLK&GATE&GCLK&SCE"; - } - leakage_power () { - value : 0.0150433000; - when : "CLK&GATE&!GCLK&!SCE"; - } - leakage_power () { - value : 0.0152035000; - when : "CLK&!GATE&!GCLK&SCE"; - } - leakage_power () { - value : 0.0143275000; - when : "CLK&GATE&!GCLK&SCE"; - } - leakage_power () { - value : 0.0071557000; - when : "CLK&!GATE&GCLK&!SCE"; - } - clock_gating_integrated_cell : "latch_posedge_precontrol"; - area : 20.019200000; - cell_footprint : "sky130_fd_sc_hd__sdlclkp"; - cell_leakage_power : 0.0103026300; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - clock_gate_clock_pin : "true"; - capacitance : 0.0036390000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0034790000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0191972000, 0.0190679000, 0.0187698000, 0.0188166000, 0.0189246000, 0.0191737000, 0.0197477000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0087095000, 0.0086068000, 0.0083703000, 0.0084106000, 0.0085035000, 0.0087179000, 0.0092119000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0038000000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1315825000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "GCLK"; - related_pin : "CLK"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE") { - clock_gate_enable_pin : "true"; - capacitance : 0.0019120000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017610000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0240939000, 0.0238719000, 0.0233601000, 0.0234836000, 0.0237683000, 0.0244244000, 0.0259371000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0150224000, 0.0149707000, 0.0148514000, 0.0148670000, 0.0149028000, 0.0149856000, 0.0151764000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020620000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1753398000, 0.3736960000, 0.6658509000", \ - "0.0807435000, 0.2790996000, 0.5688132000", \ - "0.0266257000, 0.2213197000, 0.5098125000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1191875000, 0.2369772000, 0.3216126000", \ - "0.0477845000, 0.1594707000, 0.2367819000", \ - "0.0925436000, 0.2042298000, 0.2778789000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.155470700, -0.350164700, -0.622788400", \ - "-0.073081400, -0.268996100, -0.555047500", \ - "-0.020184200, -0.213657500, -0.499709000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.049269500, -0.162176400, -0.229722000", \ - "-0.001059900, -0.107863300, -0.170526000", \ - "0.0054505000, -0.100132200, -0.162794900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GCLK") { - clock_gate_out_pin : "true"; - direction : "output"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0118863000, 0.0104883000, 0.0066947000, -0.004868000, -0.042014400, -0.151964200, -0.471996800", \ - "0.0117084000, 0.0102982000, 0.0065019000, -0.005053000, -0.042189000, -0.152154000, -0.472178700", \ - "0.0118254000, 0.0104213000, 0.0066150000, -0.004932400, -0.042034200, -0.152007000, -0.472041700", \ - "0.0113729000, 0.0099817000, 0.0061552000, -0.005429700, -0.042497400, -0.152418300, -0.472426900", \ - "0.0111333000, 0.0096786000, 0.0058175000, -0.005891000, -0.042898200, -0.152671400, -0.472692300", \ - "0.0125222000, 0.0109425000, 0.0064695000, -0.006483500, -0.042922500, -0.152590900, -0.472513500", \ - "0.0154954000, 0.0136858000, 0.0089877000, -0.004219400, -0.042032800, -0.151557700, -0.471376100"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0150209000, 0.0166817000, 0.0214403000, 0.0347998000, 0.0724042000, 0.1812477000, 0.4970965000", \ - "0.0149240000, 0.0165995000, 0.0214067000, 0.0347356000, 0.0723446000, 0.1812073000, 0.4975157000", \ - "0.0148421000, 0.0165153000, 0.0212823000, 0.0346333000, 0.0722999000, 0.1810111000, 0.4997115000", \ - "0.0140304000, 0.0157125000, 0.0204913000, 0.0338196000, 0.0714888000, 0.1804422000, 0.4959570000", \ - "0.0136827000, 0.0153081000, 0.0200537000, 0.0333089000, 0.0710425000, 0.1798790000, 0.4985268000", \ - "0.0145183000, 0.0160644000, 0.0205423000, 0.0334892000, 0.0709783000, 0.1793094000, 0.4987799000", \ - "0.0156555000, 0.0171380000, 0.0215613000, 0.0344499000, 0.0723501000, 0.1810150000, 0.4951899000"); - } - } - max_capacitance : 0.3012180000; - max_transition : 1.5010830000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - state_function : "(CLK*M0)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1143057000, 0.1193031000, 0.1303296000, 0.1526770000, 0.1990867000, 0.3121771000, 0.6316708000", \ - "0.1195249000, 0.1245261000, 0.1355377000, 0.1579002000, 0.2043600000, 0.3174750000, 0.6369646000", \ - "0.1326405000, 0.1375296000, 0.1485248000, 0.1710091000, 0.2175023000, 0.3304872000, 0.6501014000", \ - "0.1642643000, 0.1693067000, 0.1802694000, 0.2025534000, 0.2490790000, 0.3623905000, 0.6817444000", \ - "0.2381915000, 0.2431635000, 0.2543171000, 0.2768136000, 0.3235495000, 0.4368608000, 0.7553285000", \ - "0.3681278000, 0.3746874000, 0.3890493000, 0.4161459000, 0.4672869000, 0.5828427000, 0.9019693000", \ - "0.5731703000, 0.5818425000, 0.6010702000, 0.6370442000, 0.6990958000, 0.8209403000, 1.1411497000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1063039000, 0.1127402000, 0.1274404000, 0.1608062000, 0.2435342000, 0.4764074000, 1.1548617000", \ - "0.1105737000, 0.1169227000, 0.1317496000, 0.1650215000, 0.2479289000, 0.4808163000, 1.1565002000", \ - "0.1191067000, 0.1255710000, 0.1402903000, 0.1736272000, 0.2563799000, 0.4905941000, 1.1668070000", \ - "0.1385868000, 0.1449325000, 0.1596962000, 0.1929661000, 0.2759011000, 0.5089925000, 1.1872522000", \ - "0.1761397000, 0.1829601000, 0.1985979000, 0.2329731000, 0.3165222000, 0.5507352000, 1.2262664000", \ - "0.2306991000, 0.2388746000, 0.2566927000, 0.2936389000, 0.3787921000, 0.6127104000, 1.2878504000", \ - "0.2867304000, 0.2974438000, 0.3205152000, 0.3639453000, 0.4530734000, 0.6876711000, 1.3625516000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0210562000, 0.0240594000, 0.0314155000, 0.0491301000, 0.0959888000, 0.2361581000, 0.6675906000", \ - "0.0210573000, 0.0240447000, 0.0313854000, 0.0491357000, 0.0959034000, 0.2362021000, 0.6677077000", \ - "0.0209837000, 0.0240096000, 0.0313781000, 0.0490924000, 0.0962604000, 0.2361336000, 0.6624474000", \ - "0.0210208000, 0.0240896000, 0.0315168000, 0.0491584000, 0.0962727000, 0.2368174000, 0.6591468000", \ - "0.0225720000, 0.0256755000, 0.0325911000, 0.0499347000, 0.0964315000, 0.2367968000, 0.6674044000", \ - "0.0333947000, 0.0368041000, 0.0450949000, 0.0612350000, 0.1045662000, 0.2391772000, 0.6652567000", \ - "0.0510823000, 0.0556184000, 0.0654878000, 0.0845000000, 0.1257720000, 0.2500079000, 0.6616659000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0242997000, 0.0294767000, 0.0428245000, 0.0796293000, 0.1906719000, 0.5254298000, 1.4980586000", \ - "0.0243484000, 0.0295000000, 0.0428352000, 0.0796886000, 0.1901119000, 0.5238791000, 1.4990050000", \ - "0.0243776000, 0.0295093000, 0.0428871000, 0.0796624000, 0.1904091000, 0.5240277000, 1.5010442000", \ - "0.0244072000, 0.0296170000, 0.0429768000, 0.0797825000, 0.1905794000, 0.5252961000, 1.4967726000", \ - "0.0273903000, 0.0324254000, 0.0456744000, 0.0817481000, 0.1911046000, 0.5248171000, 1.5010830000", \ - "0.0345964000, 0.0399413000, 0.0530063000, 0.0872438000, 0.1943964000, 0.5242434000, 1.4974950000", \ - "0.0486425000, 0.0552024000, 0.0695868000, 0.1012115000, 0.2003212000, 0.5258408000, 1.4959326000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - pin ("M0") { - direction : "internal"; - internal_node : "M0"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - } - pin ("SCE") { - clock_gate_test_pin : "true"; - capacitance : 0.0018270000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017430000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0251651000, 0.0249791000, 0.0245503000, 0.0246278000, 0.0248065000, 0.0252186000, 0.0261685000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0161167000, 0.0160525000, 0.0159046000, 0.0159360000, 0.0160083000, 0.0161753000, 0.0165600000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019100000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1863262000, 0.3602682000, 0.6096986000", \ - "0.0905091000, 0.2644512000, 0.5163229000", \ - "0.0327292000, 0.2054505000, 0.4597637000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1301738000, 0.2601706000, 0.3679993000", \ - "0.0599915000, 0.1838848000, 0.2843893000", \ - "0.1059714000, 0.2274232000, 0.3267070000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.166457000, -0.336737000, -0.572739600", \ - "-0.082847000, -0.255568400, -0.503778000", \ - "-0.025067100, -0.199009100, -0.449660200"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.059035200, -0.184149100, -0.278550100", \ - "-0.015708300, -0.133498000, -0.220574900", \ - "-0.014080700, -0.128208300, -0.212843800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - statetable ("CLK GATE SCE","M0") { - table : "L L L : - : L,L L H : - : H,L H L : - : H,L H H : - : H,H - - : - : N"; - } - } - - cell ("sky130_fd_sc_hd__sdlclkp_4") { - leakage_power () { - value : 0.0135191000; - when : "CLK&!GATE&!GCLK&!SCE"; - } - leakage_power () { - value : 0.0077669000; - when : "!CLK&GATE&!GCLK&!SCE"; - } - leakage_power () { - value : 0.0079271000; - when : "!CLK&!GATE&!GCLK&SCE"; - } - leakage_power () { - value : 0.0085404000; - when : "CLK&!GATE&GCLK&SCE"; - } - leakage_power () { - value : 0.0070510000; - when : "!CLK&GATE&!GCLK&SCE"; - } - leakage_power () { - value : 0.0128507000; - when : "!CLK&!GATE&!GCLK&!SCE"; - } - leakage_power () { - value : 0.0083802000; - when : "CLK&GATE&GCLK&!SCE"; - } - leakage_power () { - value : 0.0076644000; - when : "CLK&GATE&GCLK&SCE"; - } - leakage_power () { - value : 0.0142094000; - when : "CLK&GATE&!GCLK&!SCE"; - } - leakage_power () { - value : 0.0143696000; - when : "CLK&!GATE&!GCLK&SCE"; - } - leakage_power () { - value : 0.0134935000; - when : "CLK&GATE&!GCLK&SCE"; - } - leakage_power () { - value : 0.0091294000; - when : "CLK&!GATE&GCLK&!SCE"; - } - clock_gating_integrated_cell : "latch_posedge_precontrol"; - area : 22.521600000; - cell_footprint : "sky130_fd_sc_hd__sdlclkp"; - cell_leakage_power : 0.0104084700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - clock_gate_clock_pin : "true"; - capacitance : 0.0042780000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0040700000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0200766000, 0.0199605000, 0.0196930000, 0.0197603000, 0.0199155000, 0.0202732000, 0.0210977000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0078229000, 0.0077458000, 0.0075681000, 0.0075854000, 0.0076253000, 0.0077170000, 0.0079288000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0044860000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1328031000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "GCLK"; - related_pin : "CLK"; - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GATE") { - clock_gate_enable_pin : "true"; - capacitance : 0.0019350000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0254630000, 0.0252385000, 0.0247208000, 0.0248344000, 0.0250961000, 0.0256996000, 0.0270909000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0155740000, 0.0155419000, 0.0154679000, 0.0154760000, 0.0154947000, 0.0155381000, 0.0156381000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0020970000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1777813000, 0.3761374000, 0.6682923000", \ - "0.0831849000, 0.2803203000, 0.5712545000", \ - "0.0278464000, 0.2213197000, 0.5122539000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1362773000, 0.2552878000, 0.3399232000", \ - "0.0685365000, 0.1826641000, 0.2611960000", \ - "0.1377096000, 0.2506165000, 0.3279277000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.153029300, -0.347723300, -0.616684900", \ - "-0.073081400, -0.268996100, -0.553826800", \ - "-0.020184200, -0.214878300, -0.500929700"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.050490200, -0.164617800, -0.232163400", \ - "-0.002280600, -0.110304700, -0.174188100", \ - "0.0042298000, -0.102573600, -0.165236300"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("GCLK") { - clock_gate_out_pin : "true"; - direction : "output"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0228297000, 0.0211444000, 0.0157963000, -0.000303900, -0.055925600, -0.240742200, -0.833718800", \ - "0.0225894000, 0.0208539000, 0.0155840000, -0.000543700, -0.056143000, -0.240936500, -0.833891100", \ - "0.0226739000, 0.0209749000, 0.0156878000, -0.000454300, -0.056066600, -0.240821500, -0.833780900", \ - "0.0221899000, 0.0204408000, 0.0152008000, -0.001015400, -0.056581600, -0.241300600, -0.834231900", \ - "0.0218615000, 0.0201467000, 0.0146963000, -0.001595700, -0.057220700, -0.241749800, -0.834598300", \ - "0.0218145000, 0.0199020000, 0.0143429000, -0.002007300, -0.057296900, -0.241735400, -0.834437600", \ - "0.0292502000, 0.0270589000, 0.0207209000, 0.0020491000, -0.055994500, -0.240643100, -0.833252100"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015979950, 0.0051071750, 0.0163224800, 0.0521664800, 0.1667235000, 0.5328467000"); - values("0.0275072000, 0.0294145000, 0.0354067000, 0.0541528000, 0.1120253000, 0.2953011000, 0.8814294000", \ - "0.0274028000, 0.0293544000, 0.0352886000, 0.0540633000, 0.1119618000, 0.2955966000, 0.8802002000", \ - "0.0272478000, 0.0291903000, 0.0351374000, 0.0539261000, 0.1118780000, 0.2950976000, 0.8848013000", \ - "0.0263797000, 0.0282807000, 0.0342585000, 0.0529610000, 0.1110078000, 0.2945861000, 0.8850531000", \ - "0.0260817000, 0.0279647000, 0.0338580000, 0.0524461000, 0.1103296000, 0.2938008000, 0.8798787000", \ - "0.0276121000, 0.0293549000, 0.0350313000, 0.0530224000, 0.1107236000, 0.2936361000, 0.8817439000", \ - "0.0294814000, 0.0312877000, 0.0366339000, 0.0547468000, 0.1128655000, 0.2959341000, 0.8786598000"); - } - } - max_capacitance : 0.5328470000; - max_transition : 1.5053330000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - state_function : "(CLK*M0)"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.1346470000, 0.1383213000, 0.1476195000, 0.1683496000, 0.2121010000, 0.3170668000, 0.6262973000", \ - "0.1399125000, 0.1435221000, 0.1528752000, 0.1736278000, 0.2174373000, 0.3224192000, 0.6316908000", \ - "0.1530609000, 0.1566957000, 0.1660008000, 0.1867505000, 0.2306520000, 0.3355173000, 0.6449091000", \ - "0.1848798000, 0.1884762000, 0.1977523000, 0.2185343000, 0.2624175000, 0.3673086000, 0.6766460000", \ - "0.2599627000, 0.2635462000, 0.2727901000, 0.2935402000, 0.3373976000, 0.4423822000, 0.7518620000", \ - "0.4031952000, 0.4078052000, 0.4194732000, 0.4442793000, 0.4925281000, 0.5999241000, 0.9093753000", \ - "0.6331213000, 0.6392326000, 0.6546452000, 0.6878028000, 0.7484541000, 0.8654703000, 1.1764346000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.1108975000, 0.1152863000, 0.1270147000, 0.1557349000, 0.2301474000, 0.4542507000, 1.1666051000", \ - "0.1151464000, 0.1196096000, 0.1312782000, 0.1599732000, 0.2343195000, 0.4582473000, 1.1747593000", \ - "0.1238560000, 0.1282821000, 0.1398834000, 0.1685869000, 0.2430667000, 0.4680811000, 1.1814774000", \ - "0.1432260000, 0.1476039000, 0.1592851000, 0.1879576000, 0.2623352000, 0.4868332000, 1.2014609000", \ - "0.1810058000, 0.1857603000, 0.1979922000, 0.2276572000, 0.3027839000, 0.5280992000, 1.2395857000", \ - "0.2341616000, 0.2397010000, 0.2537552000, 0.2860504000, 0.3633867000, 0.5883343000, 1.3028064000", \ - "0.2828799000, 0.2900674000, 0.3083257000, 0.3471818000, 0.4295146000, 0.6553885000, 1.3679835000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0254057000, 0.0275594000, 0.0332197000, 0.0478072000, 0.0868157000, 0.2076053000, 0.6192068000", \ - "0.0254649000, 0.0275922000, 0.0332956000, 0.0474922000, 0.0868529000, 0.2075331000, 0.6191179000", \ - "0.0255018000, 0.0277057000, 0.0334747000, 0.0478735000, 0.0868819000, 0.2077429000, 0.6152877000", \ - "0.0255031000, 0.0276948000, 0.0334474000, 0.0478243000, 0.0866654000, 0.2076813000, 0.6153763000", \ - "0.0258502000, 0.0278459000, 0.0335557000, 0.0479305000, 0.0869943000, 0.2077496000, 0.6152582000", \ - "0.0376844000, 0.0400220000, 0.0458992000, 0.0597170000, 0.0951434000, 0.2111869000, 0.6191460000", \ - "0.0580310000, 0.0615543000, 0.0696386000, 0.0853020000, 0.1198749000, 0.2256337000, 0.6174070000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015979900, 0.0051071800, 0.0163225000, 0.0521665000, 0.1667240000, 0.5328470000"); - values("0.0261095000, 0.0293778000, 0.0395817000, 0.0689520000, 0.1640302000, 0.4824502000, 1.4985336000", \ - "0.0258701000, 0.0295285000, 0.0395493000, 0.0690101000, 0.1640908000, 0.4808879000, 1.5043921000", \ - "0.0260347000, 0.0295919000, 0.0395250000, 0.0689584000, 0.1641430000, 0.4822261000, 1.5053334000", \ - "0.0261575000, 0.0296931000, 0.0396567000, 0.0691375000, 0.1642105000, 0.4818361000, 1.5051118000", \ - "0.0289366000, 0.0324294000, 0.0424587000, 0.0710287000, 0.1648295000, 0.4809593000, 1.5008516000", \ - "0.0366961000, 0.0403742000, 0.0501498000, 0.0776576000, 0.1684897000, 0.4803149000, 1.5032359000", \ - "0.0511559000, 0.0565481000, 0.0667324000, 0.0931018000, 0.1767212000, 0.4832287000, 1.4990376000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - pin ("M0") { - direction : "internal"; - internal_node : "M0"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - } - pin ("SCE") { - clock_gate_test_pin : "true"; - capacitance : 0.0018340000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0017450000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0265172000, 0.0263308000, 0.0259013000, 0.0259824000, 0.0261691000, 0.0265997000, 0.0275923000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0167305000, 0.0166781000, 0.0165574000, 0.0165815000, 0.0166370000, 0.0167652000, 0.0170608000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0019230000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1887676000, 0.3614889000, 0.6121400000", \ - "0.0917298000, 0.2656719000, 0.5187643000", \ - "0.0339499000, 0.2066712000, 0.4609844000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1472637000, 0.2772604000, 0.3875306000", \ - "0.0807435000, 0.2058574000, 0.3088034000", \ - "0.1511374000, 0.2738099000, 0.3755351000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.164015600, -0.333074900, -0.567856800", \ - "-0.082847000, -0.255568400, -0.503778000", \ - "-0.026287800, -0.199009100, -0.450880900"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.060255900, -0.186590500, -0.280991500", \ - "-0.016929000, -0.134718700, -0.223016300", \ - "-0.015301400, -0.130649700, -0.215285200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - statetable ("CLK GATE SCE","M0") { - table : "L L L : - : L,L L H : - : H,L H L : - : H,L H H : - : H,H - - : - : N"; - } - } - - cell ("sky130_fd_sc_hd__sedfxbp_1") { - leakage_power () { - value : 0.0131199000; - when : "CLK&!D&DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0120576000; - when : "CLK&D&!DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0175008000; - when : "CLK&D&DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0141019000; - when : "CLK&D&DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0141729000; - when : "!CLK&D&DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0167519000; - when : "CLK&D&DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0124185000; - when : "CLK&!D&!DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0145370000; - when : "CLK&!D&DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0136964000; - when : "CLK&!D&DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0130273000; - when : "!CLK&!D&DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0138849000; - when : "CLK&D&!DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0158189000; - when : "CLK&!D&!DE&SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0134120000; - when : "!CLK&!D&!DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0136700000; - when : "CLK&!D&!DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0183844000; - when : "CLK&D&DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0126333000; - when : "CLK&D&!DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0160338000; - when : "CLK&D&!DE&SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0136268000; - when : "!CLK&D&!DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0132854000; - when : "CLK&!D&DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0166859000; - when : "CLK&!D&DE&SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0142789000; - when : "!CLK&!D&DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0174951000; - when : "CLK&D&DE&SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0181263000; - when : "!CLK&D&DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0135309000; - when : "CLK&!D&DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0115669000; - when : "CLK&D&!DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0153358000; - when : "CLK&D&DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0145926000; - when : "CLK&D&DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0136822000; - when : "!CLK&D&DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0128008000; - when : "CLK&D&!DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0152446000; - when : "CLK&!D&DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0128933000; - when : "CLK&!D&!DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0162922000; - when : "CLK&!D&!DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0137075000; - when : "!CLK&!D&!DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0141272000; - when : "CLK&!D&!DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0149658000; - when : "CLK&D&!DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0123811000; - when : "!CLK&D&!DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0143715000; - when : "CLK&!D&DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0148249000; - when : "!CLK&!D&DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0149161000; - when : "!CLK&D&DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0162612000; - when : "CLK&D&DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0128294000; - when : "CLK&!D&!DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0134383000; - when : "!CLK&!D&DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0121604000; - when : "!CLK&!D&!DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0130443000; - when : "CLK&D&!DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0123753000; - when : "!CLK&D&!DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0129643000; - when : "!CLK&!D&!DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0133840000; - when : "CLK&!D&!DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0116379000; - when : "!CLK&D&!DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0125713000; - when : "!CLK&!D&!DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0127862000; - when : "!CLK&D&!DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0124736000; - when : "!CLK&!D&!DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0111472000; - when : "!CLK&D&!DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0127414000; - when : "!CLK&!D&DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0159136000; - when : "!CLK&!D&!DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0148775000; - when : "!CLK&!D&!DE&SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0131523000; - when : "!CLK&!D&DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0145872000; - when : "!CLK&D&!DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0139930000; - when : "!CLK&!D&DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0150924000; - when : "!CLK&D&!DE&SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0157445000; - when : "!CLK&!D&DE&SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0158105000; - when : "!CLK&D&DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0153198000; - when : "!CLK&D&DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0171222000; - when : "!CLK&D&DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0165538000; - when : "!CLK&D&DE&SCE&SCD&!Q&Q_N"; - } - area : 38.787200000; - cell_footprint : "sky130_fd_sc_hd__sedfxbp"; - cell_leakage_power : 0.0142489800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&DE&!SCE) | (IQ&!DE&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017580000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016710000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0273525000, 0.0272327000, 0.0269566000, 0.0269812000, 0.0270382000, 0.0271694000, 0.0274719000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0226297000, 0.0225224000, 0.0222749000, 0.0222694000, 0.0222569000, 0.0222283000, 0.0221623000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018440000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3235761000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3949793000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0017660000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0156923000, 0.0155279000, 0.0151491000, 0.0152154000, 0.0153684000, 0.0157213000, 0.0165347000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092526000, 0.0092334000, 0.0091890000, 0.0092005000, 0.0092272000, 0.0092888000, 0.0094306000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018570000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4060527000, 0.5995260000, 0.9368470000", \ - "0.2772767000, 0.4695293000, 0.8117331000", \ - "0.1755514000, 0.3702454000, 0.7124492000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2070781000, 0.3627096000, 0.5498841000", \ - "0.1442201000, 0.3010723000, 0.4833639000", \ - "0.1206198000, 0.2762513000, 0.4609844000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.322707000, -0.514959600, -0.846177100", \ - "-0.214682900, -0.409377000, -0.743035800", \ - "-0.127606100, -0.322300100, -0.662062500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.184767600, -0.339178400, -0.512925200", \ - "-0.125571600, -0.278761700, -0.456170600", \ - "-0.101971400, -0.255161500, -0.437453100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("DE") { - capacitance : 0.0033020000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0031750000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0112413000, 0.0111013000, 0.0107789000, 0.0108460000, 0.0110010000, 0.0113582000, 0.0121817000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0001637000, 2.436794e-05, -0.000296800, -0.000241000, -0.000112100, 0.0001850000, 0.0008698000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0034290000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3926250000, 0.5787741000, 0.9136536000", \ - "0.2833802000, 0.4683086000, 0.8068502000", \ - "0.1901999000, 0.3775697000, 0.7185528000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4426738000, 0.5336081000, 0.5718568000", \ - "0.3151185000, 0.4048320000, 0.4430807000", \ - "0.2133932000, 0.3031068000, 0.3413555000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.244582000, -0.412420600, -0.594712200", \ - "-0.182944700, -0.349562500, -0.530633400", \ - "-0.155682300, -0.323520800, -0.504591800"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.199416000, -0.337957700, -0.504380200", \ - "-0.140220100, -0.277541000, -0.445184200", \ - "-0.119061200, -0.256382100, -0.425246100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013213260, 0.0034918040, 0.0092276230, 0.0243853900, 0.0644421000, 0.1702980000"); - values("-0.007974100, -0.003347200, 0.0051912000, 0.0141234000, 0.0079795000, -0.044240100, -0.209482800", \ - "-0.007923100, -0.003297900, 0.0052093000, 0.0141567000, 0.0080045000, -0.044313600, -0.209560600", \ - "-0.007817200, -0.003217100, 0.0052382000, 0.0141145000, 0.0078287000, -0.044472400, -0.209763200", \ - "-0.007830900, -0.003256900, 0.0051615000, 0.0139492000, 0.0076276000, -0.044735600, -0.210041900", \ - "-0.007850500, -0.003312100, 0.0050300000, 0.0137105000, 0.0072645000, -0.045112600, -0.210461400", \ - "-0.007840900, -0.003310800, 0.0050121000, 0.0136628000, 0.0071602000, -0.045297100, -0.210612600", \ - "-0.007748500, -0.003129900, 0.0053671000, 0.0142698000, 0.0080655000, -0.044516500, -0.209774600"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013213260, 0.0034918040, 0.0092276230, 0.0243853900, 0.0644421000, 0.1702980000"); - values("-0.009280700, -0.006185400, 0.0009084000, 0.0156913000, 0.0457979000, 0.1133997000, 0.2866322000", \ - "-0.009228900, -0.006144600, 0.0009366000, 0.0156940000, 0.0458689000, 0.1139237000, 0.2864454000", \ - "-0.009116600, -0.006040800, 0.0010060000, 0.0157382000, 0.0457880000, 0.1138262000, 0.2865146000", \ - "-0.009130900, -0.006088600, 0.0009091000, 0.0155451000, 0.0455135000, 0.1135224000, 0.2841949000", \ - "-0.009141600, -0.006126400, 0.0008198000, 0.0153765000, 0.0452524000, 0.1126382000, 0.2860323000", \ - "-0.009134800, -0.006122100, 0.0008079000, 0.0153402000, 0.0452079000, 0.1132404000, 0.2857521000", \ - "-0.009036100, -0.005927500, 0.0012008000, 0.0159847000, 0.0458102000, 0.1135617000, 0.2847631000"); - } - } - max_capacitance : 0.1702980000; - max_transition : 1.4997530000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.3263357000, 0.3382647000, 0.3627686000, 0.4088158000, 0.4933585000, 0.6518357000, 1.0047720000", \ - "0.3309564000, 0.3429090000, 0.3674259000, 0.4134279000, 0.4980688000, 0.6565219000, 1.0092021000", \ - "0.3419546000, 0.3539218000, 0.3784332000, 0.4244537000, 0.5090789000, 0.6675289000, 1.0204583000", \ - "0.3676434000, 0.3796295000, 0.4041161000, 0.4500503000, 0.5346844000, 0.6931525000, 1.0461237000", \ - "0.4171105000, 0.4290259000, 0.4540659000, 0.4998493000, 0.5844010000, 0.7428312000, 1.0957280000", \ - "0.4935843000, 0.5055084000, 0.5304802000, 0.5760788000, 0.6608684000, 0.8193866000, 1.1720267000", \ - "0.5963551000, 0.6083303000, 0.6328553000, 0.6788275000, 0.7635101000, 0.9220880000, 1.2747566000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.2960087000, 0.3049596000, 0.3242640000, 0.3665741000, 0.4674767000, 0.7166618000, 1.3654654000", \ - "0.3007437000, 0.3094347000, 0.3288461000, 0.3711295000, 0.4720271000, 0.7213820000, 1.3647280000", \ - "0.3117875000, 0.3207245000, 0.3400465000, 0.3823459000, 0.4832537000, 0.7325479000, 1.3786062000", \ - "0.3378511000, 0.3466015000, 0.3659446000, 0.4082301000, 0.5091362000, 0.7583653000, 1.4018871000", \ - "0.3884133000, 0.3971192000, 0.4165227000, 0.4588099000, 0.5597114000, 0.8090725000, 1.4550643000", \ - "0.4630046000, 0.4719631000, 0.4912474000, 0.5335694000, 0.6344700000, 0.8839054000, 1.5278342000", \ - "0.5603361000, 0.5691318000, 0.5886555000, 0.6309532000, 0.7318926000, 0.9813225000, 1.6272899000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0496010000, 0.0574263000, 0.0729397000, 0.1055282000, 0.1735628000, 0.3257916000, 0.7585395000", \ - "0.0497997000, 0.0570903000, 0.0733041000, 0.1057212000, 0.1733772000, 0.3251245000, 0.7589918000", \ - "0.0498079000, 0.0570727000, 0.0733397000, 0.1057127000, 0.1733578000, 0.3257905000, 0.7611460000", \ - "0.0499197000, 0.0578072000, 0.0731734000, 0.1056897000, 0.1736893000, 0.3257783000, 0.7574287000", \ - "0.0493779000, 0.0570757000, 0.0740884000, 0.1058252000, 0.1733631000, 0.3258095000, 0.7581091000", \ - "0.0495517000, 0.0575570000, 0.0732968000, 0.1057242000, 0.1738808000, 0.3250983000, 0.7580466000", \ - "0.0500538000, 0.0584973000, 0.0737006000, 0.1061258000, 0.1737560000, 0.3250383000, 0.7612944000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013213300, 0.0034918000, 0.0092276200, 0.0243854000, 0.0644421000, 0.1702980000"); - values("0.0315906000, 0.0391396000, 0.0578993000, 0.1070591000, 0.2381368000, 0.5775049000, 1.4989943000", \ - "0.0312908000, 0.0390136000, 0.0579541000, 0.1070415000, 0.2381496000, 0.5789111000, 1.4993549000", \ - "0.0316208000, 0.0391224000, 0.0578912000, 0.1070848000, 0.2380538000, 0.5786103000, 1.4973303000", \ - "0.0312100000, 0.0390726000, 0.0579406000, 0.1070439000, 0.2381595000, 0.5784354000, 1.4930186000", \ - "0.0313303000, 0.0389796000, 0.0579588000, 0.1070366000, 0.2381495000, 0.5785695000, 1.4968751000", \ - "0.0317054000, 0.0391918000, 0.0579810000, 0.1070638000, 0.2380931000, 0.5786056000, 1.4997534000", \ - "0.0315469000, 0.0392591000, 0.0580558000, 0.1070853000, 0.2381201000, 0.5777495000, 1.4940029000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.007482000, -0.002151900, 0.0078052000, 0.0189272000, 0.0153712000, -0.035109300, -0.197771500", \ - "-0.007432600, -0.002107800, 0.0078405000, 0.0189470000, 0.0153691000, -0.035121500, -0.197795200", \ - "-0.007317000, -0.002003500, 0.0079244000, 0.0189979000, 0.0153912000, -0.035123800, -0.197780800", \ - "-0.007336100, -0.002054100, 0.0078078000, 0.0187901000, 0.0150897000, -0.035481500, -0.198202700", \ - "-0.007345400, -0.002085600, 0.0077268000, 0.0186351000, 0.0148563000, -0.035769000, -0.198486600", \ - "-0.007336500, -0.002086900, 0.0077076000, 0.0185861000, 0.0148051000, -0.035849500, -0.198557700", \ - "-0.007234500, -0.001883900, 0.0081188000, 0.0193362000, 0.0155003000, -0.035176200, -0.197853600"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013189470, 0.0034792440, 0.0091778810, 0.0242102800, 0.0638641800, 0.1684670000"); - values("-0.009225100, -0.005973900, 0.0018629000, 0.0185990000, 0.0500386000, 0.1179230000, 0.2880749000", \ - "-0.009172900, -0.005916600, 0.0019072000, 0.0186340000, 0.0500539000, 0.1184700000, 0.2892871000", \ - "-0.009069400, -0.005840800, 0.0019404000, 0.0185877000, 0.0499645000, 0.1179962000, 0.2882603000", \ - "-0.009080300, -0.005879800, 0.0018486000, 0.0184372000, 0.0496914000, 0.1174851000, 0.2885426000", \ - "-0.009099000, -0.005935300, 0.0017237000, 0.0181781000, 0.0493558000, 0.1171075000, 0.2876229000", \ - "-0.009091100, -0.005930900, 0.0017167000, 0.0181928000, 0.0493197000, 0.1173179000, 0.2885095000", \ - "-0.008726600, -0.005187300, 0.0027897000, 0.0187809000, 0.0498355000, 0.1176516000, 0.2874678000"); - } - } - max_capacitance : 0.1684670000; - max_transition : 1.4985610000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.4216638000, 0.4294348000, 0.4455547000, 0.4769572000, 0.5393633000, 0.6772323000, 1.0280448000", \ - "0.4262643000, 0.4340069000, 0.4501754000, 0.4815457000, 0.5439517000, 0.6818259000, 1.0327601000", \ - "0.4375361000, 0.4452617000, 0.4614311000, 0.4928223000, 0.5552391000, 0.6930462000, 1.0429123000", \ - "0.4632048000, 0.4709365000, 0.4870971000, 0.5185177000, 0.5809132000, 0.7186352000, 1.0697463000", \ - "0.5138434000, 0.5216051000, 0.5377274000, 0.5691310000, 0.6315185000, 0.7693608000, 1.1197748000", \ - "0.5888343000, 0.5966078000, 0.6127162000, 0.6441451000, 0.7065354000, 0.8443053000, 1.1947989000", \ - "0.6856402000, 0.6933794000, 0.7095310000, 0.7409123000, 0.8033291000, 0.9412101000, 1.2906728000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.4897143000, 0.4987981000, 0.5179465000, 0.5584150000, 0.6541371000, 0.9001360000, 1.5446179000", \ - "0.4945270000, 0.5036531000, 0.5227414000, 0.5632000000, 0.6588936000, 0.9050041000, 1.5521459000", \ - "0.5053685000, 0.5145098000, 0.5336598000, 0.5741339000, 0.6697946000, 0.9158487000, 1.5617223000", \ - "0.5310989000, 0.5401771000, 0.5593131000, 0.5997793000, 0.6954475000, 0.9415838000, 1.5875328000", \ - "0.5805524000, 0.5896174000, 0.6087859000, 0.6491561000, 0.7448943000, 0.9909055000, 1.6353172000", \ - "0.6571548000, 0.6662849000, 0.6854380000, 0.7259299000, 0.8216371000, 1.0677788000, 1.7118343000", \ - "0.7599630000, 0.7690231000, 0.7881862000, 0.8286715000, 0.9243835000, 1.1706947000, 1.8164200000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0300085000, 0.0351689000, 0.0462982000, 0.0721270000, 0.1337979000, 0.2989210000, 0.7610545000", \ - "0.0298848000, 0.0348682000, 0.0465313000, 0.0719702000, 0.1335312000, 0.2982687000, 0.7620719000", \ - "0.0299608000, 0.0348307000, 0.0461275000, 0.0719394000, 0.1337806000, 0.2976689000, 0.7644479000", \ - "0.0299749000, 0.0348137000, 0.0462440000, 0.0719949000, 0.1337972000, 0.2991173000, 0.7644286000", \ - "0.0300197000, 0.0350297000, 0.0462492000, 0.0721163000, 0.1338208000, 0.2996142000, 0.7563276000", \ - "0.0300641000, 0.0351371000, 0.0462930000, 0.0721447000, 0.1336456000, 0.2994193000, 0.7579867000", \ - "0.0300106000, 0.0349575000, 0.0462302000, 0.0721297000, 0.1338403000, 0.2985288000, 0.7578014000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013189500, 0.0034792400, 0.0091778800, 0.0242103000, 0.0638642000, 0.1684670000"); - values("0.0363529000, 0.0428787000, 0.0592066000, 0.1038423000, 0.2314721000, 0.5759710000, 1.4928870000", \ - "0.0364081000, 0.0429100000, 0.0592325000, 0.1038167000, 0.2314387000, 0.5764005000, 1.4985613000", \ - "0.0366120000, 0.0430361000, 0.0592297000, 0.1038475000, 0.2315800000, 0.5777845000, 1.4953759000", \ - "0.0363824000, 0.0428972000, 0.0592656000, 0.1038554000, 0.2315113000, 0.5770800000, 1.4957293000", \ - "0.0363907000, 0.0429102000, 0.0593281000, 0.1038522000, 0.2315730000, 0.5765914000, 1.4952167000", \ - "0.0364348000, 0.0428173000, 0.0591719000, 0.1038442000, 0.2313781000, 0.5762352000, 1.4917899000", \ - "0.0365565000, 0.0429025000, 0.0592391000, 0.1038092000, 0.2312502000, 0.5769752000, 1.4980412000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("SCD") { - capacitance : 0.0017260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016700000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0032054000, 0.0032038000, 0.0032001000, 0.0032015000, 0.0032049000, 0.0032127000, 0.0032307000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003114400, -0.003125300, -0.003150600, -0.003153300, -0.003159300, -0.003173000, -0.003204700"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017830000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2925274000, 0.4811179000, 0.7964662000", \ - "0.1600892000, 0.3486797000, 0.6652487000", \ - "0.0534811000, 0.2432923000, 0.5610821000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1130840000, 0.2406393000, 0.3631165000", \ - "0.0453431000, 0.1716777000, 0.2904928000", \ - "0.0144186000, 0.1383119000, 0.2583477000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.217726600, -0.406317000, -0.715561800", \ - "-0.101157600, -0.289748000, -0.603875600", \ - "-0.007977200, -0.196567700, -0.513136700"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.101759800, -0.226873700, -0.343247400", \ - "-0.031577500, -0.157912100, -0.274285800", \ - "0.0017884000, -0.122104800, -0.240919900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0029600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0029830000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0105892000, 0.0105172000, 0.0103514000, 0.0104150000, 0.0105618000, 0.0109002000, 0.0116803000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0104104000, 0.0102435000, 0.0098590000, 0.0098777000, 0.0099212000, 0.0100214000, 0.0102525000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0029370000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2778789000, 0.4603659000, 0.7464173000", \ - "0.1478822000, 0.3303691000, 0.6213034000", \ - "0.0473776000, 0.2286439000, 0.5195781000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3047344000, 0.3944479000, 0.4375794000", \ - "0.1722962000, 0.2620098000, 0.3051413000", \ - "0.0669089000, 0.1566224000, 0.1997539000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.187209000, -0.372137400, -0.661850900", \ - "-0.076743500, -0.261671900, -0.552606100", \ - "0.0091126000, -0.172153600, -0.463087900"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.085890600, -0.219549500, -0.318833300", \ - "-0.019370400, -0.148146500, -0.249871700", \ - "0.0139954000, -0.114780600, -0.215285200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&DE) | (IQ&!DE)"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("DE") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - signal_type : "test_scan_out_inverted"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sedfxbp_2") { - leakage_power () { - value : 0.0131099000; - when : "CLK&!D&DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0120476000; - when : "CLK&D&!DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0174908000; - when : "CLK&D&DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0140919000; - when : "CLK&D&DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0141402000; - when : "!CLK&D&DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0168314000; - when : "CLK&D&DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0124980000; - when : "CLK&!D&!DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0146165000; - when : "CLK&!D&DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0137759000; - when : "CLK&!D&DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0131351000; - when : "!CLK&!D&DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0139644000; - when : "CLK&D&!DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0158984000; - when : "CLK&!D&!DE&SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0135197000; - when : "!CLK&!D&!DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0137495000; - when : "CLK&!D&!DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0184639000; - when : "CLK&D&DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0127128000; - when : "CLK&D&!DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0161133000; - when : "CLK&D&!DE&SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0137346000; - when : "!CLK&D&!DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0133649000; - when : "CLK&!D&DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0167654000; - when : "CLK&!D&DE&SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0143867000; - when : "!CLK&!D&DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0175747000; - when : "CLK&D&DE&SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0182340000; - when : "!CLK&D&DE&SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0135209000; - when : "CLK&!D&DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0115569000; - when : "CLK&D&!DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0153258000; - when : "CLK&D&DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0145826000; - when : "CLK&D&DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0136495000; - when : "!CLK&D&DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0127908000; - when : "CLK&D&!DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0152346000; - when : "CLK&!D&DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0128833000; - when : "CLK&!D&!DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0162822000; - when : "CLK&!D&!DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0136748000; - when : "!CLK&!D&!DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0141172000; - when : "CLK&!D&!DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0149558000; - when : "CLK&D&!DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0123484000; - when : "!CLK&D&!DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0143615000; - when : "CLK&!D&DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0147922000; - when : "!CLK&!D&DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0148834000; - when : "!CLK&D&DE&SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0163407000; - when : "CLK&D&DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0129089000; - when : "CLK&!D&!DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0135461000; - when : "!CLK&!D&DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0122681000; - when : "!CLK&!D&!DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0131238000; - when : "CLK&D&!DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0124830000; - when : "!CLK&D&!DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0129316000; - when : "!CLK&!D&!DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0133740000; - when : "CLK&!D&!DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0116052000; - when : "!CLK&D&!DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0126791000; - when : "!CLK&!D&!DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0128940000; - when : "!CLK&D&!DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0124409000; - when : "!CLK&!D&!DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0111145000; - when : "!CLK&D&!DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0126953000; - when : "!CLK&!D&DE&!SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0158676000; - when : "!CLK&!D&!DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0149927000; - when : "!CLK&!D&!DE&SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0131063000; - when : "!CLK&!D&DE&!SCE&SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0145412000; - when : "!CLK&D&!DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0139469000; - when : "!CLK&!D&DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0152076000; - when : "!CLK&D&!DE&SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0158597000; - when : "!CLK&!D&DE&SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0159257000; - when : "!CLK&D&DE&!SCE&!SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0154350000; - when : "!CLK&D&DE&!SCE&SCD&!Q&Q_N"; - } - leakage_power () { - value : 0.0170762000; - when : "!CLK&D&DE&SCE&!SCD&Q&!Q_N"; - } - leakage_power () { - value : 0.0166690000; - when : "!CLK&D&DE&SCE&SCD&!Q&Q_N"; - } - area : 41.289600000; - cell_footprint : "sky130_fd_sc_hd__sedfxbp"; - cell_leakage_power : 0.0142845700; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&DE&!SCE) | (IQ&!DE&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017640000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016850000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0273254000, 0.0272028000, 0.0269202000, 0.0269450000, 0.0270024000, 0.0271348000, 0.0274400000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0226670000, 0.0225571000, 0.0223039000, 0.0222968000, 0.0222806000, 0.0222434000, 0.0221577000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018430000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3235761000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4510034000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0017660000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016750000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0157075000, 0.0155437000, 0.0151661000, 0.0152721000, 0.0155165000, 0.0160800000, 0.0173788000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092538000, 0.0092348000, 0.0091911000, 0.0092021000, 0.0092279000, 0.0092872000, 0.0094239000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018570000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4060527000, 0.5995260000, 0.9368470000", \ - "0.2784974000, 0.4695293000, 0.8117331000", \ - "0.1767721000, 0.3714662000, 0.7124492000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2070781000, 0.3639303000, 0.5511048000", \ - "0.1442201000, 0.3010723000, 0.4833639000", \ - "0.1206198000, 0.2774720000, 0.4622051000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.321486300, -0.512518200, -0.843735700", \ - "-0.213462200, -0.406935600, -0.741815100", \ - "-0.126385400, -0.322300100, -0.660841800"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.184767600, -0.339178400, -0.514145800", \ - "-0.125571600, -0.278761700, -0.457391300", \ - "-0.101971400, -0.255161500, -0.437453100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("DE") { - capacitance : 0.0033020000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0031760000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0112442000, 0.0111068000, 0.0107900000, 0.0108582000, 0.0110154000, 0.0113780000, 0.0122136000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0001600000, 2.5085856e-05, -0.000285800, -0.000228500, -9.6142454e-05, 0.0002088000, 0.0009117000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0034290000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3926250000, 0.5787741000, 0.9136536000", \ - "0.2833802000, 0.4695293000, 0.8080710000", \ - "0.1914206000, 0.3763490000, 0.7185528000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4438945000, 0.5336081000, 0.5718568000", \ - "0.3151185000, 0.4060527000, 0.4430807000", \ - "0.2133932000, 0.3031068000, 0.3425762000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.244582000, -0.412420600, -0.594712200", \ - "-0.182944700, -0.349562500, -0.529412700", \ - "-0.155682300, -0.323520800, -0.505812500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.199416000, -0.337957700, -0.504380200", \ - "-0.140220100, -0.277541000, -0.445184200", \ - "-0.119061200, -0.256382100, -0.425246100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("-0.008245500, -0.003113100, 0.0074282000, 0.0186567000, 0.0043237000, -0.093624400, -0.421212100", \ - "-0.008193200, -0.003063900, 0.0074696000, 0.0186764000, 0.0043047000, -0.093686500, -0.421325300", \ - "-0.008078200, -0.002964300, 0.0075043000, 0.0186351000, 0.0041722000, -0.093889600, -0.421510000", \ - "-0.008089700, -0.003002600, 0.0074336000, 0.0185022000, 0.0039509000, -0.094123900, -0.421839400", \ - "-0.008101500, -0.003049700, 0.0073058000, 0.0182510000, 0.0035572000, -0.094602400, -0.422253400", \ - "-0.008084800, -0.003038000, 0.0072948000, 0.0181971000, 0.0034724000, -0.094636000, -0.422289000", \ - "-0.008014900, -0.002915900, 0.0075318000, 0.0186474000, 0.0041329000, -0.093925300, -0.421521800"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014632040, 0.0042819320, 0.0125306800, 0.0366698700, 0.1073110000, 0.3140358000"); - values("-0.009682800, -0.006675400, 0.0011818000, 0.0199323000, 0.0655312000, 0.1826591000, 0.5144091000", \ - "-0.009630600, -0.006630800, 0.0012280000, 0.0199174000, 0.0655183000, 0.1821286000, 0.5148122000", \ - "-0.009509200, -0.006512200, 0.0013142000, 0.0199799000, 0.0655963000, 0.1819542000, 0.5182220000", \ - "-0.009523400, -0.006559900, 0.0012152000, 0.0197819000, 0.0652818000, 0.1820128000, 0.5134236000", \ - "-0.009527200, -0.006579500, 0.0011400000, 0.0196159000, 0.0650512000, 0.1813513000, 0.5134649000", \ - "-0.009511800, -0.006570700, 0.0011236000, 0.0195720000, 0.0649707000, 0.1817964000, 0.5146610000", \ - "-0.009374500, -0.006269900, 0.0017941000, 0.0209912000, 0.0656179000, 0.1821001000, 0.5146466000"); - } - } - max_capacitance : 0.3140360000; - max_transition : 1.4974950000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.3944553000, 0.4046026000, 0.4284416000, 0.4747995000, 0.5602561000, 0.7250379000, 1.0826151000", \ - "0.3991119000, 0.4095536000, 0.4330347000, 0.4794256000, 0.5649142000, 0.7297001000, 1.0873482000", \ - "0.4101889000, 0.4206563000, 0.4441152000, 0.4904539000, 0.5759275000, 0.7407151000, 1.0984462000", \ - "0.4357914000, 0.4462320000, 0.4697936000, 0.5160016000, 0.6014543000, 0.7663048000, 1.1238526000", \ - "0.4853473000, 0.4958208000, 0.5192739000, 0.5655685000, 0.6510159000, 0.8158058000, 1.1735177000", \ - "0.5615463000, 0.5719790000, 0.5954388000, 0.6421284000, 0.7273002000, 0.8921963000, 1.2497473000", \ - "0.6639429000, 0.6743537000, 0.6978806000, 0.7443061000, 0.8296291000, 0.9945677000, 1.3520038000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.3161884000, 0.3237851000, 0.3413469000, 0.3795113000, 0.4691585000, 0.7137827000, 1.3968324000", \ - "0.3207934000, 0.3283763000, 0.3460290000, 0.3841109000, 0.4737343000, 0.7182805000, 1.3992142000", \ - "0.3319800000, 0.3395848000, 0.3571344000, 0.3953049000, 0.4849220000, 0.7294586000, 1.4122733000", \ - "0.3579387000, 0.3655032000, 0.3830097000, 0.4212154000, 0.5108405000, 0.7554286000, 1.4363535000", \ - "0.4084768000, 0.4160876000, 0.4336425000, 0.4718105000, 0.5614265000, 0.8059744000, 1.4882988000", \ - "0.4833270000, 0.4909664000, 0.5084396000, 0.5465065000, 0.6362459000, 0.8808175000, 1.5612881000", \ - "0.5809603000, 0.5886077000, 0.6061665000, 0.6442616000, 0.7340124000, 0.9786558000, 1.6625107000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0572274000, 0.0633079000, 0.0777261000, 0.1076392000, 0.1682094000, 0.3102238000, 0.7139321000", \ - "0.0570337000, 0.0635341000, 0.0787750000, 0.1071211000, 0.1679083000, 0.3108008000, 0.7153711000", \ - "0.0570484000, 0.0636679000, 0.0787055000, 0.1067916000, 0.1681341000, 0.3104447000, 0.7126474000", \ - "0.0572825000, 0.0632521000, 0.0785666000, 0.1066628000, 0.1680954000, 0.3105607000, 0.7122261000", \ - "0.0570467000, 0.0637076000, 0.0786904000, 0.1067224000, 0.1681482000, 0.3106660000, 0.7126650000", \ - "0.0572269000, 0.0634991000, 0.0785926000, 0.1080852000, 0.1680310000, 0.3102416000, 0.7137214000", \ - "0.0571790000, 0.0636407000, 0.0781441000, 0.1088602000, 0.1681949000, 0.3105984000, 0.7158592000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014632000, 0.0042819300, 0.0125307000, 0.0366699000, 0.1073110000, 0.3140360000"); - values("0.0300897000, 0.0360228000, 0.0506814000, 0.0883051000, 0.2008878000, 0.5296530000, 1.4972835000", \ - "0.0301235000, 0.0360330000, 0.0506183000, 0.0883077000, 0.2008469000, 0.5289967000, 1.4972011000", \ - "0.0300263000, 0.0359449000, 0.0503532000, 0.0884626000, 0.2008833000, 0.5297060000, 1.4974948000", \ - "0.0301083000, 0.0360362000, 0.0504356000, 0.0883146000, 0.2007980000, 0.5292135000, 1.4947903000", \ - "0.0300647000, 0.0359628000, 0.0503902000, 0.0884535000, 0.2008937000, 0.5309367000, 1.4961195000", \ - "0.0299518000, 0.0356294000, 0.0505180000, 0.0880763000, 0.2008285000, 0.5288951000, 1.4960010000", \ - "0.0300603000, 0.0358402000, 0.0507522000, 0.0884566000, 0.2010851000, 0.5287479000, 1.4950207000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("-0.007955000, -0.002359900, 0.0092603000, 0.0228283000, 0.0131131000, -0.079819200, -0.392341300", \ - "-0.007903100, -0.002310300, 0.0092936000, 0.0228594000, 0.0131184000, -0.079795900, -0.392326800", \ - "-0.007782900, -0.002204400, 0.0093761000, 0.0228903000, 0.0131543000, -0.079839900, -0.392357800", \ - "-0.007795200, -0.002241200, 0.0092802000, 0.0226951000, 0.0128638000, -0.080181200, -0.392729100", \ - "-0.007801000, -0.002271500, 0.0091981000, 0.0225155000, 0.0125908000, -0.080497500, -0.393068500", \ - "-0.007787800, -0.002267600, 0.0091777000, 0.0224701000, 0.0125057000, -0.080581500, -0.393170700", \ - "-0.007578200, -0.001777300, 0.0102889000, 0.0242578000, 0.0135131000, -0.079824700, -0.392350900"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("-0.009578800, -0.006396700, 0.0020246000, 0.0228319000, 0.0685735000, 0.1814948000, 0.5018358000", \ - "-0.009524800, -0.006345100, 0.0020696000, 0.0228457000, 0.0685540000, 0.1819906000, 0.4993848000", \ - "-0.009411500, -0.006254000, 0.0021040000, 0.0228375000, 0.0684652000, 0.1821068000, 0.5016514000", \ - "-0.009421800, -0.006287000, 0.0020246000, 0.0226720000, 0.0682070000, 0.1811626000, 0.4985805000", \ - "-0.009429200, -0.006321800, 0.0019340000, 0.0224729000, 0.0678880000, 0.1808579000, 0.4993493000", \ - "-0.009418600, -0.006320900, 0.0019089000, 0.0223669000, 0.0677698000, 0.1806493000, 0.4982211000", \ - "-0.009174700, -0.005770300, 0.0028897000, 0.0227774000, 0.0684614000, 0.1811754000, 0.4987959000"); - } - } - max_capacitance : 0.3012180000; - max_transition : 1.5003940000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.4777122000, 0.4845296000, 0.4996175000, 0.5297363000, 0.5883359000, 0.7171236000, 1.0587640000", \ - "0.4821925000, 0.4889750000, 0.5040878000, 0.5341313000, 0.5928106000, 0.7215306000, 1.0629232000", \ - "0.4935442000, 0.5003479000, 0.5154618000, 0.5455546000, 0.6041711000, 0.7329188000, 1.0741190000", \ - "0.5191908000, 0.5259928000, 0.5411016000, 0.5711351000, 0.6298073000, 0.7585668000, 1.1000850000", \ - "0.5699106000, 0.5767180000, 0.5918253000, 0.6219039000, 0.6804988000, 0.8092274000, 1.1505952000", \ - "0.6448923000, 0.6517215000, 0.6668096000, 0.6968532000, 0.7555342000, 0.8843014000, 1.2262660000", \ - "0.7423144000, 0.7491081000, 0.7642328000, 0.7943119000, 0.8528909000, 0.9816684000, 1.3225938000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.6254456000, 0.6337854000, 0.6522972000, 0.6898846000, 0.7760392000, 1.0125986000, 1.6938235000", \ - "0.6301752000, 0.6386257000, 0.6570964000, 0.6946849000, 0.7808717000, 1.0173198000, 1.6965880000", \ - "0.6412659000, 0.6496143000, 0.6681236000, 0.7057147000, 0.7918896000, 1.0284401000, 1.7096682000", \ - "0.6666835000, 0.6751220000, 0.6935842000, 0.7311761000, 0.8173923000, 1.0537720000, 1.7343833000", \ - "0.7167185000, 0.7250791000, 0.7435845000, 0.7811874000, 0.8673730000, 1.1038630000, 1.7840867000", \ - "0.7921537000, 0.8006013000, 0.8190752000, 0.8566809000, 0.9428840000, 1.1793641000, 1.8601253000", \ - "0.8950055000, 0.9033329000, 0.9218622000, 0.9594708000, 1.0456604000, 1.2819372000, 1.9622122000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0302683000, 0.0343170000, 0.0436334000, 0.0646568000, 0.1163174000, 0.2597602000, 0.7069583000", \ - "0.0301640000, 0.0342109000, 0.0437869000, 0.0646907000, 0.1159734000, 0.2597148000, 0.7046786000", \ - "0.0299852000, 0.0342714000, 0.0436573000, 0.0647115000, 0.1160945000, 0.2599032000, 0.7022316000", \ - "0.0300829000, 0.0344056000, 0.0441727000, 0.0646131000, 0.1160338000, 0.2592328000, 0.7103695000", \ - "0.0302141000, 0.0344518000, 0.0438024000, 0.0646509000, 0.1161517000, 0.2600153000, 0.7045602000", \ - "0.0301787000, 0.0344516000, 0.0437390000, 0.0646003000, 0.1161952000, 0.2593448000, 0.7076215000", \ - "0.0300664000, 0.0344095000, 0.0438236000, 0.0646676000, 0.1159816000, 0.2595914000, 0.7064045000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0366168000, 0.0418242000, 0.0545179000, 0.0884004000, 0.1954237000, 0.5256313000, 1.4966786000", \ - "0.0365996000, 0.0420692000, 0.0544896000, 0.0883546000, 0.1953713000, 0.5249803000, 1.4966832000", \ - "0.0366020000, 0.0418239000, 0.0545371000, 0.0883872000, 0.1953525000, 0.5255549000, 1.4960378000", \ - "0.0365506000, 0.0420013000, 0.0544664000, 0.0885121000, 0.1952017000, 0.5249162000, 1.4941347000", \ - "0.0365610000, 0.0418284000, 0.0545742000, 0.0884438000, 0.1954414000, 0.5251437000, 1.5003936000", \ - "0.0365621000, 0.0420594000, 0.0544924000, 0.0883616000, 0.1953603000, 0.5255713000, 1.4987463000", \ - "0.0366164000, 0.0419866000, 0.0547652000, 0.0884904000, 0.1953151000, 0.5247701000, 1.4951331000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("SCD") { - capacitance : 0.0017260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0032142000, 0.0032107000, 0.0032025000, 0.0032035000, 0.0032061000, 0.0032121000, 0.0032260000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003111900, -0.003122300, -0.003146200, -0.003149100, -0.003155600, -0.003170500, -0.003204900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017820000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2937480000, 0.4811179000, 0.7964662000", \ - "0.1613099000, 0.3499004000, 0.6664694000", \ - "0.0547018000, 0.2432923000, 0.5623027000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1143047000, 0.2406393000, 0.3643372000", \ - "0.0465638000, 0.1716777000, 0.2904928000", \ - "0.0144186000, 0.1383119000, 0.2583477000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.216505900, -0.403875600, -0.714341200", \ - "-0.099936800, -0.288527400, -0.602654900", \ - "-0.006756500, -0.195347000, -0.511916000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.101759800, -0.226873700, -0.343247400", \ - "-0.032798200, -0.156691400, -0.275506500", \ - "0.0017884000, -0.122104800, -0.242140600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0029540000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0029720000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0105900000, 0.0105176000, 0.0103508000, 0.0104153000, 0.0105642000, 0.0109073000, 0.0116982000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0102589000, 0.0101386000, 0.0098612000, 0.0098793000, 0.0099212000, 0.0100180000, 0.0102409000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0029370000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2778789000, 0.4603659000, 0.7464173000", \ - "0.1491029000, 0.3315899000, 0.6225241000", \ - "0.0473776000, 0.2298646000, 0.5207988000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3059551000, 0.3956686000, 0.4375794000", \ - "0.1735169000, 0.2620098000, 0.3063620000", \ - "0.0681296000, 0.1578431000, 0.1997539000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.184767600, -0.369696000, -0.658188800", \ - "-0.075522800, -0.259230500, -0.551385400", \ - "0.0103333000, -0.172153600, -0.460646500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.087111300, -0.219549500, -0.318833300", \ - "-0.020591100, -0.148146500, -0.248651000", \ - "0.0127747000, -0.114780600, -0.215285200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&DE) | (IQ&!DE)"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("DE") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("Q_N") { - direction : "output"; - function : "IQ_N"; - signal_type : "test_scan_out_inverted"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sedfxtp_1") { - leakage_power () { - value : 0.0075659000; - when : "CLK&!D&DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0065036000; - when : "CLK&D&!DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0119468000; - when : "CLK&D&DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0085479000; - when : "CLK&D&DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0088524000; - when : "!CLK&D&DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0164637000; - when : "CLK&D&DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0121302000; - when : "CLK&!D&!DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0142488000; - when : "CLK&!D&DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0134082000; - when : "CLK&!D&DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0126372000; - when : "!CLK&!D&DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0135967000; - when : "CLK&D&!DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0155307000; - when : "CLK&!D&!DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0130218000; - when : "!CLK&!D&!DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0133818000; - when : "CLK&!D&!DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0180961000; - when : "CLK&D&DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0123451000; - when : "CLK&D&!DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0157456000; - when : "CLK&D&!DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0132367000; - when : "!CLK&D&!DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0129972000; - when : "CLK&!D&DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0163976000; - when : "CLK&!D&DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0138888000; - when : "!CLK&!D&DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0172069000; - when : "CLK&D&DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0177361000; - when : "!CLK&D&DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0079769000; - when : "CLK&!D&DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0060129000; - when : "CLK&D&!DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0097818000; - when : "CLK&D&DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0090386000; - when : "CLK&D&DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0083617000; - when : "!CLK&D&DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0072468000; - when : "CLK&D&!DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0096906000; - when : "CLK&!D&DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0073393000; - when : "CLK&!D&!DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0107382000; - when : "CLK&!D&!DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0083871000; - when : "!CLK&!D&!DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0085732000; - when : "CLK&!D&!DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0094118000; - when : "CLK&D&!DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0070607000; - when : "!CLK&D&!DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0088175000; - when : "CLK&!D&DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0095044000; - when : "!CLK&!D&DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0095957000; - when : "!CLK&D&DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0159730000; - when : "CLK&D&DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0125412000; - when : "CLK&!D&!DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0130481000; - when : "!CLK&!D&DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0117702000; - when : "!CLK&!D&!DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0127561000; - when : "CLK&D&!DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0119851000; - when : "!CLK&D&!DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0076438000; - when : "!CLK&!D&!DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0078300000; - when : "CLK&!D&!DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0063174000; - when : "!CLK&D&!DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0121812000; - when : "!CLK&!D&!DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0123961000; - when : "!CLK&D&!DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0071531000; - when : "!CLK&!D&!DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0058267000; - when : "!CLK&D&!DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0074489000; - when : "!CLK&!D&DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0106211000; - when : "!CLK&!D&!DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0144733000; - when : "!CLK&!D&!DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0078598000; - when : "!CLK&!D&DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0092947000; - when : "!CLK&D&!DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0087005000; - when : "!CLK&!D&DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0146882000; - when : "!CLK&D&!DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0153403000; - when : "!CLK&!D&DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0154063000; - when : "!CLK&D&DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0149156000; - when : "!CLK&D&DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0118297000; - when : "!CLK&D&DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0161496000; - when : "!CLK&D&DE&SCE&SCD&!Q"; - } - area : 36.284800000; - cell_footprint : "sky130_fd_sc_hd__sedfxtp"; - cell_leakage_power : 0.0113620800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&DE&!SCE) | (IQ&!DE&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017670000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016810000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0273759000, 0.0272568000, 0.0269822000, 0.0270061000, 0.0270610000, 0.0271879000, 0.0274803000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0226249000, 0.0225167000, 0.0222673000, 0.0222621000, 0.0222502000, 0.0222228000, 0.0221598000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018530000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3246746000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3828957000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0017660000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0156823000, 0.0155161000, 0.0151332000, 0.0152410000, 0.0154893000, 0.0160621000, 0.0173823000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092523000, 0.0092325000, 0.0091870000, 0.0091983000, 0.0092244000, 0.0092848000, 0.0094239000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018570000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4060527000, 0.5995260000, 0.9368470000", \ - "0.2784974000, 0.4707500000, 0.8117331000", \ - "0.1767721000, 0.3714662000, 0.7124492000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2070781000, 0.3627096000, 0.5498841000", \ - "0.1442201000, 0.3010723000, 0.4833639000", \ - "0.1218405000, 0.2774720000, 0.4622051000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.322707000, -0.512518200, -0.846177100", \ - "-0.214682900, -0.408156200, -0.744256500", \ - "-0.127606100, -0.323520800, -0.662062500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.184767600, -0.337957700, -0.514145800", \ - "-0.125571600, -0.278761700, -0.456170600", \ - "-0.101971400, -0.255161500, -0.438673800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("DE") { - capacitance : 0.0032910000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0031740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0112380000, 0.0111035000, 0.0107934000, 0.0108588000, 0.0110094000, 0.0113568000, 0.0121574000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0001654000, 2.4954594e-05, -0.000298800, -0.000242900, -0.000114100, 0.0001830000, 0.0008677000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0034080000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3926250000, 0.5787741000, 0.9136536000", \ - "0.2833802000, 0.4683086000, 0.8068502000", \ - "0.1901999000, 0.3775697000, 0.7185528000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4438945000, 0.5336081000, 0.5718568000", \ - "0.3163392000, 0.4060527000, 0.4443014000", \ - "0.2146139000, 0.3043275000, 0.3425762000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.244582000, -0.412420600, -0.594712200", \ - "-0.182944700, -0.350783200, -0.529412700", \ - "-0.156903000, -0.323520800, -0.505812500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.199416000, -0.337957700, -0.504380200", \ - "-0.140220100, -0.277541000, -0.445184200", \ - "-0.119061200, -0.256382100, -0.425246100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013225070, 0.0034980500, 0.0092523930, 0.0244727100, 0.0647306700, 0.1712136000"); - values("0.0177487000, 0.0164727000, 0.0132175000, 0.0061286000, -0.016312500, -0.080739800, -0.253037900", \ - "0.0178301000, 0.0165573000, 0.0132993000, 0.0062138000, -0.016232400, -0.080663500, -0.252957300", \ - "0.0177198000, 0.0164488000, 0.0131921000, 0.0061314000, -0.016338000, -0.080776900, -0.253054400", \ - "0.0173995000, 0.0161388000, 0.0128831000, 0.0057963000, -0.016651700, -0.081095000, -0.253373700", \ - "0.0169286000, 0.0156630000, 0.0124109000, 0.0053171000, -0.017132500, -0.081571100, -0.253858700", \ - "0.0168856000, 0.0156173000, 0.0123498000, 0.0052609000, -0.017210600, -0.081625800, -0.253903200", \ - "0.0224879000, 0.0211264000, 0.0176107000, 0.0084085000, -0.016016100, -0.080940100, -0.253211100"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013225070, 0.0034980500, 0.0092523930, 0.0244727100, 0.0647306700, 0.1712136000"); - values("0.0249766000, 0.0264617000, 0.0304846000, 0.0404088000, 0.0651781000, 0.1293204000, 0.3007520000", \ - "0.0250104000, 0.0265043000, 0.0305336000, 0.0404475000, 0.0650669000, 0.1293000000, 0.3019257000", \ - "0.0249414000, 0.0264404000, 0.0304677000, 0.0403897000, 0.0649419000, 0.1301078000, 0.3007076000", \ - "0.0247224000, 0.0261517000, 0.0301741000, 0.0401188000, 0.0646595000, 0.1298316000, 0.3016988000", \ - "0.0243509000, 0.0257809000, 0.0297816000, 0.0397053000, 0.0644837000, 0.1286227000, 0.2997006000", \ - "0.0243255000, 0.0257516000, 0.0297600000, 0.0397297000, 0.0642762000, 0.1294076000, 0.3012666000", \ - "0.0264026000, 0.0278192000, 0.0313900000, 0.0408201000, 0.0650701000, 0.1297988000, 0.3001015000"); - } - } - max_capacitance : 0.1712140000; - max_transition : 1.5031800000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013225100, 0.0034980500, 0.0092523900, 0.0244727000, 0.0647307000, 0.1712140000"); - values("0.3141903000, 0.3261226000, 0.3505062000, 0.3968251000, 0.4816206000, 0.6368128000, 0.9889732000", \ - "0.3190684000, 0.3309089000, 0.3554165000, 0.4016640000, 0.4864639000, 0.6417014000, 0.9940412000", \ - "0.3300632000, 0.3419546000, 0.3663580000, 0.4126630000, 0.4974447000, 0.6526775000, 1.0049030000", \ - "0.3555980000, 0.3675253000, 0.3919743000, 0.4382289000, 0.5230093000, 0.6782461000, 1.0305576000", \ - "0.4051813000, 0.4170357000, 0.4414467000, 0.4876863000, 0.5724550000, 0.7276993000, 1.0799938000", \ - "0.4814353000, 0.4935694000, 0.5181207000, 0.5642989000, 0.6491419000, 0.8043900000, 1.1567234000", \ - "0.5841157000, 0.5959861000, 0.6205955000, 0.6668384000, 0.7516993000, 0.9070517000, 1.2590715000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013225100, 0.0034980500, 0.0092523900, 0.0244727000, 0.0647307000, 0.1712140000"); - values("0.2922530000, 0.3010718000, 0.3202681000, 0.3626584000, 0.4624516000, 0.7083837000, 1.3557659000", \ - "0.2968710000, 0.3057224000, 0.3249231000, 0.3673006000, 0.4670813000, 0.7129778000, 1.3635171000", \ - "0.3080155000, 0.3168509000, 0.3360718000, 0.3784434000, 0.4782203000, 0.7240571000, 1.3714527000", \ - "0.3343213000, 0.3429178000, 0.3622312000, 0.4045965000, 0.5043003000, 0.7505386000, 1.3999016000", \ - "0.3851199000, 0.3937906000, 0.4130332000, 0.4554077000, 0.5551996000, 0.8011102000, 1.4515895000", \ - "0.4599293000, 0.4685214000, 0.4878507000, 0.5302244000, 0.6299454000, 0.8762018000, 1.5222660000", \ - "0.5562150000, 0.5649396000, 0.5842976000, 0.6267082000, 0.7265213000, 0.9724751000, 1.6214901000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013225100, 0.0034980500, 0.0092523900, 0.0244727000, 0.0647307000, 0.1712140000"); - values("0.0490752000, 0.0567226000, 0.0738533000, 0.1059570000, 0.1711446000, 0.3223438000, 0.7543087000", \ - "0.0485970000, 0.0564847000, 0.0733431000, 0.1061279000, 0.1713383000, 0.3212203000, 0.7625373000", \ - "0.0484711000, 0.0566660000, 0.0737475000, 0.1060047000, 0.1713662000, 0.3215402000, 0.7619382000", \ - "0.0484530000, 0.0565658000, 0.0734636000, 0.1060992000, 0.1715411000, 0.3212600000, 0.7623776000", \ - "0.0484818000, 0.0566207000, 0.0735515000, 0.1060938000, 0.1716820000, 0.3211538000, 0.7577014000", \ - "0.0486845000, 0.0565592000, 0.0728965000, 0.1064298000, 0.1715218000, 0.3217539000, 0.7625215000", \ - "0.0497800000, 0.0571886000, 0.0740058000, 0.1067105000, 0.1718476000, 0.3217293000, 0.7583801000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013225100, 0.0034980500, 0.0092523900, 0.0244727000, 0.0647307000, 0.1712140000"); - values("0.0309160000, 0.0383929000, 0.0572913000, 0.1062929000, 0.2333330000, 0.5746260000, 1.5002184000", \ - "0.0308630000, 0.0384237000, 0.0573756000, 0.1063651000, 0.2334327000, 0.5747666000, 1.5031797000", \ - "0.0309093000, 0.0384124000, 0.0574032000, 0.1063972000, 0.2333961000, 0.5748398000, 1.4957654000", \ - "0.0305590000, 0.0383401000, 0.0571688000, 0.1063674000, 0.2332430000, 0.5751677000, 1.4986049000", \ - "0.0306844000, 0.0383275000, 0.0572597000, 0.1063440000, 0.2332713000, 0.5747459000, 1.5020182000", \ - "0.0305667000, 0.0384063000, 0.0572033000, 0.1064461000, 0.2333570000, 0.5760177000, 1.5018754000", \ - "0.0308461000, 0.0384354000, 0.0574328000, 0.1068307000, 0.2333704000, 0.5740520000, 1.4992911000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("SCD") { - capacitance : 0.0017270000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016710000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0031986000, 0.0031990000, 0.0032001000, 0.0032013000, 0.0032041000, 0.0032108000, 0.0032262000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.003115600, -0.003127300, -0.003154100, -0.003153800, -0.003153300, -0.003152000, -0.003148900"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017830000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2937480000, 0.4823385000, 0.7964662000", \ - "0.1613099000, 0.3499004000, 0.6664694000", \ - "0.0547018000, 0.2432923000, 0.5623027000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1130840000, 0.2406393000, 0.3618958000", \ - "0.0465638000, 0.1716777000, 0.2904928000", \ - "0.0144186000, 0.1383119000, 0.2583477000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.216505900, -0.405096400, -0.716782500", \ - "-0.101157600, -0.288527400, -0.603875600", \ - "-0.007977200, -0.195347000, -0.513136700"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.101759800, -0.226873700, -0.343247400", \ - "-0.032798200, -0.156691400, -0.274285800", \ - "0.0017884000, -0.122104800, -0.240919900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0029610000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0029830000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0105908000, 0.0105188000, 0.0103527000, 0.0104176000, 0.0105673000, 0.0109126000, 0.0117086000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0102665000, 0.0101421000, 0.0098554000, 0.0098725000, 0.0099119000, 0.0100029000, 0.0102126000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0029380000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2790996000, 0.4615866000, 0.7476380000", \ - "0.1491029000, 0.3315899000, 0.6225241000", \ - "0.0485983000, 0.2298646000, 0.5207988000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3059551000, 0.3956686000, 0.4375794000", \ - "0.1735169000, 0.2620098000, 0.3063620000", \ - "0.0681296000, 0.1578431000, 0.1997539000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.187209000, -0.372137400, -0.660630200", \ - "-0.076743500, -0.260451200, -0.552606100", \ - "0.0091126000, -0.173374400, -0.463087900"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.087111300, -0.219549500, -0.318833300", \ - "-0.019370400, -0.148146500, -0.249871700", \ - "0.0127747000, -0.114780600, -0.215285200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&DE) | (IQ&!DE)"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("DE") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sedfxtp_2") { - leakage_power () { - value : 0.0080069000; - when : "CLK&!D&DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0069446000; - when : "CLK&D&!DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0123878000; - when : "CLK&D&DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0089889000; - when : "CLK&D&DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0092934000; - when : "!CLK&D&DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0159507000; - when : "CLK&D&DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0116173000; - when : "CLK&!D&!DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0137358000; - when : "CLK&!D&DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0128952000; - when : "CLK&!D&DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0121242000; - when : "!CLK&!D&DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0130837000; - when : "CLK&D&!DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0150177000; - when : "CLK&!D&!DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0125088000; - when : "!CLK&!D&!DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0128689000; - when : "CLK&!D&!DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0175832000; - when : "CLK&D&DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0118322000; - when : "CLK&D&!DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0152326000; - when : "CLK&D&!DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0127237000; - when : "!CLK&D&!DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0124843000; - when : "CLK&!D&DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0158847000; - when : "CLK&!D&DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0133758000; - when : "!CLK&!D&DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0166940000; - when : "CLK&D&DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0172232000; - when : "!CLK&D&DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0084179000; - when : "CLK&!D&DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0064539000; - when : "CLK&D&!DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0102228000; - when : "CLK&D&DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0094796000; - when : "CLK&D&DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0088027000; - when : "!CLK&D&DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0076878000; - when : "CLK&D&!DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0101316000; - when : "CLK&!D&DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0077803000; - when : "CLK&!D&!DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0111792000; - when : "CLK&!D&!DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0088281000; - when : "!CLK&!D&!DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0090142000; - when : "CLK&!D&!DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0098528000; - when : "CLK&D&!DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0075017000; - when : "!CLK&D&!DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0092585000; - when : "CLK&!D&DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0099454000; - when : "!CLK&!D&DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0100367000; - when : "!CLK&D&DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0154600000; - when : "CLK&D&DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0120282000; - when : "CLK&!D&!DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0125352000; - when : "!CLK&!D&DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0112573000; - when : "!CLK&!D&!DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0122431000; - when : "CLK&D&!DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0114722000; - when : "!CLK&D&!DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0080848000; - when : "!CLK&!D&!DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0082710000; - when : "CLK&!D&!DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0067584000; - when : "!CLK&D&!DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0116682000; - when : "!CLK&!D&!DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0118831000; - when : "!CLK&D&!DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0075941000; - when : "!CLK&!D&!DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0062677000; - when : "!CLK&D&!DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0078899000; - when : "!CLK&!D&DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0110621000; - when : "!CLK&!D&!DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0139603000; - when : "!CLK&!D&!DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0083008000; - when : "!CLK&!D&DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0097357000; - when : "!CLK&D&!DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0091414000; - when : "!CLK&!D&DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0141752000; - when : "!CLK&D&!DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0148273000; - when : "!CLK&!D&DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0148934000; - when : "!CLK&D&DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0144027000; - when : "!CLK&D&DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0122707000; - when : "!CLK&D&DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0156366000; - when : "!CLK&D&DE&SCE&SCD&!Q"; - } - area : 37.536000000; - cell_footprint : "sky130_fd_sc_hd__sedfxtp"; - cell_leakage_power : 0.0113261000; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&DE&!SCE) | (IQ&!DE&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017680000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016830000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0272423000, 0.0271187000, 0.0268337000, 0.0268639000, 0.0269332000, 0.0270932000, 0.0274619000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0226624000, 0.0225508000, 0.0222936000, 0.0222868000, 0.0222712000, 0.0222354000, 0.0221529000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018530000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3235761000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4279346000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0017650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016740000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0155800000, 0.0154159000, 0.0150375000, 0.0151363000, 0.0153641000, 0.0158893000, 0.0171000000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092483000, 0.0092299000, 0.0091876000, 0.0091994000, 0.0092265000, 0.0092892000, 0.0094338000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018570000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4072734000, 0.5995260000, 0.9368470000", \ - "0.2784974000, 0.4707500000, 0.8117331000", \ - "0.1779928000, 0.3714662000, 0.7136699000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2070781000, 0.3639303000, 0.5511048000", \ - "0.1442201000, 0.3010723000, 0.4845846000", \ - "0.1218405000, 0.2774720000, 0.4622051000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.320265600, -0.511297500, -0.843735700", \ - "-0.213462200, -0.406935600, -0.741815100", \ - "-0.126385400, -0.322300100, -0.660841800"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.184767600, -0.339178400, -0.514145800", \ - "-0.125571600, -0.278761700, -0.456170600", \ - "-0.101971400, -0.255161500, -0.437453100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("DE") { - capacitance : 0.0032920000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0031770000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0111004000, 0.0109570000, 0.0106264000, 0.0106996000, 0.0108682000, 0.0112572000, 0.0121537000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0001561000, 1.8930252e-05, -0.000297200, -0.000240800, -0.000110900, 0.0001887000, 0.0008795000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0034080000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3926250000, 0.5787741000, 0.9136536000", \ - "0.2833802000, 0.4683086000, 0.8068502000", \ - "0.1914206000, 0.3763490000, 0.7185528000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4438945000, 0.5348288000, 0.5718568000", \ - "0.3163392000, 0.4060527000, 0.4443014000", \ - "0.2146139000, 0.3043275000, 0.3425762000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.244582000, -0.412420600, -0.594712200", \ - "-0.182944700, -0.350783200, -0.530633400", \ - "-0.156903000, -0.323520800, -0.505812500"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.199416000, -0.337957700, -0.504380200", \ - "-0.140220100, -0.277541000, -0.445184200", \ - "-0.119061200, -0.256382100, -0.425246100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000"); - values("0.0181159000, 0.0164665000, 0.0122714000, 0.0027590000, -0.032546100, -0.145560700, -0.482052100", \ - "0.0182034000, 0.0165472000, 0.0123448000, 0.0028421000, -0.032435900, -0.145484100, -0.481971000", \ - "0.0181170000, 0.0164566000, 0.0122651000, 0.0027523000, -0.032560900, -0.145578700, -0.482064300", \ - "0.0178052000, 0.0161497000, 0.0119380000, 0.0024637000, -0.032899800, -0.145885100, -0.482391000", \ - "0.0173106000, 0.0156562000, 0.0114426000, 0.0019525000, -0.033397100, -0.146398800, -0.482868000", \ - "0.0172763000, 0.0156913000, 0.0114267000, 0.0019769000, -0.033411900, -0.146377600, -0.482843600", \ - "0.0275254000, 0.0257176000, 0.0207833000, 0.0072351000, -0.031844300, -0.145800300, -0.482257200"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014646220, 0.0042902380, 0.0125671600, 0.0368122800, 0.1078322000, 0.3158668000"); - values("0.0288778000, 0.0306211000, 0.0357419000, 0.0504539000, 0.0902525000, 0.2037723000, 0.5405414000", \ - "0.0288626000, 0.0306614000, 0.0358741000, 0.0505599000, 0.0900258000, 0.2035322000, 0.5377041000", \ - "0.0288421000, 0.0306330000, 0.0358195000, 0.0505044000, 0.0898970000, 0.2038835000, 0.5399244000", \ - "0.0285377000, 0.0302955000, 0.0355775000, 0.0501530000, 0.0896873000, 0.2038538000, 0.5398484000", \ - "0.0281659000, 0.0299295000, 0.0351902000, 0.0498222000, 0.0894932000, 0.2032518000, 0.5396570000", \ - "0.0281955000, 0.0299869000, 0.0351872000, 0.0498327000, 0.0893532000, 0.2033079000, 0.5393284000", \ - "0.0315990000, 0.0332094000, 0.0377841000, 0.0514116000, 0.0902414000, 0.2045700000, 0.5408703000"); - } - } - max_capacitance : 0.3158670000; - max_transition : 1.5034920000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000"); - values("0.3787660000, 0.3891041000, 0.4123547000, 0.4586125000, 0.5448387000, 0.7034425000, 1.0536836000", \ - "0.3836376000, 0.3939748000, 0.4172295000, 0.4634831000, 0.5497104000, 0.7083067000, 1.0588024000", \ - "0.3946453000, 0.4049815000, 0.4282316000, 0.4744854000, 0.5607081000, 0.7192820000, 1.0697644000", \ - "0.4201280000, 0.4305974000, 0.4536896000, 0.4999076000, 0.5861464000, 0.7447226000, 1.0950598000", \ - "0.4698539000, 0.4803246000, 0.5034138000, 0.5496187000, 0.6358348000, 0.7944106000, 1.1447070000", \ - "0.5457195000, 0.5559605000, 0.5792063000, 0.6255488000, 0.7117625000, 0.8704253000, 1.2209102000", \ - "0.6480111000, 0.6584173000, 0.6815503000, 0.7279237000, 0.8140124000, 0.9726183000, 1.3230094000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000"); - values("0.3120963000, 0.3195597000, 0.3368307000, 0.3747908000, 0.4647817000, 0.7015905000, 1.3867836000", \ - "0.3168632000, 0.3242334000, 0.3415767000, 0.3794602000, 0.4694330000, 0.7064123000, 1.3894927000", \ - "0.3279640000, 0.3354078000, 0.3527116000, 0.3906031000, 0.4806029000, 0.7174071000, 1.3996477000", \ - "0.3540509000, 0.3615214000, 0.3789636000, 0.4167864000, 0.5068270000, 0.7437146000, 1.4269002000", \ - "0.4049377000, 0.4123827000, 0.4297716000, 0.4676114000, 0.5576619000, 0.7944352000, 1.4763945000", \ - "0.4799282000, 0.4873768000, 0.5046923000, 0.5426196000, 0.6326148000, 0.8694857000, 1.5515419000", \ - "0.5760359000, 0.5835735000, 0.6009162000, 0.6388485000, 0.7288961000, 0.9657501000, 1.6472418000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000"); - values("0.0559727000, 0.0622310000, 0.0776298000, 0.1065274000, 0.1674714000, 0.3013849000, 0.7050549000", \ - "0.0559746000, 0.0622273000, 0.0776351000, 0.1065304000, 0.1674650000, 0.3008325000, 0.7032045000", \ - "0.0559717000, 0.0622245000, 0.0776312000, 0.1065310000, 0.1674687000, 0.3013934000, 0.7048041000", \ - "0.0559574000, 0.0623459000, 0.0776580000, 0.1065181000, 0.1674105000, 0.3016580000, 0.7049870000", \ - "0.0559556000, 0.0623354000, 0.0776524000, 0.1065368000, 0.1674218000, 0.3015454000, 0.7056100000", \ - "0.0564794000, 0.0623151000, 0.0771393000, 0.1067690000, 0.1672279000, 0.3008976000, 0.7050243000", \ - "0.0564205000, 0.0625014000, 0.0769983000, 0.1069328000, 0.1675584000, 0.3006053000, 0.7047967000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014646200, 0.0042902400, 0.0125672000, 0.0368123000, 0.1078320000, 0.3158670000"); - values("0.0295291000, 0.0351828000, 0.0496994000, 0.0875854000, 0.1976114000, 0.5218031000, 1.4996563000", \ - "0.0292808000, 0.0351900000, 0.0496783000, 0.0874524000, 0.1969408000, 0.5218187000, 1.5024137000", \ - "0.0294118000, 0.0352796000, 0.0496557000, 0.0874532000, 0.1970053000, 0.5220933000, 1.5033338000", \ - "0.0294395000, 0.0352703000, 0.0498377000, 0.0875723000, 0.1974086000, 0.5225449000, 1.4991840000", \ - "0.0294184000, 0.0352746000, 0.0498875000, 0.0874872000, 0.1969530000, 0.5227900000, 1.5027775000", \ - "0.0294463000, 0.0353161000, 0.0496866000, 0.0875249000, 0.1969885000, 0.5215187000, 1.5034922000", \ - "0.0293844000, 0.0353769000, 0.0500212000, 0.0877025000, 0.1974612000, 0.5210274000, 1.5034208000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("SCD") { - capacitance : 0.0017260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016700000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0032073000, 0.0032054000, 0.0032009000, 0.0032023000, 0.0032052000, 0.0032123000, 0.0032287000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002989200, -0.002994100, -0.003005400, -0.003014200, -0.003034700, -0.003081500, -0.003189500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017830000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2937480000, 0.4823385000, 0.7964662000", \ - "0.1625306000, 0.3511211000, 0.6664694000", \ - "0.0559225000, 0.2445130000, 0.5635234000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1143047000, 0.2406393000, 0.3631165000", \ - "0.0465638000, 0.1716777000, 0.2904928000", \ - "0.0144186000, 0.1383119000, 0.2583477000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.215285200, -0.403875600, -0.714341200", \ - "-0.099936800, -0.288527400, -0.602654900", \ - "-0.006756500, -0.195347000, -0.510695300"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.101759800, -0.226873700, -0.343247400", \ - "-0.032798200, -0.156691400, -0.274285800", \ - "0.0017884000, -0.122104800, -0.242140600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0029600000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0029820000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0105909000, 0.0105179000, 0.0103497000, 0.0104147000, 0.0105645000, 0.0109099000, 0.0117063000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0104253000, 0.0103064000, 0.0100324000, 0.0100448000, 0.0100732000, 0.0101391000, 0.0102909000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0029380000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2790996000, 0.4615866000, 0.7476380000", \ - "0.1491029000, 0.3328105000, 0.6225241000", \ - "0.0485983000, 0.2298646000, 0.5207988000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3059551000, 0.3956686000, 0.4388001000", \ - "0.1747376000, 0.2632305000, 0.3063620000", \ - "0.0681296000, 0.1578431000, 0.2009746000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.184767600, -0.369696000, -0.658188800", \ - "-0.075522800, -0.259230500, -0.551385400", \ - "0.0103333000, -0.172153600, -0.461867200"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.085890600, -0.219549500, -0.318833300", \ - "-0.020591100, -0.148146500, -0.249871700", \ - "0.0127747000, -0.114780600, -0.215285200"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&DE) | (IQ&!DE)"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("DE") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__sedfxtp_4") { - leakage_power () { - value : 0.0090462000; - when : "CLK&!D&DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0079838000; - when : "CLK&D&!DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0134271000; - when : "CLK&D&DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0100281000; - when : "CLK&D&DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0103327000; - when : "!CLK&D&DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0162271000; - when : "CLK&D&DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0118936000; - when : "CLK&!D&!DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0140121000; - when : "CLK&!D&DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0131715000; - when : "CLK&!D&DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0124006000; - when : "!CLK&!D&DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0133601000; - when : "CLK&D&!DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0152940000; - when : "CLK&!D&!DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0127852000; - when : "!CLK&!D&!DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0131452000; - when : "CLK&!D&!DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0178595000; - when : "CLK&D&DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0121085000; - when : "CLK&D&!DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0155089000; - when : "CLK&D&!DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0130000000; - when : "!CLK&D&!DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0127606000; - when : "CLK&!D&DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0161610000; - when : "CLK&!D&DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0136521000; - when : "!CLK&!D&DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0169703000; - when : "CLK&D&DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0174995000; - when : "!CLK&D&DE&SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0094572000; - when : "CLK&!D&DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0074931000; - when : "CLK&D&!DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0112621000; - when : "CLK&D&DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0105188000; - when : "CLK&D&DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0098420000; - when : "!CLK&D&DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0087271000; - when : "CLK&D&!DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0111709000; - when : "CLK&!D&DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0088195000; - when : "CLK&!D&!DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0122185000; - when : "CLK&!D&!DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0098673000; - when : "!CLK&!D&!DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0100535000; - when : "CLK&!D&!DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0108921000; - when : "CLK&D&!DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0085409000; - when : "!CLK&D&!DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0102978000; - when : "CLK&!D&DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0109847000; - when : "!CLK&!D&DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0110759000; - when : "!CLK&D&DE&SCE&SCD&Q"; - } - leakage_power () { - value : 0.0157363000; - when : "CLK&D&DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0123046000; - when : "CLK&!D&!DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0128115000; - when : "!CLK&!D&DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0115336000; - when : "!CLK&!D&!DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0125195000; - when : "CLK&D&!DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0117485000; - when : "!CLK&D&!DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0091241000; - when : "!CLK&!D&!DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0093102000; - when : "CLK&!D&!DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0077977000; - when : "!CLK&D&!DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0119445000; - when : "!CLK&!D&!DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0121594000; - when : "!CLK&D&!DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0086334000; - when : "!CLK&!D&!DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0073070000; - when : "!CLK&D&!DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0089291000; - when : "!CLK&!D&DE&!SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0121014000; - when : "!CLK&!D&!DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0142367000; - when : "!CLK&!D&!DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0093401000; - when : "!CLK&!D&DE&!SCE&SCD&Q"; - } - leakage_power () { - value : 0.0107750000; - when : "!CLK&D&!DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0101807000; - when : "!CLK&!D&DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0144516000; - when : "!CLK&D&!DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0151036000; - when : "!CLK&!D&DE&SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0151697000; - when : "!CLK&D&DE&!SCE&!SCD&!Q"; - } - leakage_power () { - value : 0.0146790000; - when : "!CLK&D&DE&!SCE&SCD&!Q"; - } - leakage_power () { - value : 0.0133100000; - when : "!CLK&D&DE&SCE&!SCD&Q"; - } - leakage_power () { - value : 0.0159129000; - when : "!CLK&D&DE&SCE&SCD&!Q"; - } - area : 40.038400000; - cell_footprint : "sky130_fd_sc_hd__sedfxtp"; - cell_leakage_power : 0.0119838900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&DE&!SCE) | (IQ&!DE&!SCE) | (SCD&SCE)"; - } - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("CLK") { - capacitance : 0.0017740000; - clock : "true"; - direction : "input"; - fall_capacitance : 0.0016950000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0272113000, 0.0271269000, 0.0269323000, 0.0269586000, 0.0270193000, 0.0271593000, 0.0274821000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0227211000, 0.0226172000, 0.0223778000, 0.0223692000, 0.0223493000, 0.0223037000, 0.0221985000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018530000; - timing () { - fall_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3147880000, 0.8333333000, 2.5000000000"); - } - related_output_pin : "Q"; - related_pin : "CLK"; - rise_constraint ("constraint_3_0_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.5575589000, 0.8333333000, 2.5000000000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "min_pulse_width"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("D") { - capacitance : 0.0017660000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016750000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0155836000, 0.0154486000, 0.0151372000, 0.0152022000, 0.0153521000, 0.0156976000, 0.0164941000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0092531000, 0.0092331000, 0.0091870000, 0.0091984000, 0.0092245000, 0.0092849000, 0.0094242000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0018580000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3987285000, 0.5922018000, 0.9307435000", \ - "0.2723939000, 0.4658672000, 0.8068502000", \ - "0.1718893000, 0.3665833000, 0.7087871000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2070781000, 0.3639303000, 0.5511048000", \ - "0.1442201000, 0.3010723000, 0.4845846000", \ - "0.1218405000, 0.2774720000, 0.4622051000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.320265600, -0.512518200, -0.843735700", \ - "-0.213462200, -0.406935600, -0.741815100", \ - "-0.126385400, -0.321079400, -0.663283200"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.185988300, -0.340399100, -0.515366500", \ - "-0.126792300, -0.279982400, -0.457391300", \ - "-0.103192100, -0.256382100, -0.438673800"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("DE") { - capacitance : 0.0032910000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0031730000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0110942000, 0.0109987000, 0.0107787000, 0.0108463000, 0.0110024000, 0.0113621000, 0.0121912000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0001584000, 1.9889915e-05, -0.000299300, -0.000242900, -0.000112900, 0.0001870000, 0.0008783000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0034080000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.3938457000, 0.5787741000, 0.9136536000", \ - "0.2833802000, 0.4695293000, 0.8068502000", \ - "0.1914206000, 0.3775697000, 0.7197735000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.4365703000, 0.5275046000, 0.5645326000", \ - "0.3102357000, 0.3999492000, 0.4381979000", \ - "0.2097311000, 0.2994447000, 0.3376934000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.245802700, -0.413641300, -0.595932900", \ - "-0.184165400, -0.350783200, -0.530633400", \ - "-0.156903000, -0.324741500, -0.507033200"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.199416000, -0.337957700, -0.504380200", \ - "-0.140220100, -0.277541000, -0.445184200", \ - "-0.119061200, -0.256382100, -0.425246100"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("Q") { - direction : "output"; - function : "IQ"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000"); - values("0.0278681000, 0.0257011000, 0.0191534000, 0.0031103000, -0.051580900, -0.241379100, -0.865710300", \ - "0.0278098000, 0.0256576000, 0.0192685000, 0.0031632000, -0.051496600, -0.241316000, -0.865672800", \ - "0.0277633000, 0.0255259000, 0.0190482000, 0.0030725000, -0.051651700, -0.241419400, -0.865770200", \ - "0.0274458000, 0.0251762000, 0.0188621000, 0.0027476000, -0.051966500, -0.241730700, -0.866088500", \ - "0.0269354000, 0.0247264000, 0.0184002000, 0.0022636000, -0.052519300, -0.242230400, -0.866562800", \ - "0.0269430000, 0.0246926000, 0.0181896000, 0.0021564000, -0.052535900, -0.242349600, -0.866676200", \ - "0.0373653000, 0.0349881000, 0.0279270000, 0.0076094000, -0.051715800, -0.241580300, -0.865899400"); - } - related_pin : "CLK"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016114370, 0.0051934600, 0.0167378700, 0.0539440500, 0.1738549000, 0.5603125000"); - values("0.0398563000, 0.0417550000, 0.0478729000, 0.0676667000, 0.1289319000, 0.3222507000, 0.9412259000", \ - "0.0398748000, 0.0417925000, 0.0479294000, 0.0677125000, 0.1289021000, 0.3210130000, 0.9465956000", \ - "0.0397984000, 0.0417299000, 0.0479052000, 0.0676307000, 0.1286853000, 0.3212313000, 0.9452955000", \ - "0.0394675000, 0.0414122000, 0.0475967000, 0.0673684000, 0.1285557000, 0.3210748000, 0.9451970000", \ - "0.0391727000, 0.0410741000, 0.0471581000, 0.0669751000, 0.1282356000, 0.3203795000, 0.9454113000", \ - "0.0391085000, 0.0410161000, 0.0471104000, 0.0669021000, 0.1279818000, 0.3211519000, 0.9411003000", \ - "0.0430958000, 0.0448836000, 0.0507434000, 0.0695253000, 0.1289767000, 0.3222855000, 0.9404089000"); - } - } - max_capacitance : 0.5603130000; - max_transition : 1.5052910000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.5266594000, 0.5347355000, 0.5555209000, 0.6031744000, 0.6979493000, 0.8761400000, 1.2505328000", \ - "0.5313546000, 0.5391949000, 0.5602399000, 0.6080338000, 0.7027779000, 0.8807992000, 1.2553383000", \ - "0.5424849000, 0.5503188000, 0.5709762000, 0.6190674000, 0.7138932000, 0.8920617000, 1.2663373000", \ - "0.5680032000, 0.5758323000, 0.5968859000, 0.6446227000, 0.7394015000, 0.9175274000, 1.2918056000", \ - "0.6177323000, 0.6255584000, 0.6466251000, 0.6943180000, 0.7890765000, 0.9672146000, 1.3416758000", \ - "0.6937307000, 0.7015714000, 0.7221459000, 0.7701026000, 0.8649475000, 1.0431428000, 1.4173074000", \ - "0.7951123000, 0.8029244000, 0.8241564000, 0.8716916000, 0.9664177000, 1.1447402000, 1.5190657000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.3622970000, 0.3684018000, 0.3844210000, 0.4216083000, 0.5082293000, 0.7394801000, 1.4556700000", \ - "0.3669743000, 0.3732167000, 0.3891247000, 0.4262632000, 0.5128552000, 0.7442282000, 1.4598465000", \ - "0.3781110000, 0.3842260000, 0.4002861000, 0.4374083000, 0.5239895000, 0.7552509000, 1.4723516000", \ - "0.4043555000, 0.4104401000, 0.4263933000, 0.4636534000, 0.5501973000, 0.7814236000, 1.5003997000", \ - "0.4551926000, 0.4613745000, 0.4773303000, 0.5145162000, 0.6011452000, 0.8322384000, 1.5514171000", \ - "0.5300297000, 0.5361634000, 0.5519775000, 0.5892106000, 0.6759319000, 0.9072564000, 1.6242743000", \ - "0.6270872000, 0.6332398000, 0.6492684000, 0.6864981000, 0.7731377000, 1.0044601000, 1.7237738000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.0844107000, 0.0887656000, 0.1013312000, 0.1299563000, 0.1907121000, 0.3212665000, 0.7049382000", \ - "0.0844694000, 0.0883673000, 0.1017593000, 0.1307771000, 0.1909614000, 0.3210378000, 0.7069140000", \ - "0.0843524000, 0.0886380000, 0.1014729000, 0.1305649000, 0.1909384000, 0.3195866000, 0.7062083000", \ - "0.0844484000, 0.0884379000, 0.1016042000, 0.1307210000, 0.1909837000, 0.3193787000, 0.7051094000", \ - "0.0842637000, 0.0884852000, 0.1016187000, 0.1306722000, 0.1909034000, 0.3198217000, 0.7027860000", \ - "0.0846635000, 0.0890840000, 0.1011870000, 0.1304558000, 0.1907332000, 0.3206368000, 0.7061219000", \ - "0.0845447000, 0.0889233000, 0.1006112000, 0.1304893000, 0.1908376000, 0.3187750000, 0.7062828000"); - } - related_pin : "CLK"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016114400, 0.0051934600, 0.0167379000, 0.0539441000, 0.1738550000, 0.5603130000"); - values("0.0383753000, 0.0425358000, 0.0553690000, 0.0866519000, 0.1808420000, 0.4827424000, 1.4958392000", \ - "0.0384723000, 0.0431860000, 0.0553778000, 0.0866238000, 0.1808482000, 0.4822591000, 1.5021722000", \ - "0.0384509000, 0.0428837000, 0.0553829000, 0.0866954000, 0.1805751000, 0.4829150000, 1.5019878000", \ - "0.0385369000, 0.0430306000, 0.0553845000, 0.0867069000, 0.1811702000, 0.4827991000, 1.5024002000", \ - "0.0382314000, 0.0427924000, 0.0548286000, 0.0866238000, 0.1808377000, 0.4822362000, 1.5025775000", \ - "0.0386229000, 0.0427151000, 0.0549786000, 0.0866437000, 0.1806599000, 0.4833327000, 1.5052912000", \ - "0.0390046000, 0.0432747000, 0.0553487000, 0.0865986000, 0.1808874000, 0.4825593000, 1.5006837000"); - } - timing_sense : "non_unate"; - timing_type : "rising_edge"; - } - } - pin ("SCD") { - capacitance : 0.0017260000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0016690000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0031209000, 0.0031447000, 0.0031995000, 0.0032005000, 0.0032026000, 0.0032078000, 0.0032196000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("-0.002995400, -0.003044300, -0.003157100, -0.003159300, -0.003164200, -0.003175500, -0.003201500"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0017830000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2852031000, 0.4737936000, 0.7891419000", \ - "0.1552064000, 0.3425762000, 0.6615866000", \ - "0.0498190000, 0.2384095000, 0.5574199000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.1143047000, 0.2406393000, 0.3643372000", \ - "0.0465638000, 0.1716777000, 0.2904928000", \ - "0.0144186000, 0.1395326000, 0.2583477000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.215285200, -0.403875600, -0.714341200", \ - "-0.099936800, -0.288527400, -0.602654900", \ - "-0.006756500, -0.195347000, -0.511916000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.101759800, -0.226873700, -0.344468100", \ - "-0.032798200, -0.157912100, -0.275506500", \ - "0.0017884000, -0.123325500, -0.242140600"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - pin ("SCE") { - capacitance : 0.0029420000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0029470000; - internal_power () { - fall_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0105869000, 0.0105135000, 0.0103444000, 0.0104094000, 0.0105594000, 0.0109052000, 0.0117023000"); - } - rise_power ("power_inputs_1") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - values("0.0104076000, 0.0102392000, 0.0098510000, 0.0098747000, 0.0099293000, 0.0100552000, 0.0103455000"); - } - } - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0029380000; - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2717754000, 0.4542624000, 0.7415345000", \ - "0.1429994000, 0.3254863000, 0.6164206000", \ - "0.0437155000, 0.2249818000, 0.5171367000"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("0.2974102000, 0.3871237000, 0.4302552000", \ - "0.1674134000, 0.2571269000, 0.3002585000", \ - "0.0632467000, 0.1529603000, 0.1960918000"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "setup_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - timing () { - fall_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.183546900, -0.369696000, -0.658188800", \ - "-0.075522800, -0.259230500, -0.551385400", \ - "0.0103333000, -0.172153600, -0.461867200"); - } - related_pin : "CLK"; - rise_constraint ("vio_3_3_1") { - index_1("0.0100000000, 0.5000000000, 1.5000000000"); - index_2("0.0100000000, 0.5000000000, 1.5000000000"); - values("-0.087111300, -0.220770200, -0.321274700", \ - "-0.020591100, -0.148146500, -0.251092400", \ - "0.0127747000, -0.114780600, -0.216505900"); - } - sim_opt : "runlvl=5 accurate=1"; - timing_type : "hold_rising"; - violation_delay_degrade_pct : "10.000000000"; - } - } - test_cell () { - ff ("IQ","IQ_N") { - clocked_on : "CLK"; - next_state : "(D&DE) | (IQ&!DE)"; - } - pin ("CLK") { - direction : "input"; - } - pin ("D") { - direction : "input"; - } - pin ("DE") { - direction : "input"; - } - pin ("Q") { - direction : "output"; - function : "IQ"; - signal_type : "test_scan_out"; - } - pin ("SCD") { - direction : "input"; - signal_type : "test_scan_in"; - } - pin ("SCE") { - direction : "input"; - signal_type : "test_scan_enable"; - } - } - } - - cell ("sky130_fd_sc_hd__xnor2_1") { - leakage_power () { - value : 0.0025566000; - when : "!A&B"; - } - leakage_power () { - value : 0.0004804000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0029874000; - when : "A&B"; - } - leakage_power () { - value : 0.0022371000; - when : "A&!B"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__xnor2"; - cell_leakage_power : 0.0020653530; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0045080000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0043390000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046770000; - } - pin ("B") { - capacitance : 0.0045620000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0044710000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0046530000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B) | (A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011382370, 0.0025911690, 0.0058987310, 0.0134283100, 0.0305692200, 0.0695900500"); - values("0.0212541000, 0.0203966000, 0.0183263000, 0.0132743000, 0.0013083000, -0.026319100, -0.089446600", \ - "0.0210621000, 0.0202046000, 0.0181343000, 0.0130928000, 0.0011272000, -0.026500500, -0.089627300", \ - "0.0208378000, 0.0199789000, 0.0178990000, 0.0128577000, 0.0008925000, -0.026712500, -0.089825100", \ - "0.0206691000, 0.0197848000, 0.0176785000, 0.0126095000, 0.0006779000, -0.026902700, -0.090000800", \ - "0.0206612000, 0.0197631000, 0.0176218000, 0.0125632000, 0.0006588000, -0.026882000, -0.089950000", \ - "0.0207164000, 0.0197238000, 0.0174623000, 0.0123643000, 0.0010442000, -0.026479400, -0.089512500", \ - "0.0222263000, 0.0212939000, 0.0189236000, 0.0136613000, 0.0015636000, -0.025970100, -0.088417900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011382370, 0.0025911690, 0.0058987310, 0.0134283100, 0.0305692200, 0.0695900500"); - values("0.0046536000, 0.0057919000, 0.0082625000, 0.0136885000, 0.0257722000, 0.0531978000, 0.1156202000", \ - "0.0044444000, 0.0055590000, 0.0080568000, 0.0134927000, 0.0256073000, 0.0530626000, 0.1156251000", \ - "0.0041199000, 0.0052441000, 0.0077323000, 0.0132036000, 0.0253521000, 0.0528241000, 0.1154207000", \ - "0.0037972000, 0.0048996000, 0.0073834000, 0.0128788000, 0.0251300000, 0.0527196000, 0.1151657000", \ - "0.0037841000, 0.0047206000, 0.0071214000, 0.0126143000, 0.0247897000, 0.0524473000, 0.1151301000", \ - "0.0038968000, 0.0049861000, 0.0074544000, 0.0129104000, 0.0248100000, 0.0525599000, 0.1149145000", \ - "0.0047804000, 0.0057978000, 0.0082136000, 0.0138364000, 0.0259337000, 0.0535550000, 0.1165356000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011382370, 0.0025911690, 0.0058987310, 0.0134283100, 0.0305692200, 0.0695900500"); - values("0.0210798000, 0.0200224000, 0.0175806000, 0.0120262000, -0.000426900, -0.028411500, -0.091767100", \ - "0.0206999000, 0.0196344000, 0.0172140000, 0.0116620000, -0.000787500, -0.028766300, -0.092073000", \ - "0.0201792000, 0.0191027000, 0.0166971000, 0.0111984000, -0.001197600, -0.029178600, -0.092427500", \ - "0.0195687000, 0.0185355000, 0.0162002000, 0.0107901000, -0.001517700, -0.029436400, -0.092766000", \ - "0.0193002000, 0.0182926000, 0.0159620000, 0.0106365000, -0.001586800, -0.029423800, -0.092736500", \ - "0.0189621000, 0.0179525000, 0.0155698000, 0.0102003000, -0.001380200, -0.029122000, -0.092381400", \ - "0.0204586000, 0.0194496000, 0.0172061000, 0.0119098000, -0.000194000, -0.027955500, -0.090612600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011382370, 0.0025911690, 0.0058987310, 0.0134283100, 0.0305692200, 0.0695900500"); - values("0.0062226000, 0.0073435000, 0.0098230000, 0.0152310000, 0.0272283000, 0.0547078000, 0.1167354000", \ - "0.0059629000, 0.0070876000, 0.0095623000, 0.0149857000, 0.0270056000, 0.0545144000, 0.1172284000", \ - "0.0055694000, 0.0066886000, 0.0091528000, 0.0145690000, 0.0266453000, 0.0543286000, 0.1165925000", \ - "0.0051805000, 0.0062667000, 0.0087231000, 0.0141126000, 0.0263342000, 0.0538733000, 0.1163511000", \ - "0.0053184000, 0.0062469000, 0.0086151000, 0.0139722000, 0.0261111000, 0.0537772000, 0.1164143000", \ - "0.0057322000, 0.0068003000, 0.0092021000, 0.0145291000, 0.0263700000, 0.0540804000, 0.1165046000", \ - "0.0073903000, 0.0084192000, 0.0108560000, 0.0161787000, 0.0282496000, 0.0554913000, 0.1186286000"); - } - } - max_capacitance : 0.0695900000; - max_transition : 1.4892980000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0374137000, 0.0414031000, 0.0501328000, 0.0689368000, 0.1105394000, 0.2037041000, 0.4145033000", \ - "0.0423143000, 0.0463179000, 0.0550444000, 0.0740452000, 0.1156761000, 0.2086769000, 0.4195883000", \ - "0.0517034000, 0.0557622000, 0.0645395000, 0.0836287000, 0.1253351000, 0.2185252000, 0.4295134000", \ - "0.0682622000, 0.0729351000, 0.0832887000, 0.1037547000, 0.1458845000, 0.2393883000, 0.4504921000", \ - "0.0912211000, 0.0983857000, 0.1125359000, 0.1401068000, 0.1900582000, 0.2871116000, 0.4988291000", \ - "0.1128187000, 0.1240902000, 0.1463828000, 0.1885505000, 0.2613697000, 0.3846934000, 0.6101555000", \ - "0.1071319000, 0.1255547000, 0.1627196000, 0.2307021000, 0.3466455000, 0.5321230000, 0.8269580000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0941213000, 0.1040279000, 0.1265192000, 0.1764383000, 0.2886966000, 0.5430323000, 1.1200462000", \ - "0.0988531000, 0.1090306000, 0.1316310000, 0.1818392000, 0.2946032000, 0.5488541000, 1.1260067000", \ - "0.1115258000, 0.1216865000, 0.1442828000, 0.1948122000, 0.3076115000, 0.5624227000, 1.1393637000", \ - "0.1396824000, 0.1496877000, 0.1722470000, 0.2226296000, 0.3356868000, 0.5906368000, 1.1686353000", \ - "0.1982890000, 0.2102514000, 0.2351971000, 0.2857881000, 0.3987954000, 0.6540214000, 1.2323496000", \ - "0.3009308000, 0.3169627000, 0.3501765000, 0.4171192000, 0.5445996000, 0.8002156000, 1.3792549000", \ - "0.4725413000, 0.4976712000, 0.5499183000, 0.6470857000, 0.8225922000, 1.1309064000, 1.7157147000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0255116000, 0.0303044000, 0.0410405000, 0.0652203000, 0.1202617000, 0.2445203000, 0.5287258000", \ - "0.0255630000, 0.0304168000, 0.0411482000, 0.0653830000, 0.1203124000, 0.2443628000, 0.5285509000", \ - "0.0260761000, 0.0307138000, 0.0411967000, 0.0653758000, 0.1203064000, 0.2443603000, 0.5281008000", \ - "0.0324194000, 0.0368225000, 0.0466769000, 0.0683918000, 0.1212277000, 0.2443447000, 0.5282029000", \ - "0.0491879000, 0.0546326000, 0.0654179000, 0.0885335000, 0.1353784000, 0.2496287000, 0.5284382000", \ - "0.0836209000, 0.0910877000, 0.1059869000, 0.1347443000, 0.1872409000, 0.2944901000, 0.5449606000", \ - "0.1484122000, 0.1598077000, 0.1817400000, 0.2236170000, 0.2952565000, 0.4201456000, 0.6569621000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0714559000, 0.0844234000, 0.1139729000, 0.1812960000, 0.3340109000, 0.6815455000, 1.4741902000", \ - "0.0714053000, 0.0845634000, 0.1141746000, 0.1815512000, 0.3345667000, 0.6820500000, 1.4700076000", \ - "0.0713463000, 0.0845266000, 0.1140820000, 0.1812062000, 0.3339938000, 0.6815553000, 1.4713369000", \ - "0.0716971000, 0.0844849000, 0.1140594000, 0.1813920000, 0.3339456000, 0.6818059000, 1.4714270000", \ - "0.0877275000, 0.0990177000, 0.1247999000, 0.1865859000, 0.3343727000, 0.6815178000, 1.4715268000", \ - "0.1286496000, 0.1417827000, 0.1701993000, 0.2293134000, 0.3604324000, 0.6859468000, 1.4738862000", \ - "0.2134171000, 0.2303635000, 0.2671819000, 0.3367471000, 0.4756940000, 0.7623122000, 1.4843476000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0879736000, 0.0928356000, 0.1026908000, 0.1222338000, 0.1626539000, 0.2518160000, 0.4547098000", \ - "0.0932477000, 0.0981090000, 0.1079727000, 0.1275049000, 0.1679441000, 0.2571461000, 0.4599539000", \ - "0.1066165000, 0.1114599000, 0.1212622000, 0.1408419000, 0.1812395000, 0.2706052000, 0.4725861000", \ - "0.1386916000, 0.1434817000, 0.1532383000, 0.1727714000, 0.2132622000, 0.3027510000, 0.5045380000", \ - "0.2050795000, 0.2102447000, 0.2204814000, 0.2405946000, 0.2816081000, 0.3709620000, 0.5738020000", \ - "0.3140539000, 0.3204041000, 0.3325247000, 0.3538867000, 0.3964442000, 0.4865219000, 0.6887515000", \ - "0.4895530000, 0.4979859000, 0.5139256000, 0.5409110000, 0.5875962000, 0.6786547000, 0.8808565000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0751694000, 0.0810697000, 0.0933653000, 0.1191934000, 0.1757629000, 0.3037600000, 0.5957271000", \ - "0.0793663000, 0.0852165000, 0.0975409000, 0.1234232000, 0.1803780000, 0.3085979000, 0.6006250000", \ - "0.0881773000, 0.0940095000, 0.1063585000, 0.1324048000, 0.1891873000, 0.3171431000, 0.6091715000", \ - "0.1063799000, 0.1123094000, 0.1247651000, 0.1508675000, 0.2083215000, 0.3369048000, 0.6313726000", \ - "0.1333069000, 0.1395361000, 0.1525422000, 0.1791110000, 0.2368350000, 0.3662150000, 0.6591384000", \ - "0.1625237000, 0.1696954000, 0.1837260000, 0.2112414000, 0.2691012000, 0.3991435000, 0.6901895000", \ - "0.1712796000, 0.1804689000, 0.1983677000, 0.2293151000, 0.2886584000, 0.4181494000, 0.7121305000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0224865000, 0.0268848000, 0.0367783000, 0.0585781000, 0.1091097000, 0.2281268000, 0.4979848000", \ - "0.0224936000, 0.0269522000, 0.0367106000, 0.0585942000, 0.1092634000, 0.2282550000, 0.4977491000", \ - "0.0225816000, 0.0269946000, 0.0367711000, 0.0587650000, 0.1093991000, 0.2273926000, 0.5020171000", \ - "0.0227575000, 0.0271991000, 0.0368878000, 0.0586740000, 0.1093658000, 0.2282439000, 0.5016808000", \ - "0.0259716000, 0.0303122000, 0.0395581000, 0.0607494000, 0.1102675000, 0.2275468000, 0.4978004000", \ - "0.0347855000, 0.0388052000, 0.0476079000, 0.0681257000, 0.1147722000, 0.2288841000, 0.5004079000", \ - "0.0502125000, 0.0550223000, 0.0641964000, 0.0825912000, 0.1251897000, 0.2335291000, 0.5011518000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0270080000, 0.0334043000, 0.0482756000, 0.0827941000, 0.1628451000, 0.3475847000, 0.7662062000", \ - "0.0270598000, 0.0334770000, 0.0482956000, 0.0828084000, 0.1631730000, 0.3477769000, 0.7697812000", \ - "0.0270525000, 0.0335187000, 0.0484306000, 0.0827948000, 0.1632542000, 0.3475653000, 0.7683433000", \ - "0.0279784000, 0.0343572000, 0.0488672000, 0.0830828000, 0.1634631000, 0.3479544000, 0.7701059000", \ - "0.0309263000, 0.0370766000, 0.0512037000, 0.0847123000, 0.1636328000, 0.3468329000, 0.7665360000", \ - "0.0378687000, 0.0435559000, 0.0565700000, 0.0876585000, 0.1651761000, 0.3479284000, 0.7631074000", \ - "0.0526271000, 0.0583749000, 0.0708549000, 0.0985604000, 0.1694503000, 0.3495317000, 0.7678985000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0296097000, 0.0332590000, 0.0412041000, 0.0589563000, 0.0984756000, 0.1875731000, 0.3892678000", \ - "0.0345021000, 0.0382876000, 0.0464941000, 0.0645287000, 0.1042095000, 0.1932805000, 0.3955867000", \ - "0.0440310000, 0.0480741000, 0.0565564000, 0.0747690000, 0.1147084000, 0.2040165000, 0.4057167000", \ - "0.0579213000, 0.0636453000, 0.0746488000, 0.0955968000, 0.1364075000, 0.2262785000, 0.4288422000", \ - "0.0739048000, 0.0825315000, 0.0993813000, 0.1296888000, 0.1826285000, 0.2767357000, 0.4798069000", \ - "0.0822701000, 0.0962199000, 0.1236074000, 0.1719301000, 0.2512824000, 0.3786568000, 0.5984508000", \ - "0.0546336000, 0.0788279000, 0.1240999000, 0.2033454000, 0.3306776000, 0.5268614000, 0.8257231000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0794984000, 0.0896267000, 0.1120081000, 0.1623149000, 0.2747146000, 0.5290156000, 1.1062609000", \ - "0.0823325000, 0.0926695000, 0.1153646000, 0.1659634000, 0.2788389000, 0.5332907000, 1.1104816000", \ - "0.0925919000, 0.1027798000, 0.1257377000, 0.1764136000, 0.2898095000, 0.5448647000, 1.1226516000", \ - "0.1215620000, 0.1310412000, 0.1535347000, 0.2040695000, 0.3173043000, 0.5727758000, 1.1514181000", \ - "0.1843802000, 0.1968836000, 0.2221036000, 0.2725996000, 0.3843523000, 0.6378045000, 1.2161813000", \ - "0.2857073000, 0.3044930000, 0.3429741000, 0.4160355000, 0.5444155000, 0.7965920000, 1.3715663000", \ - "0.4520108000, 0.4801604000, 0.5391168000, 0.6501808000, 0.8431987000, 1.1646741000, 1.7389461000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0167247000, 0.0214293000, 0.0319246000, 0.0556031000, 0.1079566000, 0.2274689000, 0.5020126000", \ - "0.0169897000, 0.0216114000, 0.0321598000, 0.0554673000, 0.1082767000, 0.2269143000, 0.4988090000", \ - "0.0183508000, 0.0226268000, 0.0325875000, 0.0557693000, 0.1084155000, 0.2283666000, 0.5004188000", \ - "0.0263903000, 0.0307920000, 0.0401839000, 0.0599549000, 0.1092518000, 0.2282024000, 0.5010586000", \ - "0.0443882000, 0.0498130000, 0.0610617000, 0.0830309000, 0.1281234000, 0.2331985000, 0.4989655000", \ - "0.0796239000, 0.0875368000, 0.1031613000, 0.1319726000, 0.1836345000, 0.2839029000, 0.5175134000", \ - "0.1485336000, 0.1597285000, 0.1823033000, 0.2239612000, 0.2935844000, 0.4169944000, 0.6444105000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0712430000, 0.0843621000, 0.1140498000, 0.1812956000, 0.3340228000, 0.6819277000, 1.4750530000", \ - "0.0712513000, 0.0843401000, 0.1140488000, 0.1813947000, 0.3340984000, 0.6818103000, 1.4707817000", \ - "0.0710222000, 0.0841446000, 0.1139301000, 0.1814288000, 0.3339505000, 0.6808313000, 1.4694421000", \ - "0.0732621000, 0.0852946000, 0.1138138000, 0.1811932000, 0.3338476000, 0.6810004000, 1.4765342000", \ - "0.1003642000, 0.1111732000, 0.1331563000, 0.1904222000, 0.3338433000, 0.6824564000, 1.4713941000", \ - "0.1509524000, 0.1669761000, 0.1981301000, 0.2578159000, 0.3733653000, 0.6854615000, 1.4744737000", \ - "0.2372217000, 0.2607529000, 0.3092593000, 0.3940715000, 0.5414956000, 0.8004314000, 1.4892981000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0896917000, 0.0939260000, 0.1029449000, 0.1216708000, 0.1625840000, 0.2547513000, 0.4651192000", \ - "0.0941638000, 0.0984198000, 0.1074572000, 0.1261522000, 0.1671106000, 0.2593133000, 0.4696858000", \ - "0.1061412000, 0.1102558000, 0.1194068000, 0.1383789000, 0.1794195000, 0.2716652000, 0.4820229000", \ - "0.1359716000, 0.1403478000, 0.1495754000, 0.1688446000, 0.2101039000, 0.3025749000, 0.5125907000", \ - "0.1927894000, 0.1978528000, 0.2079361000, 0.2283960000, 0.2706861000, 0.3635023000, 0.5735153000", \ - "0.2815027000, 0.2877836000, 0.3001047000, 0.3226654000, 0.3665753000, 0.4603271000, 0.6711419000", \ - "0.4228669000, 0.4309682000, 0.4472404000, 0.4749996000, 0.5230005000, 0.6171115000, 0.8281621000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0735055000, 0.0793933000, 0.0916209000, 0.1173552000, 0.1734661000, 0.3010142000, 0.5922055000", \ - "0.0772127000, 0.0830754000, 0.0953330000, 0.1211060000, 0.1773349000, 0.3052280000, 0.5965844000", \ - "0.0869150000, 0.0927813000, 0.1049425000, 0.1306719000, 0.1874039000, 0.3150908000, 0.6070271000", \ - "0.1073829000, 0.1133407000, 0.1257020000, 0.1516962000, 0.2087018000, 0.3369135000, 0.6277950000", \ - "0.1347192000, 0.1409291000, 0.1536680000, 0.1801952000, 0.2377425000, 0.3667317000, 0.6601857000", \ - "0.1647985000, 0.1720189000, 0.1856781000, 0.2126279000, 0.2702072000, 0.4006623000, 0.6914623000", \ - "0.1784490000, 0.1881013000, 0.2051033000, 0.2359150000, 0.2938938000, 0.4218359000, 0.7166640000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0294038000, 0.0343572000, 0.0447105000, 0.0677682000, 0.1212734000, 0.2447817000, 0.5285538000", \ - "0.0294680000, 0.0343957000, 0.0447266000, 0.0678491000, 0.1212757000, 0.2447950000, 0.5285165000", \ - "0.0295784000, 0.0344992000, 0.0448423000, 0.0680272000, 0.1212248000, 0.2447509000, 0.5280468000", \ - "0.0301526000, 0.0348658000, 0.0451552000, 0.0682463000, 0.1211151000, 0.2450755000, 0.5283030000", \ - "0.0312519000, 0.0362567000, 0.0467763000, 0.0694554000, 0.1218535000, 0.2452314000, 0.5289987000", \ - "0.0368908000, 0.0419788000, 0.0515685000, 0.0733067000, 0.1235176000, 0.2452375000, 0.5276424000", \ - "0.0496504000, 0.0554734000, 0.0662531000, 0.0872547000, 0.1342103000, 0.2476879000, 0.5286290000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011382400, 0.0025911700, 0.0058987300, 0.0134283000, 0.0305692000, 0.0695901000"); - values("0.0270759000, 0.0335480000, 0.0482992000, 0.0827999000, 0.1632061000, 0.3474849000, 0.7647624000", \ - "0.0271138000, 0.0335014000, 0.0483234000, 0.0827844000, 0.1632423000, 0.3477507000, 0.7670519000", \ - "0.0271249000, 0.0335762000, 0.0484280000, 0.0828755000, 0.1631988000, 0.3486650000, 0.7682027000", \ - "0.0284521000, 0.0347648000, 0.0492729000, 0.0833441000, 0.1633007000, 0.3476028000, 0.7657633000", \ - "0.0312800000, 0.0373027000, 0.0513653000, 0.0851627000, 0.1640390000, 0.3473424000, 0.7697290000", \ - "0.0389901000, 0.0445632000, 0.0570089000, 0.0881072000, 0.1655975000, 0.3486771000, 0.7639235000", \ - "0.0547110000, 0.0602884000, 0.0719234000, 0.0989714000, 0.1696095000, 0.3494537000, 0.7687415000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__xnor2_2") { - leakage_power () { - value : 0.0117114000; - when : "!A&B"; - } - leakage_power () { - value : 0.0012317000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0074405000; - when : "A&B"; - } - leakage_power () { - value : 0.0104538000; - when : "A&!B"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__xnor2"; - cell_leakage_power : 0.0077093300; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0086790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0083180000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0090400000; - } - pin ("B") { - capacitance : 0.0082590000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0080770000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0084420000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B) | (A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012494960, 0.0031224790, 0.0078030470, 0.0194997500, 0.0487297000, 0.1217751000"); - values("0.0416832000, 0.0406495000, 0.0380516000, 0.0311227000, 0.0128520000, -0.034048800, -0.152105000", \ - "0.0412475000, 0.0402383000, 0.0376296000, 0.0307194000, 0.0124477000, -0.034456600, -0.152511600", \ - "0.0407567000, 0.0397449000, 0.0371067000, 0.0301795000, 0.0119136000, -0.034979700, -0.152982000", \ - "0.0403867000, 0.0393446000, 0.0366679000, 0.0296975000, 0.0114377000, -0.035329000, -0.153395200", \ - "0.0403057000, 0.0392889000, 0.0364891000, 0.0294013000, 0.0111041000, -0.035682600, -0.153588900", \ - "0.0404687000, 0.0392825000, 0.0363146000, 0.0288944000, 0.0117189000, -0.034948600, -0.152722300", \ - "0.0444973000, 0.0432732000, 0.0401855000, 0.0326484000, 0.0136517000, -0.033161200, -0.149743400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012494960, 0.0031224790, 0.0078030470, 0.0194997500, 0.0487297000, 0.1217751000"); - values("0.0090853000, 0.0104636000, 0.0138142000, 0.0217932000, 0.0407339000, 0.0873622000, 0.2054364000", \ - "0.0086521000, 0.0100236000, 0.0133980000, 0.0213702000, 0.0403238000, 0.0870311000, 0.2040353000", \ - "0.0080112000, 0.0093698000, 0.0127061000, 0.0206739000, 0.0397164000, 0.0864433000, 0.2023921000", \ - "0.0073230000, 0.0086636000, 0.0119609000, 0.0198972000, 0.0390682000, 0.0860189000, 0.2029474000", \ - "0.0076022000, 0.0088215000, 0.0115920000, 0.0195350000, 0.0386951000, 0.0857337000, 0.2030284000", \ - "0.0076621000, 0.0089082000, 0.0120523000, 0.0197778000, 0.0385398000, 0.0860207000, 0.2023294000", \ - "0.0097530000, 0.0109748000, 0.0139895000, 0.0217196000, 0.0409572000, 0.0882869000, 0.2058311000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012494960, 0.0031224790, 0.0078030470, 0.0194997500, 0.0487297000, 0.1217751000"); - values("0.0402115000, 0.0389753000, 0.0358653000, 0.0280557000, 0.0086491000, -0.039225800, -0.157887500", \ - "0.0395922000, 0.0383693000, 0.0352897000, 0.0275296000, 0.0081574000, -0.039737600, -0.158417800", \ - "0.0387752000, 0.0375801000, 0.0344768000, 0.0267440000, 0.0074943000, -0.040328800, -0.158947900", \ - "0.0377868000, 0.0365736000, 0.0335245000, 0.0259456000, 0.0068547000, -0.040773100, -0.159351500", \ - "0.0368027000, 0.0356140000, 0.0328122000, 0.0252819000, 0.0063268000, -0.041010500, -0.159489700", \ - "0.0364446000, 0.0353252000, 0.0323268000, 0.0247228000, 0.0071493000, -0.039954700, -0.158290300", \ - "0.0397997000, 0.0385617000, 0.0354840000, 0.0279245000, 0.0090814000, -0.038125800, -0.154907600"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012494960, 0.0031224790, 0.0078030470, 0.0194997500, 0.0487297000, 0.1217751000"); - values("0.0124024000, 0.0137876000, 0.0171445000, 0.0249994000, 0.0437482000, 0.0903444000, 0.2074321000", \ - "0.0120005000, 0.0133638000, 0.0167045000, 0.0245894000, 0.0434204000, 0.0899373000, 0.2069668000", \ - "0.0113417000, 0.0126858000, 0.0159923000, 0.0238726000, 0.0428177000, 0.0895349000, 0.2067157000", \ - "0.0106360000, 0.0119389000, 0.0151883000, 0.0230403000, 0.0421940000, 0.0891777000, 0.2072445000", \ - "0.0109636000, 0.0121870000, 0.0149678000, 0.0228561000, 0.0418259000, 0.0888924000, 0.2061174000", \ - "0.0117900000, 0.0130255000, 0.0161583000, 0.0239088000, 0.0424090000, 0.0898087000, 0.2057519000", \ - "0.0152250000, 0.0166509000, 0.0197186000, 0.0276944000, 0.0468381000, 0.0935781000, 0.2111785000"); - } - } - max_capacitance : 0.1217750000; - max_transition : 1.4926540000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0389153000, 0.0416781000, 0.0484181000, 0.0640688000, 0.1014081000, 0.1916577000, 0.4145879000", \ - "0.0436013000, 0.0464734000, 0.0531768000, 0.0690479000, 0.1063295000, 0.1967256000, 0.4198585000", \ - "0.0522621000, 0.0551130000, 0.0618762000, 0.0777773000, 0.1152394000, 0.2056864000, 0.4288654000", \ - "0.0670349000, 0.0703548000, 0.0780708000, 0.0953024000, 0.1335069000, 0.2241232000, 0.4475193000", \ - "0.0879396000, 0.0925825000, 0.1029986000, 0.1251173000, 0.1714597000, 0.2665833000, 0.4907667000", \ - "0.1063393000, 0.1133840000, 0.1289909000, 0.1631151000, 0.2294043000, 0.3503033000, 0.5902641000", \ - "0.0967092000, 0.1075718000, 0.1336832000, 0.1878127000, 0.2911848000, 0.4730243000, 0.7816331000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0996199000, 0.1062194000, 0.1226614000, 0.1623016000, 0.2596385000, 0.5011258000, 1.1018688000", \ - "0.1039442000, 0.1109132000, 0.1272080000, 0.1670653000, 0.2648364000, 0.5066764000, 1.1082325000", \ - "0.1164166000, 0.1230109000, 0.1394998000, 0.1795085000, 0.2777631000, 0.5197671000, 1.1215198000", \ - "0.1436621000, 0.1500880000, 0.1664708000, 0.2062709000, 0.3046764000, 0.5467818000, 1.1482083000", \ - "0.1977831000, 0.2057869000, 0.2237741000, 0.2654994000, 0.3634831000, 0.6060123000, 1.2083256000", \ - "0.2898870000, 0.3005363000, 0.3247641000, 0.3794318000, 0.4961800000, 0.7421772000, 1.3452091000", \ - "0.4385464000, 0.4550689000, 0.4928954000, 0.5737256000, 0.7335714000, 1.0393069000, 1.6583461000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0290679000, 0.0322032000, 0.0399536000, 0.0593891000, 0.1077193000, 0.2282403000, 0.5294839000", \ - "0.0291126000, 0.0322351000, 0.0401100000, 0.0593833000, 0.1077234000, 0.2282317000, 0.5295555000", \ - "0.0294849000, 0.0325473000, 0.0402457000, 0.0593883000, 0.1076549000, 0.2283842000, 0.5295468000", \ - "0.0348560000, 0.0378274000, 0.0453850000, 0.0626676000, 0.1088585000, 0.2283656000, 0.5298685000", \ - "0.0504137000, 0.0538960000, 0.0618077000, 0.0802861000, 0.1241344000, 0.2338672000, 0.5299067000", \ - "0.0838336000, 0.0882809000, 0.0990071000, 0.1237831000, 0.1710680000, 0.2766208000, 0.5454933000", \ - "0.1470148000, 0.1541207000, 0.1698879000, 0.2037961000, 0.2684553000, 0.3914556000, 0.6510288000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0739187000, 0.0824290000, 0.1040391000, 0.1581470000, 0.2924337000, 0.6281171000, 1.4643977000", \ - "0.0737774000, 0.0825892000, 0.1040716000, 0.1580253000, 0.2929360000, 0.6282810000, 1.4661363000", \ - "0.0738510000, 0.0826145000, 0.1042126000, 0.1580234000, 0.2929878000, 0.6305311000, 1.4665325000", \ - "0.0740547000, 0.0825886000, 0.1041637000, 0.1581164000, 0.2929090000, 0.6279753000, 1.4648718000", \ - "0.0897189000, 0.0972614000, 0.1159726000, 0.1651760000, 0.2943568000, 0.6277663000, 1.4653831000", \ - "0.1285473000, 0.1377148000, 0.1592289000, 0.2090759000, 0.3249498000, 0.6345833000, 1.4659826000", \ - "0.2115307000, 0.2226188000, 0.2493215000, 0.3093208000, 0.4381593000, 0.7207995000, 1.4806274000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0994968000, 0.1029960000, 0.1111783000, 0.1287770000, 0.1668899000, 0.2563180000, 0.4772815000", \ - "0.1041526000, 0.1077547000, 0.1158704000, 0.1334958000, 0.1716984000, 0.2611580000, 0.4819320000", \ - "0.1164118000, 0.1199800000, 0.1280930000, 0.1456707000, 0.1838596000, 0.2733628000, 0.4944772000", \ - "0.1473835000, 0.1509393000, 0.1589984000, 0.1765161000, 0.2147509000, 0.3041581000, 0.5263817000", \ - "0.2123168000, 0.2160239000, 0.2244337000, 0.2423490000, 0.2809624000, 0.3707218000, 0.5923958000", \ - "0.3179659000, 0.3225678000, 0.3322822000, 0.3521007000, 0.3927085000, 0.4833515000, 0.7048170000", \ - "0.4852115000, 0.4911559000, 0.5038553000, 0.5281577000, 0.5730688000, 0.6655210000, 0.8871534000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0771294000, 0.0807479000, 0.0890324000, 0.1069834000, 0.1473157000, 0.2448966000, 0.4886881000", \ - "0.0813184000, 0.0849588000, 0.0932756000, 0.1112933000, 0.1516141000, 0.2493131000, 0.4940531000", \ - "0.0901598000, 0.0937610000, 0.1020112000, 0.1199299000, 0.1604604000, 0.2580716000, 0.5015888000", \ - "0.1082726000, 0.1119481000, 0.1202589000, 0.1383981000, 0.1792481000, 0.2773871000, 0.5215777000", \ - "0.1356447000, 0.1395785000, 0.1482355000, 0.1671544000, 0.2088348000, 0.3079187000, 0.5536533000", \ - "0.1651854000, 0.1698266000, 0.1798119000, 0.2002406000, 0.2428977000, 0.3423199000, 0.5866992000", \ - "0.1741695000, 0.1804076000, 0.1936986000, 0.2188953000, 0.2656923000, 0.3655516000, 0.6106097000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0238045000, 0.0270765000, 0.0347184000, 0.0532835000, 0.0990851000, 0.2176597000, 0.5175400000", \ - "0.0239314000, 0.0270418000, 0.0347803000, 0.0532061000, 0.0990563000, 0.2172719000, 0.5196626000", \ - "0.0238026000, 0.0271408000, 0.0346604000, 0.0532738000, 0.0991990000, 0.2173433000, 0.5172927000", \ - "0.0239398000, 0.0270787000, 0.0347408000, 0.0533269000, 0.0992115000, 0.2175627000, 0.5159888000", \ - "0.0266639000, 0.0300409000, 0.0374285000, 0.0553708000, 0.1003554000, 0.2173191000, 0.5160503000", \ - "0.0349668000, 0.0380380000, 0.0448605000, 0.0622511000, 0.1050925000, 0.2198354000, 0.5174082000", \ - "0.0492144000, 0.0529659000, 0.0603176000, 0.0767800000, 0.1163224000, 0.2243886000, 0.5201292000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0238377000, 0.0273080000, 0.0358763000, 0.0572386000, 0.1122380000, 0.2524314000, 0.6088633000", \ - "0.0238590000, 0.0272691000, 0.0358496000, 0.0573600000, 0.1121690000, 0.2526767000, 0.6072618000", \ - "0.0238741000, 0.0273305000, 0.0359106000, 0.0572447000, 0.1122150000, 0.2527431000, 0.6064155000", \ - "0.0247985000, 0.0282291000, 0.0366079000, 0.0577908000, 0.1124232000, 0.2531524000, 0.6083372000", \ - "0.0278839000, 0.0311808000, 0.0395159000, 0.0601120000, 0.1138571000, 0.2527358000, 0.6061950000", \ - "0.0351924000, 0.0384153000, 0.0461727000, 0.0656132000, 0.1166146000, 0.2537713000, 0.6050267000", \ - "0.0491720000, 0.0529417000, 0.0611793000, 0.0793922000, 0.1255094000, 0.2561434000, 0.6068355000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0311375000, 0.0337410000, 0.0400550000, 0.0551946000, 0.0915142000, 0.1803408000, 0.4011080000", \ - "0.0356750000, 0.0384222000, 0.0450170000, 0.0604757000, 0.0971135000, 0.1861971000, 0.4063417000", \ - "0.0436930000, 0.0466574000, 0.0535024000, 0.0693934000, 0.1065191000, 0.1956087000, 0.4165076000", \ - "0.0547657000, 0.0585824000, 0.0671149000, 0.0854798000, 0.1241313000, 0.2143309000, 0.4359652000", \ - "0.0665121000, 0.0722600000, 0.0850551000, 0.1107477000, 0.1602252000, 0.2565130000, 0.4787233000", \ - "0.0673164000, 0.0766348000, 0.0978380000, 0.1378843000, 0.2114553000, 0.3393248000, 0.5793214000", \ - "0.0277789000, 0.0435267000, 0.0786210000, 0.1438126000, 0.2604947000, 0.4538432000, 0.7721969000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0769955000, 0.0839916000, 0.1003178000, 0.1402399000, 0.2377903000, 0.4793942000, 1.0805419000", \ - "0.0796032000, 0.0865099000, 0.1031331000, 0.1434240000, 0.2416291000, 0.4835190000, 1.0868707000", \ - "0.0895695000, 0.0964195000, 0.1130708000, 0.1534978000, 0.2516895000, 0.4940342000, 1.0959753000", \ - "0.1172244000, 0.1237609000, 0.1394874000, 0.1796729000, 0.2780838000, 0.5207941000, 1.1234225000", \ - "0.1757734000, 0.1842201000, 0.2038219000, 0.2452175000, 0.3418820000, 0.5836361000, 1.1862709000", \ - "0.2688047000, 0.2814957000, 0.3109390000, 0.3733518000, 0.4938074000, 0.7362853000, 1.3343782000", \ - "0.4217103000, 0.4422508000, 0.4836530000, 0.5751682000, 0.7565203000, 1.0836645000, 1.6833763000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0171807000, 0.0204862000, 0.0286688000, 0.0485452000, 0.0970520000, 0.2170982000, 0.5196427000", \ - "0.0174804000, 0.0208255000, 0.0290212000, 0.0492351000, 0.0978377000, 0.2176238000, 0.5174676000", \ - "0.0186915000, 0.0218000000, 0.0297068000, 0.0493368000, 0.0978439000, 0.2171421000, 0.5188094000", \ - "0.0252327000, 0.0284227000, 0.0359103000, 0.0537233000, 0.0994385000, 0.2175824000, 0.5188571000", \ - "0.0415808000, 0.0453281000, 0.0538095000, 0.0726570000, 0.1167075000, 0.2235199000, 0.5173667000", \ - "0.0749266000, 0.0801736000, 0.0919438000, 0.1162666000, 0.1643903000, 0.2705809000, 0.5326833000", \ - "0.1426702000, 0.1494159000, 0.1655212000, 0.1993965000, 0.2645960000, 0.3877074000, 0.6461646000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0732385000, 0.0821777000, 0.1039581000, 0.1581069000, 0.2925137000, 0.6280106000, 1.4655046000", \ - "0.0731134000, 0.0820461000, 0.1039695000, 0.1580908000, 0.2930701000, 0.6275976000, 1.4666838000", \ - "0.0725926000, 0.0816164000, 0.1037167000, 0.1580816000, 0.2928150000, 0.6282067000, 1.4696839000", \ - "0.0751765000, 0.0831768000, 0.1037633000, 0.1571017000, 0.2925574000, 0.6298857000, 1.4659202000", \ - "0.1011966000, 0.1096232000, 0.1276975000, 0.1718553000, 0.2945869000, 0.6281871000, 1.4657234000", \ - "0.1470798000, 0.1585958000, 0.1847347000, 0.2378951000, 0.3459189000, 0.6370939000, 1.4640965000", \ - "0.2229202000, 0.2404563000, 0.2776141000, 0.3533346000, 0.5004904000, 0.7739285000, 1.4926543000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0977492000, 0.1007585000, 0.1078462000, 0.1238668000, 0.1606663000, 0.2497965000, 0.4719335000", \ - "0.1020113000, 0.1050447000, 0.1122467000, 0.1282944000, 0.1652059000, 0.2543406000, 0.4763643000", \ - "0.1140854000, 0.1171965000, 0.1243577000, 0.1405338000, 0.1775381000, 0.2666319000, 0.4888511000", \ - "0.1431683000, 0.1463624000, 0.1534903000, 0.1699396000, 0.2073062000, 0.2968491000, 0.5195224000", \ - "0.2009748000, 0.2043524000, 0.2117878000, 0.2295518000, 0.2685595000, 0.3587310000, 0.5810323000", \ - "0.2915635000, 0.2957677000, 0.3051008000, 0.3244716000, 0.3650014000, 0.4572317000, 0.6809531000", \ - "0.4390006000, 0.4446236000, 0.4568715000, 0.4812078000, 0.5267127000, 0.6187031000, 0.8425834000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0719531000, 0.0756071000, 0.0838515000, 0.1016625000, 0.1416891000, 0.2392933000, 0.4832348000", \ - "0.0756024000, 0.0792183000, 0.0874639000, 0.1052634000, 0.1453296000, 0.2425122000, 0.4858323000", \ - "0.0849792000, 0.0885413000, 0.0966448000, 0.1144898000, 0.1549013000, 0.2528589000, 0.4970315000", \ - "0.1042340000, 0.1079124000, 0.1162870000, 0.1344735000, 0.1751479000, 0.2734218000, 0.5166799000", \ - "0.1298521000, 0.1336889000, 0.1423158000, 0.1611230000, 0.2028786000, 0.3023765000, 0.5468438000", \ - "0.1555163000, 0.1600778000, 0.1701114000, 0.1898258000, 0.2313457000, 0.3312614000, 0.5765875000", \ - "0.1595263000, 0.1663141000, 0.1791305000, 0.2037979000, 0.2485996000, 0.3470601000, 0.5929255000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0333858000, 0.0365427000, 0.0443344000, 0.0631215000, 0.1096186000, 0.2287753000, 0.5305182000", \ - "0.0336382000, 0.0368275000, 0.0443743000, 0.0630977000, 0.1095681000, 0.2285771000, 0.5304517000", \ - "0.0336299000, 0.0366740000, 0.0444176000, 0.0631284000, 0.1095678000, 0.2283676000, 0.5295477000", \ - "0.0340278000, 0.0371868000, 0.0449569000, 0.0635655000, 0.1097528000, 0.2286897000, 0.5305421000", \ - "0.0339833000, 0.0376346000, 0.0458904000, 0.0646458000, 0.1105284000, 0.2290093000, 0.5298756000", \ - "0.0376372000, 0.0411215000, 0.0496593000, 0.0673896000, 0.1116174000, 0.2288191000, 0.5298235000", \ - "0.0485691000, 0.0527208000, 0.0615338000, 0.0794710000, 0.1209729000, 0.2304614000, 0.5284458000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012495000, 0.0031224800, 0.0078030500, 0.0194997000, 0.0487297000, 0.1217750000"); - values("0.0238687000, 0.0272879000, 0.0358536000, 0.0574155000, 0.1122726000, 0.2531621000, 0.6070502000", \ - "0.0238686000, 0.0273437000, 0.0358914000, 0.0572527000, 0.1121785000, 0.2528689000, 0.6066060000", \ - "0.0238870000, 0.0273969000, 0.0359561000, 0.0573574000, 0.1121832000, 0.2530293000, 0.6073951000", \ - "0.0252241000, 0.0287114000, 0.0372481000, 0.0582569000, 0.1126128000, 0.2533637000, 0.6088262000", \ - "0.0280665000, 0.0313501000, 0.0394525000, 0.0602696000, 0.1143901000, 0.2530018000, 0.6071555000", \ - "0.0357930000, 0.0387603000, 0.0462179000, 0.0650256000, 0.1163694000, 0.2543435000, 0.6062321000", \ - "0.0503144000, 0.0538968000, 0.0621883000, 0.0794795000, 0.1240303000, 0.2561799000, 0.6083346000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__xnor2_4") { - leakage_power () { - value : 0.0124488000; - when : "!A&B"; - } - leakage_power () { - value : 0.0030378000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0095704000; - when : "A&B"; - } - leakage_power () { - value : 0.0114222000; - when : "A&!B"; - } - area : 27.526400000; - cell_footprint : "sky130_fd_sc_hd__xnor2"; - cell_leakage_power : 0.0091197900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0167660000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0160650000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0174670000; - } - pin ("B") { - capacitance : 0.0159280000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0155930000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0162640000; - } - pin ("Y") { - direction : "output"; - function : "(!A&!B) | (A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000"); - values("0.0861683000, 0.0849669000, 0.0817112000, 0.0723498000, 0.0448304000, -0.033528800, -0.250532500", \ - "0.0854098000, 0.0842507000, 0.0809507000, 0.0715678000, 0.0440876000, -0.034265800, -0.251284500", \ - "0.0844064000, 0.0832269000, 0.0799157000, 0.0705323000, 0.0430365000, -0.035263800, -0.252270800", \ - "0.0834855000, 0.0822490000, 0.0789020000, 0.0694165000, 0.0418942000, -0.036253200, -0.252962400", \ - "0.0828424000, 0.0815461000, 0.0780734000, 0.0683942000, 0.0408448000, -0.037150900, -0.253720000", \ - "0.0829061000, 0.0815432000, 0.0778106000, 0.0672899000, 0.0419586000, -0.035929700, -0.252185000", \ - "0.0899691000, 0.0885668000, 0.0846191000, 0.0742724000, 0.0454649000, -0.032752000, -0.246819900"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000"); - values("0.0136436000, 0.0152459000, 0.0195099000, 0.0306936000, 0.0595276000, 0.1373701000, 0.3520755000", \ - "0.0128029000, 0.0143906000, 0.0186987000, 0.0299152000, 0.0587407000, 0.1367737000, 0.3520425000", \ - "0.0115224000, 0.0131076000, 0.0173700000, 0.0285636000, 0.0576689000, 0.1357751000, 0.3502504000", \ - "0.0101932000, 0.0117577000, 0.0159615000, 0.0271519000, 0.0565577000, 0.1351076000, 0.3504466000", \ - "0.0103902000, 0.0116827000, 0.0152402000, 0.0265135000, 0.0560448000, 0.1349531000, 0.3485163000", \ - "0.0109148000, 0.0123474000, 0.0162744000, 0.0270687000, 0.0564115000, 0.1352957000, 0.3488588000", \ - "0.0145992000, 0.0159890000, 0.0198998000, 0.0304659000, 0.0599496000, 0.1398034000, 0.3558579000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000"); - values("0.0790930000, 0.0775545000, 0.0733835000, 0.0621171000, 0.0316626000, -0.049392500, -0.268064900", \ - "0.0780305000, 0.0765009000, 0.0723421000, 0.0611166000, 0.0307753000, -0.050350100, -0.268843700", \ - "0.0765652000, 0.0750929000, 0.0709932000, 0.0597613000, 0.0295752000, -0.051381100, -0.270117500", \ - "0.0750556000, 0.0735685000, 0.0695593000, 0.0585215000, 0.0286677000, -0.052265000, -0.271017000", \ - "0.0742472000, 0.0728281000, 0.0688480000, 0.0580302000, 0.0285942000, -0.051848600, -0.270807100", \ - "0.0734923000, 0.0720580000, 0.0681685000, 0.0574827000, 0.0305907000, -0.049193100, -0.267955300", \ - "0.0803848000, 0.0790098000, 0.0750520000, 0.0646781000, 0.0355196000, -0.043896100, -0.260613900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013699110, 0.0037533110, 0.0102834000, 0.0281746900, 0.0771936200, 0.2114967000"); - values("0.0196642000, 0.0212621000, 0.0255293000, 0.0365849000, 0.0651743000, 0.1427970000, 0.3577892000", \ - "0.0187797000, 0.0203829000, 0.0246557000, 0.0357347000, 0.0644194000, 0.1422832000, 0.3570270000", \ - "0.0175395000, 0.0191196000, 0.0232904000, 0.0343918000, 0.0633834000, 0.1413930000, 0.3564265000", \ - "0.0162728000, 0.0177732000, 0.0219004000, 0.0329743000, 0.0623457000, 0.1409122000, 0.3547493000", \ - "0.0167131000, 0.0180354000, 0.0215443000, 0.0326183000, 0.0618786000, 0.1407320000, 0.3548660000", \ - "0.0187681000, 0.0202427000, 0.0241446000, 0.0350650000, 0.0642625000, 0.1421638000, 0.3566139000", \ - "0.0243999000, 0.0257853000, 0.0296621000, 0.0403712000, 0.0695040000, 0.1487362000, 0.3640341000"); - } - } - max_capacitance : 0.2114970000; - max_transition : 1.5007420000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0433706000, 0.0453367000, 0.0503733000, 0.0633054000, 0.0969206000, 0.1851898000, 0.4236227000", \ - "0.0480967000, 0.0500034000, 0.0551038000, 0.0681853000, 0.1017158000, 0.1900716000, 0.4284222000", \ - "0.0565350000, 0.0584672000, 0.0635131000, 0.0767980000, 0.1105450000, 0.1989932000, 0.4374227000", \ - "0.0702501000, 0.0725969000, 0.0784502000, 0.0929049000, 0.1275176000, 0.2162940000, 0.4550099000", \ - "0.0901600000, 0.0930594000, 0.1009606000, 0.1191904000, 0.1612806000, 0.2559837000, 0.4957786000", \ - "0.1054088000, 0.1098723000, 0.1217440000, 0.1499850000, 0.2108479000, 0.3316891000, 0.5890547000", \ - "0.0863427000, 0.0937807000, 0.1128108000, 0.1575348000, 0.2537223000, 0.4362713000, 0.7689356000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.1114710000, 0.1159225000, 0.1279386000, 0.1601830000, 0.2462169000, 0.4795539000, 1.1168017000", \ - "0.1154140000, 0.1199479000, 0.1317152000, 0.1645107000, 0.2510678000, 0.4850341000, 1.1214271000", \ - "0.1267482000, 0.1312834000, 0.1432508000, 0.1760819000, 0.2633923000, 0.4976572000, 1.1340502000", \ - "0.1531366000, 0.1577899000, 0.1697835000, 0.2024614000, 0.2899263000, 0.5247492000, 1.1615574000", \ - "0.2087516000, 0.2137615000, 0.2271152000, 0.2612723000, 0.3481232000, 0.5830891000, 1.2207824000", \ - "0.3047221000, 0.3117797000, 0.3297603000, 0.3731633000, 0.4778287000, 0.7181901000, 1.3567074000", \ - "0.4655082000, 0.4759718000, 0.5032751000, 0.5683992000, 0.7123726000, 1.0126332000, 1.6691007000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0328246000, 0.0349202000, 0.0406929000, 0.0566569000, 0.1005987000, 0.2213272000, 0.5536658000", \ - "0.0328307000, 0.0349267000, 0.0407527000, 0.0566906000, 0.1006304000, 0.2216905000, 0.5535692000", \ - "0.0330285000, 0.0350741000, 0.0407761000, 0.0566482000, 0.1006038000, 0.2215482000, 0.5539212000", \ - "0.0381891000, 0.0402402000, 0.0457347000, 0.0605680000, 0.1020319000, 0.2213741000, 0.5537846000", \ - "0.0528662000, 0.0551479000, 0.0612054000, 0.0767116000, 0.1171954000, 0.2276661000, 0.5541576000", \ - "0.0862318000, 0.0892385000, 0.0974563000, 0.1168106000, 0.1621629000, 0.2701728000, 0.5679035000", \ - "0.1504814000, 0.1547636000, 0.1666395000, 0.1947200000, 0.2557399000, 0.3821312000, 0.6713600000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0806455000, 0.0866377000, 0.1022302000, 0.1455467000, 0.2639252000, 0.5877244000, 1.4780006000", \ - "0.0807608000, 0.0862568000, 0.1022006000, 0.1454700000, 0.2639924000, 0.5879292000, 1.4735243000", \ - "0.0806518000, 0.0866005000, 0.1022020000, 0.1457652000, 0.2639654000, 0.5875345000, 1.4723487000", \ - "0.0806905000, 0.0864962000, 0.1023047000, 0.1456960000, 0.2641573000, 0.5870808000, 1.4719675000", \ - "0.0948051000, 0.1000885000, 0.1136087000, 0.1530348000, 0.2656347000, 0.5877778000, 1.4730354000", \ - "0.1321308000, 0.1379456000, 0.1535767000, 0.1946746000, 0.2986135000, 0.5955604000, 1.4732890000", \ - "0.2150811000, 0.2229177000, 0.2413604000, 0.2890561000, 0.4027265000, 0.6834220000, 1.4891730000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.1062858000, 0.1087184000, 0.1148508000, 0.1294900000, 0.1631937000, 0.2463063000, 0.4692751000", \ - "0.1109650000, 0.1133853000, 0.1195172000, 0.1341725000, 0.1679648000, 0.2511905000, 0.4735897000", \ - "0.1233158000, 0.1257255000, 0.1318603000, 0.1464399000, 0.1802085000, 0.2634250000, 0.4866414000", \ - "0.1538985000, 0.1563087000, 0.1623731000, 0.1768919000, 0.2106528000, 0.2940814000, 0.5174504000", \ - "0.2209495000, 0.2234359000, 0.2297303000, 0.2446790000, 0.2786351000, 0.3622533000, 0.5868593000", \ - "0.3322874000, 0.3353366000, 0.3428404000, 0.3594038000, 0.3956097000, 0.4807889000, 0.7044242000", \ - "0.5116579000, 0.5157257000, 0.5255807000, 0.5466497000, 0.5880311000, 0.6758343000, 0.8996423000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0828554000, 0.0854638000, 0.0919658000, 0.1074610000, 0.1439198000, 0.2384544000, 0.4981587000", \ - "0.0869906000, 0.0895855000, 0.0961219000, 0.1116350000, 0.1481469000, 0.2430497000, 0.5034999000", \ - "0.0952302000, 0.0978347000, 0.1043053000, 0.1197494000, 0.1565794000, 0.2514040000, 0.5131093000", \ - "0.1121669000, 0.1147845000, 0.1213999000, 0.1371206000, 0.1743873000, 0.2698650000, 0.5306749000", \ - "0.1377052000, 0.1404602000, 0.1473918000, 0.1638586000, 0.2022901000, 0.2991414000, 0.5592872000", \ - "0.1641376000, 0.1674327000, 0.1751902000, 0.1928799000, 0.2325532000, 0.3301521000, 0.5909532000", \ - "0.1655742000, 0.1698450000, 0.1801487000, 0.2022838000, 0.2465093000, 0.3450240000, 0.6062227000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0245147000, 0.0266235000, 0.0323319000, 0.0473995000, 0.0872301000, 0.1980620000, 0.5066865000", \ - "0.0244099000, 0.0265732000, 0.0324562000, 0.0475182000, 0.0873148000, 0.1979621000, 0.5072415000", \ - "0.0246390000, 0.0268236000, 0.0323972000, 0.0475006000, 0.0873023000, 0.1980191000, 0.5064518000", \ - "0.0245954000, 0.0266876000, 0.0324038000, 0.0476320000, 0.0873316000, 0.1980978000, 0.5073702000", \ - "0.0269713000, 0.0291588000, 0.0347540000, 0.0493969000, 0.0884532000, 0.1983438000, 0.5081293000", \ - "0.0356032000, 0.0374691000, 0.0428560000, 0.0567494000, 0.0939170000, 0.2016021000, 0.5080946000", \ - "0.0504165000, 0.0527444000, 0.0582930000, 0.0724478000, 0.1063927000, 0.2066192000, 0.5105575000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0255694000, 0.0278147000, 0.0340677000, 0.0511225000, 0.0984484000, 0.2318277000, 0.6032659000", \ - "0.0255030000, 0.0277907000, 0.0341078000, 0.0510815000, 0.0985051000, 0.2320978000, 0.6027592000", \ - "0.0255634000, 0.0278500000, 0.0341277000, 0.0511075000, 0.0984393000, 0.2320118000, 0.6038733000", \ - "0.0264438000, 0.0288015000, 0.0349743000, 0.0516880000, 0.0986900000, 0.2313666000, 0.6020711000", \ - "0.0293351000, 0.0314647000, 0.0376875000, 0.0541248000, 0.1005765000, 0.2320812000, 0.6002693000", \ - "0.0362512000, 0.0382865000, 0.0445000000, 0.0597736000, 0.1034032000, 0.2336652000, 0.6010125000", \ - "0.0508186000, 0.0528307000, 0.0590053000, 0.0737918000, 0.1132466000, 0.2365962000, 0.6050034000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0352815000, 0.0370315000, 0.0415947000, 0.0533460000, 0.0840623000, 0.1665917000, 0.3890193000", \ - "0.0395347000, 0.0413298000, 0.0460695000, 0.0582550000, 0.0894908000, 0.1715187000, 0.3944696000", \ - "0.0475697000, 0.0495175000, 0.0545225000, 0.0670635000, 0.0989002000, 0.1816361000, 0.4043040000", \ - "0.0586230000, 0.0610559000, 0.0673226000, 0.0820550000, 0.1159612000, 0.1995792000, 0.4234630000", \ - "0.0691877000, 0.0729020000, 0.0821645000, 0.1035775000, 0.1475503000, 0.2401087000, 0.4651209000", \ - "0.0664912000, 0.0725607000, 0.0868812000, 0.1208933000, 0.1890794000, 0.3155613000, 0.5622409000", \ - "0.0155959000, 0.0255836000, 0.0518217000, 0.1062293000, 0.2156762000, 0.4094078000, 0.7434722000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0894431000, 0.0940604000, 0.1063377000, 0.1388589000, 0.2255568000, 0.4589984000, 1.0956753000", \ - "0.0911656000, 0.0960730000, 0.1082078000, 0.1414389000, 0.2284199000, 0.4623537000, 1.0987684000", \ - "0.1003240000, 0.1050921000, 0.1172614000, 0.1504534000, 0.2379830000, 0.4727090000, 1.1098718000", \ - "0.1275764000, 0.1322033000, 0.1443194000, 0.1768991000, 0.2638104000, 0.4990175000, 1.1371351000", \ - "0.1903227000, 0.1958274000, 0.2098645000, 0.2437265000, 0.3290452000, 0.5633177000, 1.2016934000", \ - "0.2923067000, 0.3004558000, 0.3212257000, 0.3719020000, 0.4815066000, 0.7168229000, 1.3526478000", \ - "0.4629533000, 0.4741891000, 0.5028059000, 0.5788611000, 0.7409546000, 1.0652395000, 1.7065545000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0176550000, 0.0198276000, 0.0255669000, 0.0413932000, 0.0838727000, 0.1976204000, 0.5072198000", \ - "0.0179207000, 0.0200812000, 0.0259553000, 0.0418130000, 0.0840755000, 0.1979208000, 0.5064345000", \ - "0.0191497000, 0.0212100000, 0.0267788000, 0.0422002000, 0.0844718000, 0.1975018000, 0.5076811000", \ - "0.0255271000, 0.0275647000, 0.0331186000, 0.0477009000, 0.0864259000, 0.1980653000, 0.5083056000", \ - "0.0419680000, 0.0442507000, 0.0506386000, 0.0661861000, 0.1044096000, 0.2055870000, 0.5078955000", \ - "0.0759757000, 0.0790331000, 0.0876781000, 0.1078272000, 0.1524845000, 0.2544421000, 0.5260616000", \ - "0.1440202000, 0.1483476000, 0.1601621000, 0.1883906000, 0.2486804000, 0.3709742000, 0.6412829000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0803624000, 0.0862636000, 0.1022039000, 0.1455623000, 0.2642236000, 0.5871529000, 1.4710115000", \ - "0.0803251000, 0.0862608000, 0.1020876000, 0.1456593000, 0.2638403000, 0.5873961000, 1.4722475000", \ - "0.0799587000, 0.0859307000, 0.1017819000, 0.1455501000, 0.2638417000, 0.5876576000, 1.4710231000", \ - "0.0810427000, 0.0863967000, 0.1016107000, 0.1447076000, 0.2639333000, 0.5871530000, 1.4732598000", \ - "0.1068121000, 0.1118868000, 0.1242415000, 0.1596634000, 0.2662308000, 0.5874368000, 1.4728216000", \ - "0.1534365000, 0.1598113000, 0.1787846000, 0.2235031000, 0.3208799000, 0.5991684000, 1.4729828000", \ - "0.2295785000, 0.2403665000, 0.2672158000, 0.3342095000, 0.4682147000, 0.7345262000, 1.5007421000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0935093000, 0.0954990000, 0.1006497000, 0.1136166000, 0.1460953000, 0.2324437000, 0.4693996000", \ - "0.0981714000, 0.1001499000, 0.1053114000, 0.1183277000, 0.1510089000, 0.2374563000, 0.4744277000", \ - "0.1104030000, 0.1123714000, 0.1176958000, 0.1307968000, 0.1635261000, 0.2499519000, 0.4866218000", \ - "0.1407252000, 0.1427933000, 0.1482483000, 0.1615412000, 0.1945963000, 0.2812332000, 0.5181434000", \ - "0.2013146000, 0.2035931000, 0.2094098000, 0.2236051000, 0.2579722000, 0.3450789000, 0.5816173000", \ - "0.2978895000, 0.3007057000, 0.3077162000, 0.3240106000, 0.3605816000, 0.4493251000, 0.6868556000", \ - "0.4558866000, 0.4596746000, 0.4690985000, 0.4898670000, 0.5321134000, 0.6235362000, 0.8612472000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0778196000, 0.0804114000, 0.0869172000, 0.1022356000, 0.1384243000, 0.2329697000, 0.4928489000", \ - "0.0812731000, 0.0838694000, 0.0903779000, 0.1057578000, 0.1420294000, 0.2368998000, 0.4956549000", \ - "0.0902659000, 0.0928219000, 0.0993841000, 0.1147507000, 0.1514599000, 0.2461453000, 0.5060897000", \ - "0.1095344000, 0.1121835000, 0.1187825000, 0.1345597000, 0.1717429000, 0.2672413000, 0.5276065000", \ - "0.1345677000, 0.1372654000, 0.1440891000, 0.1602968000, 0.1989527000, 0.2959328000, 0.5555164000", \ - "0.1585782000, 0.1617941000, 0.1694113000, 0.1866177000, 0.2256317000, 0.3222964000, 0.5842075000", \ - "0.1567505000, 0.1609748000, 0.1708803000, 0.1928089000, 0.2346894000, 0.3315348000, 0.5939198000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0368622000, 0.0390765000, 0.0449064000, 0.0605744000, 0.1029867000, 0.2216907000, 0.5540532000", \ - "0.0369148000, 0.0391043000, 0.0449080000, 0.0605773000, 0.1029674000, 0.2219741000, 0.5535201000", \ - "0.0367973000, 0.0390669000, 0.0449984000, 0.0605389000, 0.1029331000, 0.2219649000, 0.5543047000", \ - "0.0370990000, 0.0394040000, 0.0451916000, 0.0608244000, 0.1029317000, 0.2219647000, 0.5543093000", \ - "0.0361728000, 0.0385302000, 0.0446525000, 0.0612725000, 0.1032341000, 0.2220998000, 0.5544427000", \ - "0.0391234000, 0.0415246000, 0.0474518000, 0.0630629000, 0.1040902000, 0.2215390000, 0.5529634000", \ - "0.0494114000, 0.0520519000, 0.0591301000, 0.0756065000, 0.1142857000, 0.2233734000, 0.5509738000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013699100, 0.0037533100, 0.0102834000, 0.0281747000, 0.0771936000, 0.2114970000"); - values("0.0255269000, 0.0278232000, 0.0341731000, 0.0511804000, 0.0986566000, 0.2316991000, 0.6024007000", \ - "0.0255228000, 0.0278273000, 0.0341737000, 0.0512187000, 0.0985469000, 0.2318790000, 0.6050904000", \ - "0.0255345000, 0.0279013000, 0.0341683000, 0.0511861000, 0.0984896000, 0.2319595000, 0.6034798000", \ - "0.0270024000, 0.0293665000, 0.0355487000, 0.0522590000, 0.0989446000, 0.2314975000, 0.6026815000", \ - "0.0293326000, 0.0314843000, 0.0376244000, 0.0542786000, 0.1013152000, 0.2329248000, 0.6020465000", \ - "0.0371289000, 0.0393486000, 0.0445492000, 0.0594313000, 0.1032342000, 0.2348178000, 0.6018213000", \ - "0.0519052000, 0.0542855000, 0.0598419000, 0.0740121000, 0.1125662000, 0.2364119000, 0.6056086000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__xnor3_1") { - leakage_power () { - value : 0.0140429000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0082385000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0200387000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0228060000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0084287000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0111960000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0229939000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0171894000; - when : "A&B&!C"; - } - area : 22.521600000; - cell_footprint : "sky130_fd_sc_hd__xnor3"; - cell_leakage_power : 0.0156167600; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024440000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0023000000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025880000; - } - pin ("B") { - capacitance : 0.0052840000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0051310000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0054360000; - } - pin ("C") { - capacitance : 0.0034800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033610000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035990000; - } - pin ("X") { - direction : "output"; - function : "(!A&!B&!C) | (A&B&!C) | (A&!B&C) | (!A&B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013003870, 0.0033820130, 0.0087958540, 0.0228760300, 0.0594953800, 0.1547341000"); - values("0.0459356000, 0.0448634000, 0.0424627000, 0.0355321000, 0.0147288000, -0.043637100, -0.197702100", \ - "0.0457716000, 0.0446992000, 0.0423091000, 0.0353533000, 0.0146124000, -0.043810000, -0.197892500", \ - "0.0455475000, 0.0444947000, 0.0420816000, 0.0351400000, 0.0143406000, -0.044022400, -0.198081300", \ - "0.0454022000, 0.0443526000, 0.0419124000, 0.0349613000, 0.0141833000, -0.044194700, -0.198255200", \ - "0.0451508000, 0.0440850000, 0.0416560000, 0.0346645000, 0.0138598000, -0.044495700, -0.198535400", \ - "0.0456332000, 0.0445324000, 0.0420317000, 0.0349738000, 0.0140379000, -0.044335200, -0.198360800", \ - "0.0508615000, 0.0493335000, 0.0456214000, 0.0364433000, 0.0155465000, -0.042850600, -0.196900000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013003870, 0.0033820130, 0.0087958540, 0.0228760300, 0.0594953800, 0.1547341000"); - values("0.0385232000, 0.0404631000, 0.0450669000, 0.0548270000, 0.0776663000, 0.1364971000, 0.2896736000", \ - "0.0385145000, 0.0403666000, 0.0450239000, 0.0547455000, 0.0775921000, 0.1362166000, 0.2896269000", \ - "0.0383312000, 0.0401771000, 0.0448533000, 0.0545830000, 0.0773806000, 0.1360411000, 0.2894212000", \ - "0.0379676000, 0.0398611000, 0.0445231000, 0.0542913000, 0.0770855000, 0.1357566000, 0.2891762000", \ - "0.0376813000, 0.0395875000, 0.0442906000, 0.0540884000, 0.0768979000, 0.1357266000, 0.2874794000", \ - "0.0394512000, 0.0407481000, 0.0443340000, 0.0540907000, 0.0767340000, 0.1354691000, 0.2884890000", \ - "0.0416735000, 0.0429754000, 0.0463506000, 0.0551230000, 0.0774953000, 0.1363046000, 0.2888866000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013003870, 0.0033820130, 0.0087958540, 0.0228760300, 0.0594953800, 0.1547341000"); - values("0.0422326000, 0.0412427000, 0.0387451000, 0.0316316000, 0.0106285000, -0.047852700, -0.201957500", \ - "0.0420649000, 0.0410740000, 0.0385715000, 0.0314594000, 0.0104400000, -0.048028200, -0.202129200", \ - "0.0419008000, 0.0409096000, 0.0384193000, 0.0312907000, 0.0102835000, -0.048194800, -0.202291600", \ - "0.0419317000, 0.0409362000, 0.0384412000, 0.0313140000, 0.0103165000, -0.048154000, -0.202248200", \ - "0.0420987000, 0.0410901000, 0.0385977000, 0.0314607000, 0.0104490000, -0.048037800, -0.202110500", \ - "0.0436520000, 0.0425622000, 0.0400446000, 0.0328491000, 0.0117816000, -0.046667900, -0.200755400", \ - "0.0511074000, 0.0496323000, 0.0459891000, 0.0370217000, 0.0147515000, -0.043125700, -0.197177200"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013003870, 0.0033820130, 0.0087958540, 0.0228760300, 0.0594953800, 0.1547341000"); - values("0.0293238000, 0.0309630000, 0.0351413000, 0.0445200000, 0.0673079000, 0.1260699000, 0.2787790000", \ - "0.0289448000, 0.0305822000, 0.0347231000, 0.0441153000, 0.0668879000, 0.1255299000, 0.2786966000", \ - "0.0284827000, 0.0301323000, 0.0342625000, 0.0436334000, 0.0664374000, 0.1250716000, 0.2768846000", \ - "0.0281383000, 0.0297684000, 0.0338437000, 0.0431836000, 0.0659411000, 0.1246404000, 0.2765367000", \ - "0.0283821000, 0.0299918000, 0.0340200000, 0.0432776000, 0.0660414000, 0.1247585000, 0.2765154000", \ - "0.0311609000, 0.0324511000, 0.0358147000, 0.0444121000, 0.0670367000, 0.1258951000, 0.2779032000", \ - "0.0361366000, 0.0374196000, 0.0407925000, 0.0495535000, 0.0720248000, 0.1306896000, 0.2822853000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013003870, 0.0033820130, 0.0087958540, 0.0228760300, 0.0594953800, 0.1547341000"); - values("0.0162566000, 0.0153929000, 0.0129177000, 0.0056040000, -0.015837100, -0.074641000, -0.228940500", \ - "0.0158676000, 0.0149642000, 0.0125306000, 0.0052007000, -0.016170900, -0.074995700, -0.229268700", \ - "0.0154597000, 0.0145597000, 0.0121295000, 0.0048024000, -0.016544500, -0.075345200, -0.229613000", \ - "0.0151611000, 0.0142289000, 0.0117766000, 0.0044972000, -0.016859100, -0.075596200, -0.229865400", \ - "0.0152034000, 0.0142741000, 0.0117570000, 0.0044187000, -0.016885800, -0.075588400, -0.229834300", \ - "0.0174018000, 0.0160667000, 0.0126612000, 0.0051251000, -0.016063200, -0.074739000, -0.228966400", \ - "0.0227336000, 0.0213089000, 0.0178215000, 0.0089756000, -0.013846400, -0.072503600, -0.226718800"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013003870, 0.0033820130, 0.0087958540, 0.0228760300, 0.0594953800, 0.1547341000"); - values("0.0086759000, 0.0101482000, 0.0138119000, 0.0226716000, 0.0450893000, 0.1035801000, 0.2563845000", \ - "0.0084132000, 0.0098720000, 0.0135584000, 0.0224022000, 0.0448927000, 0.1034934000, 0.2564351000", \ - "0.0080143000, 0.0094943000, 0.0131515000, 0.0220532000, 0.0444989000, 0.1036447000, 0.2557324000", \ - "0.0075967000, 0.0090595000, 0.0127045000, 0.0216402000, 0.0441783000, 0.1033533000, 0.2538316000", \ - "0.0075079000, 0.0089767000, 0.0126159000, 0.0214970000, 0.0440888000, 0.1027241000, 0.2560350000", \ - "0.0086820000, 0.0099803000, 0.0133852000, 0.0219070000, 0.0446046000, 0.1028740000, 0.2561718000", \ - "0.0112441000, 0.0125466000, 0.0158718000, 0.0245908000, 0.0472094000, 0.1058796000, 0.2569713000"); - } - } - max_capacitance : 0.1547340000; - max_transition : 1.5036540000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.3261835000, 0.3379711000, 0.3612459000, 0.4034195000, 0.4796788000, 0.6284753000, 0.9590287000", \ - "0.3307269000, 0.3426612000, 0.3660212000, 0.4079745000, 0.4845269000, 0.6331610000, 0.9634723000", \ - "0.3423403000, 0.3540429000, 0.3772026000, 0.4192545000, 0.4957252000, 0.6444152000, 0.9745602000", \ - "0.3685454000, 0.3802096000, 0.4034034000, 0.4455202000, 0.5219338000, 0.6706289000, 1.0008601000", \ - "0.4152000000, 0.4272249000, 0.4503742000, 0.4924340000, 0.5689020000, 0.7176121000, 1.0477648000", \ - "0.4815172000, 0.4933116000, 0.5164830000, 0.5584857000, 0.6348441000, 0.7837644000, 1.1142207000", \ - "0.5551247000, 0.5669721000, 0.5903118000, 0.6323914000, 0.7087622000, 0.8577275000, 1.1883748000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.3115044000, 0.3218365000, 0.3436880000, 0.3894458000, 0.4902975000, 0.7388155000, 1.3798774000", \ - "0.3165611000, 0.3269043000, 0.3487182000, 0.3944577000, 0.4952838000, 0.7434985000, 1.3855956000", \ - "0.3292220000, 0.3395362000, 0.3614226000, 0.4071878000, 0.5080553000, 0.7566210000, 1.3980772000", \ - "0.3609895000, 0.3713050000, 0.3931878000, 0.4389525000, 0.5398191000, 0.7883841000, 1.4298595000", \ - "0.4352933000, 0.4455956000, 0.4674524000, 0.5131923000, 0.6140100000, 0.8621862000, 1.5083254000", \ - "0.5780242000, 0.5883164000, 0.6104151000, 0.6561517000, 0.7570458000, 1.0051259000, 1.6482028000", \ - "0.8122127000, 0.8226784000, 0.8450377000, 0.8912990000, 0.9926828000, 1.2406075000, 1.8825872000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0542338000, 0.0600230000, 0.0718920000, 0.0999085000, 0.1629811000, 0.3160339000, 0.7173621000", \ - "0.0545346000, 0.0598307000, 0.0718938000, 0.0991476000, 0.1633364000, 0.3154970000, 0.7182481000", \ - "0.0537859000, 0.0600063000, 0.0718494000, 0.0991359000, 0.1632429000, 0.3153069000, 0.7170579000", \ - "0.0537775000, 0.0600484000, 0.0718191000, 0.0991836000, 0.1631729000, 0.3149573000, 0.7165845000", \ - "0.0546942000, 0.0599736000, 0.0718505000, 0.0991672000, 0.1632284000, 0.3152679000, 0.7171179000", \ - "0.0544671000, 0.0602820000, 0.0718572000, 0.0993176000, 0.1637566000, 0.3153303000, 0.7176534000", \ - "0.0544804000, 0.0602923000, 0.0724570000, 0.1006649000, 0.1639641000, 0.3157724000, 0.7153922000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0357205000, 0.0445002000, 0.0648434000, 0.1138316000, 0.2407210000, 0.5862610000, 1.5011440000", \ - "0.0356122000, 0.0444721000, 0.0649082000, 0.1136006000, 0.2406850000, 0.5844960000, 1.5023417000", \ - "0.0357383000, 0.0444380000, 0.0648206000, 0.1138514000, 0.2408312000, 0.5863026000, 1.5006593000", \ - "0.0357343000, 0.0444443000, 0.0648090000, 0.1138453000, 0.2408187000, 0.5862844000, 1.5005942000", \ - "0.0356105000, 0.0444611000, 0.0649165000, 0.1136144000, 0.2407541000, 0.5847506000, 1.5023681000", \ - "0.0359282000, 0.0448268000, 0.0652982000, 0.1141103000, 0.2412589000, 0.5854263000, 1.4959882000", \ - "0.0367898000, 0.0453782000, 0.0661105000, 0.1147859000, 0.2417085000, 0.5835883000, 1.4962831000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.3010308000, 0.3130432000, 0.3362529000, 0.3777922000, 0.4527165000, 0.5996342000, 0.9290140000", \ - "0.3062965000, 0.3183170000, 0.3415490000, 0.3831014000, 0.4580094000, 0.6049174000, 0.9340565000", \ - "0.3189746000, 0.3309386000, 0.3542139000, 0.3957547000, 0.4706811000, 0.6176104000, 0.9470179000", \ - "0.3503779000, 0.3623440000, 0.3856533000, 0.4271129000, 0.5018685000, 0.6490447000, 0.9782559000", \ - "0.4239405000, 0.4359584000, 0.4592329000, 0.5007463000, 0.5757519000, 0.7228007000, 1.0521087000", \ - "0.5819835000, 0.5944183000, 0.6183499000, 0.6605400000, 0.7359050000, 0.8832857000, 1.2129326000", \ - "0.8539089000, 0.8691804000, 0.8974056000, 0.9447686000, 1.0263703000, 1.1792273000, 1.5116024000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.1713912000, 0.1808556000, 0.2014893000, 0.2455991000, 0.3456340000, 0.5935882000, 1.2353952000", \ - "0.1759714000, 0.1854794000, 0.2061168000, 0.2501341000, 0.3502711000, 0.5983293000, 1.2414502000", \ - "0.1870891000, 0.1965313000, 0.2171778000, 0.2611891000, 0.3613320000, 0.6093848000, 1.2529348000", \ - "0.2116741000, 0.2211414000, 0.2418065000, 0.2858294000, 0.3859585000, 0.6340404000, 1.2775401000", \ - "0.2605282000, 0.2700626000, 0.2907682000, 0.3348899000, 0.4347396000, 0.6827849000, 1.3252442000", \ - "0.3358223000, 0.3462838000, 0.3684667000, 0.4146267000, 0.5159297000, 0.7644931000, 1.4075215000", \ - "0.4299489000, 0.4428375000, 0.4693578000, 0.5210288000, 0.6265114000, 0.8755187000, 1.5175750000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0573964000, 0.0622161000, 0.0730388000, 0.0983945000, 0.1612061000, 0.3128546000, 0.7160206000", \ - "0.0574032000, 0.0622212000, 0.0730363000, 0.0986097000, 0.1613770000, 0.3122423000, 0.7154926000", \ - "0.0574619000, 0.0622457000, 0.0731048000, 0.0984579000, 0.1610885000, 0.3132190000, 0.7155824000", \ - "0.0576043000, 0.0621399000, 0.0736712000, 0.0998748000, 0.1604157000, 0.3123721000, 0.7168019000", \ - "0.0579651000, 0.0626917000, 0.0737987000, 0.0998559000, 0.1607792000, 0.3125068000, 0.7172195000", \ - "0.0644800000, 0.0684790000, 0.0775696000, 0.1017133000, 0.1626778000, 0.3132968000, 0.7145278000", \ - "0.0957121000, 0.0955556000, 0.0987417000, 0.1173150000, 0.1737483000, 0.3207603000, 0.7189226000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0323532000, 0.0407385000, 0.0609480000, 0.1104879000, 0.2389486000, 0.5842683000, 1.5009735000", \ - "0.0322799000, 0.0409053000, 0.0610971000, 0.1103479000, 0.2390031000, 0.5843143000, 1.5036355000", \ - "0.0323039000, 0.0408802000, 0.0611055000, 0.1104492000, 0.2390893000, 0.5847696000, 1.4991892000", \ - "0.0323037000, 0.0409078000, 0.0610954000, 0.1104765000, 0.2391286000, 0.5847532000, 1.4987038000", \ - "0.0329224000, 0.0414244000, 0.0615916000, 0.1105523000, 0.2392730000, 0.5845970000, 1.4935486000", \ - "0.0370566000, 0.0456170000, 0.0661741000, 0.1147900000, 0.2412074000, 0.5846771000, 1.4975455000", \ - "0.0472700000, 0.0570990000, 0.0786210000, 0.1260813000, 0.2479074000, 0.5875371000, 1.4940702000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.2466925000, 0.2583875000, 0.2807929000, 0.3220912000, 0.3971645000, 0.5448116000, 0.8744568000", \ - "0.2500810000, 0.2617458000, 0.2841834000, 0.3254583000, 0.4005822000, 0.5481958000, 0.8778716000", \ - "0.2584186000, 0.2700213000, 0.2925382000, 0.3338401000, 0.4088412000, 0.5565191000, 0.8861158000", \ - "0.2786225000, 0.2902189000, 0.3127343000, 0.3539869000, 0.4290262000, 0.5766342000, 0.9062690000", \ - "0.3342392000, 0.3453615000, 0.3679315000, 0.4089668000, 0.4837974000, 0.6314766000, 0.9611415000", \ - "0.4002363000, 0.4115068000, 0.4338399000, 0.4745361000, 0.5491068000, 0.6961999000, 1.0255381000", \ - "0.4569473000, 0.4683519000, 0.4906715000, 0.5315050000, 0.6065866000, 0.7539294000, 1.0824422000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.2218311000, 0.2321033000, 0.2539605000, 0.2996506000, 0.4003775000, 0.6485347000, 1.2937608000", \ - "0.2253162000, 0.2355612000, 0.2574174000, 0.3030898000, 0.4037886000, 0.6516505000, 1.2956780000", \ - "0.2369061000, 0.2472220000, 0.2690485000, 0.3147377000, 0.4154792000, 0.6639695000, 1.3063312000", \ - "0.2666467000, 0.2769835000, 0.2987589000, 0.3444172000, 0.4451391000, 0.6934210000, 1.3352081000", \ - "0.3264981000, 0.3368412000, 0.3586860000, 0.4043995000, 0.5051697000, 0.7536227000, 1.3959903000", \ - "0.4135823000, 0.4237809000, 0.4457534000, 0.4912677000, 0.5916666000, 0.8406221000, 1.4853824000", \ - "0.5417005000, 0.5520858000, 0.5741185000, 0.6200647000, 0.7210880000, 0.9691781000, 1.6091559000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0523177000, 0.0579534000, 0.0699598000, 0.0978952000, 0.1616606000, 0.3128279000, 0.7168944000", \ - "0.0523866000, 0.0579630000, 0.0700416000, 0.0980632000, 0.1617649000, 0.3131484000, 0.7168611000", \ - "0.0522063000, 0.0578483000, 0.0698888000, 0.0974530000, 0.1614629000, 0.3130371000, 0.7168188000", \ - "0.0523353000, 0.0578711000, 0.0698069000, 0.0978986000, 0.1616567000, 0.3127889000, 0.7168908000", \ - "0.0508389000, 0.0564620000, 0.0690935000, 0.0970368000, 0.1612519000, 0.3129540000, 0.7168400000", \ - "0.0507952000, 0.0564594000, 0.0689960000, 0.0975065000, 0.1592496000, 0.3124371000, 0.7155263000", \ - "0.0509003000, 0.0565780000, 0.0691430000, 0.0979884000, 0.1615311000, 0.3124179000, 0.7130409000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0354751000, 0.0444849000, 0.0648845000, 0.1136864000, 0.2405596000, 0.5844428000, 1.5025124000", \ - "0.0355684000, 0.0445132000, 0.0649738000, 0.1135913000, 0.2410732000, 0.5858413000, 1.4961623000", \ - "0.0356302000, 0.0444242000, 0.0647551000, 0.1137232000, 0.2406212000, 0.5860748000, 1.4997684000", \ - "0.0355383000, 0.0444331000, 0.0647490000, 0.1135770000, 0.2405171000, 0.5851979000, 1.5017851000", \ - "0.0355785000, 0.0445036000, 0.0647713000, 0.1137414000, 0.2406915000, 0.5862360000, 1.4996748000", \ - "0.0357510000, 0.0446271000, 0.0649612000, 0.1136361000, 0.2404939000, 0.5862476000, 1.5014779000", \ - "0.0362103000, 0.0448448000, 0.0654061000, 0.1144155000, 0.2412387000, 0.5830027000, 1.4932497000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.2791208000, 0.2908989000, 0.3143019000, 0.3561903000, 0.4327238000, 0.5813334000, 0.9117153000", \ - "0.2826854000, 0.2944339000, 0.3175631000, 0.3596520000, 0.4359818000, 0.5847798000, 0.9151782000", \ - "0.2936304000, 0.3053827000, 0.3285254000, 0.3706050000, 0.4469730000, 0.5957651000, 0.9260953000", \ - "0.3221744000, 0.3338759000, 0.3571000000, 0.3991214000, 0.4755603000, 0.6242976000, 0.9548228000", \ - "0.3862365000, 0.3980231000, 0.4212425000, 0.4632351000, 0.5394674000, 0.6883467000, 1.0189800000", \ - "0.5205699000, 0.5328747000, 0.5571908000, 0.6001279000, 0.6776404000, 0.8270929000, 1.1579261000", \ - "0.7315143000, 0.7487215000, 0.7807275000, 0.8349450000, 0.9242067000, 1.0850700000, 1.4218280000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.1489641000, 0.1583136000, 0.1788415000, 0.2226026000, 0.3218752000, 0.5696646000, 1.2111064000", \ - "0.1520418000, 0.1614059000, 0.1818700000, 0.2256885000, 0.3250365000, 0.5722849000, 1.2149795000", \ - "0.1613141000, 0.1707906000, 0.1912045000, 0.2349524000, 0.3343827000, 0.5819437000, 1.2228245000", \ - "0.1852507000, 0.1946373000, 0.2150218000, 0.2587263000, 0.3579817000, 0.6055020000, 1.2456024000", \ - "0.2333437000, 0.2429486000, 0.2636967000, 0.3076561000, 0.4069530000, 0.6546810000, 1.2966429000", \ - "0.3034201000, 0.3142536000, 0.3370419000, 0.3828566000, 0.4834672000, 0.7318777000, 1.3727914000", \ - "0.3794501000, 0.3937811000, 0.4219521000, 0.4736801000, 0.5771938000, 0.8255475000, 1.4673714000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0541198000, 0.0592030000, 0.0719207000, 0.0989857000, 0.1633032000, 0.3154622000, 0.7187048000", \ - "0.0540638000, 0.0596959000, 0.0719470000, 0.1004474000, 0.1631337000, 0.3155760000, 0.7188779000", \ - "0.0540104000, 0.0595856000, 0.0720386000, 0.1002795000, 0.1633284000, 0.3158991000, 0.7185120000", \ - "0.0541045000, 0.0596189000, 0.0718048000, 0.0991548000, 0.1633778000, 0.3156855000, 0.7187571000", \ - "0.0536191000, 0.0594748000, 0.0717747000, 0.1001215000, 0.1637357000, 0.3156688000, 0.7172059000", \ - "0.0609625000, 0.0667291000, 0.0775889000, 0.1028818000, 0.1664539000, 0.3159577000, 0.7177272000", \ - "0.0939201000, 0.0998489000, 0.1099872000, 0.1323971000, 0.1899664000, 0.3357765000, 0.7255596000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0321309000, 0.0404976000, 0.0605177000, 0.1095395000, 0.2385591000, 0.5850882000, 1.4997632000", \ - "0.0320305000, 0.0405515000, 0.0604004000, 0.1096937000, 0.2383498000, 0.5850510000, 1.4983530000", \ - "0.0319760000, 0.0405301000, 0.0604879000, 0.1094962000, 0.2384663000, 0.5838859000, 1.4936452000", \ - "0.0320184000, 0.0405037000, 0.0603467000, 0.1096893000, 0.2384374000, 0.5845224000, 1.4954223000", \ - "0.0332620000, 0.0417103000, 0.0616627000, 0.1102678000, 0.2386515000, 0.5840608000, 1.4944543000", \ - "0.0395679000, 0.0484634000, 0.0675753000, 0.1147094000, 0.2409792000, 0.5849459000, 1.4993166000", \ - "0.0538995000, 0.0635856000, 0.0836200000, 0.1270253000, 0.2460139000, 0.5872421000, 1.4944960000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.1580573000, 0.1690048000, 0.1912026000, 0.2318951000, 0.3065477000, 0.4537066000, 0.7832456000", \ - "0.1628465000, 0.1740525000, 0.1960769000, 0.2367342000, 0.3116348000, 0.4586410000, 0.7881081000", \ - "0.1725076000, 0.1836503000, 0.2057304000, 0.2464313000, 0.3212943000, 0.4684072000, 0.7976073000", \ - "0.1931762000, 0.2041497000, 0.2258636000, 0.2661998000, 0.3404717000, 0.4878540000, 0.8170350000", \ - "0.2378016000, 0.2471855000, 0.2668872000, 0.3053952000, 0.3784175000, 0.5249239000, 0.8544059000", \ - "0.2810715000, 0.2905546000, 0.3101142000, 0.3477683000, 0.4196016000, 0.5639045000, 0.8923096000", \ - "0.3154076000, 0.3246721000, 0.3441051000, 0.3817892000, 0.4536368000, 0.5990162000, 0.9259281000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.1476253000, 0.1574839000, 0.1789787000, 0.2242681000, 0.3248556000, 0.5736931000, 1.2164523000", \ - "0.1523000000, 0.1622958000, 0.1837019000, 0.2288961000, 0.3295476000, 0.5782297000, 1.2198496000", \ - "0.1652422000, 0.1751617000, 0.1966502000, 0.2418196000, 0.3424356000, 0.5906424000, 1.2335095000", \ - "0.1963681000, 0.2063578000, 0.2278169000, 0.2730369000, 0.3735958000, 0.6222676000, 1.2635608000", \ - "0.2600115000, 0.2698308000, 0.2911875000, 0.3363216000, 0.4368472000, 0.6846273000, 1.3322883000", \ - "0.3595338000, 0.3693363000, 0.3907282000, 0.4357313000, 0.5357497000, 0.7838289000, 1.4283805000", \ - "0.5116381000, 0.5213118000, 0.5425546000, 0.5877699000, 0.6887365000, 0.9367990000, 1.5762605000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0471118000, 0.0535748000, 0.0661793000, 0.0957683000, 0.1601068000, 0.3137060000, 0.7149007000", \ - "0.0470881000, 0.0532657000, 0.0663821000, 0.0944310000, 0.1599784000, 0.3127373000, 0.7181813000", \ - "0.0468180000, 0.0528817000, 0.0662736000, 0.0944373000, 0.1597865000, 0.3123711000, 0.7155872000", \ - "0.0445469000, 0.0509957000, 0.0645190000, 0.0948491000, 0.1592270000, 0.3119834000, 0.7155861000", \ - "0.0369710000, 0.0440198000, 0.0588110000, 0.0896384000, 0.1572554000, 0.3116738000, 0.7153069000", \ - "0.0367903000, 0.0430020000, 0.0580179000, 0.0878001000, 0.1547852000, 0.3069575000, 0.7134404000", \ - "0.0356895000, 0.0424433000, 0.0572074000, 0.0879534000, 0.1547673000, 0.3091618000, 0.7074629000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0338544000, 0.0427004000, 0.0633103000, 0.1127223000, 0.2402924000, 0.5863511000, 1.4954104000", \ - "0.0338301000, 0.0427410000, 0.0632522000, 0.1126487000, 0.2397939000, 0.5865351000, 1.4964531000", \ - "0.0339845000, 0.0426326000, 0.0634160000, 0.1126763000, 0.2402204000, 0.5862935000, 1.4948852000", \ - "0.0338062000, 0.0427807000, 0.0632099000, 0.1125247000, 0.2399345000, 0.5865415000, 1.4958496000", \ - "0.0333752000, 0.0423500000, 0.0633170000, 0.1125657000, 0.2404061000, 0.5862137000, 1.5036542000", \ - "0.0334124000, 0.0423452000, 0.0628806000, 0.1125864000, 0.2395793000, 0.5866728000, 1.5026712000", \ - "0.0343621000, 0.0429598000, 0.0636887000, 0.1133564000, 0.2406231000, 0.5843537000, 1.4950884000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.1368187000, 0.1465362000, 0.1664877000, 0.2046736000, 0.2776180000, 0.4245586000, 0.7545418000", \ - "0.1397194000, 0.1493785000, 0.1692243000, 0.2074637000, 0.2801316000, 0.4273062000, 0.7568836000", \ - "0.1489735000, 0.1584707000, 0.1781087000, 0.2161829000, 0.2886932000, 0.4358057000, 0.7655563000", \ - "0.1748783000, 0.1841732000, 0.2033171000, 0.2408095000, 0.3132973000, 0.4600378000, 0.7897737000", \ - "0.2401062000, 0.2490047000, 0.2673294000, 0.3037296000, 0.3753696000, 0.5215821000, 0.8513057000", \ - "0.3500013000, 0.3608720000, 0.3824462000, 0.4214099000, 0.4939916000, 0.6421731000, 0.9725429000", \ - "0.5157704000, 0.5292586000, 0.5565745000, 0.6043213000, 0.6839028000, 0.8334006000, 1.1682705000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0837924000, 0.0930334000, 0.1130427000, 0.1556035000, 0.2529819000, 0.4997183000, 1.1396515000", \ - "0.0878570000, 0.0970905000, 0.1170913000, 0.1596985000, 0.2572875000, 0.5032753000, 1.1433151000", \ - "0.0980591000, 0.1072524000, 0.1272294000, 0.1698849000, 0.2673803000, 0.5144163000, 1.1546497000", \ - "0.1215980000, 0.1306639000, 0.1504938000, 0.1932389000, 0.2910387000, 0.5385992000, 1.1824144000", \ - "0.1577993000, 0.1670878000, 0.1871415000, 0.2307567000, 0.3299450000, 0.5768260000, 1.2205451000", \ - "0.2010987000, 0.2119829000, 0.2338179000, 0.2779799000, 0.3776359000, 0.6266208000, 1.2706349000", \ - "0.2339178000, 0.2482148000, 0.2761413000, 0.3258350000, 0.4267932000, 0.6753099000, 1.3174777000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0367162000, 0.0442326000, 0.0588435000, 0.0905759000, 0.1578446000, 0.3140854000, 0.7154845000", \ - "0.0367445000, 0.0434211000, 0.0593308000, 0.0904492000, 0.1584110000, 0.3133028000, 0.7168906000", \ - "0.0361458000, 0.0428850000, 0.0586482000, 0.0898330000, 0.1581925000, 0.3130081000, 0.7183272000", \ - "0.0345492000, 0.0414962000, 0.0568680000, 0.0886150000, 0.1567971000, 0.3117062000, 0.7169426000", \ - "0.0347491000, 0.0412001000, 0.0552317000, 0.0866930000, 0.1547510000, 0.3124080000, 0.7182722000", \ - "0.0464445000, 0.0531221000, 0.0662068000, 0.0948081000, 0.1582302000, 0.3148418000, 0.7191073000", \ - "0.0619415000, 0.0704963000, 0.0870198000, 0.1148922000, 0.1728151000, 0.3213176000, 0.7267671000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013003900, 0.0033820100, 0.0087958500, 0.0228760000, 0.0594954000, 0.1547340000"); - values("0.0308152000, 0.0391682000, 0.0593102000, 0.1082348000, 0.2373747000, 0.5860634000, 1.4983426000", \ - "0.0307385000, 0.0392562000, 0.0593786000, 0.1082674000, 0.2373620000, 0.5841683000, 1.4997030000", \ - "0.0306158000, 0.0391495000, 0.0591286000, 0.1081560000, 0.2371082000, 0.5861107000, 1.4955263000", \ - "0.0304765000, 0.0389730000, 0.0591867000, 0.1083528000, 0.2370707000, 0.5856046000, 1.4964220000", \ - "0.0332875000, 0.0410562000, 0.0607879000, 0.1102087000, 0.2382441000, 0.5852317000, 1.4982318000", \ - "0.0424207000, 0.0492486000, 0.0667452000, 0.1128277000, 0.2413090000, 0.5861547000, 1.4967800000", \ - "0.0573550000, 0.0665055000, 0.0847314000, 0.1245380000, 0.2444999000, 0.5894470000, 1.4946278000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__xnor3_2") { - leakage_power () { - value : 0.0133280000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0087148000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0201446000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0224635000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0085349000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0108525000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0222772000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0176658000; - when : "A&B&!C"; - } - area : 23.772800000; - cell_footprint : "sky130_fd_sc_hd__xnor3"; - cell_leakage_power : 0.0154976600; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024400000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022970000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025830000; - } - pin ("B") { - capacitance : 0.0052800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0051240000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0054360000; - } - pin ("C") { - capacitance : 0.0034750000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033530000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035970000; - } - pin ("X") { - direction : "output"; - function : "(!A&!B&!C) | (A&B&!C) | (A&!B&C) | (!A&B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0500241000, 0.0482574000, 0.0440443000, 0.0328728000, -0.001874800, -0.109827500, -0.429364500", \ - "0.0498658000, 0.0481125000, 0.0439126000, 0.0327049000, -0.002031800, -0.109997600, -0.429526200", \ - "0.0496624000, 0.0479065000, 0.0436959000, 0.0324869000, -0.002239600, -0.110212000, -0.429738900", \ - "0.0495101000, 0.0477533000, 0.0435401000, 0.0323255000, -0.002416300, -0.110387800, -0.429906700", \ - "0.0493840000, 0.0476108000, 0.0434014000, 0.0321894000, -0.002636700, -0.110569100, -0.430043900", \ - "0.0500081000, 0.0482320000, 0.0439306000, 0.0325327000, -0.002565100, -0.110551200, -0.430017900", \ - "0.0556685000, 0.0536525000, 0.0483282000, 0.0342840000, -0.001273900, -0.109489200, -0.429013300"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0437739000, 0.0455612000, 0.0508650000, 0.0654352000, 0.1037296000, 0.2128807000, 0.5303564000", \ - "0.0437395000, 0.0454555000, 0.0507575000, 0.0653510000, 0.1036240000, 0.2127027000, 0.5298062000", \ - "0.0435181000, 0.0453093000, 0.0506368000, 0.0652142000, 0.1034306000, 0.2124186000, 0.5296143000", \ - "0.0431026000, 0.0450003000, 0.0503710000, 0.0649393000, 0.1031512000, 0.2121023000, 0.5293744000", \ - "0.0430040000, 0.0448506000, 0.0502183000, 0.0648311000, 0.1029597000, 0.2119164000, 0.5287036000", \ - "0.0438039000, 0.0453193000, 0.0501621000, 0.0647440000, 0.1026867000, 0.2116697000, 0.5284871000", \ - "0.0471045000, 0.0486554000, 0.0532176000, 0.0662370000, 0.1038619000, 0.2129996000, 0.5284775000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0462755000, 0.0445349000, 0.0403607000, 0.0290777000, -0.005913900, -0.114025100, -0.433635700", \ - "0.0461058000, 0.0443860000, 0.0402330000, 0.0289195000, -0.006072200, -0.114161200, -0.433772200", \ - "0.0459793000, 0.0442391000, 0.0400891000, 0.0287746000, -0.006216300, -0.114295500, -0.433861000", \ - "0.0460125000, 0.0443002000, 0.0401462000, 0.0288276000, -0.006190700, -0.114253200, -0.433816100", \ - "0.0462042000, 0.0444682000, 0.0402796000, 0.0289750000, -0.006046300, -0.114144400, -0.433675300", \ - "0.0479702000, 0.0462005000, 0.0419418000, 0.0304791000, -0.004791200, -0.112894900, -0.432402900", \ - "0.0567814000, 0.0547597000, 0.0494338000, 0.0355313000, -0.001672600, -0.109322800, -0.428892900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0342599000, 0.0359300000, 0.0410015000, 0.0551969000, 0.0933695000, 0.2025022000, 0.5198246000", \ - "0.0338853000, 0.0355651000, 0.0406197000, 0.0547988000, 0.0929517000, 0.2020098000, 0.5186809000", \ - "0.0333653000, 0.0350750000, 0.0401570000, 0.0543118000, 0.0925282000, 0.2014447000, 0.5184197000", \ - "0.0330593000, 0.0347275000, 0.0397885000, 0.0539208000, 0.0920344000, 0.2012010000, 0.5184260000", \ - "0.0332970000, 0.0349919000, 0.0400289000, 0.0540290000, 0.0921246000, 0.2013054000, 0.5181770000", \ - "0.0359700000, 0.0374712000, 0.0418222000, 0.0552057000, 0.0930420000, 0.2022384000, 0.5187748000", \ - "0.0417342000, 0.0432047000, 0.0476042000, 0.0607723000, 0.0982941000, 0.2071003000, 0.5221648000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0198123000, 0.0182337000, 0.0143847000, 0.0032951000, -0.031955800, -0.140516200, -0.460351500", \ - "0.0194726000, 0.0179041000, 0.0140403000, 0.0029525000, -0.032263800, -0.140813800, -0.460635900", \ - "0.0190548000, 0.0174522000, 0.0136027000, 0.0025572000, -0.032609700, -0.141125700, -0.460960300", \ - "0.0186217000, 0.0170538000, 0.0132094000, 0.0021395000, -0.033023700, -0.141520600, -0.461322400", \ - "0.0184023000, 0.0168209000, 0.0129834000, 0.0018726000, -0.033230900, -0.141648200, -0.461418200", \ - "0.0195733000, 0.0178774000, 0.0138447000, 0.0026617000, -0.032587100, -0.140981500, -0.460709400", \ - "0.0283151000, 0.0264423000, 0.0213454000, 0.0078517000, -0.030174100, -0.138922800, -0.458627900"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014530770, 0.0042228650, 0.0122722900, 0.0356651700, 0.1036485000, 0.3012184000"); - values("0.0133104000, 0.0150202000, 0.0199501000, 0.0336619000, 0.0713766000, 0.1800966000, 0.4970275000", \ - "0.0130991000, 0.0148025000, 0.0197420000, 0.0334619000, 0.0712290000, 0.1800455000, 0.4968746000", \ - "0.0127869000, 0.0144597000, 0.0194001000, 0.0331305000, 0.0709875000, 0.1796213000, 0.4989472000", \ - "0.0124623000, 0.0141232000, 0.0190048000, 0.0326977000, 0.0705319000, 0.1794105000, 0.4963228000", \ - "0.0124550000, 0.0140607000, 0.0188179000, 0.0324874000, 0.0703191000, 0.1791654000, 0.4962867000", \ - "0.0140361000, 0.0155303000, 0.0199765000, 0.0327687000, 0.0705842000, 0.1791799000, 0.4969975000", \ - "0.0167541000, 0.0181715000, 0.0224398000, 0.0354138000, 0.0730596000, 0.1820164000, 0.4964432000"); - } - } - max_capacitance : 0.3012180000; - max_transition : 1.5043300000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.3523093000, 0.3623482000, 0.3846114000, 0.4275305000, 0.5052522000, 0.6575858000, 1.0053037000", \ - "0.3567646000, 0.3667829000, 0.3893462000, 0.4323748000, 0.5099038000, 0.6621940000, 1.0100762000", \ - "0.3675919000, 0.3781089000, 0.4005554000, 0.4435919000, 0.5211607000, 0.6734422000, 1.0213332000", \ - "0.3939136000, 0.4044279000, 0.4268106000, 0.4698250000, 0.5474347000, 0.6997554000, 1.0475549000", \ - "0.4409992000, 0.4512705000, 0.4738768000, 0.5166936000, 0.5944830000, 0.7465821000, 1.0942378000", \ - "0.5071718000, 0.5174441000, 0.5396963000, 0.5823846000, 0.6603570000, 0.8126480000, 1.1605190000", \ - "0.5801685000, 0.5907123000, 0.6130095000, 0.6559415000, 0.7336838000, 0.8861014000, 1.2337101000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.3315422000, 0.3401252000, 0.3599382000, 0.4018899000, 0.4951470000, 0.7338961000, 1.4187517000", \ - "0.3367290000, 0.3453411000, 0.3650934000, 0.4070506000, 0.5003085000, 0.7389868000, 1.4240336000", \ - "0.3492915000, 0.3578546000, 0.3776104000, 0.4195735000, 0.5128392000, 0.7514801000, 1.4369095000", \ - "0.3810712000, 0.3896341000, 0.4093890000, 0.4513520000, 0.5446177000, 0.7832574000, 1.4685203000", \ - "0.4552191000, 0.4639245000, 0.4835801000, 0.5257041000, 0.6190278000, 0.8577087000, 1.5420312000", \ - "0.5982420000, 0.6068859000, 0.6266014000, 0.6687669000, 0.7621055000, 1.0009313000, 1.6811642000", \ - "0.8329123000, 0.8416372000, 0.8616289000, 0.9042384000, 0.9980399000, 1.2367211000, 1.9188033000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0614043000, 0.0674014000, 0.0769612000, 0.0997473000, 0.1557016000, 0.2997198000, 0.7085620000", \ - "0.0623194000, 0.0663950000, 0.0768983000, 0.1003048000, 0.1562146000, 0.2994122000, 0.7071504000", \ - "0.0621920000, 0.0668132000, 0.0768779000, 0.1002361000, 0.1567313000, 0.2994288000, 0.7067084000", \ - "0.0622857000, 0.0670050000, 0.0768441000, 0.1001406000, 0.1557975000, 0.2995747000, 0.7084299000", \ - "0.0614504000, 0.0663022000, 0.0769598000, 0.1010100000, 0.1564215000, 0.2996030000, 0.7077001000", \ - "0.0615150000, 0.0659242000, 0.0765445000, 0.0998651000, 0.1561562000, 0.2997368000, 0.7082766000", \ - "0.0625530000, 0.0674789000, 0.0770400000, 0.1001469000, 0.1559890000, 0.2995474000, 0.7057735000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0339009000, 0.0407807000, 0.0568618000, 0.0961659000, 0.2036144000, 0.5271646000, 1.5033230000", \ - "0.0339063000, 0.0404239000, 0.0568278000, 0.0961891000, 0.2036570000, 0.5271287000, 1.5034938000", \ - "0.0338995000, 0.0404429000, 0.0568270000, 0.0962003000, 0.2036771000, 0.5273446000, 1.5034450000", \ - "0.0338962000, 0.0404411000, 0.0568249000, 0.0961996000, 0.2036769000, 0.5273544000, 1.5034051000", \ - "0.0340536000, 0.0406916000, 0.0569412000, 0.0961197000, 0.2035563000, 0.5280255000, 1.5021531000", \ - "0.0341263000, 0.0408033000, 0.0571722000, 0.0962498000, 0.2037809000, 0.5274792000, 1.5016663000", \ - "0.0348655000, 0.0415874000, 0.0577596000, 0.0971366000, 0.2044866000, 0.5275072000, 1.5009066000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.3245511000, 0.3348767000, 0.3571704000, 0.3991133000, 0.4748906000, 0.6240311000, 0.9692218000", \ - "0.3298339000, 0.3401165000, 0.3624006000, 0.4043695000, 0.4801903000, 0.6293374000, 0.9743635000", \ - "0.3425639000, 0.3528371000, 0.3751203000, 0.4170970000, 0.4929387000, 0.6420687000, 0.9870811000", \ - "0.3739400000, 0.3842102000, 0.4064895000, 0.4484573000, 0.5243065000, 0.6734526000, 1.0184692000", \ - "0.4475077000, 0.4578623000, 0.4802290000, 0.5221486000, 0.5979532000, 0.7472136000, 1.0923236000", \ - "0.6059184000, 0.6165225000, 0.6394189000, 0.6819946000, 0.7582301000, 0.9079408000, 1.2533694000", \ - "0.8855559000, 0.8982563000, 0.9252019000, 0.9732608000, 1.0554828000, 1.2106842000, 1.5591026000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1876193000, 0.1954379000, 0.2136831000, 0.2532675000, 0.3440198000, 0.5811666000, 1.2612846000", \ - "0.1923323000, 0.2000591000, 0.2181748000, 0.2578965000, 0.3485835000, 0.5861397000, 1.2710237000", \ - "0.2033209000, 0.2111557000, 0.2292515000, 0.2689582000, 0.3597039000, 0.5972959000, 1.2784581000", \ - "0.2277616000, 0.2356080000, 0.2537607000, 0.2934595000, 0.3842522000, 0.6218490000, 1.3041799000", \ - "0.2764990000, 0.2843384000, 0.3025847000, 0.3422148000, 0.4329663000, 0.6705519000, 1.3568543000", \ - "0.3555395000, 0.3640668000, 0.3834787000, 0.4251495000, 0.5177262000, 0.7558160000, 1.4419735000", \ - "0.4581869000, 0.4685611000, 0.4918688000, 0.5391956000, 0.6372141000, 0.8771623000, 1.5591188000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0630548000, 0.0675661000, 0.0767474000, 0.0981804000, 0.1519117000, 0.2944840000, 0.7047570000", \ - "0.0630590000, 0.0674945000, 0.0773096000, 0.0981304000, 0.1529078000, 0.2947160000, 0.7040775000", \ - "0.0630679000, 0.0674742000, 0.0772351000, 0.0981244000, 0.1526663000, 0.2946824000, 0.7036672000", \ - "0.0631014000, 0.0675105000, 0.0772621000, 0.0981565000, 0.1526511000, 0.2946702000, 0.7037603000", \ - "0.0633826000, 0.0679059000, 0.0769839000, 0.0985199000, 0.1532655000, 0.2947979000, 0.7042275000", \ - "0.0697070000, 0.0727547000, 0.0809908000, 0.1019664000, 0.1537328000, 0.2950682000, 0.7050789000", \ - "0.1010177000, 0.1019763000, 0.1058385000, 0.1196894000, 0.1663319000, 0.3023670000, 0.7081187000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0302789000, 0.0366561000, 0.0522001000, 0.0910354000, 0.1993540000, 0.5260669000, 1.5029881000", \ - "0.0304339000, 0.0367247000, 0.0520792000, 0.0911540000, 0.1990574000, 0.5258064000, 1.5015507000", \ - "0.0304010000, 0.0366390000, 0.0520337000, 0.0910376000, 0.1993959000, 0.5259481000, 1.5014388000", \ - "0.0306221000, 0.0365168000, 0.0521262000, 0.0910433000, 0.1994604000, 0.5254021000, 1.5001572000", \ - "0.0307711000, 0.0368103000, 0.0524004000, 0.0911409000, 0.1995780000, 0.5259320000, 1.5014989000", \ - "0.0340476000, 0.0404717000, 0.0564809000, 0.0952926000, 0.2017489000, 0.5266109000, 1.5016003000", \ - "0.0438897000, 0.0503705000, 0.0684126000, 0.1071528000, 0.2105293000, 0.5284571000, 1.4936704000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.2697180000, 0.2796858000, 0.3016753000, 0.3437634000, 0.4207284000, 0.5716370000, 0.9181087000", \ - "0.2731367000, 0.2830901000, 0.3051021000, 0.3472095000, 0.4241395000, 0.5750522000, 0.9215049000", \ - "0.2815367000, 0.2913262000, 0.3134572000, 0.3556068000, 0.4324445000, 0.5833871000, 0.9297366000", \ - "0.3017357000, 0.3117800000, 0.3339793000, 0.3759457000, 0.4526420000, 0.6036391000, 0.9503185000", \ - "0.3578150000, 0.3676879000, 0.3895562000, 0.4314807000, 0.5082440000, 0.6591665000, 1.0056227000", \ - "0.4319282000, 0.4416804000, 0.4632893000, 0.5048639000, 0.5808408000, 0.7315124000, 1.0783178000", \ - "0.4903947000, 0.5002457000, 0.5219944000, 0.5638097000, 0.6403633000, 0.7912364000, 1.1369213000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.2411107000, 0.2496781000, 0.2693440000, 0.3114342000, 0.4047088000, 0.6431581000, 1.3269942000", \ - "0.2446289000, 0.2531321000, 0.2728750000, 0.3148836000, 0.4081387000, 0.6464436000, 1.3295277000", \ - "0.2561759000, 0.2647821000, 0.2844853000, 0.3265013000, 0.4195624000, 0.6584535000, 1.3415570000", \ - "0.2858932000, 0.2944752000, 0.3141283000, 0.3561644000, 0.4492026000, 0.6881880000, 1.3698060000", \ - "0.3460723000, 0.3546669000, 0.3743182000, 0.4163995000, 0.5094767000, 0.7484597000, 1.4299547000", \ - "0.4329519000, 0.4415460000, 0.4613090000, 0.5032669000, 0.5960901000, 0.8350372000, 1.5191587000", \ - "0.5616968000, 0.5702995000, 0.5902646000, 0.6325058000, 0.7258453000, 0.9641585000, 1.6435210000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0591737000, 0.0639337000, 0.0751935000, 0.0984871000, 0.1548333000, 0.2980219000, 0.7058319000", \ - "0.0591864000, 0.0639510000, 0.0751874000, 0.0984617000, 0.1548490000, 0.2979724000, 0.7061208000", \ - "0.0590195000, 0.0647778000, 0.0752036000, 0.0983780000, 0.1537883000, 0.2975533000, 0.7068827000", \ - "0.0591709000, 0.0641443000, 0.0747542000, 0.0988925000, 0.1542504000, 0.2978281000, 0.7065661000", \ - "0.0586296000, 0.0632183000, 0.0740302000, 0.0979302000, 0.1535657000, 0.2978254000, 0.7064330000", \ - "0.0575768000, 0.0630533000, 0.0735882000, 0.0971408000, 0.1530697000, 0.2972334000, 0.7070520000", \ - "0.0579058000, 0.0634074000, 0.0740226000, 0.0976543000, 0.1540978000, 0.2970351000, 0.7021116000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0340145000, 0.0407076000, 0.0566602000, 0.0962034000, 0.2033649000, 0.5283533000, 1.4996699000", \ - "0.0338665000, 0.0402970000, 0.0564954000, 0.0961389000, 0.2036489000, 0.5283611000, 1.5017833000", \ - "0.0338500000, 0.0403991000, 0.0567388000, 0.0960029000, 0.2034298000, 0.5281341000, 1.5020588000", \ - "0.0341031000, 0.0403123000, 0.0566825000, 0.0959029000, 0.2032446000, 0.5283965000, 1.5041652000", \ - "0.0340389000, 0.0404536000, 0.0567784000, 0.0959357000, 0.2033611000, 0.5284064000, 1.5043295000", \ - "0.0338640000, 0.0403923000, 0.0566099000, 0.0956429000, 0.2033756000, 0.5281791000, 1.4992778000", \ - "0.0343022000, 0.0408775000, 0.0573102000, 0.0966960000, 0.2039861000, 0.5261019000, 1.4966322000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.3067609000, 0.3169965000, 0.3392513000, 0.3820658000, 0.4598617000, 0.6121917000, 0.9597790000", \ - "0.3103753000, 0.3208333000, 0.3428340000, 0.3855848000, 0.4633738000, 0.6156669000, 0.9634176000", \ - "0.3215253000, 0.3318021000, 0.3538967000, 0.3967038000, 0.4744255000, 0.6268021000, 0.9745160000", \ - "0.3502114000, 0.3604033000, 0.3825426000, 0.4253589000, 0.5032740000, 0.6554928000, 1.0032123000", \ - "0.4134134000, 0.4236504000, 0.4459306000, 0.4886385000, 0.5664468000, 0.7187911000, 1.0662707000", \ - "0.5453816000, 0.5560019000, 0.5791176000, 0.6226844000, 0.7011077000, 0.8538853000, 1.2018583000", \ - "0.7599897000, 0.7744790000, 0.8047469000, 0.8606423000, 0.9526236000, 1.1182658000, 1.4735909000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1653886000, 0.1731028000, 0.1910557000, 0.2304428000, 0.3204749000, 0.5578793000, 1.2392350000", \ - "0.1684775000, 0.1762060000, 0.1941121000, 0.2335657000, 0.3236716000, 0.5605266000, 1.2463562000", \ - "0.1777775000, 0.1854914000, 0.2035595000, 0.2428763000, 0.3330435000, 0.5701298000, 1.2524735000", \ - "0.2016840000, 0.2093849000, 0.2273121000, 0.2666423000, 0.3565758000, 0.5939895000, 1.2769908000", \ - "0.2519111000, 0.2597822000, 0.2778878000, 0.3173137000, 0.4071787000, 0.6446472000, 1.3294976000", \ - "0.3303113000, 0.3392057000, 0.3591862000, 0.4010088000, 0.4928286000, 0.7308223000, 1.4188467000", \ - "0.4230361000, 0.4341857000, 0.4595391000, 0.5082063000, 0.6050285000, 0.8440203000, 1.5248570000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0608450000, 0.0656050000, 0.0765984000, 0.1001313000, 0.1553806000, 0.2993489000, 0.7086313000", \ - "0.0607389000, 0.0664926000, 0.0767987000, 0.0999215000, 0.1558363000, 0.2998964000, 0.7085674000", \ - "0.0608536000, 0.0656395000, 0.0768232000, 0.0999966000, 0.1553641000, 0.2999619000, 0.7086051000", \ - "0.0608401000, 0.0657013000, 0.0768407000, 0.1000263000, 0.1553883000, 0.2999622000, 0.7086065000", \ - "0.0607436000, 0.0654856000, 0.0762938000, 0.1001617000, 0.1553613000, 0.2989477000, 0.7084768000", \ - "0.0681137000, 0.0720677000, 0.0817039000, 0.1044870000, 0.1576878000, 0.3006175000, 0.7073789000", \ - "0.1067091000, 0.1111309000, 0.1212497000, 0.1390964000, 0.1865364000, 0.3206295000, 0.7177007000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0301991000, 0.0363839000, 0.0515372000, 0.0904327000, 0.1983047000, 0.5262208000, 1.5021117000", \ - "0.0301470000, 0.0362484000, 0.0517215000, 0.0904199000, 0.1987093000, 0.5251429000, 1.5020946000", \ - "0.0299692000, 0.0363403000, 0.0516971000, 0.0903829000, 0.1982613000, 0.5251564000, 1.4960910000", \ - "0.0300996000, 0.0362131000, 0.0515033000, 0.0904033000, 0.1983520000, 0.5261480000, 1.5017370000", \ - "0.0310740000, 0.0371859000, 0.0524703000, 0.0909195000, 0.1983751000, 0.5257522000, 1.5026453000", \ - "0.0366624000, 0.0430247000, 0.0586117000, 0.0959633000, 0.2016878000, 0.5264251000, 1.5027106000", \ - "0.0495738000, 0.0573673000, 0.0739709000, 0.1105737000, 0.2096790000, 0.5298335000, 1.4956204000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1896428000, 0.1995963000, 0.2213252000, 0.2630601000, 0.3395018000, 0.4902135000, 0.8367494000", \ - "0.1942409000, 0.2044516000, 0.2263041000, 0.2679806000, 0.3444894000, 0.4952090000, 0.8417473000", \ - "0.2046491000, 0.2145675000, 0.2363332000, 0.2782927000, 0.3546422000, 0.5054323000, 0.8519752000", \ - "0.2256395000, 0.2357810000, 0.2575414000, 0.2989945000, 0.3753827000, 0.5260753000, 0.8726173000", \ - "0.2712897000, 0.2803406000, 0.3005313000, 0.3404673000, 0.4156786000, 0.5658110000, 0.9120544000", \ - "0.3240972000, 0.3324393000, 0.3513509000, 0.3893791000, 0.4626606000, 0.6110277000, 0.9561493000", \ - "0.3562675000, 0.3646827000, 0.3836806000, 0.4222055000, 0.4959533000, 0.6449337000, 0.9886630000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1643740000, 0.1728194000, 0.1922339000, 0.2338634000, 0.3267265000, 0.5656085000, 1.2500138000", \ - "0.1691507000, 0.1776878000, 0.1969894000, 0.2386750000, 0.3316628000, 0.5698745000, 1.2522809000", \ - "0.1822951000, 0.1908566000, 0.2102129000, 0.2518501000, 0.3447471000, 0.5835065000, 1.2691477000", \ - "0.2138488000, 0.2222766000, 0.2416496000, 0.2833852000, 0.3763636000, 0.6149226000, 1.2993507000", \ - "0.2793158000, 0.2876306000, 0.3070267000, 0.3486696000, 0.4415381000, 0.6802520000, 1.3603155000", \ - "0.3833235000, 0.3915875000, 0.4108150000, 0.4521277000, 0.5444428000, 0.7827762000, 1.4633131000", \ - "0.5434628000, 0.5517202000, 0.5709435000, 0.6124024000, 0.7053697000, 0.9430771000, 1.6223544000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0564718000, 0.0621602000, 0.0726781000, 0.0966996000, 0.1535258000, 0.2970595000, 0.7061407000", \ - "0.0565271000, 0.0614040000, 0.0725249000, 0.0967107000, 0.1534388000, 0.2970829000, 0.7062180000", \ - "0.0561574000, 0.0618065000, 0.0724742000, 0.0970638000, 0.1538511000, 0.2970414000, 0.7056437000", \ - "0.0544145000, 0.0594999000, 0.0718874000, 0.0958565000, 0.1529163000, 0.2971156000, 0.7067864000", \ - "0.0459235000, 0.0514222000, 0.0642108000, 0.0909066000, 0.1502399000, 0.2958054000, 0.7068561000", \ - "0.0439914000, 0.0488248000, 0.0616450000, 0.0876308000, 0.1469665000, 0.2922370000, 0.7057194000", \ - "0.0439447000, 0.0492504000, 0.0614342000, 0.0891299000, 0.1479297000, 0.2931259000, 0.7000536000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0328984000, 0.0396061000, 0.0554909000, 0.0950309000, 0.2029689000, 0.5281066000, 1.5032146000", \ - "0.0327447000, 0.0395380000, 0.0554999000, 0.0951870000, 0.2031175000, 0.5280506000, 1.5026825000", \ - "0.0328704000, 0.0395813000, 0.0554961000, 0.0949844000, 0.2030668000, 0.5276500000, 1.5035185000", \ - "0.0329991000, 0.0393638000, 0.0557482000, 0.0952188000, 0.2028235000, 0.5277649000, 1.5027856000", \ - "0.0325780000, 0.0393588000, 0.0553946000, 0.0949533000, 0.2030249000, 0.5271505000, 1.5003685000", \ - "0.0324732000, 0.0387508000, 0.0550144000, 0.0944353000, 0.2020432000, 0.5277835000, 1.5021584000", \ - "0.0331010000, 0.0393475000, 0.0555106000, 0.0953054000, 0.2033390000, 0.5266299000, 1.4976589000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1755232000, 0.1849844000, 0.2060358000, 0.2468581000, 0.3227004000, 0.4737676000, 0.8208383000", \ - "0.1792743000, 0.1886572000, 0.2096543000, 0.2504416000, 0.3262652000, 0.4773053000, 0.8245781000", \ - "0.1892029000, 0.1985452000, 0.2193328000, 0.2600234000, 0.3358209000, 0.4867703000, 0.8340896000", \ - "0.2151179000, 0.2242246000, 0.2448546000, 0.2851582000, 0.3606099000, 0.5115232000, 0.8587901000", \ - "0.2775159000, 0.2862601000, 0.3058256000, 0.3450002000, 0.4199557000, 0.5703651000, 0.9173524000", \ - "0.3987071000, 0.4087072000, 0.4309563000, 0.4728619000, 0.5481308000, 0.6986189000, 1.0457938000", \ - "0.5782603000, 0.5906454000, 0.6185566000, 0.6716637000, 0.7606142000, 0.9172573000, 1.2702124000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.1016313000, 0.1093972000, 0.1273612000, 0.1663908000, 0.2554486000, 0.4920044000, 1.1727231000", \ - "0.1058855000, 0.1136248000, 0.1316067000, 0.1706622000, 0.2598305000, 0.4963061000, 1.1771383000", \ - "0.1162080000, 0.1239232000, 0.1418935000, 0.1810272000, 0.2702726000, 0.5065171000, 1.1901861000", \ - "0.1405131000, 0.1482457000, 0.1660054000, 0.2049353000, 0.2941745000, 0.5308975000, 1.2120143000", \ - "0.1871093000, 0.1948797000, 0.2128502000, 0.2522917000, 0.3420160000, 0.5790444000, 1.2610659000", \ - "0.2469125000, 0.2563699000, 0.2770893000, 0.3178537000, 0.4089514000, 0.6473905000, 1.3313323000", \ - "0.3051558000, 0.3173592000, 0.3440789000, 0.3940734000, 0.4885790000, 0.7268229000, 1.4082278000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0484066000, 0.0542785000, 0.0663807000, 0.0943504000, 0.1526116000, 0.2977035000, 0.7075177000", \ - "0.0484787000, 0.0541913000, 0.0661719000, 0.0925997000, 0.1527720000, 0.2980563000, 0.7066612000", \ - "0.0476445000, 0.0533620000, 0.0662933000, 0.0927210000, 0.1520767000, 0.2980191000, 0.7081995000", \ - "0.0461550000, 0.0518603000, 0.0646849000, 0.0917674000, 0.1518626000, 0.2977738000, 0.7071193000", \ - "0.0429359000, 0.0487051000, 0.0616519000, 0.0893325000, 0.1508302000, 0.2967129000, 0.7066671000", \ - "0.0557329000, 0.0616050000, 0.0740383000, 0.0973471000, 0.1533078000, 0.2977976000, 0.7079160000", \ - "0.0747091000, 0.0826625000, 0.0982092000, 0.1265504000, 0.1768793000, 0.3108205000, 0.7157204000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014530800, 0.0042228600, 0.0122723000, 0.0356652000, 0.1036480000, 0.3012180000"); - values("0.0294812000, 0.0356998000, 0.0508994000, 0.0894631000, 0.1979377000, 0.5259589000, 1.5018133000", \ - "0.0295580000, 0.0357440000, 0.0508521000, 0.0895802000, 0.1979217000, 0.5261249000, 1.5027819000", \ - "0.0295707000, 0.0357746000, 0.0508444000, 0.0896138000, 0.1974735000, 0.5245927000, 1.5038036000", \ - "0.0291371000, 0.0352867000, 0.0507386000, 0.0893046000, 0.1978962000, 0.5261259000, 1.5015474000", \ - "0.0318486000, 0.0377089000, 0.0524114000, 0.0911428000, 0.1983879000, 0.5259685000, 1.5007136000", \ - "0.0426091000, 0.0478217000, 0.0612549000, 0.0964785000, 0.2027385000, 0.5266283000, 1.5000230000", \ - "0.0590310000, 0.0668420000, 0.0819007000, 0.1148719000, 0.2097303000, 0.5292413000, 1.4960720000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__xnor3_4") { - leakage_power () { - value : 0.0144232000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0097427000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0209432000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0237861000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0093332000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0121758000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0233740000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0186936000; - when : "A&B&!C"; - } - area : 26.275200000; - cell_footprint : "sky130_fd_sc_hd__xnor3"; - cell_leakage_power : 0.0165589800; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024370000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022920000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025820000; - } - pin ("B") { - capacitance : 0.0052800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0051220000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0054380000; - } - pin ("C") { - capacitance : 0.0034750000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033520000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035990000; - } - pin ("X") { - direction : "output"; - function : "(!A&!B&!C) | (A&B&!C) | (A&!B&C) | (!A&B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016087930, 0.0051764320, 0.0166556200, 0.0535909000, 0.1724334000, 0.5548194000"); - values("0.0606898000, 0.0583569000, 0.0516936000, 0.0337625000, -0.022204300, -0.209957200, -0.827726900", \ - "0.0605359000, 0.0582157000, 0.0515541000, 0.0336508000, -0.022313300, -0.210102600, -0.827881800", \ - "0.0602807000, 0.0580024000, 0.0513572000, 0.0334080000, -0.022534400, -0.210253600, -0.828154800", \ - "0.0602167000, 0.0578696000, 0.0512393000, 0.0332523000, -0.022655900, -0.210420600, -0.828265200", \ - "0.0600193000, 0.0577318000, 0.0510762000, 0.0331052000, -0.022929300, -0.210712800, -0.828540400", \ - "0.0609221000, 0.0585523000, 0.0518218000, 0.0337296000, -0.022727700, -0.210786600, -0.828447500", \ - "0.0647608000, 0.0622708000, 0.0548548000, 0.0362033000, -0.020832400, -0.209606500, -0.827500400"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016087930, 0.0051764320, 0.0166556200, 0.0535909000, 0.1724334000, 0.5548194000"); - values("0.0551138000, 0.0569371000, 0.0630155000, 0.0830100000, 0.1442240000, 0.3356521000, 0.9453924000", \ - "0.0550560000, 0.0569202000, 0.0629575000, 0.0829637000, 0.1442848000, 0.3353373000, 0.9459559000", \ - "0.0548962000, 0.0567030000, 0.0627892000, 0.0827972000, 0.1441137000, 0.3351814000, 0.9457022000", \ - "0.0546858000, 0.0563909000, 0.0624734000, 0.0826454000, 0.1437313000, 0.3348129000, 0.9486625000", \ - "0.0544128000, 0.0562937000, 0.0624005000, 0.0823921000, 0.1434444000, 0.3348480000, 0.9447339000", \ - "0.0545609000, 0.0564081000, 0.0624628000, 0.0825256000, 0.1433233000, 0.3344785000, 0.9482470000", \ - "0.0595182000, 0.0612186000, 0.0668099000, 0.0854532000, 0.1452458000, 0.3350801000, 0.9481190000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016087930, 0.0051764320, 0.0166556200, 0.0535909000, 0.1724334000, 0.5548194000"); - values("0.0572391000, 0.0549452000, 0.0482590000, 0.0301911000, -0.025955200, -0.214113100, -0.832103700", \ - "0.0571396000, 0.0548481000, 0.0481056000, 0.0300898000, -0.026145100, -0.214243500, -0.832325100", \ - "0.0570360000, 0.0547662000, 0.0479874000, 0.0298765000, -0.026235900, -0.214343600, -0.832400000", \ - "0.0571257000, 0.0548559000, 0.0480774000, 0.0299800000, -0.026152700, -0.214257200, -0.832308700", \ - "0.0572931000, 0.0550069000, 0.0482877000, 0.0302482000, -0.026032700, -0.214114300, -0.832164600", \ - "0.0592022000, 0.0568632000, 0.0501373000, 0.0319080000, -0.024565100, -0.212842000, -0.830789000", \ - "0.0687854000, 0.0662128000, 0.0585440000, 0.0371296000, -0.020571500, -0.209246800, -0.827449500"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016087930, 0.0051764320, 0.0166556200, 0.0535909000, 0.1724334000, 0.5548194000"); - values("0.0450928000, 0.0467607000, 0.0528563000, 0.0728045000, 0.1338331000, 0.3248671000, 0.9378320000", \ - "0.0447155000, 0.0465207000, 0.0524694000, 0.0724157000, 0.1334299000, 0.3243451000, 0.9386045000", \ - "0.0441847000, 0.0460404000, 0.0520310000, 0.0719159000, 0.1329696000, 0.3238558000, 0.9333643000", \ - "0.0438868000, 0.0456919000, 0.0516040000, 0.0715327000, 0.1327143000, 0.3233877000, 0.9378955000", \ - "0.0441550000, 0.0459559000, 0.0519617000, 0.0718275000, 0.1327938000, 0.3234877000, 0.9371498000", \ - "0.0457945000, 0.0475394000, 0.0534672000, 0.0730640000, 0.1334701000, 0.3244709000, 0.9347790000", \ - "0.0541087000, 0.0557099000, 0.0612296000, 0.0795771000, 0.1392466000, 0.3291194000, 0.9404388000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016087930, 0.0051764320, 0.0166556200, 0.0535909000, 0.1724334000, 0.5548194000"); - values("0.0309139000, 0.0286628000, 0.0220689000, 0.0042922000, -0.051645100, -0.240242700, -0.858674700", \ - "0.0306769000, 0.0283600000, 0.0217922000, 0.0039717000, -0.051940000, -0.240488700, -0.858949800", \ - "0.0303066000, 0.0279932000, 0.0214318000, 0.0036575000, -0.052252900, -0.240776600, -0.859220500", \ - "0.0298562000, 0.0275718000, 0.0210376000, 0.0033061000, -0.052733300, -0.241093500, -0.859542200", \ - "0.0293132000, 0.0270831000, 0.0205176000, 0.0028588000, -0.053111600, -0.241394600, -0.859769200", \ - "0.0305074000, 0.0281538000, 0.0215910000, 0.0037165000, -0.052522400, -0.241013100, -0.859251500", \ - "0.0412913000, 0.0388204000, 0.0314084000, 0.0104357000, -0.050772200, -0.238996200, -0.857331900"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0016087930, 0.0051764320, 0.0166556200, 0.0535909000, 0.1724334000, 0.5548194000"); - values("0.0237090000, 0.0255349000, 0.0315606000, 0.0513641000, 0.1122819000, 0.3026127000, 0.9164804000", \ - "0.0235422000, 0.0253785000, 0.0313239000, 0.0512081000, 0.1121435000, 0.3023929000, 0.9162454000", \ - "0.0233414000, 0.0250590000, 0.0311096000, 0.0509462000, 0.1119053000, 0.3022170000, 0.9160756000", \ - "0.0229513000, 0.0248106000, 0.0307845000, 0.0506003000, 0.1114796000, 0.3019969000, 0.9147646000", \ - "0.0230440000, 0.0247758000, 0.0306975000, 0.0502507000, 0.1109245000, 0.3017469000, 0.9147330000", \ - "0.0242463000, 0.0258790000, 0.0314277000, 0.0504657000, 0.1102818000, 0.3014320000, 0.9196298000", \ - "0.0297729000, 0.0312707000, 0.0364715000, 0.0545834000, 0.1139558000, 0.3040393000, 0.9144837000"); - } - } - max_capacitance : 0.5548190000; - max_transition : 1.5067580000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.4256591000, 0.4335119000, 0.4539615000, 0.4990438000, 0.5859619000, 0.7552220000, 1.1386380000", \ - "0.4302835000, 0.4376602000, 0.4584051000, 0.5036316000, 0.5907211000, 0.7598787000, 1.1432725000", \ - "0.4415680000, 0.4496593000, 0.4699892000, 0.5151791000, 0.6020349000, 0.7713519000, 1.1546512000", \ - "0.4677969000, 0.4758457000, 0.4961702000, 0.5413570000, 0.6280421000, 0.7974483000, 1.1806079000", \ - "0.5150466000, 0.5227049000, 0.5429197000, 0.5881944000, 0.6748775000, 0.8443915000, 1.2275659000", \ - "0.5801922000, 0.5881159000, 0.6084566000, 0.6537472000, 0.7407489000, 0.9096976000, 1.2933904000", \ - "0.6539770000, 0.6606832000, 0.6813244000, 0.7263922000, 0.8130425000, 0.9819903000, 1.3655072000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.3831611000, 0.3900169000, 0.4081532000, 0.4499202000, 0.5424143000, 0.7771561000, 1.4933949000", \ - "0.3884053000, 0.3953243000, 0.4134241000, 0.4550882000, 0.5476434000, 0.7824272000, 1.5019717000", \ - "0.4008553000, 0.4077808000, 0.4258457000, 0.4676460000, 0.5602280000, 0.7952144000, 1.5117252000", \ - "0.4326571000, 0.4395390000, 0.4576381000, 0.4994533000, 0.5920187000, 0.8269890000, 1.5435484000", \ - "0.5069712000, 0.5138905000, 0.5319641000, 0.5736261000, 0.6661960000, 0.9010470000, 1.6204450000", \ - "0.6498266000, 0.6567418000, 0.6748674000, 0.7167207000, 0.8093250000, 1.0443330000, 1.7608920000", \ - "0.8854207000, 0.8923941000, 0.9107120000, 0.9526996000, 1.0456054000, 1.2804270000, 1.9965638000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0812593000, 0.0854319000, 0.0963095000, 0.1192277000, 0.1753532000, 0.3148359000, 0.7361042000", \ - "0.0812743000, 0.0857649000, 0.0965727000, 0.1193398000, 0.1735815000, 0.3149580000, 0.7361461000", \ - "0.0814233000, 0.0852526000, 0.0957852000, 0.1190592000, 0.1753418000, 0.3151222000, 0.7360195000", \ - "0.0813613000, 0.0851991000, 0.0956170000, 0.1191761000, 0.1728021000, 0.3153081000, 0.7377873000", \ - "0.0814382000, 0.0848743000, 0.0957308000, 0.1201818000, 0.1734332000, 0.3151610000, 0.7375089000", \ - "0.0815478000, 0.0847409000, 0.0956018000, 0.1190778000, 0.1726552000, 0.3143408000, 0.7376081000", \ - "0.0815807000, 0.0850149000, 0.0961748000, 0.1212605000, 0.1729922000, 0.3145766000, 0.7380508000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0434249000, 0.0476338000, 0.0614524000, 0.0959372000, 0.1887686000, 0.4887469000, 1.5032563000", \ - "0.0432455000, 0.0482036000, 0.0613867000, 0.0961265000, 0.1884863000, 0.4890863000, 1.5033292000", \ - "0.0427561000, 0.0478662000, 0.0615752000, 0.0958310000, 0.1890478000, 0.4892808000, 1.5008041000", \ - "0.0427303000, 0.0480007000, 0.0612754000, 0.0958786000, 0.1890874000, 0.4892455000, 1.5012483000", \ - "0.0427553000, 0.0477633000, 0.0614369000, 0.0961925000, 0.1885537000, 0.4891677000, 1.5033150000", \ - "0.0428473000, 0.0479274000, 0.0615281000, 0.0960539000, 0.1892254000, 0.4893129000, 1.5008703000", \ - "0.0439511000, 0.0491508000, 0.0620620000, 0.0968503000, 0.1892991000, 0.4882663000, 1.4986914000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.3922470000, 0.3998884000, 0.4198631000, 0.4638315000, 0.5482476000, 0.7126379000, 1.0910093000", \ - "0.3975285000, 0.4051761000, 0.4253966000, 0.4693113000, 0.5537954000, 0.7179729000, 1.0963149000", \ - "0.4102600000, 0.4179350000, 0.4379168000, 0.4818974000, 0.5661479000, 0.7305296000, 1.1090173000", \ - "0.4416266000, 0.4494065000, 0.4693403000, 0.5132035000, 0.5975904000, 0.7617241000, 1.1403805000", \ - "0.5146097000, 0.5222681000, 0.5422671000, 0.5862396000, 0.6705100000, 0.8349584000, 1.2135287000", \ - "0.6751355000, 0.6827581000, 0.7030065000, 0.7471894000, 0.8317158000, 0.9964638000, 1.3751752000", \ - "0.9743170000, 0.9828528000, 1.0046970000, 1.0527067000, 1.1417148000, 1.3107759000, 1.6917502000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.2310944000, 0.2374369000, 0.2540977000, 0.2925516000, 0.3804305000, 0.6115429000, 1.3276665000", \ - "0.2357127000, 0.2420408000, 0.2587285000, 0.2971125000, 0.3850490000, 0.6159403000, 1.3296911000", \ - "0.2467554000, 0.2530690000, 0.2697432000, 0.3081420000, 0.3960877000, 0.6270156000, 1.3411592000", \ - "0.2710759000, 0.2774532000, 0.2939845000, 0.3324289000, 0.4203198000, 0.6514412000, 1.3677212000", \ - "0.3195935000, 0.3260200000, 0.3425639000, 0.3810753000, 0.4689641000, 0.7000732000, 1.4162188000", \ - "0.4045817000, 0.4113273000, 0.4287417000, 0.4685687000, 0.5577956000, 0.7890816000, 1.5058047000", \ - "0.5235561000, 0.5311476000, 0.5509764000, 0.5955794000, 0.6909673000, 0.9259964000, 1.6422584000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0786706000, 0.0824404000, 0.0924206000, 0.1145951000, 0.1690070000, 0.3074802000, 0.7312095000", \ - "0.0786519000, 0.0824009000, 0.0918999000, 0.1157247000, 0.1682089000, 0.3073728000, 0.7311222000", \ - "0.0784027000, 0.0819288000, 0.0916722000, 0.1150373000, 0.1673230000, 0.3063128000, 0.7305541000", \ - "0.0783449000, 0.0817181000, 0.0929761000, 0.1151401000, 0.1676635000, 0.3073593000, 0.7313118000", \ - "0.0787217000, 0.0822624000, 0.0919114000, 0.1152331000, 0.1675909000, 0.3064322000, 0.7305670000", \ - "0.0809967000, 0.0843107000, 0.0944485000, 0.1174351000, 0.1701875000, 0.3081257000, 0.7314095000", \ - "0.1031459000, 0.1054129000, 0.1125987000, 0.1320894000, 0.1801386000, 0.3138001000, 0.7338369000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0386199000, 0.0431196000, 0.0556835000, 0.0884983000, 0.1804753000, 0.4835878000, 1.4955431000", \ - "0.0384906000, 0.0433062000, 0.0556536000, 0.0883001000, 0.1808556000, 0.4838931000, 1.4985906000", \ - "0.0380667000, 0.0427671000, 0.0555693000, 0.0883315000, 0.1808755000, 0.4838980000, 1.4979317000", \ - "0.0382603000, 0.0429372000, 0.0554625000, 0.0884351000, 0.1807699000, 0.4838808000, 1.5004618000", \ - "0.0381556000, 0.0427564000, 0.0558777000, 0.0884788000, 0.1805796000, 0.4836511000, 1.4951390000", \ - "0.0410463000, 0.0457901000, 0.0588255000, 0.0918245000, 0.1828951000, 0.4843823000, 1.5005642000", \ - "0.0495942000, 0.0547614000, 0.0690299000, 0.1031884000, 0.1929634000, 0.4879255000, 1.4978304000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.3380695000, 0.3456577000, 0.3664405000, 0.4112951000, 0.4979152000, 0.6667020000, 1.0493045000", \ - "0.3413627000, 0.3490775000, 0.3698392000, 0.4147356000, 0.5013048000, 0.6701513000, 1.0527231000", \ - "0.3497533000, 0.3575452000, 0.3781208000, 0.4229111000, 0.5094790000, 0.6778610000, 1.0608215000", \ - "0.3697109000, 0.3774013000, 0.3981442000, 0.4430533000, 0.5295233000, 0.6985258000, 1.0809058000", \ - "0.4252301000, 0.4330835000, 0.4533736000, 0.4985384000, 0.5847602000, 0.7530865000, 1.1361017000", \ - "0.5135644000, 0.5214589000, 0.5413146000, 0.5856380000, 0.6719941000, 0.8410179000, 1.2238374000", \ - "0.5767662000, 0.5842871000, 0.6049308000, 0.6496872000, 0.7361663000, 0.9047691000, 1.2861178000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.2918713000, 0.2987783000, 0.3168499000, 0.3585272000, 0.4510635000, 0.6862508000, 1.4024096000", \ - "0.2953233000, 0.3022355000, 0.3203297000, 0.3619912000, 0.4545539000, 0.6897270000, 1.4052102000", \ - "0.3067972000, 0.3137120000, 0.3317722000, 0.3735949000, 0.4660897000, 0.7009968000, 1.4177245000", \ - "0.3364154000, 0.3433297000, 0.3613672000, 0.4030035000, 0.4955296000, 0.7303783000, 1.4496933000", \ - "0.3968382000, 0.4037500000, 0.4218345000, 0.4634682000, 0.5559986000, 0.7908031000, 1.5103181000", \ - "0.4839987000, 0.4909092000, 0.5089905000, 0.5504705000, 0.6428724000, 0.8778238000, 1.5940275000", \ - "0.6139315000, 0.6208871000, 0.6391329000, 0.6808898000, 0.7735892000, 1.0081405000, 1.7235952000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0793674000, 0.0834844000, 0.0939240000, 0.1180125000, 0.1745893000, 0.3143966000, 0.7355742000", \ - "0.0798912000, 0.0834925000, 0.0939100000, 0.1180355000, 0.1748514000, 0.3142096000, 0.7356812000", \ - "0.0792043000, 0.0832491000, 0.0939472000, 0.1179053000, 0.1724055000, 0.3138846000, 0.7378174000", \ - "0.0795680000, 0.0834214000, 0.0946257000, 0.1180887000, 0.1721542000, 0.3145347000, 0.7361644000", \ - "0.0789171000, 0.0830759000, 0.0933298000, 0.1188279000, 0.1720583000, 0.3138921000, 0.7377235000", \ - "0.0781453000, 0.0819728000, 0.0931455000, 0.1170476000, 0.1721201000, 0.3154187000, 0.7373486000", \ - "0.0788725000, 0.0832996000, 0.0938940000, 0.1177330000, 0.1743315000, 0.3133883000, 0.7336061000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0426796000, 0.0476555000, 0.0618861000, 0.0960630000, 0.1889497000, 0.4885282000, 1.4985861000", \ - "0.0426747000, 0.0476348000, 0.0618271000, 0.0960091000, 0.1889244000, 0.4881155000, 1.5067583000", \ - "0.0426794000, 0.0479099000, 0.0612003000, 0.0958899000, 0.1890880000, 0.4891058000, 1.5017636000", \ - "0.0426553000, 0.0477172000, 0.0620315000, 0.0961269000, 0.1885745000, 0.4891954000, 1.5032658000", \ - "0.0428707000, 0.0478020000, 0.0613703000, 0.0961384000, 0.1885244000, 0.4891623000, 1.5033617000", \ - "0.0427058000, 0.0476641000, 0.0618370000, 0.0951457000, 0.1886219000, 0.4890802000, 1.5033568000", \ - "0.0430614000, 0.0481058000, 0.0621172000, 0.0965913000, 0.1887761000, 0.4872625000, 1.4979761000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.3815133000, 0.3894630000, 0.4098517000, 0.4550156000, 0.5420926000, 0.7112244000, 1.0945912000", \ - "0.3852635000, 0.3931323000, 0.4137003000, 0.4588212000, 0.5454523000, 0.7142135000, 1.0979365000", \ - "0.3965354000, 0.4044065000, 0.4249592000, 0.4700429000, 0.5567284000, 0.7256349000, 1.1092702000", \ - "0.4253652000, 0.4332343000, 0.4537788000, 0.4988517000, 0.5855462000, 0.7544869000, 1.1381145000", \ - "0.4878257000, 0.4956991000, 0.5162761000, 0.5613653000, 0.6479272000, 0.8167164000, 1.2004774000", \ - "0.6156486000, 0.6235183000, 0.6440776000, 0.6893439000, 0.7766263000, 0.9456205000, 1.3292496000", \ - "0.8496880000, 0.8591659000, 0.8840274000, 0.9384259000, 1.0381420000, 1.2193131000, 1.6085751000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.2087517000, 0.2148821000, 0.2315809000, 0.2697502000, 0.3571984000, 0.5872522000, 1.3039102000", \ - "0.2118200000, 0.2181196000, 0.2346309000, 0.2728231000, 0.3602804000, 0.5902194000, 1.3069555000", \ - "0.2210845000, 0.2273889000, 0.2438656000, 0.2821602000, 0.3695386000, 0.5999604000, 1.3155166000", \ - "0.2449426000, 0.2512737000, 0.2676598000, 0.3058772000, 0.3932905000, 0.6233461000, 1.3392139000", \ - "0.2973732000, 0.3036252000, 0.3201751000, 0.3583980000, 0.4454594000, 0.6759345000, 1.3912983000", \ - "0.3887018000, 0.3954971000, 0.4132271000, 0.4531935000, 0.5422995000, 0.7735722000, 1.4877992000", \ - "0.5102112000, 0.5183666000, 0.5398240000, 0.5860113000, 0.6815722000, 0.9156316000, 1.6301358000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0817046000, 0.0852700000, 0.0960393000, 0.1192593000, 0.1736225000, 0.3149540000, 0.7362827000", \ - "0.0811375000, 0.0848399000, 0.0960759000, 0.1212030000, 0.1727925000, 0.3147698000, 0.7372719000", \ - "0.0811486000, 0.0848480000, 0.0960535000, 0.1192181000, 0.1729818000, 0.3144931000, 0.7378205000", \ - "0.0811584000, 0.0848612000, 0.0960680000, 0.1192165000, 0.1730078000, 0.3145784000, 0.7378827000", \ - "0.0810757000, 0.0848355000, 0.0959631000, 0.1210567000, 0.1728066000, 0.3148517000, 0.7373575000", \ - "0.0833216000, 0.0867689000, 0.0966451000, 0.1204691000, 0.1747150000, 0.3160317000, 0.7384244000", \ - "0.1278673000, 0.1306597000, 0.1397731000, 0.1582695000, 0.2033628000, 0.3333131000, 0.7448414000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0376742000, 0.0426961000, 0.0552826000, 0.0875936000, 0.1798076000, 0.4831720000, 1.4966824000", \ - "0.0376514000, 0.0422331000, 0.0552827000, 0.0876539000, 0.1799115000, 0.4824034000, 1.5016258000", \ - "0.0382311000, 0.0429230000, 0.0554968000, 0.0878879000, 0.1796989000, 0.4834770000, 1.4956465000", \ - "0.0376277000, 0.0422584000, 0.0551723000, 0.0876782000, 0.1800520000, 0.4831961000, 1.5038940000", \ - "0.0377686000, 0.0428050000, 0.0552221000, 0.0877348000, 0.1800180000, 0.4833552000, 1.5014507000", \ - "0.0426771000, 0.0476369000, 0.0603644000, 0.0930912000, 0.1825783000, 0.4842775000, 1.4973527000", \ - "0.0560077000, 0.0614854000, 0.0756787000, 0.1081333000, 0.1946794000, 0.4892938000, 1.4982461000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.2668316000, 0.2747777000, 0.2953696000, 0.3399719000, 0.4266974000, 0.5946740000, 0.9773117000", \ - "0.2719178000, 0.2797703000, 0.3002219000, 0.3449755000, 0.4314715000, 0.5996545000, 0.9823313000", \ - "0.2830986000, 0.2909487000, 0.3113574000, 0.3561979000, 0.4425984000, 0.6107772000, 0.9935786000", \ - "0.3087698000, 0.3162176000, 0.3369393000, 0.3817182000, 0.4682096000, 0.6367542000, 1.0191987000", \ - "0.3528884000, 0.3607324000, 0.3810973000, 0.4256626000, 0.5120542000, 0.6806971000, 1.0628175000", \ - "0.4314384000, 0.4387985000, 0.4565629000, 0.4975705000, 0.5801820000, 0.7459111000, 1.1281428000", \ - "0.4669448000, 0.4738144000, 0.4921240000, 0.5328386000, 0.6154952000, 0.7818299000, 1.1621341000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.2110886000, 0.2180274000, 0.2360083000, 0.2777003000, 0.3699777000, 0.6048961000, 1.3217268000", \ - "0.2160972000, 0.2231367000, 0.2410624000, 0.2827740000, 0.3750156000, 0.6098983000, 1.3267168000", \ - "0.2294617000, 0.2363315000, 0.2543780000, 0.2959314000, 0.3883002000, 0.6230655000, 1.3396333000", \ - "0.2610714000, 0.2678931000, 0.2860658000, 0.3276976000, 0.4200320000, 0.6549645000, 1.3717686000", \ - "0.3277321000, 0.3346129000, 0.3527131000, 0.3941566000, 0.4867081000, 0.7216979000, 1.4360858000", \ - "0.4358554000, 0.4427226000, 0.4605956000, 0.5017564000, 0.5935182000, 0.8286684000, 1.5449939000", \ - "0.6025581000, 0.6093772000, 0.6272552000, 0.6685715000, 0.7607171000, 0.9949950000, 1.7084227000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0786341000, 0.0831284000, 0.0935391000, 0.1172680000, 0.1730000000, 0.3147439000, 0.7366063000", \ - "0.0788941000, 0.0826060000, 0.0934078000, 0.1173662000, 0.1725054000, 0.3143269000, 0.7373648000", \ - "0.0788674000, 0.0825418000, 0.0932651000, 0.1175059000, 0.1721030000, 0.3134950000, 0.7377309000", \ - "0.0790032000, 0.0828767000, 0.0938541000, 0.1174671000, 0.1719085000, 0.3141641000, 0.7370491000", \ - "0.0748925000, 0.0791468000, 0.0905281000, 0.1148528000, 0.1725000000, 0.3138742000, 0.7371484000", \ - "0.0677414000, 0.0715303000, 0.0822624000, 0.1078187000, 0.1659518000, 0.3093211000, 0.7362941000", \ - "0.0685542000, 0.0720238000, 0.0830183000, 0.1095733000, 0.1662565000, 0.3080801000, 0.7303422000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0422579000, 0.0472729000, 0.0608918000, 0.0954178000, 0.1886172000, 0.4887037000, 1.5019247000", \ - "0.0423425000, 0.0473845000, 0.0608039000, 0.0954205000, 0.1884957000, 0.4883162000, 1.5025474000", \ - "0.0428875000, 0.0471880000, 0.0614933000, 0.0954308000, 0.1881872000, 0.4883048000, 1.5031745000", \ - "0.0425533000, 0.0474439000, 0.0610769000, 0.0953521000, 0.1886846000, 0.4890256000, 1.5007798000", \ - "0.0427508000, 0.0477070000, 0.0611648000, 0.0952202000, 0.1883574000, 0.4887195000, 1.5049189000", \ - "0.0422268000, 0.0472303000, 0.0603694000, 0.0942058000, 0.1877725000, 0.4887460000, 1.5024643000", \ - "0.0425988000, 0.0469640000, 0.0611392000, 0.0953452000, 0.1883105000, 0.4869239000, 1.4974421000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.2684432000, 0.2762378000, 0.2969376000, 0.3418139000, 0.4286228000, 0.5970568000, 0.9802695000", \ - "0.2728686000, 0.2806774000, 0.3011202000, 0.3463010000, 0.4326541000, 0.6012622000, 0.9846650000", \ - "0.2833012000, 0.2912248000, 0.3116576000, 0.3567012000, 0.4431346000, 0.6117395000, 0.9951633000", \ - "0.3090587000, 0.3168364000, 0.3372951000, 0.3821430000, 0.4686130000, 0.6373982000, 1.0203772000", \ - "0.3652832000, 0.3731128000, 0.3933244000, 0.4380917000, 0.5242058000, 0.6924967000, 1.0759907000", \ - "0.4858512000, 0.4935758000, 0.5142708000, 0.5581578000, 0.6427895000, 0.8102217000, 1.1934281000", \ - "0.6765015000, 0.6861710000, 0.7121321000, 0.7691789000, 0.8719317000, 1.0508341000, 1.4399015000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.1472658000, 0.1535117000, 0.1700370000, 0.2084977000, 0.2958440000, 0.5254616000, 1.2418081000", \ - "0.1516246000, 0.1580757000, 0.1745165000, 0.2130157000, 0.3003373000, 0.5300616000, 1.2459219000", \ - "0.1622429000, 0.1684909000, 0.1851987000, 0.2235091000, 0.3109961000, 0.5409046000, 1.2555573000", \ - "0.1860980000, 0.1924169000, 0.2090037000, 0.2473376000, 0.3347432000, 0.5648241000, 1.2789168000", \ - "0.2397572000, 0.2460076000, 0.2623148000, 0.3004532000, 0.3879487000, 0.6182155000, 1.3322776000", \ - "0.3228910000, 0.3300710000, 0.3483686000, 0.3885857000, 0.4777370000, 0.7102421000, 1.4272180000", \ - "0.4183961000, 0.4272541000, 0.4502209000, 0.4998480000, 0.5959802000, 0.8293347000, 1.5452716000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0776990000, 0.0816446000, 0.0922818000, 0.1163257000, 0.1726218000, 0.3145150000, 0.7359776000", \ - "0.0778886000, 0.0819903000, 0.0919933000, 0.1166349000, 0.1715024000, 0.3149374000, 0.7377222000", \ - "0.0773770000, 0.0811503000, 0.0919584000, 0.1164766000, 0.1716140000, 0.3150216000, 0.7370692000", \ - "0.0772602000, 0.0812792000, 0.0924866000, 0.1163373000, 0.1719215000, 0.3145036000, 0.7375685000", \ - "0.0752653000, 0.0794602000, 0.0897844000, 0.1149865000, 0.1706384000, 0.3133526000, 0.7379239000", \ - "0.0797074000, 0.0834041000, 0.0929954000, 0.1161944000, 0.1693484000, 0.3131553000, 0.7367035000", \ - "0.1089457000, 0.1143986000, 0.1269597000, 0.1550234000, 0.2059518000, 0.3330370000, 0.7456653000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0016087900, 0.0051764300, 0.0166556000, 0.0535909000, 0.1724330000, 0.5548190000"); - values("0.0376856000, 0.0421718000, 0.0553028000, 0.0878369000, 0.1799879000, 0.4825312000, 1.4995650000", \ - "0.0377619000, 0.0423501000, 0.0549410000, 0.0877906000, 0.1800529000, 0.4828491000, 1.5023525000", \ - "0.0378171000, 0.0424062000, 0.0553020000, 0.0875035000, 0.1801801000, 0.4835686000, 1.5061882000", \ - "0.0380380000, 0.0425340000, 0.0549922000, 0.0874501000, 0.1801930000, 0.4836671000, 1.4968933000", \ - "0.0380586000, 0.0430191000, 0.0554898000, 0.0881198000, 0.1804512000, 0.4837065000, 1.4971314000", \ - "0.0490306000, 0.0536991000, 0.0649561000, 0.0959000000, 0.1853354000, 0.4852910000, 1.5008734000", \ - "0.0696359000, 0.0743740000, 0.0882664000, 0.1187429000, 0.1995943000, 0.4900162000, 1.4986430000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__xor2_1") { - leakage_power () { - value : 0.0033900000; - when : "!A&B"; - } - leakage_power () { - value : 0.0095263000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0025720000; - when : "A&B"; - } - leakage_power () { - value : 0.0016228000; - when : "A&!B"; - } - area : 8.7584000000; - cell_footprint : "sky130_fd_sc_hd__xor2"; - cell_leakage_power : 0.0042777740; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0043770000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0042100000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045440000; - } - pin ("B") { - capacitance : 0.0043390000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0041700000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0045090000; - } - pin ("X") { - direction : "output"; - function : "(A&!B) | (!A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011573810, 0.0026790590, 0.0062013820, 0.0143547200, 0.0332277400, 0.0769142700"); - values("-0.002077100, -0.003130900, -0.005552700, -0.011188900, -0.024286400, -0.054740400, -0.125377500", \ - "-0.002300700, -0.003335600, -0.005768200, -0.011402600, -0.024497400, -0.054969800, -0.125621600", \ - "-0.002515100, -0.003551000, -0.005964600, -0.011575700, -0.024686500, -0.055122900, -0.125811500", \ - "-0.002735300, -0.003757100, -0.006158000, -0.011720300, -0.024796100, -0.055224300, -0.125897100", \ - "-0.002526500, -0.003578000, -0.006160500, -0.011821200, -0.024844200, -0.055209900, -0.125835600", \ - "-0.002456000, -0.003521700, -0.005973600, -0.011680500, -0.024975800, -0.055431400, -0.126008500", \ - "-0.001550400, -0.002634600, -0.005211000, -0.010993400, -0.024367000, -0.055005100, -0.125924000"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011573810, 0.0026790590, 0.0062013820, 0.0143547200, 0.0332277400, 0.0769142700"); - values("0.0194647000, 0.0205470000, 0.0230392000, 0.0287201000, 0.0417897000, 0.0719793000, 0.1417898000", \ - "0.0193163000, 0.0203989000, 0.0229026000, 0.0286095000, 0.0417172000, 0.0719584000, 0.1417356000", \ - "0.0191295000, 0.0202204000, 0.0227340000, 0.0284762000, 0.0416176000, 0.0718763000, 0.1417052000", \ - "0.0190150000, 0.0200907000, 0.0225783000, 0.0282972000, 0.0414681000, 0.0717562000, 0.1417487000", \ - "0.0188638000, 0.0199437000, 0.0224228000, 0.0281279000, 0.0412337000, 0.0715507000, 0.1414644000", \ - "0.0188883000, 0.0199387000, 0.0224380000, 0.0281357000, 0.0413469000, 0.0715427000, 0.1413474000", \ - "0.0188070000, 0.0198598000, 0.0222689000, 0.0279179000, 0.0414563000, 0.0717843000, 0.1415505000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011573810, 0.0026790590, 0.0062013820, 0.0143547200, 0.0332277400, 0.0769142700"); - values("-0.000564700, -0.001610500, -0.004038700, -0.009674000, -0.022789900, -0.053271900, -0.123953400", \ - "-0.000728400, -0.001762100, -0.004172900, -0.009779500, -0.022863400, -0.053376900, -0.124039000", \ - "-0.000886100, -0.001917600, -0.004317500, -0.009925900, -0.023008000, -0.053439900, -0.124094500", \ - "-0.001096400, -0.002131500, -0.004488500, -0.010060300, -0.023129100, -0.053511600, -0.124167000", \ - "-0.001075800, -0.002110100, -0.004568500, -0.010164800, -0.023206100, -0.053621900, -0.124216300", \ - "-0.000192600, -0.001225800, -0.003786800, -0.009522000, -0.022949200, -0.053429000, -0.124169200", \ - "0.0014540000, 0.0002934000, -0.002326600, -0.008313600, -0.021657500, -0.052713900, -0.123890900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0011573810, 0.0026790590, 0.0062013820, 0.0143547200, 0.0332277400, 0.0769142700"); - values("0.0158710000, 0.0170026000, 0.0195626000, 0.0253543000, 0.0385783000, 0.0689402000, 0.1391470000", \ - "0.0156279000, 0.0167809000, 0.0193500000, 0.0252126000, 0.0385144000, 0.0689018000, 0.1391206000", \ - "0.0153924000, 0.0165362000, 0.0190886000, 0.0249652000, 0.0383225000, 0.0688351000, 0.1390597000", \ - "0.0152466000, 0.0163447000, 0.0188834000, 0.0246755000, 0.0380209000, 0.0686236000, 0.1388356000", \ - "0.0150737000, 0.0161641000, 0.0186845000, 0.0244037000, 0.0376706000, 0.0682359000, 0.1385220000", \ - "0.0150613000, 0.0161275000, 0.0186268000, 0.0243984000, 0.0376113000, 0.0679970000, 0.1384075000", \ - "0.0155064000, 0.0164788000, 0.0188898000, 0.0243005000, 0.0379059000, 0.0682123000, 0.1381762000"); - } - } - max_capacitance : 0.0769140000; - max_transition : 1.4949300000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.1260781000, 0.1305779000, 0.1394517000, 0.1564011000, 0.1879941000, 0.2505041000, 0.3861979000", \ - "0.1304028000, 0.1349049000, 0.1439232000, 0.1608823000, 0.1924910000, 0.2550079000, 0.3906395000", \ - "0.1427176000, 0.1472222000, 0.1561309000, 0.1731223000, 0.2047547000, 0.2673041000, 0.4028436000", \ - "0.1703706000, 0.1748537000, 0.1838551000, 0.2008618000, 0.2325875000, 0.2951577000, 0.4307854000", \ - "0.2293584000, 0.2340627000, 0.2433476000, 0.2608962000, 0.2932189000, 0.3561483000, 0.4920306000", \ - "0.3315263000, 0.3369141000, 0.3477782000, 0.3678538000, 0.4036732000, 0.4696795000, 0.6057169000", \ - "0.5028164000, 0.5095501000, 0.5230193000, 0.5475777000, 0.5896687000, 0.6618416000, 0.8025696000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.0805268000, 0.0901096000, 0.1121622000, 0.1611127000, 0.2722019000, 0.5274848000, 1.1114145000", \ - "0.0852071000, 0.0949025000, 0.1167658000, 0.1657099000, 0.2768830000, 0.5320950000, 1.1159003000", \ - "0.0958198000, 0.1054061000, 0.1269734000, 0.1763352000, 0.2872659000, 0.5419800000, 1.1288998000", \ - "0.1150732000, 0.1243955000, 0.1459258000, 0.1946540000, 0.3060574000, 0.5619045000, 1.1465542000", \ - "0.1399119000, 0.1491484000, 0.1704658000, 0.2192204000, 0.3302435000, 0.5851317000, 1.1746978000", \ - "0.1649774000, 0.1735583000, 0.1944131000, 0.2423617000, 0.3536036000, 0.6071239000, 1.1960524000", \ - "0.1672753000, 0.1772291000, 0.1984824000, 0.2452677000, 0.3557831000, 0.6100516000, 1.1963149000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.0264673000, 0.0296661000, 0.0365682000, 0.0517175000, 0.0831413000, 0.1547333000, 0.3304736000", \ - "0.0262472000, 0.0298418000, 0.0366798000, 0.0517706000, 0.0830630000, 0.1547963000, 0.3292057000", \ - "0.0262421000, 0.0297373000, 0.0365519000, 0.0517419000, 0.0829266000, 0.1545751000, 0.3292031000", \ - "0.0264623000, 0.0298077000, 0.0366060000, 0.0516561000, 0.0830452000, 0.1548961000, 0.3291359000", \ - "0.0285969000, 0.0318820000, 0.0389111000, 0.0532984000, 0.0840589000, 0.1554390000, 0.3312235000", \ - "0.0355090000, 0.0394565000, 0.0468160000, 0.0612132000, 0.0922773000, 0.1607217000, 0.3312671000", \ - "0.0492439000, 0.0533773000, 0.0616257000, 0.0776297000, 0.1084086000, 0.1750214000, 0.3376649000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.0559991000, 0.0684585000, 0.0971430000, 0.1629645000, 0.3141736000, 0.6633058000, 1.4723042000", \ - "0.0559564000, 0.0684065000, 0.0969287000, 0.1629742000, 0.3141490000, 0.6638274000, 1.4727028000", \ - "0.0558953000, 0.0683034000, 0.0970937000, 0.1630153000, 0.3136869000, 0.6637068000, 1.4762130000", \ - "0.0558532000, 0.0683361000, 0.0969092000, 0.1628033000, 0.3141826000, 0.6636764000, 1.4720821000", \ - "0.0560695000, 0.0685496000, 0.0968821000, 0.1625735000, 0.3139443000, 0.6631236000, 1.4703802000", \ - "0.0591639000, 0.0707668000, 0.0979003000, 0.1624643000, 0.3140978000, 0.6621517000, 1.4735394000", \ - "0.0691211000, 0.0795006000, 0.1035307000, 0.1643065000, 0.3145804000, 0.6652780000, 1.4691667000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.0352160000, 0.0388539000, 0.0468747000, 0.0647332000, 0.1045450000, 0.1949742000, 0.4035363000", \ - "0.0394004000, 0.0430108000, 0.0510450000, 0.0689508000, 0.1087421000, 0.1993264000, 0.4071859000", \ - "0.0486784000, 0.0522640000, 0.0603013000, 0.0782435000, 0.1180902000, 0.2087326000, 0.4166521000", \ - "0.0655858000, 0.0701088000, 0.0795541000, 0.0991409000, 0.1396683000, 0.2303657000, 0.4387813000", \ - "0.0875980000, 0.0942939000, 0.1077577000, 0.1349334000, 0.1844770000, 0.2797716000, 0.4885370000", \ - "0.1061057000, 0.1166075000, 0.1380046000, 0.1796099000, 0.2534398000, 0.3776657000, 0.6024938000", \ - "0.0968148000, 0.1132386000, 0.1463574000, 0.2129888000, 0.3280751000, 0.5185704000, 0.8219866000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.0948388000, 0.1041693000, 0.1250308000, 0.1729275000, 0.2826903000, 0.5358681000, 1.1224185000", \ - "0.0997006000, 0.1091417000, 0.1302596000, 0.1783790000, 0.2883820000, 0.5414972000, 1.1267793000", \ - "0.1124715000, 0.1218850000, 0.1429507000, 0.1912883000, 0.3017633000, 0.5551545000, 1.1406027000", \ - "0.1411091000, 0.1506083000, 0.1717647000, 0.2199123000, 0.3304829000, 0.5842588000, 1.1700171000", \ - "0.1996200000, 0.2103342000, 0.2339011000, 0.2826987000, 0.3930376000, 0.6473470000, 1.2363838000", \ - "0.2991085000, 0.3144787000, 0.3466624000, 0.4115208000, 0.5373699000, 0.7925411000, 1.3793274000", \ - "0.4601484000, 0.4848043000, 0.5356379000, 0.6339610000, 0.8093701000, 1.1212123000, 1.7153349000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.0268070000, 0.0309197000, 0.0406657000, 0.0629952000, 0.1146123000, 0.2338845000, 0.5113115000", \ - "0.0265745000, 0.0308103000, 0.0405700000, 0.0630001000, 0.1147710000, 0.2341315000, 0.5109784000", \ - "0.0273977000, 0.0313809000, 0.0407010000, 0.0627726000, 0.1146965000, 0.2348027000, 0.5108560000", \ - "0.0359329000, 0.0397354000, 0.0481907000, 0.0672894000, 0.1159250000, 0.2347790000, 0.5122973000", \ - "0.0558640000, 0.0605831000, 0.0702350000, 0.0908629000, 0.1336710000, 0.2409196000, 0.5116116000", \ - "0.0930712000, 0.0996754000, 0.1138666000, 0.1407247000, 0.1920497000, 0.2924649000, 0.5313402000", \ - "0.1602269000, 0.1707912000, 0.1922773000, 0.2323080000, 0.3029279000, 0.4271720000, 0.6598243000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.0708785000, 0.0832371000, 0.1115828000, 0.1769030000, 0.3274312000, 0.6747152000, 1.4813105000", \ - "0.0708367000, 0.0832027000, 0.1114740000, 0.1769160000, 0.3274796000, 0.6749863000, 1.4829173000", \ - "0.0708796000, 0.0832425000, 0.1114836000, 0.1769081000, 0.3278554000, 0.6753349000, 1.4822017000", \ - "0.0714485000, 0.0835557000, 0.1116746000, 0.1769053000, 0.3280268000, 0.6751179000, 1.4809081000", \ - "0.0863420000, 0.0970610000, 0.1217636000, 0.1817605000, 0.3276440000, 0.6758402000, 1.4813757000", \ - "0.1288221000, 0.1413779000, 0.1682770000, 0.2256277000, 0.3541276000, 0.6802625000, 1.4843736000", \ - "0.2171918000, 0.2341914000, 0.2674705000, 0.3359406000, 0.4748296000, 0.7597126000, 1.4949302000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.1119355000, 0.1164846000, 0.1254458000, 0.1420844000, 0.1734929000, 0.2358023000, 0.3713667000", \ - "0.1145787000, 0.1191019000, 0.1280360000, 0.1446977000, 0.1760782000, 0.2385529000, 0.3739911000", \ - "0.1243800000, 0.1289216000, 0.1378763000, 0.1546987000, 0.1862287000, 0.2486780000, 0.3843430000", \ - "0.1518770000, 0.1563293000, 0.1652448000, 0.1821427000, 0.2139026000, 0.2763089000, 0.4118076000", \ - "0.2119237000, 0.2166565000, 0.2260768000, 0.2439280000, 0.2763449000, 0.3380537000, 0.4736239000", \ - "0.3080707000, 0.3137892000, 0.3248637000, 0.3448279000, 0.3792878000, 0.4447560000, 0.5834592000", \ - "0.4616952000, 0.4684687000, 0.4826081000, 0.5073768000, 0.5480423000, 0.6172247000, 0.7556638000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.0949423000, 0.1041636000, 0.1247498000, 0.1711284000, 0.2782069000, 0.5285485000, 1.1116181000", \ - "0.0990569000, 0.1083693000, 0.1289222000, 0.1756000000, 0.2832033000, 0.5337654000, 1.1162513000", \ - "0.1062256000, 0.1155714000, 0.1364855000, 0.1839829000, 0.2925876000, 0.5440694000, 1.1298726000", \ - "0.1200673000, 0.1290008000, 0.1501402000, 0.1983744000, 0.3081556000, 0.5608337000, 1.1440034000", \ - "0.1391193000, 0.1484946000, 0.1698302000, 0.2183489000, 0.3278706000, 0.5812834000, 1.1663579000", \ - "0.1584242000, 0.1675459000, 0.1882291000, 0.2363708000, 0.3475857000, 0.6005512000, 1.1863653000", \ - "0.1589764000, 0.1696126000, 0.1911546000, 0.2387389000, 0.3492497000, 0.6030779000, 1.1890815000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.0263048000, 0.0298038000, 0.0366317000, 0.0520319000, 0.0832687000, 0.1550885000, 0.3285631000", \ - "0.0263206000, 0.0297798000, 0.0366277000, 0.0519846000, 0.0833041000, 0.1551073000, 0.3292810000", \ - "0.0264656000, 0.0297929000, 0.0369667000, 0.0518066000, 0.0833601000, 0.1550330000, 0.3288118000", \ - "0.0266960000, 0.0300142000, 0.0370863000, 0.0515049000, 0.0830891000, 0.1550566000, 0.3292530000", \ - "0.0303332000, 0.0332914000, 0.0399530000, 0.0544424000, 0.0853628000, 0.1561607000, 0.3293331000", \ - "0.0400696000, 0.0432706000, 0.0501229000, 0.0631056000, 0.0933984000, 0.1627742000, 0.3325488000", \ - "0.0554817000, 0.0596167000, 0.0678472000, 0.0817858000, 0.1090506000, 0.1725151000, 0.3373886000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.0706175000, 0.0830671000, 0.1115782000, 0.1768253000, 0.3285535000, 0.6748362000, 1.4792777000", \ - "0.0706153000, 0.0830585000, 0.1115793000, 0.1768934000, 0.3279727000, 0.6776349000, 1.4782999000", \ - "0.0704250000, 0.0829393000, 0.1115259000, 0.1768404000, 0.3275434000, 0.6753585000, 1.4840916000", \ - "0.0685932000, 0.0813921000, 0.1108935000, 0.1768842000, 0.3277024000, 0.6759032000, 1.4788976000", \ - "0.0627341000, 0.0753004000, 0.1045623000, 0.1718125000, 0.3248507000, 0.6749376000, 1.4836402000", \ - "0.0624435000, 0.0737931000, 0.1015743000, 0.1671756000, 0.3195790000, 0.6695119000, 1.4823713000", \ - "0.0727403000, 0.0832519000, 0.1074363000, 0.1677117000, 0.3175977000, 0.6659331000, 1.4719543000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.0309732000, 0.0346482000, 0.0427064000, 0.0605022000, 0.1003507000, 0.1908033000, 0.3989499000", \ - "0.0348663000, 0.0385392000, 0.0466734000, 0.0644633000, 0.1043667000, 0.1948870000, 0.4028073000", \ - "0.0451201000, 0.0486055000, 0.0565986000, 0.0742505000, 0.1141900000, 0.2048513000, 0.4127672000", \ - "0.0626472000, 0.0677324000, 0.0782441000, 0.0982767000, 0.1370429000, 0.2275880000, 0.4356447000", \ - "0.0822582000, 0.0899440000, 0.1058964000, 0.1360306000, 0.1896039000, 0.2836394000, 0.4912887000", \ - "0.0969840000, 0.1082338000, 0.1320925000, 0.1780485000, 0.2586570000, 0.3956471000, 0.6187594000", \ - "0.0838002000, 0.1010691000, 0.1375698000, 0.2067880000, 0.3313068000, 0.5398489000, 0.8693024000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.0772738000, 0.0868062000, 0.1083607000, 0.1568500000, 0.2672399000, 0.5202041000, 1.1063365000", \ - "0.0814557000, 0.0910127000, 0.1126222000, 0.1616436000, 0.2722963000, 0.5270045000, 1.1109927000", \ - "0.0933737000, 0.1027599000, 0.1243479000, 0.1730373000, 0.2841319000, 0.5392129000, 1.1267179000", \ - "0.1200550000, 0.1293835000, 0.1505629000, 0.1993662000, 0.3110422000, 0.5656142000, 1.1555157000", \ - "0.1685933000, 0.1807880000, 0.2060294000, 0.2575598000, 0.3689005000, 0.6241932000, 1.2134473000", \ - "0.2449120000, 0.2635063000, 0.3002846000, 0.3706545000, 0.5024765000, 0.7597254000, 1.3463815000", \ - "0.3644032000, 0.3933267000, 0.4511984000, 0.5585382000, 0.7460655000, 1.0660270000, 1.6634460000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.0268727000, 0.0309845000, 0.0408186000, 0.0630336000, 0.1148063000, 0.2339956000, 0.5109955000", \ - "0.0264706000, 0.0308194000, 0.0404970000, 0.0629726000, 0.1148316000, 0.2348778000, 0.5105305000", \ - "0.0282614000, 0.0319711000, 0.0409172000, 0.0625355000, 0.1144915000, 0.2348099000, 0.5102969000", \ - "0.0407127000, 0.0446543000, 0.0534106000, 0.0701772000, 0.1167693000, 0.2339469000, 0.5115301000", \ - "0.0639313000, 0.0695681000, 0.0812671000, 0.1041985000, 0.1444321000, 0.2447307000, 0.5109024000", \ - "0.1044679000, 0.1138895000, 0.1310511000, 0.1641385000, 0.2247427000, 0.3205680000, 0.5404304000", \ - "0.1770195000, 0.1902933000, 0.2176950000, 0.2681031000, 0.3527875000, 0.4914411000, 0.7245220000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0011573800, 0.0026790600, 0.0062013800, 0.0143547000, 0.0332277000, 0.0769143000"); - values("0.0564916000, 0.0688590000, 0.0971614000, 0.1629792000, 0.3138555000, 0.6654284000, 1.4739844000", \ - "0.0565454000, 0.0688077000, 0.0972560000, 0.1625976000, 0.3142461000, 0.6637045000, 1.4715126000", \ - "0.0564649000, 0.0688462000, 0.0972332000, 0.1629369000, 0.3140332000, 0.6639587000, 1.4762663000", \ - "0.0586867000, 0.0703250000, 0.0977461000, 0.1625887000, 0.3138589000, 0.6636837000, 1.4722206000", \ - "0.0769930000, 0.0884248000, 0.1124090000, 0.1703816000, 0.3146879000, 0.6640193000, 1.4718338000", \ - "0.1197552000, 0.1328259000, 0.1603563000, 0.2187200000, 0.3451457000, 0.6684276000, 1.4718399000", \ - "0.2058815000, 0.2230695000, 0.2578505000, 0.3296079000, 0.4685026000, 0.7598814000, 1.4874599000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__xor2_2") { - leakage_power () { - value : 0.0048373000; - when : "!A&B"; - } - leakage_power () { - value : 0.0090099000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0049460000; - when : "A&B"; - } - leakage_power () { - value : 0.0035835000; - when : "A&!B"; - } - area : 16.265600000; - cell_footprint : "sky130_fd_sc_hd__xor2"; - cell_leakage_power : 0.0055941920; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0089800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0085840000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0093760000; - } - pin ("B") { - capacitance : 0.0081650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0078370000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0084930000; - } - pin ("X") { - direction : "output"; - function : "(A&!B) | (!A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("-0.004662100, -0.005887700, -0.008965500, -0.016735900, -0.036513600, -0.086570300, -0.213492400", \ - "-0.005076400, -0.006296000, -0.009353900, -0.017123000, -0.036854300, -0.086996900, -0.213939600", \ - "-0.005573400, -0.006764800, -0.009812900, -0.017536000, -0.037200600, -0.087318900, -0.214263500", \ - "-0.006086500, -0.007273700, -0.010250900, -0.017895400, -0.037531000, -0.087562600, -0.214505900", \ - "-0.006150200, -0.007354900, -0.010445400, -0.018147800, -0.037660700, -0.087555500, -0.214433800", \ - "-0.005658700, -0.006885400, -0.009986500, -0.017858500, -0.037893600, -0.088025200, -0.214788400", \ - "-0.003892000, -0.005201400, -0.008370400, -0.016481400, -0.036733800, -0.087261400, -0.214735100"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("0.0369292000, 0.0381656000, 0.0413140000, 0.0491942000, 0.0689991000, 0.1187768000, 0.2445055000", \ - "0.0365398000, 0.0377870000, 0.0409828000, 0.0489316000, 0.0688532000, 0.1186584000, 0.2442397000", \ - "0.0361215000, 0.0373753000, 0.0405773000, 0.0486252000, 0.0685953000, 0.1184569000, 0.2443046000", \ - "0.0358413000, 0.0370973000, 0.0402531000, 0.0482564000, 0.0682185000, 0.1182749000, 0.2440825000", \ - "0.0355508000, 0.0368054000, 0.0399221000, 0.0478569000, 0.0678184000, 0.1178351000, 0.2435529000", \ - "0.0355410000, 0.0367405000, 0.0399061000, 0.0479024000, 0.0678099000, 0.1178255000, 0.2432769000", \ - "0.0352335000, 0.0364207000, 0.0394499000, 0.0471029000, 0.0679413000, 0.1179691000, 0.2435949000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("-0.001225600, -0.002450400, -0.005515900, -0.013299800, -0.033041100, -0.083177300, -0.210251600", \ - "-0.001528400, -0.002731500, -0.005788500, -0.013531400, -0.033213200, -0.083368600, -0.210375000", \ - "-0.001939500, -0.003108100, -0.006129500, -0.013804100, -0.033493700, -0.083536300, -0.210567000", \ - "-0.002457400, -0.003670300, -0.006591000, -0.014176900, -0.033770000, -0.083764500, -0.210692000", \ - "-0.002284100, -0.003469200, -0.006565000, -0.014197300, -0.033776900, -0.083796300, -0.210691000", \ - "-0.000898100, -0.002108200, -0.005717400, -0.013688500, -0.033704400, -0.083756400, -0.210784100", \ - "0.0017924000, 0.0004754000, -0.002757900, -0.011136400, -0.031267500, -0.082080500, -0.210166100"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012632050, 0.0031913740, 0.0080627180, 0.0203697300, 0.0514622900, 0.1300148000"); - values("0.0274399000, 0.0288075000, 0.0321880000, 0.0403616000, 0.0604082000, 0.1104334000, 0.2368603000", \ - "0.0269872000, 0.0283851000, 0.0317982000, 0.0400037000, 0.0603026000, 0.1104994000, 0.2368246000", \ - "0.0266097000, 0.0279355000, 0.0312839000, 0.0395135000, 0.0599307000, 0.1103915000, 0.2366890000", \ - "0.0263455000, 0.0276542000, 0.0308905000, 0.0390774000, 0.0593604000, 0.1100257000, 0.2366081000", \ - "0.0260555000, 0.0273572000, 0.0305662000, 0.0385536000, 0.0587118000, 0.1092827000, 0.2361458000", \ - "0.0259435000, 0.0271979000, 0.0303973000, 0.0385457000, 0.0585611000, 0.1089672000, 0.2354595000", \ - "0.0262366000, 0.0273811000, 0.0302657000, 0.0378938000, 0.0586015000, 0.1084169000, 0.2355467000"); - } - } - max_capacitance : 0.1300150000; - max_transition : 1.4987380000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.1384977000, 0.1422068000, 0.1502977000, 0.1661566000, 0.1964545000, 0.2572546000, 0.3935969000", \ - "0.1424172000, 0.1461692000, 0.1541685000, 0.1701493000, 0.2004523000, 0.2613210000, 0.3976153000", \ - "0.1540817000, 0.1577757000, 0.1659206000, 0.1818899000, 0.2121926000, 0.2731040000, 0.4094225000", \ - "0.1809945000, 0.1847089000, 0.1927287000, 0.2088414000, 0.2392330000, 0.3001880000, 0.4362988000", \ - "0.2372084000, 0.2410560000, 0.2493079000, 0.2654707000, 0.2965450000, 0.3578703000, 0.4942545000", \ - "0.3325887000, 0.3369353000, 0.3463400000, 0.3649617000, 0.3994033000, 0.4648464000, 0.6041764000", \ - "0.4898087000, 0.4950906000, 0.5068504000, 0.5295729000, 0.5705907000, 0.6425233000, 0.7862694000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0708429000, 0.0774858000, 0.0939566000, 0.1346119000, 0.2333286000, 0.4791645000, 1.0950048000", \ - "0.0756947000, 0.0822814000, 0.0989232000, 0.1395524000, 0.2378364000, 0.4835485000, 1.1019025000", \ - "0.0867937000, 0.0933608000, 0.1095408000, 0.1499780000, 0.2492272000, 0.4942581000, 1.1093417000", \ - "0.1065740000, 0.1129424000, 0.1289719000, 0.1689532000, 0.2677890000, 0.5134025000, 1.1323527000", \ - "0.1328139000, 0.1389481000, 0.1542258000, 0.1939757000, 0.2923455000, 0.5393939000, 1.1584920000", \ - "0.1600978000, 0.1664035000, 0.1811765000, 0.2204848000, 0.3184447000, 0.5637100000, 1.1815759000", \ - "0.1671551000, 0.1745894000, 0.1913832000, 0.2300386000, 0.3268911000, 0.5726919000, 1.1884465000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0232702000, 0.0257073000, 0.0318215000, 0.0444459000, 0.0731155000, 0.1395496000, 0.3126162000", \ - "0.0232763000, 0.0259348000, 0.0317299000, 0.0444188000, 0.0730869000, 0.1398639000, 0.3121319000", \ - "0.0233470000, 0.0258045000, 0.0317852000, 0.0443448000, 0.0729728000, 0.1397891000, 0.3128331000", \ - "0.0235786000, 0.0261886000, 0.0317882000, 0.0444738000, 0.0730364000, 0.1399871000, 0.3127691000", \ - "0.0253195000, 0.0280221000, 0.0335514000, 0.0459919000, 0.0742742000, 0.1400061000, 0.3126039000", \ - "0.0308286000, 0.0336105000, 0.0402202000, 0.0530794000, 0.0818041000, 0.1468926000, 0.3160481000", \ - "0.0424813000, 0.0461582000, 0.0527709000, 0.0675221000, 0.0978595000, 0.1616311000, 0.3240657000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0433950000, 0.0521716000, 0.0742378000, 0.1284433000, 0.2624563000, 0.6002645000, 1.4511636000", \ - "0.0434337000, 0.0522449000, 0.0740179000, 0.1283847000, 0.2628413000, 0.6011170000, 1.4515309000", \ - "0.0433964000, 0.0521159000, 0.0741651000, 0.1281615000, 0.2628724000, 0.5996897000, 1.4526607000", \ - "0.0434933000, 0.0520284000, 0.0738791000, 0.1281932000, 0.2622725000, 0.5993517000, 1.4537517000", \ - "0.0437520000, 0.0522671000, 0.0737491000, 0.1280984000, 0.2623953000, 0.6008260000, 1.4501028000", \ - "0.0471760000, 0.0549205000, 0.0753277000, 0.1279875000, 0.2627280000, 0.5983435000, 1.4513487000", \ - "0.0568262000, 0.0640667000, 0.0821018000, 0.1304363000, 0.2625872000, 0.6016371000, 1.4457520000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0366878000, 0.0394202000, 0.0460515000, 0.0614511000, 0.0983492000, 0.1882181000, 0.4122795000", \ - "0.0409317000, 0.0437046000, 0.0503680000, 0.0658174000, 0.1026503000, 0.1925650000, 0.4172927000", \ - "0.0503424000, 0.0530575000, 0.0595900000, 0.0751747000, 0.1120444000, 0.2020318000, 0.4265575000", \ - "0.0674054000, 0.0709212000, 0.0787132000, 0.0956543000, 0.1330796000, 0.2234468000, 0.4482092000", \ - "0.0908163000, 0.0956347000, 0.1065715000, 0.1298773000, 0.1765074000, 0.2719941000, 0.4975408000", \ - "0.1118816000, 0.1193171000, 0.1361500000, 0.1723709000, 0.2420603000, 0.3677965000, 0.6106943000", \ - "0.1079090000, 0.1191817000, 0.1464129000, 0.2032867000, 0.3126409000, 0.5044731000, 0.8291883000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.1013748000, 0.1077552000, 0.1240475000, 0.1636831000, 0.2619730000, 0.5085546000, 1.1298122000", \ - "0.1055375000, 0.1120018000, 0.1284449000, 0.1684071000, 0.2670783000, 0.5140612000, 1.1362680000", \ - "0.1173207000, 0.1237444000, 0.1400384000, 0.1803647000, 0.2795946000, 0.5269080000, 1.1487459000", \ - "0.1453186000, 0.1517258000, 0.1677739000, 0.2077863000, 0.3071755000, 0.5548991000, 1.1767954000", \ - "0.1999506000, 0.2077888000, 0.2257879000, 0.2674549000, 0.3665707000, 0.6144783000, 1.2378885000", \ - "0.2910742000, 0.3021466000, 0.3268688000, 0.3820665000, 0.4996659000, 0.7511051000, 1.3740229000", \ - "0.4336232000, 0.4504984000, 0.4898813000, 0.5742122000, 0.7397000000, 1.0549978000, 1.6919731000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0278557000, 0.0307555000, 0.0381278000, 0.0569801000, 0.1046576000, 0.2258045000, 0.5323001000", \ - "0.0275980000, 0.0305700000, 0.0380380000, 0.0568992000, 0.1046078000, 0.2260061000, 0.5331962000", \ - "0.0278874000, 0.0307257000, 0.0379815000, 0.0565398000, 0.1045299000, 0.2257749000, 0.5324279000", \ - "0.0352853000, 0.0378809000, 0.0446928000, 0.0610429000, 0.1058141000, 0.2258656000, 0.5328579000", \ - "0.0533967000, 0.0566260000, 0.0646769000, 0.0824657000, 0.1237218000, 0.2317967000, 0.5327737000", \ - "0.0879987000, 0.0927476000, 0.1038514000, 0.1281722000, 0.1786074000, 0.2820623000, 0.5505975000", \ - "0.1521567000, 0.1596079000, 0.1759489000, 0.2111251000, 0.2808864000, 0.4101538000, 0.6719281000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0658635000, 0.0744751000, 0.0961230000, 0.1501198000, 0.2848755000, 0.6248285000, 1.4843966000", \ - "0.0658935000, 0.0743274000, 0.0961270000, 0.1501292000, 0.2849601000, 0.6239809000, 1.4863532000", \ - "0.0658033000, 0.0744992000, 0.0959603000, 0.1498550000, 0.2852739000, 0.6251155000, 1.4846022000", \ - "0.0666618000, 0.0749003000, 0.0962001000, 0.1501097000, 0.2848835000, 0.6246919000, 1.4820652000", \ - "0.0807572000, 0.0882044000, 0.1072274000, 0.1565418000, 0.2860165000, 0.6249157000, 1.4860295000", \ - "0.1189094000, 0.1277407000, 0.1492433000, 0.1994202000, 0.3158029000, 0.6305258000, 1.4816749000", \ - "0.2013897000, 0.2133187000, 0.2401065000, 0.3014739000, 0.4314330000, 0.7206652000, 1.4987376000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.1135354000, 0.1172345000, 0.1253212000, 0.1411321000, 0.1709996000, 0.2317773000, 0.3679881000", \ - "0.1158619000, 0.1196099000, 0.1277814000, 0.1435497000, 0.1736785000, 0.2345190000, 0.3707583000", \ - "0.1261537000, 0.1298374000, 0.1377989000, 0.1536590000, 0.1836019000, 0.2442664000, 0.3807297000", \ - "0.1536260000, 0.1573158000, 0.1652684000, 0.1810274000, 0.2114569000, 0.2723175000, 0.4085383000", \ - "0.2157204000, 0.2195236000, 0.2277852000, 0.2437790000, 0.2747045000, 0.3364387000, 0.4730395000", \ - "0.3175672000, 0.3221342000, 0.3319043000, 0.3505945000, 0.3832005000, 0.4476124000, 0.5871739000", \ - "0.4813654000, 0.4871496000, 0.4995248000, 0.5224838000, 0.5624081000, 0.6304612000, 0.7708766000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0898785000, 0.0960548000, 0.1114666000, 0.1491248000, 0.2437286000, 0.4865190000, 1.1045026000", \ - "0.0940707000, 0.1003422000, 0.1156960000, 0.1538906000, 0.2489380000, 0.4917062000, 1.1096301000", \ - "0.1013511000, 0.1077969000, 0.1235103000, 0.1623957000, 0.2589187000, 0.5028688000, 1.1212922000", \ - "0.1139012000, 0.1203263000, 0.1361622000, 0.1757829000, 0.2740495000, 0.5188473000, 1.1400489000", \ - "0.1293636000, 0.1358092000, 0.1523199000, 0.1933448000, 0.2917342000, 0.5383232000, 1.1579030000", \ - "0.1431522000, 0.1496354000, 0.1657491000, 0.2056076000, 0.3055157000, 0.5534739000, 1.1742622000", \ - "0.1330891000, 0.1409090000, 0.1587939000, 0.1981393000, 0.2963700000, 0.5450383000, 1.1688642000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0233165000, 0.0258682000, 0.0318581000, 0.0447566000, 0.0734567000, 0.1402240000, 0.3125750000", \ - "0.0235705000, 0.0258730000, 0.0316446000, 0.0448949000, 0.0733738000, 0.1400845000, 0.3128873000", \ - "0.0233875000, 0.0260026000, 0.0317997000, 0.0448137000, 0.0734731000, 0.1399741000, 0.3129170000", \ - "0.0234414000, 0.0259759000, 0.0318132000, 0.0446572000, 0.0731613000, 0.1399281000, 0.3129010000", \ - "0.0260998000, 0.0286890000, 0.0343501000, 0.0473948000, 0.0753344000, 0.1411505000, 0.3131180000", \ - "0.0352046000, 0.0377193000, 0.0433195000, 0.0548519000, 0.0821210000, 0.1472213000, 0.3172045000", \ - "0.0494604000, 0.0520416000, 0.0593126000, 0.0724877000, 0.0970250000, 0.1579033000, 0.3226391000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0649654000, 0.0736882000, 0.0956477000, 0.1498763000, 0.2857273000, 0.6272573000, 1.4811267000", \ - "0.0649800000, 0.0737642000, 0.0955446000, 0.1499280000, 0.2850589000, 0.6269287000, 1.4808509000", \ - "0.0647131000, 0.0735981000, 0.0955189000, 0.1498205000, 0.2854102000, 0.6272066000, 1.4849604000", \ - "0.0619793000, 0.0710637000, 0.0936559000, 0.1495553000, 0.2853681000, 0.6246950000, 1.4852367000", \ - "0.0537970000, 0.0628799000, 0.0855840000, 0.1431683000, 0.2805938000, 0.6247683000, 1.4816494000", \ - "0.0522774000, 0.0603811000, 0.0817334000, 0.1359679000, 0.2739539000, 0.6165972000, 1.4789325000", \ - "0.0611450000, 0.0688738000, 0.0878866000, 0.1363977000, 0.2708009000, 0.6127246000, 1.4715204000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0294322000, 0.0321949000, 0.0388289000, 0.0543131000, 0.0911406000, 0.1809899000, 0.4050461000", \ - "0.0332804000, 0.0360554000, 0.0427348000, 0.0583451000, 0.0951256000, 0.1850991000, 0.4098038000", \ - "0.0437864000, 0.0463586000, 0.0527086000, 0.0681852000, 0.1049871000, 0.1949351000, 0.4192733000", \ - "0.0613941000, 0.0647955000, 0.0732418000, 0.0917326000, 0.1283687000, 0.2182853000, 0.4425062000", \ - "0.0817803000, 0.0872906000, 0.0995910000, 0.1265836000, 0.1785243000, 0.2738434000, 0.4968396000", \ - "0.0972607000, 0.1056981000, 0.1242752000, 0.1656531000, 0.2428085000, 0.3835595000, 0.6258345000", \ - "0.0858433000, 0.0967255000, 0.1271787000, 0.1888504000, 0.3078823000, 0.5211013000, 0.8797424000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0746095000, 0.0815395000, 0.0980231000, 0.1379717000, 0.2351741000, 0.4801485000, 1.0952894000", \ - "0.0785530000, 0.0853667000, 0.1020841000, 0.1422198000, 0.2408163000, 0.4869321000, 1.1021585000", \ - "0.0906585000, 0.0972720000, 0.1136060000, 0.1541478000, 0.2529330000, 0.4992180000, 1.1181956000", \ - "0.1181814000, 0.1249159000, 0.1410777000, 0.1807511000, 0.2794417000, 0.5262002000, 1.1458124000", \ - "0.1659615000, 0.1745215000, 0.1946022000, 0.2395122000, 0.3387854000, 0.5855649000, 1.2051118000", \ - "0.2389627000, 0.2525016000, 0.2826532000, 0.3453134000, 0.4691851000, 0.7211671000, 1.3406238000", \ - "0.3475268000, 0.3697420000, 0.4182347000, 0.5170517000, 0.6978846000, 1.0215699000, 1.6593122000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0281889000, 0.0310405000, 0.0383098000, 0.0571027000, 0.1046458000, 0.2255703000, 0.5326776000", \ - "0.0271840000, 0.0303379000, 0.0379941000, 0.0568930000, 0.1046141000, 0.2258325000, 0.5325913000", \ - "0.0284967000, 0.0312032000, 0.0380897000, 0.0562595000, 0.1044868000, 0.2257337000, 0.5326409000", \ - "0.0391303000, 0.0422909000, 0.0497402000, 0.0647899000, 0.1071060000, 0.2257027000, 0.5332900000", \ - "0.0596949000, 0.0642601000, 0.0741989000, 0.0950827000, 0.1373584000, 0.2368719000, 0.5319141000", \ - "0.0972975000, 0.1037658000, 0.1186631000, 0.1490270000, 0.2072445000, 0.3125950000, 0.5606867000", \ - "0.1617579000, 0.1741475000, 0.1973022000, 0.2454596000, 0.3285548000, 0.4767016000, 0.7385274000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012632100, 0.0031913700, 0.0080627200, 0.0203697000, 0.0514623000, 0.1300150000"); - values("0.0450999000, 0.0534501000, 0.0749979000, 0.1285084000, 0.2628362000, 0.5998981000, 1.4500487000", \ - "0.0453270000, 0.0537701000, 0.0747860000, 0.1283688000, 0.2630452000, 0.5999876000, 1.4533503000", \ - "0.0454338000, 0.0539026000, 0.0750903000, 0.1284993000, 0.2630374000, 0.6012968000, 1.4521058000", \ - "0.0476749000, 0.0555512000, 0.0760392000, 0.1286077000, 0.2625937000, 0.6001993000, 1.4533110000", \ - "0.0644221000, 0.0724955000, 0.0917503000, 0.1382157000, 0.2645450000, 0.6000186000, 1.4541084000", \ - "0.1037109000, 0.1126797000, 0.1346154000, 0.1850346000, 0.3011596000, 0.6073854000, 1.4495093000", \ - "0.1862411000, 0.1978767000, 0.2272521000, 0.2880284000, 0.4203579000, 0.7001883000, 1.4648243000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__xor2_4") { - leakage_power () { - value : 0.0071544000; - when : "!A&B"; - } - leakage_power () { - value : 0.0107585000; - when : "!A&!B"; - } - leakage_power () { - value : 0.0056040000; - when : "A&B"; - } - leakage_power () { - value : 0.0060047000; - when : "A&!B"; - } - area : 27.526400000; - cell_footprint : "sky130_fd_sc_hd__xor2"; - cell_leakage_power : 0.0073803710; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0173590000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0166090000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0181100000; - } - pin ("B") { - capacitance : 0.0150830000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0144120000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0157550000; - } - pin ("X") { - direction : "output"; - function : "(A&!B) | (!A&B)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000"); - values("-0.009353200, -0.010762300, -0.014632000, -0.025274800, -0.054785000, -0.136565300, -0.362923600", \ - "-0.010240800, -0.011609600, -0.015454600, -0.026091800, -0.055569400, -0.137404700, -0.363764100", \ - "-0.011272300, -0.012630100, -0.016426900, -0.026952900, -0.056280500, -0.138080900, -0.364624900", \ - "-0.012300700, -0.013629200, -0.017353500, -0.027797400, -0.057036300, -0.138586100, -0.364914600", \ - "-0.012574600, -0.013950200, -0.017842500, -0.028217400, -0.057313200, -0.138687800, -0.364837300", \ - "-0.011353300, -0.012758900, -0.016668300, -0.027355600, -0.057695800, -0.139744700, -0.365479200", \ - "-0.008667900, -0.010139100, -0.014189800, -0.025209600, -0.055645000, -0.138132000, -0.365525200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000"); - values("0.0738198000, 0.0753531000, 0.0792946000, 0.0901744000, 0.1199423000, 0.2012191000, 0.4251799000", \ - "0.0731748000, 0.0746199000, 0.0786184000, 0.0896336000, 0.1194524000, 0.2010247000, 0.4249036000", \ - "0.0722850000, 0.0738445000, 0.0778100000, 0.0889312000, 0.1190668000, 0.2008785000, 0.4248206000", \ - "0.0718295000, 0.0732238000, 0.0771736000, 0.0881723000, 0.1182779000, 0.2002234000, 0.4244880000", \ - "0.0711848000, 0.0725912000, 0.0765118000, 0.0873854000, 0.1172710000, 0.1993973000, 0.4238938000", \ - "0.0710156000, 0.0724653000, 0.0764309000, 0.0874079000, 0.1173448000, 0.1992413000, 0.4235285000", \ - "0.0701391000, 0.0715192000, 0.0753463000, 0.0858004000, 0.1175061000, 0.1994338000, 0.4239562000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000"); - values("-0.002064100, -0.003456100, -0.007309900, -0.017992200, -0.047502500, -0.129515200, -0.356010400", \ - "-0.002647800, -0.004026400, -0.007846600, -0.018449000, -0.047976600, -0.129872100, -0.356420700", \ - "-0.003471100, -0.004833300, -0.008601100, -0.019061000, -0.048440200, -0.130265800, -0.356612300", \ - "-0.004352900, -0.005654600, -0.009397200, -0.019845000, -0.049035100, -0.130568200, -0.356970700", \ - "-0.004318000, -0.005718400, -0.009493400, -0.020080200, -0.049320500, -0.130688300, -0.356844400", \ - "-0.002391200, -0.003845500, -0.007817000, -0.018645900, -0.049286200, -0.131171300, -0.357154100", \ - "0.0029354000, 0.0014294000, -0.002768600, -0.014040800, -0.044844200, -0.128155300, -0.356244200"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0013786650, 0.0038014330, 0.0104818100, 0.0289017900, 0.0796917700, 0.2197365000"); - values("0.0519886000, 0.0535976000, 0.0578817000, 0.0690903000, 0.0990387000, 0.1810936000, 0.4072389000", \ - "0.0511053000, 0.0526723000, 0.0570279000, 0.0683969000, 0.0987314000, 0.1806366000, 0.4051989000", \ - "0.0501969000, 0.0517430000, 0.0559635000, 0.0673814000, 0.0980315000, 0.1802728000, 0.4069269000", \ - "0.0496505000, 0.0511488000, 0.0552254000, 0.0664763000, 0.0969992000, 0.1794975000, 0.4065757000", \ - "0.0489505000, 0.0504705000, 0.0544899000, 0.0654526000, 0.0956693000, 0.1789110000, 0.4056357000", \ - "0.0490106000, 0.0504402000, 0.0544267000, 0.0654591000, 0.0957292000, 0.1779419000, 0.4044345000", \ - "0.0493743000, 0.0506929000, 0.0543723000, 0.0649597000, 0.0956659000, 0.1780672000, 0.4040065000"); - } - } - max_capacitance : 0.2197360000; - max_transition : 1.4976190000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.1498213000, 0.1521022000, 0.1579420000, 0.1706484000, 0.1970509000, 0.2525050000, 0.3819992000", \ - "0.1534217000, 0.1557036000, 0.1614396000, 0.1743502000, 0.2006676000, 0.2561700000, 0.3856208000", \ - "0.1647692000, 0.1671180000, 0.1728084000, 0.1857164000, 0.2121356000, 0.2676604000, 0.3971745000", \ - "0.1917592000, 0.1940444000, 0.1996949000, 0.2124735000, 0.2389546000, 0.2946570000, 0.4242198000", \ - "0.2489901000, 0.2513319000, 0.2570763000, 0.2699971000, 0.2970482000, 0.3529857000, 0.4825390000", \ - "0.3493355000, 0.3519830000, 0.3585081000, 0.3732342000, 0.4035063000, 0.4634976000, 0.5967257000", \ - "0.5210429000, 0.5242347000, 0.5321462000, 0.5498254000, 0.5856142000, 0.6531658000, 0.7920983000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.0824702000, 0.0871205000, 0.0999131000, 0.1338755000, 0.2240030000, 0.4655699000, 1.1281149000", \ - "0.0874779000, 0.0920609000, 0.1048119000, 0.1390157000, 0.2289731000, 0.4702864000, 1.1320492000", \ - "0.0981387000, 0.1029224000, 0.1155759000, 0.1494399000, 0.2399153000, 0.4819025000, 1.1451019000", \ - "0.1172658000, 0.1218137000, 0.1340927000, 0.1675947000, 0.2578120000, 0.5002633000, 1.1628895000", \ - "0.1412810000, 0.1457323000, 0.1579043000, 0.1911819000, 0.2805902000, 0.5237397000, 1.1871472000", \ - "0.1650427000, 0.1694110000, 0.1813255000, 0.2141437000, 0.3030956000, 0.5453783000, 1.2113351000", \ - "0.1650627000, 0.1701666000, 0.1825782000, 0.2148724000, 0.3027608000, 0.5447091000, 1.2088036000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.0258631000, 0.0272958000, 0.0313648000, 0.0411783000, 0.0647598000, 0.1230203000, 0.2829630000", \ - "0.0256963000, 0.0271844000, 0.0315704000, 0.0411118000, 0.0647809000, 0.1230484000, 0.2835780000", \ - "0.0258039000, 0.0273211000, 0.0312231000, 0.0410294000, 0.0645500000, 0.1230651000, 0.2836416000", \ - "0.0260212000, 0.0275553000, 0.0313922000, 0.0413212000, 0.0649676000, 0.1230437000, 0.2837963000", \ - "0.0275022000, 0.0292852000, 0.0327963000, 0.0426531000, 0.0658314000, 0.1234046000, 0.2838002000", \ - "0.0331190000, 0.0349436000, 0.0391283000, 0.0493380000, 0.0727733000, 0.1308584000, 0.2875061000", \ - "0.0455791000, 0.0474508000, 0.0522486000, 0.0630046000, 0.0882908000, 0.1446539000, 0.2969336000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.0545477000, 0.0604694000, 0.0769636000, 0.1211413000, 0.2415684000, 0.5726916000, 1.4837939000", \ - "0.0545630000, 0.0605890000, 0.0768845000, 0.1213245000, 0.2417088000, 0.5729234000, 1.4837653000", \ - "0.0545522000, 0.0605333000, 0.0766708000, 0.1213620000, 0.2420048000, 0.5720606000, 1.4826771000", \ - "0.0544345000, 0.0603335000, 0.0767580000, 0.1211477000, 0.2416003000, 0.5729405000, 1.4834963000", \ - "0.0544386000, 0.0602949000, 0.0761584000, 0.1210499000, 0.2410216000, 0.5727535000, 1.4836791000", \ - "0.0571354000, 0.0624336000, 0.0778807000, 0.1210058000, 0.2418170000, 0.5696867000, 1.4809503000", \ - "0.0658208000, 0.0709332000, 0.0845831000, 0.1240170000, 0.2422272000, 0.5753099000, 1.4770219000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.0436636000, 0.0453758000, 0.0500607000, 0.0622142000, 0.0935156000, 0.1764752000, 0.4019771000", \ - "0.0474098000, 0.0492156000, 0.0538442000, 0.0660680000, 0.0973631000, 0.1804029000, 0.4067191000", \ - "0.0558684000, 0.0576227000, 0.0623161000, 0.0745588000, 0.1060547000, 0.1891348000, 0.4148759000", \ - "0.0716920000, 0.0738656000, 0.0795040000, 0.0928811000, 0.1253473000, 0.2089834000, 0.4350223000", \ - "0.0934183000, 0.0964121000, 0.1038103000, 0.1220940000, 0.1633954000, 0.2538585000, 0.4806054000", \ - "0.1102166000, 0.1148162000, 0.1264197000, 0.1548898000, 0.2165235000, 0.3371814000, 0.5852526000", \ - "0.0965449000, 0.1035638000, 0.1216761000, 0.1659232000, 0.2629996000, 0.4488046000, 0.7811597000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.1152663000, 0.1197115000, 0.1313149000, 0.1631730000, 0.2484311000, 0.4815269000, 1.1207800000", \ - "0.1186809000, 0.1230035000, 0.1350982000, 0.1670509000, 0.2528935000, 0.4858588000, 1.1268465000", \ - "0.1299064000, 0.1346329000, 0.1461559000, 0.1787279000, 0.2649009000, 0.4987368000, 1.1391225000", \ - "0.1576167000, 0.1618373000, 0.1735779000, 0.2056609000, 0.2920834000, 0.5261697000, 1.1680041000", \ - "0.2135563000, 0.2185918000, 0.2316387000, 0.2650153000, 0.3510895000, 0.5853523000, 1.2264606000", \ - "0.3105179000, 0.3172884000, 0.3343643000, 0.3780825000, 0.4819104000, 0.7215010000, 1.3631631000", \ - "0.4673313000, 0.4784170000, 0.5059216000, 0.5718557000, 0.7176837000, 1.0207096000, 1.6803030000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.0306255000, 0.0326024000, 0.0378873000, 0.0527912000, 0.0940997000, 0.2090309000, 0.5265505000", \ - "0.0305594000, 0.0324557000, 0.0378828000, 0.0527709000, 0.0940672000, 0.2090063000, 0.5275455000", \ - "0.0306991000, 0.0326035000, 0.0377471000, 0.0525482000, 0.0939461000, 0.2089878000, 0.5271354000", \ - "0.0372694000, 0.0391281000, 0.0442891000, 0.0573073000, 0.0956952000, 0.2088595000, 0.5270412000", \ - "0.0547171000, 0.0568965000, 0.0624743000, 0.0775606000, 0.1147396000, 0.2168991000, 0.5271663000", \ - "0.0898452000, 0.0929725000, 0.1005826000, 0.1199536000, 0.1638825000, 0.2662955000, 0.5465849000", \ - "0.1543681000, 0.1592050000, 0.1713063000, 0.1991683000, 0.2610707000, 0.3866281000, 0.6630076000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.0759070000, 0.0815424000, 0.0969157000, 0.1392374000, 0.2551032000, 0.5748853000, 1.4477172000", \ - "0.0758997000, 0.0814693000, 0.0970385000, 0.1394350000, 0.2552114000, 0.5728129000, 1.4494465000", \ - "0.0759521000, 0.0815833000, 0.0969367000, 0.1392081000, 0.2553012000, 0.5729696000, 1.4523986000", \ - "0.0763891000, 0.0818078000, 0.0972177000, 0.1394148000, 0.2551341000, 0.5731693000, 1.4486934000", \ - "0.0891667000, 0.0940859000, 0.1075588000, 0.1461725000, 0.2565225000, 0.5730347000, 1.4510028000", \ - "0.1258456000, 0.1314789000, 0.1469706000, 0.1871109000, 0.2883875000, 0.5812781000, 1.4505315000", \ - "0.2082668000, 0.2155849000, 0.2345399000, 0.2825965000, 0.3955398000, 0.6695067000, 1.4652712000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.1234675000, 0.1257397000, 0.1314267000, 0.1441660000, 0.1704285000, 0.2255801000, 0.3551103000", \ - "0.1257263000, 0.1280011000, 0.1336729000, 0.1464812000, 0.1726047000, 0.2281072000, 0.3576422000", \ - "0.1357807000, 0.1380280000, 0.1436779000, 0.1561082000, 0.1826469000, 0.2380935000, 0.3677224000", \ - "0.1633535000, 0.1655912000, 0.1712241000, 0.1839759000, 0.2104805000, 0.2661422000, 0.3956312000", \ - "0.2281192000, 0.2304337000, 0.2361473000, 0.2490715000, 0.2760102000, 0.3319250000, 0.4617683000", \ - "0.3382702000, 0.3410712000, 0.3480520000, 0.3630874000, 0.3926597000, 0.4516459000, 0.5842897000", \ - "0.5182355000, 0.5217422000, 0.5303887000, 0.5492988000, 0.5854496000, 0.6497775000, 0.7852521000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.1048461000, 0.1090026000, 0.1202696000, 0.1508533000, 0.2326286000, 0.4605297000, 1.0983631000", \ - "0.1089455000, 0.1132897000, 0.1248770000, 0.1553579000, 0.2377169000, 0.4659305000, 1.1020401000", \ - "0.1158189000, 0.1200469000, 0.1314675000, 0.1626685000, 0.2467387000, 0.4762303000, 1.1137002000", \ - "0.1271718000, 0.1314447000, 0.1432418000, 0.1750644000, 0.2602975000, 0.4919463000, 1.1289183000", \ - "0.1421417000, 0.1466329000, 0.1587245000, 0.1908896000, 0.2762768000, 0.5097093000, 1.1477884000", \ - "0.1528475000, 0.1572159000, 0.1692436000, 0.2012987000, 0.2877989000, 0.5225921000, 1.1631662000", \ - "0.1370997000, 0.1420376000, 0.1546755000, 0.1862847000, 0.2713418000, 0.5062086000, 1.1492168000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.0258575000, 0.0273784000, 0.0314467000, 0.0412008000, 0.0649258000, 0.1233208000, 0.2832658000", \ - "0.0258729000, 0.0274055000, 0.0312688000, 0.0410916000, 0.0651922000, 0.1232537000, 0.2836712000", \ - "0.0259981000, 0.0276253000, 0.0314951000, 0.0412600000, 0.0650397000, 0.1233299000, 0.2833123000", \ - "0.0259508000, 0.0274180000, 0.0316281000, 0.0412997000, 0.0649301000, 0.1232317000, 0.2834941000", \ - "0.0284681000, 0.0300106000, 0.0338473000, 0.0433398000, 0.0664746000, 0.1241942000, 0.2833802000", \ - "0.0388366000, 0.0399122000, 0.0435851000, 0.0523870000, 0.0742222000, 0.1301786000, 0.2887548000", \ - "0.0540890000, 0.0561388000, 0.0601321000, 0.0708778000, 0.0922304000, 0.1432362000, 0.2948055000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.0752745000, 0.0809621000, 0.0964575000, 0.1392060000, 0.2550944000, 0.5730705000, 1.4496204000", \ - "0.0752050000, 0.0809003000, 0.0964027000, 0.1392268000, 0.2552087000, 0.5730071000, 1.4516470000", \ - "0.0749725000, 0.0807146000, 0.0962014000, 0.1390923000, 0.2551570000, 0.5730953000, 1.4500535000", \ - "0.0730962000, 0.0790643000, 0.0953033000, 0.1388982000, 0.2553379000, 0.5732365000, 1.4477179000", \ - "0.0634505000, 0.0694468000, 0.0857219000, 0.1310455000, 0.2496870000, 0.5735373000, 1.4495534000", \ - "0.0600312000, 0.0657071000, 0.0809499000, 0.1233164000, 0.2413889000, 0.5642955000, 1.4474065000", \ - "0.0678604000, 0.0728150000, 0.0866056000, 0.1252394000, 0.2386312000, 0.5594238000, 1.4371977000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.0335696000, 0.0353220000, 0.0400256000, 0.0521365000, 0.0835456000, 0.1666051000, 0.3921810000", \ - "0.0372863000, 0.0390635000, 0.0437525000, 0.0559946000, 0.0874337000, 0.1704923000, 0.3960374000", \ - "0.0471907000, 0.0488378000, 0.0533741000, 0.0655429000, 0.0970316000, 0.1802304000, 0.4057602000", \ - "0.0654116000, 0.0676545000, 0.0737674000, 0.0881423000, 0.1203320000, 0.2030385000, 0.4290612000", \ - "0.0853982000, 0.0889033000, 0.0976499000, 0.1192638000, 0.1662422000, 0.2579159000, 0.4832924000", \ - "0.0984199000, 0.1037521000, 0.1173286000, 0.1492809000, 0.2212170000, 0.3586011000, 0.6101371000", \ - "0.0782870000, 0.0860682000, 0.1062972000, 0.1560700000, 0.2647008000, 0.4752755000, 0.8508662000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.0850781000, 0.0899409000, 0.1027952000, 0.1360149000, 0.2242358000, 0.4678875000, 1.1311460000", \ - "0.0886134000, 0.0933029000, 0.1062009000, 0.1398852000, 0.2295681000, 0.4708945000, 1.1332277000", \ - "0.0999498000, 0.1045024000, 0.1171481000, 0.1511322000, 0.2411198000, 0.4838029000, 1.1488448000", \ - "0.1271868000, 0.1316210000, 0.1438976000, 0.1771318000, 0.2671072000, 0.5104442000, 1.1746953000", \ - "0.1760873000, 0.1820284000, 0.1968326000, 0.2342847000, 0.3243756000, 0.5695979000, 1.2362262000", \ - "0.2554318000, 0.2639361000, 0.2866622000, 0.3366414000, 0.4498133000, 0.7008774000, 1.3679664000", \ - "0.3808998000, 0.3945671000, 0.4288706000, 0.5088539000, 0.6710317000, 0.9935092000, 1.6783878000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.0309668000, 0.0327628000, 0.0380972000, 0.0529457000, 0.0941547000, 0.2089584000, 0.5270855000", \ - "0.0302730000, 0.0322728000, 0.0377722000, 0.0527265000, 0.0940481000, 0.2088934000, 0.5268767000", \ - "0.0309543000, 0.0327341000, 0.0378132000, 0.0522565000, 0.0938198000, 0.2088570000, 0.5272688000", \ - "0.0413029000, 0.0433314000, 0.0487315000, 0.0615735000, 0.0973744000, 0.2087784000, 0.5270357000", \ - "0.0626560000, 0.0653913000, 0.0731504000, 0.0897430000, 0.1288506000, 0.2224151000, 0.5268013000", \ - "0.1012147000, 0.1055305000, 0.1163309000, 0.1414359000, 0.1938118000, 0.2997774000, 0.5583131000", \ - "0.1664303000, 0.1732680000, 0.1904969000, 0.2303589000, 0.3080212000, 0.4561075000, 0.7360311000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0013786600, 0.0038014300, 0.0104818000, 0.0289018000, 0.0796918000, 0.2197360000"); - values("0.0556082000, 0.0614255000, 0.0774347000, 0.1211863000, 0.2412494000, 0.5733722000, 1.4860994000", \ - "0.0554051000, 0.0614012000, 0.0774506000, 0.1207148000, 0.2412002000, 0.5710328000, 1.4839330000", \ - "0.0557944000, 0.0615850000, 0.0772878000, 0.1212796000, 0.2414769000, 0.5705666000, 1.4904959000", \ - "0.0571563000, 0.0627295000, 0.0781564000, 0.1216595000, 0.2415536000, 0.5706252000, 1.4912858000", \ - "0.0738758000, 0.0792944000, 0.0933395000, 0.1314809000, 0.2439562000, 0.5744571000, 1.4866004000", \ - "0.1113906000, 0.1170693000, 0.1336293000, 0.1749030000, 0.2802974000, 0.5800641000, 1.4839238000", \ - "0.1937913000, 0.2011665000, 0.2219989000, 0.2710132000, 0.3889577000, 0.6707701000, 1.4976191000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__xor3_1") { - leakage_power () { - value : 0.0095998000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0116656000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0237432000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0185233000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0119131000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0066932000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0187710000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0208368000; - when : "A&B&!C"; - } - area : 23.772800000; - cell_footprint : "sky130_fd_sc_hd__xor3"; - cell_leakage_power : 0.0152182600; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024410000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022990000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025840000; - } - pin ("B") { - capacitance : 0.0052800000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0051240000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0054350000; - } - pin ("C") { - capacitance : 0.0034850000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033700000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0036000000; - } - pin ("X") { - direction : "output"; - function : "(A&!B&!C) | (!A&B&!C) | (!A&!B&C) | (A&B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0392789000, 0.0382701000, 0.0358285000, 0.0288948000, 0.0084779000, -0.048531500, -0.198555700", \ - "0.0391785000, 0.0381702000, 0.0357130000, 0.0287860000, 0.0083681000, -0.048635200, -0.198656200", \ - "0.0390086000, 0.0379992000, 0.0355476000, 0.0286178000, 0.0081991000, -0.048803000, -0.198825700", \ - "0.0387158000, 0.0376886000, 0.0352324000, 0.0283643000, 0.0078868000, -0.049102600, -0.199123600", \ - "0.0385553000, 0.0375318000, 0.0350733000, 0.0281963000, 0.0077269000, -0.049269000, -0.199292800", \ - "0.0385821000, 0.0375521000, 0.0351043000, 0.0281548000, 0.0077477000, -0.049256300, -0.199275600", \ - "0.0447465000, 0.0433977000, 0.0400026000, 0.0314111000, 0.0091734000, -0.048540100, -0.198537800"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0492808000, 0.0508091000, 0.0546855000, 0.0637889000, 0.0861527000, 0.1436975000, 0.2927508000", \ - "0.0491015000, 0.0506028000, 0.0545072000, 0.0636098000, 0.0859679000, 0.1435268000, 0.2925472000", \ - "0.0488362000, 0.0503500000, 0.0542636000, 0.0633410000, 0.0857320000, 0.1437882000, 0.2922279000", \ - "0.0486415000, 0.0501552000, 0.0540658000, 0.0631481000, 0.0855090000, 0.1430601000, 0.2921352000", \ - "0.0484191000, 0.0499461000, 0.0538105000, 0.0629016000, 0.0853099000, 0.1427562000, 0.2919419000", \ - "0.0487052000, 0.0502654000, 0.0541627000, 0.0632769000, 0.0856398000, 0.1431769000, 0.2921748000", \ - "0.0515830000, 0.0529069000, 0.0563315000, 0.0649841000, 0.0879357000, 0.1450084000, 0.2942690000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0247445000, 0.0237315000, 0.0213186000, 0.0143732000, -0.006055500, -0.063116800, -0.213140300", \ - "0.0243409000, 0.0233481000, 0.0209082000, 0.0139968000, -0.006438000, -0.063507200, -0.213530800", \ - "0.0238637000, 0.0228525000, 0.0204490000, 0.0135003000, -0.006921800, -0.063979900, -0.214009600", \ - "0.0233275000, 0.0223336000, 0.0199392000, 0.0130327000, -0.007417500, -0.064490700, -0.214512200", \ - "0.0235293000, 0.0225567000, 0.0201369000, 0.0131850000, -0.007267700, -0.064322000, -0.214329300", \ - "0.0256859000, 0.0243164000, 0.0215920000, 0.0145444000, -0.006004300, -0.063058100, -0.213055600", \ - "0.0351985000, 0.0338654000, 0.0305137000, 0.0219453000, -0.000242800, -0.058385100, -0.208403300"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0454857000, 0.0470140000, 0.0508919000, 0.0599808000, 0.0823127000, 0.1398432000, 0.2888106000", \ - "0.0450563000, 0.0465844000, 0.0504582000, 0.0595509000, 0.0818885000, 0.1394214000, 0.2884697000", \ - "0.0446270000, 0.0461354000, 0.0500222000, 0.0590947000, 0.0814318000, 0.1389459000, 0.2880930000", \ - "0.0443431000, 0.0458681000, 0.0497411000, 0.0588171000, 0.0811506000, 0.1386885000, 0.2877619000", \ - "0.0444455000, 0.0459623000, 0.0498546000, 0.0589249000, 0.0812844000, 0.1387560000, 0.2881574000", \ - "0.0459193000, 0.0476681000, 0.0518527000, 0.0602266000, 0.0825701000, 0.1400887000, 0.2889572000", \ - "0.0500262000, 0.0513410000, 0.0547798000, 0.0635765000, 0.0862603000, 0.1440582000, 0.2923885000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0100974000, 0.0091266000, 0.0068097000, 3.5712722e-05, -0.020311900, -0.077353800, -0.227406400", \ - "0.0099920000, 0.0090247000, 0.0067031000, -8.827233e-05, -0.020433800, -0.077458800, -0.227484100", \ - "0.0098203000, 0.0088623000, 0.0065357000, -0.000256500, -0.020610200, -0.077614400, -0.227631900", \ - "0.0096854000, 0.0086948000, 0.0064138000, -0.000355100, -0.020762500, -0.077720800, -0.227711800", \ - "0.0099452000, 0.0090418000, 0.0066983000, -0.000168900, -0.020580700, -0.077527300, -0.227464500", \ - "0.0142562000, 0.0129809000, 0.0097091000, 0.0011226000, -0.019724300, -0.076752200, -0.226727200", \ - "0.0190153000, 0.0178816000, 0.0147097000, 0.0061807000, -0.016109800, -0.074115100, -0.224430300"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0012952060, 0.0033551190, 0.0086911440, 0.0225136500, 0.0583196500, 0.1510720000"); - values("0.0254934000, 0.0269316000, 0.0306161000, 0.0395283000, 0.0618932000, 0.1196185000, 0.2674525000", \ - "0.0252534000, 0.0267002000, 0.0303489000, 0.0392732000, 0.0616480000, 0.1192758000, 0.2686896000", \ - "0.0251063000, 0.0265285000, 0.0302108000, 0.0391443000, 0.0614938000, 0.1192046000, 0.2683147000", \ - "0.0251774000, 0.0266105000, 0.0302797000, 0.0392134000, 0.0615949000, 0.1191432000, 0.2683155000", \ - "0.0255795000, 0.0269782000, 0.0306721000, 0.0396025000, 0.0619702000, 0.1196150000, 0.2675263000", \ - "0.0270048000, 0.0282863000, 0.0320316000, 0.0410957000, 0.0632836000, 0.1209844000, 0.2685984000", \ - "0.0293137000, 0.0305838000, 0.0340058000, 0.0427982000, 0.0650712000, 0.1227911000, 0.2713906000"); - } - } - max_capacitance : 0.1510720000; - max_transition : 1.4974980000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.3057679000, 0.3178683000, 0.3413997000, 0.3834241000, 0.4585897000, 0.6046020000, 0.9281851000", \ - "0.3110815000, 0.3231713000, 0.3467546000, 0.3887242000, 0.4638819000, 0.6098630000, 0.9334272000", \ - "0.3237826000, 0.3358175000, 0.3594261000, 0.4013871000, 0.4766071000, 0.6225725000, 0.9462797000", \ - "0.3551027000, 0.3672445000, 0.3907919000, 0.4327401000, 0.5079523000, 0.6539829000, 0.9773898000", \ - "0.4295820000, 0.4415989000, 0.4651082000, 0.5071480000, 0.5823090000, 0.7283273000, 1.0521032000", \ - "0.5873682000, 0.5999541000, 0.6240415000, 0.6666855000, 0.7422647000, 0.8886473000, 1.2125188000", \ - "0.8613682000, 0.8766047000, 0.9050029000, 0.9526648000, 1.0337913000, 1.1856333000, 1.5123156000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.1755266000, 0.1851445000, 0.2061075000, 0.2508902000, 0.3516971000, 0.5992453000, 1.2385453000", \ - "0.1801906000, 0.1898390000, 0.2108223000, 0.2555154000, 0.3565366000, 0.6044213000, 1.2427206000", \ - "0.1912442000, 0.2008081000, 0.2218022000, 0.2664833000, 0.3675122000, 0.6154228000, 1.2543623000", \ - "0.2158538000, 0.2254659000, 0.2464770000, 0.2911610000, 0.3921607000, 0.6401014000, 1.2791060000", \ - "0.2646865000, 0.2743606000, 0.2953628000, 0.3401060000, 0.4408032000, 0.6884859000, 1.3276296000", \ - "0.3419942000, 0.3525545000, 0.3750393000, 0.4216314000, 0.5239033000, 0.7720690000, 1.4145132000", \ - "0.4385661000, 0.4515362000, 0.4781792000, 0.5304778000, 0.6368432000, 0.8860390000, 1.5233241000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0627180000, 0.0665185000, 0.0766205000, 0.1011350000, 0.1630815000, 0.3121836000, 0.7047147000", \ - "0.0623170000, 0.0666369000, 0.0768163000, 0.1014161000, 0.1630112000, 0.3104167000, 0.7046075000", \ - "0.0622696000, 0.0667396000, 0.0766708000, 0.1013953000, 0.1626791000, 0.3121440000, 0.7036173000", \ - "0.0624076000, 0.0671466000, 0.0770705000, 0.1025512000, 0.1619944000, 0.3109779000, 0.7039230000", \ - "0.0624291000, 0.0669007000, 0.0769119000, 0.1018671000, 0.1628519000, 0.3121412000, 0.7030468000", \ - "0.0696637000, 0.0729728000, 0.0812913000, 0.1045175000, 0.1642875000, 0.3114101000, 0.7059529000", \ - "0.1022027000, 0.1013144000, 0.1035813000, 0.1206429000, 0.1776169000, 0.3191836000, 0.7087503000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0344760000, 0.0429099000, 0.0633319000, 0.1129249000, 0.2414962000, 0.5854137000, 1.4929676000", \ - "0.0344461000, 0.0430827000, 0.0633891000, 0.1128933000, 0.2410656000, 0.5840727000, 1.4974982000", \ - "0.0344341000, 0.0430335000, 0.0633981000, 0.1129505000, 0.2412919000, 0.5847007000, 1.4942148000", \ - "0.0344106000, 0.0431348000, 0.0633733000, 0.1129459000, 0.2413168000, 0.5846528000, 1.4935894000", \ - "0.0349703000, 0.0434508000, 0.0638307000, 0.1130668000, 0.2416542000, 0.5854089000, 1.4874894000", \ - "0.0389449000, 0.0480105000, 0.0683839000, 0.1175476000, 0.2436889000, 0.5841622000, 1.4928193000", \ - "0.0497092000, 0.0598502000, 0.0810337000, 0.1289757000, 0.2502900000, 0.5867082000, 1.4879659000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.3428200000, 0.3544492000, 0.3777112000, 0.4195088000, 0.4956295000, 0.6430128000, 0.9677735000", \ - "0.3474707000, 0.3591759000, 0.3822762000, 0.4241962000, 0.5001464000, 0.6476826000, 0.9725976000", \ - "0.3587518000, 0.3704412000, 0.3935329000, 0.4354508000, 0.5114076000, 0.6589334000, 0.9838363000", \ - "0.3849006000, 0.3966337000, 0.4197506000, 0.4616838000, 0.5376219000, 0.6851716000, 1.0101281000", \ - "0.4322123000, 0.4439073000, 0.4669980000, 0.5089121000, 0.5848771000, 0.7324262000, 1.0573639000", \ - "0.4991447000, 0.5108344000, 0.5339968000, 0.5756831000, 0.6518595000, 0.7993975000, 1.1240222000", \ - "0.5742435000, 0.5858989000, 0.6092597000, 0.6510391000, 0.7272609000, 0.8748106000, 1.1994867000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.3193405000, 0.3298359000, 0.3519925000, 0.3984070000, 0.5000321000, 0.7483795000, 1.3867172000", \ - "0.3245869000, 0.3350615000, 0.3572194000, 0.4036266000, 0.5052373000, 0.7535405000, 1.3916140000", \ - "0.3369765000, 0.3474592000, 0.3696642000, 0.4160857000, 0.5177229000, 0.7661096000, 1.4044674000", \ - "0.3687007000, 0.3791940000, 0.4013571000, 0.4477726000, 0.5494012000, 0.7977540000, 1.4362609000", \ - "0.4429414000, 0.4533453000, 0.4756991000, 0.5220137000, 0.6237290000, 0.8719802000, 1.5082193000", \ - "0.5867801000, 0.5972132000, 0.6195660000, 0.6661043000, 0.7677738000, 1.0160733000, 1.6541497000", \ - "0.8225522000, 0.8332419000, 0.8559071000, 0.9027914000, 1.0049381000, 1.2525297000, 1.8893072000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0571112000, 0.0620982000, 0.0741339000, 0.1009553000, 0.1645605000, 0.3146445000, 0.7078798000", \ - "0.0570047000, 0.0624773000, 0.0747112000, 0.1010293000, 0.1645879000, 0.3139524000, 0.7085484000", \ - "0.0570537000, 0.0625179000, 0.0744141000, 0.1010165000, 0.1645983000, 0.3139100000, 0.7085570000", \ - "0.0568306000, 0.0623817000, 0.0744675000, 0.1022908000, 0.1643805000, 0.3141588000, 0.7084127000", \ - "0.0570298000, 0.0624861000, 0.0747023000, 0.1022157000, 0.1646021000, 0.3139849000, 0.7084798000", \ - "0.0568410000, 0.0628032000, 0.0743107000, 0.1016304000, 0.1645021000, 0.3145758000, 0.7066892000", \ - "0.0576255000, 0.0625432000, 0.0746147000, 0.1014493000, 0.1647418000, 0.3147577000, 0.7045357000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0379578000, 0.0469316000, 0.0672892000, 0.1163807000, 0.2432284000, 0.5872300000, 1.4913943000", \ - "0.0379966000, 0.0469144000, 0.0673590000, 0.1163590000, 0.2432471000, 0.5872333000, 1.4924113000", \ - "0.0379562000, 0.0469067000, 0.0672577000, 0.1163805000, 0.2431551000, 0.5870532000, 1.4906230000", \ - "0.0379469000, 0.0469295000, 0.0672706000, 0.1163840000, 0.2432202000, 0.5872272000, 1.4907759000", \ - "0.0381652000, 0.0466794000, 0.0672808000, 0.1162416000, 0.2427506000, 0.5870620000, 1.4921991000", \ - "0.0382935000, 0.0471965000, 0.0676916000, 0.1166218000, 0.2433952000, 0.5871246000, 1.4927972000", \ - "0.0391175000, 0.0479077000, 0.0686214000, 0.1175366000, 0.2437483000, 0.5852495000, 1.4911553000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.2961416000, 0.3079659000, 0.3310242000, 0.3729113000, 0.4487566000, 0.5962566000, 0.9212906000", \ - "0.2995722000, 0.3114977000, 0.3344733000, 0.3764365000, 0.4521201000, 0.5997813000, 0.9247909000", \ - "0.3105849000, 0.3224245000, 0.3454733000, 0.3873747000, 0.4632171000, 0.6107352000, 0.9357646000", \ - "0.3391908000, 0.3508716000, 0.3740498000, 0.4158520000, 0.4917325000, 0.6392826000, 0.9643023000", \ - "0.4029503000, 0.4147971000, 0.4378120000, 0.4796748000, 0.5554725000, 0.7030313000, 1.0279408000", \ - "0.5363162000, 0.5482944000, 0.5724985000, 0.6153051000, 0.6921832000, 0.8401738000, 1.1653120000", \ - "0.7476280000, 0.7651714000, 0.7973606000, 0.8507317000, 0.9389544000, 1.0980248000, 1.4291228000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.1530055000, 0.1624951000, 0.1833436000, 0.2278063000, 0.3278288000, 0.5747390000, 1.2134177000", \ - "0.1560866000, 0.1655708000, 0.1864196000, 0.2308829000, 0.3309112000, 0.5778332000, 1.2165360000", \ - "0.1654830000, 0.1750477000, 0.1958311000, 0.2401776000, 0.3403085000, 0.5875803000, 1.2255837000", \ - "0.1897840000, 0.1993103000, 0.2200389000, 0.2643283000, 0.3642622000, 0.6115212000, 1.2485524000", \ - "0.2396681000, 0.2493830000, 0.2704130000, 0.3147901000, 0.4147151000, 0.6620943000, 1.2987962000", \ - "0.3131335000, 0.3241277000, 0.3469920000, 0.3933122000, 0.4943880000, 0.7426101000, 1.3790126000", \ - "0.3948357000, 0.4090497000, 0.4373267000, 0.4892813000, 0.5931471000, 0.8419286000, 1.4789723000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0568018000, 0.0623072000, 0.0741014000, 0.1019314000, 0.1648958000, 0.3156216000, 0.7072376000", \ - "0.0567841000, 0.0622965000, 0.0739272000, 0.1014668000, 0.1646692000, 0.3158467000, 0.7071762000", \ - "0.0567963000, 0.0623110000, 0.0740860000, 0.1018645000, 0.1648913000, 0.3156472000, 0.7071234000", \ - "0.0565506000, 0.0622937000, 0.0742477000, 0.1022812000, 0.1648601000, 0.3153915000, 0.7075718000", \ - "0.0568241000, 0.0620672000, 0.0739444000, 0.1010484000, 0.1639650000, 0.3151621000, 0.7072304000", \ - "0.0633300000, 0.0693743000, 0.0797936000, 0.1054903000, 0.1665534000, 0.3143699000, 0.7074497000", \ - "0.1012038000, 0.1045571000, 0.1133657000, 0.1341297000, 0.1927532000, 0.3324829000, 0.7153099000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0342382000, 0.0427790000, 0.0627476000, 0.1120656000, 0.2406189000, 0.5854184000, 1.4948764000", \ - "0.0342331000, 0.0427734000, 0.0627423000, 0.1120668000, 0.2406133000, 0.5854168000, 1.4952828000", \ - "0.0341741000, 0.0427673000, 0.0628353000, 0.1120505000, 0.2401863000, 0.5842081000, 1.4880692000", \ - "0.0341934000, 0.0427586000, 0.0626451000, 0.1118905000, 0.2405330000, 0.5840423000, 1.4869174000", \ - "0.0353349000, 0.0438679000, 0.0638940000, 0.1126250000, 0.2407289000, 0.5844608000, 1.4877876000", \ - "0.0418570000, 0.0501470000, 0.0699513000, 0.1172038000, 0.2438746000, 0.5852488000, 1.4925677000", \ - "0.0566770000, 0.0661731000, 0.0853638000, 0.1292280000, 0.2482440000, 0.5885958000, 1.4881796000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.2488030000, 0.2608279000, 0.2839922000, 0.3259173000, 0.4017805000, 0.5485729000, 0.8723823000", \ - "0.2521842000, 0.2642151000, 0.2873471000, 0.3293552000, 0.4051511000, 0.5519396000, 0.8758180000", \ - "0.2604116000, 0.2724336000, 0.2956333000, 0.3375443000, 0.4134216000, 0.5601939000, 0.8839554000", \ - "0.2801757000, 0.2921325000, 0.3153661000, 0.3573357000, 0.4331333000, 0.5799021000, 0.9036929000", \ - "0.3355563000, 0.3473472000, 0.3704224000, 0.4123227000, 0.4877097000, 0.6346835000, 0.9588493000", \ - "0.4039637000, 0.4155538000, 0.4384914000, 0.4799701000, 0.5549383000, 0.7013429000, 1.0252870000", \ - "0.4605084000, 0.4720044000, 0.4949708000, 0.5367126000, 0.6121790000, 0.7588465000, 1.0820141000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.2282485000, 0.2387457000, 0.2610687000, 0.3072907000, 0.4087360000, 0.6568295000, 1.2947519000", \ - "0.2316804000, 0.2422583000, 0.2644288000, 0.3107891000, 0.4122577000, 0.6604209000, 1.2988038000", \ - "0.2433094000, 0.2537974000, 0.2759412000, 0.3222889000, 0.4237674000, 0.6720318000, 1.3111872000", \ - "0.2728597000, 0.2834233000, 0.3056928000, 0.3518779000, 0.4533182000, 0.7014204000, 1.3399926000", \ - "0.3329261000, 0.3434041000, 0.3655903000, 0.4119711000, 0.5134957000, 0.7617807000, 1.4011369000", \ - "0.4200154000, 0.4303761000, 0.4527191000, 0.4986375000, 0.5997317000, 0.8482842000, 1.4875079000", \ - "0.5475292000, 0.5580947000, 0.5804249000, 0.6270802000, 0.7289909000, 0.9768873000, 1.6130041000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0579294000, 0.0629276000, 0.0743812000, 0.1008192000, 0.1633358000, 0.3125794000, 0.7047277000", \ - "0.0577110000, 0.0629659000, 0.0743411000, 0.1008251000, 0.1632529000, 0.3123113000, 0.7050452000", \ - "0.0579043000, 0.0628976000, 0.0743385000, 0.1007716000, 0.1633941000, 0.3127393000, 0.7044652000", \ - "0.0577930000, 0.0629827000, 0.0741732000, 0.1007549000, 0.1633250000, 0.3126231000, 0.7046330000", \ - "0.0562252000, 0.0615224000, 0.0734908000, 0.1004808000, 0.1636518000, 0.3138524000, 0.7039603000", \ - "0.0554224000, 0.0613489000, 0.0732770000, 0.1007736000, 0.1616167000, 0.3110105000, 0.7049954000", \ - "0.0562302000, 0.0614631000, 0.0737260000, 0.1002300000, 0.1618316000, 0.3111968000, 0.7013390000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0380233000, 0.0469801000, 0.0675005000, 0.1163495000, 0.2431326000, 0.5864063000, 1.4937538000", \ - "0.0379629000, 0.0469344000, 0.0674055000, 0.1163519000, 0.2432149000, 0.5867515000, 1.4926805000", \ - "0.0379315000, 0.0468873000, 0.0672150000, 0.1163203000, 0.2432153000, 0.5871768000, 1.4898327000", \ - "0.0378987000, 0.0468652000, 0.0673534000, 0.1162660000, 0.2431088000, 0.5866104000, 1.4923002000", \ - "0.0379182000, 0.0469415000, 0.0672297000, 0.1163723000, 0.2431791000, 0.5871684000, 1.4890197000", \ - "0.0381397000, 0.0471329000, 0.0675217000, 0.1158863000, 0.2425625000, 0.5863877000, 1.4888408000", \ - "0.0384341000, 0.0474058000, 0.0678115000, 0.1171269000, 0.2437093000, 0.5835356000, 1.4848072000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.1404455000, 0.1500344000, 0.1698101000, 0.2076905000, 0.2799137000, 0.4247528000, 0.7481976000", \ - "0.1435074000, 0.1530893000, 0.1727508000, 0.2106806000, 0.2825916000, 0.4277086000, 0.7507462000", \ - "0.1529912000, 0.1624233000, 0.1818863000, 0.2196106000, 0.2914485000, 0.4364800000, 0.7597054000", \ - "0.1792235000, 0.1884131000, 0.2075261000, 0.2447311000, 0.3165095000, 0.4611234000, 0.7844418000", \ - "0.2448485000, 0.2535727000, 0.2719411000, 0.3080611000, 0.3789861000, 0.5232306000, 0.8463719000", \ - "0.3576012000, 0.3683649000, 0.3898203000, 0.4287335000, 0.5005994000, 0.6465501000, 0.9701164000", \ - "0.5285921000, 0.5420871000, 0.5691173000, 0.6171144000, 0.6968107000, 0.8444810000, 1.1731388000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0955482000, 0.1056517000, 0.1273565000, 0.1724812000, 0.2723531000, 0.5188929000, 1.1555003000", \ - "0.0995208000, 0.1096383000, 0.1312892000, 0.1764405000, 0.2762596000, 0.5222456000, 1.1639990000", \ - "0.1092907000, 0.1192920000, 0.1409534000, 0.1862103000, 0.2862102000, 0.5330202000, 1.1682405000", \ - "0.1328636000, 0.1427270000, 0.1641088000, 0.2093004000, 0.3093758000, 0.5561460000, 1.1956890000", \ - "0.1720452000, 0.1817733000, 0.2032646000, 0.2492782000, 0.3504191000, 0.5972887000, 1.2366550000", \ - "0.2199428000, 0.2312247000, 0.2541434000, 0.3001406000, 0.4021521000, 0.6516729000, 1.2906812000", \ - "0.2581925000, 0.2729234000, 0.3018356000, 0.3531901000, 0.4564079000, 0.7051449000, 1.3438739000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0386950000, 0.0454769000, 0.0601141000, 0.0925714000, 0.1591800000, 0.3109836000, 0.7039429000", \ - "0.0382461000, 0.0449562000, 0.0605109000, 0.0911487000, 0.1584196000, 0.3104837000, 0.7047394000", \ - "0.0376771000, 0.0443330000, 0.0598275000, 0.0905264000, 0.1581607000, 0.3101300000, 0.7062069000", \ - "0.0362192000, 0.0431411000, 0.0580856000, 0.0896754000, 0.1578994000, 0.3096003000, 0.7044275000", \ - "0.0362533000, 0.0423673000, 0.0563843000, 0.0877428000, 0.1554530000, 0.3092564000, 0.7055317000", \ - "0.0481338000, 0.0547766000, 0.0676950000, 0.0956431000, 0.1581871000, 0.3120555000, 0.7047942000", \ - "0.0647430000, 0.0737340000, 0.0898304000, 0.1175254000, 0.1742220000, 0.3197874000, 0.7117947000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0360238000, 0.0449634000, 0.0656694000, 0.1153598000, 0.2424637000, 0.5869570000, 1.4897440000", \ - "0.0359055000, 0.0449900000, 0.0655753000, 0.1152947000, 0.2428566000, 0.5861762000, 1.4966747000", \ - "0.0358798000, 0.0447349000, 0.0655112000, 0.1151852000, 0.2426805000, 0.5871278000, 1.4945134000", \ - "0.0353025000, 0.0441768000, 0.0652927000, 0.1147914000, 0.2420989000, 0.5857742000, 1.4899355000", \ - "0.0371268000, 0.0456800000, 0.0664086000, 0.1165666000, 0.2436401000, 0.5868864000, 1.4903225000", \ - "0.0462560000, 0.0536212000, 0.0717609000, 0.1188474000, 0.2466278000, 0.5885135000, 1.4888376000", \ - "0.0627811000, 0.0718502000, 0.0897444000, 0.1310556000, 0.2499147000, 0.5903983000, 1.4858849000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.1626431000, 0.1740302000, 0.1966777000, 0.2378877000, 0.3129865000, 0.4601871000, 0.7847718000", \ - "0.1676653000, 0.1790112000, 0.2014999000, 0.2426893000, 0.3177797000, 0.4649648000, 0.7896599000", \ - "0.1777026000, 0.1890251000, 0.2114531000, 0.2527182000, 0.3281203000, 0.4751020000, 0.7996139000", \ - "0.1986734000, 0.2098054000, 0.2318676000, 0.2726981000, 0.3477206000, 0.4947048000, 0.8194128000", \ - "0.2458216000, 0.2553871000, 0.2756486000, 0.3144183000, 0.3878795000, 0.5341839000, 0.8584714000", \ - "0.2913577000, 0.3008705000, 0.3205018000, 0.3584933000, 0.4307566000, 0.5746598000, 0.8982750000", \ - "0.3255471000, 0.3354970000, 0.3551377000, 0.3931023000, 0.4646493000, 0.6098614000, 0.9322856000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.1437035000, 0.1529706000, 0.1732284000, 0.2166893000, 0.3158357000, 0.5638111000, 1.1996923000", \ - "0.1484788000, 0.1576600000, 0.1779127000, 0.2213613000, 0.3205727000, 0.5673573000, 1.2074919000", \ - "0.1613498000, 0.1706237000, 0.1908919000, 0.2343638000, 0.3332624000, 0.5813515000, 1.2203247000", \ - "0.1927569000, 0.2019750000, 0.2222529000, 0.2657219000, 0.3648053000, 0.6119875000, 1.2509906000", \ - "0.2568588000, 0.2660450000, 0.2863104000, 0.3297792000, 0.4287800000, 0.6759632000, 1.3129220000", \ - "0.3570244000, 0.3661846000, 0.3863453000, 0.4298172000, 0.5287043000, 0.7761191000, 1.4118982000", \ - "0.5100135000, 0.5191320000, 0.5393682000, 0.5830855000, 0.6827090000, 0.9299275000, 1.5659746000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0508987000, 0.0568404000, 0.0701938000, 0.0978405000, 0.1622895000, 0.3131679000, 0.7066245000", \ - "0.0507457000, 0.0569767000, 0.0700925000, 0.0978064000, 0.1621675000, 0.3132843000, 0.7068982000", \ - "0.0504468000, 0.0565107000, 0.0698086000, 0.0976918000, 0.1623343000, 0.3133785000, 0.7060413000", \ - "0.0480941000, 0.0543728000, 0.0677506000, 0.0982169000, 0.1620996000, 0.3133279000, 0.7083263000", \ - "0.0401817000, 0.0468486000, 0.0613032000, 0.0923107000, 0.1594305000, 0.3118808000, 0.7056053000", \ - "0.0394093000, 0.0461481000, 0.0598885000, 0.0902370000, 0.1558524000, 0.3076053000, 0.7028313000", \ - "0.0384551000, 0.0448082000, 0.0602161000, 0.0912154000, 0.1574532000, 0.3096958000, 0.6976892000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0012952100, 0.0033551200, 0.0086911400, 0.0225136000, 0.0583196000, 0.1510720000"); - values("0.0328327000, 0.0413857000, 0.0615784000, 0.1108266000, 0.2398551000, 0.5863026000, 1.4893485000", \ - "0.0328214000, 0.0413156000, 0.0616473000, 0.1108220000, 0.2397563000, 0.5843664000, 1.4894537000", \ - "0.0328590000, 0.0412821000, 0.0614914000, 0.1107119000, 0.2394370000, 0.5868545000, 1.4958170000", \ - "0.0328403000, 0.0414362000, 0.0614097000, 0.1107184000, 0.2396892000, 0.5863361000, 1.4932622000", \ - "0.0326563000, 0.0411719000, 0.0615717000, 0.1107202000, 0.2399769000, 0.5871666000, 1.4934917000", \ - "0.0328073000, 0.0413718000, 0.0615897000, 0.1109084000, 0.2391438000, 0.5855765000, 1.4895938000", \ - "0.0337789000, 0.0421639000, 0.0625468000, 0.1120640000, 0.2408642000, 0.5837951000, 1.4866324000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__xor3_2") { - leakage_power () { - value : 0.0099959000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0126358000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0244313000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0192028000; - when : "!A&B&!C"; - } - leakage_power () { - value : 0.0123724000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0071437000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0189376000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0215765000; - when : "A&B&!C"; - } - area : 25.024000000; - cell_footprint : "sky130_fd_sc_hd__xor3"; - cell_leakage_power : 0.0157869900; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024400000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022960000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025830000; - } - pin ("B") { - capacitance : 0.0052790000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0051230000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0054350000; - } - pin ("C") { - capacitance : 0.0034820000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033640000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0036010000; - } - pin ("X") { - direction : "output"; - function : "(A&!B&!C) | (!A&B&!C) | (!A&!B&C) | (A&B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0430605000, 0.0413095000, 0.0371600000, 0.0262396000, -0.007062700, -0.109641500, -0.411222200", \ - "0.0429619000, 0.0412037000, 0.0370434000, 0.0261447000, -0.007167200, -0.109744100, -0.411254400", \ - "0.0427803000, 0.0410337000, 0.0368597000, 0.0259649000, -0.007333100, -0.109915400, -0.411432000", \ - "0.0424927000, 0.0407404000, 0.0365794000, 0.0256872000, -0.007627600, -0.110205600, -0.411707300", \ - "0.0423195000, 0.0405947000, 0.0364486000, 0.0255316000, -0.007846100, -0.110366500, -0.411849500", \ - "0.0423666000, 0.0406187000, 0.0364559000, 0.0255757000, -0.007797900, -0.110365100, -0.411829300", \ - "0.0500745000, 0.0482743000, 0.0434847000, 0.0305821000, -0.005670800, -0.109649800, -0.411094200"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0539116000, 0.0555836000, 0.0602577000, 0.0738692000, 0.1105969000, 0.2145811000, 0.5162274000", \ - "0.0536614000, 0.0553331000, 0.0601198000, 0.0737607000, 0.1104226000, 0.2144183000, 0.5142982000", \ - "0.0534380000, 0.0550456000, 0.0598722000, 0.0734201000, 0.1101145000, 0.2141707000, 0.5136443000", \ - "0.0532740000, 0.0549411000, 0.0596825000, 0.0732152000, 0.1099263000, 0.2140324000, 0.5152176000", \ - "0.0530059000, 0.0546049000, 0.0594546000, 0.0730024000, 0.1097016000, 0.2137404000, 0.5132158000", \ - "0.0533347000, 0.0550125000, 0.0597667000, 0.0734120000, 0.1100632000, 0.2140707000, 0.5157011000", \ - "0.0553914000, 0.0569155000, 0.0614223000, 0.0740478000, 0.1111832000, 0.2145725000, 0.5137616000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0284493000, 0.0267074000, 0.0225788000, 0.0116580000, -0.021670000, -0.124300100, -0.425812700", \ - "0.0280689000, 0.0263266000, 0.0221995000, 0.0112831000, -0.022045500, -0.124672200, -0.426196600", \ - "0.0275647000, 0.0258077000, 0.0216995000, 0.0107804000, -0.022538300, -0.125174100, -0.426710200", \ - "0.0270225000, 0.0252943000, 0.0211620000, 0.0103065000, -0.023021900, -0.125683600, -0.427207500", \ - "0.0271931000, 0.0254720000, 0.0213696000, 0.0104762000, -0.022904300, -0.125549600, -0.427032500", \ - "0.0286311000, 0.0268736000, 0.0227040000, 0.0117420000, -0.021709500, -0.124316200, -0.425761200", \ - "0.0405886000, 0.0387744000, 0.0340653000, 0.0212129000, -0.014968400, -0.119670700, -0.421156500"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0501306000, 0.0516777000, 0.0565359000, 0.0701550000, 0.1068184000, 0.2108066000, 0.5110191000", \ - "0.0496607000, 0.0512571000, 0.0560878000, 0.0696516000, 0.1063195000, 0.2103309000, 0.5099054000", \ - "0.0492249000, 0.0509169000, 0.0555950000, 0.0692000000, 0.1058904000, 0.2098540000, 0.5115011000", \ - "0.0490016000, 0.0506322000, 0.0553106000, 0.0689140000, 0.1056095000, 0.2094784000, 0.5114624000", \ - "0.0490880000, 0.0506914000, 0.0554065000, 0.0690131000, 0.1057150000, 0.2096634000, 0.5114714000", \ - "0.0500671000, 0.0516939000, 0.0565995000, 0.0702509000, 0.1070273000, 0.2109913000, 0.5104495000", \ - "0.0551239000, 0.0566456000, 0.0612239000, 0.0739757000, 0.1102679000, 0.2153533000, 0.5131815000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0134966000, 0.0117973000, 0.0077548000, -0.002948600, -0.036071900, -0.138671800, -0.440188800", \ - "0.0133709000, 0.0117025000, 0.0076259000, -0.003023300, -0.036163000, -0.138724500, -0.440268300", \ - "0.0131671000, 0.0114953000, 0.0074730000, -0.003251400, -0.036344000, -0.138888100, -0.440427300", \ - "0.0130219000, 0.0113172000, 0.0073748000, -0.003288200, -0.036437400, -0.138959200, -0.440448600", \ - "0.0127447000, 0.0111462000, 0.0072708000, -0.003321000, -0.036495400, -0.138966800, -0.440349500", \ - "0.0162361000, 0.0145525000, 0.0099762000, -0.002092900, -0.035486300, -0.138011500, -0.439359400", \ - "0.0239560000, 0.0223041000, 0.0177911000, 0.0052839000, -0.030625300, -0.135271400, -0.436993800"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0014402850, 0.0041488430, 0.0119510300, 0.0344258000, 0.0991659300, 0.2856544000"); - values("0.0298504000, 0.0314865000, 0.0362439000, 0.0496336000, 0.0862433000, 0.1904413000, 0.4898807000", \ - "0.0296118000, 0.0312268000, 0.0359811000, 0.0493869000, 0.0860023000, 0.1902072000, 0.4896148000", \ - "0.0294368000, 0.0310567000, 0.0358158000, 0.0492171000, 0.0858294000, 0.1900251000, 0.4891638000", \ - "0.0294878000, 0.0311252000, 0.0358757000, 0.0492920000, 0.0858826000, 0.1898902000, 0.4874756000", \ - "0.0299681000, 0.0315791000, 0.0363567000, 0.0497539000, 0.0863122000, 0.1904794000, 0.4899396000", \ - "0.0313893000, 0.0324183000, 0.0372945000, 0.0508093000, 0.0872316000, 0.1915017000, 0.4905852000", \ - "0.0342284000, 0.0358059000, 0.0403147000, 0.0531311000, 0.0892371000, 0.1938660000, 0.4917037000"); - } - } - max_capacitance : 0.2856540000; - max_transition : 1.5003590000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.3272288000, 0.3375020000, 0.3599021000, 0.4020282000, 0.4772729000, 0.6231376000, 0.9543154000", \ - "0.3324988000, 0.3427990000, 0.3652043000, 0.4073100000, 0.4825389000, 0.6284271000, 0.9596892000", \ - "0.3452069000, 0.3555524000, 0.3780228000, 0.4201266000, 0.4953103000, 0.6411592000, 0.9725271000", \ - "0.3765652000, 0.3868997000, 0.4093565000, 0.4514756000, 0.5266580000, 0.6725249000, 1.0039094000", \ - "0.4501940000, 0.4605400000, 0.4830007000, 0.5252401000, 0.6004860000, 0.7462921000, 1.0773821000", \ - "0.6092644000, 0.6198249000, 0.6426965000, 0.6852801000, 0.7608859000, 0.9071839000, 1.2388902000", \ - "0.8904896000, 0.9030895000, 0.9298342000, 0.9777450000, 1.0592066000, 1.2108863000, 1.5457500000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.1902512000, 0.1983125000, 0.2170243000, 0.2575123000, 0.3497236000, 0.5879162000, 1.2643632000", \ - "0.1949212000, 0.2029279000, 0.2215201000, 0.2621403000, 0.3542955000, 0.5929590000, 1.2734527000", \ - "0.2059765000, 0.2140379000, 0.2326852000, 0.2731737000, 0.3653372000, 0.6036892000, 1.2797062000", \ - "0.2304669000, 0.2385497000, 0.2571976000, 0.2977777000, 0.3900289000, 0.6286607000, 1.3071351000", \ - "0.2796099000, 0.2876758000, 0.3063734000, 0.3468613000, 0.4390664000, 0.6776444000, 1.3566314000", \ - "0.3601246000, 0.3688936000, 0.3887530000, 0.4312718000, 0.5251378000, 0.7641838000, 1.4431891000", \ - "0.4655640000, 0.4760091000, 0.4996899000, 0.5477855000, 0.6473189000, 0.8883835000, 1.5656816000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0663383000, 0.0701628000, 0.0793782000, 0.1006309000, 0.1519013000, 0.2890791000, 0.6779158000", \ - "0.0663135000, 0.0701387000, 0.0793398000, 0.1006107000, 0.1513422000, 0.2888065000, 0.6779745000", \ - "0.0662575000, 0.0706839000, 0.0794240000, 0.1004141000, 0.1519225000, 0.2885976000, 0.6761117000", \ - "0.0663091000, 0.0708124000, 0.0792472000, 0.1004841000, 0.1519094000, 0.2886277000, 0.6765533000", \ - "0.0670046000, 0.0705388000, 0.0796492000, 0.1008140000, 0.1529180000, 0.2883258000, 0.6775095000", \ - "0.0725553000, 0.0761510000, 0.0835518000, 0.1031794000, 0.1536193000, 0.2894407000, 0.6774811000", \ - "0.1045253000, 0.1060855000, 0.1087296000, 0.1217620000, 0.1666135000, 0.2967381000, 0.6815493000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0312502000, 0.0380115000, 0.0539093000, 0.0935428000, 0.2028968000, 0.5292193000, 1.4972287000", \ - "0.0314876000, 0.0379975000, 0.0538243000, 0.0936528000, 0.2025980000, 0.5290406000, 1.4956991000", \ - "0.0314366000, 0.0378855000, 0.0538723000, 0.0934273000, 0.2030060000, 0.5291090000, 1.4924151000", \ - "0.0313885000, 0.0378245000, 0.0539129000, 0.0935747000, 0.2029030000, 0.5280324000, 1.4937581000", \ - "0.0316473000, 0.0381514000, 0.0540428000, 0.0936944000, 0.2027417000, 0.5276765000, 1.4923111000", \ - "0.0349907000, 0.0417895000, 0.0582675000, 0.0978113000, 0.2054051000, 0.5289076000, 1.4948794000", \ - "0.0443528000, 0.0517281000, 0.0699674000, 0.1098969000, 0.2143491000, 0.5313211000, 1.4885576000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.3667222000, 0.3770551000, 0.3997921000, 0.4424901000, 0.5192656000, 0.6680705000, 1.0024072000", \ - "0.3714998000, 0.3816609000, 0.4043110000, 0.4470248000, 0.5241083000, 0.6725937000, 1.0069993000", \ - "0.3827428000, 0.3929185000, 0.4156185000, 0.4583027000, 0.5353198000, 0.6838503000, 1.0182893000", \ - "0.4090490000, 0.4192182000, 0.4418484000, 0.4845769000, 0.5616961000, 0.7101714000, 1.0445309000", \ - "0.4563256000, 0.4665467000, 0.4890512000, 0.5318058000, 0.6086754000, 0.7575601000, 1.0916451000", \ - "0.5231487000, 0.5333127000, 0.5557946000, 0.5984281000, 0.6756005000, 0.8244608000, 1.1585801000", \ - "0.5976976000, 0.6079757000, 0.6306545000, 0.6733776000, 0.7503645000, 0.8991857000, 1.2333896000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.3375973000, 0.3464536000, 0.3667019000, 0.4096672000, 0.5042097000, 0.7437934000, 1.4242679000", \ - "0.3427887000, 0.3516436000, 0.3718200000, 0.4148714000, 0.5095260000, 0.7493367000, 1.4281402000", \ - "0.3552064000, 0.3640776000, 0.3843109000, 0.4272011000, 0.5218826000, 0.7612538000, 1.4393885000", \ - "0.3869274000, 0.3957933000, 0.4160548000, 0.4589106000, 0.5535709000, 0.7928767000, 1.4750355000", \ - "0.4611481000, 0.4699754000, 0.4902550000, 0.5331887000, 0.6278882000, 0.8673990000, 1.5467111000", \ - "0.6048973000, 0.6137889000, 0.6340939000, 0.6772053000, 0.7717857000, 1.0113523000, 1.6923263000", \ - "0.8404172000, 0.8493997000, 0.8699885000, 0.9134559000, 1.0086337000, 1.2480903000, 1.9287800000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0631014000, 0.0682057000, 0.0787558000, 0.1025541000, 0.1564144000, 0.2946800000, 0.6828376000", \ - "0.0629716000, 0.0680938000, 0.0785323000, 0.1018787000, 0.1563575000, 0.2948256000, 0.6822650000", \ - "0.0630056000, 0.0681878000, 0.0786227000, 0.1019062000, 0.1562263000, 0.2948959000, 0.6822550000", \ - "0.0629414000, 0.0680292000, 0.0784933000, 0.1018944000, 0.1564043000, 0.2946956000, 0.6821615000", \ - "0.0636028000, 0.0694306000, 0.0788245000, 0.1016838000, 0.1551216000, 0.2946451000, 0.6820704000", \ - "0.0630724000, 0.0683679000, 0.0784685000, 0.1024692000, 0.1565918000, 0.2943566000, 0.6816521000", \ - "0.0633426000, 0.0684193000, 0.0788102000, 0.1022533000, 0.1564546000, 0.2946945000, 0.6807604000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0353132000, 0.0418051000, 0.0586783000, 0.0987071000, 0.2071389000, 0.5302163000, 1.4976033000", \ - "0.0352788000, 0.0421355000, 0.0586475000, 0.0986708000, 0.2071406000, 0.5306182000, 1.4947319000", \ - "0.0350914000, 0.0418303000, 0.0586045000, 0.0987988000, 0.2072079000, 0.5315162000, 1.4970153000", \ - "0.0349454000, 0.0419443000, 0.0586541000, 0.0987888000, 0.2071968000, 0.5311981000, 1.4977547000", \ - "0.0353928000, 0.0418421000, 0.0585067000, 0.0987193000, 0.2070184000, 0.5315001000, 1.4948540000", \ - "0.0353757000, 0.0420410000, 0.0587035000, 0.0989162000, 0.2072180000, 0.5305694000, 1.4978170000", \ - "0.0357487000, 0.0427772000, 0.0595050000, 0.0997543000, 0.2080979000, 0.5294283000, 1.4959537000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.3224366000, 0.3325719000, 0.3550239000, 0.3978075000, 0.4746260000, 0.6235084000, 0.9575237000", \ - "0.3258460000, 0.3359998000, 0.3586783000, 0.4014932000, 0.4781958000, 0.6270647000, 0.9610670000", \ - "0.3366872000, 0.3471927000, 0.3697067000, 0.4125081000, 0.4893234000, 0.6382011000, 0.9722019000", \ - "0.3658092000, 0.3759592000, 0.3983650000, 0.4411251000, 0.5179690000, 0.6668682000, 1.0008935000", \ - "0.4286222000, 0.4389395000, 0.4616067000, 0.5043081000, 0.5809890000, 0.7298996000, 1.0639600000", \ - "0.5596457000, 0.5704192000, 0.5934763000, 0.6369971000, 0.7146548000, 0.8635949000, 1.1981174000", \ - "0.7754605000, 0.7897851000, 0.8205297000, 0.8756625000, 0.9660767000, 1.1273662000, 1.4688587000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.1678397000, 0.1758986000, 0.1943058000, 0.2346266000, 0.3260665000, 0.5637467000, 1.2447491000", \ - "0.1709236000, 0.1789816000, 0.1973890000, 0.2377087000, 0.3291506000, 0.5668542000, 1.2477551000", \ - "0.1803796000, 0.1883446000, 0.2068968000, 0.2470840000, 0.3385742000, 0.5766043000, 1.2545128000", \ - "0.2045095000, 0.2125543000, 0.2309709000, 0.2711637000, 0.3624192000, 0.6005623000, 1.2800031000", \ - "0.2563656000, 0.2644022000, 0.2829745000, 0.3231991000, 0.4143120000, 0.6525112000, 1.3332614000", \ - "0.3374484000, 0.3465686000, 0.3669567000, 0.4094788000, 0.5023679000, 0.7410306000, 1.4166710000", \ - "0.4344329000, 0.4459565000, 0.4711669000, 0.5205343000, 0.6186254000, 0.8581064000, 1.5352254000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0627230000, 0.0686132000, 0.0786966000, 0.1012995000, 0.1553210000, 0.2946342000, 0.6824453000", \ - "0.0639790000, 0.0679673000, 0.0787630000, 0.1017097000, 0.1559247000, 0.2945841000, 0.6827315000", \ - "0.0626540000, 0.0685253000, 0.0787484000, 0.1012793000, 0.1555877000, 0.2946206000, 0.6825259000", \ - "0.0626879000, 0.0687321000, 0.0785547000, 0.1013847000, 0.1551640000, 0.2946334000, 0.6824020000", \ - "0.0629561000, 0.0679011000, 0.0786681000, 0.1016666000, 0.1559648000, 0.2946440000, 0.6826988000", \ - "0.0693863000, 0.0731955000, 0.0836287000, 0.1046140000, 0.1580696000, 0.2953838000, 0.6823593000", \ - "0.1109830000, 0.1149539000, 0.1240377000, 0.1405153000, 0.1855258000, 0.3146172000, 0.6923635000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0311633000, 0.0376158000, 0.0534531000, 0.0929201000, 0.2020921000, 0.5279533000, 1.4963251000", \ - "0.0311636000, 0.0376169000, 0.0534526000, 0.0929222000, 0.2020904000, 0.5278886000, 1.4963029000", \ - "0.0311012000, 0.0373712000, 0.0534007000, 0.0927934000, 0.2017570000, 0.5279270000, 1.4914626000", \ - "0.0311220000, 0.0375486000, 0.0531869000, 0.0928083000, 0.2018509000, 0.5288929000, 1.4962490000", \ - "0.0318520000, 0.0383260000, 0.0539407000, 0.0930294000, 0.2020022000, 0.5285036000, 1.4968440000", \ - "0.0373861000, 0.0440790000, 0.0596484000, 0.0983113000, 0.2050809000, 0.5299358000, 1.4957560000", \ - "0.0506286000, 0.0586964000, 0.0751261000, 0.1123678000, 0.2131692000, 0.5328243000, 1.4900620000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.2690631000, 0.2792880000, 0.3018095000, 0.3443331000, 0.4208284000, 0.5686361000, 0.9012863000", \ - "0.2724858000, 0.2827299000, 0.3052701000, 0.3477611000, 0.4242804000, 0.5720558000, 0.9047387000", \ - "0.2807246000, 0.2909675000, 0.3134791000, 0.3560768000, 0.4324822000, 0.5802973000, 0.9128968000", \ - "0.3006264000, 0.3109631000, 0.3336999000, 0.3760343000, 0.4524702000, 0.6000885000, 0.9329234000", \ - "0.3563684000, 0.3668723000, 0.3891055000, 0.4315393000, 0.5078551000, 0.6556187000, 0.9882883000", \ - "0.4328452000, 0.4430565000, 0.4651781000, 0.5072149000, 0.5828445000, 0.7302723000, 1.0634976000", \ - "0.4918209000, 0.5015187000, 0.5239179000, 0.5663281000, 0.6426071000, 0.7900932000, 1.1222941000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.2459075000, 0.2546963000, 0.2749474000, 0.3179168000, 0.4125507000, 0.6521317000, 1.3321958000", \ - "0.2493034000, 0.2581472000, 0.2784200000, 0.3213379000, 0.4159693000, 0.6554262000, 1.3350077000", \ - "0.2609124000, 0.2697541000, 0.2900112000, 0.3329022000, 0.4273567000, 0.6668377000, 1.3477208000", \ - "0.2905125000, 0.2993516000, 0.3195478000, 0.3624770000, 0.4569151000, 0.6965247000, 1.3763558000", \ - "0.3507677000, 0.3595763000, 0.3797347000, 0.4227501000, 0.5172148000, 0.7570268000, 1.4350205000", \ - "0.4376226000, 0.4463860000, 0.4666125000, 0.5096452000, 0.6038553000, 0.8434315000, 1.5212278000", \ - "0.5662085000, 0.5750778000, 0.5955442000, 0.6386826000, 0.7336666000, 0.9728988000, 1.6486970000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0632083000, 0.0679630000, 0.0780860000, 0.1010999000, 0.1541060000, 0.2918776000, 0.6795924000", \ - "0.0631851000, 0.0679970000, 0.0780225000, 0.1011295000, 0.1541875000, 0.2920074000, 0.6793315000", \ - "0.0632306000, 0.0678530000, 0.0782341000, 0.1009798000, 0.1538074000, 0.2925027000, 0.6801403000", \ - "0.0633735000, 0.0681546000, 0.0784053000, 0.1014563000, 0.1550809000, 0.2922237000, 0.6788682000", \ - "0.0624283000, 0.0672057000, 0.0778341000, 0.1005095000, 0.1539854000, 0.2917552000, 0.6796960000", \ - "0.0618976000, 0.0669184000, 0.0773121000, 0.1000571000, 0.1536774000, 0.2920590000, 0.6797961000", \ - "0.0623600000, 0.0676070000, 0.0778250000, 0.1005911000, 0.1542375000, 0.2915379000, 0.6764296000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0352295000, 0.0420451000, 0.0583405000, 0.0987460000, 0.2068895000, 0.5312894000, 1.4945328000", \ - "0.0351371000, 0.0418092000, 0.0584504000, 0.0987054000, 0.2069858000, 0.5314909000, 1.4942644000", \ - "0.0352103000, 0.0418548000, 0.0586574000, 0.0986747000, 0.2071002000, 0.5304634000, 1.4977077000", \ - "0.0351128000, 0.0417347000, 0.0585489000, 0.0985494000, 0.2070287000, 0.5305799000, 1.4971148000", \ - "0.0351615000, 0.0420148000, 0.0587159000, 0.0984878000, 0.2070294000, 0.5314068000, 1.4977233000", \ - "0.0349665000, 0.0422576000, 0.0589155000, 0.0983648000, 0.2066787000, 0.5315844000, 1.4972975000", \ - "0.0355042000, 0.0423097000, 0.0591891000, 0.0995039000, 0.2077733000, 0.5295091000, 1.4909800000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.1767168000, 0.1861932000, 0.2070323000, 0.2475588000, 0.3217512000, 0.4683123000, 0.8004659000", \ - "0.1804827000, 0.1900382000, 0.2108305000, 0.2512027000, 0.3254651000, 0.4719370000, 0.8044858000", \ - "0.1906698000, 0.2000152000, 0.2207112000, 0.2608322000, 0.3352369000, 0.4817063000, 0.8138263000", \ - "0.2170444000, 0.2261633000, 0.2466925000, 0.2865354000, 0.3605539000, 0.5071067000, 0.8392864000", \ - "0.2796521000, 0.2883934000, 0.3079389000, 0.3467155000, 0.4202177000, 0.5660027000, 0.8983677000", \ - "0.4016847000, 0.4117124000, 0.4337977000, 0.4754132000, 0.5492330000, 0.6949841000, 1.0273726000", \ - "0.5826112000, 0.5950609000, 0.6229200000, 0.6758134000, 0.7643525000, 0.9168485000, 1.2548508000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.1150683000, 0.1238135000, 0.1437437000, 0.1863698000, 0.2800147000, 0.5186945000, 1.1935892000", \ - "0.1192712000, 0.1279660000, 0.1479499000, 0.1905739000, 0.2843134000, 0.5229442000, 1.1980507000", \ - "0.1291498000, 0.1378541000, 0.1578046000, 0.2003630000, 0.2941661000, 0.5322406000, 1.2096056000", \ - "0.1527168000, 0.1613882000, 0.1811908000, 0.2236010000, 0.3174181000, 0.5557756000, 1.2339538000", \ - "0.2013353000, 0.2097713000, 0.2294800000, 0.2719371000, 0.3660010000, 0.6045884000, 1.2812946000", \ - "0.2662000000, 0.2762499000, 0.2977673000, 0.3412660000, 0.4363629000, 0.6773349000, 1.3537070000", \ - "0.3305566000, 0.3431609000, 0.3709973000, 0.4226509000, 0.5213192000, 0.7624812000, 1.4406181000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0490830000, 0.0548558000, 0.0678366000, 0.0938201000, 0.1501504000, 0.2905342000, 0.6792675000", \ - "0.0491437000, 0.0545374000, 0.0669302000, 0.0933736000, 0.1505691000, 0.2907939000, 0.6783185000", \ - "0.0484010000, 0.0541121000, 0.0665346000, 0.0928785000, 0.1500950000, 0.2906169000, 0.6795308000", \ - "0.0470370000, 0.0526494000, 0.0654147000, 0.0919840000, 0.1497638000, 0.2905681000, 0.6799134000", \ - "0.0438268000, 0.0496838000, 0.0633289000, 0.0895934000, 0.1486124000, 0.2899420000, 0.6790360000", \ - "0.0566194000, 0.0624565000, 0.0746354000, 0.0975963000, 0.1517879000, 0.2897636000, 0.6800683000", \ - "0.0759716000, 0.0838439000, 0.0995546000, 0.1294469000, 0.1770704000, 0.3042581000, 0.6884464000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0339886000, 0.0408759000, 0.0575622000, 0.0977098000, 0.2065916000, 0.5306890000, 1.4936837000", \ - "0.0339201000, 0.0408558000, 0.0575574000, 0.0976534000, 0.2065529000, 0.5298492000, 1.4954594000", \ - "0.0340037000, 0.0409204000, 0.0571405000, 0.0976154000, 0.2066439000, 0.5312382000, 1.4971555000", \ - "0.0337742000, 0.0403204000, 0.0569163000, 0.0974347000, 0.2065800000, 0.5314038000, 1.4959024000", \ - "0.0350911000, 0.0417389000, 0.0577122000, 0.0984702000, 0.2069412000, 0.5309022000, 1.4976932000", \ - "0.0451374000, 0.0511808000, 0.0658089000, 0.1032135000, 0.2106533000, 0.5333686000, 1.5003594000", \ - "0.0623978000, 0.0709292000, 0.0870826000, 0.1221549000, 0.2177565000, 0.5370471000, 1.4942589000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.1938511000, 0.2039672000, 0.2262275000, 0.2685688000, 0.3451519000, 0.4933309000, 0.8274346000", \ - "0.1984971000, 0.2090049000, 0.2313560000, 0.2739193000, 0.3501719000, 0.4986877000, 0.8327410000", \ - "0.2090095000, 0.2192339000, 0.2414621000, 0.2841386000, 0.3603625000, 0.5089210000, 0.8427509000", \ - "0.2301595000, 0.2402575000, 0.2624282000, 0.3045152000, 0.3808459000, 0.5291819000, 0.8634135000", \ - "0.2776593000, 0.2870334000, 0.3076729000, 0.3480410000, 0.4231043000, 0.5709569000, 0.9046249000", \ - "0.3354911000, 0.3439226000, 0.3630683000, 0.4011928000, 0.4739736000, 0.6194481000, 0.9525736000", \ - "0.3680313000, 0.3766601000, 0.3958859000, 0.4345542000, 0.5079320000, 0.6545357000, 0.9861921000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.1560569000, 0.1639316000, 0.1820770000, 0.2217077000, 0.3122801000, 0.5505593000, 1.2296604000", \ - "0.1609240000, 0.1688158000, 0.1869074000, 0.2265540000, 0.3171349000, 0.5554679000, 1.2325095000", \ - "0.1739225000, 0.1818788000, 0.1999737000, 0.2396279000, 0.3302935000, 0.5684869000, 1.2508196000", \ - "0.2056836000, 0.2135093000, 0.2317785000, 0.2714208000, 0.3620620000, 0.5995962000, 1.2757058000", \ - "0.2715227000, 0.2793308000, 0.2974525000, 0.3370483000, 0.4275971000, 0.6657508000, 1.3439834000", \ - "0.3752873000, 0.3829373000, 0.4010793000, 0.4405792000, 0.5308863000, 0.7687937000, 1.4502289000", \ - "0.5354086000, 0.5431937000, 0.5612316000, 0.6009718000, 0.6918431000, 0.9292985000, 1.6037923000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0599733000, 0.0648485000, 0.0760755000, 0.0995126000, 0.1549808000, 0.2940029000, 0.6814118000", \ - "0.0599282000, 0.0648543000, 0.0761544000, 0.1006235000, 0.1555557000, 0.2940809000, 0.6828084000", \ - "0.0595584000, 0.0650459000, 0.0759702000, 0.0993247000, 0.1545708000, 0.2939195000, 0.6823935000", \ - "0.0576574000, 0.0632066000, 0.0743971000, 0.0988054000, 0.1548365000, 0.2936886000, 0.6815301000", \ - "0.0483413000, 0.0541409000, 0.0669709000, 0.0935053000, 0.1510048000, 0.2922394000, 0.6812143000", \ - "0.0461991000, 0.0513532000, 0.0635775000, 0.0895548000, 0.1468019000, 0.2876255000, 0.6809058000", \ - "0.0459686000, 0.0512993000, 0.0639296000, 0.0901043000, 0.1488132000, 0.2893551000, 0.6744653000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0014402900, 0.0041488400, 0.0119510000, 0.0344258000, 0.0991659000, 0.2856540000"); - values("0.0304294000, 0.0366712000, 0.0523416000, 0.0919079000, 0.2009821000, 0.5284023000, 1.4947107000", \ - "0.0304505000, 0.0367672000, 0.0524117000, 0.0916390000, 0.2013495000, 0.5295965000, 1.4973923000", \ - "0.0302272000, 0.0367682000, 0.0524101000, 0.0917484000, 0.2013548000, 0.5295452000, 1.4979871000", \ - "0.0302000000, 0.0366728000, 0.0523977000, 0.0917525000, 0.2012890000, 0.5291084000, 1.4927926000", \ - "0.0302298000, 0.0365925000, 0.0521962000, 0.0915547000, 0.2013228000, 0.5292746000, 1.4960668000", \ - "0.0301709000, 0.0364070000, 0.0522104000, 0.0916774000, 0.2004614000, 0.5297392000, 1.4982015000", \ - "0.0309827000, 0.0373958000, 0.0527972000, 0.0924830000, 0.2017861000, 0.5289324000, 1.4912634000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } - - cell ("sky130_fd_sc_hd__xor3_4") { - leakage_power () { - value : 0.0130746000; - when : "A&!B&C"; - } - leakage_power () { - value : 0.0081032000; - when : "A&!B&!C"; - } - leakage_power () { - value : 0.0197583000; - when : "A&B&C"; - } - leakage_power () { - value : 0.0224497000; - when : "A&B&!C"; - } - leakage_power () { - value : 0.0108918000; - when : "!A&!B&C"; - } - leakage_power () { - value : 0.0135832000; - when : "!A&!B&!C"; - } - leakage_power () { - value : 0.0252379000; - when : "!A&B&C"; - } - leakage_power () { - value : 0.0202666000; - when : "!A&B&!C"; - } - area : 27.526400000; - cell_footprint : "sky130_fd_sc_hd__xor3"; - cell_leakage_power : 0.0166706400; - driver_waveform_fall : "ramp"; - driver_waveform_rise : "ramp"; - pg_pin ("VGND") { - pg_type : "primary_ground"; - related_bias_pin : "VPB"; - voltage_name : "VGND"; - } - pg_pin ("VNB") { - pg_type : "nwell"; - physical_connection : "device_layer"; - voltage_name : "VNB"; - } - pg_pin ("VPB") { - pg_type : "pwell"; - physical_connection : "device_layer"; - voltage_name : "VPB"; - } - pg_pin ("VPWR") { - pg_type : "primary_power"; - related_bias_pin : "VNB"; - voltage_name : "VPWR"; - } - pin ("A") { - capacitance : 0.0024220000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0022790000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0025650000; - } - pin ("B") { - capacitance : 0.0052750000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0051170000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0054320000; - } - pin ("C") { - capacitance : 0.0034650000; - clock : "false"; - direction : "input"; - fall_capacitance : 0.0033430000; - max_transition : 1.5000000000; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - rise_capacitance : 0.0035860000; - } - pin ("X") { - direction : "output"; - function : "(A&!B&!C) | (!A&B&!C) | (!A&!B&C) | (A&B&C)"; - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209010, 0.0159106300, 0.0504188900, 0.1597714000, 0.5062964000"); - values("0.0533230000, 0.0510815000, 0.0445521000, 0.0273206000, -0.025053400, -0.197288300, -0.756775900", \ - "0.0532224000, 0.0509566000, 0.0444268000, 0.0271868000, -0.025044500, -0.197385500, -0.756837500", \ - "0.0530352000, 0.0507960000, 0.0442891000, 0.0270494000, -0.025266400, -0.197543800, -0.757025100", \ - "0.0527708000, 0.0504860000, 0.0440163000, 0.0268442000, -0.025539900, -0.197876500, -0.757342900", \ - "0.0525988000, 0.0503405000, 0.0439074000, 0.0266593000, -0.025747600, -0.197965600, -0.757464500", \ - "0.0527444000, 0.0504064000, 0.0439546000, 0.0267355000, -0.025655100, -0.197932500, -0.757403500", \ - "0.0615465000, 0.0592010000, 0.0524347000, 0.0332821000, -0.023267800, -0.197298200, -0.756714500"); - } - related_pin : "A"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209010, 0.0159106300, 0.0504188900, 0.1597714000, 0.5062964000"); - values("0.0639735000, 0.0656933000, 0.0712885000, 0.0900739000, 0.1472822000, 0.3234468000, 0.8792868000", \ - "0.0638228000, 0.0654942000, 0.0710944000, 0.0898885000, 0.1471310000, 0.3232647000, 0.8787634000", \ - "0.0636136000, 0.0653006000, 0.0709417000, 0.0895493000, 0.1469329000, 0.3227728000, 0.8799339000", \ - "0.0633340000, 0.0650603000, 0.0706618000, 0.0894448000, 0.1466363000, 0.3228167000, 0.8786688000", \ - "0.0631345000, 0.0648852000, 0.0706657000, 0.0891768000, 0.1464868000, 0.3223231000, 0.8785130000", \ - "0.0635527000, 0.0652917000, 0.0709017000, 0.0896107000, 0.1468483000, 0.3229401000, 0.8782255000", \ - "0.0653183000, 0.0670276000, 0.0725198000, 0.0901862000, 0.1480350000, 0.3236232000, 0.8793034000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209010, 0.0159106300, 0.0504188900, 0.1597714000, 0.5062964000"); - values("0.0386194000, 0.0362739000, 0.0298496000, 0.0127639000, -0.039537500, -0.211734800, -0.771292900", \ - "0.0381704000, 0.0358925000, 0.0294595000, 0.0123663000, -0.039943600, -0.212101900, -0.771679300", \ - "0.0376633000, 0.0354285000, 0.0289762000, 0.0118240000, -0.040277000, -0.212612500, -0.772168500", \ - "0.0371045000, 0.0348230000, 0.0284491000, 0.0112498000, -0.040899700, -0.213150400, -0.772695000", \ - "0.0372523000, 0.0349959000, 0.0285743000, 0.0114350000, -0.040678000, -0.213094800, -0.772543300", \ - "0.0384590000, 0.0362696000, 0.0298833000, 0.0127458000, -0.039544600, -0.212012500, -0.771438100", \ - "0.0526765000, 0.0504471000, 0.0437040000, 0.0246688000, -0.031666600, -0.207431400, -0.766891900"); - } - related_pin : "B"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209010, 0.0159106300, 0.0504188900, 0.1597714000, 0.5062964000"); - values("0.0602672000, 0.0620038000, 0.0676764000, 0.0862401000, 0.1435662000, 0.3195028000, 0.8721109000", \ - "0.0598632000, 0.0615793000, 0.0672174000, 0.0858074000, 0.1431357000, 0.3191402000, 0.8719826000", \ - "0.0593536000, 0.0609458000, 0.0666412000, 0.0854596000, 0.1426928000, 0.3184670000, 0.8746418000", \ - "0.0590030000, 0.0607282000, 0.0663359000, 0.0850605000, 0.1423264000, 0.3181262000, 0.8743158000", \ - "0.0590801000, 0.0607648000, 0.0664008000, 0.0851276000, 0.1423963000, 0.3181908000, 0.8742888000", \ - "0.0603151000, 0.0620308000, 0.0676508000, 0.0862013000, 0.1434594000, 0.3196891000, 0.8753033000", \ - "0.0661173000, 0.0679292000, 0.0733861000, 0.0911168000, 0.1469228000, 0.3232805000, 0.8792818000"); - } - } - internal_power () { - fall_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209010, 0.0159106300, 0.0504188900, 0.1597714000, 0.5062964000"); - values("0.0238020000, 0.0214843000, 0.0150690000, -0.002090600, -0.054183900, -0.226320500, -0.785856100", \ - "0.0236761000, 0.0213960000, 0.0149510000, -0.002240900, -0.054148900, -0.226420000, -0.785912500", \ - "0.0235649000, 0.0212882000, 0.0148026000, -0.002336200, -0.054434400, -0.226531700, -0.786040400", \ - "0.0234329000, 0.0211734000, 0.0147216000, -0.002358700, -0.054454800, -0.226617200, -0.786044600", \ - "0.0227856000, 0.0205646000, 0.0142233000, -0.002744700, -0.054612800, -0.226679000, -0.786004000", \ - "0.0234396000, 0.0212848000, 0.0150710000, -0.001702000, -0.053753800, -0.225733300, -0.784935100", \ - "0.0359865000, 0.0337800000, 0.0272132000, 0.0086652000, -0.047579500, -0.223788200, -0.782992200"); - } - related_pin : "C"; - rise_power ("power_outputs_1") { - index_1("0.0100000000, 0.0230505800, 0.0531329300, 0.1224745000, 0.2823108000, 0.6507428000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209010, 0.0159106300, 0.0504188900, 0.1597714000, 0.5062964000"); - values("0.0393273000, 0.0410132000, 0.0468933000, 0.0655563000, 0.1224612000, 0.2985942000, 0.8559662000", \ - "0.0390756000, 0.0407860000, 0.0466620000, 0.0653171000, 0.1222522000, 0.2984116000, 0.8558435000", \ - "0.0389403000, 0.0406388000, 0.0464706000, 0.0651521000, 0.1221266000, 0.2983087000, 0.8556166000", \ - "0.0389589000, 0.0407143000, 0.0464879000, 0.0651318000, 0.1222350000, 0.2981787000, 0.8514149000", \ - "0.0393827000, 0.0410981000, 0.0469030000, 0.0656062000, 0.1225515000, 0.2986296000, 0.8563376000", \ - "0.0404907000, 0.0423217000, 0.0480076000, 0.0667205000, 0.1236392000, 0.2996857000, 0.8561867000", \ - "0.0450861000, 0.0467341000, 0.0523295000, 0.0701318000, 0.1255798000, 0.3025074000, 0.8560837000"); - } - } - max_capacitance : 0.5062960000; - max_transition : 1.5035790000; - power_down_function : "(!VPWR + VGND)"; - related_ground_pin : "VGND"; - related_power_pin : "VPWR"; - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.3890381000, 0.3967643000, 0.4162581000, 0.4587203000, 0.5391453000, 0.6931830000, 1.0355804000", \ - "0.3942998000, 0.4019165000, 0.4215517000, 0.4640062000, 0.5443498000, 0.6977644000, 1.0407417000", \ - "0.4071301000, 0.4146828000, 0.4343964000, 0.4769920000, 0.5572115000, 0.7108721000, 1.0536738000", \ - "0.4384725000, 0.4460564000, 0.4658592000, 0.5081486000, 0.5887223000, 0.7421815000, 1.0850963000", \ - "0.5116124000, 0.5192263000, 0.5388524000, 0.5812633000, 0.6616443000, 0.8155474000, 1.1581769000", \ - "0.6729369000, 0.6805779000, 0.7003624000, 0.7428888000, 0.8234932000, 0.9777322000, 1.3205380000", \ - "0.9735034000, 0.9818917000, 1.0034900000, 1.0496543000, 1.1351891000, 1.2934844000, 1.6391323000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.2336253000, 0.2402151000, 0.2572435000, 0.2965076000, 0.3858674000, 0.6196526000, 1.3351558000", \ - "0.2382117000, 0.2447798000, 0.2618212000, 0.3010214000, 0.3905283000, 0.6240723000, 1.3370745000", \ - "0.2492309000, 0.2557961000, 0.2728426000, 0.3120528000, 0.4015653000, 0.6351381000, 1.3483391000", \ - "0.2735782000, 0.2801853000, 0.2971486000, 0.3364010000, 0.4258526000, 0.6594572000, 1.3740740000", \ - "0.3223894000, 0.3289639000, 0.3460011000, 0.3852787000, 0.4747568000, 0.7079321000, 1.4230174000", \ - "0.4086358000, 0.4155336000, 0.4332806000, 0.4739062000, 0.5645863000, 0.7987254000, 1.5155973000", \ - "0.5296386000, 0.5375298000, 0.5576748000, 0.6029756000, 0.6997847000, 0.9370104000, 1.6514641000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.0778601000, 0.0813272000, 0.0917460000, 0.1126379000, 0.1607698000, 0.2877981000, 0.6603575000", \ - "0.0781249000, 0.0815033000, 0.0908104000, 0.1127008000, 0.1609152000, 0.2869602000, 0.6606091000", \ - "0.0780439000, 0.0819752000, 0.0912729000, 0.1138170000, 0.1607207000, 0.2873898000, 0.6599295000", \ - "0.0781306000, 0.0819839000, 0.0909492000, 0.1131985000, 0.1625064000, 0.2877892000, 0.6598975000", \ - "0.0782955000, 0.0816912000, 0.0921660000, 0.1128417000, 0.1608041000, 0.2876203000, 0.6605044000", \ - "0.0808852000, 0.0840165000, 0.0930809000, 0.1143661000, 0.1619181000, 0.2883519000, 0.6606873000", \ - "0.1028420000, 0.1058856000, 0.1124880000, 0.1304662000, 0.1745756000, 0.2950824000, 0.6634738000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.0387810000, 0.0433799000, 0.0567188000, 0.0906636000, 0.1845718000, 0.4888372000, 1.4994325000", \ - "0.0385580000, 0.0433789000, 0.0565166000, 0.0906444000, 0.1850297000, 0.4898671000, 1.4920255000", \ - "0.0385682000, 0.0433945000, 0.0566041000, 0.0906545000, 0.1850086000, 0.4898290000, 1.4924922000", \ - "0.0385697000, 0.0435341000, 0.0565646000, 0.0906084000, 0.1849288000, 0.4899713000, 1.4942979000", \ - "0.0391030000, 0.0437178000, 0.0569344000, 0.0904853000, 0.1846965000, 0.4889974000, 1.4968490000", \ - "0.0412464000, 0.0464317000, 0.0601792000, 0.0941001000, 0.1870247000, 0.4899187000, 1.4990575000", \ - "0.0497795000, 0.0554137000, 0.0698267000, 0.1052507000, 0.1970383000, 0.4952550000, 1.4949890000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.4356104000, 0.4438338000, 0.4645603000, 0.5089155000, 0.5930497000, 0.7528294000, 1.1020389000", \ - "0.4403772000, 0.4483400000, 0.4687442000, 0.5134412000, 0.5979482000, 0.7571539000, 1.1066926000", \ - "0.4515907000, 0.4596319000, 0.4801126000, 0.5247019000, 0.6091257000, 0.7685698000, 1.1179014000", \ - "0.4781229000, 0.4859175000, 0.5066483000, 0.5512897000, 0.6352828000, 0.7949058000, 1.1444033000", \ - "0.5252282000, 0.5328168000, 0.5536038000, 0.5980803000, 0.6823456000, 0.8421540000, 1.1913592000", \ - "0.5914483000, 0.5991997000, 0.6199376000, 0.6646249000, 0.7490129000, 0.9085187000, 1.2579093000", \ - "0.6649833000, 0.6727560000, 0.6934938000, 0.7381417000, 0.8221810000, 0.9819319000, 1.3311914000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.3889672000, 0.3961170000, 0.4145808000, 0.4570298000, 0.5508589000, 0.7878833000, 1.5020267000", \ - "0.3941961000, 0.4013176000, 0.4198137000, 0.4622245000, 0.5560704000, 0.7932205000, 1.5104431000", \ - "0.4066559000, 0.4138271000, 0.4322463000, 0.4745874000, 0.5686072000, 0.8060163000, 1.5183427000", \ - "0.4383296000, 0.4454715000, 0.4639478000, 0.5064125000, 0.6002365000, 0.8372348000, 1.5514608000", \ - "0.5127284000, 0.5198703000, 0.5383948000, 0.5806327000, 0.6745497000, 0.9117901000, 1.6287934000", \ - "0.6569102000, 0.6640387000, 0.6826222000, 0.7250156000, 0.8188979000, 1.0560262000, 1.7735267000", \ - "0.8944489000, 0.9016606000, 0.9203651000, 0.9629273000, 1.0572340000, 1.2943587000, 2.0085980000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.0816757000, 0.0854231000, 0.0955138000, 0.1187466000, 0.1691167000, 0.2986923000, 0.6704443000", \ - "0.0814670000, 0.0858444000, 0.0960661000, 0.1186997000, 0.1705037000, 0.2989502000, 0.6704185000", \ - "0.0815696000, 0.0857964000, 0.0961940000, 0.1189394000, 0.1710097000, 0.2985542000, 0.6699535000", \ - "0.0809449000, 0.0854160000, 0.0956794000, 0.1196195000, 0.1693497000, 0.2983694000, 0.6703567000", \ - "0.0810510000, 0.0856412000, 0.0964823000, 0.1188724000, 0.1691462000, 0.2987652000, 0.6704459000", \ - "0.0810508000, 0.0859694000, 0.0964725000, 0.1192589000, 0.1714974000, 0.2984195000, 0.6698022000", \ - "0.0811712000, 0.0856045000, 0.0957021000, 0.1196869000, 0.1695176000, 0.2983840000, 0.6708109000"); - } - related_pin : "A"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.0435559000, 0.0484412000, 0.0623995000, 0.0979197000, 0.1924557000, 0.4947381000, 1.4999092000", \ - "0.0428765000, 0.0480560000, 0.0624111000, 0.0979595000, 0.1923759000, 0.4949254000, 1.5001240000", \ - "0.0428850000, 0.0482006000, 0.0628594000, 0.0979987000, 0.1926125000, 0.4945694000, 1.4994187000", \ - "0.0435481000, 0.0484688000, 0.0623773000, 0.0978893000, 0.1925541000, 0.4946163000, 1.4998014000", \ - "0.0429029000, 0.0481817000, 0.0626275000, 0.0981663000, 0.1925150000, 0.4949773000, 1.5004478000", \ - "0.0431609000, 0.0483290000, 0.0624881000, 0.0981046000, 0.1925009000, 0.4949043000, 1.5000302000", \ - "0.0435325000, 0.0488947000, 0.0633808000, 0.0990167000, 0.1930707000, 0.4939781000, 1.4962055000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.3923758000, 0.4002203000, 0.4210617000, 0.4654821000, 0.5495210000, 0.7089084000, 1.0586477000", \ - "0.3959417000, 0.4039525000, 0.4245469000, 0.4692912000, 0.5530651000, 0.7123940000, 1.0622113000", \ - "0.4073216000, 0.4151196000, 0.4358910000, 0.4805323000, 0.5643844000, 0.7237684000, 1.0735529000", \ - "0.4360469000, 0.4439112000, 0.4647832000, 0.5091229000, 0.5932517000, 0.7526583000, 1.1023743000", \ - "0.4983297000, 0.5063830000, 0.5266655000, 0.5712268000, 0.6553519000, 0.8150464000, 1.1643910000", \ - "0.6246162000, 0.6326373000, 0.6533560000, 0.6979478000, 0.7821867000, 0.9420736000, 1.2917496000", \ - "0.8561690000, 0.8659928000, 0.8913408000, 0.9450550000, 1.0417258000, 1.2132165000, 1.5687129000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.2111112000, 0.2176218000, 0.2344747000, 0.2733946000, 0.3623608000, 0.5948536000, 1.3094134000", \ - "0.2142071000, 0.2206863000, 0.2375652000, 0.2764809000, 0.3654451000, 0.5979600000, 1.3124900000", \ - "0.2234833000, 0.2300034000, 0.2468672000, 0.2858752000, 0.3747931000, 0.6076464000, 1.3207474000", \ - "0.2475480000, 0.2540842000, 0.2708834000, 0.3097803000, 0.3986576000, 0.6312986000, 1.3455682000", \ - "0.3010216000, 0.3075517000, 0.3243149000, 0.3632703000, 0.4519089000, 0.6844405000, 1.3962849000", \ - "0.3944582000, 0.4014593000, 0.4194802000, 0.4600396000, 0.5504048000, 0.7840504000, 1.4984308000", \ - "0.5191944000, 0.5275381000, 0.5492431000, 0.5959304000, 0.6926461000, 0.9287534000, 1.6431122000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.0813161000, 0.0856184000, 0.0960661000, 0.1189170000, 0.1700967000, 0.2973065000, 0.6712622000", \ - "0.0808674000, 0.0850140000, 0.0958680000, 0.1200844000, 0.1694906000, 0.2987707000, 0.6707734000", \ - "0.0808901000, 0.0855550000, 0.0959758000, 0.1205437000, 0.1698748000, 0.2972034000, 0.6711951000", \ - "0.0813261000, 0.0856467000, 0.0961105000, 0.1188961000, 0.1701453000, 0.2973130000, 0.6711746000", \ - "0.0812792000, 0.0852376000, 0.0954084000, 0.1192894000, 0.1694865000, 0.2985403000, 0.6716577000", \ - "0.0833902000, 0.0871377000, 0.0973502000, 0.1195931000, 0.1702787000, 0.2989316000, 0.6704322000", \ - "0.1289438000, 0.1319010000, 0.1408912000, 0.1573676000, 0.1999018000, 0.3172077000, 0.6787068000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.0379938000, 0.0427981000, 0.0563628000, 0.0898369000, 0.1838630000, 0.4885756000, 1.4975342000", \ - "0.0380110000, 0.0427944000, 0.0563697000, 0.0898319000, 0.1838309000, 0.4886328000, 1.4972699000", \ - "0.0385826000, 0.0435062000, 0.0560913000, 0.0899793000, 0.1840248000, 0.4895752000, 1.4933510000", \ - "0.0379394000, 0.0428530000, 0.0563218000, 0.0897923000, 0.1836658000, 0.4891736000, 1.4926874000", \ - "0.0380194000, 0.0428405000, 0.0565211000, 0.0898895000, 0.1841523000, 0.4893476000, 1.4939855000", \ - "0.0426679000, 0.0478656000, 0.0608898000, 0.0948437000, 0.1863998000, 0.4896900000, 1.4932771000", \ - "0.0555771000, 0.0612286000, 0.0760918000, 0.1094835000, 0.1981380000, 0.4949793000, 1.4945495000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.3304060000, 0.3381073000, 0.3584887000, 0.4019846000, 0.4846125000, 0.6424525000, 0.9889227000", \ - "0.3338148000, 0.3415109000, 0.3619573000, 0.4054170000, 0.4880708000, 0.6458044000, 0.9923881000", \ - "0.3420544000, 0.3498934000, 0.3700006000, 0.4135530000, 0.4963163000, 0.6539678000, 1.0004575000", \ - "0.3616680000, 0.3693550000, 0.3897848000, 0.4333457000, 0.5160298000, 0.6735961000, 1.0200784000", \ - "0.4167640000, 0.4246039000, 0.4448156000, 0.4882375000, 0.5708167000, 0.7282088000, 1.0751523000", \ - "0.5092298000, 0.5169315000, 0.5367243000, 0.5796184000, 0.6620680000, 0.8198415000, 1.1670327000", \ - "0.5753296000, 0.5830741000, 0.6025915000, 0.6464571000, 0.7287397000, 0.8858921000, 1.2320102000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.2956955000, 0.3028503000, 0.3213707000, 0.3635744000, 0.4574788000, 0.6948737000, 1.4098583000", \ - "0.2991267000, 0.3062647000, 0.3247521000, 0.3670149000, 0.4609137000, 0.6983548000, 1.4127469000", \ - "0.3105012000, 0.3176068000, 0.3360996000, 0.3785461000, 0.4724202000, 0.7095628000, 1.4240278000", \ - "0.3400231000, 0.3471397000, 0.3655800000, 0.4079009000, 0.5017492000, 0.7389367000, 1.4559838000", \ - "0.4004166000, 0.4075405000, 0.4259885000, 0.4683176000, 0.5621854000, 0.7993630000, 1.5164877000", \ - "0.4875458000, 0.4946844000, 0.5131626000, 0.5552984000, 0.6491797000, 0.8864324000, 1.6036451000", \ - "0.6163299000, 0.6235191000, 0.6421753000, 0.6846593000, 0.7788321000, 1.0157951000, 1.7292532000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.0797246000, 0.0835722000, 0.0932900000, 0.1160424000, 0.1655571000, 0.2946646000, 0.6660594000", \ - "0.0797659000, 0.0835682000, 0.0932917000, 0.1160549000, 0.1657782000, 0.2945669000, 0.6662536000", \ - "0.0797172000, 0.0833994000, 0.0938137000, 0.1158883000, 0.1664083000, 0.2946383000, 0.6660740000", \ - "0.0799080000, 0.0836199000, 0.0945086000, 0.1160327000, 0.1672804000, 0.2946825000, 0.6658906000", \ - "0.0795309000, 0.0838047000, 0.0937562000, 0.1155422000, 0.1663230000, 0.2944614000, 0.6666230000", \ - "0.0785307000, 0.0823415000, 0.0929344000, 0.1153422000, 0.1657479000, 0.2955177000, 0.6666457000", \ - "0.0790988000, 0.0825956000, 0.0942297000, 0.1154906000, 0.1662363000, 0.2940989000, 0.6632661000"); - } - related_pin : "B"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.0428669000, 0.0481807000, 0.0625082000, 0.0981321000, 0.1926589000, 0.4948079000, 1.4947196000", \ - "0.0428368000, 0.0481196000, 0.0625528000, 0.0980993000, 0.1926903000, 0.4945114000, 1.4942898000", \ - "0.0429179000, 0.0485545000, 0.0624536000, 0.0977714000, 0.1929342000, 0.4948104000, 1.4974290000", \ - "0.0435326000, 0.0487793000, 0.0623014000, 0.0980300000, 0.1924313000, 0.4949904000, 1.5003524000", \ - "0.0433949000, 0.0486259000, 0.0623427000, 0.0980838000, 0.1924500000, 0.4949832000, 1.5003297000", \ - "0.0433178000, 0.0484909000, 0.0621953000, 0.0973008000, 0.1925028000, 0.4946693000, 1.5001579000", \ - "0.0433761000, 0.0486705000, 0.0631600000, 0.0987339000, 0.1928583000, 0.4931774000, 1.4962606000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.2617128000, 0.2694725000, 0.2896366000, 0.3329362000, 0.4153732000, 0.5723828000, 0.9186618000", \ - "0.2662231000, 0.2739302000, 0.2939645000, 0.3374939000, 0.4195975000, 0.5763880000, 0.9233737000", \ - "0.2768493000, 0.2845631000, 0.3044802000, 0.3479926000, 0.4301057000, 0.5868066000, 0.9338701000", \ - "0.3027148000, 0.3105920000, 0.3304433000, 0.3738282000, 0.4557963000, 0.6126213000, 0.9595790000", \ - "0.3592504000, 0.3670950000, 0.3867373000, 0.4297406000, 0.5114247000, 0.6682675000, 1.0150669000", \ - "0.4795433000, 0.4870761000, 0.5072310000, 0.5497747000, 0.6299724000, 0.7857749000, 1.1324801000", \ - "0.6678400000, 0.6773667000, 0.7027664000, 0.7576489000, 0.8566788000, 1.0240662000, 1.3769188000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.1672699000, 0.1743687000, 0.1927873000, 0.2351156000, 0.3287814000, 0.5654304000, 1.2767095000", \ - "0.1716881000, 0.1787273000, 0.1973642000, 0.2394727000, 0.3331345000, 0.5698184000, 1.2845095000", \ - "0.1819844000, 0.1890490000, 0.2074845000, 0.2497587000, 0.3435148000, 0.5802622000, 1.2917980000", \ - "0.2050161000, 0.2120738000, 0.2305227000, 0.2727383000, 0.3665335000, 0.6030428000, 1.3167664000", \ - "0.2573202000, 0.2643711000, 0.2824405000, 0.3243269000, 0.4178594000, 0.6546883000, 1.3694164000", \ - "0.3459023000, 0.3535257000, 0.3729917000, 0.4159372000, 0.5107686000, 0.7494980000, 1.4620638000", \ - "0.4497893000, 0.4589458000, 0.4828555000, 0.5341774000, 0.6348909000, 0.8740570000, 1.5892375000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.0752103000, 0.0792753000, 0.0896981000, 0.1127038000, 0.1657491000, 0.2942166000, 0.6657414000", \ - "0.0753458000, 0.0795047000, 0.0902751000, 0.1133809000, 0.1641975000, 0.2924044000, 0.6662745000", \ - "0.0750728000, 0.0793082000, 0.0891743000, 0.1132580000, 0.1640771000, 0.2936256000, 0.6656263000", \ - "0.0744241000, 0.0781821000, 0.0886484000, 0.1143526000, 0.1637151000, 0.2922472000, 0.6663198000", \ - "0.0719145000, 0.0765771000, 0.0870461000, 0.1110551000, 0.1629274000, 0.2935225000, 0.6664184000", \ - "0.0775179000, 0.0804357000, 0.0903687000, 0.1116820000, 0.1619093000, 0.2932101000, 0.6655125000", \ - "0.1040248000, 0.1089356000, 0.1222252000, 0.1498818000, 0.1974572000, 0.3140170000, 0.6770123000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.0430184000, 0.0476833000, 0.0619612000, 0.0973470000, 0.1922703000, 0.4943219000, 1.5014645000", \ - "0.0428555000, 0.0480097000, 0.0621808000, 0.0973937000, 0.1922918000, 0.4947988000, 1.5005543000", \ - "0.0429909000, 0.0479599000, 0.0624328000, 0.0974189000, 0.1922818000, 0.4940919000, 1.5004191000", \ - "0.0429328000, 0.0484058000, 0.0621073000, 0.0973829000, 0.1924609000, 0.4947015000, 1.4971341000", \ - "0.0419470000, 0.0469733000, 0.0617108000, 0.0972544000, 0.1923861000, 0.4943252000, 1.5006200000", \ - "0.0513592000, 0.0563817000, 0.0694290000, 0.1033464000, 0.1965807000, 0.4960563000, 1.5035794000", \ - "0.0713813000, 0.0772680000, 0.0930001000, 0.1240501000, 0.2096869000, 0.5024105000, 1.4980949000"); - } - timing_sense : "positive_unate"; - timing_type : "combinational"; - } - timing () { - cell_fall ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.2702284000, 0.2780798000, 0.2988752000, 0.3433368000, 0.4271595000, 0.5867558000, 0.9357763000", \ - "0.2753913000, 0.2834272000, 0.3039085000, 0.3482792000, 0.4324426000, 0.5914410000, 0.9409362000", \ - "0.2861505000, 0.2941537000, 0.3148203000, 0.3591118000, 0.4431729000, 0.6025603000, 0.9517890000", \ - "0.3098450000, 0.3177466000, 0.3384889000, 0.3829988000, 0.4669171000, 0.6263888000, 0.9756635000", \ - "0.3541352000, 0.3620250000, 0.3825175000, 0.4264947000, 0.5102894000, 0.6694479000, 1.0185058000", \ - "0.4423516000, 0.4491715000, 0.4671696000, 0.5072536000, 0.5859872000, 0.7429967000, 1.0920650000", \ - "0.4818076000, 0.4886616000, 0.5068093000, 0.5469793000, 0.6259918000, 0.7827688000, 1.1298008000"); - } - cell_rise ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.1932084000, 0.1996519000, 0.2164941000, 0.2552749000, 0.3438883000, 0.5765253000, 1.2912347000", \ - "0.1982211000, 0.2047014000, 0.2215532000, 0.2602948000, 0.3489170000, 0.5816450000, 1.2967565000", \ - "0.2115757000, 0.2179944000, 0.2348895000, 0.2735657000, 0.3622000000, 0.5950190000, 1.3100904000", \ - "0.2435475000, 0.2499723000, 0.2667747000, 0.3056006000, 0.3942633000, 0.6271560000, 1.3401703000", \ - "0.3105100000, 0.3169758000, 0.3338047000, 0.3725772000, 0.4612031000, 0.6938234000, 1.4086374000", \ - "0.4192081000, 0.4257404000, 0.4423321000, 0.4810147000, 0.5690016000, 0.8021610000, 1.5151341000", \ - "0.5862942000, 0.5927550000, 0.6092866000, 0.6480869000, 0.7366237000, 0.9688568000, 1.6820718000"); - } - fall_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.0812541000, 0.0851702000, 0.0958330000, 0.1182894000, 0.1687955000, 0.2985516000, 0.6711479000", \ - "0.0806482000, 0.0845520000, 0.0950796000, 0.1183363000, 0.1698090000, 0.2985583000, 0.6706864000", \ - "0.0803335000, 0.0848628000, 0.0952509000, 0.1181709000, 0.1686246000, 0.2981487000, 0.6697789000", \ - "0.0804499000, 0.0843566000, 0.0949782000, 0.1179081000, 0.1686894000, 0.2978058000, 0.6714420000", \ - "0.0758599000, 0.0798206000, 0.0907151000, 0.1146699000, 0.1693041000, 0.2976116000, 0.6711181000", \ - "0.0677050000, 0.0716229000, 0.0825907000, 0.1064535000, 0.1609131000, 0.2948327000, 0.6701933000", \ - "0.0687189000, 0.0725467000, 0.0830315000, 0.1088921000, 0.1616501000, 0.2919935000, 0.6629990000"); - } - related_pin : "C"; - rise_transition ("del_1_7_7") { - index_1("0.0100000000, 0.0230506000, 0.0531329000, 0.1224740000, 0.2823110000, 0.6507430000, 1.5000000000"); - index_2("0.0005000000, 0.0015844400, 0.0050209000, 0.0159106000, 0.0504189000, 0.1597710000, 0.5062960000"); - values("0.0381354000, 0.0431550000, 0.0557474000, 0.0894886000, 0.1835407000, 0.4887629000, 1.4983069000", \ - "0.0382841000, 0.0431008000, 0.0558681000, 0.0895289000, 0.1836433000, 0.4889424000, 1.4994218000", \ - "0.0378636000, 0.0430683000, 0.0560167000, 0.0894731000, 0.1837949000, 0.4892784000, 1.4981292000", \ - "0.0377661000, 0.0424752000, 0.0560652000, 0.0895167000, 0.1840525000, 0.4899186000, 1.4928372000", \ - "0.0382293000, 0.0430367000, 0.0557163000, 0.0892529000, 0.1834981000, 0.4890026000, 1.5021226000", \ - "0.0373885000, 0.0425606000, 0.0558010000, 0.0891452000, 0.1833518000, 0.4889457000, 1.4991330000", \ - "0.0381850000, 0.0428619000, 0.0561597000, 0.0898340000, 0.1837796000, 0.4882866000, 1.4945289000"); - } - timing_sense : "negative_unate"; - timing_type : "combinational"; - } - } - } -} diff --git a/examples/sky130hd_tt.lib.gz b/examples/sky130hd_tt.lib.gz new file mode 100644 index 00000000..2e7ab69b Binary files /dev/null and b/examples/sky130hd_tt.lib.gz differ diff --git a/examples/spef_parasitics.tcl b/examples/spef_parasitics.tcl index 5e6f639f..9310acd0 100644 --- a/examples/spef_parasitics.tcl +++ b/examples/spef_parasitics.tcl @@ -1,5 +1,5 @@ # delay calc with spef parasitics -read_liberty nangate45_slow.lib +read_liberty nangate45_slow.lib.gz read_verilog example1.v link_design top read_spef example1.dspef diff --git a/test/verilog_attribute.tcl b/test/verilog_attribute.tcl index f8b88722..77842ed4 100644 --- a/test/verilog_attribute.tcl +++ b/test/verilog_attribute.tcl @@ -1,5 +1,5 @@ # Tests whether Verilog attributes can be parsed and retrieved correctly -read_liberty ../examples/sky130hd_tt.lib +read_liberty ../examples/sky130hd_tt.lib.gz read_verilog verilog_attribute.v link_design counter create_clock -name clk [get_ports clk] -period 50